Welcome to mirror list, hosted at ThFree Co, Russian Federation.

github.com/nextcloud/text.git - Unnamed repository; edit this file 'description' to name the repository.
summaryrefslogtreecommitdiff
path: root/js
diff options
context:
space:
mode:
authornpmbuildbot[bot] <npmbuildbot[bot]@users.noreply.github.com>2020-08-11 18:18:32 +0300
committernpmbuildbot[bot] <npmbuildbot[bot]@users.noreply.github.com>2020-08-11 18:18:32 +0300
commita3dcb4fb392f90810c0bc93f3a50767b0a919c7c (patch)
treeed6965743d9d03ee1a930baacdd72b03d5242fdc /js
parente955b5af377dcb7b3092ba867d11da2253a482dd (diff)
Compile assets
Signed-off-by: npmbuildbot[bot] <npmbuildbot[bot]@users.noreply.github.com>
Diffstat (limited to 'js')
-rw-r--r--js/editor-collab.js6
-rw-r--r--js/editor-collab.js.map2
-rw-r--r--js/editor-guest.js4
-rw-r--r--js/editor-guest.js.map2
-rw-r--r--js/editor-rich.js4
-rw-r--r--js/editor-rich.js.map2
-rw-r--r--js/editor.js14
-rw-r--r--js/editor.js.map2
-rw-r--r--js/files-modal.js4
-rw-r--r--js/files-modal.js.map2
-rw-r--r--js/files.js4
-rw-r--r--js/files.js.map2
-rw-r--r--js/highlight/1c.js4
-rw-r--r--js/highlight/1c.js.map2
-rw-r--r--js/highlight/abnf.js4
-rw-r--r--js/highlight/abnf.js.map2
-rw-r--r--js/highlight/accesslog.js4
-rw-r--r--js/highlight/accesslog.js.map2
-rw-r--r--js/highlight/actionscript.js4
-rw-r--r--js/highlight/actionscript.js.map2
-rw-r--r--js/highlight/ada.js4
-rw-r--r--js/highlight/ada.js.map2
-rw-r--r--js/highlight/angelscript.js4
-rw-r--r--js/highlight/angelscript.js.map2
-rw-r--r--js/highlight/apache.js4
-rw-r--r--js/highlight/apache.js.map2
-rw-r--r--js/highlight/applescript.js4
-rw-r--r--js/highlight/applescript.js.map2
-rw-r--r--js/highlight/arcade.js4
-rw-r--r--js/highlight/arcade.js.map2
-rw-r--r--js/highlight/arduino.js4
-rw-r--r--js/highlight/arduino.js.map2
-rw-r--r--js/highlight/armasm.js4
-rw-r--r--js/highlight/armasm.js.map2
-rw-r--r--js/highlight/asciidoc.js4
-rw-r--r--js/highlight/asciidoc.js.map2
-rw-r--r--js/highlight/aspectj.js4
-rw-r--r--js/highlight/aspectj.js.map2
-rw-r--r--js/highlight/autohotkey.js4
-rw-r--r--js/highlight/autohotkey.js.map2
-rw-r--r--js/highlight/autoit.js4
-rw-r--r--js/highlight/autoit.js.map2
-rw-r--r--js/highlight/avrasm.js4
-rw-r--r--js/highlight/avrasm.js.map2
-rw-r--r--js/highlight/awk.js4
-rw-r--r--js/highlight/awk.js.map2
-rw-r--r--js/highlight/axapta.js4
-rw-r--r--js/highlight/axapta.js.map2
-rw-r--r--js/highlight/bash.js4
-rw-r--r--js/highlight/bash.js.map2
-rw-r--r--js/highlight/basic.js4
-rw-r--r--js/highlight/basic.js.map2
-rw-r--r--js/highlight/bnf.js4
-rw-r--r--js/highlight/bnf.js.map2
-rw-r--r--js/highlight/brainfuck.js4
-rw-r--r--js/highlight/brainfuck.js.map2
-rw-r--r--js/highlight/cal.js4
-rw-r--r--js/highlight/cal.js.map2
-rw-r--r--js/highlight/capnproto.js4
-rw-r--r--js/highlight/capnproto.js.map2
-rw-r--r--js/highlight/ceylon.js4
-rw-r--r--js/highlight/ceylon.js.map2
-rw-r--r--js/highlight/clean.js4
-rw-r--r--js/highlight/clean.js.map2
-rw-r--r--js/highlight/clojure-repl.js4
-rw-r--r--js/highlight/clojure-repl.js.map2
-rw-r--r--js/highlight/clojure.js4
-rw-r--r--js/highlight/clojure.js.map2
-rw-r--r--js/highlight/cmake.js4
-rw-r--r--js/highlight/cmake.js.map2
-rw-r--r--js/highlight/coffeescript.js4
-rw-r--r--js/highlight/coffeescript.js.map2
-rw-r--r--js/highlight/coq.js4
-rw-r--r--js/highlight/coq.js.map2
-rw-r--r--js/highlight/cos.js4
-rw-r--r--js/highlight/cos.js.map2
-rw-r--r--js/highlight/cpp.js4
-rw-r--r--js/highlight/cpp.js.map2
-rw-r--r--js/highlight/crmsh.js4
-rw-r--r--js/highlight/crmsh.js.map2
-rw-r--r--js/highlight/crystal.js4
-rw-r--r--js/highlight/crystal.js.map2
-rw-r--r--js/highlight/cs.js4
-rw-r--r--js/highlight/cs.js.map2
-rw-r--r--js/highlight/csp.js4
-rw-r--r--js/highlight/csp.js.map2
-rw-r--r--js/highlight/css.js4
-rw-r--r--js/highlight/css.js.map2
-rw-r--r--js/highlight/d.js4
-rw-r--r--js/highlight/d.js.map2
-rw-r--r--js/highlight/dart.js4
-rw-r--r--js/highlight/dart.js.map2
-rw-r--r--js/highlight/delphi.js4
-rw-r--r--js/highlight/delphi.js.map2
-rw-r--r--js/highlight/diff.js4
-rw-r--r--js/highlight/diff.js.map2
-rw-r--r--js/highlight/django.js4
-rw-r--r--js/highlight/django.js.map2
-rw-r--r--js/highlight/dns.js4
-rw-r--r--js/highlight/dns.js.map2
-rw-r--r--js/highlight/dockerfile.js4
-rw-r--r--js/highlight/dockerfile.js.map2
-rw-r--r--js/highlight/dos.js4
-rw-r--r--js/highlight/dos.js.map2
-rw-r--r--js/highlight/dsconfig.js4
-rw-r--r--js/highlight/dsconfig.js.map2
-rw-r--r--js/highlight/dts.js4
-rw-r--r--js/highlight/dts.js.map2
-rw-r--r--js/highlight/dust.js4
-rw-r--r--js/highlight/dust.js.map2
-rw-r--r--js/highlight/ebnf.js4
-rw-r--r--js/highlight/ebnf.js.map2
-rw-r--r--js/highlight/elixir.js4
-rw-r--r--js/highlight/elixir.js.map2
-rw-r--r--js/highlight/elm.js4
-rw-r--r--js/highlight/elm.js.map2
-rw-r--r--js/highlight/erb.js4
-rw-r--r--js/highlight/erb.js.map2
-rw-r--r--js/highlight/erlang-repl.js4
-rw-r--r--js/highlight/erlang-repl.js.map2
-rw-r--r--js/highlight/erlang.js4
-rw-r--r--js/highlight/erlang.js.map2
-rw-r--r--js/highlight/excel.js4
-rw-r--r--js/highlight/excel.js.map2
-rw-r--r--js/highlight/fix.js4
-rw-r--r--js/highlight/fix.js.map2
-rw-r--r--js/highlight/flix.js4
-rw-r--r--js/highlight/flix.js.map2
-rw-r--r--js/highlight/fortran.js4
-rw-r--r--js/highlight/fortran.js.map2
-rw-r--r--js/highlight/fsharp.js4
-rw-r--r--js/highlight/fsharp.js.map2
-rw-r--r--js/highlight/gams.js4
-rw-r--r--js/highlight/gams.js.map2
-rw-r--r--js/highlight/gauss.js4
-rw-r--r--js/highlight/gauss.js.map2
-rw-r--r--js/highlight/gcode.js4
-rw-r--r--js/highlight/gcode.js.map2
-rw-r--r--js/highlight/gherkin.js4
-rw-r--r--js/highlight/gherkin.js.map2
-rw-r--r--js/highlight/glsl.js4
-rw-r--r--js/highlight/glsl.js.map2
-rw-r--r--js/highlight/gml.js4
-rw-r--r--js/highlight/gml.js.map2
-rw-r--r--js/highlight/go.js4
-rw-r--r--js/highlight/go.js.map2
-rw-r--r--js/highlight/golo.js4
-rw-r--r--js/highlight/golo.js.map2
-rw-r--r--js/highlight/gradle.js4
-rw-r--r--js/highlight/gradle.js.map2
-rw-r--r--js/highlight/groovy.js4
-rw-r--r--js/highlight/groovy.js.map2
-rw-r--r--js/highlight/haml.js4
-rw-r--r--js/highlight/haml.js.map2
-rw-r--r--js/highlight/handlebars.js4
-rw-r--r--js/highlight/handlebars.js.map2
-rw-r--r--js/highlight/haskell.js4
-rw-r--r--js/highlight/haskell.js.map2
-rw-r--r--js/highlight/haxe.js4
-rw-r--r--js/highlight/haxe.js.map2
-rw-r--r--js/highlight/hsp.js4
-rw-r--r--js/highlight/hsp.js.map2
-rw-r--r--js/highlight/htmlbars.js4
-rw-r--r--js/highlight/htmlbars.js.map2
-rw-r--r--js/highlight/http.js4
-rw-r--r--js/highlight/http.js.map2
-rw-r--r--js/highlight/hy.js4
-rw-r--r--js/highlight/hy.js.map2
-rw-r--r--js/highlight/inform7.js4
-rw-r--r--js/highlight/inform7.js.map2
-rw-r--r--js/highlight/ini.js4
-rw-r--r--js/highlight/ini.js.map2
-rw-r--r--js/highlight/irpf90.js4
-rw-r--r--js/highlight/irpf90.js.map2
-rw-r--r--js/highlight/isbl.js4
-rw-r--r--js/highlight/isbl.js.map2
-rw-r--r--js/highlight/java.js4
-rw-r--r--js/highlight/java.js.map2
-rw-r--r--js/highlight/javascript.js4
-rw-r--r--js/highlight/javascript.js.map2
-rw-r--r--js/highlight/jboss-cli.js4
-rw-r--r--js/highlight/jboss-cli.js.map2
-rw-r--r--js/highlight/json.js4
-rw-r--r--js/highlight/json.js.map2
-rw-r--r--js/highlight/julia-repl.js4
-rw-r--r--js/highlight/julia-repl.js.map2
-rw-r--r--js/highlight/julia.js4
-rw-r--r--js/highlight/julia.js.map2
-rw-r--r--js/highlight/kotlin.js4
-rw-r--r--js/highlight/kotlin.js.map2
-rw-r--r--js/highlight/lasso.js4
-rw-r--r--js/highlight/lasso.js.map2
-rw-r--r--js/highlight/ldif.js4
-rw-r--r--js/highlight/ldif.js.map2
-rw-r--r--js/highlight/leaf.js4
-rw-r--r--js/highlight/leaf.js.map2
-rw-r--r--js/highlight/less.js4
-rw-r--r--js/highlight/less.js.map2
-rw-r--r--js/highlight/lisp.js4
-rw-r--r--js/highlight/lisp.js.map2
-rw-r--r--js/highlight/livecodeserver.js4
-rw-r--r--js/highlight/livecodeserver.js.map2
-rw-r--r--js/highlight/livescript.js4
-rw-r--r--js/highlight/livescript.js.map2
-rw-r--r--js/highlight/llvm.js4
-rw-r--r--js/highlight/llvm.js.map2
-rw-r--r--js/highlight/lsl.js4
-rw-r--r--js/highlight/lsl.js.map2
-rw-r--r--js/highlight/lua.js4
-rw-r--r--js/highlight/lua.js.map2
-rw-r--r--js/highlight/makefile.js4
-rw-r--r--js/highlight/makefile.js.map2
-rw-r--r--js/highlight/markdown.js4
-rw-r--r--js/highlight/markdown.js.map2
-rw-r--r--js/highlight/mathematica.js4
-rw-r--r--js/highlight/mathematica.js.map2
-rw-r--r--js/highlight/matlab.js4
-rw-r--r--js/highlight/matlab.js.map2
-rw-r--r--js/highlight/maxima.js4
-rw-r--r--js/highlight/maxima.js.map2
-rw-r--r--js/highlight/mel.js4
-rw-r--r--js/highlight/mel.js.map2
-rw-r--r--js/highlight/mercury.js4
-rw-r--r--js/highlight/mercury.js.map2
-rw-r--r--js/highlight/mipsasm.js4
-rw-r--r--js/highlight/mipsasm.js.map2
-rw-r--r--js/highlight/mizar.js4
-rw-r--r--js/highlight/mizar.js.map2
-rw-r--r--js/highlight/mojolicious.js4
-rw-r--r--js/highlight/mojolicious.js.map2
-rw-r--r--js/highlight/monkey.js4
-rw-r--r--js/highlight/monkey.js.map2
-rw-r--r--js/highlight/moonscript.js4
-rw-r--r--js/highlight/moonscript.js.map2
-rw-r--r--js/highlight/n1ql.js4
-rw-r--r--js/highlight/n1ql.js.map2
-rw-r--r--js/highlight/nginx.js4
-rw-r--r--js/highlight/nginx.js.map2
-rw-r--r--js/highlight/nimrod.js4
-rw-r--r--js/highlight/nimrod.js.map2
-rw-r--r--js/highlight/nix.js4
-rw-r--r--js/highlight/nix.js.map2
-rw-r--r--js/highlight/nsis.js4
-rw-r--r--js/highlight/nsis.js.map2
-rw-r--r--js/highlight/objectivec.js4
-rw-r--r--js/highlight/objectivec.js.map2
-rw-r--r--js/highlight/ocaml.js4
-rw-r--r--js/highlight/ocaml.js.map2
-rw-r--r--js/highlight/openscad.js4
-rw-r--r--js/highlight/openscad.js.map2
-rw-r--r--js/highlight/oxygene.js4
-rw-r--r--js/highlight/oxygene.js.map2
-rw-r--r--js/highlight/parser3.js4
-rw-r--r--js/highlight/parser3.js.map2
-rw-r--r--js/highlight/perl.js4
-rw-r--r--js/highlight/perl.js.map2
-rw-r--r--js/highlight/pf.js4
-rw-r--r--js/highlight/pf.js.map2
-rw-r--r--js/highlight/pgsql.js4
-rw-r--r--js/highlight/pgsql.js.map2
-rw-r--r--js/highlight/php.js4
-rw-r--r--js/highlight/php.js.map2
-rw-r--r--js/highlight/plaintext.js4
-rw-r--r--js/highlight/plaintext.js.map2
-rw-r--r--js/highlight/pony.js4
-rw-r--r--js/highlight/pony.js.map2
-rw-r--r--js/highlight/powershell.js4
-rw-r--r--js/highlight/powershell.js.map2
-rw-r--r--js/highlight/processing.js4
-rw-r--r--js/highlight/processing.js.map2
-rw-r--r--js/highlight/profile.js4
-rw-r--r--js/highlight/profile.js.map2
-rw-r--r--js/highlight/prolog.js4
-rw-r--r--js/highlight/prolog.js.map2
-rw-r--r--js/highlight/properties.js4
-rw-r--r--js/highlight/properties.js.map2
-rw-r--r--js/highlight/protobuf.js4
-rw-r--r--js/highlight/protobuf.js.map2
-rw-r--r--js/highlight/puppet.js4
-rw-r--r--js/highlight/puppet.js.map2
-rw-r--r--js/highlight/purebasic.js4
-rw-r--r--js/highlight/purebasic.js.map2
-rw-r--r--js/highlight/python.js4
-rw-r--r--js/highlight/python.js.map2
-rw-r--r--js/highlight/q.js4
-rw-r--r--js/highlight/q.js.map2
-rw-r--r--js/highlight/qml.js4
-rw-r--r--js/highlight/qml.js.map2
-rw-r--r--js/highlight/r.js4
-rw-r--r--js/highlight/r.js.map2
-rw-r--r--js/highlight/reasonml.js4
-rw-r--r--js/highlight/reasonml.js.map2
-rw-r--r--js/highlight/rib.js4
-rw-r--r--js/highlight/rib.js.map2
-rw-r--r--js/highlight/roboconf.js4
-rw-r--r--js/highlight/roboconf.js.map2
-rw-r--r--js/highlight/routeros.js4
-rw-r--r--js/highlight/routeros.js.map2
-rw-r--r--js/highlight/rsl.js4
-rw-r--r--js/highlight/rsl.js.map2
-rw-r--r--js/highlight/ruby.js4
-rw-r--r--js/highlight/ruby.js.map2
-rw-r--r--js/highlight/ruleslanguage.js4
-rw-r--r--js/highlight/ruleslanguage.js.map2
-rw-r--r--js/highlight/rust.js4
-rw-r--r--js/highlight/rust.js.map2
-rw-r--r--js/highlight/sas.js4
-rw-r--r--js/highlight/sas.js.map2
-rw-r--r--js/highlight/scala.js4
-rw-r--r--js/highlight/scala.js.map2
-rw-r--r--js/highlight/scheme.js4
-rw-r--r--js/highlight/scheme.js.map2
-rw-r--r--js/highlight/scilab.js4
-rw-r--r--js/highlight/scilab.js.map2
-rw-r--r--js/highlight/scss.js4
-rw-r--r--js/highlight/scss.js.map2
-rw-r--r--js/highlight/shell.js4
-rw-r--r--js/highlight/shell.js.map2
-rw-r--r--js/highlight/smali.js4
-rw-r--r--js/highlight/smali.js.map2
-rw-r--r--js/highlight/smalltalk.js4
-rw-r--r--js/highlight/smalltalk.js.map2
-rw-r--r--js/highlight/sml.js4
-rw-r--r--js/highlight/sml.js.map2
-rw-r--r--js/highlight/sqf.js4
-rw-r--r--js/highlight/sqf.js.map2
-rw-r--r--js/highlight/sql.js4
-rw-r--r--js/highlight/sql.js.map2
-rw-r--r--js/highlight/stan.js4
-rw-r--r--js/highlight/stan.js.map2
-rw-r--r--js/highlight/stata.js4
-rw-r--r--js/highlight/stata.js.map2
-rw-r--r--js/highlight/step21.js4
-rw-r--r--js/highlight/step21.js.map2
-rw-r--r--js/highlight/stylus.js4
-rw-r--r--js/highlight/stylus.js.map2
-rw-r--r--js/highlight/subunit.js4
-rw-r--r--js/highlight/subunit.js.map2
-rw-r--r--js/highlight/swift.js4
-rw-r--r--js/highlight/swift.js.map2
-rw-r--r--js/highlight/taggerscript.js4
-rw-r--r--js/highlight/taggerscript.js.map2
-rw-r--r--js/highlight/tap.js4
-rw-r--r--js/highlight/tap.js.map2
-rw-r--r--js/highlight/tcl.js4
-rw-r--r--js/highlight/tcl.js.map2
-rw-r--r--js/highlight/tex.js4
-rw-r--r--js/highlight/tex.js.map2
-rw-r--r--js/highlight/thrift.js4
-rw-r--r--js/highlight/thrift.js.map2
-rw-r--r--js/highlight/tp.js4
-rw-r--r--js/highlight/tp.js.map2
-rw-r--r--js/highlight/twig.js4
-rw-r--r--js/highlight/twig.js.map2
-rw-r--r--js/highlight/typescript.js4
-rw-r--r--js/highlight/typescript.js.map2
-rw-r--r--js/highlight/vala.js4
-rw-r--r--js/highlight/vala.js.map2
-rw-r--r--js/highlight/vbnet.js4
-rw-r--r--js/highlight/vbnet.js.map2
-rw-r--r--js/highlight/vbscript-html.js4
-rw-r--r--js/highlight/vbscript-html.js.map2
-rw-r--r--js/highlight/vbscript.js4
-rw-r--r--js/highlight/vbscript.js.map2
-rw-r--r--js/highlight/verilog.js4
-rw-r--r--js/highlight/verilog.js.map2
-rw-r--r--js/highlight/vhdl.js4
-rw-r--r--js/highlight/vhdl.js.map2
-rw-r--r--js/highlight/vim.js4
-rw-r--r--js/highlight/vim.js.map2
-rw-r--r--js/highlight/x86asm.js4
-rw-r--r--js/highlight/x86asm.js.map2
-rw-r--r--js/highlight/xl.js4
-rw-r--r--js/highlight/xl.js.map2
-rw-r--r--js/highlight/xml.js4
-rw-r--r--js/highlight/xml.js.map2
-rw-r--r--js/highlight/xquery.js4
-rw-r--r--js/highlight/xquery.js.map2
-rw-r--r--js/highlight/yaml.js4
-rw-r--r--js/highlight/yaml.js.map2
-rw-r--r--js/highlight/zephir.js4
-rw-r--r--js/highlight/zephir.js.map2
-rw-r--r--js/public.js4
-rw-r--r--js/public.js.map2
-rw-r--r--js/text.js2
-rw-r--r--js/text.js.map2
-rw-r--r--js/vendor.js2
-rw-r--r--js/vendor.js.map2
-rw-r--r--js/vendors~editor-collab~editor-guest.js14
-rw-r--r--js/vendors~editor-collab~editor-guest.js.map2
-rw-r--r--js/vendors~editor-collab~editor-guest~editor-rich~files-modal.js4
-rw-r--r--js/vendors~editor-collab~editor-guest~editor-rich~files-modal.js.map2
-rw-r--r--js/vendors~editor-rich.js14
-rw-r--r--js/vendors~editor-rich.js.map2
-rw-r--r--js/vendors~editor.js26
-rw-r--r--js/vendors~editor.js.map2
-rw-r--r--js/vendors~editor~files-modal.js4
-rw-r--r--js/vendors~editor~files-modal.js.map2
-rw-r--r--js/vendors~files-modal.js40
-rw-r--r--js/vendors~files-modal.js.map2
-rw-r--r--js/viewer.js4
-rw-r--r--js/viewer.js.map2
402 files changed, 646 insertions, 646 deletions
diff --git a/js/editor-collab.js b/js/editor-collab.js
index 3bd15f5cf..5fe02f701 100644
--- a/js/editor-collab.js
+++ b/js/editor-collab.js
@@ -1,4 +1,4 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[190],{214:function(t,e,n){window,t.exports=function(t){var e={};function n(a){if(e[a])return e[a].exports;var i=e[a]={i:a,l:!1,exports:{}};return t[a].call(i.exports,i,i.exports,n),i.l=!0,i.exports}return n.m=t,n.c=e,n.d=function(t,e,a){n.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:a})},n.r=function(t){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(t,"__esModule",{value:!0})},n.t=function(t,e){if(1&e&&(t=n(t)),8&e)return t;if(4&e&&"object"==typeof t&&t&&t.__esModule)return t;var a=Object.create(null);if(n.r(a),Object.defineProperty(a,"default",{enumerable:!0,value:t}),2&e&&"string"!=typeof t)for(var i in t)n.d(a,i,function(e){return t[e]}.bind(null,i));return a},n.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return n.d(e,"a",e),e},n.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},n.p="/dist/",n(n.s=53)}({0:function(t,e,n){"use strict";function a(t,e,n,a,i,o,s,r){var l,c="function"==typeof t?t.options:t;if(e&&(c.render=e,c.staticRenderFns=n,c._compiled=!0),a&&(c.functional=!0),o&&(c._scopeId="data-v-"+o),s?(l=function(t){(t=t||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(t=__VUE_SSR_CONTEXT__),i&&i.call(this,t),t&&t._registeredComponents&&t._registeredComponents.add(s)},c._ssrRegister=l):i&&(l=r?function(){i.call(this,this.$root.$options.shadowRoot)}:i),l)if(c.functional){c._injectStyles=l;var u=c.render;c.render=function(t,e){return l.call(e),u(t,e)}}else{var d=c.beforeCreate;c.beforeCreate=d?[].concat(d,l):[l]}return{exports:t,options:c}}n.d(e,"a",(function(){return a}))},1:function(t,e,n){"use strict";t.exports=function(t){var e=[];return e.toString=function(){return this.map((function(e){var n=function(t,e){var n,a,i,o=t[1]||"",s=t[3];if(!s)return o;if(e&&"function"==typeof btoa){var r=(n=s,a=btoa(unescape(encodeURIComponent(JSON.stringify(n)))),i="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(a),"/*# ".concat(i," */")),l=s.sources.map((function(t){return"/*# sourceURL=".concat(s.sourceRoot||"").concat(t," */")}));return[o].concat(l).concat([r]).join("\n")}return[o].join("\n")}(e,t);return e[2]?"@media ".concat(e[2]," {").concat(n,"}"):n})).join("")},e.i=function(t,n,a){"string"==typeof t&&(t=[[null,t,""]]);var i={};if(a)for(var o=0;o<this.length;o++){var s=this[o][0];null!=s&&(i[s]=!0)}for(var r=0;r<t.length;r++){var l=[].concat(t[r]);a&&i[l[0]]||(n&&(l[2]?l[2]="".concat(n," and ").concat(l[2]):l[2]=n),e.push(l))}},e}},14:function(t,e){t.exports=n(103)},19:function(t,e){t.exports=n(52)},2:function(t,e,n){"use strict";function a(t,e){for(var n=[],a={},i=0;i<e.length;i++){var o=e[i],s=o[0],r={id:t+":"+i,css:o[1],media:o[2],sourceMap:o[3]};a[s]?a[s].parts.push(r):n.push(a[s]={id:s,parts:[r]})}return n}n.r(e),n.d(e,"default",(function(){return m}));var i="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!i)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var o={},s=i&&(document.head||document.getElementsByTagName("head")[0]),r=null,l=0,c=!1,u=function(){},d=null,p="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function m(t,e,n,i){c=n,d=i||{};var s=a(t,e);return v(s),function(e){for(var n=[],i=0;i<s.length;i++){var r=s[i];(l=o[r.id]).refs--,n.push(l)}for(e?v(s=a(t,e)):s=[],i=0;i<n.length;i++){var l;if(0===(l=n[i]).refs){for(var c=0;c<l.parts.length;c++)l.parts[c]();delete o[l.id]}}}}function v(t){for(var e=0;e<t.length;e++){var n=t[e],a=o[n.id];if(a){a.refs++;for(var i=0;i<a.parts.length;i++)a.parts[i](n.parts[i]);for(;i<n.parts.length;i++)a.parts.push(b(n.parts[i]));a.parts.length>n.parts.length&&(a.parts.length=n.parts.length)}else{var s=[];for(i=0;i<n.parts.length;i++)s.push(b(n.parts[i]));o[n.id]={id:n.id,refs:1,parts:s}}}}function f(){var t=document.createElement("style");return t.type="text/css",s.appendChild(t),t}function b(t){var e,n,a=document.querySelector('style[data-vue-ssr-id~="'+t.id+'"]');if(a){if(c)return u;a.parentNode.removeChild(a)}if(p){var i=l++;a=r||(r=f()),e=y.bind(null,a,i,!1),n=y.bind(null,a,i,!0)}else a=f(),e=g.bind(null,a),n=function(){a.parentNode.removeChild(a)};return e(t),function(a){if(a){if(a.css===t.css&&a.media===t.media&&a.sourceMap===t.sourceMap)return;e(t=a)}else n()}}var h,x=(h=[],function(t,e){return h[t]=e,h.filter(Boolean).join("\n")});function y(t,e,n,a){var i=n?"":a.css;if(t.styleSheet)t.styleSheet.cssText=x(e,i);else{var o=document.createTextNode(i),s=t.childNodes;s[e]&&t.removeChild(s[e]),s.length?t.insertBefore(o,s[e]):t.appendChild(o)}}function g(t,e){var n=e.css,a=e.media,i=e.sourceMap;if(a&&t.setAttribute("media",a),d.ssrId&&t.setAttribute("data-vue-ssr-id",e.id),i&&(n+="\n/*# sourceURL="+i.sources[0]+" */",n+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+" */"),t.styleSheet)t.styleSheet.cssText=n;else{for(;t.firstChild;)t.removeChild(t.firstChild);t.appendChild(document.createTextNode(n))}}},20:function(t,e){t.exports=n(100)},21:function(t,e){t.exports=n(102)},23:function(t,e){t.exports=n(187)},26:function(t,e){t.exports=n(99)},34:function(t,e,n){var a=n(82);"string"==typeof a&&(a=[[t.i,a,""]]),a.locals&&(t.exports=a.locals),(0,n(2).default)("1f2ec49f",a,!0,{})},35:function(t,e,n){var a=n(84);"string"==typeof a&&(a=[[t.i,a,""]]),a.locals&&(t.exports=a.locals),(0,n(2).default)("9c561116",a,!0,{})},36:function(t,e,n){var a=n(86);"string"==typeof a&&(a=[[t.i,a,""]]),a.locals&&(t.exports=a.locals),(0,n(2).default)("93093140",a,!0,{})},44:function(t,e){},5:function(t,e){t.exports=n(51)},53:function(t,e,n){"use strict";n.r(e),n(14),n(19),n(5),n(26),n(20),n(21),n(23);var a={name:"PopoverMenuItem",props:{item:{type:Object,required:!0,default:function(){return{key:"nextcloud-link",href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}},validator:function(t){return!t.input||-1!==["text","checkbox"].indexOf(t.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(t){return!1}}},methods:{action:function(t){this.item.action&&this.item.action(t)}}},i=(n(81),n(83),n(0)),o={name:"PopoverMenu",components:{PopoverMenuItem:Object(i.a)(a,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n("li",[t.item.href?n("a",{staticClass:"focusable",attrs:{href:t.item.href?t.item.href:"#",target:t.item.target?t.item.target:"",download:t.item.download,rel:"noreferrer noopener"},on:{click:t.action}},[t.iconIsUrl?n("img",{attrs:{src:t.item.icon}}):n("span",{class:t.item.icon}),t._v(" "),t.item.text&&t.item.longtext?n("p",[n("strong",{staticClass:"menuitem-text"},[t._v("\n\t\t\t\t"+t._s(t.item.text)+"\n\t\t\t")]),n("br"),t._v(" "),n("span",{staticClass:"menuitem-text-detail"},[t._v("\n\t\t\t\t"+t._s(t.item.longtext)+"\n\t\t\t")])]):t.item.text?n("span",[t._v("\n\t\t\t"+t._s(t.item.text)+"\n\t\t")]):t.item.longtext?n("p",[t._v("\n\t\t\t"+t._s(t.item.longtext)+"\n\t\t")]):t._e()]):t.item.input?n("span",{staticClass:"menuitem",class:{active:t.item.active}},["checkbox"!==t.item.input?n("span",{class:t.item.icon}):t._e(),t._v(" "),"text"===t.item.input?n("form",{class:t.item.input,on:{submit:function(e){return e.preventDefault(),t.item.action(e)}}},[n("input",{attrs:{type:t.item.input,placeholder:t.item.text,required:""},domProps:{value:t.item.value}}),t._v(" "),n("input",{staticClass:"icon-confirm",attrs:{type:"submit",value:""}})]):["checkbox"===t.item.input?n("input",{directives:[{name:"model",rawName:"v-model",value:t.item.model,expression:"item.model"}],class:t.item.input,attrs:{id:t.key,type:"checkbox"},domProps:{checked:Array.isArray(t.item.model)?t._i(t.item.model,null)>-1:t.item.model},on:{change:[function(e){var n=t.item.model,a=e.target,i=!!a.checked;if(Array.isArray(n)){var o=t._i(n,null);a.checked?o<0&&t.$set(t.item,"model",n.concat([null])):o>-1&&t.$set(t.item,"model",n.slice(0,o).concat(n.slice(o+1)))}else t.$set(t.item,"model",i)},t.item.action]}}):"radio"===t.item.input?n("input",{directives:[{name:"model",rawName:"v-model",value:t.item.model,expression:"item.model"}],class:t.item.input,attrs:{id:t.key,type:"radio"},domProps:{checked:t._q(t.item.model,null)},on:{change:[function(e){return t.$set(t.item,"model",null)},t.item.action]}}):n("input",{directives:[{name:"model",rawName:"v-model",value:t.item.model,expression:"item.model"}],class:t.item.input,attrs:{id:t.key,type:t.item.input},domProps:{value:t.item.model},on:{change:t.item.action,input:function(e){e.target.composing||t.$set(t.item,"model",e.target.value)}}}),t._v(" "),n("label",{attrs:{for:t.key},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[t._v("\n\t\t\t\t"+t._s(t.item.text)+"\n\t\t\t")])]],2):t.item.action?n("button",{staticClass:"menuitem focusable",class:{active:t.item.active},attrs:{disabled:t.item.disabled},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[n("span",{class:t.item.icon}),t._v(" "),t.item.text&&t.item.longtext?n("p",[n("strong",{staticClass:"menuitem-text"},[t._v("\n\t\t\t\t"+t._s(t.item.text)+"\n\t\t\t")]),n("br"),t._v(" "),n("span",{staticClass:"menuitem-text-detail"},[t._v("\n\t\t\t\t"+t._s(t.item.longtext)+"\n\t\t\t")])]):t.item.text?n("span",[t._v("\n\t\t\t"+t._s(t.item.text)+"\n\t\t")]):t.item.longtext?n("p",[t._v("\n\t\t\t"+t._s(t.item.longtext)+"\n\t\t")]):t._e()]):n("span",{staticClass:"menuitem",class:{active:t.item.active}},[n("span",{class:t.item.icon}),t._v(" "),t.item.text&&t.item.longtext?n("p",[n("strong",{staticClass:"menuitem-text"},[t._v("\n\t\t\t\t"+t._s(t.item.text)+"\n\t\t\t")]),n("br"),t._v(" "),n("span",{staticClass:"menuitem-text-detail"},[t._v("\n\t\t\t\t"+t._s(t.item.longtext)+"\n\t\t\t")])]):t.item.text?n("span",[t._v("\n\t\t\t"+t._s(t.item.text)+"\n\t\t")]):t.item.longtext?n("p",[t._v("\n\t\t\t"+t._s(t.item.longtext)+"\n\t\t")]):t._e()])])}),[],!1,null,"75ab886e",null).exports},props:{menu:{type:Array,default:function(){return[{href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}]},required:!0}}},s=(n(85),n(44)),r=n.n(s),l=Object(i.a)(o,(function(){var t=this.$createElement,e=this._self._c||t;return e("ul",this._l(this.menu,(function(t,n){return e("PopoverMenuItem",{key:n,attrs:{item:t}})})),1)}),[],!1,null,"81c2afc0",null);"function"==typeof r.a&&r()(l);var c=l.exports;
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[190],{214:function(t,e,n){window,t.exports=function(t){var e={};function n(a){if(e[a])return e[a].exports;var i=e[a]={i:a,l:!1,exports:{}};return t[a].call(i.exports,i,i.exports,n),i.l=!0,i.exports}return n.m=t,n.c=e,n.d=function(t,e,a){n.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:a})},n.r=function(t){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(t,"__esModule",{value:!0})},n.t=function(t,e){if(1&e&&(t=n(t)),8&e)return t;if(4&e&&"object"==typeof t&&t&&t.__esModule)return t;var a=Object.create(null);if(n.r(a),Object.defineProperty(a,"default",{enumerable:!0,value:t}),2&e&&"string"!=typeof t)for(var i in t)n.d(a,i,function(e){return t[e]}.bind(null,i));return a},n.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return n.d(e,"a",e),e},n.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},n.p="/dist/",n(n.s=53)}({0:function(t,e,n){"use strict";function a(t,e,n,a,i,o,s,r){var l,c="function"==typeof t?t.options:t;if(e&&(c.render=e,c.staticRenderFns=n,c._compiled=!0),a&&(c.functional=!0),o&&(c._scopeId="data-v-"+o),s?(l=function(t){(t=t||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(t=__VUE_SSR_CONTEXT__),i&&i.call(this,t),t&&t._registeredComponents&&t._registeredComponents.add(s)},c._ssrRegister=l):i&&(l=r?function(){i.call(this,(c.functional?this.parent:this).$root.$options.shadowRoot)}:i),l)if(c.functional){c._injectStyles=l;var u=c.render;c.render=function(t,e){return l.call(e),u(t,e)}}else{var d=c.beforeCreate;c.beforeCreate=d?[].concat(d,l):[l]}return{exports:t,options:c}}n.d(e,"a",(function(){return a}))},1:function(t,e,n){"use strict";t.exports=function(t){var e=[];return e.toString=function(){return this.map((function(e){var n=function(t,e){var n,a,i,o=t[1]||"",s=t[3];if(!s)return o;if(e&&"function"==typeof btoa){var r=(n=s,a=btoa(unescape(encodeURIComponent(JSON.stringify(n)))),i="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(a),"/*# ".concat(i," */")),l=s.sources.map((function(t){return"/*# sourceURL=".concat(s.sourceRoot||"").concat(t," */")}));return[o].concat(l).concat([r]).join("\n")}return[o].join("\n")}(e,t);return e[2]?"@media ".concat(e[2]," {").concat(n,"}"):n})).join("")},e.i=function(t,n,a){"string"==typeof t&&(t=[[null,t,""]]);var i={};if(a)for(var o=0;o<this.length;o++){var s=this[o][0];null!=s&&(i[s]=!0)}for(var r=0;r<t.length;r++){var l=[].concat(t[r]);a&&i[l[0]]||(n&&(l[2]?l[2]="".concat(n," and ").concat(l[2]):l[2]=n),e.push(l))}},e}},15:function(t,e){t.exports=n(103)},19:function(t,e){t.exports=n(52)},2:function(t,e,n){"use strict";function a(t,e){for(var n=[],a={},i=0;i<e.length;i++){var o=e[i],s=o[0],r={id:t+":"+i,css:o[1],media:o[2],sourceMap:o[3]};a[s]?a[s].parts.push(r):n.push(a[s]={id:s,parts:[r]})}return n}n.r(e),n.d(e,"default",(function(){return m}));var i="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!i)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var o={},s=i&&(document.head||document.getElementsByTagName("head")[0]),r=null,l=0,c=!1,u=function(){},d=null,p="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function m(t,e,n,i){c=n,d=i||{};var s=a(t,e);return v(s),function(e){for(var n=[],i=0;i<s.length;i++){var r=s[i];(l=o[r.id]).refs--,n.push(l)}for(e?v(s=a(t,e)):s=[],i=0;i<n.length;i++){var l;if(0===(l=n[i]).refs){for(var c=0;c<l.parts.length;c++)l.parts[c]();delete o[l.id]}}}}function v(t){for(var e=0;e<t.length;e++){var n=t[e],a=o[n.id];if(a){a.refs++;for(var i=0;i<a.parts.length;i++)a.parts[i](n.parts[i]);for(;i<n.parts.length;i++)a.parts.push(b(n.parts[i]));a.parts.length>n.parts.length&&(a.parts.length=n.parts.length)}else{var s=[];for(i=0;i<n.parts.length;i++)s.push(b(n.parts[i]));o[n.id]={id:n.id,refs:1,parts:s}}}}function f(){var t=document.createElement("style");return t.type="text/css",s.appendChild(t),t}function b(t){var e,n,a=document.querySelector('style[data-vue-ssr-id~="'+t.id+'"]');if(a){if(c)return u;a.parentNode.removeChild(a)}if(p){var i=l++;a=r||(r=f()),e=y.bind(null,a,i,!1),n=y.bind(null,a,i,!0)}else a=f(),e=g.bind(null,a),n=function(){a.parentNode.removeChild(a)};return e(t),function(a){if(a){if(a.css===t.css&&a.media===t.media&&a.sourceMap===t.sourceMap)return;e(t=a)}else n()}}var h,x=(h=[],function(t,e){return h[t]=e,h.filter(Boolean).join("\n")});function y(t,e,n,a){var i=n?"":a.css;if(t.styleSheet)t.styleSheet.cssText=x(e,i);else{var o=document.createTextNode(i),s=t.childNodes;s[e]&&t.removeChild(s[e]),s.length?t.insertBefore(o,s[e]):t.appendChild(o)}}function g(t,e){var n=e.css,a=e.media,i=e.sourceMap;if(a&&t.setAttribute("media",a),d.ssrId&&t.setAttribute("data-vue-ssr-id",e.id),i&&(n+="\n/*# sourceURL="+i.sources[0]+" */",n+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+" */"),t.styleSheet)t.styleSheet.cssText=n;else{for(;t.firstChild;)t.removeChild(t.firstChild);t.appendChild(document.createTextNode(n))}}},20:function(t,e){t.exports=n(100)},21:function(t,e){t.exports=n(102)},27:function(t,e){t.exports=n(187)},28:function(t,e){t.exports=n(99)},33:function(t,e,n){var a=n(85);"string"==typeof a&&(a=[[t.i,a,""]]),a.locals&&(t.exports=a.locals),(0,n(2).default)("1f2ec49f",a,!0,{})},34:function(t,e,n){var a=n(87);"string"==typeof a&&(a=[[t.i,a,""]]),a.locals&&(t.exports=a.locals),(0,n(2).default)("9c561116",a,!0,{})},35:function(t,e,n){var a=n(89);"string"==typeof a&&(a=[[t.i,a,""]]),a.locals&&(t.exports=a.locals),(0,n(2).default)("93093140",a,!0,{})},41:function(t,e){},5:function(t,e){t.exports=n(51)},53:function(t,e,n){"use strict";n.r(e),n(15),n(19),n(5),n(28),n(20),n(21),n(27);var a={name:"PopoverMenuItem",props:{item:{type:Object,required:!0,default:function(){return{key:"nextcloud-link",href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}},validator:function(t){return!t.input||-1!==["text","checkbox"].indexOf(t.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(t){return!1}}},methods:{action:function(t){this.item.action&&this.item.action(t)}}},i=(n(84),n(86),n(0)),o={name:"PopoverMenu",components:{PopoverMenuItem:Object(i.a)(a,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n("li",[t.item.href?n("a",{staticClass:"focusable",attrs:{href:t.item.href?t.item.href:"#",target:t.item.target?t.item.target:"",download:t.item.download,rel:"noreferrer noopener"},on:{click:t.action}},[t.iconIsUrl?n("img",{attrs:{src:t.item.icon}}):n("span",{class:t.item.icon}),t._v(" "),t.item.text&&t.item.longtext?n("p",[n("strong",{staticClass:"menuitem-text"},[t._v("\n\t\t\t\t"+t._s(t.item.text)+"\n\t\t\t")]),n("br"),t._v(" "),n("span",{staticClass:"menuitem-text-detail"},[t._v("\n\t\t\t\t"+t._s(t.item.longtext)+"\n\t\t\t")])]):t.item.text?n("span",[t._v("\n\t\t\t"+t._s(t.item.text)+"\n\t\t")]):t.item.longtext?n("p",[t._v("\n\t\t\t"+t._s(t.item.longtext)+"\n\t\t")]):t._e()]):t.item.input?n("span",{staticClass:"menuitem",class:{active:t.item.active}},["checkbox"!==t.item.input?n("span",{class:t.item.icon}):t._e(),t._v(" "),"text"===t.item.input?n("form",{class:t.item.input,on:{submit:function(e){return e.preventDefault(),t.item.action(e)}}},[n("input",{attrs:{type:t.item.input,placeholder:t.item.text,required:""},domProps:{value:t.item.value}}),t._v(" "),n("input",{staticClass:"icon-confirm",attrs:{type:"submit",value:""}})]):["checkbox"===t.item.input?n("input",{directives:[{name:"model",rawName:"v-model",value:t.item.model,expression:"item.model"}],class:t.item.input,attrs:{id:t.key,type:"checkbox"},domProps:{checked:Array.isArray(t.item.model)?t._i(t.item.model,null)>-1:t.item.model},on:{change:[function(e){var n=t.item.model,a=e.target,i=!!a.checked;if(Array.isArray(n)){var o=t._i(n,null);a.checked?o<0&&t.$set(t.item,"model",n.concat([null])):o>-1&&t.$set(t.item,"model",n.slice(0,o).concat(n.slice(o+1)))}else t.$set(t.item,"model",i)},t.item.action]}}):"radio"===t.item.input?n("input",{directives:[{name:"model",rawName:"v-model",value:t.item.model,expression:"item.model"}],class:t.item.input,attrs:{id:t.key,type:"radio"},domProps:{checked:t._q(t.item.model,null)},on:{change:[function(e){return t.$set(t.item,"model",null)},t.item.action]}}):n("input",{directives:[{name:"model",rawName:"v-model",value:t.item.model,expression:"item.model"}],class:t.item.input,attrs:{id:t.key,type:t.item.input},domProps:{value:t.item.model},on:{change:t.item.action,input:function(e){e.target.composing||t.$set(t.item,"model",e.target.value)}}}),t._v(" "),n("label",{attrs:{for:t.key},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[t._v("\n\t\t\t\t"+t._s(t.item.text)+"\n\t\t\t")])]],2):t.item.action?n("button",{staticClass:"menuitem focusable",class:{active:t.item.active},attrs:{disabled:t.item.disabled},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[n("span",{class:t.item.icon}),t._v(" "),t.item.text&&t.item.longtext?n("p",[n("strong",{staticClass:"menuitem-text"},[t._v("\n\t\t\t\t"+t._s(t.item.text)+"\n\t\t\t")]),n("br"),t._v(" "),n("span",{staticClass:"menuitem-text-detail"},[t._v("\n\t\t\t\t"+t._s(t.item.longtext)+"\n\t\t\t")])]):t.item.text?n("span",[t._v("\n\t\t\t"+t._s(t.item.text)+"\n\t\t")]):t.item.longtext?n("p",[t._v("\n\t\t\t"+t._s(t.item.longtext)+"\n\t\t")]):t._e()]):n("span",{staticClass:"menuitem",class:{active:t.item.active}},[n("span",{class:t.item.icon}),t._v(" "),t.item.text&&t.item.longtext?n("p",[n("strong",{staticClass:"menuitem-text"},[t._v("\n\t\t\t\t"+t._s(t.item.text)+"\n\t\t\t")]),n("br"),t._v(" "),n("span",{staticClass:"menuitem-text-detail"},[t._v("\n\t\t\t\t"+t._s(t.item.longtext)+"\n\t\t\t")])]):t.item.text?n("span",[t._v("\n\t\t\t"+t._s(t.item.text)+"\n\t\t")]):t.item.longtext?n("p",[t._v("\n\t\t\t"+t._s(t.item.longtext)+"\n\t\t")]):t._e()])])}),[],!1,null,"75ab886e",null).exports},props:{menu:{type:Array,default:function(){return[{href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}]},required:!0}}},s=(n(88),n(41)),r=n.n(s),l=Object(i.a)(o,(function(){var t=this.$createElement,e=this._self._c||t;return e("ul",this._l(this.menu,(function(t,n){return e("PopoverMenuItem",{key:n,attrs:{item:t}})})),1)}),[],!1,null,"81c2afc0",null);"function"==typeof r.a&&r()(l);var c=l.exports;
/**
* @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -19,5 +19,5 @@
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */e.default=c},81:function(t,e,n){"use strict";var a=n(34);n.n(a).a},82:function(t,e,n){(e=n(1)(!1)).push([t.i,"\nbutton.menuitem[data-v-75ab886e] {\n\ttext-align: left;\n}\nbutton.menuitem *[data-v-75ab886e] {\n\tcursor: pointer;\n}\nbutton.menuitem[data-v-75ab886e]:disabled {\n\topacity: 0.5 !important;\n\tcursor: default;\n}\nbutton.menuitem:disabled *[data-v-75ab886e] {\n\tcursor: default;\n}\n.menuitem.active[data-v-75ab886e] {\n\tbox-shadow: inset 2px 0 var(--color-primary);\n\tborder-radius: 0;\n}\n",""]),t.exports=e},83:function(t,e,n){"use strict";var a=n(35);n.n(a).a},84:function(t,e,n){(e=n(1)(!1)).push([t.i,"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\n",""]),t.exports=e},85:function(t,e,n){"use strict";var a=n(36);n.n(a).a},86:function(t,e,n){(e=n(1)(!1)).push([t.i,"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\n",""]),t.exports=e}})},221:function(t,e,n){var a=n(667);"string"==typeof a&&(a=[[t.i,a,""]]),a.locals&&(t.exports=a.locals);(0,n(50).default)("cc1235e6",a,!0,{})},666:function(t,e,n){"use strict";var a=n(221);n.n(a).a},667:function(t,e,n){(e=n(49)(!1)).push([t.i,".session-list[data-v-25a487e1]{position:relative}.session-list[data-v-25a487e1] .popovermenu{margin-right:-4px}.session-list[data-v-25a487e1] .popovermenu img{padding:0;width:32px !important;height:32px !important;margin:6px;border-radius:50%;filter:none !important}.avatar-list[data-v-25a487e1]{display:inline-flex;flex-direction:row-reverse}.avatar-list .avatardiv[data-v-25a487e1],.avatar-list[data-v-25a487e1] .avatardiv{width:36px;height:36px;margin-right:-8px;border:2px solid var(--color-main-background);box-sizing:content-box !important}.avatar-list .avatardiv.icon-more[data-v-25a487e1],.avatar-list[data-v-25a487e1] .avatardiv.icon-more{width:32px;height:32px;opacity:.5;cursor:pointer}.popovermenu[data-v-25a487e1]{display:block}\n",""]),t.exports=e},674:function(t,e,a){"use strict";a.r(e);var i=a(363),o=a.n(i),s=a(214),r=a.n(s),l=a(186),c=a.n(l),u=a(11);function d(t){return function(t){if(Array.isArray(t))return p(t)}(t)||function(t){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(t))return Array.from(t)}(t)||function(t,e){if(!t)return;if("string"==typeof t)return p(t,e);var n=Object.prototype.toString.call(t).slice(8,-1);"Object"===n&&t.constructor&&(n=t.constructor.name);if("Map"===n||"Set"===n)return Array.from(t);if("Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n))return p(t,e)}(t)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function p(t,e){(null==e||e>t.length)&&(e=t.length);for(var n=0,a=new Array(e);n<e;n++)a[n]=t[n];return a}var m={name:"SessionList",components:{Avatar:o.a,PopoverMenu:r.a},directives:{tooltip:c.a},props:{sessions:{type:Object,default:function(){return{}}}},data:function(){return{popoverVisible:"",myName:""}},computed:{editorsTooltip:function(){if(this.sessionsPopover.length>0){var t=this.activeSessions.slice(0,3).map((function(t){return t.guestName?t.guestName:t.displayName})).join(", "),e=this.activeSessions.slice(3).length;return t+" "+n("text","and %n other editor","and %n other editors",e)}return this.activeSessions.slice(0,3).map((function(t){return t.guestName?t.guestName:t.displayName})).join(", ")},avatarUrl:function(){return function(t){var e=t.guestName?t.guestName:t.userId,n=!!t.guestName,a=Object(u.generateUrl)(n?"/avatar/guest/{user}/{size}":"/avatar/{user}/{size}",{user:e,size:32});return window.location.protocol+"//"+window.location.host+a}},activeSessions:function(){return Object.values(this.sessions).filter((function(t){return t.lastContact>Date.now()/1e3-30&&!t.isCurrent&&(null!==t.userId||null!==t.guestName)}))},currentSession:function(){return Object.values(this.sessions).find((function(t){return t.isCurrent}))},sessionStyle:function(){return function(t){return{opacity:t.lastContact>Date.now()/1e3-10?1:.5}}},sessionsVisible:function(){return this.activeSessions.slice(0,3)},sessionsPopover:function(){var t=this;return d(this.activeSessions.slice(3).map((function(e){return{href:"#",icon:t.avatarUrl(e),text:e.guestName?e.guestName:e.displayName}})))}},methods:{}},v=(a(666),a(18)),f=Object(v.a)(m,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n("div",{staticClass:"session-list"},[n("div",{directives:[{name:"tooltip",rawName:"v-tooltip.bottom",value:t.editorsTooltip,expression:"editorsTooltip",modifiers:{bottom:!0}}],staticClass:"avatar-list",on:{click:function(e){t.popoverVisible=!t.popoverVisible}}},[t.sessionsPopover.length>0?n("div",{staticClass:"avatardiv icon-more"}):t._e(),t._v(" "),t._l(t.sessionsVisible,(function(e){return n("Avatar",{key:e.id,style:t.sessionStyle(e),attrs:{user:e.userId?e.userId:e.guestName,"is-guest":null===e.userId,"disable-tooltip":!0,size:32}})}))],2),t._v(" "),n("div",{directives:[{name:"show",rawName:"v-show",value:t.popoverVisible,expression:"popoverVisible"}],staticClass:"popovermenu menu-right"},[n("PopoverMenu",{attrs:{menu:t.sessionsPopover}}),t._v(" "),t._t("default")],2)])}),[],!1,null,"25a487e1",null);e.default=f.exports}}]);
-//# sourceMappingURL=editor-collab.js.map?v=bbfda5a02511351a232c \ No newline at end of file
+ */e.default=c},84:function(t,e,n){"use strict";var a=n(33);n.n(a).a},85:function(t,e,n){(e=n(1)(!1)).push([t.i,"\nbutton.menuitem[data-v-75ab886e] {\n\ttext-align: left;\n}\nbutton.menuitem *[data-v-75ab886e] {\n\tcursor: pointer;\n}\nbutton.menuitem[data-v-75ab886e]:disabled {\n\topacity: 0.5 !important;\n\tcursor: default;\n}\nbutton.menuitem:disabled *[data-v-75ab886e] {\n\tcursor: default;\n}\n.menuitem.active[data-v-75ab886e] {\n\tbox-shadow: inset 2px 0 var(--color-primary);\n\tborder-radius: 0;\n}\n",""]),t.exports=e},86:function(t,e,n){"use strict";var a=n(34);n.n(a).a},87:function(t,e,n){(e=n(1)(!1)).push([t.i,"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\n",""]),t.exports=e},88:function(t,e,n){"use strict";var a=n(35);n.n(a).a},89:function(t,e,n){(e=n(1)(!1)).push([t.i,"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\n",""]),t.exports=e}})},221:function(t,e,n){var a=n(667);"string"==typeof a&&(a=[[t.i,a,""]]),a.locals&&(t.exports=a.locals);(0,n(50).default)("cc1235e6",a,!0,{})},666:function(t,e,n){"use strict";var a=n(221);n.n(a).a},667:function(t,e,n){(e=n(49)(!1)).push([t.i,".session-list[data-v-25a487e1]{position:relative}.session-list[data-v-25a487e1] .popovermenu{margin-right:-4px}.session-list[data-v-25a487e1] .popovermenu img{padding:0;width:32px !important;height:32px !important;margin:6px;border-radius:50%;filter:none !important}.avatar-list[data-v-25a487e1]{display:inline-flex;flex-direction:row-reverse}.avatar-list .avatardiv[data-v-25a487e1],.avatar-list[data-v-25a487e1] .avatardiv{width:36px;height:36px;margin-right:-8px;border:2px solid var(--color-main-background);box-sizing:content-box !important}.avatar-list .avatardiv.icon-more[data-v-25a487e1],.avatar-list[data-v-25a487e1] .avatardiv.icon-more{width:32px;height:32px;opacity:.5;cursor:pointer}.popovermenu[data-v-25a487e1]{display:block}\n",""]),t.exports=e},674:function(t,e,a){"use strict";a.r(e);var i=a(364),o=a.n(i),s=a(214),r=a.n(s),l=a(186),c=a.n(l),u=a(11);function d(t){return function(t){if(Array.isArray(t))return p(t)}(t)||function(t){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(t))return Array.from(t)}(t)||function(t,e){if(!t)return;if("string"==typeof t)return p(t,e);var n=Object.prototype.toString.call(t).slice(8,-1);"Object"===n&&t.constructor&&(n=t.constructor.name);if("Map"===n||"Set"===n)return Array.from(t);if("Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n))return p(t,e)}(t)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function p(t,e){(null==e||e>t.length)&&(e=t.length);for(var n=0,a=new Array(e);n<e;n++)a[n]=t[n];return a}var m={name:"SessionList",components:{Avatar:o.a,PopoverMenu:r.a},directives:{tooltip:c.a},props:{sessions:{type:Object,default:function(){return{}}}},data:function(){return{popoverVisible:"",myName:""}},computed:{editorsTooltip:function(){if(this.sessionsPopover.length>0){var t=this.activeSessions.slice(0,3).map((function(t){return t.guestName?t.guestName:t.displayName})).join(", "),e=this.activeSessions.slice(3).length;return t+" "+n("text","and %n other editor","and %n other editors",e)}return this.activeSessions.slice(0,3).map((function(t){return t.guestName?t.guestName:t.displayName})).join(", ")},avatarUrl:function(){return function(t){var e=t.guestName?t.guestName:t.userId,n=!!t.guestName,a=Object(u.generateUrl)(n?"/avatar/guest/{user}/{size}":"/avatar/{user}/{size}",{user:e,size:32});return window.location.protocol+"//"+window.location.host+a}},activeSessions:function(){return Object.values(this.sessions).filter((function(t){return t.lastContact>Date.now()/1e3-30&&!t.isCurrent&&(null!==t.userId||null!==t.guestName)}))},currentSession:function(){return Object.values(this.sessions).find((function(t){return t.isCurrent}))},sessionStyle:function(){return function(t){return{opacity:t.lastContact>Date.now()/1e3-10?1:.5}}},sessionsVisible:function(){return this.activeSessions.slice(0,3)},sessionsPopover:function(){var t=this;return d(this.activeSessions.slice(3).map((function(e){return{href:"#",icon:t.avatarUrl(e),text:e.guestName?e.guestName:e.displayName}})))}},methods:{}},v=(a(666),a(18)),f=Object(v.a)(m,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n("div",{staticClass:"session-list"},[n("div",{directives:[{name:"tooltip",rawName:"v-tooltip.bottom",value:t.editorsTooltip,expression:"editorsTooltip",modifiers:{bottom:!0}}],staticClass:"avatar-list",on:{click:function(e){t.popoverVisible=!t.popoverVisible}}},[t.sessionsPopover.length>0?n("div",{staticClass:"avatardiv icon-more"}):t._e(),t._v(" "),t._l(t.sessionsVisible,(function(e){return n("Avatar",{key:e.id,style:t.sessionStyle(e),attrs:{user:e.userId?e.userId:e.guestName,"is-guest":null===e.userId,"disable-tooltip":!0,size:32}})}))],2),t._v(" "),n("div",{directives:[{name:"show",rawName:"v-show",value:t.popoverVisible,expression:"popoverVisible"}],staticClass:"popovermenu menu-right"},[n("PopoverMenu",{attrs:{menu:t.sessionsPopover}}),t._v(" "),t._t("default")],2)])}),[],!1,null,"25a487e1",null);e.default=f.exports}}]);
+//# sourceMappingURL=editor-collab.js.map?v=7113b14aff5f5f406e65 \ No newline at end of file
diff --git a/js/editor-collab.js.map b/js/editor-collab.js.map
index adc966b87..08759c4f1 100644
--- a/js/editor-collab.js.map
+++ b/js/editor-collab.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/@nextcloud/vue/dist/Components/PopoverMenu.js","webpack:///./src/components/SessionList.vue?eca4","webpack:///./src/components/SessionList.vue?c6b1","webpack:///./src/components/SessionList.vue?0a35","webpack:///./src/components/SessionList.vue?182f","webpack:///src/components/SessionList.vue","webpack:///./src/components/SessionList.vue?551b","webpack:///./src/components/SessionList.vue"],"names":["window","module","exports","t","e","n","a","i","l","call","m","c","d","o","Object","defineProperty","enumerable","get","r","Symbol","toStringTag","value","__esModule","create","bind","default","prototype","hasOwnProperty","p","s","0","options","render","staticRenderFns","_compiled","functional","_scopeId","this","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","u","beforeCreate","concat","1","toString","map","btoa","unescape","encodeURIComponent","JSON","stringify","sources","sourceRoot","join","length","push","14","19","2","id","css","media","sourceMap","parts","document","DEBUG","Error","head","getElementsByTagName","navigator","test","userAgent","toLowerCase","f","refs","v","b","createElement","type","appendChild","querySelector","parentNode","removeChild","y","g","h","x","filter","Boolean","styleSheet","cssText","createTextNode","childNodes","insertBefore","setAttribute","ssrId","firstChild","20","21","23","26","34","locals","35","36","44","5","53","name","props","item","required","key","href","icon","text","validator","input","indexOf","computed","Math","round","random","iconIsUrl","URL","methods","action","components","PopoverMenuItem","$createElement","_self","_c","staticClass","attrs","target","download","rel","on","click","src","class","_v","longtext","_s","_e","active","submit","preventDefault","placeholder","domProps","directives","rawName","model","expression","checked","Array","isArray","_i","change","$set","slice","_q","composing","for","stopPropagation","disabled","menu","_l","81","82","83","84","85","86","content","___CSS_LOADER_API_IMPORT___","component","_vm","_h","modifiers","$event","popoverVisible","sessionsPopover","session","style","sessionStyle","userId","guestName","_t"],"mappings":"uFAAiTA,OAAhPC,EAAOC,QAAmQ,SAASC,GAAG,IAAIC,EAAE,GAAG,SAASC,EAAEC,GAAG,GAAGF,EAAEE,GAAG,OAAOF,EAAEE,GAAGJ,QAAQ,IAAIK,EAAEH,EAAEE,GAAG,CAACC,EAAED,EAAEE,GAAE,EAAGN,QAAQ,IAAI,OAAOC,EAAEG,GAAGG,KAAKF,EAAEL,QAAQK,EAAEA,EAAEL,QAAQG,GAAGE,EAAEC,GAAE,EAAGD,EAAEL,QAAQ,OAAOG,EAAEK,EAAEP,EAAEE,EAAEM,EAAEP,EAAEC,EAAEO,EAAE,SAAST,EAAEC,EAAEE,GAAGD,EAAEQ,EAAEV,EAAEC,IAAIU,OAAOC,eAAeZ,EAAEC,EAAE,CAACY,YAAW,EAAGC,IAAIX,KAAKD,EAAEa,EAAE,SAASf,GAAG,oBAAoBgB,QAAQA,OAAOC,aAAaN,OAAOC,eAAeZ,EAAEgB,OAAOC,YAAY,CAACC,MAAM,WAAWP,OAAOC,eAAeZ,EAAE,aAAa,CAACkB,OAAM,KAAMhB,EAAEF,EAAE,SAASA,EAAEC,GAAG,GAAG,EAAEA,IAAID,EAAEE,EAAEF,IAAI,EAAEC,EAAE,OAAOD,EAAE,GAAG,EAAEC,GAAG,iBAAiBD,GAAGA,GAAGA,EAAEmB,WAAW,OAAOnB,EAAE,IAAIG,EAAEQ,OAAOS,OAAO,MAAM,GAAGlB,EAAEa,EAAEZ,GAAGQ,OAAOC,eAAeT,EAAE,UAAU,CAACU,YAAW,EAAGK,MAAMlB,IAAI,EAAEC,GAAG,iBAAiBD,EAAE,IAAI,IAAII,KAAKJ,EAAEE,EAAEO,EAAEN,EAAEC,EAAE,SAASH,GAAG,OAAOD,EAAEC,IAAIoB,KAAK,KAAKjB,IAAI,OAAOD,GAAGD,EAAEA,EAAE,SAASF,GAAG,IAAIC,EAAED,GAAGA,EAAEmB,WAAW,WAAW,OAAOnB,EAAEsB,SAAS,WAAW,OAAOtB,GAAG,OAAOE,EAAEO,EAAER,EAAE,IAAIA,GAAGA,GAAGC,EAAEQ,EAAE,SAASV,EAAEC,GAAG,OAAOU,OAAOY,UAAUC,eAAelB,KAAKN,EAAEC,IAAIC,EAAEuB,EAAE,SAASvB,EAAEA,EAAEwB,EAAE,IAAv5B,CAA45B,CAACC,EAAE,SAAS3B,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,EAAEC,EAAEC,EAAEC,EAAEM,EAAEgB,EAAEX,GAAG,IAAIV,EAAEG,EAAE,mBAAmBR,EAAEA,EAAE4B,QAAQ5B,EAAE,GAAGC,IAAIO,EAAEqB,OAAO5B,EAAEO,EAAEsB,gBAAgB5B,EAAEM,EAAEuB,WAAU,GAAI5B,IAAIK,EAAEwB,YAAW,GAAItB,IAAIF,EAAEyB,SAAS,UAAUvB,GAAGgB,GAAGrB,EAAE,SAASL,IAAIA,EAAEA,GAAGkC,KAAKC,QAAQD,KAAKC,OAAOC,YAAYF,KAAKG,QAAQH,KAAKG,OAAOF,QAAQD,KAAKG,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBtC,EAAEsC,qBAAqBlC,GAAGA,EAAEE,KAAK4B,KAAKlC,GAAGA,GAAGA,EAAEuC,uBAAuBvC,EAAEuC,sBAAsBC,IAAId,IAAIlB,EAAEiC,aAAapC,GAAGD,IAAIC,EAAEU,EAAE,WAAWX,EAAEE,KAAK4B,KAAKA,KAAKQ,MAAMC,SAASC,aAAaxC,GAAGC,EAAE,GAAGG,EAAEwB,WAAW,CAACxB,EAAEqC,cAAcxC,EAAE,IAAII,EAAED,EAAEqB,OAAOrB,EAAEqB,OAAO,SAAS7B,EAAEC,GAAG,OAAOI,EAAEC,KAAKL,GAAGQ,EAAET,EAAEC,QAAQ,CAAC,IAAI6C,EAAEtC,EAAEuC,aAAavC,EAAEuC,aAAaD,EAAE,GAAGE,OAAOF,EAAEzC,GAAG,CAACA,GAAG,MAAM,CAACN,QAAQC,EAAE4B,QAAQpB,GAAGN,EAAEO,EAAER,EAAE,KAAI,WAAY,OAAOE,MAAM8C,EAAE,SAASjD,EAAEC,EAAEC,GAAG,aAAaF,EAAED,QAAQ,SAASC,GAAG,IAAIC,EAAE,GAAG,OAAOA,EAAEiD,SAAS,WAAW,OAAOhB,KAAKiB,KAAI,SAAUlD,GAAG,IAAIC,EAAE,SAASF,EAAEC,GAAG,IAAyXyB,EAAEX,EAAEV,EAAzXH,EAAEF,EAAE,IAAI,GAAGG,EAAEH,EAAE,GAAG,IAAIG,EAAE,OAAOD,EAAE,GAAGD,GAAG,mBAAmBmD,KAAK,CAAC,IAAIhD,GAAGsB,EAAEvB,EAAEY,EAAEqC,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU9B,MAAMrB,EAAE,+DAA+D2C,OAAOjC,GAAG,OAAOiC,OAAO3C,EAAE,QAAQK,EAAEP,EAAEsD,QAAQN,KAAI,SAAUnD,GAAG,MAAM,iBAAiBgD,OAAO7C,EAAEuD,YAAY,IAAIV,OAAOhD,EAAE,UAAU,MAAM,CAACE,GAAG8C,OAAOtC,GAAGsC,OAAO,CAAC5C,IAAIuD,KAAK,MAAgB,MAAM,CAACzD,GAAGyD,KAAK,MAA5Z,CAAma1D,EAAED,GAAG,OAAOC,EAAE,GAAG,UAAU+C,OAAO/C,EAAE,GAAG,MAAM+C,OAAO9C,EAAE,KAAKA,KAAKyD,KAAK,KAAK1D,EAAEG,EAAE,SAASJ,EAAEE,EAAEC,GAAG,iBAAiBH,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAII,EAAE,GAAG,GAAGD,EAAE,IAAI,IAAIO,EAAE,EAAEA,EAAEwB,KAAK0B,OAAOlD,IAAI,CAAC,IAAIgB,EAAEQ,KAAKxB,GAAG,GAAG,MAAMgB,IAAItB,EAAEsB,IAAG,GAAI,IAAI,IAAIX,EAAE,EAAEA,EAAEf,EAAE4D,OAAO7C,IAAI,CAAC,IAAIV,EAAE,GAAG2C,OAAOhD,EAAEe,IAAIZ,GAAGC,EAAEC,EAAE,MAAMH,IAAIG,EAAE,GAAGA,EAAE,GAAG,GAAG2C,OAAO9C,EAAE,SAAS8C,OAAO3C,EAAE,IAAIA,EAAE,GAAGH,GAAGD,EAAE4D,KAAKxD,MAAMJ,IAAI6D,GAAG,SAAS9D,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAsCgE,GAAG,SAAS/D,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAsCiE,EAAE,SAAShE,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,GAAG,IAAI,IAAIC,EAAE,GAAGC,EAAE,GAAGC,EAAE,EAAEA,EAAEH,EAAE2D,OAAOxD,IAAI,CAAC,IAAIM,EAAET,EAAEG,GAAGsB,EAAEhB,EAAE,GAAGK,EAAE,CAACkD,GAAGjE,EAAE,IAAII,EAAE8D,IAAIxD,EAAE,GAAGyD,MAAMzD,EAAE,GAAG0D,UAAU1D,EAAE,IAAIP,EAAEuB,GAAGvB,EAAEuB,GAAG2C,MAAMR,KAAK9C,GAAGb,EAAE2D,KAAK1D,EAAEuB,GAAG,CAACuC,GAAGvC,EAAE2C,MAAM,CAACtD,KAAK,OAAOb,EAAEA,EAAEa,EAAEd,GAAGC,EAAEO,EAAER,EAAE,WAAU,WAAY,OAAOM,KAAK,IAAIH,EAAE,oBAAoBkE,SAAS,GAAG,oBAAoBC,OAAOA,QAAQnE,EAAE,MAAM,IAAIoE,MAAM,2JAA2J,IAAI9D,EAAE,GAAGgB,EAAEtB,IAAIkE,SAASG,MAAMH,SAASI,qBAAqB,QAAQ,IAAI3D,EAAE,KAAKV,EAAE,EAAEG,GAAE,EAAGC,EAAE,aAAaqC,EAAE,KAAKrB,EAAE,oBAAoBkD,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAASvE,EAAEP,EAAEC,EAAEC,EAAEE,GAAGI,EAAEN,EAAE4C,EAAE1C,GAAG,GAAG,IAAIsB,EAAEvB,EAAEH,EAAEC,GAAG,OAAO8E,EAAErD,GAAG,SAASzB,GAAG,IAAI,IAAIC,EAAE,GAAGE,EAAE,EAAEA,EAAEsB,EAAEkC,OAAOxD,IAAI,CAAC,IAAIW,EAAEW,EAAEtB,IAAIC,EAAEK,EAAEK,EAAEkD,KAAKe,OAAO9E,EAAE2D,KAAKxD,GAAsB,IAAnBJ,EAAE8E,EAAErD,EAAEvB,EAAEH,EAAEC,IAAIyB,EAAE,GAAOtB,EAAE,EAAEA,EAAEF,EAAE0D,OAAOxD,IAAI,CAAC,IAAIC,EAAE,GAAG,KAAKA,EAAEH,EAAEE,IAAI4E,KAAK,CAAC,IAAI,IAAIxE,EAAE,EAAEA,EAAEH,EAAEgE,MAAMT,OAAOpD,IAAIH,EAAEgE,MAAM7D,YAAYE,EAAEL,EAAE4D,OAAO,SAASc,EAAE/E,GAAG,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAE4D,OAAO3D,IAAI,CAAC,IAAIC,EAAEF,EAAEC,GAAGE,EAAEO,EAAER,EAAE+D,IAAI,GAAG9D,EAAE,CAACA,EAAE6E,OAAO,IAAI,IAAI5E,EAAE,EAAEA,EAAED,EAAEkE,MAAMT,OAAOxD,IAAID,EAAEkE,MAAMjE,GAAGF,EAAEmE,MAAMjE,IAAI,KAAKA,EAAEF,EAAEmE,MAAMT,OAAOxD,IAAID,EAAEkE,MAAMR,KAAKoB,EAAE/E,EAAEmE,MAAMjE,KAAKD,EAAEkE,MAAMT,OAAO1D,EAAEmE,MAAMT,SAASzD,EAAEkE,MAAMT,OAAO1D,EAAEmE,MAAMT,YAAY,CAAC,IAAIlC,EAAE,GAAG,IAAItB,EAAE,EAAEA,EAAEF,EAAEmE,MAAMT,OAAOxD,IAAIsB,EAAEmC,KAAKoB,EAAE/E,EAAEmE,MAAMjE,KAAKM,EAAER,EAAE+D,IAAI,CAACA,GAAG/D,EAAE+D,GAAGe,KAAK,EAAEX,MAAM3C,KAAK,SAASwD,IAAI,IAAIlF,EAAEsE,SAASa,cAAc,SAAS,OAAOnF,EAAEoF,KAAK,WAAW1D,EAAE2D,YAAYrF,GAAGA,EAAE,SAASiF,EAAEjF,GAAG,IAAIC,EAAEC,EAAEC,EAAEmE,SAASgB,cAAc,2BAA2BtF,EAAEiE,GAAG,MAAM,GAAG9D,EAAE,CAAC,GAAGK,EAAE,OAAOC,EAAEN,EAAEoF,WAAWC,YAAYrF,GAAG,GAAGsB,EAAE,CAAC,IAAIrB,EAAEC,IAAIF,EAAEY,IAAIA,EAAEmE,KAAKjF,EAAEwF,EAAEpE,KAAK,KAAKlB,EAAEC,GAAE,GAAIF,EAAEuF,EAAEpE,KAAK,KAAKlB,EAAEC,GAAE,QAASD,EAAE+E,IAAIjF,EAAEyF,EAAErE,KAAK,KAAKlB,GAAGD,EAAE,WAAWC,EAAEoF,WAAWC,YAAYrF,IAAI,OAAOF,EAAED,GAAG,SAASG,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAE+D,MAAMlE,EAAEkE,KAAK/D,EAAEgE,QAAQnE,EAAEmE,OAAOhE,EAAEiE,YAAYpE,EAAEoE,UAAU,OAAOnE,EAAED,EAAEG,QAAQD,KAAK,IAAIyF,EAAEC,GAAGD,EAAE,GAAG,SAAS3F,EAAEC,GAAG,OAAO0F,EAAE3F,GAAGC,EAAE0F,EAAEE,OAAOC,SAASnC,KAAK,QAAQ,SAAS8B,EAAEzF,EAAEC,EAAEC,EAAEC,GAAG,IAAIC,EAAEF,EAAE,GAAGC,EAAE+D,IAAI,GAAGlE,EAAE+F,WAAW/F,EAAE+F,WAAWC,QAAQJ,EAAE3F,EAAEG,OAAO,CAAC,IAAIM,EAAE4D,SAAS2B,eAAe7F,GAAGsB,EAAE1B,EAAEkG,WAAWxE,EAAEzB,IAAID,EAAEwF,YAAY9D,EAAEzB,IAAIyB,EAAEkC,OAAO5D,EAAEmG,aAAazF,EAAEgB,EAAEzB,IAAID,EAAEqF,YAAY3E,IAAI,SAASgF,EAAE1F,EAAEC,GAAG,IAAIC,EAAED,EAAEiE,IAAI/D,EAAEF,EAAEkE,MAAM/D,EAAEH,EAAEmE,UAAU,GAAGjE,GAAGH,EAAEoG,aAAa,QAAQjG,GAAG2C,EAAEuD,OAAOrG,EAAEoG,aAAa,kBAAkBnG,EAAEgE,IAAI7D,IAAIF,GAAG,mBAAmBE,EAAEqD,QAAQ,GAAG,MAAMvD,GAAG,uDAAuDkD,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUpD,MAAM,OAAOJ,EAAE+F,WAAW/F,EAAE+F,WAAWC,QAAQ9F,MAAM,CAAC,KAAKF,EAAEsG,YAAYtG,EAAEwF,YAAYxF,EAAEsG,YAAYtG,EAAEqF,YAAYf,SAAS2B,eAAe/F,OAAOqG,GAAG,SAASvG,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAuCyG,GAAG,SAASxG,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAiD0G,GAAG,SAASzG,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAA4B2G,GAAG,SAAS1G,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAwC4G,GAAG,SAAS3G,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAEyG,SAAS5G,EAAED,QAAQI,EAAEyG,SAAQ,EAAG1G,EAAE,GAAGoB,SAAS,WAAWnB,GAAE,EAAG,KAAK0G,GAAG,SAAS7G,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAEyG,SAAS5G,EAAED,QAAQI,EAAEyG,SAAQ,EAAG1G,EAAE,GAAGoB,SAAS,WAAWnB,GAAE,EAAG,KAAK2G,GAAG,SAAS9G,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAEyG,SAAS5G,EAAED,QAAQI,EAAEyG,SAAQ,EAAG1G,EAAE,GAAGoB,SAAS,WAAWnB,GAAE,EAAG,KAAK4G,GAAG,SAAS/G,EAAEC,KAAK+G,EAAE,SAAShH,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAwCkH,GAAG,SAASjH,EAAEC,EAAEC,GAAG,aAAaA,EAAEa,EAAEd,GAAGC,EAAE,IAAIA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAE,CAAC+G,KAAK,kBAAkBC,MAAM,CAACC,KAAK,CAAChC,KAAKzE,OAAO0G,UAAS,EAAG/F,QAAQ,WAAW,MAAM,CAACgG,IAAI,iBAAiBC,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,cAAcC,UAAU,SAAS1H,GAAG,OAAOA,EAAE2H,QAAQ,IAAI,CAAC,OAAO,YAAYC,QAAQ5H,EAAE2H,UAAUE,SAAS,CAACP,IAAI,WAAW,OAAOpF,KAAKkF,KAAKE,IAAIpF,KAAKkF,KAAKE,IAAIQ,KAAKC,MAAM,GAAGD,KAAKE,SAAS,KAAK9E,SAAS,KAAK+E,UAAU,WAAW,IAAI,OAAO,IAAIC,IAAIhG,KAAKkF,KAAKI,OAAM,EAAG,MAAMxH,GAAG,OAAM,KAAMmI,QAAQ,CAACC,OAAO,SAASpI,GAAGkC,KAAKkF,KAAKgB,QAAQlG,KAAKkF,KAAKgB,OAAOpI,MAAMI,GAAGF,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIQ,EAAE,CAACwG,KAAK,cAAcmB,WAAW,CAACC,gBAAgB3H,OAAOP,EAAED,EAATQ,CAAYR,GAAE,WAAY,IAAIH,EAAEkC,KAAKjC,EAAED,EAAEuI,eAAerI,EAAEF,EAAEwI,MAAMC,IAAIxI,EAAE,OAAOC,EAAE,KAAK,CAACF,EAAEoH,KAAKG,KAAKrH,EAAE,IAAI,CAACwI,YAAY,YAAYC,MAAM,CAACpB,KAAKvH,EAAEoH,KAAKG,KAAKvH,EAAEoH,KAAKG,KAAK,IAAIqB,OAAO5I,EAAEoH,KAAKwB,OAAO5I,EAAEoH,KAAKwB,OAAO,GAAGC,SAAS7I,EAAEoH,KAAKyB,SAASC,IAAI,uBAAuBC,GAAG,CAACC,MAAMhJ,EAAEoI,SAAS,CAACpI,EAAEiI,UAAU/H,EAAE,MAAM,CAACyI,MAAM,CAACM,IAAIjJ,EAAEoH,KAAKI,QAAQtH,EAAE,OAAO,CAACgJ,MAAMlJ,EAAEoH,KAAKI,OAAOxH,EAAEmJ,GAAG,KAAKnJ,EAAEoH,KAAKK,MAAMzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACA,EAAE,SAAS,CAACwI,YAAY,iBAAiB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,cAAcvH,EAAE,MAAMF,EAAEmJ,GAAG,KAAKjJ,EAAE,OAAO,CAACwI,YAAY,wBAAwB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,gBAAgBpJ,EAAEoH,KAAKK,KAAKvH,EAAE,OAAO,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,YAAYzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,YAAYpJ,EAAEsJ,OAAOtJ,EAAEoH,KAAKO,MAAMzH,EAAE,OAAO,CAACwI,YAAY,WAAWQ,MAAM,CAACK,OAAOvJ,EAAEoH,KAAKmC,SAAS,CAAC,aAAavJ,EAAEoH,KAAKO,MAAMzH,EAAE,OAAO,CAACgJ,MAAMlJ,EAAEoH,KAAKI,OAAOxH,EAAEsJ,KAAKtJ,EAAEmJ,GAAG,KAAK,SAASnJ,EAAEoH,KAAKO,MAAMzH,EAAE,OAAO,CAACgJ,MAAMlJ,EAAEoH,KAAKO,MAAMoB,GAAG,CAACS,OAAO,SAASvJ,GAAG,OAAOA,EAAEwJ,iBAAiBzJ,EAAEoH,KAAKgB,OAAOnI,MAAM,CAACC,EAAE,QAAQ,CAACyI,MAAM,CAACvD,KAAKpF,EAAEoH,KAAKO,MAAM+B,YAAY1J,EAAEoH,KAAKK,KAAKJ,SAAS,IAAIsC,SAAS,CAACzI,MAAMlB,EAAEoH,KAAKlG,SAASlB,EAAEmJ,GAAG,KAAKjJ,EAAE,QAAQ,CAACwI,YAAY,eAAeC,MAAM,CAACvD,KAAK,SAASlE,MAAM,QAAQ,CAAC,aAAalB,EAAEoH,KAAKO,MAAMzH,EAAE,QAAQ,CAAC0J,WAAW,CAAC,CAAC1C,KAAK,QAAQ2C,QAAQ,UAAU3I,MAAMlB,EAAEoH,KAAK0C,MAAMC,WAAW,eAAeb,MAAMlJ,EAAEoH,KAAKO,MAAMgB,MAAM,CAAC1E,GAAGjE,EAAEsH,IAAIlC,KAAK,YAAYuE,SAAS,CAACK,QAAQC,MAAMC,QAAQlK,EAAEoH,KAAK0C,OAAO9J,EAAEmK,GAAGnK,EAAEoH,KAAK0C,MAAM,OAAO,EAAE9J,EAAEoH,KAAK0C,OAAOf,GAAG,CAACqB,OAAO,CAAC,SAASnK,GAAG,IAAIC,EAAEF,EAAEoH,KAAK0C,MAAM3J,EAAEF,EAAE2I,OAAOxI,IAAID,EAAE6J,QAAQ,GAAGC,MAAMC,QAAQhK,GAAG,CAAC,IAAIQ,EAAEV,EAAEmK,GAAGjK,EAAE,MAAMC,EAAE6J,QAAQtJ,EAAE,GAAGV,EAAEqK,KAAKrK,EAAEoH,KAAK,QAAQlH,EAAE8C,OAAO,CAAC,QAAQtC,GAAG,GAAGV,EAAEqK,KAAKrK,EAAEoH,KAAK,QAAQlH,EAAEoK,MAAM,EAAE5J,GAAGsC,OAAO9C,EAAEoK,MAAM5J,EAAE,UAAUV,EAAEqK,KAAKrK,EAAEoH,KAAK,QAAQhH,IAAIJ,EAAEoH,KAAKgB,WAAW,UAAUpI,EAAEoH,KAAKO,MAAMzH,EAAE,QAAQ,CAAC0J,WAAW,CAAC,CAAC1C,KAAK,QAAQ2C,QAAQ,UAAU3I,MAAMlB,EAAEoH,KAAK0C,MAAMC,WAAW,eAAeb,MAAMlJ,EAAEoH,KAAKO,MAAMgB,MAAM,CAAC1E,GAAGjE,EAAEsH,IAAIlC,KAAK,SAASuE,SAAS,CAACK,QAAQhK,EAAEuK,GAAGvK,EAAEoH,KAAK0C,MAAM,OAAOf,GAAG,CAACqB,OAAO,CAAC,SAASnK,GAAG,OAAOD,EAAEqK,KAAKrK,EAAEoH,KAAK,QAAQ,OAAOpH,EAAEoH,KAAKgB,WAAWlI,EAAE,QAAQ,CAAC0J,WAAW,CAAC,CAAC1C,KAAK,QAAQ2C,QAAQ,UAAU3I,MAAMlB,EAAEoH,KAAK0C,MAAMC,WAAW,eAAeb,MAAMlJ,EAAEoH,KAAKO,MAAMgB,MAAM,CAAC1E,GAAGjE,EAAEsH,IAAIlC,KAAKpF,EAAEoH,KAAKO,OAAOgC,SAAS,CAACzI,MAAMlB,EAAEoH,KAAK0C,OAAOf,GAAG,CAACqB,OAAOpK,EAAEoH,KAAKgB,OAAOT,MAAM,SAAS1H,GAAGA,EAAE2I,OAAO4B,WAAWxK,EAAEqK,KAAKrK,EAAEoH,KAAK,QAAQnH,EAAE2I,OAAO1H,WAAWlB,EAAEmJ,GAAG,KAAKjJ,EAAE,QAAQ,CAACyI,MAAM,CAAC8B,IAAIzK,EAAEsH,KAAKyB,GAAG,CAACC,MAAM,SAAS/I,GAAG,OAAOA,EAAEyK,kBAAkBzK,EAAEwJ,iBAAiBzJ,EAAEoH,KAAKgB,OAAOnI,MAAM,CAACD,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,gBAAgB,GAAGzH,EAAEoH,KAAKgB,OAAOlI,EAAE,SAAS,CAACwI,YAAY,qBAAqBQ,MAAM,CAACK,OAAOvJ,EAAEoH,KAAKmC,QAAQZ,MAAM,CAACgC,SAAS3K,EAAEoH,KAAKuD,UAAU5B,GAAG,CAACC,MAAM,SAAS/I,GAAG,OAAOA,EAAEyK,kBAAkBzK,EAAEwJ,iBAAiBzJ,EAAEoH,KAAKgB,OAAOnI,MAAM,CAACC,EAAE,OAAO,CAACgJ,MAAMlJ,EAAEoH,KAAKI,OAAOxH,EAAEmJ,GAAG,KAAKnJ,EAAEoH,KAAKK,MAAMzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACA,EAAE,SAAS,CAACwI,YAAY,iBAAiB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,cAAcvH,EAAE,MAAMF,EAAEmJ,GAAG,KAAKjJ,EAAE,OAAO,CAACwI,YAAY,wBAAwB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,gBAAgBpJ,EAAEoH,KAAKK,KAAKvH,EAAE,OAAO,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,YAAYzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,YAAYpJ,EAAEsJ,OAAOpJ,EAAE,OAAO,CAACwI,YAAY,WAAWQ,MAAM,CAACK,OAAOvJ,EAAEoH,KAAKmC,SAAS,CAACrJ,EAAE,OAAO,CAACgJ,MAAMlJ,EAAEoH,KAAKI,OAAOxH,EAAEmJ,GAAG,KAAKnJ,EAAEoH,KAAKK,MAAMzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACA,EAAE,SAAS,CAACwI,YAAY,iBAAiB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,cAAcvH,EAAE,MAAMF,EAAEmJ,GAAG,KAAKjJ,EAAE,OAAO,CAACwI,YAAY,wBAAwB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,gBAAgBpJ,EAAEoH,KAAKK,KAAKvH,EAAE,OAAO,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,YAAYzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,YAAYpJ,EAAEsJ,WAAW,IAAG,EAAG,KAAK,WAAW,MAAMvJ,SAASoH,MAAM,CAACyD,KAAK,CAACxF,KAAK6E,MAAM3I,QAAQ,WAAW,MAAM,CAAC,CAACiG,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,eAAeJ,UAAS,KAAM3F,GAAGxB,EAAE,IAAIA,EAAE,KAAKa,EAAEb,EAAEA,EAAEwB,GAAGrB,EAAEM,OAAOP,EAAED,EAATQ,CAAYD,GAAE,WAAY,IAAIV,EAAEkC,KAAKqG,eAAetI,EAAEiC,KAAKsG,MAAMC,IAAIzI,EAAE,OAAOC,EAAE,KAAKiC,KAAK2I,GAAG3I,KAAK0I,MAAK,SAAU5K,EAAEE,GAAG,OAAOD,EAAE,kBAAkB,CAACqH,IAAIpH,EAAEyI,MAAM,CAACvB,KAAKpH,QAAQ,KAAK,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBe,EAAEZ,GAAGY,IAAIV,GAAG,IAAIG,EAAEH,EAAEN;;;;;;;;;;;;;;;;;;;;;GAqBz8VE,EAAEqB,QAAQd,GAAGsK,GAAG,SAAS9K,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEA,EAAEC,GAAGA,GAAG4K,GAAG,SAAS/K,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK2D,KAAK,CAAC7D,EAAEI,EAAE,kZAAkZ,KAAKJ,EAAED,QAAQE,GAAG+K,GAAG,SAAShL,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEA,EAAEC,GAAGA,GAAG8K,GAAG,SAASjL,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK2D,KAAK,CAAC7D,EAAEI,EAAE,i9NAAi9N,KAAKJ,EAAED,QAAQE,GAAGiL,GAAG,SAASlL,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEA,EAAEC,GAAGA,GAAGgL,GAAG,SAASnL,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK2D,KAAK,CAAC7D,EAAEI,EAAE,4DAA4D,KAAKJ,EAAED,QAAQE,M,oBClBlwP,IAAImL,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACtL,EAAOM,EAAIgL,EAAS,MAC7DA,EAAQxE,SAAQ9G,EAAOC,QAAUqL,EAAQxE,SAG/BpE,EADH,EAAQ,IAA+DlB,SAChE,WAAY8J,GAAS,EAAM,K,iCCR5C,oBAAiX,G,qBCEjXrL,EADkC,EAAQ,GAChCsL,EAA4B,IAE9BxH,KAAK,CAAC/D,EAAOM,EAAI,0uBAA2uB,KAEpwBN,EAAOC,QAAUA,G,wCCNjB,I,wyBC+CA,IC/C2L,EDkD3L,CACA,mBACA,YACA,WACA,iBAEA,YACA,aAEA,OACA,UACA,YACA,+BAGA,KAfA,WAgBA,OACA,kBACA,YAGA,UACA,eADA,WAEA,kCACA,iHACA,sCACA,sEAEA,mHAEA,UATA,WAUA,mBACA,uCAEA,gBACA,wBACA,wDACA,CACA,OACA,KANA,KAQA,8DAGA,eAvBA,WAwBA,+DACA,6BAhDA,KAgDA,cACA,yCAGA,eA7BA,WA8BA,6EAEA,aAhCA,WAiCA,mBACA,OACA,qCA3DA,GA2DA,QAKA,gBAxCA,WAyCA,uCAEA,gBA3CA,WA2CA,WACA,SACA,8CACA,OACA,SACA,oBACA,kDAMA,Y,iBEtHIuL,EAAY,YACd,GHTW,WAAa,IAAIC,EAAIrJ,KAASsJ,EAAGD,EAAIhD,eAAmBE,EAAG8C,EAAI/C,MAAMC,IAAI+C,EAAG,OAAO/C,EAAG,MAAM,CAACC,YAAY,gBAAgB,CAACD,EAAG,MAAM,CAACmB,WAAW,CAAC,CAAC1C,KAAK,UAAU2C,QAAQ,mBAAmB3I,MAAOqK,EAAkB,eAAExB,WAAW,iBAAiB0B,UAAU,CAAC,QAAS,KAAQ/C,YAAY,cAAcK,GAAG,CAAC,MAAQ,SAAS2C,GAAQH,EAAII,gBAAgBJ,EAAII,kBAAkB,CAAEJ,EAAIK,gBAAgBhI,OAAS,EAAG6E,EAAG,MAAM,CAACC,YAAY,wBAAwB6C,EAAIjC,KAAKiC,EAAIpC,GAAG,KAAKoC,EAAIV,GAAIU,EAAmB,iBAAE,SAASM,GAAS,OAAOpD,EAAG,SAAS,CAACnB,IAAIuE,EAAQ5H,GAAG6H,MAAOP,EAAIQ,aAAaF,GAAUlD,MAAM,CAAC,KAAOkD,EAAQG,OAASH,EAAQG,OAASH,EAAQI,UAAU,WAA8B,OAAnBJ,EAAQG,OAAgB,mBAAkB,EAAK,KAAO,UAAS,GAAGT,EAAIpC,GAAG,KAAKV,EAAG,MAAM,CAACmB,WAAW,CAAC,CAAC1C,KAAK,OAAO2C,QAAQ,SAAS3I,MAAOqK,EAAkB,eAAExB,WAAW,mBAAmBrB,YAAY,0BAA0B,CAACD,EAAG,cAAc,CAACE,MAAM,CAAC,KAAO4C,EAAIK,mBAAmBL,EAAIpC,GAAG,KAAKoC,EAAIW,GAAG,YAAY,OACp8B,IGWpB,EACA,KACA,WACA,MAIa,UAAAZ,E","file":"editor-collab.js?v=bbfda5a02511351a232c","sourcesContent":["!function(t,e){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=e():\"function\"==typeof define&&define.amd?define(\"Components/PopoverMenu\",[],e):\"object\"==typeof exports?exports[\"Components/PopoverMenu\"]=e():(t.NextcloudVue=t.NextcloudVue||{},t.NextcloudVue[\"Components/PopoverMenu\"]=e())}(window,(function(){return function(t){var e={};function n(a){if(e[a])return e[a].exports;var i=e[a]={i:a,l:!1,exports:{}};return t[a].call(i.exports,i,i.exports,n),i.l=!0,i.exports}return n.m=t,n.c=e,n.d=function(t,e,a){n.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:a})},n.r=function(t){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(t,\"__esModule\",{value:!0})},n.t=function(t,e){if(1&e&&(t=n(t)),8&e)return t;if(4&e&&\"object\"==typeof t&&t&&t.__esModule)return t;var a=Object.create(null);if(n.r(a),Object.defineProperty(a,\"default\",{enumerable:!0,value:t}),2&e&&\"string\"!=typeof t)for(var i in t)n.d(a,i,function(e){return t[e]}.bind(null,i));return a},n.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return n.d(e,\"a\",e),e},n.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},n.p=\"/dist/\",n(n.s=53)}({0:function(t,e,n){\"use strict\";function a(t,e,n,a,i,o,s,r){var l,c=\"function\"==typeof t?t.options:t;if(e&&(c.render=e,c.staticRenderFns=n,c._compiled=!0),a&&(c.functional=!0),o&&(c._scopeId=\"data-v-\"+o),s?(l=function(t){(t=t||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(t=__VUE_SSR_CONTEXT__),i&&i.call(this,t),t&&t._registeredComponents&&t._registeredComponents.add(s)},c._ssrRegister=l):i&&(l=r?function(){i.call(this,this.$root.$options.shadowRoot)}:i),l)if(c.functional){c._injectStyles=l;var d=c.render;c.render=function(t,e){return l.call(e),d(t,e)}}else{var u=c.beforeCreate;c.beforeCreate=u?[].concat(u,l):[l]}return{exports:t,options:c}}n.d(e,\"a\",(function(){return a}))},1:function(t,e,n){\"use strict\";t.exports=function(t){var e=[];return e.toString=function(){return this.map((function(e){var n=function(t,e){var n=t[1]||\"\",a=t[3];if(!a)return n;if(e&&\"function\"==typeof btoa){var i=(s=a,r=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),l=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(r),\"/*# \".concat(l,\" */\")),o=a.sources.map((function(t){return\"/*# sourceURL=\".concat(a.sourceRoot||\"\").concat(t,\" */\")}));return[n].concat(o).concat([i]).join(\"\\n\")}var s,r,l;return[n].join(\"\\n\")}(e,t);return e[2]?\"@media \".concat(e[2],\" {\").concat(n,\"}\"):n})).join(\"\")},e.i=function(t,n,a){\"string\"==typeof t&&(t=[[null,t,\"\"]]);var i={};if(a)for(var o=0;o<this.length;o++){var s=this[o][0];null!=s&&(i[s]=!0)}for(var r=0;r<t.length;r++){var l=[].concat(t[r]);a&&i[l[0]]||(n&&(l[2]?l[2]=\"\".concat(n,\" and \").concat(l[2]):l[2]=n),e.push(l))}},e}},14:function(t,e){t.exports=require(\"core-js/modules/es.array.index-of\")},19:function(t,e){t.exports=require(\"core-js/modules/es.array.iterator\")},2:function(t,e,n){\"use strict\";function a(t,e){for(var n=[],a={},i=0;i<e.length;i++){var o=e[i],s=o[0],r={id:t+\":\"+i,css:o[1],media:o[2],sourceMap:o[3]};a[s]?a[s].parts.push(r):n.push(a[s]={id:s,parts:[r]})}return n}n.r(e),n.d(e,\"default\",(function(){return m}));var i=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!i)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var o={},s=i&&(document.head||document.getElementsByTagName(\"head\")[0]),r=null,l=0,c=!1,d=function(){},u=null,p=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function m(t,e,n,i){c=n,u=i||{};var s=a(t,e);return f(s),function(e){for(var n=[],i=0;i<s.length;i++){var r=s[i];(l=o[r.id]).refs--,n.push(l)}e?f(s=a(t,e)):s=[];for(i=0;i<n.length;i++){var l;if(0===(l=n[i]).refs){for(var c=0;c<l.parts.length;c++)l.parts[c]();delete o[l.id]}}}}function f(t){for(var e=0;e<t.length;e++){var n=t[e],a=o[n.id];if(a){a.refs++;for(var i=0;i<a.parts.length;i++)a.parts[i](n.parts[i]);for(;i<n.parts.length;i++)a.parts.push(v(n.parts[i]));a.parts.length>n.parts.length&&(a.parts.length=n.parts.length)}else{var s=[];for(i=0;i<n.parts.length;i++)s.push(v(n.parts[i]));o[n.id]={id:n.id,refs:1,parts:s}}}}function b(){var t=document.createElement(\"style\");return t.type=\"text/css\",s.appendChild(t),t}function v(t){var e,n,a=document.querySelector('style[data-vue-ssr-id~=\"'+t.id+'\"]');if(a){if(c)return d;a.parentNode.removeChild(a)}if(p){var i=l++;a=r||(r=b()),e=y.bind(null,a,i,!1),n=y.bind(null,a,i,!0)}else a=b(),e=g.bind(null,a),n=function(){a.parentNode.removeChild(a)};return e(t),function(a){if(a){if(a.css===t.css&&a.media===t.media&&a.sourceMap===t.sourceMap)return;e(t=a)}else n()}}var h,x=(h=[],function(t,e){return h[t]=e,h.filter(Boolean).join(\"\\n\")});function y(t,e,n,a){var i=n?\"\":a.css;if(t.styleSheet)t.styleSheet.cssText=x(e,i);else{var o=document.createTextNode(i),s=t.childNodes;s[e]&&t.removeChild(s[e]),s.length?t.insertBefore(o,s[e]):t.appendChild(o)}}function g(t,e){var n=e.css,a=e.media,i=e.sourceMap;if(a&&t.setAttribute(\"media\",a),u.ssrId&&t.setAttribute(\"data-vue-ssr-id\",e.id),i&&(n+=\"\\n/*# sourceURL=\"+i.sources[0]+\" */\",n+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+\" */\"),t.styleSheet)t.styleSheet.cssText=n;else{for(;t.firstChild;)t.removeChild(t.firstChild);t.appendChild(document.createTextNode(n))}}},20:function(t,e){t.exports=require(\"core-js/modules/es.string.iterator\")},21:function(t,e){t.exports=require(\"core-js/modules/web.dom-collections.iterator\")},23:function(t,e){t.exports=require(\"core-js/modules/web.url\")},26:function(t,e){t.exports=require(\"core-js/modules/es.regexp.to-string\")},34:function(t,e,n){var a=n(82);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"1f2ec49f\",a,!0,{})},35:function(t,e,n){var a=n(84);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"9c561116\",a,!0,{})},36:function(t,e,n){var a=n(86);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"93093140\",a,!0,{})},44:function(t,e){},5:function(t,e){t.exports=require(\"core-js/modules/es.object.to-string\")},53:function(t,e,n){\"use strict\";n.r(e);n(14),n(19),n(5),n(26),n(20),n(21),n(23);var a={name:\"PopoverMenuItem\",props:{item:{type:Object,required:!0,default:function(){return{key:\"nextcloud-link\",href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}},validator:function(t){return!t.input||-1!==[\"text\",\"checkbox\"].indexOf(t.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(t){return!1}}},methods:{action:function(t){this.item.action&&this.item.action(t)}}},i=(n(81),n(83),n(0)),o={name:\"PopoverMenu\",components:{PopoverMenuItem:Object(i.a)(a,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n(\"li\",[t.item.href?n(\"a\",{staticClass:\"focusable\",attrs:{href:t.item.href?t.item.href:\"#\",target:t.item.target?t.item.target:\"\",download:t.item.download,rel:\"noreferrer noopener\"},on:{click:t.action}},[t.iconIsUrl?n(\"img\",{attrs:{src:t.item.icon}}):n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()]):t.item.input?n(\"span\",{staticClass:\"menuitem\",class:{active:t.item.active}},[\"checkbox\"!==t.item.input?n(\"span\",{class:t.item.icon}):t._e(),t._v(\" \"),\"text\"===t.item.input?n(\"form\",{class:t.item.input,on:{submit:function(e){return e.preventDefault(),t.item.action(e)}}},[n(\"input\",{attrs:{type:t.item.input,placeholder:t.item.text,required:\"\"},domProps:{value:t.item.value}}),t._v(\" \"),n(\"input\",{staticClass:\"icon-confirm\",attrs:{type:\"submit\",value:\"\"}})]):[\"checkbox\"===t.item.input?n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:\"checkbox\"},domProps:{checked:Array.isArray(t.item.model)?t._i(t.item.model,null)>-1:t.item.model},on:{change:[function(e){var n=t.item.model,a=e.target,i=!!a.checked;if(Array.isArray(n)){var o=t._i(n,null);a.checked?o<0&&t.$set(t.item,\"model\",n.concat([null])):o>-1&&t.$set(t.item,\"model\",n.slice(0,o).concat(n.slice(o+1)))}else t.$set(t.item,\"model\",i)},t.item.action]}}):\"radio\"===t.item.input?n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:\"radio\"},domProps:{checked:t._q(t.item.model,null)},on:{change:[function(e){return t.$set(t.item,\"model\",null)},t.item.action]}}):n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:t.item.input},domProps:{value:t.item.model},on:{change:t.item.action,input:function(e){e.target.composing||t.$set(t.item,\"model\",e.target.value)}}}),t._v(\" \"),n(\"label\",{attrs:{for:t.key},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")])]],2):t.item.action?n(\"button\",{staticClass:\"menuitem focusable\",class:{active:t.item.active},attrs:{disabled:t.item.disabled},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()]):n(\"span\",{staticClass:\"menuitem\",class:{active:t.item.active}},[n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()])])}),[],!1,null,\"75ab886e\",null).exports},props:{menu:{type:Array,default:function(){return[{href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}]},required:!0}}},s=(n(85),n(44)),r=n.n(s),l=Object(i.a)(o,(function(){var t=this.$createElement,e=this._self._c||t;return e(\"ul\",this._l(this.menu,(function(t,n){return e(\"PopoverMenuItem\",{key:n,attrs:{item:t}})})),1)}),[],!1,null,\"81c2afc0\",null);\"function\"==typeof r.a&&r()(l);var c=l.exports;\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */e.default=c},81:function(t,e,n){\"use strict\";var a=n(34);n.n(a).a},82:function(t,e,n){(e=n(1)(!1)).push([t.i,\"\\nbutton.menuitem[data-v-75ab886e] {\\n\\ttext-align: left;\\n}\\nbutton.menuitem *[data-v-75ab886e] {\\n\\tcursor: pointer;\\n}\\nbutton.menuitem[data-v-75ab886e]:disabled {\\n\\topacity: 0.5 !important;\\n\\tcursor: default;\\n}\\nbutton.menuitem:disabled *[data-v-75ab886e] {\\n\\tcursor: default;\\n}\\n.menuitem.active[data-v-75ab886e] {\\n\\tbox-shadow: inset 2px 0 var(--color-primary);\\n\\tborder-radius: 0;\\n}\\n\",\"\"]),t.exports=e},83:function(t,e,n){\"use strict\";var a=n(35);n.n(a).a},84:function(t,e,n){(e=n(1)(!1)).push([t.i,\"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\\n\",\"\"]),t.exports=e},85:function(t,e,n){\"use strict\";var a=n(36);n.n(a).a},86:function(t,e,n){(e=n(1)(!1)).push([t.i,\"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\\n\",\"\"]),t.exports=e}})}));\n//# sourceMappingURL=PopoverMenu.js.map","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./SessionList.vue?vue&type=style&index=0&id=25a487e1&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"cc1235e6\", content, true, {});","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./SessionList.vue?vue&type=style&index=0&id=25a487e1&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./SessionList.vue?vue&type=style&index=0&id=25a487e1&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \".session-list[data-v-25a487e1]{position:relative}.session-list[data-v-25a487e1] .popovermenu{margin-right:-4px}.session-list[data-v-25a487e1] .popovermenu img{padding:0;width:32px !important;height:32px !important;margin:6px;border-radius:50%;filter:none !important}.avatar-list[data-v-25a487e1]{display:inline-flex;flex-direction:row-reverse}.avatar-list .avatardiv[data-v-25a487e1],.avatar-list[data-v-25a487e1] .avatardiv{width:36px;height:36px;margin-right:-8px;border:2px solid var(--color-main-background);box-sizing:content-box !important}.avatar-list .avatardiv.icon-more[data-v-25a487e1],.avatar-list[data-v-25a487e1] .avatardiv.icon-more{width:32px;height:32px;opacity:.5;cursor:pointer}.popovermenu[data-v-25a487e1]{display:block}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{staticClass:\"session-list\"},[_c('div',{directives:[{name:\"tooltip\",rawName:\"v-tooltip.bottom\",value:(_vm.editorsTooltip),expression:\"editorsTooltip\",modifiers:{\"bottom\":true}}],staticClass:\"avatar-list\",on:{\"click\":function($event){_vm.popoverVisible=!_vm.popoverVisible}}},[(_vm.sessionsPopover.length > 0)?_c('div',{staticClass:\"avatardiv icon-more\"}):_vm._e(),_vm._v(\" \"),_vm._l((_vm.sessionsVisible),function(session){return _c('Avatar',{key:session.id,style:(_vm.sessionStyle(session)),attrs:{\"user\":session.userId ? session.userId : session.guestName,\"is-guest\":session.userId === null,\"disable-tooltip\":true,\"size\":32}})})],2),_vm._v(\" \"),_c('div',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.popoverVisible),expression:\"popoverVisible\"}],staticClass:\"popovermenu menu-right\"},[_c('PopoverMenu',{attrs:{\"menu\":_vm.sessionsPopover}}),_vm._v(\" \"),_vm._t(\"default\")],2)])}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div class=\"session-list\">\n\t\t<div v-tooltip.bottom=\"editorsTooltip\" class=\"avatar-list\" @click=\"popoverVisible=!popoverVisible\">\n\t\t\t<div v-if=\"sessionsPopover.length > 0\" class=\"avatardiv icon-more\" />\n\t\t\t<Avatar v-for=\"session in sessionsVisible\"\n\t\t\t\t:key=\"session.id\"\n\t\t\t\t:user=\"session.userId ? session.userId : session.guestName\"\n\t\t\t\t:is-guest=\"session.userId === null\"\n\t\t\t\t:disable-tooltip=\"true\"\n\t\t\t\t:style=\"sessionStyle(session)\"\n\t\t\t\t:size=\"32\" />\n\t\t</div>\n\t\t<div v-show=\"popoverVisible\" class=\"popovermenu menu-right\">\n\t\t\t<PopoverMenu :menu=\"sessionsPopover\" />\n\t\t\t<slot />\n\t\t</div>\n\t</div>\n</template>\n\n<script>\nimport Avatar from '@nextcloud/vue/dist/Components/Avatar'\nimport PopoverMenu from '@nextcloud/vue/dist/Components/PopoverMenu'\nimport Tooltip from '@nextcloud/vue/dist/Directives/Tooltip'\nimport { generateUrl } from '@nextcloud/router'\n\nconst COLLABORATOR_IDLE_TIME = 10\nconst COLLABORATOR_DISCONNECT_TIME = 30\n\nexport default {\n\tname: 'SessionList',\n\tcomponents: {\n\t\tAvatar,\n\t\tPopoverMenu,\n\t},\n\tdirectives: {\n\t\ttooltip: Tooltip,\n\t},\n\tprops: {\n\t\tsessions: {\n\t\t\ttype: Object,\n\t\t\tdefault: () => { return {} },\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\tpopoverVisible: '',\n\t\t\tmyName: '',\n\t\t}\n\t},\n\tcomputed: {\n\t\teditorsTooltip() {\n\t\t\tif (this.sessionsPopover.length > 0) {\n\t\t\t\tconst first = this.activeSessions.slice(0, 3).map((session) => session.guestName ? session.guestName : session.displayName).join(', ')\n\t\t\t\tconst others = this.activeSessions.slice(3).length\n\t\t\t\treturn first + ' ' + n('text', 'and %n other editor', 'and %n other editors', others)\n\t\t\t}\n\t\t\treturn this.activeSessions.slice(0, 3).map((session) => session.guestName ? session.guestName : session.displayName).join(', ')\n\t\t},\n\t\tavatarUrl() {\n\t\t\treturn (session) => {\n\t\t\t\tconst user = !session.guestName ? session.userId : session.guestName\n\t\t\t\tconst size = 32\n\t\t\t\tconst guest = !!session.guestName\n\t\t\t\tconst avatarUrl = generateUrl(\n\t\t\t\t\tguest ? '/avatar/guest/{user}/{size}' : '/avatar/{user}/{size}',\n\t\t\t\t\t{\n\t\t\t\t\t\tuser,\n\t\t\t\t\t\tsize,\n\t\t\t\t\t})\n\t\t\t\treturn window.location.protocol + '//' + window.location.host + avatarUrl\n\t\t\t}\n\t\t},\n\t\tactiveSessions() {\n\t\t\treturn Object.values(this.sessions).filter((session) =>\n\t\t\t\tsession.lastContact > Date.now() / 1000 - COLLABORATOR_DISCONNECT_TIME && !session.isCurrent\n\t\t\t\t\t&& (session.userId !== null || session.guestName !== null)\n\t\t\t)\n\t\t},\n\t\tcurrentSession() {\n\t\t\treturn Object.values(this.sessions).find((session) => session.isCurrent)\n\t\t},\n\t\tsessionStyle() {\n\t\t\treturn (session) => {\n\t\t\t\treturn {\n\t\t\t\t\topacity: session.lastContact > Date.now() / 1000 - COLLABORATOR_IDLE_TIME ? 1 : 0.5,\n\t\t\t\t\t// 'border-color': session.color\n\t\t\t\t}\n\t\t\t}\n\t\t},\n\t\tsessionsVisible() {\n\t\t\treturn this.activeSessions.slice(0, 3)\n\t\t},\n\t\tsessionsPopover() {\n\t\t\treturn [\n\t\t\t\t...this.activeSessions.slice(3).map((session) => {\n\t\t\t\t\treturn {\n\t\t\t\t\t\thref: '#',\n\t\t\t\t\t\ticon: this.avatarUrl(session),\n\t\t\t\t\t\ttext: session.guestName ? session.guestName : session.displayName,\n\t\t\t\t\t}\n\t\t\t\t}),\n\t\t\t]\n\t\t},\n\t},\n\tmethods: {\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t.session-list {\n\t\tposition: relative;\n\n\t\t/deep/ .popovermenu {\n\t\t\tmargin-right: -4px;\n\t\t\timg {\n\t\t\t\tpadding: 0;\n\t\t\t\twidth: 32px !important;\n\t\t\t\theight: 32px !important;\n\t\t\t\tmargin: 6px;\n\t\t\t\tborder-radius: 50%;\n\t\t\t\tfilter: none !important;\n\t\t\t}\n\t\t}\n\t}\n\n\t.avatar-list {\n\t\tdisplay: inline-flex;\n\t\tflex-direction: row-reverse;\n\n\t\t.avatardiv,\n\t\t/deep/ .avatardiv {\n\t\t\twidth: 36px;\n\t\t\theight: 36px;\n\t\t\tmargin-right: -8px;\n\t\t\tborder: 2px solid var(--color-main-background);\n\t\t\tbox-sizing: content-box !important;\n\t\t\t&.icon-more {\n\t\t\t\twidth: 32px;\n\t\t\t\theight: 32px;\n\t\t\t\topacity: .5;\n\t\t\t\tcursor: pointer;\n\t\t\t}\n\t\t}\n\t}\n\n\t.popovermenu {\n\t\tdisplay: block;\n\t}\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./SessionList.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./SessionList.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./SessionList.vue?vue&type=template&id=25a487e1&scoped=true&\"\nimport script from \"./SessionList.vue?vue&type=script&lang=js&\"\nexport * from \"./SessionList.vue?vue&type=script&lang=js&\"\nimport style0 from \"./SessionList.vue?vue&type=style&index=0&id=25a487e1&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"25a487e1\",\n null\n \n)\n\nexport default component.exports"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/@nextcloud/vue/dist/Components/PopoverMenu.js","webpack:///./src/components/SessionList.vue?eca4","webpack:///./src/components/SessionList.vue?c6b1","webpack:///./src/components/SessionList.vue?0a35","webpack:///./src/components/SessionList.vue?182f","webpack:///src/components/SessionList.vue","webpack:///./src/components/SessionList.vue?551b","webpack:///./src/components/SessionList.vue"],"names":["window","module","exports","t","e","n","a","i","l","call","m","c","d","o","Object","defineProperty","enumerable","get","r","Symbol","toStringTag","value","__esModule","create","bind","default","prototype","hasOwnProperty","p","s","0","options","render","staticRenderFns","_compiled","functional","_scopeId","this","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","u","beforeCreate","concat","1","toString","map","btoa","unescape","encodeURIComponent","JSON","stringify","sources","sourceRoot","join","length","push","15","19","2","id","css","media","sourceMap","parts","document","DEBUG","Error","head","getElementsByTagName","navigator","test","userAgent","toLowerCase","f","refs","v","b","createElement","type","appendChild","querySelector","parentNode","removeChild","y","g","h","x","filter","Boolean","styleSheet","cssText","createTextNode","childNodes","insertBefore","setAttribute","ssrId","firstChild","20","21","27","28","33","locals","34","35","41","5","53","name","props","item","required","key","href","icon","text","validator","input","indexOf","computed","Math","round","random","iconIsUrl","URL","methods","action","components","PopoverMenuItem","$createElement","_self","_c","staticClass","attrs","target","download","rel","on","click","src","class","_v","longtext","_s","_e","active","submit","preventDefault","placeholder","domProps","directives","rawName","model","expression","checked","Array","isArray","_i","change","$set","slice","_q","composing","for","stopPropagation","disabled","menu","_l","84","85","86","87","88","89","content","___CSS_LOADER_API_IMPORT___","component","_vm","_h","modifiers","$event","popoverVisible","sessionsPopover","session","style","sessionStyle","userId","guestName","_t"],"mappings":"uFAAiTA,OAAhPC,EAAOC,QAAmQ,SAASC,GAAG,IAAIC,EAAE,GAAG,SAASC,EAAEC,GAAG,GAAGF,EAAEE,GAAG,OAAOF,EAAEE,GAAGJ,QAAQ,IAAIK,EAAEH,EAAEE,GAAG,CAACC,EAAED,EAAEE,GAAE,EAAGN,QAAQ,IAAI,OAAOC,EAAEG,GAAGG,KAAKF,EAAEL,QAAQK,EAAEA,EAAEL,QAAQG,GAAGE,EAAEC,GAAE,EAAGD,EAAEL,QAAQ,OAAOG,EAAEK,EAAEP,EAAEE,EAAEM,EAAEP,EAAEC,EAAEO,EAAE,SAAST,EAAEC,EAAEE,GAAGD,EAAEQ,EAAEV,EAAEC,IAAIU,OAAOC,eAAeZ,EAAEC,EAAE,CAACY,YAAW,EAAGC,IAAIX,KAAKD,EAAEa,EAAE,SAASf,GAAG,oBAAoBgB,QAAQA,OAAOC,aAAaN,OAAOC,eAAeZ,EAAEgB,OAAOC,YAAY,CAACC,MAAM,WAAWP,OAAOC,eAAeZ,EAAE,aAAa,CAACkB,OAAM,KAAMhB,EAAEF,EAAE,SAASA,EAAEC,GAAG,GAAG,EAAEA,IAAID,EAAEE,EAAEF,IAAI,EAAEC,EAAE,OAAOD,EAAE,GAAG,EAAEC,GAAG,iBAAiBD,GAAGA,GAAGA,EAAEmB,WAAW,OAAOnB,EAAE,IAAIG,EAAEQ,OAAOS,OAAO,MAAM,GAAGlB,EAAEa,EAAEZ,GAAGQ,OAAOC,eAAeT,EAAE,UAAU,CAACU,YAAW,EAAGK,MAAMlB,IAAI,EAAEC,GAAG,iBAAiBD,EAAE,IAAI,IAAII,KAAKJ,EAAEE,EAAEO,EAAEN,EAAEC,EAAE,SAASH,GAAG,OAAOD,EAAEC,IAAIoB,KAAK,KAAKjB,IAAI,OAAOD,GAAGD,EAAEA,EAAE,SAASF,GAAG,IAAIC,EAAED,GAAGA,EAAEmB,WAAW,WAAW,OAAOnB,EAAEsB,SAAS,WAAW,OAAOtB,GAAG,OAAOE,EAAEO,EAAER,EAAE,IAAIA,GAAGA,GAAGC,EAAEQ,EAAE,SAASV,EAAEC,GAAG,OAAOU,OAAOY,UAAUC,eAAelB,KAAKN,EAAEC,IAAIC,EAAEuB,EAAE,SAASvB,EAAEA,EAAEwB,EAAE,IAAv5B,CAA45B,CAACC,EAAE,SAAS3B,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,EAAEC,EAAEC,EAAEC,EAAEM,EAAEgB,EAAEX,GAAG,IAAIV,EAAEG,EAAE,mBAAmBR,EAAEA,EAAE4B,QAAQ5B,EAAE,GAAGC,IAAIO,EAAEqB,OAAO5B,EAAEO,EAAEsB,gBAAgB5B,EAAEM,EAAEuB,WAAU,GAAI5B,IAAIK,EAAEwB,YAAW,GAAItB,IAAIF,EAAEyB,SAAS,UAAUvB,GAAGgB,GAAGrB,EAAE,SAASL,IAAIA,EAAEA,GAAGkC,KAAKC,QAAQD,KAAKC,OAAOC,YAAYF,KAAKG,QAAQH,KAAKG,OAAOF,QAAQD,KAAKG,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBtC,EAAEsC,qBAAqBlC,GAAGA,EAAEE,KAAK4B,KAAKlC,GAAGA,GAAGA,EAAEuC,uBAAuBvC,EAAEuC,sBAAsBC,IAAId,IAAIlB,EAAEiC,aAAapC,GAAGD,IAAIC,EAAEU,EAAE,WAAWX,EAAEE,KAAK4B,MAAM1B,EAAEwB,WAAWE,KAAKG,OAAOH,MAAMQ,MAAMC,SAASC,aAAaxC,GAAGC,EAAE,GAAGG,EAAEwB,WAAW,CAACxB,EAAEqC,cAAcxC,EAAE,IAAII,EAAED,EAAEqB,OAAOrB,EAAEqB,OAAO,SAAS7B,EAAEC,GAAG,OAAOI,EAAEC,KAAKL,GAAGQ,EAAET,EAAEC,QAAQ,CAAC,IAAI6C,EAAEtC,EAAEuC,aAAavC,EAAEuC,aAAaD,EAAE,GAAGE,OAAOF,EAAEzC,GAAG,CAACA,GAAG,MAAM,CAACN,QAAQC,EAAE4B,QAAQpB,GAAGN,EAAEO,EAAER,EAAE,KAAI,WAAY,OAAOE,MAAM8C,EAAE,SAASjD,EAAEC,EAAEC,GAAG,aAAaF,EAAED,QAAQ,SAASC,GAAG,IAAIC,EAAE,GAAG,OAAOA,EAAEiD,SAAS,WAAW,OAAOhB,KAAKiB,KAAI,SAAUlD,GAAG,IAAIC,EAAE,SAASF,EAAEC,GAAG,IAAyXyB,EAAEX,EAAEV,EAAzXH,EAAEF,EAAE,IAAI,GAAGG,EAAEH,EAAE,GAAG,IAAIG,EAAE,OAAOD,EAAE,GAAGD,GAAG,mBAAmBmD,KAAK,CAAC,IAAIhD,GAAGsB,EAAEvB,EAAEY,EAAEqC,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU9B,MAAMrB,EAAE,+DAA+D2C,OAAOjC,GAAG,OAAOiC,OAAO3C,EAAE,QAAQK,EAAEP,EAAEsD,QAAQN,KAAI,SAAUnD,GAAG,MAAM,iBAAiBgD,OAAO7C,EAAEuD,YAAY,IAAIV,OAAOhD,EAAE,UAAU,MAAM,CAACE,GAAG8C,OAAOtC,GAAGsC,OAAO,CAAC5C,IAAIuD,KAAK,MAAgB,MAAM,CAACzD,GAAGyD,KAAK,MAA5Z,CAAma1D,EAAED,GAAG,OAAOC,EAAE,GAAG,UAAU+C,OAAO/C,EAAE,GAAG,MAAM+C,OAAO9C,EAAE,KAAKA,KAAKyD,KAAK,KAAK1D,EAAEG,EAAE,SAASJ,EAAEE,EAAEC,GAAG,iBAAiBH,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAII,EAAE,GAAG,GAAGD,EAAE,IAAI,IAAIO,EAAE,EAAEA,EAAEwB,KAAK0B,OAAOlD,IAAI,CAAC,IAAIgB,EAAEQ,KAAKxB,GAAG,GAAG,MAAMgB,IAAItB,EAAEsB,IAAG,GAAI,IAAI,IAAIX,EAAE,EAAEA,EAAEf,EAAE4D,OAAO7C,IAAI,CAAC,IAAIV,EAAE,GAAG2C,OAAOhD,EAAEe,IAAIZ,GAAGC,EAAEC,EAAE,MAAMH,IAAIG,EAAE,GAAGA,EAAE,GAAG,GAAG2C,OAAO9C,EAAE,SAAS8C,OAAO3C,EAAE,IAAIA,EAAE,GAAGH,GAAGD,EAAE4D,KAAKxD,MAAMJ,IAAI6D,GAAG,SAAS9D,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAsCgE,GAAG,SAAS/D,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAsCiE,EAAE,SAAShE,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,GAAG,IAAI,IAAIC,EAAE,GAAGC,EAAE,GAAGC,EAAE,EAAEA,EAAEH,EAAE2D,OAAOxD,IAAI,CAAC,IAAIM,EAAET,EAAEG,GAAGsB,EAAEhB,EAAE,GAAGK,EAAE,CAACkD,GAAGjE,EAAE,IAAII,EAAE8D,IAAIxD,EAAE,GAAGyD,MAAMzD,EAAE,GAAG0D,UAAU1D,EAAE,IAAIP,EAAEuB,GAAGvB,EAAEuB,GAAG2C,MAAMR,KAAK9C,GAAGb,EAAE2D,KAAK1D,EAAEuB,GAAG,CAACuC,GAAGvC,EAAE2C,MAAM,CAACtD,KAAK,OAAOb,EAAEA,EAAEa,EAAEd,GAAGC,EAAEO,EAAER,EAAE,WAAU,WAAY,OAAOM,KAAK,IAAIH,EAAE,oBAAoBkE,SAAS,GAAG,oBAAoBC,OAAOA,QAAQnE,EAAE,MAAM,IAAIoE,MAAM,2JAA2J,IAAI9D,EAAE,GAAGgB,EAAEtB,IAAIkE,SAASG,MAAMH,SAASI,qBAAqB,QAAQ,IAAI3D,EAAE,KAAKV,EAAE,EAAEG,GAAE,EAAGC,EAAE,aAAaqC,EAAE,KAAKrB,EAAE,oBAAoBkD,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAASvE,EAAEP,EAAEC,EAAEC,EAAEE,GAAGI,EAAEN,EAAE4C,EAAE1C,GAAG,GAAG,IAAIsB,EAAEvB,EAAEH,EAAEC,GAAG,OAAO8E,EAAErD,GAAG,SAASzB,GAAG,IAAI,IAAIC,EAAE,GAAGE,EAAE,EAAEA,EAAEsB,EAAEkC,OAAOxD,IAAI,CAAC,IAAIW,EAAEW,EAAEtB,IAAIC,EAAEK,EAAEK,EAAEkD,KAAKe,OAAO9E,EAAE2D,KAAKxD,GAAsB,IAAnBJ,EAAE8E,EAAErD,EAAEvB,EAAEH,EAAEC,IAAIyB,EAAE,GAAOtB,EAAE,EAAEA,EAAEF,EAAE0D,OAAOxD,IAAI,CAAC,IAAIC,EAAE,GAAG,KAAKA,EAAEH,EAAEE,IAAI4E,KAAK,CAAC,IAAI,IAAIxE,EAAE,EAAEA,EAAEH,EAAEgE,MAAMT,OAAOpD,IAAIH,EAAEgE,MAAM7D,YAAYE,EAAEL,EAAE4D,OAAO,SAASc,EAAE/E,GAAG,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAE4D,OAAO3D,IAAI,CAAC,IAAIC,EAAEF,EAAEC,GAAGE,EAAEO,EAAER,EAAE+D,IAAI,GAAG9D,EAAE,CAACA,EAAE6E,OAAO,IAAI,IAAI5E,EAAE,EAAEA,EAAED,EAAEkE,MAAMT,OAAOxD,IAAID,EAAEkE,MAAMjE,GAAGF,EAAEmE,MAAMjE,IAAI,KAAKA,EAAEF,EAAEmE,MAAMT,OAAOxD,IAAID,EAAEkE,MAAMR,KAAKoB,EAAE/E,EAAEmE,MAAMjE,KAAKD,EAAEkE,MAAMT,OAAO1D,EAAEmE,MAAMT,SAASzD,EAAEkE,MAAMT,OAAO1D,EAAEmE,MAAMT,YAAY,CAAC,IAAIlC,EAAE,GAAG,IAAItB,EAAE,EAAEA,EAAEF,EAAEmE,MAAMT,OAAOxD,IAAIsB,EAAEmC,KAAKoB,EAAE/E,EAAEmE,MAAMjE,KAAKM,EAAER,EAAE+D,IAAI,CAACA,GAAG/D,EAAE+D,GAAGe,KAAK,EAAEX,MAAM3C,KAAK,SAASwD,IAAI,IAAIlF,EAAEsE,SAASa,cAAc,SAAS,OAAOnF,EAAEoF,KAAK,WAAW1D,EAAE2D,YAAYrF,GAAGA,EAAE,SAASiF,EAAEjF,GAAG,IAAIC,EAAEC,EAAEC,EAAEmE,SAASgB,cAAc,2BAA2BtF,EAAEiE,GAAG,MAAM,GAAG9D,EAAE,CAAC,GAAGK,EAAE,OAAOC,EAAEN,EAAEoF,WAAWC,YAAYrF,GAAG,GAAGsB,EAAE,CAAC,IAAIrB,EAAEC,IAAIF,EAAEY,IAAIA,EAAEmE,KAAKjF,EAAEwF,EAAEpE,KAAK,KAAKlB,EAAEC,GAAE,GAAIF,EAAEuF,EAAEpE,KAAK,KAAKlB,EAAEC,GAAE,QAASD,EAAE+E,IAAIjF,EAAEyF,EAAErE,KAAK,KAAKlB,GAAGD,EAAE,WAAWC,EAAEoF,WAAWC,YAAYrF,IAAI,OAAOF,EAAED,GAAG,SAASG,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAE+D,MAAMlE,EAAEkE,KAAK/D,EAAEgE,QAAQnE,EAAEmE,OAAOhE,EAAEiE,YAAYpE,EAAEoE,UAAU,OAAOnE,EAAED,EAAEG,QAAQD,KAAK,IAAIyF,EAAEC,GAAGD,EAAE,GAAG,SAAS3F,EAAEC,GAAG,OAAO0F,EAAE3F,GAAGC,EAAE0F,EAAEE,OAAOC,SAASnC,KAAK,QAAQ,SAAS8B,EAAEzF,EAAEC,EAAEC,EAAEC,GAAG,IAAIC,EAAEF,EAAE,GAAGC,EAAE+D,IAAI,GAAGlE,EAAE+F,WAAW/F,EAAE+F,WAAWC,QAAQJ,EAAE3F,EAAEG,OAAO,CAAC,IAAIM,EAAE4D,SAAS2B,eAAe7F,GAAGsB,EAAE1B,EAAEkG,WAAWxE,EAAEzB,IAAID,EAAEwF,YAAY9D,EAAEzB,IAAIyB,EAAEkC,OAAO5D,EAAEmG,aAAazF,EAAEgB,EAAEzB,IAAID,EAAEqF,YAAY3E,IAAI,SAASgF,EAAE1F,EAAEC,GAAG,IAAIC,EAAED,EAAEiE,IAAI/D,EAAEF,EAAEkE,MAAM/D,EAAEH,EAAEmE,UAAU,GAAGjE,GAAGH,EAAEoG,aAAa,QAAQjG,GAAG2C,EAAEuD,OAAOrG,EAAEoG,aAAa,kBAAkBnG,EAAEgE,IAAI7D,IAAIF,GAAG,mBAAmBE,EAAEqD,QAAQ,GAAG,MAAMvD,GAAG,uDAAuDkD,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUpD,MAAM,OAAOJ,EAAE+F,WAAW/F,EAAE+F,WAAWC,QAAQ9F,MAAM,CAAC,KAAKF,EAAEsG,YAAYtG,EAAEwF,YAAYxF,EAAEsG,YAAYtG,EAAEqF,YAAYf,SAAS2B,eAAe/F,OAAOqG,GAAG,SAASvG,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAuCyG,GAAG,SAASxG,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAiD0G,GAAG,SAASzG,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAA4B2G,GAAG,SAAS1G,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAwC4G,GAAG,SAAS3G,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAEyG,SAAS5G,EAAED,QAAQI,EAAEyG,SAAQ,EAAG1G,EAAE,GAAGoB,SAAS,WAAWnB,GAAE,EAAG,KAAK0G,GAAG,SAAS7G,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAEyG,SAAS5G,EAAED,QAAQI,EAAEyG,SAAQ,EAAG1G,EAAE,GAAGoB,SAAS,WAAWnB,GAAE,EAAG,KAAK2G,GAAG,SAAS9G,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAEyG,SAAS5G,EAAED,QAAQI,EAAEyG,SAAQ,EAAG1G,EAAE,GAAGoB,SAAS,WAAWnB,GAAE,EAAG,KAAK4G,GAAG,SAAS/G,EAAEC,KAAK+G,EAAE,SAAShH,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAwCkH,GAAG,SAASjH,EAAEC,EAAEC,GAAG,aAAaA,EAAEa,EAAEd,GAAGC,EAAE,IAAIA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAE,CAAC+G,KAAK,kBAAkBC,MAAM,CAACC,KAAK,CAAChC,KAAKzE,OAAO0G,UAAS,EAAG/F,QAAQ,WAAW,MAAM,CAACgG,IAAI,iBAAiBC,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,cAAcC,UAAU,SAAS1H,GAAG,OAAOA,EAAE2H,QAAQ,IAAI,CAAC,OAAO,YAAYC,QAAQ5H,EAAE2H,UAAUE,SAAS,CAACP,IAAI,WAAW,OAAOpF,KAAKkF,KAAKE,IAAIpF,KAAKkF,KAAKE,IAAIQ,KAAKC,MAAM,GAAGD,KAAKE,SAAS,KAAK9E,SAAS,KAAK+E,UAAU,WAAW,IAAI,OAAO,IAAIC,IAAIhG,KAAKkF,KAAKI,OAAM,EAAG,MAAMxH,GAAG,OAAM,KAAMmI,QAAQ,CAACC,OAAO,SAASpI,GAAGkC,KAAKkF,KAAKgB,QAAQlG,KAAKkF,KAAKgB,OAAOpI,MAAMI,GAAGF,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIQ,EAAE,CAACwG,KAAK,cAAcmB,WAAW,CAACC,gBAAgB3H,OAAOP,EAAED,EAATQ,CAAYR,GAAE,WAAY,IAAIH,EAAEkC,KAAKjC,EAAED,EAAEuI,eAAerI,EAAEF,EAAEwI,MAAMC,IAAIxI,EAAE,OAAOC,EAAE,KAAK,CAACF,EAAEoH,KAAKG,KAAKrH,EAAE,IAAI,CAACwI,YAAY,YAAYC,MAAM,CAACpB,KAAKvH,EAAEoH,KAAKG,KAAKvH,EAAEoH,KAAKG,KAAK,IAAIqB,OAAO5I,EAAEoH,KAAKwB,OAAO5I,EAAEoH,KAAKwB,OAAO,GAAGC,SAAS7I,EAAEoH,KAAKyB,SAASC,IAAI,uBAAuBC,GAAG,CAACC,MAAMhJ,EAAEoI,SAAS,CAACpI,EAAEiI,UAAU/H,EAAE,MAAM,CAACyI,MAAM,CAACM,IAAIjJ,EAAEoH,KAAKI,QAAQtH,EAAE,OAAO,CAACgJ,MAAMlJ,EAAEoH,KAAKI,OAAOxH,EAAEmJ,GAAG,KAAKnJ,EAAEoH,KAAKK,MAAMzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACA,EAAE,SAAS,CAACwI,YAAY,iBAAiB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,cAAcvH,EAAE,MAAMF,EAAEmJ,GAAG,KAAKjJ,EAAE,OAAO,CAACwI,YAAY,wBAAwB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,gBAAgBpJ,EAAEoH,KAAKK,KAAKvH,EAAE,OAAO,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,YAAYzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,YAAYpJ,EAAEsJ,OAAOtJ,EAAEoH,KAAKO,MAAMzH,EAAE,OAAO,CAACwI,YAAY,WAAWQ,MAAM,CAACK,OAAOvJ,EAAEoH,KAAKmC,SAAS,CAAC,aAAavJ,EAAEoH,KAAKO,MAAMzH,EAAE,OAAO,CAACgJ,MAAMlJ,EAAEoH,KAAKI,OAAOxH,EAAEsJ,KAAKtJ,EAAEmJ,GAAG,KAAK,SAASnJ,EAAEoH,KAAKO,MAAMzH,EAAE,OAAO,CAACgJ,MAAMlJ,EAAEoH,KAAKO,MAAMoB,GAAG,CAACS,OAAO,SAASvJ,GAAG,OAAOA,EAAEwJ,iBAAiBzJ,EAAEoH,KAAKgB,OAAOnI,MAAM,CAACC,EAAE,QAAQ,CAACyI,MAAM,CAACvD,KAAKpF,EAAEoH,KAAKO,MAAM+B,YAAY1J,EAAEoH,KAAKK,KAAKJ,SAAS,IAAIsC,SAAS,CAACzI,MAAMlB,EAAEoH,KAAKlG,SAASlB,EAAEmJ,GAAG,KAAKjJ,EAAE,QAAQ,CAACwI,YAAY,eAAeC,MAAM,CAACvD,KAAK,SAASlE,MAAM,QAAQ,CAAC,aAAalB,EAAEoH,KAAKO,MAAMzH,EAAE,QAAQ,CAAC0J,WAAW,CAAC,CAAC1C,KAAK,QAAQ2C,QAAQ,UAAU3I,MAAMlB,EAAEoH,KAAK0C,MAAMC,WAAW,eAAeb,MAAMlJ,EAAEoH,KAAKO,MAAMgB,MAAM,CAAC1E,GAAGjE,EAAEsH,IAAIlC,KAAK,YAAYuE,SAAS,CAACK,QAAQC,MAAMC,QAAQlK,EAAEoH,KAAK0C,OAAO9J,EAAEmK,GAAGnK,EAAEoH,KAAK0C,MAAM,OAAO,EAAE9J,EAAEoH,KAAK0C,OAAOf,GAAG,CAACqB,OAAO,CAAC,SAASnK,GAAG,IAAIC,EAAEF,EAAEoH,KAAK0C,MAAM3J,EAAEF,EAAE2I,OAAOxI,IAAID,EAAE6J,QAAQ,GAAGC,MAAMC,QAAQhK,GAAG,CAAC,IAAIQ,EAAEV,EAAEmK,GAAGjK,EAAE,MAAMC,EAAE6J,QAAQtJ,EAAE,GAAGV,EAAEqK,KAAKrK,EAAEoH,KAAK,QAAQlH,EAAE8C,OAAO,CAAC,QAAQtC,GAAG,GAAGV,EAAEqK,KAAKrK,EAAEoH,KAAK,QAAQlH,EAAEoK,MAAM,EAAE5J,GAAGsC,OAAO9C,EAAEoK,MAAM5J,EAAE,UAAUV,EAAEqK,KAAKrK,EAAEoH,KAAK,QAAQhH,IAAIJ,EAAEoH,KAAKgB,WAAW,UAAUpI,EAAEoH,KAAKO,MAAMzH,EAAE,QAAQ,CAAC0J,WAAW,CAAC,CAAC1C,KAAK,QAAQ2C,QAAQ,UAAU3I,MAAMlB,EAAEoH,KAAK0C,MAAMC,WAAW,eAAeb,MAAMlJ,EAAEoH,KAAKO,MAAMgB,MAAM,CAAC1E,GAAGjE,EAAEsH,IAAIlC,KAAK,SAASuE,SAAS,CAACK,QAAQhK,EAAEuK,GAAGvK,EAAEoH,KAAK0C,MAAM,OAAOf,GAAG,CAACqB,OAAO,CAAC,SAASnK,GAAG,OAAOD,EAAEqK,KAAKrK,EAAEoH,KAAK,QAAQ,OAAOpH,EAAEoH,KAAKgB,WAAWlI,EAAE,QAAQ,CAAC0J,WAAW,CAAC,CAAC1C,KAAK,QAAQ2C,QAAQ,UAAU3I,MAAMlB,EAAEoH,KAAK0C,MAAMC,WAAW,eAAeb,MAAMlJ,EAAEoH,KAAKO,MAAMgB,MAAM,CAAC1E,GAAGjE,EAAEsH,IAAIlC,KAAKpF,EAAEoH,KAAKO,OAAOgC,SAAS,CAACzI,MAAMlB,EAAEoH,KAAK0C,OAAOf,GAAG,CAACqB,OAAOpK,EAAEoH,KAAKgB,OAAOT,MAAM,SAAS1H,GAAGA,EAAE2I,OAAO4B,WAAWxK,EAAEqK,KAAKrK,EAAEoH,KAAK,QAAQnH,EAAE2I,OAAO1H,WAAWlB,EAAEmJ,GAAG,KAAKjJ,EAAE,QAAQ,CAACyI,MAAM,CAAC8B,IAAIzK,EAAEsH,KAAKyB,GAAG,CAACC,MAAM,SAAS/I,GAAG,OAAOA,EAAEyK,kBAAkBzK,EAAEwJ,iBAAiBzJ,EAAEoH,KAAKgB,OAAOnI,MAAM,CAACD,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,gBAAgB,GAAGzH,EAAEoH,KAAKgB,OAAOlI,EAAE,SAAS,CAACwI,YAAY,qBAAqBQ,MAAM,CAACK,OAAOvJ,EAAEoH,KAAKmC,QAAQZ,MAAM,CAACgC,SAAS3K,EAAEoH,KAAKuD,UAAU5B,GAAG,CAACC,MAAM,SAAS/I,GAAG,OAAOA,EAAEyK,kBAAkBzK,EAAEwJ,iBAAiBzJ,EAAEoH,KAAKgB,OAAOnI,MAAM,CAACC,EAAE,OAAO,CAACgJ,MAAMlJ,EAAEoH,KAAKI,OAAOxH,EAAEmJ,GAAG,KAAKnJ,EAAEoH,KAAKK,MAAMzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACA,EAAE,SAAS,CAACwI,YAAY,iBAAiB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,cAAcvH,EAAE,MAAMF,EAAEmJ,GAAG,KAAKjJ,EAAE,OAAO,CAACwI,YAAY,wBAAwB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,gBAAgBpJ,EAAEoH,KAAKK,KAAKvH,EAAE,OAAO,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,YAAYzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,YAAYpJ,EAAEsJ,OAAOpJ,EAAE,OAAO,CAACwI,YAAY,WAAWQ,MAAM,CAACK,OAAOvJ,EAAEoH,KAAKmC,SAAS,CAACrJ,EAAE,OAAO,CAACgJ,MAAMlJ,EAAEoH,KAAKI,OAAOxH,EAAEmJ,GAAG,KAAKnJ,EAAEoH,KAAKK,MAAMzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACA,EAAE,SAAS,CAACwI,YAAY,iBAAiB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,cAAcvH,EAAE,MAAMF,EAAEmJ,GAAG,KAAKjJ,EAAE,OAAO,CAACwI,YAAY,wBAAwB,CAAC1I,EAAEmJ,GAAG,aAAanJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,gBAAgBpJ,EAAEoH,KAAKK,KAAKvH,EAAE,OAAO,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKK,MAAM,YAAYzH,EAAEoH,KAAKgC,SAASlJ,EAAE,IAAI,CAACF,EAAEmJ,GAAG,WAAWnJ,EAAEqJ,GAAGrJ,EAAEoH,KAAKgC,UAAU,YAAYpJ,EAAEsJ,WAAW,IAAG,EAAG,KAAK,WAAW,MAAMvJ,SAASoH,MAAM,CAACyD,KAAK,CAACxF,KAAK6E,MAAM3I,QAAQ,WAAW,MAAM,CAAC,CAACiG,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,eAAeJ,UAAS,KAAM3F,GAAGxB,EAAE,IAAIA,EAAE,KAAKa,EAAEb,EAAEA,EAAEwB,GAAGrB,EAAEM,OAAOP,EAAED,EAATQ,CAAYD,GAAE,WAAY,IAAIV,EAAEkC,KAAKqG,eAAetI,EAAEiC,KAAKsG,MAAMC,IAAIzI,EAAE,OAAOC,EAAE,KAAKiC,KAAK2I,GAAG3I,KAAK0I,MAAK,SAAU5K,EAAEE,GAAG,OAAOD,EAAE,kBAAkB,CAACqH,IAAIpH,EAAEyI,MAAM,CAACvB,KAAKpH,QAAQ,KAAK,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBe,EAAEZ,GAAGY,IAAIV,GAAG,IAAIG,EAAEH,EAAEN;;;;;;;;;;;;;;;;;;;;;GAqBp+VE,EAAEqB,QAAQd,GAAGsK,GAAG,SAAS9K,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEA,EAAEC,GAAGA,GAAG4K,GAAG,SAAS/K,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK2D,KAAK,CAAC7D,EAAEI,EAAE,kZAAkZ,KAAKJ,EAAED,QAAQE,GAAG+K,GAAG,SAAShL,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEA,EAAEC,GAAGA,GAAG8K,GAAG,SAASjL,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK2D,KAAK,CAAC7D,EAAEI,EAAE,i9NAAi9N,KAAKJ,EAAED,QAAQE,GAAGiL,GAAG,SAASlL,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEA,EAAEC,GAAGA,GAAGgL,GAAG,SAASnL,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK2D,KAAK,CAAC7D,EAAEI,EAAE,4DAA4D,KAAKJ,EAAED,QAAQE,M,oBClBlwP,IAAImL,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACtL,EAAOM,EAAIgL,EAAS,MAC7DA,EAAQxE,SAAQ9G,EAAOC,QAAUqL,EAAQxE,SAG/BpE,EADH,EAAQ,IAA+DlB,SAChE,WAAY8J,GAAS,EAAM,K,iCCR5C,oBAAiX,G,qBCEjXrL,EADkC,EAAQ,GAChCsL,EAA4B,IAE9BxH,KAAK,CAAC/D,EAAOM,EAAI,0uBAA2uB,KAEpwBN,EAAOC,QAAUA,G,wCCNjB,I,wyBC+CA,IC/C2L,EDkD3L,CACA,mBACA,YACA,WACA,iBAEA,YACA,aAEA,OACA,UACA,YACA,+BAGA,KAfA,WAgBA,OACA,kBACA,YAGA,UACA,eADA,WAEA,kCACA,iHACA,sCACA,sEAEA,mHAEA,UATA,WAUA,mBACA,uCAEA,gBACA,wBACA,wDACA,CACA,OACA,KANA,KAQA,8DAGA,eAvBA,WAwBA,+DACA,6BAhDA,KAgDA,cACA,yCAGA,eA7BA,WA8BA,6EAEA,aAhCA,WAiCA,mBACA,OACA,qCA3DA,GA2DA,QAKA,gBAxCA,WAyCA,uCAEA,gBA3CA,WA2CA,WACA,SACA,8CACA,OACA,SACA,oBACA,kDAMA,Y,iBEtHIuL,EAAY,YACd,GHTW,WAAa,IAAIC,EAAIrJ,KAASsJ,EAAGD,EAAIhD,eAAmBE,EAAG8C,EAAI/C,MAAMC,IAAI+C,EAAG,OAAO/C,EAAG,MAAM,CAACC,YAAY,gBAAgB,CAACD,EAAG,MAAM,CAACmB,WAAW,CAAC,CAAC1C,KAAK,UAAU2C,QAAQ,mBAAmB3I,MAAOqK,EAAkB,eAAExB,WAAW,iBAAiB0B,UAAU,CAAC,QAAS,KAAQ/C,YAAY,cAAcK,GAAG,CAAC,MAAQ,SAAS2C,GAAQH,EAAII,gBAAgBJ,EAAII,kBAAkB,CAAEJ,EAAIK,gBAAgBhI,OAAS,EAAG6E,EAAG,MAAM,CAACC,YAAY,wBAAwB6C,EAAIjC,KAAKiC,EAAIpC,GAAG,KAAKoC,EAAIV,GAAIU,EAAmB,iBAAE,SAASM,GAAS,OAAOpD,EAAG,SAAS,CAACnB,IAAIuE,EAAQ5H,GAAG6H,MAAOP,EAAIQ,aAAaF,GAAUlD,MAAM,CAAC,KAAOkD,EAAQG,OAASH,EAAQG,OAASH,EAAQI,UAAU,WAA8B,OAAnBJ,EAAQG,OAAgB,mBAAkB,EAAK,KAAO,UAAS,GAAGT,EAAIpC,GAAG,KAAKV,EAAG,MAAM,CAACmB,WAAW,CAAC,CAAC1C,KAAK,OAAO2C,QAAQ,SAAS3I,MAAOqK,EAAkB,eAAExB,WAAW,mBAAmBrB,YAAY,0BAA0B,CAACD,EAAG,cAAc,CAACE,MAAM,CAAC,KAAO4C,EAAIK,mBAAmBL,EAAIpC,GAAG,KAAKoC,EAAIW,GAAG,YAAY,OACp8B,IGWpB,EACA,KACA,WACA,MAIa,UAAAZ,E","file":"editor-collab.js?v=7113b14aff5f5f406e65","sourcesContent":["!function(t,e){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=e():\"function\"==typeof define&&define.amd?define(\"Components/PopoverMenu\",[],e):\"object\"==typeof exports?exports[\"Components/PopoverMenu\"]=e():(t.NextcloudVue=t.NextcloudVue||{},t.NextcloudVue[\"Components/PopoverMenu\"]=e())}(window,(function(){return function(t){var e={};function n(a){if(e[a])return e[a].exports;var i=e[a]={i:a,l:!1,exports:{}};return t[a].call(i.exports,i,i.exports,n),i.l=!0,i.exports}return n.m=t,n.c=e,n.d=function(t,e,a){n.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:a})},n.r=function(t){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(t,\"__esModule\",{value:!0})},n.t=function(t,e){if(1&e&&(t=n(t)),8&e)return t;if(4&e&&\"object\"==typeof t&&t&&t.__esModule)return t;var a=Object.create(null);if(n.r(a),Object.defineProperty(a,\"default\",{enumerable:!0,value:t}),2&e&&\"string\"!=typeof t)for(var i in t)n.d(a,i,function(e){return t[e]}.bind(null,i));return a},n.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return n.d(e,\"a\",e),e},n.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},n.p=\"/dist/\",n(n.s=53)}({0:function(t,e,n){\"use strict\";function a(t,e,n,a,i,o,s,r){var l,c=\"function\"==typeof t?t.options:t;if(e&&(c.render=e,c.staticRenderFns=n,c._compiled=!0),a&&(c.functional=!0),o&&(c._scopeId=\"data-v-\"+o),s?(l=function(t){(t=t||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(t=__VUE_SSR_CONTEXT__),i&&i.call(this,t),t&&t._registeredComponents&&t._registeredComponents.add(s)},c._ssrRegister=l):i&&(l=r?function(){i.call(this,(c.functional?this.parent:this).$root.$options.shadowRoot)}:i),l)if(c.functional){c._injectStyles=l;var d=c.render;c.render=function(t,e){return l.call(e),d(t,e)}}else{var u=c.beforeCreate;c.beforeCreate=u?[].concat(u,l):[l]}return{exports:t,options:c}}n.d(e,\"a\",(function(){return a}))},1:function(t,e,n){\"use strict\";t.exports=function(t){var e=[];return e.toString=function(){return this.map((function(e){var n=function(t,e){var n=t[1]||\"\",a=t[3];if(!a)return n;if(e&&\"function\"==typeof btoa){var i=(s=a,r=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),l=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(r),\"/*# \".concat(l,\" */\")),o=a.sources.map((function(t){return\"/*# sourceURL=\".concat(a.sourceRoot||\"\").concat(t,\" */\")}));return[n].concat(o).concat([i]).join(\"\\n\")}var s,r,l;return[n].join(\"\\n\")}(e,t);return e[2]?\"@media \".concat(e[2],\" {\").concat(n,\"}\"):n})).join(\"\")},e.i=function(t,n,a){\"string\"==typeof t&&(t=[[null,t,\"\"]]);var i={};if(a)for(var o=0;o<this.length;o++){var s=this[o][0];null!=s&&(i[s]=!0)}for(var r=0;r<t.length;r++){var l=[].concat(t[r]);a&&i[l[0]]||(n&&(l[2]?l[2]=\"\".concat(n,\" and \").concat(l[2]):l[2]=n),e.push(l))}},e}},15:function(t,e){t.exports=require(\"core-js/modules/es.array.index-of\")},19:function(t,e){t.exports=require(\"core-js/modules/es.array.iterator\")},2:function(t,e,n){\"use strict\";function a(t,e){for(var n=[],a={},i=0;i<e.length;i++){var o=e[i],s=o[0],r={id:t+\":\"+i,css:o[1],media:o[2],sourceMap:o[3]};a[s]?a[s].parts.push(r):n.push(a[s]={id:s,parts:[r]})}return n}n.r(e),n.d(e,\"default\",(function(){return m}));var i=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!i)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var o={},s=i&&(document.head||document.getElementsByTagName(\"head\")[0]),r=null,l=0,c=!1,d=function(){},u=null,p=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function m(t,e,n,i){c=n,u=i||{};var s=a(t,e);return f(s),function(e){for(var n=[],i=0;i<s.length;i++){var r=s[i];(l=o[r.id]).refs--,n.push(l)}e?f(s=a(t,e)):s=[];for(i=0;i<n.length;i++){var l;if(0===(l=n[i]).refs){for(var c=0;c<l.parts.length;c++)l.parts[c]();delete o[l.id]}}}}function f(t){for(var e=0;e<t.length;e++){var n=t[e],a=o[n.id];if(a){a.refs++;for(var i=0;i<a.parts.length;i++)a.parts[i](n.parts[i]);for(;i<n.parts.length;i++)a.parts.push(v(n.parts[i]));a.parts.length>n.parts.length&&(a.parts.length=n.parts.length)}else{var s=[];for(i=0;i<n.parts.length;i++)s.push(v(n.parts[i]));o[n.id]={id:n.id,refs:1,parts:s}}}}function b(){var t=document.createElement(\"style\");return t.type=\"text/css\",s.appendChild(t),t}function v(t){var e,n,a=document.querySelector('style[data-vue-ssr-id~=\"'+t.id+'\"]');if(a){if(c)return d;a.parentNode.removeChild(a)}if(p){var i=l++;a=r||(r=b()),e=y.bind(null,a,i,!1),n=y.bind(null,a,i,!0)}else a=b(),e=g.bind(null,a),n=function(){a.parentNode.removeChild(a)};return e(t),function(a){if(a){if(a.css===t.css&&a.media===t.media&&a.sourceMap===t.sourceMap)return;e(t=a)}else n()}}var h,x=(h=[],function(t,e){return h[t]=e,h.filter(Boolean).join(\"\\n\")});function y(t,e,n,a){var i=n?\"\":a.css;if(t.styleSheet)t.styleSheet.cssText=x(e,i);else{var o=document.createTextNode(i),s=t.childNodes;s[e]&&t.removeChild(s[e]),s.length?t.insertBefore(o,s[e]):t.appendChild(o)}}function g(t,e){var n=e.css,a=e.media,i=e.sourceMap;if(a&&t.setAttribute(\"media\",a),u.ssrId&&t.setAttribute(\"data-vue-ssr-id\",e.id),i&&(n+=\"\\n/*# sourceURL=\"+i.sources[0]+\" */\",n+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+\" */\"),t.styleSheet)t.styleSheet.cssText=n;else{for(;t.firstChild;)t.removeChild(t.firstChild);t.appendChild(document.createTextNode(n))}}},20:function(t,e){t.exports=require(\"core-js/modules/es.string.iterator\")},21:function(t,e){t.exports=require(\"core-js/modules/web.dom-collections.iterator\")},27:function(t,e){t.exports=require(\"core-js/modules/web.url\")},28:function(t,e){t.exports=require(\"core-js/modules/es.regexp.to-string\")},33:function(t,e,n){var a=n(85);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"1f2ec49f\",a,!0,{})},34:function(t,e,n){var a=n(87);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"9c561116\",a,!0,{})},35:function(t,e,n){var a=n(89);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"93093140\",a,!0,{})},41:function(t,e){},5:function(t,e){t.exports=require(\"core-js/modules/es.object.to-string\")},53:function(t,e,n){\"use strict\";n.r(e);n(15),n(19),n(5),n(28),n(20),n(21),n(27);var a={name:\"PopoverMenuItem\",props:{item:{type:Object,required:!0,default:function(){return{key:\"nextcloud-link\",href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}},validator:function(t){return!t.input||-1!==[\"text\",\"checkbox\"].indexOf(t.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(t){return!1}}},methods:{action:function(t){this.item.action&&this.item.action(t)}}},i=(n(84),n(86),n(0)),o={name:\"PopoverMenu\",components:{PopoverMenuItem:Object(i.a)(a,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n(\"li\",[t.item.href?n(\"a\",{staticClass:\"focusable\",attrs:{href:t.item.href?t.item.href:\"#\",target:t.item.target?t.item.target:\"\",download:t.item.download,rel:\"noreferrer noopener\"},on:{click:t.action}},[t.iconIsUrl?n(\"img\",{attrs:{src:t.item.icon}}):n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()]):t.item.input?n(\"span\",{staticClass:\"menuitem\",class:{active:t.item.active}},[\"checkbox\"!==t.item.input?n(\"span\",{class:t.item.icon}):t._e(),t._v(\" \"),\"text\"===t.item.input?n(\"form\",{class:t.item.input,on:{submit:function(e){return e.preventDefault(),t.item.action(e)}}},[n(\"input\",{attrs:{type:t.item.input,placeholder:t.item.text,required:\"\"},domProps:{value:t.item.value}}),t._v(\" \"),n(\"input\",{staticClass:\"icon-confirm\",attrs:{type:\"submit\",value:\"\"}})]):[\"checkbox\"===t.item.input?n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:\"checkbox\"},domProps:{checked:Array.isArray(t.item.model)?t._i(t.item.model,null)>-1:t.item.model},on:{change:[function(e){var n=t.item.model,a=e.target,i=!!a.checked;if(Array.isArray(n)){var o=t._i(n,null);a.checked?o<0&&t.$set(t.item,\"model\",n.concat([null])):o>-1&&t.$set(t.item,\"model\",n.slice(0,o).concat(n.slice(o+1)))}else t.$set(t.item,\"model\",i)},t.item.action]}}):\"radio\"===t.item.input?n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:\"radio\"},domProps:{checked:t._q(t.item.model,null)},on:{change:[function(e){return t.$set(t.item,\"model\",null)},t.item.action]}}):n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:t.item.input},domProps:{value:t.item.model},on:{change:t.item.action,input:function(e){e.target.composing||t.$set(t.item,\"model\",e.target.value)}}}),t._v(\" \"),n(\"label\",{attrs:{for:t.key},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")])]],2):t.item.action?n(\"button\",{staticClass:\"menuitem focusable\",class:{active:t.item.active},attrs:{disabled:t.item.disabled},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()]):n(\"span\",{staticClass:\"menuitem\",class:{active:t.item.active}},[n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()])])}),[],!1,null,\"75ab886e\",null).exports},props:{menu:{type:Array,default:function(){return[{href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}]},required:!0}}},s=(n(88),n(41)),r=n.n(s),l=Object(i.a)(o,(function(){var t=this.$createElement,e=this._self._c||t;return e(\"ul\",this._l(this.menu,(function(t,n){return e(\"PopoverMenuItem\",{key:n,attrs:{item:t}})})),1)}),[],!1,null,\"81c2afc0\",null);\"function\"==typeof r.a&&r()(l);var c=l.exports;\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */e.default=c},84:function(t,e,n){\"use strict\";var a=n(33);n.n(a).a},85:function(t,e,n){(e=n(1)(!1)).push([t.i,\"\\nbutton.menuitem[data-v-75ab886e] {\\n\\ttext-align: left;\\n}\\nbutton.menuitem *[data-v-75ab886e] {\\n\\tcursor: pointer;\\n}\\nbutton.menuitem[data-v-75ab886e]:disabled {\\n\\topacity: 0.5 !important;\\n\\tcursor: default;\\n}\\nbutton.menuitem:disabled *[data-v-75ab886e] {\\n\\tcursor: default;\\n}\\n.menuitem.active[data-v-75ab886e] {\\n\\tbox-shadow: inset 2px 0 var(--color-primary);\\n\\tborder-radius: 0;\\n}\\n\",\"\"]),t.exports=e},86:function(t,e,n){\"use strict\";var a=n(34);n.n(a).a},87:function(t,e,n){(e=n(1)(!1)).push([t.i,\"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\\n\",\"\"]),t.exports=e},88:function(t,e,n){\"use strict\";var a=n(35);n.n(a).a},89:function(t,e,n){(e=n(1)(!1)).push([t.i,\"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\\n\",\"\"]),t.exports=e}})}));\n//# sourceMappingURL=PopoverMenu.js.map","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./SessionList.vue?vue&type=style&index=0&id=25a487e1&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"cc1235e6\", content, true, {});","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./SessionList.vue?vue&type=style&index=0&id=25a487e1&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./SessionList.vue?vue&type=style&index=0&id=25a487e1&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \".session-list[data-v-25a487e1]{position:relative}.session-list[data-v-25a487e1] .popovermenu{margin-right:-4px}.session-list[data-v-25a487e1] .popovermenu img{padding:0;width:32px !important;height:32px !important;margin:6px;border-radius:50%;filter:none !important}.avatar-list[data-v-25a487e1]{display:inline-flex;flex-direction:row-reverse}.avatar-list .avatardiv[data-v-25a487e1],.avatar-list[data-v-25a487e1] .avatardiv{width:36px;height:36px;margin-right:-8px;border:2px solid var(--color-main-background);box-sizing:content-box !important}.avatar-list .avatardiv.icon-more[data-v-25a487e1],.avatar-list[data-v-25a487e1] .avatardiv.icon-more{width:32px;height:32px;opacity:.5;cursor:pointer}.popovermenu[data-v-25a487e1]{display:block}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{staticClass:\"session-list\"},[_c('div',{directives:[{name:\"tooltip\",rawName:\"v-tooltip.bottom\",value:(_vm.editorsTooltip),expression:\"editorsTooltip\",modifiers:{\"bottom\":true}}],staticClass:\"avatar-list\",on:{\"click\":function($event){_vm.popoverVisible=!_vm.popoverVisible}}},[(_vm.sessionsPopover.length > 0)?_c('div',{staticClass:\"avatardiv icon-more\"}):_vm._e(),_vm._v(\" \"),_vm._l((_vm.sessionsVisible),function(session){return _c('Avatar',{key:session.id,style:(_vm.sessionStyle(session)),attrs:{\"user\":session.userId ? session.userId : session.guestName,\"is-guest\":session.userId === null,\"disable-tooltip\":true,\"size\":32}})})],2),_vm._v(\" \"),_c('div',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.popoverVisible),expression:\"popoverVisible\"}],staticClass:\"popovermenu menu-right\"},[_c('PopoverMenu',{attrs:{\"menu\":_vm.sessionsPopover}}),_vm._v(\" \"),_vm._t(\"default\")],2)])}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div class=\"session-list\">\n\t\t<div v-tooltip.bottom=\"editorsTooltip\" class=\"avatar-list\" @click=\"popoverVisible=!popoverVisible\">\n\t\t\t<div v-if=\"sessionsPopover.length > 0\" class=\"avatardiv icon-more\" />\n\t\t\t<Avatar v-for=\"session in sessionsVisible\"\n\t\t\t\t:key=\"session.id\"\n\t\t\t\t:user=\"session.userId ? session.userId : session.guestName\"\n\t\t\t\t:is-guest=\"session.userId === null\"\n\t\t\t\t:disable-tooltip=\"true\"\n\t\t\t\t:style=\"sessionStyle(session)\"\n\t\t\t\t:size=\"32\" />\n\t\t</div>\n\t\t<div v-show=\"popoverVisible\" class=\"popovermenu menu-right\">\n\t\t\t<PopoverMenu :menu=\"sessionsPopover\" />\n\t\t\t<slot />\n\t\t</div>\n\t</div>\n</template>\n\n<script>\nimport Avatar from '@nextcloud/vue/dist/Components/Avatar'\nimport PopoverMenu from '@nextcloud/vue/dist/Components/PopoverMenu'\nimport Tooltip from '@nextcloud/vue/dist/Directives/Tooltip'\nimport { generateUrl } from '@nextcloud/router'\n\nconst COLLABORATOR_IDLE_TIME = 10\nconst COLLABORATOR_DISCONNECT_TIME = 30\n\nexport default {\n\tname: 'SessionList',\n\tcomponents: {\n\t\tAvatar,\n\t\tPopoverMenu,\n\t},\n\tdirectives: {\n\t\ttooltip: Tooltip,\n\t},\n\tprops: {\n\t\tsessions: {\n\t\t\ttype: Object,\n\t\t\tdefault: () => { return {} },\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\tpopoverVisible: '',\n\t\t\tmyName: '',\n\t\t}\n\t},\n\tcomputed: {\n\t\teditorsTooltip() {\n\t\t\tif (this.sessionsPopover.length > 0) {\n\t\t\t\tconst first = this.activeSessions.slice(0, 3).map((session) => session.guestName ? session.guestName : session.displayName).join(', ')\n\t\t\t\tconst others = this.activeSessions.slice(3).length\n\t\t\t\treturn first + ' ' + n('text', 'and %n other editor', 'and %n other editors', others)\n\t\t\t}\n\t\t\treturn this.activeSessions.slice(0, 3).map((session) => session.guestName ? session.guestName : session.displayName).join(', ')\n\t\t},\n\t\tavatarUrl() {\n\t\t\treturn (session) => {\n\t\t\t\tconst user = !session.guestName ? session.userId : session.guestName\n\t\t\t\tconst size = 32\n\t\t\t\tconst guest = !!session.guestName\n\t\t\t\tconst avatarUrl = generateUrl(\n\t\t\t\t\tguest ? '/avatar/guest/{user}/{size}' : '/avatar/{user}/{size}',\n\t\t\t\t\t{\n\t\t\t\t\t\tuser,\n\t\t\t\t\t\tsize,\n\t\t\t\t\t})\n\t\t\t\treturn window.location.protocol + '//' + window.location.host + avatarUrl\n\t\t\t}\n\t\t},\n\t\tactiveSessions() {\n\t\t\treturn Object.values(this.sessions).filter((session) =>\n\t\t\t\tsession.lastContact > Date.now() / 1000 - COLLABORATOR_DISCONNECT_TIME && !session.isCurrent\n\t\t\t\t\t&& (session.userId !== null || session.guestName !== null)\n\t\t\t)\n\t\t},\n\t\tcurrentSession() {\n\t\t\treturn Object.values(this.sessions).find((session) => session.isCurrent)\n\t\t},\n\t\tsessionStyle() {\n\t\t\treturn (session) => {\n\t\t\t\treturn {\n\t\t\t\t\topacity: session.lastContact > Date.now() / 1000 - COLLABORATOR_IDLE_TIME ? 1 : 0.5,\n\t\t\t\t\t// 'border-color': session.color\n\t\t\t\t}\n\t\t\t}\n\t\t},\n\t\tsessionsVisible() {\n\t\t\treturn this.activeSessions.slice(0, 3)\n\t\t},\n\t\tsessionsPopover() {\n\t\t\treturn [\n\t\t\t\t...this.activeSessions.slice(3).map((session) => {\n\t\t\t\t\treturn {\n\t\t\t\t\t\thref: '#',\n\t\t\t\t\t\ticon: this.avatarUrl(session),\n\t\t\t\t\t\ttext: session.guestName ? session.guestName : session.displayName,\n\t\t\t\t\t}\n\t\t\t\t}),\n\t\t\t]\n\t\t},\n\t},\n\tmethods: {\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t.session-list {\n\t\tposition: relative;\n\n\t\t/deep/ .popovermenu {\n\t\t\tmargin-right: -4px;\n\t\t\timg {\n\t\t\t\tpadding: 0;\n\t\t\t\twidth: 32px !important;\n\t\t\t\theight: 32px !important;\n\t\t\t\tmargin: 6px;\n\t\t\t\tborder-radius: 50%;\n\t\t\t\tfilter: none !important;\n\t\t\t}\n\t\t}\n\t}\n\n\t.avatar-list {\n\t\tdisplay: inline-flex;\n\t\tflex-direction: row-reverse;\n\n\t\t.avatardiv,\n\t\t/deep/ .avatardiv {\n\t\t\twidth: 36px;\n\t\t\theight: 36px;\n\t\t\tmargin-right: -8px;\n\t\t\tborder: 2px solid var(--color-main-background);\n\t\t\tbox-sizing: content-box !important;\n\t\t\t&.icon-more {\n\t\t\t\twidth: 32px;\n\t\t\t\theight: 32px;\n\t\t\t\topacity: .5;\n\t\t\t\tcursor: pointer;\n\t\t\t}\n\t\t}\n\t}\n\n\t.popovermenu {\n\t\tdisplay: block;\n\t}\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./SessionList.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./SessionList.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./SessionList.vue?vue&type=template&id=25a487e1&scoped=true&\"\nimport script from \"./SessionList.vue?vue&type=script&lang=js&\"\nexport * from \"./SessionList.vue?vue&type=script&lang=js&\"\nimport style0 from \"./SessionList.vue?vue&type=style&index=0&id=25a487e1&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"25a487e1\",\n null\n \n)\n\nexport default component.exports"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/editor-guest.js b/js/editor-guest.js
index f6b6dde26..9838b41f2 100644
--- a/js/editor-guest.js
+++ b/js/editor-guest.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[191],{220:function(e,t,a){var s=a(665);"string"==typeof s&&(s=[[e.i,s,""]]),s.locals&&(e.exports=s.locals);(0,a(50).default)("dca7bb3a",s,!0,{})},664:function(e,t,a){"use strict";var s=a(220);a.n(s).a},665:function(e,t,a){(t=a(49)(!1)).push([e.i,"form.guest-name-dialog[data-v-295cde23]{display:flex;max-width:200px;margin:auto;margin-top:-2px;padding:3px}form.guest-name-dialog[data-v-295cde23] img{margin:0 !important}form.guest-name-dialog input[type=text][data-v-295cde23]{flex-grow:1}form.guest-name-dialog label[data-v-295cde23]{padding:3px;height:32px}\n",""]),e.exports=t},673:function(e,t,a){"use strict";a.r(t);var s=a(186),n=a.n(s),i=a(363),o=a.n(i),u=a(11),r={name:"GuestNameDialog",components:{Avatar:o.a},directives:{tooltip:n.a},props:{syncService:{type:Object,default:null}},data:function(){return{guestName:"",guestNameBuffered:""}},computed:{avatarUrl:function(){var e=Object(u.generateUrl)("/avatar/guest/{user}/{size}",{user:this.guestNameBuffered,size:32});return window.location.protocol+"//"+window.location.host+e}},beforeMount:function(){this.guestName=this.syncService.session.guestName,this.updateBufferedGuestName()},methods:{setGuestName:function(){var e=this,t=this.syncService.session.guestName;this.syncService.updateSession(this.guestName).then((function(){localStorage.setItem("nick",e.guestName),e.updateBufferedGuestName()})).catch((function(a){e.guestName=t}))},updateBufferedGuestName:function(){this.guestNameBuffered=this.guestName}}},c=(a(664),a(18)),m=Object(c.a)(r,(function(){var e=this,t=e.$createElement,a=e._self._c||t;return a("form",{directives:[{name:"tooltip",rawName:"v-tooltip",value:e.t("text","Enter your name so other users can see who is editing"),expression:"t('text', 'Enter your name so other users can see who is editing')"}],staticClass:"guest-name-dialog",on:{submit:function(t){return t.preventDefault(),e.setGuestName()}}},[a("label",[a("Avatar",{attrs:{url:e.avatarUrl,"disable-tooltip":!0,size:32}})],1),e._v(" "),a("input",{directives:[{name:"model",rawName:"v-model",value:e.guestName,expression:"guestName"}],attrs:{type:"text","aria-label":e.t("text","Edit guest name")},domProps:{value:e.guestName},on:{input:function(t){t.target.composing||(e.guestName=t.target.value)}}}),e._v(" "),a("input",{staticClass:"icon-confirm",attrs:{type:"submit","aria-label":e.t("text","Save guest name"),value:""}})])}),[],!1,null,"295cde23",null);t.default=m.exports}}]);
-//# sourceMappingURL=editor-guest.js.map?v=e1bf59729a8b74be4d0d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[191],{220:function(e,t,a){var s=a(665);"string"==typeof s&&(s=[[e.i,s,""]]),s.locals&&(e.exports=s.locals);(0,a(50).default)("dca7bb3a",s,!0,{})},664:function(e,t,a){"use strict";var s=a(220);a.n(s).a},665:function(e,t,a){(t=a(49)(!1)).push([e.i,"form.guest-name-dialog[data-v-295cde23]{display:flex;max-width:200px;margin:auto;margin-top:-2px;padding:3px}form.guest-name-dialog[data-v-295cde23] img{margin:0 !important}form.guest-name-dialog input[type=text][data-v-295cde23]{flex-grow:1}form.guest-name-dialog label[data-v-295cde23]{padding:3px;height:32px}\n",""]),e.exports=t},673:function(e,t,a){"use strict";a.r(t);var s=a(186),n=a.n(s),i=a(364),o=a.n(i),u=a(11),r={name:"GuestNameDialog",components:{Avatar:o.a},directives:{tooltip:n.a},props:{syncService:{type:Object,default:null}},data:function(){return{guestName:"",guestNameBuffered:""}},computed:{avatarUrl:function(){var e=Object(u.generateUrl)("/avatar/guest/{user}/{size}",{user:this.guestNameBuffered,size:32});return window.location.protocol+"//"+window.location.host+e}},beforeMount:function(){this.guestName=this.syncService.session.guestName,this.updateBufferedGuestName()},methods:{setGuestName:function(){var e=this,t=this.syncService.session.guestName;this.syncService.updateSession(this.guestName).then((function(){localStorage.setItem("nick",e.guestName),e.updateBufferedGuestName()})).catch((function(a){e.guestName=t}))},updateBufferedGuestName:function(){this.guestNameBuffered=this.guestName}}},c=(a(664),a(18)),m=Object(c.a)(r,(function(){var e=this,t=e.$createElement,a=e._self._c||t;return a("form",{directives:[{name:"tooltip",rawName:"v-tooltip",value:e.t("text","Enter your name so other users can see who is editing"),expression:"t('text', 'Enter your name so other users can see who is editing')"}],staticClass:"guest-name-dialog",on:{submit:function(t){return t.preventDefault(),e.setGuestName()}}},[a("label",[a("Avatar",{attrs:{url:e.avatarUrl,"disable-tooltip":!0,size:32}})],1),e._v(" "),a("input",{directives:[{name:"model",rawName:"v-model",value:e.guestName,expression:"guestName"}],attrs:{type:"text","aria-label":e.t("text","Edit guest name")},domProps:{value:e.guestName},on:{input:function(t){t.target.composing||(e.guestName=t.target.value)}}}),e._v(" "),a("input",{staticClass:"icon-confirm",attrs:{type:"submit","aria-label":e.t("text","Save guest name"),value:""}})])}),[],!1,null,"295cde23",null);t.default=m.exports}}]);
+//# sourceMappingURL=editor-guest.js.map?v=18fd63d85d2025596fb4 \ No newline at end of file
diff --git a/js/editor-guest.js.map b/js/editor-guest.js.map
index c34674171..2de83e6be 100644
--- a/js/editor-guest.js.map
+++ b/js/editor-guest.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./src/components/GuestNameDialog.vue?4d81","webpack:///./src/components/GuestNameDialog.vue?64ff","webpack:///./src/components/GuestNameDialog.vue?13bf","webpack:///./src/components/GuestNameDialog.vue?9c85","webpack:///./src/components/GuestNameDialog.vue?5ec3","webpack:///src/components/GuestNameDialog.vue","webpack:///./src/components/GuestNameDialog.vue"],"names":["content","module","i","locals","exports","add","default","___CSS_LOADER_API_IMPORT___","push","component","_vm","this","_h","$createElement","_c","_self","directives","name","rawName","value","t","expression","staticClass","on","$event","preventDefault","setGuestName","attrs","avatarUrl","_v","domProps","target","composing","guestName"],"mappings":"uFAGA,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAOC,EAAIF,EAAS,MAC7DA,EAAQG,SAAQF,EAAOG,QAAUJ,EAAQG,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYN,GAAS,EAAM,K,iCCR5C,oBAAqX,G,qBCErXI,EADkC,EAAQ,GAChCG,EAA4B,IAE9BC,KAAK,CAACP,EAAOC,EAAI,6TAA8T,KAEvVD,EAAOG,QAAUA,G,wCCNjB,I,4CCA+L,ECsC/L,CACA,uBACA,YACA,YAEA,YACA,aAEA,OACA,aACA,YACA,eAGA,KAdA,WAeA,OACA,aACA,uBAGA,UACA,UADA,WAEA,IACA,wBACA,8BACA,CACA,4BACA,KALA,KAOA,8DAGA,YAhCA,WAiCA,kDACA,gCAEA,SACA,aADA,WACA,WACA,qCACA,gEACA,yCACA,+BACA,mBACA,kBAGA,wBAVA,WAWA,yC,iBC7EIK,EAAY,YACd,GHTW,WAAa,IAAIC,EAAIC,KAASC,EAAGF,EAAIG,eAAmBC,EAAGJ,EAAIK,MAAMD,IAAIF,EAAG,OAAOE,EAAG,OAAO,CAACE,WAAW,CAAC,CAACC,KAAK,UAAUC,QAAQ,YAAYC,MAAOT,EAAIU,EAAE,OAAQ,yDAA0DC,WAAW,uEAAuEC,YAAY,oBAAoBC,GAAG,CAAC,OAAS,SAASC,GAAgC,OAAxBA,EAAOC,iBAAwBf,EAAIgB,kBAAkB,CAACZ,EAAG,QAAQ,CAACA,EAAG,SAAS,CAACa,MAAM,CAAC,IAAMjB,EAAIkB,UAAU,mBAAkB,EAAK,KAAO,OAAO,GAAGlB,EAAImB,GAAG,KAAKf,EAAG,QAAQ,CAACE,WAAW,CAAC,CAACC,KAAK,QAAQC,QAAQ,UAAUC,MAAOT,EAAa,UAAEW,WAAW,cAAcM,MAAM,CAAC,KAAO,OAAO,aAAajB,EAAIU,EAAE,OAAQ,oBAAoBU,SAAS,CAAC,MAASpB,EAAa,WAAGa,GAAG,CAAC,MAAQ,SAASC,GAAWA,EAAOO,OAAOC,YAAqBtB,EAAIuB,UAAUT,EAAOO,OAAOZ,WAAUT,EAAImB,GAAG,KAAKf,EAAG,QAAQ,CAACQ,YAAY,eAAeK,MAAM,CAAC,KAAO,SAAS,aAAajB,EAAIU,EAAE,OAAQ,mBAAmB,MAAQ,UACz7B,IGWpB,EACA,KACA,WACA,MAIa,UAAAX,E","file":"editor-guest.js?v=e1bf59729a8b74be4d0d","sourcesContent":["// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./GuestNameDialog.vue?vue&type=style&index=0&id=295cde23&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"dca7bb3a\", content, true, {});","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./GuestNameDialog.vue?vue&type=style&index=0&id=295cde23&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./GuestNameDialog.vue?vue&type=style&index=0&id=295cde23&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"form.guest-name-dialog[data-v-295cde23]{display:flex;max-width:200px;margin:auto;margin-top:-2px;padding:3px}form.guest-name-dialog[data-v-295cde23] img{margin:0 !important}form.guest-name-dialog input[type=text][data-v-295cde23]{flex-grow:1}form.guest-name-dialog label[data-v-295cde23]{padding:3px;height:32px}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('form',{directives:[{name:\"tooltip\",rawName:\"v-tooltip\",value:(_vm.t('text', 'Enter your name so other users can see who is editing')),expression:\"t('text', 'Enter your name so other users can see who is editing')\"}],staticClass:\"guest-name-dialog\",on:{\"submit\":function($event){$event.preventDefault();return _vm.setGuestName()}}},[_c('label',[_c('Avatar',{attrs:{\"url\":_vm.avatarUrl,\"disable-tooltip\":true,\"size\":32}})],1),_vm._v(\" \"),_c('input',{directives:[{name:\"model\",rawName:\"v-model\",value:(_vm.guestName),expression:\"guestName\"}],attrs:{\"type\":\"text\",\"aria-label\":_vm.t('text', 'Edit guest name')},domProps:{\"value\":(_vm.guestName)},on:{\"input\":function($event){if($event.target.composing){ return; }_vm.guestName=$event.target.value}}}),_vm._v(\" \"),_c('input',{staticClass:\"icon-confirm\",attrs:{\"type\":\"submit\",\"aria-label\":_vm.t('text', 'Save guest name'),\"value\":\"\"}})])}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./GuestNameDialog.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./GuestNameDialog.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<form v-tooltip=\"t('text', 'Enter your name so other users can see who is editing')\" class=\"guest-name-dialog\" @submit.prevent=\"setGuestName()\">\n\t\t<label><Avatar :url=\"avatarUrl\" :disable-tooltip=\"true\" :size=\"32\" /></label>\n\t\t<input v-model=\"guestName\" type=\"text\" :aria-label=\"t('text', 'Edit guest name')\">\n\t\t<input type=\"submit\"\n\t\t\tclass=\"icon-confirm\"\n\t\t\t:aria-label=\"t('text', 'Save guest name')\"\n\t\t\tvalue=\"\">\n\t</form>\n</template>\n\n<script>\nimport Tooltip from '@nextcloud/vue/dist/Directives/Tooltip'\nimport Avatar from '@nextcloud/vue/dist/Components/Avatar'\nimport { generateUrl } from '@nextcloud/router'\n\nexport default {\n\tname: 'GuestNameDialog',\n\tcomponents: {\n\t\tAvatar,\n\t},\n\tdirectives: {\n\t\ttooltip: Tooltip,\n\t},\n\tprops: {\n\t\tsyncService: {\n\t\t\ttype: Object,\n\t\t\tdefault: null,\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\tguestName: '',\n\t\t\tguestNameBuffered: '',\n\t\t}\n\t},\n\tcomputed: {\n\t\tavatarUrl() {\n\t\t\tconst size = 32\n\t\t\tconst avatarUrl = generateUrl(\n\t\t\t\t'/avatar/guest/{user}/{size}',\n\t\t\t\t{\n\t\t\t\t\tuser: this.guestNameBuffered,\n\t\t\t\t\tsize,\n\t\t\t\t})\n\t\t\treturn window.location.protocol + '//' + window.location.host + avatarUrl\n\t\t},\n\t},\n\tbeforeMount() {\n\t\tthis.guestName = this.syncService.session.guestName\n\t\tthis.updateBufferedGuestName()\n\t},\n\tmethods: {\n\t\tsetGuestName() {\n\t\t\tconst previousGuestName = this.syncService.session.guestName\n\t\t\tthis.syncService.updateSession(this.guestName).then(() => {\n\t\t\t\tlocalStorage.setItem('nick', this.guestName)\n\t\t\t\tthis.updateBufferedGuestName()\n\t\t\t}).catch((e) => {\n\t\t\t\tthis.guestName = previousGuestName\n\t\t\t})\n\t\t},\n\t\tupdateBufferedGuestName() {\n\t\t\tthis.guestNameBuffered = this.guestName\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\tform.guest-name-dialog {\n\t\tdisplay: flex;\n\t\tmax-width: 200px;\n\t\tmargin: auto;\n\t\tmargin-top: -2px;\n\t\tpadding: 3px;\n\n\t\t& /deep/ img {\n\t\t\tmargin: 0 !important;\n\t\t}\n\n\t\tinput[type=text] {\n\t\t\tflex-grow: 1;\n\t\t}\n\t\tlabel {\n\t\t\tpadding: 3px;\n\t\t\theight: 32px;\n\t\t}\n\t}\n</style>\n","import { render, staticRenderFns } from \"./GuestNameDialog.vue?vue&type=template&id=295cde23&scoped=true&\"\nimport script from \"./GuestNameDialog.vue?vue&type=script&lang=js&\"\nexport * from \"./GuestNameDialog.vue?vue&type=script&lang=js&\"\nimport style0 from \"./GuestNameDialog.vue?vue&type=style&index=0&id=295cde23&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"295cde23\",\n null\n \n)\n\nexport default component.exports"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./src/components/GuestNameDialog.vue?4d81","webpack:///./src/components/GuestNameDialog.vue?64ff","webpack:///./src/components/GuestNameDialog.vue?13bf","webpack:///./src/components/GuestNameDialog.vue?9c85","webpack:///./src/components/GuestNameDialog.vue?5ec3","webpack:///src/components/GuestNameDialog.vue","webpack:///./src/components/GuestNameDialog.vue"],"names":["content","module","i","locals","exports","add","default","___CSS_LOADER_API_IMPORT___","push","component","_vm","this","_h","$createElement","_c","_self","directives","name","rawName","value","t","expression","staticClass","on","$event","preventDefault","setGuestName","attrs","avatarUrl","_v","domProps","target","composing","guestName"],"mappings":"uFAGA,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAOC,EAAIF,EAAS,MAC7DA,EAAQG,SAAQF,EAAOG,QAAUJ,EAAQG,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYN,GAAS,EAAM,K,iCCR5C,oBAAqX,G,qBCErXI,EADkC,EAAQ,GAChCG,EAA4B,IAE9BC,KAAK,CAACP,EAAOC,EAAI,6TAA8T,KAEvVD,EAAOG,QAAUA,G,wCCNjB,I,4CCA+L,ECsC/L,CACA,uBACA,YACA,YAEA,YACA,aAEA,OACA,aACA,YACA,eAGA,KAdA,WAeA,OACA,aACA,uBAGA,UACA,UADA,WAEA,IACA,wBACA,8BACA,CACA,4BACA,KALA,KAOA,8DAGA,YAhCA,WAiCA,kDACA,gCAEA,SACA,aADA,WACA,WACA,qCACA,gEACA,yCACA,+BACA,mBACA,kBAGA,wBAVA,WAWA,yC,iBC7EIK,EAAY,YACd,GHTW,WAAa,IAAIC,EAAIC,KAASC,EAAGF,EAAIG,eAAmBC,EAAGJ,EAAIK,MAAMD,IAAIF,EAAG,OAAOE,EAAG,OAAO,CAACE,WAAW,CAAC,CAACC,KAAK,UAAUC,QAAQ,YAAYC,MAAOT,EAAIU,EAAE,OAAQ,yDAA0DC,WAAW,uEAAuEC,YAAY,oBAAoBC,GAAG,CAAC,OAAS,SAASC,GAAgC,OAAxBA,EAAOC,iBAAwBf,EAAIgB,kBAAkB,CAACZ,EAAG,QAAQ,CAACA,EAAG,SAAS,CAACa,MAAM,CAAC,IAAMjB,EAAIkB,UAAU,mBAAkB,EAAK,KAAO,OAAO,GAAGlB,EAAImB,GAAG,KAAKf,EAAG,QAAQ,CAACE,WAAW,CAAC,CAACC,KAAK,QAAQC,QAAQ,UAAUC,MAAOT,EAAa,UAAEW,WAAW,cAAcM,MAAM,CAAC,KAAO,OAAO,aAAajB,EAAIU,EAAE,OAAQ,oBAAoBU,SAAS,CAAC,MAASpB,EAAa,WAAGa,GAAG,CAAC,MAAQ,SAASC,GAAWA,EAAOO,OAAOC,YAAqBtB,EAAIuB,UAAUT,EAAOO,OAAOZ,WAAUT,EAAImB,GAAG,KAAKf,EAAG,QAAQ,CAACQ,YAAY,eAAeK,MAAM,CAAC,KAAO,SAAS,aAAajB,EAAIU,EAAE,OAAQ,mBAAmB,MAAQ,UACz7B,IGWpB,EACA,KACA,WACA,MAIa,UAAAX,E","file":"editor-guest.js?v=18fd63d85d2025596fb4","sourcesContent":["// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./GuestNameDialog.vue?vue&type=style&index=0&id=295cde23&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"dca7bb3a\", content, true, {});","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./GuestNameDialog.vue?vue&type=style&index=0&id=295cde23&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./GuestNameDialog.vue?vue&type=style&index=0&id=295cde23&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"form.guest-name-dialog[data-v-295cde23]{display:flex;max-width:200px;margin:auto;margin-top:-2px;padding:3px}form.guest-name-dialog[data-v-295cde23] img{margin:0 !important}form.guest-name-dialog input[type=text][data-v-295cde23]{flex-grow:1}form.guest-name-dialog label[data-v-295cde23]{padding:3px;height:32px}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('form',{directives:[{name:\"tooltip\",rawName:\"v-tooltip\",value:(_vm.t('text', 'Enter your name so other users can see who is editing')),expression:\"t('text', 'Enter your name so other users can see who is editing')\"}],staticClass:\"guest-name-dialog\",on:{\"submit\":function($event){$event.preventDefault();return _vm.setGuestName()}}},[_c('label',[_c('Avatar',{attrs:{\"url\":_vm.avatarUrl,\"disable-tooltip\":true,\"size\":32}})],1),_vm._v(\" \"),_c('input',{directives:[{name:\"model\",rawName:\"v-model\",value:(_vm.guestName),expression:\"guestName\"}],attrs:{\"type\":\"text\",\"aria-label\":_vm.t('text', 'Edit guest name')},domProps:{\"value\":(_vm.guestName)},on:{\"input\":function($event){if($event.target.composing){ return; }_vm.guestName=$event.target.value}}}),_vm._v(\" \"),_c('input',{staticClass:\"icon-confirm\",attrs:{\"type\":\"submit\",\"aria-label\":_vm.t('text', 'Save guest name'),\"value\":\"\"}})])}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./GuestNameDialog.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./GuestNameDialog.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<form v-tooltip=\"t('text', 'Enter your name so other users can see who is editing')\" class=\"guest-name-dialog\" @submit.prevent=\"setGuestName()\">\n\t\t<label><Avatar :url=\"avatarUrl\" :disable-tooltip=\"true\" :size=\"32\" /></label>\n\t\t<input v-model=\"guestName\" type=\"text\" :aria-label=\"t('text', 'Edit guest name')\">\n\t\t<input type=\"submit\"\n\t\t\tclass=\"icon-confirm\"\n\t\t\t:aria-label=\"t('text', 'Save guest name')\"\n\t\t\tvalue=\"\">\n\t</form>\n</template>\n\n<script>\nimport Tooltip from '@nextcloud/vue/dist/Directives/Tooltip'\nimport Avatar from '@nextcloud/vue/dist/Components/Avatar'\nimport { generateUrl } from '@nextcloud/router'\n\nexport default {\n\tname: 'GuestNameDialog',\n\tcomponents: {\n\t\tAvatar,\n\t},\n\tdirectives: {\n\t\ttooltip: Tooltip,\n\t},\n\tprops: {\n\t\tsyncService: {\n\t\t\ttype: Object,\n\t\t\tdefault: null,\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\tguestName: '',\n\t\t\tguestNameBuffered: '',\n\t\t}\n\t},\n\tcomputed: {\n\t\tavatarUrl() {\n\t\t\tconst size = 32\n\t\t\tconst avatarUrl = generateUrl(\n\t\t\t\t'/avatar/guest/{user}/{size}',\n\t\t\t\t{\n\t\t\t\t\tuser: this.guestNameBuffered,\n\t\t\t\t\tsize,\n\t\t\t\t})\n\t\t\treturn window.location.protocol + '//' + window.location.host + avatarUrl\n\t\t},\n\t},\n\tbeforeMount() {\n\t\tthis.guestName = this.syncService.session.guestName\n\t\tthis.updateBufferedGuestName()\n\t},\n\tmethods: {\n\t\tsetGuestName() {\n\t\t\tconst previousGuestName = this.syncService.session.guestName\n\t\t\tthis.syncService.updateSession(this.guestName).then(() => {\n\t\t\t\tlocalStorage.setItem('nick', this.guestName)\n\t\t\t\tthis.updateBufferedGuestName()\n\t\t\t}).catch((e) => {\n\t\t\t\tthis.guestName = previousGuestName\n\t\t\t})\n\t\t},\n\t\tupdateBufferedGuestName() {\n\t\t\tthis.guestNameBuffered = this.guestName\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\tform.guest-name-dialog {\n\t\tdisplay: flex;\n\t\tmax-width: 200px;\n\t\tmargin: auto;\n\t\tmargin-top: -2px;\n\t\tpadding: 3px;\n\n\t\t& /deep/ img {\n\t\t\tmargin: 0 !important;\n\t\t}\n\n\t\tinput[type=text] {\n\t\t\tflex-grow: 1;\n\t\t}\n\t\tlabel {\n\t\t\tpadding: 3px;\n\t\t\theight: 32px;\n\t\t}\n\t}\n</style>\n","import { render, staticRenderFns } from \"./GuestNameDialog.vue?vue&type=template&id=295cde23&scoped=true&\"\nimport script from \"./GuestNameDialog.vue?vue&type=script&lang=js&\"\nexport * from \"./GuestNameDialog.vue?vue&type=script&lang=js&\"\nimport style0 from \"./GuestNameDialog.vue?vue&type=style&index=0&id=295cde23&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"295cde23\",\n null\n \n)\n\nexport default component.exports"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/editor-rich.js b/js/editor-rich.js
index b0614df15..ed623e583 100644
--- a/js/editor-rich.js
+++ b/js/editor-rich.js
@@ -20,5 +20,5 @@
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
-var i=["text/markdown"],a=["text/plain","application/cmd","application/x-empty","application/x-msdos-program","application/epub+zip","application/javascript","application/json","application/x-perl","application/x-php","application/x-tex","application/xml","application/yaml","text/css","text/csv","text/html","text/x-c","text/x-c++src","text/x-h","text/x-java-source","text/x-ldif","text/x-python","text/x-shellscript"],o=[].concat(i,a)},165:function(t,e,n){"use strict";var i=n(27);n.n(i).a},166:function(t,e,n){(e=n(49)(!1)).push([t.i,"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\n",""]),t.exports=e},215:function(t,e,n){var i=n(642);"string"==typeof i&&(i=[[t.i,i,""]]),i.locals&&(t.exports=i.locals);(0,n(50).default)("cb196732",i,!0,{})},216:function(t,e,n){var i=n(644);"string"==typeof i&&(i=[[t.i,i,""]]),i.locals&&(t.exports=i.locals);(0,n(50).default)("8904371c",i,!0,{})},27:function(t,e,n){var i=n(166);"string"==typeof i&&(i=[[t.i,i,""]]),i.locals&&(t.exports=i.locals);(0,n(50).default)("df9632ee",i,!0,{})},28:function(e,n,i){"use strict";i.d(n,"b",(function(){return b})),i.d(n,"c",(function(){return m})),i.d(n,"d",(function(){return v})),i.d(n,"a",(function(){return g}));var a=i(13),o=i(29),r=i.n(o),c=i(11),l=i(14);function s(t,e,n,i,a,o,r){try{var c=t[o](r),l=c.value}catch(t){return void n(t)}c.done?e(l):Promise.resolve(l).then(i,a)}var u=!!document.getElementById("isPublic"),d=Object(c.generateOcsUrl)("apps/text"+(u?"/public":""),2)+"workspace",f={name:"RichWorkspace",components:{EditorWrapper:function(){return Promise.all([i.e(0),i.e(188),i.e(197),i.e(189)]).then(i.bind(null,176))}},props:{path:{type:String,required:!0}},data:function(){return{focus:!1,folder:null,file:null,loaded:!1,ready:!1,autofocus:!1,darkTheme:OCA.Accessibility&&"dark"===OCA.Accessibility.theme,enabled:OCA.Text.RichWorkspaceEnabled}},computed:{shareToken:function(){return document.getElementById("sharingToken")?document.getElementById("sharingToken").value:null},canCreate:function(){return!!(this.folder&&this.folder.permissions&OC.PERMISSION_CREATE)},showEmptyWorkspace:function(){return(!this.file||this.autofocus&&!this.ready)&&this.canCreate}},watch:{path:function(){this.getFileInfo()},focus:function(t){t||document.querySelector("#editor").scrollTo(0,0)}},mounted:function(){var t,e=this;return(t=regeneratorRuntime.mark((function t(){return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:e.enabled&&e.getFileInfo(),Object(l.subscribe)("Text::showRichWorkspace",(function(){e.enabled=!0,e.getFileInfo()})),Object(l.subscribe)("Text::hideRichWorkspace",(function(){e.enabled=!1}));case 3:case"end":return t.stop()}}),t)})),function(){var e=this,n=arguments;return new Promise((function(i,a){var o=t.apply(e,n);function r(t){s(o,i,a,r,c,"next",t)}function c(t){s(o,i,a,r,c,"throw",t)}r(void 0)}))})()},methods:{unfocus:function(){},reset:function(){var t=this;this.file=null,this.focus=!1,this.$nextTick((function(){t.creating=!1,t.getFileInfo()}))},getFileInfo:function(){var t=this;this.loaded=!1,this.autofocus=!1,this.ready=!1;var e={path:this.path};return u&&(e.shareToken=this.shareToken),r.a.get(d,{params:e}).then((function(e){var n=e.data.ocs.data;return t.folder=n.folder||null,t.file=n.file,t.editing=!0,t.loaded=!0,!0})).catch((function(e){var n=e.response.data.ocs.data;return t.folder=n.folder||null,t.file=null,t.loaded=!0,t.ready=!0,t.creating=!1,!1}))},createNew:function(){var t=this;this.creating||(this.creating=!0,this.getFileInfo().then((function(e){t.autofocus=!0,e||window.FileList.createFile("Readme.md",{scrollTo:!1,animate:!1}).then((function(e,n){t.getFileInfo()}))})))}}},h=(i(165),i(18)),p=Object(h.a)(f,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return t.enabled?n("div",{class:{"icon-loading":!t.loaded||!t.ready,focus:t.focus,dark:t.darkTheme,creatable:t.canCreate},attrs:{id:"rich-workspace"}},[t.showEmptyWorkspace?n("div",{staticClass:"empty-workspace",on:{click:t.createNew}},[n("p",{staticClass:"placeholder"},[t._v("\n\t\t\t"+t._s(t.t("text","Add notes, lists or links …"))+"\n\t\t")])]):t._e(),t._v(" "),t.file?n("EditorWrapper",{directives:[{name:"show",rawName:"v-show",value:t.ready,expression:"ready"}],key:t.file.id,attrs:{"file-id":t.file.id,"relative-path":t.file.path,"share-token":t.shareToken,active:!0,autohide:!0,mime:t.file.mimetype,autofocus:t.autofocus},on:{ready:function(e){t.ready=!0},focus:function(e){t.focus=!0},blur:t.unfocus,error:t.reset}}):t._e()],1):t._e()}),[],!1,null,"4bea3b82",null).exports,b=function(t,e){var n=t.split("/"),i=e.split("/");for(n.pop();n[0]===i[0];)n.shift(),i.shift();var a=n.fill("..").concat(i),o=e.split("/");return a.length<o.length?a.join("/"):e},v=function(){var e={attach:function(e){var n=e.fileList;"files"!==n.id&&"files.public"!==n.id||e.addMenuEntry({id:"file",displayName:t("text","New text document"),templateName:t("text","New text document")+".md",iconClass:"icon-filetype-text",fileType:"file",actionHandler:function(t){n.createFile(t).then((function(t,e){var i=new OCA.Files.FileInfoModel(e);void 0!==OCA.Viewer?OCA.Files.fileActions.triggerAction("view",i,n):void 0===OCA.Viewer&&OCA.Files.fileActions.triggerAction("Edit with text app",i,n)}))}})}};OC.Plugins.register("OCA.Files.NewFileMenu",e)},m=function(){var e,n=document.getElementById("sharingToken")?document.getElementById("sharingToken").value:null,o=document.getElementById("dir").value;if(!n||""!==o){var r=document.createElement("div");r.id="text-viewer-fallback",document.body.appendChild(r);for(var l=0;l<a.a.length;l++)e=a.a[l],OCA.Files.fileActions.register(e,"Edit with text app",OC.PERMISSION_UPDATE|OC.PERMISSION_READ,Object(c.imagePath)("core","actions/rename"),(function(t){var e=window.FileList.findFile(t);Promise.all([i.e(0).then(i.bind(null,45)),Promise.all([i.e(1),i.e(0),i.e(188),i.e(199),i.e(194)]).then(i.bind(null,669))]).then((function(i){var a=window.FileList.getCurrentDirectory()+"/"+t,o=i[0].default;o.prototype.t=window.t,o.prototype.n=window.n,o.prototype.OCA=window.OCA;var c=i[1].default;new o({render:function(t){return t(c,{props:{fileId:e?e.id:null,active:!0,shareToken:n,relativePath:a,mimeType:e.mimetype}})}}).$mount(r)}))}),t("text","Edit")),OCA.Files.fileActions.setDefault(a.a[l],"Edit with text app")}},g={el:null,attach:function(t){"files"!==t.id&&"files.public"!==t.id||(this.el=document.createElement("div"),t.registerHeader({id:"workspace",el:this.el,render:this.render.bind(this),priority:10}))},render:function(t){var e=this;"files"!==t.id&&"files.public"!==t.id||i.e(0).then(i.bind(null,45)).then((function(n){var i=n.default;e.el.id="files-workspace-wrapper",i.prototype.t=window.t,i.prototype.n=window.n,i.prototype.OCA=window.OCA;var a=new(i.extend(p))({propsData:{path:t.getCurrentDirectory()}}).$mount(e.el);t.$el.on("changeDirectory",(function(t){a.path=t.dir.toString()}))}))}}},641:function(t,e,n){"use strict";var i=n(215);n.n(i).a},642:function(t,e,n){(e=n(49)(!1)).push([t.i,".menubar[data-v-a72763be]{position:fixed;position:-webkit-sticky;position:sticky;top:0;display:flex;z-index:10010;background-color:var(--color-main-background-translucent);height:44px}.menubar.autohide[data-v-a72763be]{visibility:hidden;opacity:0;transition:visibility 0.2s 0.4s, opacity 0.2s 0.4s}.menubar.autohide.is-focused[data-v-a72763be]{visibility:visible;opacity:1}.menubar .menubar-icons[data-v-a72763be]{flex-grow:1;margin-left:calc((100% - 660px) / 2)}@media (max-width: 660px){.menubar .menubar-icons[data-v-a72763be]{margin-left:0}}.menubar[data-v-a72763be] .action-item__menu ul{max-height:calc(100vh - 88px);overflow:scroll}.menubar button[data-v-a72763be]{width:44px;height:44px;margin:0;background-size:16px;border:0;background-color:transparent;opacity:.5;color:var(--color-main-text);background-position:center center;vertical-align:top}.menubar button[data-v-a72763be]:hover,.menubar button[data-v-a72763be]:focus,.menubar button[data-v-a72763be]:active{background-color:var(--color-background-dark)}.menubar button.is-active[data-v-a72763be],.menubar button[data-v-a72763be]:hover,.menubar button[data-v-a72763be]:focus{opacity:1}.menubar button.icon-undo[data-v-a72763be],.menubar button.icon-redo[data-v-a72763be]{opacity:.4}.menubar .submenu[data-v-a72763be]{display:inline-block;width:44px;height:44px;position:relative;vertical-align:top}\n",""]),t.exports=e},643:function(t,e,n){"use strict";var i=n(216);n.n(i).a},644:function(t,e,n){(e=n(49)(!1)).push([t.i,".menububble[data-v-66ed9266]{position:absolute;display:flex;z-index:10020;background:var(--color-main-background-translucent);box-shadow:0 1px 5px var(--color-box-shadow);border-radius:var(--border-radius);padding:0;margin-bottom:0.4rem;visibility:hidden;opacity:0;transform:translateX(-50%);transition:opacity 0.2s, visibility 0.2s}.menububble.is-active[data-v-66ed9266]{opacity:1;visibility:visible}.menububble__button[data-v-66ed9266]{display:block;border:0;padding:0.3rem 0.7rem;margin:0;margin-right:0.2rem;border-radius:var(--border-radius);cursor:pointer}.menububble__button[data-v-66ed9266]:last-child{margin-right:0}.menububble__buttontext[data-v-66ed9266]{padding:0.4rem;padding-right:0}.menububble__form[data-v-66ed9266]{display:flex;align-items:center}.menububble__input[data-v-66ed9266]{font:inherit;border:none;background:transparent;min-width:150px}\n",""]),t.exports=e},668:function(e,n,i){"use strict";i.r(n);var a=i(183),o=i(186),r=i.n(o),c=[{label:t("text","Undo"),class:"icon-undo",isActive:function(t){},action:function(t){return t.undo()}},{label:t("text","Redo"),class:"icon-redo",isActive:function(t){},action:function(t){return t.redo()}},{label:t("text","Bold"),class:"icon-bold",isActive:function(t){return t.strong()},action:function(t){return t.strong()}},{label:t("text","Italic"),class:"icon-italic",isActive:function(t){return t.em()},action:function(t){return t.em()}},{label:t("text","Strikethrough"),class:"icon-strike",isActive:function(t){return t.strike()},action:function(t){return t.strike()}},{label:t("text","Paragraph"),class:"icon-paragraph",isActive:function(t){},action:function(t){return t.paragraph()}},{label:t("text","Headings"),visible:!1,children:[{label:t("text","Heading 1"),class:"icon-h1",isActive:function(t){return t.heading({level:1})},action:function(t){return t.heading({level:1})}},{label:t("text","Heading 2"),class:"icon-h2",isActive:function(t){return t.heading({level:2})},action:function(t){return t.heading({level:2})}},{label:t("text","Heading 3"),class:"icon-h3",isActive:function(t){return t.heading({level:3})},action:function(t){return t.heading({level:3})}},{label:t("text","Heading 4"),class:"icon-h4",isActive:function(t){return t.heading({level:4})},action:function(t){return t.heading({level:4})}},{label:t("text","Heading 5"),class:"icon-h5",isActive:function(t){return t.heading({level:5})},action:function(t){return t.heading({level:5})}},{label:t("text","Heading 6"),class:"icon-h6",isActive:function(t){return t.heading({level:6})},action:function(t){return t.heading({level:6})}}]},{label:t("text","Unordered list"),class:"icon-ul",isActive:function(t){return t.bullet_list()},action:function(t){return t.bullet_list_item()}},{label:t("text","Ordered list"),class:"icon-ol",isActive:function(t){return t.ordered_list()},action:function(t){return t.ordered_list()}},{label:t("text","ToDo list"),class:"icon-checkmark",isActive:function(t){return!1},action:function(t){return t.todo_item()}},{label:t("text","Blockquote"),class:"icon-quote",isActive:function(t){return t.blockquote()},action:function(t){return t.blockquote()}},{label:t("text","Code block"),class:"icon-code",isActive:function(t){return t.code_block()},action:function(t){return t.code_block()}}],l=i(28),s=i(638),u=i.n(s),d=i(639),f=i.n(d),h=i(214),p=i.n(h),b=i(640),v=i.n(b),m=i(109);function g(t,e){return function(t){if(Array.isArray(t))return t}(t)||function(t,e){if("undefined"==typeof Symbol||!(Symbol.iterator in Object(t)))return;var n=[],i=!0,a=!1,o=void 0;try{for(var r,c=t[Symbol.iterator]();!(i=(r=c.next()).done)&&(n.push(r.value),!e||n.length!==e);i=!0);}catch(t){a=!0,o=t}finally{try{i||null==c.return||c.return()}finally{if(a)throw o}}return n}(t,e)||x(t,e)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function k(t){return function(t){if(Array.isArray(t))return w(t)}(t)||function(t){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(t))return Array.from(t)}(t)||x(t)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function x(t,e){if(t){if("string"==typeof t)return w(t,e);var n=Object.prototype.toString.call(t).slice(8,-1);return"Object"===n&&t.constructor&&(n=t.constructor.name),"Map"===n||"Set"===n?Array.from(t):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?w(t,e):void 0}}function w(t,e){(null==e||e>t.length)&&(e=t.length);for(var n=0,i=new Array(e);n<e;n++)i[n]=t[n];return i}var y={name:"MenuBar",components:{EditorMenuBar:a.c,ActionButton:f.a,PopoverMenu:p.a,Actions:u.a},directives:{Tooltip:r.a,ClickOutside:v.a},props:{editor:{type:Object,required:!1,default:null},isRichEditor:{type:Boolean,default:!0},autohide:{type:Boolean,default:!1},isPublic:{type:Boolean,default:!1},filePath:{type:String,required:!1,default:""}},data:function(){return{windowWidth:0,windowHeight:0,forceRecompute:0,submenuVisibility:{},lastImagePath:null,icons:k(c)}},computed:{isHiddenInMenu:function(){var t=this;return function(e){return e-t.iconCount>=0}},getIconClasses:function(){return function(t,e){var n={"is-active":e.isActive(t)};return n[e.class]=!0,n}},isChildMenuVisible:function(){var t=this;return function(e){return!!Object.prototype.hasOwnProperty.call(t.submenuVisibility,e.label)&&t.submenuVisibility[e.label]}},allIcons:function(){var e=this;return this.isPublic?this.icons:[].concat(k(this.icons),[{label:t("text","Insert image"),class:"icon-image",isActive:function(){},action:function(t){e.showImagePrompt(t.image)}}])},childPopoverMenu:function(){var t=this;return function(e,n,i,a){var o=[],r=function(r){o.push({text:i[r].label,icon:i[r].class,action:function(){i[r].action(n),t.hideChildMenu(a)},active:i[r].isActive(e)})};for(var c in i)r(c);return o}},childIconClass:function(){return function(t,e){for(var n in e){var i=e[n];if(i.isActive(t))return i.class}return"icon-h1"}},iconCount:function(){this.forceRecompute,this.windowWidth;var t=this.$refs.menubar&&this.$refs.menubar.clientWidth>200?this.$refs.menubar.clientWidth:200,e=Math.max(Math.floor(t/44)-2,0);return e},imagePath:function(){return this.lastImagePath||this.filePath.split("/").slice(0,-1).join("/")}},mounted:function(){var t=this;window.addEventListener("resize",this.getWindowWidth),this.checkInterval=setInterval((function(){var e=t.$refs.menubar&&t.$refs.menubar.clientWidth>0;t.isRichEditor&&e&&t.redrawMenuBar(),t.isRichEditor&&!e||clearInterval(t.checkInterval)}),100)},beforeDestroy:function(){window.removeEventListener("resize",this.getWindowWidth)},methods:{redrawMenuBar:function(){var t=this;this.$nextTick((function(){t.getWindowWidth(),t.forceRecompute++}))},clickIcon:function(t,e){return e.action(t)},getWindowWidth:function(t){this.windowWidth=document.documentElement.clientWidth},getWindowHeight:function(t){this.windowHeight=document.documentElement.clientHeight},hideChildMenu:function(t){this.$set(this.submenuVisibility,t.label,!1)},toggleChildMenu:function(t){var e=!!Object.prototype.hasOwnProperty.call(this.submenuVisibility,t.label)&&this.submenuVisibility[t.label];this.$set(this.submenuVisibility,t.label,!e)},showImagePrompt:function(e){var n=this;if(Object(m.getCurrentUser)()){var i=e;OC.dialogs.filepicker(t("text","Insert an image"),(function(t){OC.Files.getClient().getFileInfo(t).then((function(t,e){n.lastImagePath=e.path;var a={mimetype:e.mimetype,hasPreview:e.hasPreview},o=Object(l.b)(n.filePath,"".concat(e.path,"/").concat(e.name)).split("/").map(encodeURIComponent).join("/"),r=Object.entries(a).map((function(t){var e=g(t,2),n=e[0],i=e[1];return"".concat(n,"=").concat(encodeURIComponent(i))})).join("&"),c="".concat(o,"?fileId=").concat(e.id,"#").concat(r);i({src:c,alt:e.name})}))}),!1,[],!0,void 0,this.imagePath)}},showLinkPrompt:function(t){var e=this;if(OC.getCurrentUser()){var n=t;OC.dialogs.filepicker("Insert a link",(function(t){OC.Files.getClient().getFileInfo(t).then((function(t,i){e.lastLinkPath=i.path;var a=e.optimalPathTo("".concat(i.path,"/").concat(i.name)).split("/").map(encodeURIComponent).join("/"),o="".concat(a,"?fileId=").concat(i.id);n({href:o})}))}),!1,[],!0,void 0,this.linkPath)}},optimalPathTo:function(t){var e=t.split("/"),n=this.relativePathTo(t).split("/");return n.length<e.length?n.join("/"):t},relativePathTo:function(t){var e=this.filePath.split("/"),n=t.split("/");for(e.pop();e[0]===n[0];)e.shift(),n.shift();return e.fill("..").concat(n).join("/")}}},C=(i(641),i(18)),A=Object(C.a)(y,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n("EditorMenuBar",{attrs:{editor:t.editor},scopedSlots:t._u([{key:"default",fn:function(e){var i=e.commands,a=e.isActive,o=e.focused;return[n("div",{staticClass:"menubar",class:{"is-focused":o,autohide:t.autohide}},[t.isRichEditor?n("div",{ref:"menubar",staticClass:"menubar-icons"},[t._l(t.allIcons,(function(e,o){return[e.class?n("button",{directives:[{name:"show",rawName:"v-show",value:o<t.iconCount,expression:"$index < iconCount"}],key:e.label,class:t.getIconClasses(a,e),attrs:{title:e.label},on:{click:function(n){return t.clickIcon(i,e)}}}):[n("div",{directives:[{name:"show",rawName:"v-show",value:o<t.iconCount||!e.class,expression:"$index < iconCount || !icon.class"},{name:"click-outside",rawName:"v-click-outside",value:function(){return t.hideChildMenu(e)},expression:"() => hideChildMenu(icon)"}],key:e.label,staticClass:"submenu"},[n("button",{class:t.childIconClass(a,e.children),attrs:{title:e.label},on:{click:function(n){return n.preventDefault(),t.toggleChildMenu(e)}}}),t._v(" "),n("div",{staticClass:"popovermenu menu-center",class:{open:t.isChildMenuVisible(e)}},[n("PopoverMenu",{attrs:{menu:t.childPopoverMenu(a,i,e.children,e)}})],1)])]]})),t._v(" "),n("Actions",[t._l(t.allIcons,(function(e,a){return[e.class&&t.isHiddenInMenu(a)?n("ActionButton",{key:e.class,attrs:{icon:e.class},on:{click:function(n){return t.clickIcon(i,e)}}},[t._v("\n\t\t\t\t\t\t"+t._s(e.label)+"\n\t\t\t\t\t")]):t._e()]}))],2)],2):t._e(),t._v(" "),t._t("default",[t._v("\n\t\t\tLeft side\n\t\t")])],2)]}}],null,!0)})}),[],!1,null,"a72763be",null);n.default=A.exports},670:function(e,n,i){"use strict";i.r(n);var a=i(183),o=i(186),r=i.n(o),c=i(28),l={name:"MenuBubble",components:{EditorMenuBubble:a.d},directives:{tooltip:r.a},props:{editor:{type:Object,required:!1,default:null},filePath:{type:String,required:!1,default:""}},data:function(){return{linkUrl:null,linkMenuIsActive:!1}},methods:{showLinkMenu:function(t){var e=this;this.linkUrl=t.href,this.linkMenuIsActive=!0,this.$nextTick((function(){e.$refs.linkInput.focus()}))},hideLinkMenu:function(){this.linkUrl=null,this.linkMenuIsActive=!1},selectFile:function(e){var n=this;if(OC.getCurrentUser()){var i=this.filePath.split("/").slice(0,-1).join("/");OC.dialogs.filepicker(t("text","Select file to link to"),(function(t){OC.Files.getClient().getFileInfo(t).then((function(t,i){var a=Object(c.b)(n.filePath,"".concat(i.path,"/").concat(i.name)).split("/").map(encodeURIComponent).join("/");e({href:"".concat(a,"?fileId=").concat(i.id)}),n.hideLinkMenu()}))}),!1,[],!0,void 0,i)}},setLinkUrl:function(t,e){e&&![/^[a-zA-Z]+:/,/^\//,/\?fileId=/,/^\.\.?\//,/^[^.]*[/$]/,/^#/].find((function(t){return e.match(t)}))&&(e="https://"+e),t({href:e}),this.hideLinkMenu()}}},s=(i(643),i(18)),u=Object(s.a)(l,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n("EditorMenuBubble",{staticClass:"menububble",attrs:{editor:t.editor},on:{hide:t.hideLinkMenu},scopedSlots:t._u([{key:"default",fn:function(e){var i=e.commands,a=e.isActive,o=e.getMarkAttrs,r=e.menu;return[n("div",{staticClass:"menububble",class:{"is-active":r.isActive},style:"left: "+r.left+"px; bottom: "+r.bottom+"px;"},[t.linkMenuIsActive?n("form",{staticClass:"menububble__form",on:{submit:function(e){return e.preventDefault(),t.setLinkUrl(i.link,t.linkUrl)}}},[n("input",{directives:[{name:"model",rawName:"v-model",value:t.linkUrl,expression:"linkUrl"}],ref:"linkInput",staticClass:"menububble__input",attrs:{type:"text",placeholder:"https://"},domProps:{value:t.linkUrl},on:{keydown:function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"esc",27,e.key,["Esc","Escape"])?null:t.hideLinkMenu(e)},input:function(e){e.target.composing||(t.linkUrl=e.target.value)}}}),t._v(" "),n("button",{staticClass:"menububble__button icon-confirm",attrs:{type:"button",tabindex:"0"},on:{click:function(e){return t.setLinkUrl(i.link,t.linkUrl)}}})]):[n("button",{staticClass:"menububble__button",class:{"is-active":a.link()},on:{click:function(e){t.showLinkMenu(o("link"))}}},[n("span",{directives:[{name:"tooltip",rawName:"v-tooltip",value:t.t("text",a.link()?"Update Link":"Add Link"),expression:"t('text', isActive.link() ? 'Update Link' : 'Add Link')"}],staticClass:"icon-link"}),t._v(" "),n("span",{staticClass:"menububble__buttontext"},[t._v("\n\t\t\t\t\t"+t._s(t.t("text",a.link()?"Update Link":"Add Link"))+"\n\t\t\t\t")])]),t._v(" "),n("button",{staticClass:"menububble__button",class:{"is-active":a.link()},on:{click:function(e){return t.selectFile(i.link)}}},[n("span",{directives:[{name:"tooltip",rawName:"v-tooltip",value:t.t("text","Link file"),expression:"t('text', 'Link file')"}],staticClass:"icon-file"}),t._v(" "),n("span",{staticClass:"menububble__buttontext"},[t._v(t._s(t.t("text","Link file")))])])]],2)]}}])})}),[],!1,null,"66ed9266",null);n.default=u.exports}}]);
-//# sourceMappingURL=editor-rich.js.map?v=e548ee7cc67f1a27e8c9 \ No newline at end of file
+var i=["text/markdown"],a=["text/plain","application/cmd","application/x-empty","application/x-msdos-program","application/epub+zip","application/javascript","application/json","application/x-perl","application/x-php","application/x-tex","application/xml","application/yaml","text/css","text/csv","text/html","text/x-c","text/x-c++src","text/x-h","text/x-java-source","text/x-ldif","text/x-python","text/x-shellscript"],o=[].concat(i,a)},165:function(t,e,n){"use strict";var i=n(27);n.n(i).a},166:function(t,e,n){(e=n(49)(!1)).push([t.i,"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\n",""]),t.exports=e},215:function(t,e,n){var i=n(643);"string"==typeof i&&(i=[[t.i,i,""]]),i.locals&&(t.exports=i.locals);(0,n(50).default)("cb196732",i,!0,{})},216:function(t,e,n){var i=n(645);"string"==typeof i&&(i=[[t.i,i,""]]),i.locals&&(t.exports=i.locals);(0,n(50).default)("8904371c",i,!0,{})},27:function(t,e,n){var i=n(166);"string"==typeof i&&(i=[[t.i,i,""]]),i.locals&&(t.exports=i.locals);(0,n(50).default)("df9632ee",i,!0,{})},28:function(e,n,i){"use strict";i.d(n,"b",(function(){return b})),i.d(n,"c",(function(){return m})),i.d(n,"d",(function(){return v})),i.d(n,"a",(function(){return g}));var a=i(13),o=i(29),r=i.n(o),c=i(11),l=i(14);function s(t,e,n,i,a,o,r){try{var c=t[o](r),l=c.value}catch(t){return void n(t)}c.done?e(l):Promise.resolve(l).then(i,a)}var u=!!document.getElementById("isPublic"),d=Object(c.generateOcsUrl)("apps/text"+(u?"/public":""),2)+"workspace",f={name:"RichWorkspace",components:{EditorWrapper:function(){return Promise.all([i.e(0),i.e(188),i.e(197),i.e(189)]).then(i.bind(null,176))}},props:{path:{type:String,required:!0}},data:function(){return{focus:!1,folder:null,file:null,loaded:!1,ready:!1,autofocus:!1,darkTheme:OCA.Accessibility&&"dark"===OCA.Accessibility.theme,enabled:OCA.Text.RichWorkspaceEnabled}},computed:{shareToken:function(){return document.getElementById("sharingToken")?document.getElementById("sharingToken").value:null},canCreate:function(){return!!(this.folder&&this.folder.permissions&OC.PERMISSION_CREATE)},showEmptyWorkspace:function(){return(!this.file||this.autofocus&&!this.ready)&&this.canCreate}},watch:{path:function(){this.getFileInfo()},focus:function(t){t||document.querySelector("#editor").scrollTo(0,0)}},mounted:function(){var t,e=this;return(t=regeneratorRuntime.mark((function t(){return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:e.enabled&&e.getFileInfo(),Object(l.subscribe)("Text::showRichWorkspace",(function(){e.enabled=!0,e.getFileInfo()})),Object(l.subscribe)("Text::hideRichWorkspace",(function(){e.enabled=!1}));case 3:case"end":return t.stop()}}),t)})),function(){var e=this,n=arguments;return new Promise((function(i,a){var o=t.apply(e,n);function r(t){s(o,i,a,r,c,"next",t)}function c(t){s(o,i,a,r,c,"throw",t)}r(void 0)}))})()},methods:{unfocus:function(){},reset:function(){var t=this;this.file=null,this.focus=!1,this.$nextTick((function(){t.creating=!1,t.getFileInfo()}))},getFileInfo:function(){var t=this;this.loaded=!1,this.autofocus=!1,this.ready=!1;var e={path:this.path};return u&&(e.shareToken=this.shareToken),r.a.get(d,{params:e}).then((function(e){var n=e.data.ocs.data;return t.folder=n.folder||null,t.file=n.file,t.editing=!0,t.loaded=!0,!0})).catch((function(e){var n=e.response.data.ocs.data;return t.folder=n.folder||null,t.file=null,t.loaded=!0,t.ready=!0,t.creating=!1,!1}))},createNew:function(){var t=this;this.creating||(this.creating=!0,this.getFileInfo().then((function(e){t.autofocus=!0,e||window.FileList.createFile("Readme.md",{scrollTo:!1,animate:!1}).then((function(e,n){t.getFileInfo()}))})))}}},h=(i(165),i(18)),p=Object(h.a)(f,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return t.enabled?n("div",{class:{"icon-loading":!t.loaded||!t.ready,focus:t.focus,dark:t.darkTheme,creatable:t.canCreate},attrs:{id:"rich-workspace"}},[t.showEmptyWorkspace?n("div",{staticClass:"empty-workspace",on:{click:t.createNew}},[n("p",{staticClass:"placeholder"},[t._v("\n\t\t\t"+t._s(t.t("text","Add notes, lists or links …"))+"\n\t\t")])]):t._e(),t._v(" "),t.file?n("EditorWrapper",{directives:[{name:"show",rawName:"v-show",value:t.ready,expression:"ready"}],key:t.file.id,attrs:{"file-id":t.file.id,"relative-path":t.file.path,"share-token":t.shareToken,active:!0,autohide:!0,mime:t.file.mimetype,autofocus:t.autofocus},on:{ready:function(e){t.ready=!0},focus:function(e){t.focus=!0},blur:t.unfocus,error:t.reset}}):t._e()],1):t._e()}),[],!1,null,"4bea3b82",null).exports,b=function(t,e){var n=t.split("/"),i=e.split("/");for(n.pop();n[0]===i[0];)n.shift(),i.shift();var a=n.fill("..").concat(i),o=e.split("/");return a.length<o.length?a.join("/"):e},v=function(){var e={attach:function(e){var n=e.fileList;"files"!==n.id&&"files.public"!==n.id||e.addMenuEntry({id:"file",displayName:t("text","New text document"),templateName:t("text","New text document")+".md",iconClass:"icon-filetype-text",fileType:"file",actionHandler:function(t){n.createFile(t).then((function(t,e){var i=new OCA.Files.FileInfoModel(e);void 0!==OCA.Viewer?OCA.Files.fileActions.triggerAction("view",i,n):void 0===OCA.Viewer&&OCA.Files.fileActions.triggerAction("Edit with text app",i,n)}))}})}};OC.Plugins.register("OCA.Files.NewFileMenu",e)},m=function(){var e,n=document.getElementById("sharingToken")?document.getElementById("sharingToken").value:null,o=document.getElementById("dir").value;if(!n||""!==o){var r=document.createElement("div");r.id="text-viewer-fallback",document.body.appendChild(r);for(var l=0;l<a.a.length;l++)e=a.a[l],OCA.Files.fileActions.register(e,"Edit with text app",OC.PERMISSION_UPDATE|OC.PERMISSION_READ,Object(c.imagePath)("core","actions/rename"),(function(t){var e=window.FileList.findFile(t);Promise.all([i.e(0).then(i.bind(null,45)),Promise.all([i.e(1),i.e(0),i.e(188),i.e(199),i.e(194)]).then(i.bind(null,669))]).then((function(i){var a=window.FileList.getCurrentDirectory()+"/"+t,o=i[0].default;o.prototype.t=window.t,o.prototype.n=window.n,o.prototype.OCA=window.OCA;var c=i[1].default;new o({render:function(t){return t(c,{props:{fileId:e?e.id:null,active:!0,shareToken:n,relativePath:a,mimeType:e.mimetype}})}}).$mount(r)}))}),t("text","Edit")),OCA.Files.fileActions.setDefault(a.a[l],"Edit with text app")}},g={el:null,attach:function(t){"files"!==t.id&&"files.public"!==t.id||(this.el=document.createElement("div"),t.registerHeader({id:"workspace",el:this.el,render:this.render.bind(this),priority:10}))},render:function(t){var e=this;"files"!==t.id&&"files.public"!==t.id||i.e(0).then(i.bind(null,45)).then((function(n){var i=n.default;e.el.id="files-workspace-wrapper",i.prototype.t=window.t,i.prototype.n=window.n,i.prototype.OCA=window.OCA;var a=new(i.extend(p))({propsData:{path:t.getCurrentDirectory()}}).$mount(e.el);t.$el.on("changeDirectory",(function(t){a.path=t.dir.toString()}))}))}}},642:function(t,e,n){"use strict";var i=n(215);n.n(i).a},643:function(t,e,n){(e=n(49)(!1)).push([t.i,".menubar[data-v-a72763be]{position:fixed;position:-webkit-sticky;position:sticky;top:0;display:flex;z-index:10010;background-color:var(--color-main-background-translucent);height:44px}.menubar.autohide[data-v-a72763be]{visibility:hidden;opacity:0;transition:visibility 0.2s 0.4s, opacity 0.2s 0.4s}.menubar.autohide.is-focused[data-v-a72763be]{visibility:visible;opacity:1}.menubar .menubar-icons[data-v-a72763be]{flex-grow:1;margin-left:calc((100% - 660px) / 2)}@media (max-width: 660px){.menubar .menubar-icons[data-v-a72763be]{margin-left:0}}.menubar[data-v-a72763be] .action-item__menu ul{max-height:calc(100vh - 88px);overflow:scroll}.menubar button[data-v-a72763be]{width:44px;height:44px;margin:0;background-size:16px;border:0;background-color:transparent;opacity:.5;color:var(--color-main-text);background-position:center center;vertical-align:top}.menubar button[data-v-a72763be]:hover,.menubar button[data-v-a72763be]:focus,.menubar button[data-v-a72763be]:active{background-color:var(--color-background-dark)}.menubar button.is-active[data-v-a72763be],.menubar button[data-v-a72763be]:hover,.menubar button[data-v-a72763be]:focus{opacity:1}.menubar button.icon-undo[data-v-a72763be],.menubar button.icon-redo[data-v-a72763be]{opacity:.4}.menubar .submenu[data-v-a72763be]{display:inline-block;width:44px;height:44px;position:relative;vertical-align:top}\n",""]),t.exports=e},644:function(t,e,n){"use strict";var i=n(216);n.n(i).a},645:function(t,e,n){(e=n(49)(!1)).push([t.i,".menububble[data-v-66ed9266]{position:absolute;display:flex;z-index:10020;background:var(--color-main-background-translucent);box-shadow:0 1px 5px var(--color-box-shadow);border-radius:var(--border-radius);padding:0;margin-bottom:0.4rem;visibility:hidden;opacity:0;transform:translateX(-50%);transition:opacity 0.2s, visibility 0.2s}.menububble.is-active[data-v-66ed9266]{opacity:1;visibility:visible}.menububble__button[data-v-66ed9266]{display:block;border:0;padding:0.3rem 0.7rem;margin:0;margin-right:0.2rem;border-radius:var(--border-radius);cursor:pointer}.menububble__button[data-v-66ed9266]:last-child{margin-right:0}.menububble__buttontext[data-v-66ed9266]{padding:0.4rem;padding-right:0}.menububble__form[data-v-66ed9266]{display:flex;align-items:center}.menububble__input[data-v-66ed9266]{font:inherit;border:none;background:transparent;min-width:150px}\n",""]),t.exports=e},668:function(e,n,i){"use strict";i.r(n);var a=i(183),o=i(186),r=i.n(o),c=[{label:t("text","Undo"),class:"icon-undo",isActive:function(t){},action:function(t){return t.undo()}},{label:t("text","Redo"),class:"icon-redo",isActive:function(t){},action:function(t){return t.redo()}},{label:t("text","Bold"),class:"icon-bold",isActive:function(t){return t.strong()},action:function(t){return t.strong()}},{label:t("text","Italic"),class:"icon-italic",isActive:function(t){return t.em()},action:function(t){return t.em()}},{label:t("text","Strikethrough"),class:"icon-strike",isActive:function(t){return t.strike()},action:function(t){return t.strike()}},{label:t("text","Paragraph"),class:"icon-paragraph",isActive:function(t){},action:function(t){return t.paragraph()}},{label:t("text","Headings"),visible:!1,children:[{label:t("text","Heading 1"),class:"icon-h1",isActive:function(t){return t.heading({level:1})},action:function(t){return t.heading({level:1})}},{label:t("text","Heading 2"),class:"icon-h2",isActive:function(t){return t.heading({level:2})},action:function(t){return t.heading({level:2})}},{label:t("text","Heading 3"),class:"icon-h3",isActive:function(t){return t.heading({level:3})},action:function(t){return t.heading({level:3})}},{label:t("text","Heading 4"),class:"icon-h4",isActive:function(t){return t.heading({level:4})},action:function(t){return t.heading({level:4})}},{label:t("text","Heading 5"),class:"icon-h5",isActive:function(t){return t.heading({level:5})},action:function(t){return t.heading({level:5})}},{label:t("text","Heading 6"),class:"icon-h6",isActive:function(t){return t.heading({level:6})},action:function(t){return t.heading({level:6})}}]},{label:t("text","Unordered list"),class:"icon-ul",isActive:function(t){return t.bullet_list()},action:function(t){return t.bullet_list_item()}},{label:t("text","Ordered list"),class:"icon-ol",isActive:function(t){return t.ordered_list()},action:function(t){return t.ordered_list()}},{label:t("text","ToDo list"),class:"icon-checkmark",isActive:function(t){return!1},action:function(t){return t.todo_item()}},{label:t("text","Blockquote"),class:"icon-quote",isActive:function(t){return t.blockquote()},action:function(t){return t.blockquote()}},{label:t("text","Code block"),class:"icon-code",isActive:function(t){return t.code_block()},action:function(t){return t.code_block()}}],l=i(28),s=i(639),u=i.n(s),d=i(640),f=i.n(d),h=i(214),p=i.n(h),b=i(641),v=i.n(b),m=i(109);function g(t,e){return function(t){if(Array.isArray(t))return t}(t)||function(t,e){if("undefined"==typeof Symbol||!(Symbol.iterator in Object(t)))return;var n=[],i=!0,a=!1,o=void 0;try{for(var r,c=t[Symbol.iterator]();!(i=(r=c.next()).done)&&(n.push(r.value),!e||n.length!==e);i=!0);}catch(t){a=!0,o=t}finally{try{i||null==c.return||c.return()}finally{if(a)throw o}}return n}(t,e)||x(t,e)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function k(t){return function(t){if(Array.isArray(t))return w(t)}(t)||function(t){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(t))return Array.from(t)}(t)||x(t)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function x(t,e){if(t){if("string"==typeof t)return w(t,e);var n=Object.prototype.toString.call(t).slice(8,-1);return"Object"===n&&t.constructor&&(n=t.constructor.name),"Map"===n||"Set"===n?Array.from(t):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?w(t,e):void 0}}function w(t,e){(null==e||e>t.length)&&(e=t.length);for(var n=0,i=new Array(e);n<e;n++)i[n]=t[n];return i}var y={name:"MenuBar",components:{EditorMenuBar:a.c,ActionButton:f.a,PopoverMenu:p.a,Actions:u.a},directives:{Tooltip:r.a,ClickOutside:v.a},props:{editor:{type:Object,required:!1,default:null},isRichEditor:{type:Boolean,default:!0},autohide:{type:Boolean,default:!1},isPublic:{type:Boolean,default:!1},filePath:{type:String,required:!1,default:""}},data:function(){return{windowWidth:0,windowHeight:0,forceRecompute:0,submenuVisibility:{},lastImagePath:null,icons:k(c)}},computed:{isHiddenInMenu:function(){var t=this;return function(e){return e-t.iconCount>=0}},getIconClasses:function(){return function(t,e){var n={"is-active":e.isActive(t)};return n[e.class]=!0,n}},isChildMenuVisible:function(){var t=this;return function(e){return!!Object.prototype.hasOwnProperty.call(t.submenuVisibility,e.label)&&t.submenuVisibility[e.label]}},allIcons:function(){var e=this;return this.isPublic?this.icons:[].concat(k(this.icons),[{label:t("text","Insert image"),class:"icon-image",isActive:function(){},action:function(t){e.showImagePrompt(t.image)}}])},childPopoverMenu:function(){var t=this;return function(e,n,i,a){var o=[],r=function(r){o.push({text:i[r].label,icon:i[r].class,action:function(){i[r].action(n),t.hideChildMenu(a)},active:i[r].isActive(e)})};for(var c in i)r(c);return o}},childIconClass:function(){return function(t,e){for(var n in e){var i=e[n];if(i.isActive(t))return i.class}return"icon-h1"}},iconCount:function(){this.forceRecompute,this.windowWidth;var t=this.$refs.menubar&&this.$refs.menubar.clientWidth>200?this.$refs.menubar.clientWidth:200,e=Math.max(Math.floor(t/44)-2,0);return e},imagePath:function(){return this.lastImagePath||this.filePath.split("/").slice(0,-1).join("/")}},mounted:function(){var t=this;window.addEventListener("resize",this.getWindowWidth),this.checkInterval=setInterval((function(){var e=t.$refs.menubar&&t.$refs.menubar.clientWidth>0;t.isRichEditor&&e&&t.redrawMenuBar(),t.isRichEditor&&!e||clearInterval(t.checkInterval)}),100)},beforeDestroy:function(){window.removeEventListener("resize",this.getWindowWidth)},methods:{redrawMenuBar:function(){var t=this;this.$nextTick((function(){t.getWindowWidth(),t.forceRecompute++}))},clickIcon:function(t,e){return e.action(t)},getWindowWidth:function(t){this.windowWidth=document.documentElement.clientWidth},getWindowHeight:function(t){this.windowHeight=document.documentElement.clientHeight},hideChildMenu:function(t){this.$set(this.submenuVisibility,t.label,!1)},toggleChildMenu:function(t){var e=!!Object.prototype.hasOwnProperty.call(this.submenuVisibility,t.label)&&this.submenuVisibility[t.label];this.$set(this.submenuVisibility,t.label,!e)},showImagePrompt:function(e){var n=this;if(Object(m.getCurrentUser)()){var i=e;OC.dialogs.filepicker(t("text","Insert an image"),(function(t){OC.Files.getClient().getFileInfo(t).then((function(t,e){n.lastImagePath=e.path;var a={mimetype:e.mimetype,hasPreview:e.hasPreview},o=Object(l.b)(n.filePath,"".concat(e.path,"/").concat(e.name)).split("/").map(encodeURIComponent).join("/"),r=Object.entries(a).map((function(t){var e=g(t,2),n=e[0],i=e[1];return"".concat(n,"=").concat(encodeURIComponent(i))})).join("&"),c="".concat(o,"?fileId=").concat(e.id,"#").concat(r);i({src:c,alt:e.name})}))}),!1,[],!0,void 0,this.imagePath)}},showLinkPrompt:function(t){var e=this;if(OC.getCurrentUser()){var n=t;OC.dialogs.filepicker("Insert a link",(function(t){OC.Files.getClient().getFileInfo(t).then((function(t,i){e.lastLinkPath=i.path;var a=e.optimalPathTo("".concat(i.path,"/").concat(i.name)).split("/").map(encodeURIComponent).join("/"),o="".concat(a,"?fileId=").concat(i.id);n({href:o})}))}),!1,[],!0,void 0,this.linkPath)}},optimalPathTo:function(t){var e=t.split("/"),n=this.relativePathTo(t).split("/");return n.length<e.length?n.join("/"):t},relativePathTo:function(t){var e=this.filePath.split("/"),n=t.split("/");for(e.pop();e[0]===n[0];)e.shift(),n.shift();return e.fill("..").concat(n).join("/")}}},C=(i(642),i(18)),A=Object(C.a)(y,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n("EditorMenuBar",{attrs:{editor:t.editor},scopedSlots:t._u([{key:"default",fn:function(e){var i=e.commands,a=e.isActive,o=e.focused;return[n("div",{staticClass:"menubar",class:{"is-focused":o,autohide:t.autohide}},[t.isRichEditor?n("div",{ref:"menubar",staticClass:"menubar-icons"},[t._l(t.allIcons,(function(e,o){return[e.class?n("button",{directives:[{name:"show",rawName:"v-show",value:o<t.iconCount,expression:"$index < iconCount"}],key:e.label,class:t.getIconClasses(a,e),attrs:{title:e.label},on:{click:function(n){return t.clickIcon(i,e)}}}):[n("div",{directives:[{name:"show",rawName:"v-show",value:o<t.iconCount||!e.class,expression:"$index < iconCount || !icon.class"},{name:"click-outside",rawName:"v-click-outside",value:function(){return t.hideChildMenu(e)},expression:"() => hideChildMenu(icon)"}],key:e.label,staticClass:"submenu"},[n("button",{class:t.childIconClass(a,e.children),attrs:{title:e.label},on:{click:function(n){return n.preventDefault(),t.toggleChildMenu(e)}}}),t._v(" "),n("div",{staticClass:"popovermenu menu-center",class:{open:t.isChildMenuVisible(e)}},[n("PopoverMenu",{attrs:{menu:t.childPopoverMenu(a,i,e.children,e)}})],1)])]]})),t._v(" "),n("Actions",[t._l(t.allIcons,(function(e,a){return[e.class&&t.isHiddenInMenu(a)?n("ActionButton",{key:e.class,attrs:{icon:e.class},on:{click:function(n){return t.clickIcon(i,e)}}},[t._v("\n\t\t\t\t\t\t"+t._s(e.label)+"\n\t\t\t\t\t")]):t._e()]}))],2)],2):t._e(),t._v(" "),t._t("default",[t._v("\n\t\t\tLeft side\n\t\t")])],2)]}}],null,!0)})}),[],!1,null,"a72763be",null);n.default=A.exports},670:function(e,n,i){"use strict";i.r(n);var a=i(183),o=i(186),r=i.n(o),c=i(28),l={name:"MenuBubble",components:{EditorMenuBubble:a.d},directives:{tooltip:r.a},props:{editor:{type:Object,required:!1,default:null},filePath:{type:String,required:!1,default:""}},data:function(){return{linkUrl:null,linkMenuIsActive:!1}},methods:{showLinkMenu:function(t){var e=this;this.linkUrl=t.href,this.linkMenuIsActive=!0,this.$nextTick((function(){e.$refs.linkInput.focus()}))},hideLinkMenu:function(){this.linkUrl=null,this.linkMenuIsActive=!1},selectFile:function(e){var n=this;if(OC.getCurrentUser()){var i=this.filePath.split("/").slice(0,-1).join("/");OC.dialogs.filepicker(t("text","Select file to link to"),(function(t){OC.Files.getClient().getFileInfo(t).then((function(t,i){var a=Object(c.b)(n.filePath,"".concat(i.path,"/").concat(i.name)).split("/").map(encodeURIComponent).join("/");e({href:"".concat(a,"?fileId=").concat(i.id)}),n.hideLinkMenu()}))}),!1,[],!0,void 0,i)}},setLinkUrl:function(t,e){e&&![/^[a-zA-Z]+:/,/^\//,/\?fileId=/,/^\.\.?\//,/^[^.]*[/$]/,/^#/].find((function(t){return e.match(t)}))&&(e="https://"+e),t({href:e}),this.hideLinkMenu()}}},s=(i(644),i(18)),u=Object(s.a)(l,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n("EditorMenuBubble",{staticClass:"menububble",attrs:{editor:t.editor},on:{hide:t.hideLinkMenu},scopedSlots:t._u([{key:"default",fn:function(e){var i=e.commands,a=e.isActive,o=e.getMarkAttrs,r=e.menu;return[n("div",{staticClass:"menububble",class:{"is-active":r.isActive},style:"left: "+r.left+"px; bottom: "+r.bottom+"px;"},[t.linkMenuIsActive?n("form",{staticClass:"menububble__form",on:{submit:function(e){return e.preventDefault(),t.setLinkUrl(i.link,t.linkUrl)}}},[n("input",{directives:[{name:"model",rawName:"v-model",value:t.linkUrl,expression:"linkUrl"}],ref:"linkInput",staticClass:"menububble__input",attrs:{type:"text",placeholder:"https://"},domProps:{value:t.linkUrl},on:{keydown:function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"esc",27,e.key,["Esc","Escape"])?null:t.hideLinkMenu(e)},input:function(e){e.target.composing||(t.linkUrl=e.target.value)}}}),t._v(" "),n("button",{staticClass:"menububble__button icon-confirm",attrs:{type:"button",tabindex:"0"},on:{click:function(e){return t.setLinkUrl(i.link,t.linkUrl)}}})]):[n("button",{staticClass:"menububble__button",class:{"is-active":a.link()},on:{click:function(e){t.showLinkMenu(o("link"))}}},[n("span",{directives:[{name:"tooltip",rawName:"v-tooltip",value:t.t("text",a.link()?"Update Link":"Add Link"),expression:"t('text', isActive.link() ? 'Update Link' : 'Add Link')"}],staticClass:"icon-link"}),t._v(" "),n("span",{staticClass:"menububble__buttontext"},[t._v("\n\t\t\t\t\t"+t._s(t.t("text",a.link()?"Update Link":"Add Link"))+"\n\t\t\t\t")])]),t._v(" "),n("button",{staticClass:"menububble__button",class:{"is-active":a.link()},on:{click:function(e){return t.selectFile(i.link)}}},[n("span",{directives:[{name:"tooltip",rawName:"v-tooltip",value:t.t("text","Link file"),expression:"t('text', 'Link file')"}],staticClass:"icon-file"}),t._v(" "),n("span",{staticClass:"menububble__buttontext"},[t._v(t._s(t.t("text","Link file")))])])]],2)]}}])})}),[],!1,null,"66ed9266",null);n.default=u.exports}}]);
+//# sourceMappingURL=editor-rich.js.map?v=e3894d020f96c922f4df \ No newline at end of file
diff --git a/js/editor-rich.js.map b/js/editor-rich.js.map
index df6c7409b..2a4c10973 100644
--- a/js/editor-rich.js.map
+++ b/js/editor-rich.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./src/helpers/mime.js","webpack:///./src/views/RichWorkspace.vue?f1c0","webpack:///./src/views/RichWorkspace.vue?8915","webpack:///./src/components/MenuBar.vue?b841","webpack:///./src/components/MenuBubble.vue?8e64","webpack:///./src/views/RichWorkspace.vue?c293","webpack:///src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?d0bc","webpack:///./src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?97cd","webpack:///./src/helpers/files.js","webpack:///./src/components/MenuBar.vue?d3f3","webpack:///./src/components/MenuBar.vue?37c3","webpack:///./src/components/MenuBubble.vue?c62f","webpack:///./src/components/MenuBubble.vue?5826","webpack:///./src/components/MenuBar.vue?d839","webpack:///./src/mixins/menubar.js","webpack:///src/components/MenuBar.vue","webpack:///./src/components/MenuBar.vue?c014","webpack:///./src/components/MenuBar.vue","webpack:///./src/components/MenuBubble.vue?4b31","webpack:///./src/components/MenuBubble.vue?7a05","webpack:///src/components/MenuBubble.vue","webpack:///./src/components/MenuBubble.vue"],"names":["openMimetypesMarkdown","openMimetypesPlainText","openMimetypes","exports","___CSS_LOADER_API_IMPORT___","push","module","i","content","locals","add","default","_vm","this","_h","$createElement","_c","_self","class","loaded","ready","focus","darkTheme","canCreate","attrs","staticClass","on","createNew","_v","_s","t","_e","directives","name","rawName","value","expression","key","file","id","path","shareToken","mimetype","autofocus","$event","unfocus","reset","optimalPath","from","to","current","split","target","pop","shift","relativePath","fill","concat","absolutePath","length","join","registerFileCreate","newFileMenuPlugin","attach","menu","fileList","addMenuEntry","displayName","templateName","iconClass","fileType","actionHandler","createFile","then","status","data","fileInfoModel","OCA","Files","FileInfoModel","Viewer","fileActions","triggerAction","OC","Plugins","register","registerFileActionFallback","mime","sharingToken","document","getElementById","dir","ViewerRoot","createElement","body","appendChild","PERMISSION_UPDATE","PERMISSION_READ","imagePath","filename","window","FileList","findFile","Promise","all","imports","getCurrentDirectory","Vue","prototype","n","Editor","render","h","props","fileId","active","mimeType","$mount","setDefault","FilesWorkspacePlugin","el","registerHeader","bind","priority","vm","extend","RichWorkspace","propsData","$el","toString","label","isActive","action","command","undo","redo","strong","em","strike","paragraph","visible","children","heading","level","bullet_list","bullet_list_item","ordered_list","todo_item","blockquote","code_block","component","editor","scopedSlots","_u","fn","ref","commands","focused","autohide","_l","icon","$index","iconCount","getIconClasses","clickIcon","hideChildMenu","childIconClass","preventDefault","toggleChildMenu","open","isChildMenuVisible","childPopoverMenu","isHiddenInMenu","_t","hideLinkMenu","getMarkAttrs","style","setLinkUrl","link","linkUrl","domProps","type","indexOf","_k","keyCode","composing","showLinkMenu","selectFile"],"mappings":"mGAAA;;;;;;;;;;;;;;;;;;;;;;AAsBA,IAAMA,EAAwB,CAC7B,iBAGKC,EAAyB,CAC9B,aACA,kBACA,sBACA,8BACA,uBACA,yBACA,mBACA,qBACA,oBACA,oBACA,kBACA,mBACA,WACA,WACA,YACA,WACA,gBACA,WACA,qBACA,cACA,gBACA,sBAGKC,EAAgB,GAAH,OAAOF,EAA0BC,I,iCCnDpD,mBAAmX,G,qBCEnXE,EADkC,EAAQ,GAChCC,EAA4B,IAE9BC,KAAK,CAACC,EAAOC,EAAI,wtDAAytD,KAElvDD,EAAOH,QAAUA,G,oBCHjB,IAAIK,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACF,EAAOC,EAAIC,EAAS,MAC7DA,EAAQC,SAAQH,EAAOH,QAAUK,EAAQC,SAG/BC,EADH,EAAQ,IAA+DC,SAChE,WAAYH,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACF,EAAOC,EAAIC,EAAS,MAC7DA,EAAQC,SAAQH,EAAOH,QAAUK,EAAQC,SAG/BC,EADH,EAAQ,IAA+DC,SAChE,WAAYH,GAAS,EAAM,K,mBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACF,EAAOC,EAAIC,EAAS,MAC7DA,EAAQC,SAAQH,EAAOH,QAAUK,EAAQC,SAG/BC,EADH,EAAQ,IAA+DC,SAChE,WAAYH,GAAS,EAAM,K,8UC4C5C,4CACA,uECrD6L,EDuD7L,CACA,qBACA,YACA,0GAEA,OACA,MACA,YACA,cAGA,KAXA,WAYA,OACA,SACA,YACA,UACA,UACA,SACA,aACA,8DACA,wCAGA,UACA,WADA,WAEA,mGAEA,UAJA,WAKA,qEAEA,mBAPA,WAQA,kEAGA,OACA,KADA,WAEA,oBAEA,MAJA,SAIA,GACA,GACA,kDAIA,QA5CA,WA4CA,I,EAAA,c,EAAA,sHACA,WACA,gBAEA,0DACA,aACA,mBAEA,0DACA,gBATA,0C,kLAYA,SACA,QADA,aAIA,MAJA,WAIA,WACA,eACA,cACA,2BACA,cACA,oBAGA,YAZA,WAYA,WACA,eACA,kBACA,cACA,uBAIA,OAHA,IACA,8BAEA,wCACA,sBAKA,OAJA,wBACA,cACA,aACA,aACA,KACA,mBACA,+BAMA,OALA,wBACA,YACA,YACA,WACA,eACA,MAGA,UArCA,WAqCA,WACA,gBAGA,iBACA,qCACA,eACA,GACA,qFACA,0B,iBE1Ie,EAXC,YACd,GCTW,WAAa,IAAII,EAAIC,KAASC,EAAGF,EAAIG,eAAmBC,EAAGJ,EAAIK,MAAMD,IAAIF,EAAG,OAAQF,EAAW,QAAEI,EAAG,MAAM,CAACE,MAAM,CAAC,gBAAiBN,EAAIO,SAAWP,EAAIQ,MAAO,MAASR,EAAIS,MAAO,KAAQT,EAAIU,UAAW,UAAaV,EAAIW,WAAWC,MAAM,CAAC,GAAK,mBAAmB,CAAEZ,EAAsB,mBAAEI,EAAG,MAAM,CAACS,YAAY,kBAAkBC,GAAG,CAAC,MAAQd,EAAIe,YAAY,CAACX,EAAG,IAAI,CAACS,YAAY,eAAe,CAACb,EAAIgB,GAAG,WAAWhB,EAAIiB,GAAGjB,EAAIkB,EAAE,OAAQ,gCAAgC,cAAclB,EAAImB,KAAKnB,EAAIgB,GAAG,KAAMhB,EAAQ,KAAEI,EAAG,gBAAgB,CAACgB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAOvB,EAAS,MAAEwB,WAAW,UAAUC,IAAIzB,EAAI0B,KAAKC,GAAGf,MAAM,CAAC,UAAUZ,EAAI0B,KAAKC,GAAG,gBAAgB3B,EAAI0B,KAAKE,KAAK,cAAc5B,EAAI6B,WAAW,QAAS,EAAK,UAAW,EAAK,KAAO7B,EAAI0B,KAAKI,SAAS,UAAY9B,EAAI+B,WAAWjB,GAAG,CAAC,MAAQ,SAASkB,GAAQhC,EAAIQ,OAAM,GAAM,MAAQ,SAASwB,GAAQhC,EAAIS,OAAM,GAAM,KAAOT,EAAIiC,QAAQ,MAAQjC,EAAIkC,SAASlC,EAAImB,MAAM,GAAGnB,EAAImB,OACh6B,IDWpB,EACA,KACA,WACA,M,QEaIgB,EAAc,SAASC,EAAMC,GAClC,IAAMC,EAAUF,EAAKG,MAAM,KACrBC,EAASH,EAAGE,MAAM,KAExB,IADAD,EAAQG,MACDH,EAAQ,KAAOE,EAAO,IAC5BF,EAAQI,QACRF,EAAOE,QAER,IAAMC,EAAeL,EAAQM,KAAK,MAAMC,OAAOL,GACzCM,EAAeT,EAAGE,MAAM,KAC9B,OAAOI,EAAaI,OAASD,EAAaC,OACvCJ,EAAaK,KAAK,KAClBX,GAGEY,EAAqB,WAC1B,IAAMC,EAAoB,CACzBC,OADyB,SAClBC,GACN,IAAMC,EAAWD,EAAKC,SAGF,UAAhBA,EAAS1B,IAAkC,iBAAhB0B,EAAS1B,IAKxCyB,EAAKE,aAAa,CACjB3B,GAAI,OACJ4B,YAAarC,EAAE,OAAQ,qBACvBsC,aAActC,EAAE,OAAQ,qBAAuB,MAC/CuC,UAAW,qBACXC,SAAU,OACVC,cANiB,SAMHtC,GACbgC,EAASO,WAAWvC,GAAMwC,MAAK,SAASC,EAAQC,GAC/C,IAAMC,EAAgB,IAAIC,IAAIC,MAAMC,cAAcJ,QACxB,IAAfE,IAAIG,OACdH,IAAIC,MAAMG,YAAYC,cAAc,OAAQN,EAAeX,QAC3B,IAAfY,IAAIG,QACrBH,IAAIC,MAAMG,YAAYC,cAxCE,qBAwCoCN,EAAeX,WAOjFkB,GAAGC,QAAQC,SAAS,wBAAyBvB,IAGxCwB,EAA6B,WAClC,IAOyBC,EAPnBC,EAAeC,SAASC,eAAe,gBAAkBD,SAASC,eAAe,gBAAgBvD,MAAQ,KACzGwD,EAAMF,SAASC,eAAe,OAAOvD,MAE3C,IAAKqD,GAAwB,KAARG,EAAY,CAChC,IAAMC,EAAaH,SAASI,cAAc,OAC1CD,EAAWrD,GAAK,uBAChBkD,SAASK,KAAKC,YAAYH,GAmC1B,IAlCA,IAkCSrF,EAAI,EAAGA,EAAIL,IAAcyD,OAAQpD,IAlClBgF,EAmCRrF,IAAcK,GAnCGsE,IAAIC,MAAMG,YAAYI,SACtDE,EA3D4B,qBA6D5BJ,GAAGa,kBAAoBb,GAAGc,gBAC1BC,oBAAU,OAAQ,mBAClB,SAACC,GACA,IAAM7D,EAAO8D,OAAOC,SAASC,SAASH,GACtCI,QAAQC,IAAI,CACX,6BACA,iFACE/B,MAAK,SAACgC,GACR,IAAMjE,EAAO4D,OAAOC,SAASK,sBAAwB,IAAMP,EACrDQ,EAAMF,EAAQ,GAAG9F,QACvBgG,EAAIC,UAAU9E,EAAIsE,OAAOtE,EACzB6E,EAAIC,UAAUC,EAAIT,OAAOS,EACzBF,EAAIC,UAAU/B,IAAMuB,OAAOvB,IAC3B,IAAMiC,EAASL,EAAQ,GAAG9F,QACf,IAAIgG,EAAI,CAClBI,OAAQ,SAAAC,GAAC,OAAIA,EAAEF,EAAQ,CACtBG,MAAO,CACNC,OAAQ5E,EAAOA,EAAKC,GAAK,KACzB4E,QAAQ,EACR1E,WAAY+C,EACZjC,aAAcf,EACd4E,SAAU9E,EAAKI,eAIf2E,OAAOzB,QAGZ9D,EAAE,OAAQ,SAKV+C,IAAIC,MAAMG,YAAYqC,WAAWpH,IAAcK,GA9FnB,wBAoGzBgH,EAAuB,CAE5BC,GAAI,KAEJzD,OAJ4B,SAIrBE,GACc,UAAhBA,EAAS1B,IAAkC,iBAAhB0B,EAAS1B,KAIxC1B,KAAK2G,GAAK/B,SAASI,cAAc,OACjC5B,EAASwD,eAAe,CACvBlF,GAAI,YACJiF,GAAI3G,KAAK2G,GACTT,OAAQlG,KAAKkG,OAAOW,KAAK7G,MACzB8G,SAAU,OAIZZ,OAlB4B,SAkBrB9C,GAAU,WACI,UAAhBA,EAAS1B,IAAkC,iBAAhB0B,EAAS1B,IAIxC,6BAAckC,MAAK,SAACnE,GACnB,IAAMqG,EAAMrG,EAAOK,QACnB,EAAK6G,GAAGjF,GAAK,0BACboE,EAAIC,UAAU9E,EAAIsE,OAAOtE,EACzB6E,EAAIC,UAAUC,EAAIT,OAAOS,EACzBF,EAAIC,UAAU/B,IAAMuB,OAAOvB,IAC3B,IACM+C,EAAK,IADEjB,EAAIkB,OAAOC,GACb,CAAS,CACnBC,UAAW,CACVvF,KAAMyB,EAASyC,yBAEdW,OAAO,EAAKG,IAEfvD,EAAS+D,IAAItG,GAAG,mBAAmB,SAAAiD,GAClCiD,EAAGpF,KAAOmC,EAAKgB,IAAIsC,oB,iCCnKvB,oBAA6W,G,qBCE7W9H,EADkC,EAAQ,GAChCC,EAA4B,IAE9BC,KAAK,CAACC,EAAOC,EAAI,y1CAA01C,KAEn3CD,EAAOH,QAAUA,G,iCCNjB,oBAAgX,G,qBCEhXA,EADkC,EAAQ,GAChCC,EAA4B,IAE9BC,KAAK,CAACC,EAAOC,EAAI,q2BAAs2B,KAE/3BD,EAAOH,QAAUA,G,wCCNjB,I,2BCsBe,GACd,CACC+H,MAAOpG,EAAE,OAAQ,QACjBZ,MAAO,YACPiH,SAAU,SAACA,KACXC,OAAQ,SAACC,GAAD,OAAaA,EAAQC,SAE9B,CACCJ,MAAOpG,EAAE,OAAQ,QACjBZ,MAAO,YACPiH,SAAU,SAACA,KACXC,OAAQ,SAACC,GAAD,OAAaA,EAAQE,SAE9B,CACCL,MAAOpG,EAAE,OAAQ,QACjBZ,MAAO,YACPiH,SAAU,SAACA,GAAD,OAAcA,EAASK,UACjCJ,OAAQ,SAACC,GACR,OAAOA,EAAQG,WAGjB,CACCN,MAAOpG,EAAE,OAAQ,UACjBZ,MAAO,cACPiH,SAAU,SAACA,GAAD,OAAcA,EAASM,MACjCL,OAAQ,SAACC,GACR,OAAOA,EAAQI,OAGjB,CACCP,MAAOpG,EAAE,OAAQ,iBACjBZ,MAAO,cACPiH,SAAU,SAACA,GAAD,OAAcA,EAASO,UACjCN,OAAQ,SAACC,GACR,OAAOA,EAAQK,WAGjB,CACCR,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,iBACPiH,SAAU,SAACA,KACXC,OAAQ,SAACC,GACR,OAAOA,EAAQM,cAGjB,CACCT,MAAOpG,EAAE,OAAQ,YACjB8G,SAAS,EACTC,SAAU,CACT,CACCX,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,MAGlC,CACCb,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,MAGlC,CACCb,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,MAGlC,CACCb,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,MAGlC,CACCb,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,MAGlC,CACCb,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,QAKpC,CACCb,MAAOpG,EAAE,OAAQ,kBACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASa,eACjCZ,OAAQ,SAACC,GACR,OAAOA,EAAQY,qBAGjB,CACCf,MAAOpG,EAAE,OAAQ,gBACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASe,gBACjCd,OAAQ,SAACC,GACR,OAAOA,EAAQa,iBAGjB,CACChB,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,iBACPiH,SAAU,SAACA,GAAD,OAAc,GACxBC,OAAQ,SAACC,GAAD,OAAaA,EAAQc,cAE9B,CACCjB,MAAOpG,EAAE,OAAQ,cACjBZ,MAAO,aACPiH,SAAU,SAACA,GAAD,OAAcA,EAASiB,cACjChB,OAAQ,SAACC,GACR,OAAOA,EAAQe,eAGjB,CACClB,MAAOpG,EAAE,OAAQ,cACjBZ,MAAO,YACPiH,SAAU,SAACA,GAAD,OAAcA,EAASkB,cACjCjB,OAAQ,SAACC,GACR,OAAOA,EAAQgB,gB,82CCvElB,ICrFuL,EDqFvL,CACA,eACA,YACA,kBACA,iBACA,gBACA,aAEA,YACA,YACA,kBAEA,OACA,QACA,YACA,YACA,cAEA,cACA,aACA,YAEA,UACA,aACA,YAEA,UACA,aACA,YAEA,UACA,YACA,YACA,aAGA,gBACA,OACA,cACA,eACA,iBACA,qBACA,mBACA,aAGA,UACA,eADA,WACA,WACA,6CAEA,eAJA,WAKA,qBACA,OACA,2BAGA,OADA,cACA,IAGA,mBAbA,WAaA,WACA,mBACA,0GAGA,SAlBA,WAkBA,WACA,qBACA,WAEA,0BACA,+BACA,mBACA,sBAEA,mBACA,gCAIA,iBAhCA,WAgCA,WACA,yBACA,SADA,WAEA,GACA,QACA,gBACA,gBACA,kBACA,eACA,oBAEA,2BARA,oBAWA,WAGA,eAjDA,WAkDA,qBACA,gBACA,WACA,iBACA,eAGA,kBAGA,UA5DA,WA6DA,oBACA,iBACA,gGACA,iCACA,UAEA,UAnEA,WAoEA,2BACA,iDAGA,QAtHA,WAsHA,WACA,sDACA,2CACA,qDACA,mBACA,kBAEA,oBACA,iCAEA,MAEA,cAlIA,WAmIA,0DAEA,SACA,cADA,WACA,WACA,2BACA,mBACA,uBAGA,UAPA,SAOA,KACA,oBAEA,eAVA,SAUA,GACA,uDAEA,gBAbA,SAaA,GACA,yDAEA,cAhBA,SAgBA,GACA,8CAEA,gBAnBA,SAmBA,GACA,8GACA,8CAEA,gBAvBA,SAuBA,cAEA,GADA,2BACA,CAGA,QACA,+DACA,qBACA,mCACA,uBAGA,OACA,oBACA,yBAGA,EADA,6DACA,6CACA,kIACA,qDAEA,GACA,MACA,mBAGA,iCAEA,eAnDA,SAmDA,cAEA,GADA,oBACA,CAGA,QACA,mDACA,qBACA,mCACA,sBACA,IACA,EADA,sDACA,6CACA,uCAEA,GACA,eAGA,gCAEA,cAvEA,SAuEA,GACA,mBACA,oCACA,yBACA,YACA,GAEA,eA9EA,SA8EA,GACA,+BACA,eAEA,IADA,QACA,aACA,UACA,UAEA,2C,iBExSIC,EAAY,YACd,GJTW,WAAa,IAAI1I,EAAIC,KAASC,EAAGF,EAAIG,eAAmBC,EAAGJ,EAAIK,MAAMD,IAAIF,EAAG,OAAOE,EAAG,gBAAgB,CAACQ,MAAM,CAAC,OAASZ,EAAI2I,QAAQC,YAAY5I,EAAI6I,GAAG,CAAC,CAACpH,IAAI,UAAUqH,GAAG,SAASC,GAC/L,IAAIC,EAAWD,EAAIC,SACfzB,EAAWwB,EAAIxB,SACf0B,EAAUF,EAAIE,QAClB,MAAO,CAAC7I,EAAG,MAAM,CAACS,YAAY,UAAUP,MAAM,CAAE,aAAc2I,EAAS,SAAYjJ,EAAIkJ,WAAY,CAAElJ,EAAgB,aAAEI,EAAG,MAAM,CAAC2I,IAAI,UAAUlI,YAAY,iBAAiB,CAACb,EAAImJ,GAAInJ,EAAY,UAAE,SAASoJ,EAAKC,GAAQ,MAAO,CAAED,EAAU,MAAEhJ,EAAG,SAAS,CAACgB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO8H,EAASrJ,EAAIsJ,UAAW9H,WAAW,uBAAuBC,IAAI2H,EAAK9B,MAAMhH,MAAMN,EAAIuJ,eAAehC,EAAU6B,GAAMxI,MAAM,CAAC,MAAQwI,EAAK9B,OAAOxG,GAAG,CAAC,MAAQ,SAASkB,GAAQ,OAAOhC,EAAIwJ,UAAUR,EAAUI,OAAU,CAAChJ,EAAG,MAAM,CAACgB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO8H,EAASrJ,EAAIsJ,YAAcF,EAAK9I,MAAOkB,WAAW,qCAAqC,CAACH,KAAK,gBAAgBC,QAAQ,kBAAkBC,MAAM,WAAe,OAAOvB,EAAIyJ,cAAcL,IAAU5H,WAAW,8BAA8BC,IAAI2H,EAAK9B,MAAMzG,YAAY,WAAW,CAACT,EAAG,SAAS,CAACE,MAAMN,EAAI0J,eAAenC,EAAU6B,EAAKnB,UAAWrH,MAAM,CAAC,MAAQwI,EAAK9B,OAAOxG,GAAG,CAAC,MAAQ,SAASkB,GAAgC,OAAxBA,EAAO2H,iBAAwB3J,EAAI4J,gBAAgBR,OAAUpJ,EAAIgB,GAAG,KAAKZ,EAAG,MAAM,CAACS,YAAY,0BAA0BP,MAAM,CAACuJ,KAAM7J,EAAI8J,mBAAmBV,KAAQ,CAAChJ,EAAG,cAAc,CAACQ,MAAM,CAAC,KAAOZ,EAAI+J,iBAAiBxC,EAAUyB,EAAUI,EAAKnB,SAAUmB,OAAU,UAASpJ,EAAIgB,GAAG,KAAKZ,EAAG,UAAU,CAACJ,EAAImJ,GAAInJ,EAAY,UAAE,SAASoJ,EAAKC,GAAQ,MAAO,CAAED,EAAK9I,OAASN,EAAIgK,eAAeX,GAASjJ,EAAG,eAAe,CAACqB,IAAI2H,EAAK9I,MAAMM,MAAM,CAAC,KAAOwI,EAAK9I,OAAOQ,GAAG,CAAC,MAAQ,SAASkB,GAAQ,OAAOhC,EAAIwJ,UAAUR,EAAUI,MAAS,CAACpJ,EAAIgB,GAAG,iBAAiBhB,EAAIiB,GAAGmI,EAAK9B,OAAO,kBAAkBtH,EAAImB,UAAS,IAAI,GAAGnB,EAAImB,KAAKnB,EAAIgB,GAAG,KAAKhB,EAAIiK,GAAG,UAAU,CAACjK,EAAIgB,GAAG,8BAA8B,OAAO,MAAK,OACjmD,IIOpB,EACA,KACA,WACA,MAIa,UAAA0H,E,iDCnBf,I,mCCA0L,ECoE1L,CACA,kBACA,YACA,sBAEA,YACA,aAEA,OACA,QACA,YACA,YACA,cAEA,UACA,YACA,YACA,aAGA,gBACA,OACA,aACA,sBAGA,SACA,aADA,SACA,cACA,oBACA,yBACA,2BACA,8BAGA,aARA,WASA,kBACA,0BAEA,WAZA,SAYA,cAEA,GADA,oBACA,CAGA,qDACA,sEACA,qBACA,mCACA,IACA,EADA,6DACA,6CACA,+CACA,uBAEA,oBAEA,WA5BA,SA4BA,KAUA,IARA,CACA,cACA,MACA,YACA,WACA,aACA,MAEA,yCACA,gBAEA,YACA,uB,iBChIIA,EAAY,YACd,GHTW,WAAa,IAAI1I,EAAIC,KAASC,EAAGF,EAAIG,eAAmBC,EAAGJ,EAAIK,MAAMD,IAAIF,EAAG,OAAOE,EAAG,mBAAmB,CAACS,YAAY,aAAaD,MAAM,CAAC,OAASZ,EAAI2I,QAAQ7H,GAAG,CAAC,KAAOd,EAAIkK,cAActB,YAAY5I,EAAI6I,GAAG,CAAC,CAACpH,IAAI,UAAUqH,GAAG,SAASC,GACxP,IAAIC,EAAWD,EAAIC,SACfzB,EAAWwB,EAAIxB,SACf4C,EAAepB,EAAIoB,aACnB/G,EAAO2F,EAAI3F,KACf,MAAO,CAAChD,EAAG,MAAM,CAACS,YAAY,aAAaP,MAAM,CAAE,YAAa8C,EAAKmE,UAAW6C,MAAQ,SAAYhH,EAAS,KAAI,eAAkBA,EAAW,OAAI,OAAS,CAAEpD,EAAoB,iBAAEI,EAAG,OAAO,CAACS,YAAY,mBAAmBC,GAAG,CAAC,OAAS,SAASkB,GAAgC,OAAxBA,EAAO2H,iBAAwB3J,EAAIqK,WAAWrB,EAASsB,KAAMtK,EAAIuK,YAAY,CAACnK,EAAG,QAAQ,CAACgB,WAAW,CAAC,CAACC,KAAK,QAAQC,QAAQ,UAAUC,MAAOvB,EAAW,QAAEwB,WAAW,YAAYuH,IAAI,YAAYlI,YAAY,oBAAoBD,MAAM,CAAC,KAAO,OAAO,YAAc,YAAY4J,SAAS,CAAC,MAASxK,EAAW,SAAGc,GAAG,CAAC,QAAU,SAASkB,GAAQ,OAAIA,EAAOyI,KAAKC,QAAQ,QAAQ1K,EAAI2K,GAAG3I,EAAO4I,QAAQ,MAAM,GAAG5I,EAAOP,IAAI,CAAC,MAAM,WAAoB,KAAczB,EAAIkK,aAAalI,IAAS,MAAQ,SAASA,GAAWA,EAAOQ,OAAOqI,YAAqB7K,EAAIuK,QAAQvI,EAAOQ,OAAOjB,WAAUvB,EAAIgB,GAAG,KAAKZ,EAAG,SAAS,CAACS,YAAY,kCAAkCD,MAAM,CAAC,KAAO,SAAS,SAAW,KAAKE,GAAG,CAAC,MAAQ,SAASkB,GAAQ,OAAOhC,EAAIqK,WAAWrB,EAASsB,KAAMtK,EAAIuK,eAAe,CAACnK,EAAG,SAAS,CAACS,YAAY,qBAAqBP,MAAM,CAAE,YAAaiH,EAAS+C,QAASxJ,GAAG,CAAC,MAAQ,SAASkB,GAAQhC,EAAI8K,aAAaX,EAAa,YAAY,CAAC/J,EAAG,OAAO,CAACgB,WAAW,CAAC,CAACC,KAAK,UAAUC,QAAQ,YAAYC,MAAOvB,EAAIkB,EAAE,OAAQqG,EAAS+C,OAAS,cAAgB,YAAa9I,WAAW,4DAA4DX,YAAY,cAAcb,EAAIgB,GAAG,KAAKZ,EAAG,OAAO,CAACS,YAAY,0BAA0B,CAACb,EAAIgB,GAAG,eAAehB,EAAIiB,GAAGjB,EAAIkB,EAAE,OAAQqG,EAAS+C,OAAS,cAAgB,aAAa,kBAAkBtK,EAAIgB,GAAG,KAAKZ,EAAG,SAAS,CAACS,YAAY,qBAAqBP,MAAM,CAAE,YAAaiH,EAAS+C,QAASxJ,GAAG,CAAC,MAAQ,SAASkB,GAAQ,OAAOhC,EAAI+K,WAAW/B,EAASsB,SAAS,CAAClK,EAAG,OAAO,CAACgB,WAAW,CAAC,CAACC,KAAK,UAAUC,QAAQ,YAAYC,MAAOvB,EAAIkB,EAAE,OAAQ,aAAcM,WAAW,2BAA2BX,YAAY,cAAcb,EAAIgB,GAAG,KAAKZ,EAAG,OAAO,CAACS,YAAY,0BAA0B,CAACb,EAAIgB,GAAGhB,EAAIiB,GAAGjB,EAAIkB,EAAE,OAAQ,qBAAqB,YACp8D,IGMpB,EACA,KACA,WACA,MAIa,UAAAwH,E","file":"editor-rich.js?v=e548ee7cc67f1a27e8c9","sourcesContent":["/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nconst openMimetypesMarkdown = [\n\t'text/markdown',\n]\n\nconst openMimetypesPlainText = [\n\t'text/plain',\n\t'application/cmd',\n\t'application/x-empty',\n\t'application/x-msdos-program',\n\t'application/epub+zip',\n\t'application/javascript',\n\t'application/json',\n\t'application/x-perl',\n\t'application/x-php',\n\t'application/x-tex',\n\t'application/xml',\n\t'application/yaml',\n\t'text/css',\n\t'text/csv',\n\t'text/html',\n\t'text/x-c',\n\t'text/x-c++src',\n\t'text/x-h',\n\t'text/x-java-source',\n\t'text/x-ldif',\n\t'text/x-python',\n\t'text/x-shellscript',\n]\n\nconst openMimetypes = [...openMimetypesMarkdown, ...openMimetypesPlainText]\n\nexport {\n\topenMimetypes,\n\topenMimetypesMarkdown,\n\topenMimetypesPlainText,\n}\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBar.vue?vue&type=style&index=0&id=a72763be&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"cb196732\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBubble.vue?vue&type=style&index=0&id=66ed9266&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"8904371c\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"df9632ee\", content, true, {});","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div v-if=\"enabled\" id=\"rich-workspace\" :class=\"{'icon-loading': !loaded || !ready, 'focus': focus, 'dark': darkTheme, 'creatable': canCreate}\">\n\t\t<div v-if=\"showEmptyWorkspace\" class=\"empty-workspace\" @click=\"createNew\">\n\t\t\t<p class=\"placeholder\">\n\t\t\t\t{{ t('text', 'Add notes, lists or links …') }}\n\t\t\t</p>\n\t\t</div>\n\n\t\t<EditorWrapper v-if=\"file\"\n\t\t\tv-show=\"ready\"\n\t\t\t:key=\"file.id\"\n\t\t\t:file-id=\"file.id\"\n\t\t\t:relative-path=\"file.path\"\n\t\t\t:share-token=\"shareToken\"\n\t\t\t:active=\"true\"\n\t\t\t:autohide=\"true\"\n\t\t\t:mime=\"file.mimetype\"\n\t\t\t:autofocus=\"autofocus\"\n\t\t\t@ready=\"ready=true\"\n\t\t\t@focus=\"focus=true\"\n\t\t\t@blur=\"unfocus\"\n\t\t\t@error=\"reset\" />\n\t</div>\n</template>\n\n<script>\nimport axios from '@nextcloud/axios'\nimport { generateOcsUrl } from '@nextcloud/router'\nimport { subscribe } from '@nextcloud/event-bus'\n\nconst IS_PUBLIC = !!(document.getElementById('isPublic'))\nconst WORKSPACE_URL = generateOcsUrl('apps/text' + (IS_PUBLIC ? '/public' : ''), 2) + 'workspace'\n\nexport default {\n\tname: 'RichWorkspace',\n\tcomponents: {\n\t\tEditorWrapper: () => import(/* webpackChunkName: \"editor\" */'./../components/EditorWrapper'),\n\t},\n\tprops: {\n\t\tpath: {\n\t\t\ttype: String,\n\t\t\trequired: true,\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\tfocus: false,\n\t\t\tfolder: null,\n\t\t\tfile: null,\n\t\t\tloaded: false,\n\t\t\tready: false,\n\t\t\tautofocus: false,\n\t\t\tdarkTheme: OCA.Accessibility && OCA.Accessibility.theme === 'dark',\n\t\t\tenabled: OCA.Text.RichWorkspaceEnabled,\n\t\t}\n\t},\n\tcomputed: {\n\t\tshareToken() {\n\t\t\treturn document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\t\t},\n\t\tcanCreate() {\n\t\t\treturn !!(this.folder && (this.folder.permissions & OC.PERMISSION_CREATE))\n\t\t},\n\t\tshowEmptyWorkspace() {\n\t\t\treturn (!this.file || (this.autofocus && !this.ready)) && this.canCreate\n\t\t},\n\t},\n\twatch: {\n\t\tpath() {\n\t\t\tthis.getFileInfo()\n\t\t},\n\t\tfocus(newValue) {\n\t\t\tif (!newValue) {\n\t\t\t\tdocument.querySelector('#editor').scrollTo(0, 0)\n\t\t\t}\n\t\t},\n\t},\n\tasync mounted() {\n\t\tif (this.enabled) {\n\t\t\tthis.getFileInfo()\n\t\t}\n\t\tsubscribe('Text::showRichWorkspace', () => {\n\t\t\tthis.enabled = true\n\t\t\tthis.getFileInfo()\n\t\t})\n\t\tsubscribe('Text::hideRichWorkspace', () => {\n\t\t\tthis.enabled = false\n\t\t})\n\t},\n\tmethods: {\n\t\tunfocus() {\n\t\t\t// setTimeout(() => this.focus = false, 2000)\n\t\t},\n\t\treset() {\n\t\t\tthis.file = null\n\t\t\tthis.focus = false\n\t\t\tthis.$nextTick(() => {\n\t\t\t\tthis.creating = false\n\t\t\t\tthis.getFileInfo()\n\t\t\t})\n\t\t},\n\t\tgetFileInfo() {\n\t\t\tthis.loaded = false\n\t\t\tthis.autofocus = false\n\t\t\tthis.ready = false\n\t\t\tconst params = { path: this.path }\n\t\t\tif (IS_PUBLIC) {\n\t\t\t\tparams.shareToken = this.shareToken\n\t\t\t}\n\t\t\treturn axios.get(WORKSPACE_URL, { params }).then((response) => {\n\t\t\t\tconst data = response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = data.file\n\t\t\t\tthis.editing = true\n\t\t\t\tthis.loaded = true\n\t\t\t\treturn true\n\t\t\t}).catch((error) => {\n\t\t\t\tconst data = error.response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = null\n\t\t\t\tthis.loaded = true\n\t\t\t\tthis.ready = true\n\t\t\t\tthis.creating = false\n\t\t\t\treturn false\n\t\t\t})\n\t\t},\n\t\tcreateNew() {\n\t\t\tif (this.creating) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tthis.creating = true\n\t\t\tthis.getFileInfo().then((workspaceFileExists) => {\n\t\t\t\tthis.autofocus = true\n\t\t\t\tif (!workspaceFileExists) {\n\t\t\t\t\twindow.FileList.createFile('Readme.md', { scrollTo: false, animate: false }).then((status, data) => {\n\t\t\t\t\t\tthis.getFileInfo()\n\t\t\t\t\t})\n\t\t\t\t}\n\t\t\t})\n\t\t},\n\t},\n}\n</script>\n\n<style lang=\"scss\" scoped>\n\t#rich-workspace {\n\t\tpadding: 0 60px;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -24px;\n\t\ttext-align: left;\n\t\tmax-height: 0;\n\t\ttransition: max-height 0.5s cubic-bezier(0, 1, 0, 1);\n\t\t&.creatable {\n\t\t\tmin-height: 90px;\n\t\t}\n\t}\n\n\t/* For subfolders, where there are no Recommendations */\n\t#rich-workspace:only-child {\n\t\tmargin-bottom: 0;\n\t}\n\n\t.empty-workspace {\n\t\tpadding-top: 43px;\n\t\tcolor: var(--color-text-maxcontrast);\n\t\theight: 0;\n\t}\n\n\t#rich-workspace::v-deep div[contenteditable=false] {\n\t\twidth: 100%;\n\t\tpadding: 0px;\n\t\tbackground-color: var(--color-main-background);\n\t\topacity: 1;\n\t\tborder: none;\n\t}\n\n\t#rich-workspace::v-deep #editor-container {\n\t\theight: 100%;\n\t\tposition: unset !important;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper {\n\t\tposition: unset !important;\n\t\toverflow: visible;\n\t}\n\n\t#rich-workspace::v-deep #editor {\n\t\toverflow: scroll !important;\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper .ProseMirror {\n\t\tpadding: 0px;\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace::v-deep .menubar {\n\t\tz-index: 50;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -10px;\n\t}\n\n\t#rich-workspace::v-deep .menubar .menubar-icons {\n\t\tmargin-left: 0;\n\t}\n\n\t#rich-workspace::v-deep .editor__content {\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace.focus {\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace:not(.focus) {\n\t\tmax-height: 30vh;\n\t\tposition: relative;\n\t\toverflow: hidden;\n\t}\n\n\t#rich-workspace:not(.focus):not(.icon-loading):after {\n\t\tcontent: '';\n\t\tposition: absolute;\n\t\tz-index: 1;\n\t\tbottom: 0;\n\t\tleft: 0;\n\t\tpointer-events: none;\n\t\tbackground-image: linear-gradient(to bottom, rgba(255, 255, 255, 0), var(--color-main-background));\n\t\twidth: 100%;\n\t\theight: 4em;\n\t}\n\n\t#rich-workspace.dark:not(.focus):not(.icon-loading):after {\n\t\tbackground-image: linear-gradient(to bottom, rgba(0, 0, 0, 0), var(--color-main-background));\n\t}\n\n\t@media only screen and (max-width: 1024px) {\n\t\t#rich-workspace:not(.focus) {\n\t\t\tmax-height: 30vh;\n\t\t}\n\t}\n\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./RichWorkspace.vue?vue&type=template&id=4bea3b82&scoped=true&\"\nimport script from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nexport * from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nimport style0 from \"./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"4bea3b82\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return (_vm.enabled)?_c('div',{class:{'icon-loading': !_vm.loaded || !_vm.ready, 'focus': _vm.focus, 'dark': _vm.darkTheme, 'creatable': _vm.canCreate},attrs:{\"id\":\"rich-workspace\"}},[(_vm.showEmptyWorkspace)?_c('div',{staticClass:\"empty-workspace\",on:{\"click\":_vm.createNew}},[_c('p',{staticClass:\"placeholder\"},[_vm._v(\"\\n\\t\\t\\t\"+_vm._s(_vm.t('text', 'Add notes, lists or links …'))+\"\\n\\t\\t\")])]):_vm._e(),_vm._v(\" \"),(_vm.file)?_c('EditorWrapper',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.ready),expression:\"ready\"}],key:_vm.file.id,attrs:{\"file-id\":_vm.file.id,\"relative-path\":_vm.file.path,\"share-token\":_vm.shareToken,\"active\":true,\"autohide\":true,\"mime\":_vm.file.mimetype,\"autofocus\":_vm.autofocus},on:{\"ready\":function($event){_vm.ready=true},\"focus\":function($event){_vm.focus=true},\"blur\":_vm.unfocus,\"error\":_vm.reset}}):_vm._e()],1):_vm._e()}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { openMimetypes } from './mime'\nimport RichWorkspace from '../views/RichWorkspace'\nimport { imagePath } from '@nextcloud/router'\n\nconst FILE_ACTION_IDENTIFIER = 'Edit with text app'\n\nconst optimalPath = function(from, to) {\n\tconst current = from.split('/')\n\tconst target = to.split('/')\n\tcurrent.pop() // ignore filename\n\twhile (current[0] === target[0]) {\n\t\tcurrent.shift()\n\t\ttarget.shift()\n\t}\n\tconst relativePath = current.fill('..').concat(target)\n\tconst absolutePath = to.split('/')\n\treturn relativePath.length < absolutePath.length\n\t\t? relativePath.join('/')\n\t\t: to\n}\n\nconst registerFileCreate = () => {\n\tconst newFileMenuPlugin = {\n\t\tattach(menu) {\n\t\t\tconst fileList = menu.fileList\n\n\t\t\t// only attach to main file list, public view is not supported yet\n\t\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\t\treturn\n\t\t\t}\n\n\t\t\t// register the new menu entry\n\t\t\tmenu.addMenuEntry({\n\t\t\t\tid: 'file',\n\t\t\t\tdisplayName: t('text', 'New text document'),\n\t\t\t\ttemplateName: t('text', 'New text document') + '.md',\n\t\t\t\ticonClass: 'icon-filetype-text',\n\t\t\t\tfileType: 'file',\n\t\t\t\tactionHandler(name) {\n\t\t\t\t\tfileList.createFile(name).then(function(status, data) {\n\t\t\t\t\t\tconst fileInfoModel = new OCA.Files.FileInfoModel(data)\n\t\t\t\t\t\tif (typeof OCA.Viewer !== 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction('view', fileInfoModel, fileList)\n\t\t\t\t\t\t} else if (typeof OCA.Viewer === 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction(FILE_ACTION_IDENTIFIER, fileInfoModel, fileList)\n\t\t\t\t\t\t}\n\t\t\t\t\t})\n\t\t\t\t},\n\t\t\t})\n\t\t},\n\t}\n\tOC.Plugins.register('OCA.Files.NewFileMenu', newFileMenuPlugin)\n}\n\nconst registerFileActionFallback = () => {\n\tconst sharingToken = document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\tconst dir = document.getElementById('dir').value\n\n\tif (!sharingToken || dir !== '') {\n\t\tconst ViewerRoot = document.createElement('div')\n\t\tViewerRoot.id = 'text-viewer-fallback'\n\t\tdocument.body.appendChild(ViewerRoot)\n\t\tconst registerAction = (mime) => OCA.Files.fileActions.register(\n\t\t\tmime,\n\t\t\tFILE_ACTION_IDENTIFIER,\n\t\t\tOC.PERMISSION_UPDATE | OC.PERMISSION_READ,\n\t\t\timagePath('core', 'actions/rename'),\n\t\t\t(filename) => {\n\t\t\t\tconst file = window.FileList.findFile(filename)\n\t\t\t\tPromise.all([\n\t\t\t\t\timport('vue'),\n\t\t\t\t\timport(/* webpackChunkName: \"files-modal\" */'./../components/PublicFilesEditor'),\n\t\t\t\t]).then((imports) => {\n\t\t\t\t\tconst path = window.FileList.getCurrentDirectory() + '/' + filename\n\t\t\t\t\tconst Vue = imports[0].default\n\t\t\t\t\tVue.prototype.t = window.t\n\t\t\t\t\tVue.prototype.n = window.n\n\t\t\t\t\tVue.prototype.OCA = window.OCA\n\t\t\t\t\tconst Editor = imports[1].default\n\t\t\t\t\tconst vm = new Vue({\n\t\t\t\t\t\trender: h => h(Editor, {\n\t\t\t\t\t\t\tprops: {\n\t\t\t\t\t\t\t\tfileId: file ? file.id : null,\n\t\t\t\t\t\t\t\tactive: true,\n\t\t\t\t\t\t\t\tshareToken: sharingToken,\n\t\t\t\t\t\t\t\trelativePath: path,\n\t\t\t\t\t\t\t\tmimeType: file.mimetype,\n\t\t\t\t\t\t\t},\n\t\t\t\t\t\t}),\n\t\t\t\t\t})\n\t\t\t\t\tvm.$mount(ViewerRoot)\n\t\t\t\t})\n\t\t\t},\n\t\t\tt('text', 'Edit')\n\t\t)\n\n\t\tfor (let i = 0; i < openMimetypes.length; i++) {\n\t\t\tregisterAction(openMimetypes[i])\n\t\t\tOCA.Files.fileActions.setDefault(openMimetypes[i], FILE_ACTION_IDENTIFIER)\n\t\t}\n\t}\n\n}\n\nconst FilesWorkspacePlugin = {\n\n\tel: null,\n\n\tattach(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\tthis.el = document.createElement('div')\n\t\tfileList.registerHeader({\n\t\t\tid: 'workspace',\n\t\t\tel: this.el,\n\t\t\trender: this.render.bind(this),\n\t\t\tpriority: 10,\n\t\t})\n\t},\n\n\trender(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\timport('vue').then((module) => {\n\t\t\tconst Vue = module.default\n\t\t\tthis.el.id = 'files-workspace-wrapper'\n\t\t\tVue.prototype.t = window.t\n\t\t\tVue.prototype.n = window.n\n\t\t\tVue.prototype.OCA = window.OCA\n\t\t\tconst View = Vue.extend(RichWorkspace)\n\t\t\tconst vm = new View({\n\t\t\t\tpropsData: {\n\t\t\t\t\tpath: fileList.getCurrentDirectory(),\n\t\t\t\t},\n\t\t\t}).$mount(this.el)\n\n\t\t\tfileList.$el.on('changeDirectory', data => {\n\t\t\t\tvm.path = data.dir.toString()\n\t\t\t})\n\t\t})\n\t},\n}\n\nexport {\n\toptimalPath,\n\tregisterFileActionFallback,\n\tregisterFileCreate,\n\tFilesWorkspacePlugin,\n\tFILE_ACTION_IDENTIFIER,\n}\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBar.vue?vue&type=style&index=0&id=a72763be&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBar.vue?vue&type=style&index=0&id=a72763be&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \".menubar[data-v-a72763be]{position:fixed;position:-webkit-sticky;position:sticky;top:0;display:flex;z-index:10010;background-color:var(--color-main-background-translucent);height:44px}.menubar.autohide[data-v-a72763be]{visibility:hidden;opacity:0;transition:visibility 0.2s 0.4s, opacity 0.2s 0.4s}.menubar.autohide.is-focused[data-v-a72763be]{visibility:visible;opacity:1}.menubar .menubar-icons[data-v-a72763be]{flex-grow:1;margin-left:calc((100% - 660px) / 2)}@media (max-width: 660px){.menubar .menubar-icons[data-v-a72763be]{margin-left:0}}.menubar[data-v-a72763be] .action-item__menu ul{max-height:calc(100vh - 88px);overflow:scroll}.menubar button[data-v-a72763be]{width:44px;height:44px;margin:0;background-size:16px;border:0;background-color:transparent;opacity:.5;color:var(--color-main-text);background-position:center center;vertical-align:top}.menubar button[data-v-a72763be]:hover,.menubar button[data-v-a72763be]:focus,.menubar button[data-v-a72763be]:active{background-color:var(--color-background-dark)}.menubar button.is-active[data-v-a72763be],.menubar button[data-v-a72763be]:hover,.menubar button[data-v-a72763be]:focus{opacity:1}.menubar button.icon-undo[data-v-a72763be],.menubar button.icon-redo[data-v-a72763be]{opacity:.4}.menubar .submenu[data-v-a72763be]{display:inline-block;width:44px;height:44px;position:relative;vertical-align:top}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBubble.vue?vue&type=style&index=0&id=66ed9266&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBubble.vue?vue&type=style&index=0&id=66ed9266&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \".menububble[data-v-66ed9266]{position:absolute;display:flex;z-index:10020;background:var(--color-main-background-translucent);box-shadow:0 1px 5px var(--color-box-shadow);border-radius:var(--border-radius);padding:0;margin-bottom:0.4rem;visibility:hidden;opacity:0;transform:translateX(-50%);transition:opacity 0.2s, visibility 0.2s}.menububble.is-active[data-v-66ed9266]{opacity:1;visibility:visible}.menububble__button[data-v-66ed9266]{display:block;border:0;padding:0.3rem 0.7rem;margin:0;margin-right:0.2rem;border-radius:var(--border-radius);cursor:pointer}.menububble__button[data-v-66ed9266]:last-child{margin-right:0}.menububble__buttontext[data-v-66ed9266]{padding:0.4rem;padding-right:0}.menububble__form[data-v-66ed9266]{display:flex;align-items:center}.menububble__input[data-v-66ed9266]{font:inherit;border:none;background:transparent;min-width:150px}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('EditorMenuBar',{attrs:{\"editor\":_vm.editor},scopedSlots:_vm._u([{key:\"default\",fn:function(ref){\nvar commands = ref.commands;\nvar isActive = ref.isActive;\nvar focused = ref.focused;\nreturn [_c('div',{staticClass:\"menubar\",class:{ 'is-focused': focused, 'autohide': _vm.autohide }},[(_vm.isRichEditor)?_c('div',{ref:\"menubar\",staticClass:\"menubar-icons\"},[_vm._l((_vm.allIcons),function(icon,$index){return [(icon.class)?_c('button',{directives:[{name:\"show\",rawName:\"v-show\",value:($index < _vm.iconCount),expression:\"$index < iconCount\"}],key:icon.label,class:_vm.getIconClasses(isActive, icon),attrs:{\"title\":icon.label},on:{\"click\":function($event){return _vm.clickIcon(commands, icon)}}}):[_c('div',{directives:[{name:\"show\",rawName:\"v-show\",value:($index < _vm.iconCount || !icon.class),expression:\"$index < iconCount || !icon.class\"},{name:\"click-outside\",rawName:\"v-click-outside\",value:(function () { return _vm.hideChildMenu(icon); }),expression:\"() => hideChildMenu(icon)\"}],key:icon.label,staticClass:\"submenu\"},[_c('button',{class:_vm.childIconClass(isActive, icon.children ),attrs:{\"title\":icon.label},on:{\"click\":function($event){$event.preventDefault();return _vm.toggleChildMenu(icon)}}}),_vm._v(\" \"),_c('div',{staticClass:\"popovermenu menu-center\",class:{open: _vm.isChildMenuVisible(icon)}},[_c('PopoverMenu',{attrs:{\"menu\":_vm.childPopoverMenu(isActive, commands, icon.children, icon)}})],1)])]]}),_vm._v(\" \"),_c('Actions',[_vm._l((_vm.allIcons),function(icon,$index){return [(icon.class && _vm.isHiddenInMenu($index))?_c('ActionButton',{key:icon.class,attrs:{\"icon\":icon.class},on:{\"click\":function($event){return _vm.clickIcon(commands, icon)}}},[_vm._v(\"\\n\\t\\t\\t\\t\\t\\t\"+_vm._s(icon.label)+\"\\n\\t\\t\\t\\t\\t\")]):_vm._e()]})],2)],2):_vm._e(),_vm._v(\" \"),_vm._t(\"default\",[_vm._v(\"\\n\\t\\t\\tLeft side\\n\\t\\t\")])],2)]}}],null,true)})}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nexport default [\n\t{\n\t\tlabel: t('text', 'Undo'),\n\t\tclass: 'icon-undo',\n\t\tisActive: (isActive) => {},\n\t\taction: (command) => command.undo(),\n\t},\n\t{\n\t\tlabel: t('text', 'Redo'),\n\t\tclass: 'icon-redo',\n\t\tisActive: (isActive) => {},\n\t\taction: (command) => command.redo(),\n\t},\n\t{\n\t\tlabel: t('text', 'Bold'),\n\t\tclass: 'icon-bold',\n\t\tisActive: (isActive) => isActive.strong(),\n\t\taction: (command) => {\n\t\t\treturn command.strong()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Italic'),\n\t\tclass: 'icon-italic',\n\t\tisActive: (isActive) => isActive.em(),\n\t\taction: (command) => {\n\t\t\treturn command.em()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Strikethrough'),\n\t\tclass: 'icon-strike',\n\t\tisActive: (isActive) => isActive.strike(),\n\t\taction: (command) => {\n\t\t\treturn command.strike()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Paragraph'),\n\t\tclass: 'icon-paragraph',\n\t\tisActive: (isActive) => {},\n\t\taction: (command) => {\n\t\t\treturn command.paragraph()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Headings'),\n\t\tvisible: false,\n\t\tchildren: [\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 1'),\n\t\t\t\tclass: 'icon-h1',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 1 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 1 })\n\t\t\t\t},\n\t\t\t},\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 2'),\n\t\t\t\tclass: 'icon-h2',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 2 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 2 })\n\t\t\t\t},\n\t\t\t},\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 3'),\n\t\t\t\tclass: 'icon-h3',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 3 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 3 })\n\t\t\t\t},\n\t\t\t},\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 4'),\n\t\t\t\tclass: 'icon-h4',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 4 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 4 })\n\t\t\t\t},\n\t\t\t},\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 5'),\n\t\t\t\tclass: 'icon-h5',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 5 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 5 })\n\t\t\t\t},\n\t\t\t},\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 6'),\n\t\t\t\tclass: 'icon-h6',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 6 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 6 })\n\t\t\t\t},\n\t\t\t},\n\t\t],\n\t},\n\t{\n\t\tlabel: t('text', 'Unordered list'),\n\t\tclass: 'icon-ul',\n\t\tisActive: (isActive) => isActive.bullet_list(),\n\t\taction: (command) => {\n\t\t\treturn command.bullet_list_item()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Ordered list'),\n\t\tclass: 'icon-ol',\n\t\tisActive: (isActive) => isActive.ordered_list(),\n\t\taction: (command) => {\n\t\t\treturn command.ordered_list()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'ToDo list'),\n\t\tclass: 'icon-checkmark',\n\t\tisActive: (isActive) => false,\n\t\taction: (command) => command.todo_item(),\n\t},\n\t{\n\t\tlabel: t('text', 'Blockquote'),\n\t\tclass: 'icon-quote',\n\t\tisActive: (isActive) => isActive.blockquote(),\n\t\taction: (command) => {\n\t\t\treturn command.blockquote()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Code block'),\n\t\tclass: 'icon-code',\n\t\tisActive: (isActive) => isActive.code_block(),\n\t\taction: (command) => {\n\t\t\treturn command.code_block()\n\t\t},\n\t},\n]\n","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<EditorMenuBar v-slot=\"{ commands, isActive, focused }\" :editor=\"editor\">\n\t\t<div class=\"menubar\" :class=\"{ 'is-focused': focused, 'autohide': autohide }\">\n\t\t\t<div v-if=\"isRichEditor\" ref=\"menubar\" class=\"menubar-icons\">\n\t\t\t\t<template v-for=\"(icon, $index) in allIcons\">\n\t\t\t\t\t<button v-if=\"icon.class\"\n\t\t\t\t\t\tv-show=\"$index < iconCount\"\n\t\t\t\t\t\t:key=\"icon.label\"\n\t\t\t\t\t\t:title=\"icon.label\"\n\t\t\t\t\t\t:class=\"getIconClasses(isActive, icon)\"\n\t\t\t\t\t\t@click=\"clickIcon(commands, icon)\" />\n\t\t\t\t\t<template v-else>\n\t\t\t\t\t\t<div v-show=\"$index < iconCount || !icon.class\"\n\t\t\t\t\t\t\t:key=\"icon.label\"\n\t\t\t\t\t\t\tv-click-outside=\"() => hideChildMenu(icon)\"\n\t\t\t\t\t\t\tclass=\"submenu\">\n\t\t\t\t\t\t\t<button :class=\"childIconClass(isActive, icon.children, )\"\n\t\t\t\t\t\t\t\t:title=\"icon.label\"\n\t\t\t\t\t\t\t\t@click.prevent=\"toggleChildMenu(icon)\" />\n\t\t\t\t\t\t\t<div :class=\"{open: isChildMenuVisible(icon)}\" class=\"popovermenu menu-center\">\n\t\t\t\t\t\t\t\t<PopoverMenu :menu=\"childPopoverMenu(isActive, commands, icon.children, icon)\" />\n\t\t\t\t\t\t\t</div>\n\t\t\t\t\t\t</div>\n\t\t\t\t\t</template>\n\t\t\t\t</template>\n\t\t\t\t<Actions>\n\t\t\t\t\t<template v-for=\"(icon, $index) in allIcons\">\n\t\t\t\t\t\t<ActionButton v-if=\"icon.class && isHiddenInMenu($index)\"\n\t\t\t\t\t\t\t:key=\"icon.class\"\n\t\t\t\t\t\t\t:icon=\"icon.class\"\n\t\t\t\t\t\t\t@click=\"clickIcon(commands, icon)\">\n\t\t\t\t\t\t\t{{ icon.label }}\n\t\t\t\t\t\t</ActionButton>\n\t\t\t\t\t\t<!--<template v-else-if=\"!icon.class && isHiddenInMenu($index)\">\n\t\t\t\t\t\t\t<ActionButton v-for=\"childIcon in icon.children\"\n\t\t\t\t\t\t\t\t:key=\"childIcon.class\"\n\t\t\t\t\t\t\t\t:icon=\"childIcon.class\"\n\t\t\t\t\t\t\t\t@click=\"clickIcon(commands, childIcon)\">\n\t\t\t\t\t\t\t\t{{ childIcon.label }}\n\t\t\t\t\t\t\t</ActionButton>\n\t\t\t\t\t\t</template>-->\n\t\t\t\t\t</template>\n\t\t\t\t</Actions>\n\t\t\t</div>\n\t\t\t<slot>\n\t\t\t\tLeft side\n\t\t\t</slot>\n\t\t</div>\n\t</EditorMenuBar>\n</template>\n\n<script>\nimport { EditorMenuBar } from 'tiptap'\nimport Tooltip from '@nextcloud/vue/dist/Directives/Tooltip'\nimport menuBarIcons from './../mixins/menubar'\nimport { optimalPath } from './../helpers/files'\n\nimport Actions from '@nextcloud/vue/dist/Components/Actions'\nimport ActionButton from '@nextcloud/vue/dist/Components/ActionButton'\nimport PopoverMenu from '@nextcloud/vue/dist/Components/PopoverMenu'\nimport ClickOutside from 'vue-click-outside'\nimport { getCurrentUser } from '@nextcloud/auth'\n\nexport default {\n\tname: 'MenuBar',\n\tcomponents: {\n\t\tEditorMenuBar,\n\t\tActionButton,\n\t\tPopoverMenu,\n\t\tActions,\n\t},\n\tdirectives: {\n\t\tTooltip,\n\t\tClickOutside,\n\t},\n\tprops: {\n\t\teditor: {\n\t\t\ttype: Object,\n\t\t\trequired: false,\n\t\t\tdefault: null,\n\t\t},\n\t\tisRichEditor: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: true,\n\t\t},\n\t\tautohide: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tisPublic: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tfilePath: {\n\t\t\ttype: String,\n\t\t\trequired: false,\n\t\t\tdefault: '',\n\t\t},\n\t},\n\tdata: () => {\n\t\treturn {\n\t\t\twindowWidth: 0,\n\t\t\twindowHeight: 0,\n\t\t\tforceRecompute: 0,\n\t\t\tsubmenuVisibility: {},\n\t\t\tlastImagePath: null,\n\t\t\ticons: [...menuBarIcons],\n\t\t}\n\t},\n\tcomputed: {\n\t\tisHiddenInMenu() {\n\t\t\treturn ($index) => $index - this.iconCount >= 0\n\t\t},\n\t\tgetIconClasses() {\n\t\t\treturn (isActive, icon) => {\n\t\t\t\tconst classes = {\n\t\t\t\t\t'is-active': icon.isActive(isActive),\n\t\t\t\t}\n\t\t\t\tclasses[icon.class] = true\n\t\t\t\treturn classes\n\t\t\t}\n\t\t},\n\t\tisChildMenuVisible() {\n\t\t\treturn (icon) => {\n\t\t\t\treturn Object.prototype.hasOwnProperty.call(this.submenuVisibility, icon.label) ? this.submenuVisibility[icon.label] : false\n\t\t\t}\n\t\t},\n\t\tallIcons() {\n\t\t\tif (this.isPublic) {\n\t\t\t\treturn this.icons\n\t\t\t}\n\t\t\treturn [...this.icons, {\n\t\t\t\tlabel: t('text', 'Insert image'),\n\t\t\t\tclass: 'icon-image',\n\t\t\t\tisActive: () => {\n\t\t\t\t},\n\t\t\t\taction: (commands) => {\n\t\t\t\t\tthis.showImagePrompt(commands.image)\n\t\t\t\t},\n\t\t\t}]\n\t\t},\n\t\tchildPopoverMenu() {\n\t\t\treturn (isActive, commands, icons, parent) => {\n\t\t\t\tconst popoverMenuItems = []\n\t\t\t\tfor (const index in icons) {\n\t\t\t\t\tpopoverMenuItems.push({\n\t\t\t\t\t\ttext: icons[index].label,\n\t\t\t\t\t\ticon: icons[index].class,\n\t\t\t\t\t\taction: () => {\n\t\t\t\t\t\t\ticons[index].action(commands)\n\t\t\t\t\t\t\tthis.hideChildMenu(parent)\n\t\t\t\t\t\t},\n\t\t\t\t\t\tactive: icons[index].isActive(isActive),\n\t\t\t\t\t})\n\t\t\t\t}\n\t\t\t\treturn popoverMenuItems\n\t\t\t}\n\t\t},\n\t\tchildIconClass() {\n\t\t\treturn (isActive, icons) => {\n\t\t\t\tfor (const index in icons) {\n\t\t\t\t\tconst icon = icons[index]\n\t\t\t\t\tif (icon.isActive(isActive)) {\n\t\t\t\t\t\treturn icon.class\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\treturn 'icon-h1'\n\t\t\t}\n\t\t},\n\t\ticonCount() {\n\t\t\tthis.forceRecompute // eslint-disable-line\n\t\t\tthis.windowWidth // eslint-disable-line\n\t\t\tconst menuBarWidth = this.$refs.menubar && this.$refs.menubar.clientWidth > 200 ? this.$refs.menubar.clientWidth : 200\n\t\t\tconst iconCount = Math.max((Math.floor(menuBarWidth / 44) - 2), 0)\n\t\t\treturn iconCount\n\t\t},\n\t\timagePath() {\n\t\t\treturn this.lastImagePath\n\t\t\t\t|| this.filePath.split('/').slice(0, -1).join('/')\n\t\t},\n\t},\n\tmounted() {\n\t\twindow.addEventListener('resize', this.getWindowWidth)\n\t\tthis.checkInterval = setInterval(() => {\n\t\t\tconst isWidthAvailable = (this.$refs.menubar && this.$refs.menubar.clientWidth > 0)\n\t\t\tif (this.isRichEditor && isWidthAvailable) {\n\t\t\t\tthis.redrawMenuBar()\n\t\t\t}\n\t\t\tif (!this.isRichEditor || isWidthAvailable) {\n\t\t\t\tclearInterval(this.checkInterval)\n\t\t\t}\n\t\t}, 100)\n\t},\n\tbeforeDestroy() {\n\t\twindow.removeEventListener('resize', this.getWindowWidth)\n\t},\n\tmethods: {\n\t\tredrawMenuBar() {\n\t\t\tthis.$nextTick(() => {\n\t\t\t\tthis.getWindowWidth()\n\t\t\t\tthis.forceRecompute++\n\t\t\t})\n\t\t},\n\t\tclickIcon(commands, icon) {\n\t\t\treturn icon.action(commands)\n\t\t},\n\t\tgetWindowWidth(event) {\n\t\t\tthis.windowWidth = document.documentElement.clientWidth\n\t\t},\n\t\tgetWindowHeight(event) {\n\t\t\tthis.windowHeight = document.documentElement.clientHeight\n\t\t},\n\t\thideChildMenu(icon) {\n\t\t\tthis.$set(this.submenuVisibility, icon.label, false)\n\t\t},\n\t\ttoggleChildMenu(icon) {\n\t\t\tconst lastValue = Object.prototype.hasOwnProperty.call(this.submenuVisibility, icon.label) ? this.submenuVisibility[icon.label] : false\n\t\t\tthis.$set(this.submenuVisibility, icon.label, !lastValue)\n\t\t},\n\t\tshowImagePrompt(command) {\n\t\t\tconst currentUser = getCurrentUser()\n\t\t\tif (!currentUser) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tconst _command = command\n\t\t\tOC.dialogs.filepicker(t('text', 'Insert an image'), (file) => {\n\t\t\t\tconst client = OC.Files.getClient()\n\t\t\t\tclient.getFileInfo(file).then((_status, fileInfo) => {\n\t\t\t\t\tthis.lastImagePath = fileInfo.path\n\n\t\t\t\t\t// dirty but works so we have the information stored in markdown\n\t\t\t\t\tconst appendMeta = {\n\t\t\t\t\t\tmimetype: fileInfo.mimetype,\n\t\t\t\t\t\thasPreview: fileInfo.hasPreview,\n\t\t\t\t\t}\n\t\t\t\t\tconst path = optimalPath(this.filePath, `${fileInfo.path}/${fileInfo.name}`)\n\t\t\t\t\tconst encodedPath = path.split('/').map(encodeURIComponent).join('/')\n\t\t\t\t\tconst meta = Object.entries(appendMeta).map(([key, val]) => `${key}=${encodeURIComponent(val)}`).join('&')\n\t\t\t\t\tconst src = `${encodedPath}?fileId=${fileInfo.id}#${meta}`\n\n\t\t\t\t\t_command({\n\t\t\t\t\t\tsrc,\n\t\t\t\t\t\talt: fileInfo.name,\n\t\t\t\t\t})\n\t\t\t\t})\n\t\t\t}, false, [], true, undefined, this.imagePath)\n\t\t},\n\t\tshowLinkPrompt(command) {\n\t\t\tconst currentUser = OC.getCurrentUser()\n\t\t\tif (!currentUser) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tconst _command = command\n\t\t\tOC.dialogs.filepicker('Insert a link', (file) => {\n\t\t\t\tconst client = OC.Files.getClient()\n\t\t\t\tclient.getFileInfo(file).then((_status, fileInfo) => {\n\t\t\t\t\tthis.lastLinkPath = fileInfo.path\n\t\t\t\t\tconst path = this.optimalPathTo(`${fileInfo.path}/${fileInfo.name}`)\n\t\t\t\t\tconst encodedPath = path.split('/').map(encodeURIComponent).join('/')\n\t\t\t\t\tconst href = `${encodedPath}?fileId=${fileInfo.id}`\n\n\t\t\t\t\t_command({\n\t\t\t\t\t\thref,\n\t\t\t\t\t})\n\t\t\t\t})\n\t\t\t}, false, [], true, undefined, this.linkPath)\n\t\t},\n\t\toptimalPathTo(targetFile) {\n\t\t\tconst absolutePath = targetFile.split('/')\n\t\t\tconst relativePath = this.relativePathTo(targetFile).split('/')\n\t\t\treturn relativePath.length < absolutePath.length\n\t\t\t\t? relativePath.join('/')\n\t\t\t\t: targetFile\n\t\t},\n\t\trelativePathTo(targetFile) {\n\t\t\tconst current = this.filePath.split('/')\n\t\t\tconst target = targetFile.split('/')\n\t\t\tcurrent.pop() // ignore filename\n\t\t\twhile (current[0] === target[0]) {\n\t\t\t\tcurrent.shift()\n\t\t\t\ttarget.shift()\n\t\t\t}\n\t\t\treturn current.fill('..').concat(target).join('/')\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t.menubar {\n\t\tposition: fixed;\n\t\tposition: -webkit-sticky;\n\t\tposition: sticky;\n\t\ttop: 0;\n\t\tdisplay: flex;\n\t\tz-index: 10010; // above modal-header so buttons are clickable\n\t\tbackground-color: var(--color-main-background-translucent);\n\t\theight: 44px;\n\n\t\t&.autohide {\n\t\t\tvisibility: hidden;\n\t\t\topacity: 0;\n\t\t\ttransition: visibility 0.2s 0.4s, opacity 0.2s 0.4s;\n\t\t\t&.is-focused {\n\t\t\t\tvisibility: visible;\n\t\t\t\topacity: 1;\n\t\t\t}\n\t\t}\n\t\t.menubar-icons {\n\t\t\tflex-grow: 1;\n\t\t\tmargin-left: calc((100% - 660px) / 2);\n\t\t}\n\t\t@media (max-width: 660px) {\n\t\t\t.menubar-icons {\n\t\t\t\tmargin-left: 0;\n\t\t\t}\n\t\t}\n\t\t&::v-deep .action-item__menu ul {\n\t\t\tmax-height: calc(100vh - 88px);\n\t\t\toverflow: scroll;\n\t\t}\n\t}\n\n\t.menubar button {\n\t\twidth: 44px;\n\t\theight: 44px;\n\t\tmargin: 0;\n\t\tbackground-size: 16px;\n\t\tborder: 0;\n\t\tbackground-color: transparent;\n\t\topacity: .5;\n\t\tcolor: var(--color-main-text);\n\t\tbackground-position: center center;\n\t\tvertical-align: top;\n\t\t&:hover, &:focus, &:active {\n\t\t\tbackground-color: var(--color-background-dark);\n\t\t}\n\t\t&.is-active,\n\t\t&:hover,\n\t\t&:focus {\n\t\t\topacity: 1;\n\t\t}\n\n\t\t&.icon-undo, &.icon-redo {\n\t\t\topacity: .4;\n\t\t}\n\t}\n\n\t.menubar .submenu {\n\t\tdisplay: inline-block;\n\t\twidth: 44px;\n\t\theight: 44px;\n\t\tposition: relative;\n\t\tvertical-align: top;\n\t}\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBar.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBar.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./MenuBar.vue?vue&type=template&id=a72763be&scoped=true&\"\nimport script from \"./MenuBar.vue?vue&type=script&lang=js&\"\nexport * from \"./MenuBar.vue?vue&type=script&lang=js&\"\nimport style0 from \"./MenuBar.vue?vue&type=style&index=0&id=a72763be&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"a72763be\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('EditorMenuBubble',{staticClass:\"menububble\",attrs:{\"editor\":_vm.editor},on:{\"hide\":_vm.hideLinkMenu},scopedSlots:_vm._u([{key:\"default\",fn:function(ref){\nvar commands = ref.commands;\nvar isActive = ref.isActive;\nvar getMarkAttrs = ref.getMarkAttrs;\nvar menu = ref.menu;\nreturn [_c('div',{staticClass:\"menububble\",class:{ 'is-active': menu.isActive },style:((\"left: \" + (menu.left) + \"px; bottom: \" + (menu.bottom) + \"px;\"))},[(_vm.linkMenuIsActive)?_c('form',{staticClass:\"menububble__form\",on:{\"submit\":function($event){$event.preventDefault();return _vm.setLinkUrl(commands.link, _vm.linkUrl)}}},[_c('input',{directives:[{name:\"model\",rawName:\"v-model\",value:(_vm.linkUrl),expression:\"linkUrl\"}],ref:\"linkInput\",staticClass:\"menububble__input\",attrs:{\"type\":\"text\",\"placeholder\":\"https://\"},domProps:{\"value\":(_vm.linkUrl)},on:{\"keydown\":function($event){if(!$event.type.indexOf('key')&&_vm._k($event.keyCode,\"esc\",27,$event.key,[\"Esc\",\"Escape\"])){ return null; }return _vm.hideLinkMenu($event)},\"input\":function($event){if($event.target.composing){ return; }_vm.linkUrl=$event.target.value}}}),_vm._v(\" \"),_c('button',{staticClass:\"menububble__button icon-confirm\",attrs:{\"type\":\"button\",\"tabindex\":\"0\"},on:{\"click\":function($event){return _vm.setLinkUrl(commands.link, _vm.linkUrl)}}})]):[_c('button',{staticClass:\"menububble__button\",class:{ 'is-active': isActive.link() },on:{\"click\":function($event){_vm.showLinkMenu(getMarkAttrs('link'))}}},[_c('span',{directives:[{name:\"tooltip\",rawName:\"v-tooltip\",value:(_vm.t('text', isActive.link() ? 'Update Link' : 'Add Link')),expression:\"t('text', isActive.link() ? 'Update Link' : 'Add Link')\"}],staticClass:\"icon-link\"}),_vm._v(\" \"),_c('span',{staticClass:\"menububble__buttontext\"},[_vm._v(\"\\n\\t\\t\\t\\t\\t\"+_vm._s(_vm.t('text', isActive.link() ? 'Update Link' : 'Add Link'))+\"\\n\\t\\t\\t\\t\")])]),_vm._v(\" \"),_c('button',{staticClass:\"menububble__button\",class:{ 'is-active': isActive.link() },on:{\"click\":function($event){return _vm.selectFile(commands.link)}}},[_c('span',{directives:[{name:\"tooltip\",rawName:\"v-tooltip\",value:(_vm.t('text', 'Link file')),expression:\"t('text', 'Link file')\"}],staticClass:\"icon-file\"}),_vm._v(\" \"),_c('span',{staticClass:\"menububble__buttontext\"},[_vm._v(_vm._s(_vm.t('text', 'Link file')))])])]],2)]}}])})}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBubble.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBubble.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<EditorMenuBubble v-slot=\"{ commands, isActive, getMarkAttrs, menu }\"\n\t\tclass=\"menububble\"\n\t\t:editor=\"editor\"\n\t\t@hide=\"hideLinkMenu\">\n\t\t<div class=\"menububble\" :class=\"{ 'is-active': menu.isActive }\" :style=\"`left: ${menu.left}px; bottom: ${menu.bottom}px;`\">\n\t\t\t<form v-if=\"linkMenuIsActive\" class=\"menububble__form\" @submit.prevent=\"setLinkUrl(commands.link, linkUrl)\">\n\t\t\t\t<input ref=\"linkInput\"\n\t\t\t\t\tv-model=\"linkUrl\"\n\t\t\t\t\tclass=\"menububble__input\"\n\t\t\t\t\ttype=\"text\"\n\t\t\t\t\tplaceholder=\"https://\"\n\t\t\t\t\t@keydown.esc=\"hideLinkMenu\">\n\t\t\t\t<button class=\"menububble__button icon-confirm\"\n\t\t\t\t\ttype=\"button\"\n\t\t\t\t\ttabindex=\"0\"\n\t\t\t\t\t@click=\"setLinkUrl(commands.link, linkUrl)\" />\n\t\t\t</form>\n\n\t\t\t<template v-else>\n\t\t\t\t<button\n\t\t\t\t\tclass=\"menububble__button\"\n\t\t\t\t\t:class=\"{ 'is-active': isActive.link() }\"\n\t\t\t\t\t@click=\"showLinkMenu(getMarkAttrs('link'))\">\n\t\t\t\t\t<span v-tooltip=\"t('text', isActive.link() ? 'Update Link' : 'Add Link')\" class=\"icon-link\" />\n\t\t\t\t\t<span class=\"menububble__buttontext\">\n\t\t\t\t\t\t{{ t('text', isActive.link() ? 'Update Link' : 'Add Link') }}\n\t\t\t\t\t</span>\n\t\t\t\t</button>\n\t\t\t\t<button\n\t\t\t\t\tclass=\"menububble__button\"\n\t\t\t\t\t:class=\"{ 'is-active': isActive.link() }\"\n\t\t\t\t\t@click=\"selectFile(commands.link)\">\n\t\t\t\t\t<span v-tooltip=\"t('text', 'Link file')\" class=\"icon-file\" />\n\t\t\t\t\t<span class=\"menububble__buttontext\">{{ t('text', 'Link file') }}</span>\n\t\t\t\t</button>\n\t\t\t</template>\n\t\t</div>\n\t</EditorMenuBubble>\n</template>\n\n<script>\nimport { EditorMenuBubble } from 'tiptap'\nimport Tooltip from '@nextcloud/vue/dist/Directives/Tooltip'\nimport { optimalPath } from './../helpers/files'\n\nexport default {\n\tname: 'MenuBubble',\n\tcomponents: {\n\t\tEditorMenuBubble,\n\t},\n\tdirectives: {\n\t\ttooltip: Tooltip,\n\t},\n\tprops: {\n\t\teditor: {\n\t\t\ttype: Object,\n\t\t\trequired: false,\n\t\t\tdefault: null,\n\t\t},\n\t\tfilePath: {\n\t\t\ttype: String,\n\t\t\trequired: false,\n\t\t\tdefault: '',\n\t\t},\n\t},\n\tdata: () => {\n\t\treturn {\n\t\t\tlinkUrl: null,\n\t\t\tlinkMenuIsActive: false,\n\t\t}\n\t},\n\tmethods: {\n\t\tshowLinkMenu(attrs) {\n\t\t\tthis.linkUrl = attrs.href\n\t\t\tthis.linkMenuIsActive = true\n\t\t\tthis.$nextTick(() => {\n\t\t\t\tthis.$refs.linkInput.focus()\n\t\t\t})\n\t\t},\n\t\thideLinkMenu() {\n\t\t\tthis.linkUrl = null\n\t\t\tthis.linkMenuIsActive = false\n\t\t},\n\t\tselectFile(command) {\n\t\t\tconst currentUser = OC.getCurrentUser()\n\t\t\tif (!currentUser) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tconst startPath = this.filePath.split('/').slice(0, -1).join('/')\n\t\t\tOC.dialogs.filepicker(t('text', 'Select file to link to'), (file) => {\n\t\t\t\tconst client = OC.Files.getClient()\n\t\t\t\tclient.getFileInfo(file).then((_status, fileInfo) => {\n\t\t\t\t\tconst path = optimalPath(this.filePath, `${fileInfo.path}/${fileInfo.name}`)\n\t\t\t\t\tconst encodedPath = path.split('/').map(encodeURIComponent).join('/')\n\t\t\t\t\tcommand({ href: `${encodedPath}?fileId=${fileInfo.id}` })\n\t\t\t\t\tthis.hideLinkMenu()\n\t\t\t\t})\n\t\t\t}, false, [], true, undefined, startPath)\n\t\t},\n\t\tsetLinkUrl(command, url) {\n\t\t\t// Heuristics for determining if we need a https:// prefix.\n\t\t\tconst noPrefixes = [\n\t\t\t\t/^[a-zA-Z]+:/, // url with protocol (\"mailTo:email@domain.tld\")\n\t\t\t\t/^\\//, // absolute path\n\t\t\t\t/\\?fileId=/, // relative link with fileId\n\t\t\t\t/^\\.\\.?\\//, // relative link starting with ./ or ../\n\t\t\t\t/^[^.]*[/$]/, // no dots before first '/' - not a domain name\n\t\t\t\t/^#/, // url fragment\n\t\t\t]\n\t\t\tif (url && !noPrefixes.find(regex => url.match(regex))) {\n\t\t\t\turl = 'https://' + url\n\t\t\t}\n\t\t\tcommand({ href: url })\n\t\t\tthis.hideLinkMenu()\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t.menububble {\n\t\tposition: absolute;\n\t\tdisplay: flex;\n\t\tz-index: 10020;\n\t\tbackground: var(--color-main-background-translucent);\n\t\tbox-shadow: 0 1px 5px var(--color-box-shadow);\n\t\tborder-radius: var(--border-radius);\n\t\tpadding: 0;\n\t\tmargin-bottom: 0.4rem;\n\t\tvisibility: hidden;\n\t\topacity: 0;\n\t\ttransform: translateX(-50%);\n\t\ttransition: opacity 0.2s, visibility 0.2s;\n\n\t\t&.is-active {\n\t\t\topacity: 1;\n\t\t\tvisibility: visible;\n\t\t}\n\n\t\t&__button {\n\t\t\tdisplay: block;\n\t\t\tborder: 0;\n\t\t\tpadding: 0.3rem 0.7rem;\n\t\t\tmargin: 0;\n\t\t\tmargin-right: 0.2rem;\n\t\t\tborder-radius: var(--border-radius);\n\t\t\tcursor: pointer;\n\n\t\t\t&:last-child {\n\t\t\t\tmargin-right: 0;\n\t\t\t}\n\t\t}\n\n\t\t&__buttontext {\n\t\t\tpadding: 0.4rem;\n\t\t\tpadding-right: 0;\n\t\t}\n\n\t\t&__form {\n\t\t\tdisplay: flex;\n\t\t\talign-items: center;\n\t\t}\n\n\t\t&__input {\n\t\t\tfont: inherit;\n\t\t\tborder: none;\n\t\t\tbackground: transparent;\n\t\t\tmin-width: 150px;\n\t\t}\n\t}\n</style>\n","import { render, staticRenderFns } from \"./MenuBubble.vue?vue&type=template&id=66ed9266&scoped=true&\"\nimport script from \"./MenuBubble.vue?vue&type=script&lang=js&\"\nexport * from \"./MenuBubble.vue?vue&type=script&lang=js&\"\nimport style0 from \"./MenuBubble.vue?vue&type=style&index=0&id=66ed9266&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"66ed9266\",\n null\n \n)\n\nexport default component.exports"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./src/helpers/mime.js","webpack:///./src/views/RichWorkspace.vue?f1c0","webpack:///./src/views/RichWorkspace.vue?8915","webpack:///./src/components/MenuBar.vue?b841","webpack:///./src/components/MenuBubble.vue?8e64","webpack:///./src/views/RichWorkspace.vue?c293","webpack:///src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?d0bc","webpack:///./src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?97cd","webpack:///./src/helpers/files.js","webpack:///./src/components/MenuBar.vue?d3f3","webpack:///./src/components/MenuBar.vue?37c3","webpack:///./src/components/MenuBubble.vue?c62f","webpack:///./src/components/MenuBubble.vue?5826","webpack:///./src/components/MenuBar.vue?d839","webpack:///./src/mixins/menubar.js","webpack:///src/components/MenuBar.vue","webpack:///./src/components/MenuBar.vue?c014","webpack:///./src/components/MenuBar.vue","webpack:///./src/components/MenuBubble.vue?4b31","webpack:///./src/components/MenuBubble.vue?7a05","webpack:///src/components/MenuBubble.vue","webpack:///./src/components/MenuBubble.vue"],"names":["openMimetypesMarkdown","openMimetypesPlainText","openMimetypes","exports","___CSS_LOADER_API_IMPORT___","push","module","i","content","locals","add","default","_vm","this","_h","$createElement","_c","_self","class","loaded","ready","focus","darkTheme","canCreate","attrs","staticClass","on","createNew","_v","_s","t","_e","directives","name","rawName","value","expression","key","file","id","path","shareToken","mimetype","autofocus","$event","unfocus","reset","optimalPath","from","to","current","split","target","pop","shift","relativePath","fill","concat","absolutePath","length","join","registerFileCreate","newFileMenuPlugin","attach","menu","fileList","addMenuEntry","displayName","templateName","iconClass","fileType","actionHandler","createFile","then","status","data","fileInfoModel","OCA","Files","FileInfoModel","Viewer","fileActions","triggerAction","OC","Plugins","register","registerFileActionFallback","mime","sharingToken","document","getElementById","dir","ViewerRoot","createElement","body","appendChild","PERMISSION_UPDATE","PERMISSION_READ","imagePath","filename","window","FileList","findFile","Promise","all","imports","getCurrentDirectory","Vue","prototype","n","Editor","render","h","props","fileId","active","mimeType","$mount","setDefault","FilesWorkspacePlugin","el","registerHeader","bind","priority","vm","extend","RichWorkspace","propsData","$el","toString","label","isActive","action","command","undo","redo","strong","em","strike","paragraph","visible","children","heading","level","bullet_list","bullet_list_item","ordered_list","todo_item","blockquote","code_block","component","editor","scopedSlots","_u","fn","ref","commands","focused","autohide","_l","icon","$index","iconCount","getIconClasses","clickIcon","hideChildMenu","childIconClass","preventDefault","toggleChildMenu","open","isChildMenuVisible","childPopoverMenu","isHiddenInMenu","_t","hideLinkMenu","getMarkAttrs","style","setLinkUrl","link","linkUrl","domProps","type","indexOf","_k","keyCode","composing","showLinkMenu","selectFile"],"mappings":"mGAAA;;;;;;;;;;;;;;;;;;;;;;AAsBA,IAAMA,EAAwB,CAC7B,iBAGKC,EAAyB,CAC9B,aACA,kBACA,sBACA,8BACA,uBACA,yBACA,mBACA,qBACA,oBACA,oBACA,kBACA,mBACA,WACA,WACA,YACA,WACA,gBACA,WACA,qBACA,cACA,gBACA,sBAGKC,EAAgB,GAAH,OAAOF,EAA0BC,I,iCCnDpD,mBAAmX,G,qBCEnXE,EADkC,EAAQ,GAChCC,EAA4B,IAE9BC,KAAK,CAACC,EAAOC,EAAI,wtDAAytD,KAElvDD,EAAOH,QAAUA,G,oBCHjB,IAAIK,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACF,EAAOC,EAAIC,EAAS,MAC7DA,EAAQC,SAAQH,EAAOH,QAAUK,EAAQC,SAG/BC,EADH,EAAQ,IAA+DC,SAChE,WAAYH,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACF,EAAOC,EAAIC,EAAS,MAC7DA,EAAQC,SAAQH,EAAOH,QAAUK,EAAQC,SAG/BC,EADH,EAAQ,IAA+DC,SAChE,WAAYH,GAAS,EAAM,K,mBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACF,EAAOC,EAAIC,EAAS,MAC7DA,EAAQC,SAAQH,EAAOH,QAAUK,EAAQC,SAG/BC,EADH,EAAQ,IAA+DC,SAChE,WAAYH,GAAS,EAAM,K,8UC4C5C,4CACA,uECrD6L,EDuD7L,CACA,qBACA,YACA,0GAEA,OACA,MACA,YACA,cAGA,KAXA,WAYA,OACA,SACA,YACA,UACA,UACA,SACA,aACA,8DACA,wCAGA,UACA,WADA,WAEA,mGAEA,UAJA,WAKA,qEAEA,mBAPA,WAQA,kEAGA,OACA,KADA,WAEA,oBAEA,MAJA,SAIA,GACA,GACA,kDAIA,QA5CA,WA4CA,I,EAAA,c,EAAA,sHACA,WACA,gBAEA,0DACA,aACA,mBAEA,0DACA,gBATA,0C,kLAYA,SACA,QADA,aAIA,MAJA,WAIA,WACA,eACA,cACA,2BACA,cACA,oBAGA,YAZA,WAYA,WACA,eACA,kBACA,cACA,uBAIA,OAHA,IACA,8BAEA,wCACA,sBAKA,OAJA,wBACA,cACA,aACA,aACA,KACA,mBACA,+BAMA,OALA,wBACA,YACA,YACA,WACA,eACA,MAGA,UArCA,WAqCA,WACA,gBAGA,iBACA,qCACA,eACA,GACA,qFACA,0B,iBE1Ie,EAXC,YACd,GCTW,WAAa,IAAII,EAAIC,KAASC,EAAGF,EAAIG,eAAmBC,EAAGJ,EAAIK,MAAMD,IAAIF,EAAG,OAAQF,EAAW,QAAEI,EAAG,MAAM,CAACE,MAAM,CAAC,gBAAiBN,EAAIO,SAAWP,EAAIQ,MAAO,MAASR,EAAIS,MAAO,KAAQT,EAAIU,UAAW,UAAaV,EAAIW,WAAWC,MAAM,CAAC,GAAK,mBAAmB,CAAEZ,EAAsB,mBAAEI,EAAG,MAAM,CAACS,YAAY,kBAAkBC,GAAG,CAAC,MAAQd,EAAIe,YAAY,CAACX,EAAG,IAAI,CAACS,YAAY,eAAe,CAACb,EAAIgB,GAAG,WAAWhB,EAAIiB,GAAGjB,EAAIkB,EAAE,OAAQ,gCAAgC,cAAclB,EAAImB,KAAKnB,EAAIgB,GAAG,KAAMhB,EAAQ,KAAEI,EAAG,gBAAgB,CAACgB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAOvB,EAAS,MAAEwB,WAAW,UAAUC,IAAIzB,EAAI0B,KAAKC,GAAGf,MAAM,CAAC,UAAUZ,EAAI0B,KAAKC,GAAG,gBAAgB3B,EAAI0B,KAAKE,KAAK,cAAc5B,EAAI6B,WAAW,QAAS,EAAK,UAAW,EAAK,KAAO7B,EAAI0B,KAAKI,SAAS,UAAY9B,EAAI+B,WAAWjB,GAAG,CAAC,MAAQ,SAASkB,GAAQhC,EAAIQ,OAAM,GAAM,MAAQ,SAASwB,GAAQhC,EAAIS,OAAM,GAAM,KAAOT,EAAIiC,QAAQ,MAAQjC,EAAIkC,SAASlC,EAAImB,MAAM,GAAGnB,EAAImB,OACh6B,IDWpB,EACA,KACA,WACA,M,QEaIgB,EAAc,SAASC,EAAMC,GAClC,IAAMC,EAAUF,EAAKG,MAAM,KACrBC,EAASH,EAAGE,MAAM,KAExB,IADAD,EAAQG,MACDH,EAAQ,KAAOE,EAAO,IAC5BF,EAAQI,QACRF,EAAOE,QAER,IAAMC,EAAeL,EAAQM,KAAK,MAAMC,OAAOL,GACzCM,EAAeT,EAAGE,MAAM,KAC9B,OAAOI,EAAaI,OAASD,EAAaC,OACvCJ,EAAaK,KAAK,KAClBX,GAGEY,EAAqB,WAC1B,IAAMC,EAAoB,CACzBC,OADyB,SAClBC,GACN,IAAMC,EAAWD,EAAKC,SAGF,UAAhBA,EAAS1B,IAAkC,iBAAhB0B,EAAS1B,IAKxCyB,EAAKE,aAAa,CACjB3B,GAAI,OACJ4B,YAAarC,EAAE,OAAQ,qBACvBsC,aAActC,EAAE,OAAQ,qBAAuB,MAC/CuC,UAAW,qBACXC,SAAU,OACVC,cANiB,SAMHtC,GACbgC,EAASO,WAAWvC,GAAMwC,MAAK,SAASC,EAAQC,GAC/C,IAAMC,EAAgB,IAAIC,IAAIC,MAAMC,cAAcJ,QACxB,IAAfE,IAAIG,OACdH,IAAIC,MAAMG,YAAYC,cAAc,OAAQN,EAAeX,QAC3B,IAAfY,IAAIG,QACrBH,IAAIC,MAAMG,YAAYC,cAxCE,qBAwCoCN,EAAeX,WAOjFkB,GAAGC,QAAQC,SAAS,wBAAyBvB,IAGxCwB,EAA6B,WAClC,IAOyBC,EAPnBC,EAAeC,SAASC,eAAe,gBAAkBD,SAASC,eAAe,gBAAgBvD,MAAQ,KACzGwD,EAAMF,SAASC,eAAe,OAAOvD,MAE3C,IAAKqD,GAAwB,KAARG,EAAY,CAChC,IAAMC,EAAaH,SAASI,cAAc,OAC1CD,EAAWrD,GAAK,uBAChBkD,SAASK,KAAKC,YAAYH,GAmC1B,IAlCA,IAkCSrF,EAAI,EAAGA,EAAIL,IAAcyD,OAAQpD,IAlClBgF,EAmCRrF,IAAcK,GAnCGsE,IAAIC,MAAMG,YAAYI,SACtDE,EA3D4B,qBA6D5BJ,GAAGa,kBAAoBb,GAAGc,gBAC1BC,oBAAU,OAAQ,mBAClB,SAACC,GACA,IAAM7D,EAAO8D,OAAOC,SAASC,SAASH,GACtCI,QAAQC,IAAI,CACX,6BACA,iFACE/B,MAAK,SAACgC,GACR,IAAMjE,EAAO4D,OAAOC,SAASK,sBAAwB,IAAMP,EACrDQ,EAAMF,EAAQ,GAAG9F,QACvBgG,EAAIC,UAAU9E,EAAIsE,OAAOtE,EACzB6E,EAAIC,UAAUC,EAAIT,OAAOS,EACzBF,EAAIC,UAAU/B,IAAMuB,OAAOvB,IAC3B,IAAMiC,EAASL,EAAQ,GAAG9F,QACf,IAAIgG,EAAI,CAClBI,OAAQ,SAAAC,GAAC,OAAIA,EAAEF,EAAQ,CACtBG,MAAO,CACNC,OAAQ5E,EAAOA,EAAKC,GAAK,KACzB4E,QAAQ,EACR1E,WAAY+C,EACZjC,aAAcf,EACd4E,SAAU9E,EAAKI,eAIf2E,OAAOzB,QAGZ9D,EAAE,OAAQ,SAKV+C,IAAIC,MAAMG,YAAYqC,WAAWpH,IAAcK,GA9FnB,wBAoGzBgH,EAAuB,CAE5BC,GAAI,KAEJzD,OAJ4B,SAIrBE,GACc,UAAhBA,EAAS1B,IAAkC,iBAAhB0B,EAAS1B,KAIxC1B,KAAK2G,GAAK/B,SAASI,cAAc,OACjC5B,EAASwD,eAAe,CACvBlF,GAAI,YACJiF,GAAI3G,KAAK2G,GACTT,OAAQlG,KAAKkG,OAAOW,KAAK7G,MACzB8G,SAAU,OAIZZ,OAlB4B,SAkBrB9C,GAAU,WACI,UAAhBA,EAAS1B,IAAkC,iBAAhB0B,EAAS1B,IAIxC,6BAAckC,MAAK,SAACnE,GACnB,IAAMqG,EAAMrG,EAAOK,QACnB,EAAK6G,GAAGjF,GAAK,0BACboE,EAAIC,UAAU9E,EAAIsE,OAAOtE,EACzB6E,EAAIC,UAAUC,EAAIT,OAAOS,EACzBF,EAAIC,UAAU/B,IAAMuB,OAAOvB,IAC3B,IACM+C,EAAK,IADEjB,EAAIkB,OAAOC,GACb,CAAS,CACnBC,UAAW,CACVvF,KAAMyB,EAASyC,yBAEdW,OAAO,EAAKG,IAEfvD,EAAS+D,IAAItG,GAAG,mBAAmB,SAAAiD,GAClCiD,EAAGpF,KAAOmC,EAAKgB,IAAIsC,oB,iCCnKvB,oBAA6W,G,qBCE7W9H,EADkC,EAAQ,GAChCC,EAA4B,IAE9BC,KAAK,CAACC,EAAOC,EAAI,y1CAA01C,KAEn3CD,EAAOH,QAAUA,G,iCCNjB,oBAAgX,G,qBCEhXA,EADkC,EAAQ,GAChCC,EAA4B,IAE9BC,KAAK,CAACC,EAAOC,EAAI,q2BAAs2B,KAE/3BD,EAAOH,QAAUA,G,wCCNjB,I,2BCsBe,GACd,CACC+H,MAAOpG,EAAE,OAAQ,QACjBZ,MAAO,YACPiH,SAAU,SAACA,KACXC,OAAQ,SAACC,GAAD,OAAaA,EAAQC,SAE9B,CACCJ,MAAOpG,EAAE,OAAQ,QACjBZ,MAAO,YACPiH,SAAU,SAACA,KACXC,OAAQ,SAACC,GAAD,OAAaA,EAAQE,SAE9B,CACCL,MAAOpG,EAAE,OAAQ,QACjBZ,MAAO,YACPiH,SAAU,SAACA,GAAD,OAAcA,EAASK,UACjCJ,OAAQ,SAACC,GACR,OAAOA,EAAQG,WAGjB,CACCN,MAAOpG,EAAE,OAAQ,UACjBZ,MAAO,cACPiH,SAAU,SAACA,GAAD,OAAcA,EAASM,MACjCL,OAAQ,SAACC,GACR,OAAOA,EAAQI,OAGjB,CACCP,MAAOpG,EAAE,OAAQ,iBACjBZ,MAAO,cACPiH,SAAU,SAACA,GAAD,OAAcA,EAASO,UACjCN,OAAQ,SAACC,GACR,OAAOA,EAAQK,WAGjB,CACCR,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,iBACPiH,SAAU,SAACA,KACXC,OAAQ,SAACC,GACR,OAAOA,EAAQM,cAGjB,CACCT,MAAOpG,EAAE,OAAQ,YACjB8G,SAAS,EACTC,SAAU,CACT,CACCX,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,MAGlC,CACCb,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,MAGlC,CACCb,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,MAGlC,CACCb,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,MAGlC,CACCb,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,MAGlC,CACCb,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASW,QAAQ,CAAEC,MAAO,KAClDX,OAAQ,SAACC,GACR,OAAOA,EAAQS,QAAQ,CAAEC,MAAO,QAKpC,CACCb,MAAOpG,EAAE,OAAQ,kBACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASa,eACjCZ,OAAQ,SAACC,GACR,OAAOA,EAAQY,qBAGjB,CACCf,MAAOpG,EAAE,OAAQ,gBACjBZ,MAAO,UACPiH,SAAU,SAACA,GAAD,OAAcA,EAASe,gBACjCd,OAAQ,SAACC,GACR,OAAOA,EAAQa,iBAGjB,CACChB,MAAOpG,EAAE,OAAQ,aACjBZ,MAAO,iBACPiH,SAAU,SAACA,GAAD,OAAc,GACxBC,OAAQ,SAACC,GAAD,OAAaA,EAAQc,cAE9B,CACCjB,MAAOpG,EAAE,OAAQ,cACjBZ,MAAO,aACPiH,SAAU,SAACA,GAAD,OAAcA,EAASiB,cACjChB,OAAQ,SAACC,GACR,OAAOA,EAAQe,eAGjB,CACClB,MAAOpG,EAAE,OAAQ,cACjBZ,MAAO,YACPiH,SAAU,SAACA,GAAD,OAAcA,EAASkB,cACjCjB,OAAQ,SAACC,GACR,OAAOA,EAAQgB,gB,82CCvElB,ICrFuL,EDqFvL,CACA,eACA,YACA,kBACA,iBACA,gBACA,aAEA,YACA,YACA,kBAEA,OACA,QACA,YACA,YACA,cAEA,cACA,aACA,YAEA,UACA,aACA,YAEA,UACA,aACA,YAEA,UACA,YACA,YACA,aAGA,gBACA,OACA,cACA,eACA,iBACA,qBACA,mBACA,aAGA,UACA,eADA,WACA,WACA,6CAEA,eAJA,WAKA,qBACA,OACA,2BAGA,OADA,cACA,IAGA,mBAbA,WAaA,WACA,mBACA,0GAGA,SAlBA,WAkBA,WACA,qBACA,WAEA,0BACA,+BACA,mBACA,sBAEA,mBACA,gCAIA,iBAhCA,WAgCA,WACA,yBACA,SADA,WAEA,GACA,QACA,gBACA,gBACA,kBACA,eACA,oBAEA,2BARA,oBAWA,WAGA,eAjDA,WAkDA,qBACA,gBACA,WACA,iBACA,eAGA,kBAGA,UA5DA,WA6DA,oBACA,iBACA,gGACA,iCACA,UAEA,UAnEA,WAoEA,2BACA,iDAGA,QAtHA,WAsHA,WACA,sDACA,2CACA,qDACA,mBACA,kBAEA,oBACA,iCAEA,MAEA,cAlIA,WAmIA,0DAEA,SACA,cADA,WACA,WACA,2BACA,mBACA,uBAGA,UAPA,SAOA,KACA,oBAEA,eAVA,SAUA,GACA,uDAEA,gBAbA,SAaA,GACA,yDAEA,cAhBA,SAgBA,GACA,8CAEA,gBAnBA,SAmBA,GACA,8GACA,8CAEA,gBAvBA,SAuBA,cAEA,GADA,2BACA,CAGA,QACA,+DACA,qBACA,mCACA,uBAGA,OACA,oBACA,yBAGA,EADA,6DACA,6CACA,kIACA,qDAEA,GACA,MACA,mBAGA,iCAEA,eAnDA,SAmDA,cAEA,GADA,oBACA,CAGA,QACA,mDACA,qBACA,mCACA,sBACA,IACA,EADA,sDACA,6CACA,uCAEA,GACA,eAGA,gCAEA,cAvEA,SAuEA,GACA,mBACA,oCACA,yBACA,YACA,GAEA,eA9EA,SA8EA,GACA,+BACA,eAEA,IADA,QACA,aACA,UACA,UAEA,2C,iBExSIC,EAAY,YACd,GJTW,WAAa,IAAI1I,EAAIC,KAASC,EAAGF,EAAIG,eAAmBC,EAAGJ,EAAIK,MAAMD,IAAIF,EAAG,OAAOE,EAAG,gBAAgB,CAACQ,MAAM,CAAC,OAASZ,EAAI2I,QAAQC,YAAY5I,EAAI6I,GAAG,CAAC,CAACpH,IAAI,UAAUqH,GAAG,SAASC,GAC/L,IAAIC,EAAWD,EAAIC,SACfzB,EAAWwB,EAAIxB,SACf0B,EAAUF,EAAIE,QAClB,MAAO,CAAC7I,EAAG,MAAM,CAACS,YAAY,UAAUP,MAAM,CAAE,aAAc2I,EAAS,SAAYjJ,EAAIkJ,WAAY,CAAElJ,EAAgB,aAAEI,EAAG,MAAM,CAAC2I,IAAI,UAAUlI,YAAY,iBAAiB,CAACb,EAAImJ,GAAInJ,EAAY,UAAE,SAASoJ,EAAKC,GAAQ,MAAO,CAAED,EAAU,MAAEhJ,EAAG,SAAS,CAACgB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO8H,EAASrJ,EAAIsJ,UAAW9H,WAAW,uBAAuBC,IAAI2H,EAAK9B,MAAMhH,MAAMN,EAAIuJ,eAAehC,EAAU6B,GAAMxI,MAAM,CAAC,MAAQwI,EAAK9B,OAAOxG,GAAG,CAAC,MAAQ,SAASkB,GAAQ,OAAOhC,EAAIwJ,UAAUR,EAAUI,OAAU,CAAChJ,EAAG,MAAM,CAACgB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO8H,EAASrJ,EAAIsJ,YAAcF,EAAK9I,MAAOkB,WAAW,qCAAqC,CAACH,KAAK,gBAAgBC,QAAQ,kBAAkBC,MAAM,WAAe,OAAOvB,EAAIyJ,cAAcL,IAAU5H,WAAW,8BAA8BC,IAAI2H,EAAK9B,MAAMzG,YAAY,WAAW,CAACT,EAAG,SAAS,CAACE,MAAMN,EAAI0J,eAAenC,EAAU6B,EAAKnB,UAAWrH,MAAM,CAAC,MAAQwI,EAAK9B,OAAOxG,GAAG,CAAC,MAAQ,SAASkB,GAAgC,OAAxBA,EAAO2H,iBAAwB3J,EAAI4J,gBAAgBR,OAAUpJ,EAAIgB,GAAG,KAAKZ,EAAG,MAAM,CAACS,YAAY,0BAA0BP,MAAM,CAACuJ,KAAM7J,EAAI8J,mBAAmBV,KAAQ,CAAChJ,EAAG,cAAc,CAACQ,MAAM,CAAC,KAAOZ,EAAI+J,iBAAiBxC,EAAUyB,EAAUI,EAAKnB,SAAUmB,OAAU,UAASpJ,EAAIgB,GAAG,KAAKZ,EAAG,UAAU,CAACJ,EAAImJ,GAAInJ,EAAY,UAAE,SAASoJ,EAAKC,GAAQ,MAAO,CAAED,EAAK9I,OAASN,EAAIgK,eAAeX,GAASjJ,EAAG,eAAe,CAACqB,IAAI2H,EAAK9I,MAAMM,MAAM,CAAC,KAAOwI,EAAK9I,OAAOQ,GAAG,CAAC,MAAQ,SAASkB,GAAQ,OAAOhC,EAAIwJ,UAAUR,EAAUI,MAAS,CAACpJ,EAAIgB,GAAG,iBAAiBhB,EAAIiB,GAAGmI,EAAK9B,OAAO,kBAAkBtH,EAAImB,UAAS,IAAI,GAAGnB,EAAImB,KAAKnB,EAAIgB,GAAG,KAAKhB,EAAIiK,GAAG,UAAU,CAACjK,EAAIgB,GAAG,8BAA8B,OAAO,MAAK,OACjmD,IIOpB,EACA,KACA,WACA,MAIa,UAAA0H,E,iDCnBf,I,mCCA0L,ECoE1L,CACA,kBACA,YACA,sBAEA,YACA,aAEA,OACA,QACA,YACA,YACA,cAEA,UACA,YACA,YACA,aAGA,gBACA,OACA,aACA,sBAGA,SACA,aADA,SACA,cACA,oBACA,yBACA,2BACA,8BAGA,aARA,WASA,kBACA,0BAEA,WAZA,SAYA,cAEA,GADA,oBACA,CAGA,qDACA,sEACA,qBACA,mCACA,IACA,EADA,6DACA,6CACA,+CACA,uBAEA,oBAEA,WA5BA,SA4BA,KAUA,IARA,CACA,cACA,MACA,YACA,WACA,aACA,MAEA,yCACA,gBAEA,YACA,uB,iBChIIA,EAAY,YACd,GHTW,WAAa,IAAI1I,EAAIC,KAASC,EAAGF,EAAIG,eAAmBC,EAAGJ,EAAIK,MAAMD,IAAIF,EAAG,OAAOE,EAAG,mBAAmB,CAACS,YAAY,aAAaD,MAAM,CAAC,OAASZ,EAAI2I,QAAQ7H,GAAG,CAAC,KAAOd,EAAIkK,cAActB,YAAY5I,EAAI6I,GAAG,CAAC,CAACpH,IAAI,UAAUqH,GAAG,SAASC,GACxP,IAAIC,EAAWD,EAAIC,SACfzB,EAAWwB,EAAIxB,SACf4C,EAAepB,EAAIoB,aACnB/G,EAAO2F,EAAI3F,KACf,MAAO,CAAChD,EAAG,MAAM,CAACS,YAAY,aAAaP,MAAM,CAAE,YAAa8C,EAAKmE,UAAW6C,MAAQ,SAAYhH,EAAS,KAAI,eAAkBA,EAAW,OAAI,OAAS,CAAEpD,EAAoB,iBAAEI,EAAG,OAAO,CAACS,YAAY,mBAAmBC,GAAG,CAAC,OAAS,SAASkB,GAAgC,OAAxBA,EAAO2H,iBAAwB3J,EAAIqK,WAAWrB,EAASsB,KAAMtK,EAAIuK,YAAY,CAACnK,EAAG,QAAQ,CAACgB,WAAW,CAAC,CAACC,KAAK,QAAQC,QAAQ,UAAUC,MAAOvB,EAAW,QAAEwB,WAAW,YAAYuH,IAAI,YAAYlI,YAAY,oBAAoBD,MAAM,CAAC,KAAO,OAAO,YAAc,YAAY4J,SAAS,CAAC,MAASxK,EAAW,SAAGc,GAAG,CAAC,QAAU,SAASkB,GAAQ,OAAIA,EAAOyI,KAAKC,QAAQ,QAAQ1K,EAAI2K,GAAG3I,EAAO4I,QAAQ,MAAM,GAAG5I,EAAOP,IAAI,CAAC,MAAM,WAAoB,KAAczB,EAAIkK,aAAalI,IAAS,MAAQ,SAASA,GAAWA,EAAOQ,OAAOqI,YAAqB7K,EAAIuK,QAAQvI,EAAOQ,OAAOjB,WAAUvB,EAAIgB,GAAG,KAAKZ,EAAG,SAAS,CAACS,YAAY,kCAAkCD,MAAM,CAAC,KAAO,SAAS,SAAW,KAAKE,GAAG,CAAC,MAAQ,SAASkB,GAAQ,OAAOhC,EAAIqK,WAAWrB,EAASsB,KAAMtK,EAAIuK,eAAe,CAACnK,EAAG,SAAS,CAACS,YAAY,qBAAqBP,MAAM,CAAE,YAAaiH,EAAS+C,QAASxJ,GAAG,CAAC,MAAQ,SAASkB,GAAQhC,EAAI8K,aAAaX,EAAa,YAAY,CAAC/J,EAAG,OAAO,CAACgB,WAAW,CAAC,CAACC,KAAK,UAAUC,QAAQ,YAAYC,MAAOvB,EAAIkB,EAAE,OAAQqG,EAAS+C,OAAS,cAAgB,YAAa9I,WAAW,4DAA4DX,YAAY,cAAcb,EAAIgB,GAAG,KAAKZ,EAAG,OAAO,CAACS,YAAY,0BAA0B,CAACb,EAAIgB,GAAG,eAAehB,EAAIiB,GAAGjB,EAAIkB,EAAE,OAAQqG,EAAS+C,OAAS,cAAgB,aAAa,kBAAkBtK,EAAIgB,GAAG,KAAKZ,EAAG,SAAS,CAACS,YAAY,qBAAqBP,MAAM,CAAE,YAAaiH,EAAS+C,QAASxJ,GAAG,CAAC,MAAQ,SAASkB,GAAQ,OAAOhC,EAAI+K,WAAW/B,EAASsB,SAAS,CAAClK,EAAG,OAAO,CAACgB,WAAW,CAAC,CAACC,KAAK,UAAUC,QAAQ,YAAYC,MAAOvB,EAAIkB,EAAE,OAAQ,aAAcM,WAAW,2BAA2BX,YAAY,cAAcb,EAAIgB,GAAG,KAAKZ,EAAG,OAAO,CAACS,YAAY,0BAA0B,CAACb,EAAIgB,GAAGhB,EAAIiB,GAAGjB,EAAIkB,EAAE,OAAQ,qBAAqB,YACp8D,IGMpB,EACA,KACA,WACA,MAIa,UAAAwH,E","file":"editor-rich.js?v=e3894d020f96c922f4df","sourcesContent":["/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nconst openMimetypesMarkdown = [\n\t'text/markdown',\n]\n\nconst openMimetypesPlainText = [\n\t'text/plain',\n\t'application/cmd',\n\t'application/x-empty',\n\t'application/x-msdos-program',\n\t'application/epub+zip',\n\t'application/javascript',\n\t'application/json',\n\t'application/x-perl',\n\t'application/x-php',\n\t'application/x-tex',\n\t'application/xml',\n\t'application/yaml',\n\t'text/css',\n\t'text/csv',\n\t'text/html',\n\t'text/x-c',\n\t'text/x-c++src',\n\t'text/x-h',\n\t'text/x-java-source',\n\t'text/x-ldif',\n\t'text/x-python',\n\t'text/x-shellscript',\n]\n\nconst openMimetypes = [...openMimetypesMarkdown, ...openMimetypesPlainText]\n\nexport {\n\topenMimetypes,\n\topenMimetypesMarkdown,\n\topenMimetypesPlainText,\n}\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBar.vue?vue&type=style&index=0&id=a72763be&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"cb196732\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBubble.vue?vue&type=style&index=0&id=66ed9266&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"8904371c\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"df9632ee\", content, true, {});","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div v-if=\"enabled\" id=\"rich-workspace\" :class=\"{'icon-loading': !loaded || !ready, 'focus': focus, 'dark': darkTheme, 'creatable': canCreate}\">\n\t\t<div v-if=\"showEmptyWorkspace\" class=\"empty-workspace\" @click=\"createNew\">\n\t\t\t<p class=\"placeholder\">\n\t\t\t\t{{ t('text', 'Add notes, lists or links …') }}\n\t\t\t</p>\n\t\t</div>\n\n\t\t<EditorWrapper v-if=\"file\"\n\t\t\tv-show=\"ready\"\n\t\t\t:key=\"file.id\"\n\t\t\t:file-id=\"file.id\"\n\t\t\t:relative-path=\"file.path\"\n\t\t\t:share-token=\"shareToken\"\n\t\t\t:active=\"true\"\n\t\t\t:autohide=\"true\"\n\t\t\t:mime=\"file.mimetype\"\n\t\t\t:autofocus=\"autofocus\"\n\t\t\t@ready=\"ready=true\"\n\t\t\t@focus=\"focus=true\"\n\t\t\t@blur=\"unfocus\"\n\t\t\t@error=\"reset\" />\n\t</div>\n</template>\n\n<script>\nimport axios from '@nextcloud/axios'\nimport { generateOcsUrl } from '@nextcloud/router'\nimport { subscribe } from '@nextcloud/event-bus'\n\nconst IS_PUBLIC = !!(document.getElementById('isPublic'))\nconst WORKSPACE_URL = generateOcsUrl('apps/text' + (IS_PUBLIC ? '/public' : ''), 2) + 'workspace'\n\nexport default {\n\tname: 'RichWorkspace',\n\tcomponents: {\n\t\tEditorWrapper: () => import(/* webpackChunkName: \"editor\" */'./../components/EditorWrapper'),\n\t},\n\tprops: {\n\t\tpath: {\n\t\t\ttype: String,\n\t\t\trequired: true,\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\tfocus: false,\n\t\t\tfolder: null,\n\t\t\tfile: null,\n\t\t\tloaded: false,\n\t\t\tready: false,\n\t\t\tautofocus: false,\n\t\t\tdarkTheme: OCA.Accessibility && OCA.Accessibility.theme === 'dark',\n\t\t\tenabled: OCA.Text.RichWorkspaceEnabled,\n\t\t}\n\t},\n\tcomputed: {\n\t\tshareToken() {\n\t\t\treturn document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\t\t},\n\t\tcanCreate() {\n\t\t\treturn !!(this.folder && (this.folder.permissions & OC.PERMISSION_CREATE))\n\t\t},\n\t\tshowEmptyWorkspace() {\n\t\t\treturn (!this.file || (this.autofocus && !this.ready)) && this.canCreate\n\t\t},\n\t},\n\twatch: {\n\t\tpath() {\n\t\t\tthis.getFileInfo()\n\t\t},\n\t\tfocus(newValue) {\n\t\t\tif (!newValue) {\n\t\t\t\tdocument.querySelector('#editor').scrollTo(0, 0)\n\t\t\t}\n\t\t},\n\t},\n\tasync mounted() {\n\t\tif (this.enabled) {\n\t\t\tthis.getFileInfo()\n\t\t}\n\t\tsubscribe('Text::showRichWorkspace', () => {\n\t\t\tthis.enabled = true\n\t\t\tthis.getFileInfo()\n\t\t})\n\t\tsubscribe('Text::hideRichWorkspace', () => {\n\t\t\tthis.enabled = false\n\t\t})\n\t},\n\tmethods: {\n\t\tunfocus() {\n\t\t\t// setTimeout(() => this.focus = false, 2000)\n\t\t},\n\t\treset() {\n\t\t\tthis.file = null\n\t\t\tthis.focus = false\n\t\t\tthis.$nextTick(() => {\n\t\t\t\tthis.creating = false\n\t\t\t\tthis.getFileInfo()\n\t\t\t})\n\t\t},\n\t\tgetFileInfo() {\n\t\t\tthis.loaded = false\n\t\t\tthis.autofocus = false\n\t\t\tthis.ready = false\n\t\t\tconst params = { path: this.path }\n\t\t\tif (IS_PUBLIC) {\n\t\t\t\tparams.shareToken = this.shareToken\n\t\t\t}\n\t\t\treturn axios.get(WORKSPACE_URL, { params }).then((response) => {\n\t\t\t\tconst data = response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = data.file\n\t\t\t\tthis.editing = true\n\t\t\t\tthis.loaded = true\n\t\t\t\treturn true\n\t\t\t}).catch((error) => {\n\t\t\t\tconst data = error.response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = null\n\t\t\t\tthis.loaded = true\n\t\t\t\tthis.ready = true\n\t\t\t\tthis.creating = false\n\t\t\t\treturn false\n\t\t\t})\n\t\t},\n\t\tcreateNew() {\n\t\t\tif (this.creating) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tthis.creating = true\n\t\t\tthis.getFileInfo().then((workspaceFileExists) => {\n\t\t\t\tthis.autofocus = true\n\t\t\t\tif (!workspaceFileExists) {\n\t\t\t\t\twindow.FileList.createFile('Readme.md', { scrollTo: false, animate: false }).then((status, data) => {\n\t\t\t\t\t\tthis.getFileInfo()\n\t\t\t\t\t})\n\t\t\t\t}\n\t\t\t})\n\t\t},\n\t},\n}\n</script>\n\n<style lang=\"scss\" scoped>\n\t#rich-workspace {\n\t\tpadding: 0 60px;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -24px;\n\t\ttext-align: left;\n\t\tmax-height: 0;\n\t\ttransition: max-height 0.5s cubic-bezier(0, 1, 0, 1);\n\t\t&.creatable {\n\t\t\tmin-height: 90px;\n\t\t}\n\t}\n\n\t/* For subfolders, where there are no Recommendations */\n\t#rich-workspace:only-child {\n\t\tmargin-bottom: 0;\n\t}\n\n\t.empty-workspace {\n\t\tpadding-top: 43px;\n\t\tcolor: var(--color-text-maxcontrast);\n\t\theight: 0;\n\t}\n\n\t#rich-workspace::v-deep div[contenteditable=false] {\n\t\twidth: 100%;\n\t\tpadding: 0px;\n\t\tbackground-color: var(--color-main-background);\n\t\topacity: 1;\n\t\tborder: none;\n\t}\n\n\t#rich-workspace::v-deep #editor-container {\n\t\theight: 100%;\n\t\tposition: unset !important;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper {\n\t\tposition: unset !important;\n\t\toverflow: visible;\n\t}\n\n\t#rich-workspace::v-deep #editor {\n\t\toverflow: scroll !important;\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper .ProseMirror {\n\t\tpadding: 0px;\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace::v-deep .menubar {\n\t\tz-index: 50;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -10px;\n\t}\n\n\t#rich-workspace::v-deep .menubar .menubar-icons {\n\t\tmargin-left: 0;\n\t}\n\n\t#rich-workspace::v-deep .editor__content {\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace.focus {\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace:not(.focus) {\n\t\tmax-height: 30vh;\n\t\tposition: relative;\n\t\toverflow: hidden;\n\t}\n\n\t#rich-workspace:not(.focus):not(.icon-loading):after {\n\t\tcontent: '';\n\t\tposition: absolute;\n\t\tz-index: 1;\n\t\tbottom: 0;\n\t\tleft: 0;\n\t\tpointer-events: none;\n\t\tbackground-image: linear-gradient(to bottom, rgba(255, 255, 255, 0), var(--color-main-background));\n\t\twidth: 100%;\n\t\theight: 4em;\n\t}\n\n\t#rich-workspace.dark:not(.focus):not(.icon-loading):after {\n\t\tbackground-image: linear-gradient(to bottom, rgba(0, 0, 0, 0), var(--color-main-background));\n\t}\n\n\t@media only screen and (max-width: 1024px) {\n\t\t#rich-workspace:not(.focus) {\n\t\t\tmax-height: 30vh;\n\t\t}\n\t}\n\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./RichWorkspace.vue?vue&type=template&id=4bea3b82&scoped=true&\"\nimport script from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nexport * from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nimport style0 from \"./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"4bea3b82\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return (_vm.enabled)?_c('div',{class:{'icon-loading': !_vm.loaded || !_vm.ready, 'focus': _vm.focus, 'dark': _vm.darkTheme, 'creatable': _vm.canCreate},attrs:{\"id\":\"rich-workspace\"}},[(_vm.showEmptyWorkspace)?_c('div',{staticClass:\"empty-workspace\",on:{\"click\":_vm.createNew}},[_c('p',{staticClass:\"placeholder\"},[_vm._v(\"\\n\\t\\t\\t\"+_vm._s(_vm.t('text', 'Add notes, lists or links …'))+\"\\n\\t\\t\")])]):_vm._e(),_vm._v(\" \"),(_vm.file)?_c('EditorWrapper',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.ready),expression:\"ready\"}],key:_vm.file.id,attrs:{\"file-id\":_vm.file.id,\"relative-path\":_vm.file.path,\"share-token\":_vm.shareToken,\"active\":true,\"autohide\":true,\"mime\":_vm.file.mimetype,\"autofocus\":_vm.autofocus},on:{\"ready\":function($event){_vm.ready=true},\"focus\":function($event){_vm.focus=true},\"blur\":_vm.unfocus,\"error\":_vm.reset}}):_vm._e()],1):_vm._e()}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { openMimetypes } from './mime'\nimport RichWorkspace from '../views/RichWorkspace'\nimport { imagePath } from '@nextcloud/router'\n\nconst FILE_ACTION_IDENTIFIER = 'Edit with text app'\n\nconst optimalPath = function(from, to) {\n\tconst current = from.split('/')\n\tconst target = to.split('/')\n\tcurrent.pop() // ignore filename\n\twhile (current[0] === target[0]) {\n\t\tcurrent.shift()\n\t\ttarget.shift()\n\t}\n\tconst relativePath = current.fill('..').concat(target)\n\tconst absolutePath = to.split('/')\n\treturn relativePath.length < absolutePath.length\n\t\t? relativePath.join('/')\n\t\t: to\n}\n\nconst registerFileCreate = () => {\n\tconst newFileMenuPlugin = {\n\t\tattach(menu) {\n\t\t\tconst fileList = menu.fileList\n\n\t\t\t// only attach to main file list, public view is not supported yet\n\t\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\t\treturn\n\t\t\t}\n\n\t\t\t// register the new menu entry\n\t\t\tmenu.addMenuEntry({\n\t\t\t\tid: 'file',\n\t\t\t\tdisplayName: t('text', 'New text document'),\n\t\t\t\ttemplateName: t('text', 'New text document') + '.md',\n\t\t\t\ticonClass: 'icon-filetype-text',\n\t\t\t\tfileType: 'file',\n\t\t\t\tactionHandler(name) {\n\t\t\t\t\tfileList.createFile(name).then(function(status, data) {\n\t\t\t\t\t\tconst fileInfoModel = new OCA.Files.FileInfoModel(data)\n\t\t\t\t\t\tif (typeof OCA.Viewer !== 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction('view', fileInfoModel, fileList)\n\t\t\t\t\t\t} else if (typeof OCA.Viewer === 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction(FILE_ACTION_IDENTIFIER, fileInfoModel, fileList)\n\t\t\t\t\t\t}\n\t\t\t\t\t})\n\t\t\t\t},\n\t\t\t})\n\t\t},\n\t}\n\tOC.Plugins.register('OCA.Files.NewFileMenu', newFileMenuPlugin)\n}\n\nconst registerFileActionFallback = () => {\n\tconst sharingToken = document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\tconst dir = document.getElementById('dir').value\n\n\tif (!sharingToken || dir !== '') {\n\t\tconst ViewerRoot = document.createElement('div')\n\t\tViewerRoot.id = 'text-viewer-fallback'\n\t\tdocument.body.appendChild(ViewerRoot)\n\t\tconst registerAction = (mime) => OCA.Files.fileActions.register(\n\t\t\tmime,\n\t\t\tFILE_ACTION_IDENTIFIER,\n\t\t\tOC.PERMISSION_UPDATE | OC.PERMISSION_READ,\n\t\t\timagePath('core', 'actions/rename'),\n\t\t\t(filename) => {\n\t\t\t\tconst file = window.FileList.findFile(filename)\n\t\t\t\tPromise.all([\n\t\t\t\t\timport('vue'),\n\t\t\t\t\timport(/* webpackChunkName: \"files-modal\" */'./../components/PublicFilesEditor'),\n\t\t\t\t]).then((imports) => {\n\t\t\t\t\tconst path = window.FileList.getCurrentDirectory() + '/' + filename\n\t\t\t\t\tconst Vue = imports[0].default\n\t\t\t\t\tVue.prototype.t = window.t\n\t\t\t\t\tVue.prototype.n = window.n\n\t\t\t\t\tVue.prototype.OCA = window.OCA\n\t\t\t\t\tconst Editor = imports[1].default\n\t\t\t\t\tconst vm = new Vue({\n\t\t\t\t\t\trender: h => h(Editor, {\n\t\t\t\t\t\t\tprops: {\n\t\t\t\t\t\t\t\tfileId: file ? file.id : null,\n\t\t\t\t\t\t\t\tactive: true,\n\t\t\t\t\t\t\t\tshareToken: sharingToken,\n\t\t\t\t\t\t\t\trelativePath: path,\n\t\t\t\t\t\t\t\tmimeType: file.mimetype,\n\t\t\t\t\t\t\t},\n\t\t\t\t\t\t}),\n\t\t\t\t\t})\n\t\t\t\t\tvm.$mount(ViewerRoot)\n\t\t\t\t})\n\t\t\t},\n\t\t\tt('text', 'Edit')\n\t\t)\n\n\t\tfor (let i = 0; i < openMimetypes.length; i++) {\n\t\t\tregisterAction(openMimetypes[i])\n\t\t\tOCA.Files.fileActions.setDefault(openMimetypes[i], FILE_ACTION_IDENTIFIER)\n\t\t}\n\t}\n\n}\n\nconst FilesWorkspacePlugin = {\n\n\tel: null,\n\n\tattach(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\tthis.el = document.createElement('div')\n\t\tfileList.registerHeader({\n\t\t\tid: 'workspace',\n\t\t\tel: this.el,\n\t\t\trender: this.render.bind(this),\n\t\t\tpriority: 10,\n\t\t})\n\t},\n\n\trender(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\timport('vue').then((module) => {\n\t\t\tconst Vue = module.default\n\t\t\tthis.el.id = 'files-workspace-wrapper'\n\t\t\tVue.prototype.t = window.t\n\t\t\tVue.prototype.n = window.n\n\t\t\tVue.prototype.OCA = window.OCA\n\t\t\tconst View = Vue.extend(RichWorkspace)\n\t\t\tconst vm = new View({\n\t\t\t\tpropsData: {\n\t\t\t\t\tpath: fileList.getCurrentDirectory(),\n\t\t\t\t},\n\t\t\t}).$mount(this.el)\n\n\t\t\tfileList.$el.on('changeDirectory', data => {\n\t\t\t\tvm.path = data.dir.toString()\n\t\t\t})\n\t\t})\n\t},\n}\n\nexport {\n\toptimalPath,\n\tregisterFileActionFallback,\n\tregisterFileCreate,\n\tFilesWorkspacePlugin,\n\tFILE_ACTION_IDENTIFIER,\n}\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBar.vue?vue&type=style&index=0&id=a72763be&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBar.vue?vue&type=style&index=0&id=a72763be&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \".menubar[data-v-a72763be]{position:fixed;position:-webkit-sticky;position:sticky;top:0;display:flex;z-index:10010;background-color:var(--color-main-background-translucent);height:44px}.menubar.autohide[data-v-a72763be]{visibility:hidden;opacity:0;transition:visibility 0.2s 0.4s, opacity 0.2s 0.4s}.menubar.autohide.is-focused[data-v-a72763be]{visibility:visible;opacity:1}.menubar .menubar-icons[data-v-a72763be]{flex-grow:1;margin-left:calc((100% - 660px) / 2)}@media (max-width: 660px){.menubar .menubar-icons[data-v-a72763be]{margin-left:0}}.menubar[data-v-a72763be] .action-item__menu ul{max-height:calc(100vh - 88px);overflow:scroll}.menubar button[data-v-a72763be]{width:44px;height:44px;margin:0;background-size:16px;border:0;background-color:transparent;opacity:.5;color:var(--color-main-text);background-position:center center;vertical-align:top}.menubar button[data-v-a72763be]:hover,.menubar button[data-v-a72763be]:focus,.menubar button[data-v-a72763be]:active{background-color:var(--color-background-dark)}.menubar button.is-active[data-v-a72763be],.menubar button[data-v-a72763be]:hover,.menubar button[data-v-a72763be]:focus{opacity:1}.menubar button.icon-undo[data-v-a72763be],.menubar button.icon-redo[data-v-a72763be]{opacity:.4}.menubar .submenu[data-v-a72763be]{display:inline-block;width:44px;height:44px;position:relative;vertical-align:top}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBubble.vue?vue&type=style&index=0&id=66ed9266&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBubble.vue?vue&type=style&index=0&id=66ed9266&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \".menububble[data-v-66ed9266]{position:absolute;display:flex;z-index:10020;background:var(--color-main-background-translucent);box-shadow:0 1px 5px var(--color-box-shadow);border-radius:var(--border-radius);padding:0;margin-bottom:0.4rem;visibility:hidden;opacity:0;transform:translateX(-50%);transition:opacity 0.2s, visibility 0.2s}.menububble.is-active[data-v-66ed9266]{opacity:1;visibility:visible}.menububble__button[data-v-66ed9266]{display:block;border:0;padding:0.3rem 0.7rem;margin:0;margin-right:0.2rem;border-radius:var(--border-radius);cursor:pointer}.menububble__button[data-v-66ed9266]:last-child{margin-right:0}.menububble__buttontext[data-v-66ed9266]{padding:0.4rem;padding-right:0}.menububble__form[data-v-66ed9266]{display:flex;align-items:center}.menububble__input[data-v-66ed9266]{font:inherit;border:none;background:transparent;min-width:150px}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('EditorMenuBar',{attrs:{\"editor\":_vm.editor},scopedSlots:_vm._u([{key:\"default\",fn:function(ref){\nvar commands = ref.commands;\nvar isActive = ref.isActive;\nvar focused = ref.focused;\nreturn [_c('div',{staticClass:\"menubar\",class:{ 'is-focused': focused, 'autohide': _vm.autohide }},[(_vm.isRichEditor)?_c('div',{ref:\"menubar\",staticClass:\"menubar-icons\"},[_vm._l((_vm.allIcons),function(icon,$index){return [(icon.class)?_c('button',{directives:[{name:\"show\",rawName:\"v-show\",value:($index < _vm.iconCount),expression:\"$index < iconCount\"}],key:icon.label,class:_vm.getIconClasses(isActive, icon),attrs:{\"title\":icon.label},on:{\"click\":function($event){return _vm.clickIcon(commands, icon)}}}):[_c('div',{directives:[{name:\"show\",rawName:\"v-show\",value:($index < _vm.iconCount || !icon.class),expression:\"$index < iconCount || !icon.class\"},{name:\"click-outside\",rawName:\"v-click-outside\",value:(function () { return _vm.hideChildMenu(icon); }),expression:\"() => hideChildMenu(icon)\"}],key:icon.label,staticClass:\"submenu\"},[_c('button',{class:_vm.childIconClass(isActive, icon.children ),attrs:{\"title\":icon.label},on:{\"click\":function($event){$event.preventDefault();return _vm.toggleChildMenu(icon)}}}),_vm._v(\" \"),_c('div',{staticClass:\"popovermenu menu-center\",class:{open: _vm.isChildMenuVisible(icon)}},[_c('PopoverMenu',{attrs:{\"menu\":_vm.childPopoverMenu(isActive, commands, icon.children, icon)}})],1)])]]}),_vm._v(\" \"),_c('Actions',[_vm._l((_vm.allIcons),function(icon,$index){return [(icon.class && _vm.isHiddenInMenu($index))?_c('ActionButton',{key:icon.class,attrs:{\"icon\":icon.class},on:{\"click\":function($event){return _vm.clickIcon(commands, icon)}}},[_vm._v(\"\\n\\t\\t\\t\\t\\t\\t\"+_vm._s(icon.label)+\"\\n\\t\\t\\t\\t\\t\")]):_vm._e()]})],2)],2):_vm._e(),_vm._v(\" \"),_vm._t(\"default\",[_vm._v(\"\\n\\t\\t\\tLeft side\\n\\t\\t\")])],2)]}}],null,true)})}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nexport default [\n\t{\n\t\tlabel: t('text', 'Undo'),\n\t\tclass: 'icon-undo',\n\t\tisActive: (isActive) => {},\n\t\taction: (command) => command.undo(),\n\t},\n\t{\n\t\tlabel: t('text', 'Redo'),\n\t\tclass: 'icon-redo',\n\t\tisActive: (isActive) => {},\n\t\taction: (command) => command.redo(),\n\t},\n\t{\n\t\tlabel: t('text', 'Bold'),\n\t\tclass: 'icon-bold',\n\t\tisActive: (isActive) => isActive.strong(),\n\t\taction: (command) => {\n\t\t\treturn command.strong()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Italic'),\n\t\tclass: 'icon-italic',\n\t\tisActive: (isActive) => isActive.em(),\n\t\taction: (command) => {\n\t\t\treturn command.em()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Strikethrough'),\n\t\tclass: 'icon-strike',\n\t\tisActive: (isActive) => isActive.strike(),\n\t\taction: (command) => {\n\t\t\treturn command.strike()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Paragraph'),\n\t\tclass: 'icon-paragraph',\n\t\tisActive: (isActive) => {},\n\t\taction: (command) => {\n\t\t\treturn command.paragraph()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Headings'),\n\t\tvisible: false,\n\t\tchildren: [\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 1'),\n\t\t\t\tclass: 'icon-h1',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 1 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 1 })\n\t\t\t\t},\n\t\t\t},\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 2'),\n\t\t\t\tclass: 'icon-h2',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 2 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 2 })\n\t\t\t\t},\n\t\t\t},\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 3'),\n\t\t\t\tclass: 'icon-h3',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 3 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 3 })\n\t\t\t\t},\n\t\t\t},\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 4'),\n\t\t\t\tclass: 'icon-h4',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 4 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 4 })\n\t\t\t\t},\n\t\t\t},\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 5'),\n\t\t\t\tclass: 'icon-h5',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 5 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 5 })\n\t\t\t\t},\n\t\t\t},\n\t\t\t{\n\t\t\t\tlabel: t('text', 'Heading 6'),\n\t\t\t\tclass: 'icon-h6',\n\t\t\t\tisActive: (isActive) => isActive.heading({ level: 6 }),\n\t\t\t\taction: (command) => {\n\t\t\t\t\treturn command.heading({ level: 6 })\n\t\t\t\t},\n\t\t\t},\n\t\t],\n\t},\n\t{\n\t\tlabel: t('text', 'Unordered list'),\n\t\tclass: 'icon-ul',\n\t\tisActive: (isActive) => isActive.bullet_list(),\n\t\taction: (command) => {\n\t\t\treturn command.bullet_list_item()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Ordered list'),\n\t\tclass: 'icon-ol',\n\t\tisActive: (isActive) => isActive.ordered_list(),\n\t\taction: (command) => {\n\t\t\treturn command.ordered_list()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'ToDo list'),\n\t\tclass: 'icon-checkmark',\n\t\tisActive: (isActive) => false,\n\t\taction: (command) => command.todo_item(),\n\t},\n\t{\n\t\tlabel: t('text', 'Blockquote'),\n\t\tclass: 'icon-quote',\n\t\tisActive: (isActive) => isActive.blockquote(),\n\t\taction: (command) => {\n\t\t\treturn command.blockquote()\n\t\t},\n\t},\n\t{\n\t\tlabel: t('text', 'Code block'),\n\t\tclass: 'icon-code',\n\t\tisActive: (isActive) => isActive.code_block(),\n\t\taction: (command) => {\n\t\t\treturn command.code_block()\n\t\t},\n\t},\n]\n","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<EditorMenuBar v-slot=\"{ commands, isActive, focused }\" :editor=\"editor\">\n\t\t<div class=\"menubar\" :class=\"{ 'is-focused': focused, 'autohide': autohide }\">\n\t\t\t<div v-if=\"isRichEditor\" ref=\"menubar\" class=\"menubar-icons\">\n\t\t\t\t<template v-for=\"(icon, $index) in allIcons\">\n\t\t\t\t\t<button v-if=\"icon.class\"\n\t\t\t\t\t\tv-show=\"$index < iconCount\"\n\t\t\t\t\t\t:key=\"icon.label\"\n\t\t\t\t\t\t:title=\"icon.label\"\n\t\t\t\t\t\t:class=\"getIconClasses(isActive, icon)\"\n\t\t\t\t\t\t@click=\"clickIcon(commands, icon)\" />\n\t\t\t\t\t<template v-else>\n\t\t\t\t\t\t<div v-show=\"$index < iconCount || !icon.class\"\n\t\t\t\t\t\t\t:key=\"icon.label\"\n\t\t\t\t\t\t\tv-click-outside=\"() => hideChildMenu(icon)\"\n\t\t\t\t\t\t\tclass=\"submenu\">\n\t\t\t\t\t\t\t<button :class=\"childIconClass(isActive, icon.children, )\"\n\t\t\t\t\t\t\t\t:title=\"icon.label\"\n\t\t\t\t\t\t\t\t@click.prevent=\"toggleChildMenu(icon)\" />\n\t\t\t\t\t\t\t<div :class=\"{open: isChildMenuVisible(icon)}\" class=\"popovermenu menu-center\">\n\t\t\t\t\t\t\t\t<PopoverMenu :menu=\"childPopoverMenu(isActive, commands, icon.children, icon)\" />\n\t\t\t\t\t\t\t</div>\n\t\t\t\t\t\t</div>\n\t\t\t\t\t</template>\n\t\t\t\t</template>\n\t\t\t\t<Actions>\n\t\t\t\t\t<template v-for=\"(icon, $index) in allIcons\">\n\t\t\t\t\t\t<ActionButton v-if=\"icon.class && isHiddenInMenu($index)\"\n\t\t\t\t\t\t\t:key=\"icon.class\"\n\t\t\t\t\t\t\t:icon=\"icon.class\"\n\t\t\t\t\t\t\t@click=\"clickIcon(commands, icon)\">\n\t\t\t\t\t\t\t{{ icon.label }}\n\t\t\t\t\t\t</ActionButton>\n\t\t\t\t\t\t<!--<template v-else-if=\"!icon.class && isHiddenInMenu($index)\">\n\t\t\t\t\t\t\t<ActionButton v-for=\"childIcon in icon.children\"\n\t\t\t\t\t\t\t\t:key=\"childIcon.class\"\n\t\t\t\t\t\t\t\t:icon=\"childIcon.class\"\n\t\t\t\t\t\t\t\t@click=\"clickIcon(commands, childIcon)\">\n\t\t\t\t\t\t\t\t{{ childIcon.label }}\n\t\t\t\t\t\t\t</ActionButton>\n\t\t\t\t\t\t</template>-->\n\t\t\t\t\t</template>\n\t\t\t\t</Actions>\n\t\t\t</div>\n\t\t\t<slot>\n\t\t\t\tLeft side\n\t\t\t</slot>\n\t\t</div>\n\t</EditorMenuBar>\n</template>\n\n<script>\nimport { EditorMenuBar } from 'tiptap'\nimport Tooltip from '@nextcloud/vue/dist/Directives/Tooltip'\nimport menuBarIcons from './../mixins/menubar'\nimport { optimalPath } from './../helpers/files'\n\nimport Actions from '@nextcloud/vue/dist/Components/Actions'\nimport ActionButton from '@nextcloud/vue/dist/Components/ActionButton'\nimport PopoverMenu from '@nextcloud/vue/dist/Components/PopoverMenu'\nimport ClickOutside from 'vue-click-outside'\nimport { getCurrentUser } from '@nextcloud/auth'\n\nexport default {\n\tname: 'MenuBar',\n\tcomponents: {\n\t\tEditorMenuBar,\n\t\tActionButton,\n\t\tPopoverMenu,\n\t\tActions,\n\t},\n\tdirectives: {\n\t\tTooltip,\n\t\tClickOutside,\n\t},\n\tprops: {\n\t\teditor: {\n\t\t\ttype: Object,\n\t\t\trequired: false,\n\t\t\tdefault: null,\n\t\t},\n\t\tisRichEditor: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: true,\n\t\t},\n\t\tautohide: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tisPublic: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tfilePath: {\n\t\t\ttype: String,\n\t\t\trequired: false,\n\t\t\tdefault: '',\n\t\t},\n\t},\n\tdata: () => {\n\t\treturn {\n\t\t\twindowWidth: 0,\n\t\t\twindowHeight: 0,\n\t\t\tforceRecompute: 0,\n\t\t\tsubmenuVisibility: {},\n\t\t\tlastImagePath: null,\n\t\t\ticons: [...menuBarIcons],\n\t\t}\n\t},\n\tcomputed: {\n\t\tisHiddenInMenu() {\n\t\t\treturn ($index) => $index - this.iconCount >= 0\n\t\t},\n\t\tgetIconClasses() {\n\t\t\treturn (isActive, icon) => {\n\t\t\t\tconst classes = {\n\t\t\t\t\t'is-active': icon.isActive(isActive),\n\t\t\t\t}\n\t\t\t\tclasses[icon.class] = true\n\t\t\t\treturn classes\n\t\t\t}\n\t\t},\n\t\tisChildMenuVisible() {\n\t\t\treturn (icon) => {\n\t\t\t\treturn Object.prototype.hasOwnProperty.call(this.submenuVisibility, icon.label) ? this.submenuVisibility[icon.label] : false\n\t\t\t}\n\t\t},\n\t\tallIcons() {\n\t\t\tif (this.isPublic) {\n\t\t\t\treturn this.icons\n\t\t\t}\n\t\t\treturn [...this.icons, {\n\t\t\t\tlabel: t('text', 'Insert image'),\n\t\t\t\tclass: 'icon-image',\n\t\t\t\tisActive: () => {\n\t\t\t\t},\n\t\t\t\taction: (commands) => {\n\t\t\t\t\tthis.showImagePrompt(commands.image)\n\t\t\t\t},\n\t\t\t}]\n\t\t},\n\t\tchildPopoverMenu() {\n\t\t\treturn (isActive, commands, icons, parent) => {\n\t\t\t\tconst popoverMenuItems = []\n\t\t\t\tfor (const index in icons) {\n\t\t\t\t\tpopoverMenuItems.push({\n\t\t\t\t\t\ttext: icons[index].label,\n\t\t\t\t\t\ticon: icons[index].class,\n\t\t\t\t\t\taction: () => {\n\t\t\t\t\t\t\ticons[index].action(commands)\n\t\t\t\t\t\t\tthis.hideChildMenu(parent)\n\t\t\t\t\t\t},\n\t\t\t\t\t\tactive: icons[index].isActive(isActive),\n\t\t\t\t\t})\n\t\t\t\t}\n\t\t\t\treturn popoverMenuItems\n\t\t\t}\n\t\t},\n\t\tchildIconClass() {\n\t\t\treturn (isActive, icons) => {\n\t\t\t\tfor (const index in icons) {\n\t\t\t\t\tconst icon = icons[index]\n\t\t\t\t\tif (icon.isActive(isActive)) {\n\t\t\t\t\t\treturn icon.class\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\treturn 'icon-h1'\n\t\t\t}\n\t\t},\n\t\ticonCount() {\n\t\t\tthis.forceRecompute // eslint-disable-line\n\t\t\tthis.windowWidth // eslint-disable-line\n\t\t\tconst menuBarWidth = this.$refs.menubar && this.$refs.menubar.clientWidth > 200 ? this.$refs.menubar.clientWidth : 200\n\t\t\tconst iconCount = Math.max((Math.floor(menuBarWidth / 44) - 2), 0)\n\t\t\treturn iconCount\n\t\t},\n\t\timagePath() {\n\t\t\treturn this.lastImagePath\n\t\t\t\t|| this.filePath.split('/').slice(0, -1).join('/')\n\t\t},\n\t},\n\tmounted() {\n\t\twindow.addEventListener('resize', this.getWindowWidth)\n\t\tthis.checkInterval = setInterval(() => {\n\t\t\tconst isWidthAvailable = (this.$refs.menubar && this.$refs.menubar.clientWidth > 0)\n\t\t\tif (this.isRichEditor && isWidthAvailable) {\n\t\t\t\tthis.redrawMenuBar()\n\t\t\t}\n\t\t\tif (!this.isRichEditor || isWidthAvailable) {\n\t\t\t\tclearInterval(this.checkInterval)\n\t\t\t}\n\t\t}, 100)\n\t},\n\tbeforeDestroy() {\n\t\twindow.removeEventListener('resize', this.getWindowWidth)\n\t},\n\tmethods: {\n\t\tredrawMenuBar() {\n\t\t\tthis.$nextTick(() => {\n\t\t\t\tthis.getWindowWidth()\n\t\t\t\tthis.forceRecompute++\n\t\t\t})\n\t\t},\n\t\tclickIcon(commands, icon) {\n\t\t\treturn icon.action(commands)\n\t\t},\n\t\tgetWindowWidth(event) {\n\t\t\tthis.windowWidth = document.documentElement.clientWidth\n\t\t},\n\t\tgetWindowHeight(event) {\n\t\t\tthis.windowHeight = document.documentElement.clientHeight\n\t\t},\n\t\thideChildMenu(icon) {\n\t\t\tthis.$set(this.submenuVisibility, icon.label, false)\n\t\t},\n\t\ttoggleChildMenu(icon) {\n\t\t\tconst lastValue = Object.prototype.hasOwnProperty.call(this.submenuVisibility, icon.label) ? this.submenuVisibility[icon.label] : false\n\t\t\tthis.$set(this.submenuVisibility, icon.label, !lastValue)\n\t\t},\n\t\tshowImagePrompt(command) {\n\t\t\tconst currentUser = getCurrentUser()\n\t\t\tif (!currentUser) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tconst _command = command\n\t\t\tOC.dialogs.filepicker(t('text', 'Insert an image'), (file) => {\n\t\t\t\tconst client = OC.Files.getClient()\n\t\t\t\tclient.getFileInfo(file).then((_status, fileInfo) => {\n\t\t\t\t\tthis.lastImagePath = fileInfo.path\n\n\t\t\t\t\t// dirty but works so we have the information stored in markdown\n\t\t\t\t\tconst appendMeta = {\n\t\t\t\t\t\tmimetype: fileInfo.mimetype,\n\t\t\t\t\t\thasPreview: fileInfo.hasPreview,\n\t\t\t\t\t}\n\t\t\t\t\tconst path = optimalPath(this.filePath, `${fileInfo.path}/${fileInfo.name}`)\n\t\t\t\t\tconst encodedPath = path.split('/').map(encodeURIComponent).join('/')\n\t\t\t\t\tconst meta = Object.entries(appendMeta).map(([key, val]) => `${key}=${encodeURIComponent(val)}`).join('&')\n\t\t\t\t\tconst src = `${encodedPath}?fileId=${fileInfo.id}#${meta}`\n\n\t\t\t\t\t_command({\n\t\t\t\t\t\tsrc,\n\t\t\t\t\t\talt: fileInfo.name,\n\t\t\t\t\t})\n\t\t\t\t})\n\t\t\t}, false, [], true, undefined, this.imagePath)\n\t\t},\n\t\tshowLinkPrompt(command) {\n\t\t\tconst currentUser = OC.getCurrentUser()\n\t\t\tif (!currentUser) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tconst _command = command\n\t\t\tOC.dialogs.filepicker('Insert a link', (file) => {\n\t\t\t\tconst client = OC.Files.getClient()\n\t\t\t\tclient.getFileInfo(file).then((_status, fileInfo) => {\n\t\t\t\t\tthis.lastLinkPath = fileInfo.path\n\t\t\t\t\tconst path = this.optimalPathTo(`${fileInfo.path}/${fileInfo.name}`)\n\t\t\t\t\tconst encodedPath = path.split('/').map(encodeURIComponent).join('/')\n\t\t\t\t\tconst href = `${encodedPath}?fileId=${fileInfo.id}`\n\n\t\t\t\t\t_command({\n\t\t\t\t\t\thref,\n\t\t\t\t\t})\n\t\t\t\t})\n\t\t\t}, false, [], true, undefined, this.linkPath)\n\t\t},\n\t\toptimalPathTo(targetFile) {\n\t\t\tconst absolutePath = targetFile.split('/')\n\t\t\tconst relativePath = this.relativePathTo(targetFile).split('/')\n\t\t\treturn relativePath.length < absolutePath.length\n\t\t\t\t? relativePath.join('/')\n\t\t\t\t: targetFile\n\t\t},\n\t\trelativePathTo(targetFile) {\n\t\t\tconst current = this.filePath.split('/')\n\t\t\tconst target = targetFile.split('/')\n\t\t\tcurrent.pop() // ignore filename\n\t\t\twhile (current[0] === target[0]) {\n\t\t\t\tcurrent.shift()\n\t\t\t\ttarget.shift()\n\t\t\t}\n\t\t\treturn current.fill('..').concat(target).join('/')\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t.menubar {\n\t\tposition: fixed;\n\t\tposition: -webkit-sticky;\n\t\tposition: sticky;\n\t\ttop: 0;\n\t\tdisplay: flex;\n\t\tz-index: 10010; // above modal-header so buttons are clickable\n\t\tbackground-color: var(--color-main-background-translucent);\n\t\theight: 44px;\n\n\t\t&.autohide {\n\t\t\tvisibility: hidden;\n\t\t\topacity: 0;\n\t\t\ttransition: visibility 0.2s 0.4s, opacity 0.2s 0.4s;\n\t\t\t&.is-focused {\n\t\t\t\tvisibility: visible;\n\t\t\t\topacity: 1;\n\t\t\t}\n\t\t}\n\t\t.menubar-icons {\n\t\t\tflex-grow: 1;\n\t\t\tmargin-left: calc((100% - 660px) / 2);\n\t\t}\n\t\t@media (max-width: 660px) {\n\t\t\t.menubar-icons {\n\t\t\t\tmargin-left: 0;\n\t\t\t}\n\t\t}\n\t\t&::v-deep .action-item__menu ul {\n\t\t\tmax-height: calc(100vh - 88px);\n\t\t\toverflow: scroll;\n\t\t}\n\t}\n\n\t.menubar button {\n\t\twidth: 44px;\n\t\theight: 44px;\n\t\tmargin: 0;\n\t\tbackground-size: 16px;\n\t\tborder: 0;\n\t\tbackground-color: transparent;\n\t\topacity: .5;\n\t\tcolor: var(--color-main-text);\n\t\tbackground-position: center center;\n\t\tvertical-align: top;\n\t\t&:hover, &:focus, &:active {\n\t\t\tbackground-color: var(--color-background-dark);\n\t\t}\n\t\t&.is-active,\n\t\t&:hover,\n\t\t&:focus {\n\t\t\topacity: 1;\n\t\t}\n\n\t\t&.icon-undo, &.icon-redo {\n\t\t\topacity: .4;\n\t\t}\n\t}\n\n\t.menubar .submenu {\n\t\tdisplay: inline-block;\n\t\twidth: 44px;\n\t\theight: 44px;\n\t\tposition: relative;\n\t\tvertical-align: top;\n\t}\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBar.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBar.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./MenuBar.vue?vue&type=template&id=a72763be&scoped=true&\"\nimport script from \"./MenuBar.vue?vue&type=script&lang=js&\"\nexport * from \"./MenuBar.vue?vue&type=script&lang=js&\"\nimport style0 from \"./MenuBar.vue?vue&type=style&index=0&id=a72763be&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"a72763be\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('EditorMenuBubble',{staticClass:\"menububble\",attrs:{\"editor\":_vm.editor},on:{\"hide\":_vm.hideLinkMenu},scopedSlots:_vm._u([{key:\"default\",fn:function(ref){\nvar commands = ref.commands;\nvar isActive = ref.isActive;\nvar getMarkAttrs = ref.getMarkAttrs;\nvar menu = ref.menu;\nreturn [_c('div',{staticClass:\"menububble\",class:{ 'is-active': menu.isActive },style:((\"left: \" + (menu.left) + \"px; bottom: \" + (menu.bottom) + \"px;\"))},[(_vm.linkMenuIsActive)?_c('form',{staticClass:\"menububble__form\",on:{\"submit\":function($event){$event.preventDefault();return _vm.setLinkUrl(commands.link, _vm.linkUrl)}}},[_c('input',{directives:[{name:\"model\",rawName:\"v-model\",value:(_vm.linkUrl),expression:\"linkUrl\"}],ref:\"linkInput\",staticClass:\"menububble__input\",attrs:{\"type\":\"text\",\"placeholder\":\"https://\"},domProps:{\"value\":(_vm.linkUrl)},on:{\"keydown\":function($event){if(!$event.type.indexOf('key')&&_vm._k($event.keyCode,\"esc\",27,$event.key,[\"Esc\",\"Escape\"])){ return null; }return _vm.hideLinkMenu($event)},\"input\":function($event){if($event.target.composing){ return; }_vm.linkUrl=$event.target.value}}}),_vm._v(\" \"),_c('button',{staticClass:\"menububble__button icon-confirm\",attrs:{\"type\":\"button\",\"tabindex\":\"0\"},on:{\"click\":function($event){return _vm.setLinkUrl(commands.link, _vm.linkUrl)}}})]):[_c('button',{staticClass:\"menububble__button\",class:{ 'is-active': isActive.link() },on:{\"click\":function($event){_vm.showLinkMenu(getMarkAttrs('link'))}}},[_c('span',{directives:[{name:\"tooltip\",rawName:\"v-tooltip\",value:(_vm.t('text', isActive.link() ? 'Update Link' : 'Add Link')),expression:\"t('text', isActive.link() ? 'Update Link' : 'Add Link')\"}],staticClass:\"icon-link\"}),_vm._v(\" \"),_c('span',{staticClass:\"menububble__buttontext\"},[_vm._v(\"\\n\\t\\t\\t\\t\\t\"+_vm._s(_vm.t('text', isActive.link() ? 'Update Link' : 'Add Link'))+\"\\n\\t\\t\\t\\t\")])]),_vm._v(\" \"),_c('button',{staticClass:\"menububble__button\",class:{ 'is-active': isActive.link() },on:{\"click\":function($event){return _vm.selectFile(commands.link)}}},[_c('span',{directives:[{name:\"tooltip\",rawName:\"v-tooltip\",value:(_vm.t('text', 'Link file')),expression:\"t('text', 'Link file')\"}],staticClass:\"icon-file\"}),_vm._v(\" \"),_c('span',{staticClass:\"menububble__buttontext\"},[_vm._v(_vm._s(_vm.t('text', 'Link file')))])])]],2)]}}])})}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBubble.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./MenuBubble.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<EditorMenuBubble v-slot=\"{ commands, isActive, getMarkAttrs, menu }\"\n\t\tclass=\"menububble\"\n\t\t:editor=\"editor\"\n\t\t@hide=\"hideLinkMenu\">\n\t\t<div class=\"menububble\" :class=\"{ 'is-active': menu.isActive }\" :style=\"`left: ${menu.left}px; bottom: ${menu.bottom}px;`\">\n\t\t\t<form v-if=\"linkMenuIsActive\" class=\"menububble__form\" @submit.prevent=\"setLinkUrl(commands.link, linkUrl)\">\n\t\t\t\t<input ref=\"linkInput\"\n\t\t\t\t\tv-model=\"linkUrl\"\n\t\t\t\t\tclass=\"menububble__input\"\n\t\t\t\t\ttype=\"text\"\n\t\t\t\t\tplaceholder=\"https://\"\n\t\t\t\t\t@keydown.esc=\"hideLinkMenu\">\n\t\t\t\t<button class=\"menububble__button icon-confirm\"\n\t\t\t\t\ttype=\"button\"\n\t\t\t\t\ttabindex=\"0\"\n\t\t\t\t\t@click=\"setLinkUrl(commands.link, linkUrl)\" />\n\t\t\t</form>\n\n\t\t\t<template v-else>\n\t\t\t\t<button\n\t\t\t\t\tclass=\"menububble__button\"\n\t\t\t\t\t:class=\"{ 'is-active': isActive.link() }\"\n\t\t\t\t\t@click=\"showLinkMenu(getMarkAttrs('link'))\">\n\t\t\t\t\t<span v-tooltip=\"t('text', isActive.link() ? 'Update Link' : 'Add Link')\" class=\"icon-link\" />\n\t\t\t\t\t<span class=\"menububble__buttontext\">\n\t\t\t\t\t\t{{ t('text', isActive.link() ? 'Update Link' : 'Add Link') }}\n\t\t\t\t\t</span>\n\t\t\t\t</button>\n\t\t\t\t<button\n\t\t\t\t\tclass=\"menububble__button\"\n\t\t\t\t\t:class=\"{ 'is-active': isActive.link() }\"\n\t\t\t\t\t@click=\"selectFile(commands.link)\">\n\t\t\t\t\t<span v-tooltip=\"t('text', 'Link file')\" class=\"icon-file\" />\n\t\t\t\t\t<span class=\"menububble__buttontext\">{{ t('text', 'Link file') }}</span>\n\t\t\t\t</button>\n\t\t\t</template>\n\t\t</div>\n\t</EditorMenuBubble>\n</template>\n\n<script>\nimport { EditorMenuBubble } from 'tiptap'\nimport Tooltip from '@nextcloud/vue/dist/Directives/Tooltip'\nimport { optimalPath } from './../helpers/files'\n\nexport default {\n\tname: 'MenuBubble',\n\tcomponents: {\n\t\tEditorMenuBubble,\n\t},\n\tdirectives: {\n\t\ttooltip: Tooltip,\n\t},\n\tprops: {\n\t\teditor: {\n\t\t\ttype: Object,\n\t\t\trequired: false,\n\t\t\tdefault: null,\n\t\t},\n\t\tfilePath: {\n\t\t\ttype: String,\n\t\t\trequired: false,\n\t\t\tdefault: '',\n\t\t},\n\t},\n\tdata: () => {\n\t\treturn {\n\t\t\tlinkUrl: null,\n\t\t\tlinkMenuIsActive: false,\n\t\t}\n\t},\n\tmethods: {\n\t\tshowLinkMenu(attrs) {\n\t\t\tthis.linkUrl = attrs.href\n\t\t\tthis.linkMenuIsActive = true\n\t\t\tthis.$nextTick(() => {\n\t\t\t\tthis.$refs.linkInput.focus()\n\t\t\t})\n\t\t},\n\t\thideLinkMenu() {\n\t\t\tthis.linkUrl = null\n\t\t\tthis.linkMenuIsActive = false\n\t\t},\n\t\tselectFile(command) {\n\t\t\tconst currentUser = OC.getCurrentUser()\n\t\t\tif (!currentUser) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tconst startPath = this.filePath.split('/').slice(0, -1).join('/')\n\t\t\tOC.dialogs.filepicker(t('text', 'Select file to link to'), (file) => {\n\t\t\t\tconst client = OC.Files.getClient()\n\t\t\t\tclient.getFileInfo(file).then((_status, fileInfo) => {\n\t\t\t\t\tconst path = optimalPath(this.filePath, `${fileInfo.path}/${fileInfo.name}`)\n\t\t\t\t\tconst encodedPath = path.split('/').map(encodeURIComponent).join('/')\n\t\t\t\t\tcommand({ href: `${encodedPath}?fileId=${fileInfo.id}` })\n\t\t\t\t\tthis.hideLinkMenu()\n\t\t\t\t})\n\t\t\t}, false, [], true, undefined, startPath)\n\t\t},\n\t\tsetLinkUrl(command, url) {\n\t\t\t// Heuristics for determining if we need a https:// prefix.\n\t\t\tconst noPrefixes = [\n\t\t\t\t/^[a-zA-Z]+:/, // url with protocol (\"mailTo:email@domain.tld\")\n\t\t\t\t/^\\//, // absolute path\n\t\t\t\t/\\?fileId=/, // relative link with fileId\n\t\t\t\t/^\\.\\.?\\//, // relative link starting with ./ or ../\n\t\t\t\t/^[^.]*[/$]/, // no dots before first '/' - not a domain name\n\t\t\t\t/^#/, // url fragment\n\t\t\t]\n\t\t\tif (url && !noPrefixes.find(regex => url.match(regex))) {\n\t\t\t\turl = 'https://' + url\n\t\t\t}\n\t\t\tcommand({ href: url })\n\t\t\tthis.hideLinkMenu()\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t.menububble {\n\t\tposition: absolute;\n\t\tdisplay: flex;\n\t\tz-index: 10020;\n\t\tbackground: var(--color-main-background-translucent);\n\t\tbox-shadow: 0 1px 5px var(--color-box-shadow);\n\t\tborder-radius: var(--border-radius);\n\t\tpadding: 0;\n\t\tmargin-bottom: 0.4rem;\n\t\tvisibility: hidden;\n\t\topacity: 0;\n\t\ttransform: translateX(-50%);\n\t\ttransition: opacity 0.2s, visibility 0.2s;\n\n\t\t&.is-active {\n\t\t\topacity: 1;\n\t\t\tvisibility: visible;\n\t\t}\n\n\t\t&__button {\n\t\t\tdisplay: block;\n\t\t\tborder: 0;\n\t\t\tpadding: 0.3rem 0.7rem;\n\t\t\tmargin: 0;\n\t\t\tmargin-right: 0.2rem;\n\t\t\tborder-radius: var(--border-radius);\n\t\t\tcursor: pointer;\n\n\t\t\t&:last-child {\n\t\t\t\tmargin-right: 0;\n\t\t\t}\n\t\t}\n\n\t\t&__buttontext {\n\t\t\tpadding: 0.4rem;\n\t\t\tpadding-right: 0;\n\t\t}\n\n\t\t&__form {\n\t\t\tdisplay: flex;\n\t\t\talign-items: center;\n\t\t}\n\n\t\t&__input {\n\t\t\tfont: inherit;\n\t\t\tborder: none;\n\t\t\tbackground: transparent;\n\t\t\tmin-width: 150px;\n\t\t}\n\t}\n</style>\n","import { render, staticRenderFns } from \"./MenuBubble.vue?vue&type=template&id=66ed9266&scoped=true&\"\nimport script from \"./MenuBubble.vue?vue&type=script&lang=js&\"\nexport * from \"./MenuBubble.vue?vue&type=script&lang=js&\"\nimport style0 from \"./MenuBubble.vue?vue&type=style&index=0&id=66ed9266&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"66ed9266\",\n null\n \n)\n\nexport default component.exports"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/editor.js b/js/editor.js
index 3a0878b53..bc35998b3 100644
--- a/js/editor.js
+++ b/js/editor.js
@@ -1,4 +1,4 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[189],{167:function(e,t,r){"use strict";r.d(t,"a",(function(){return i})),r.d(t,"b",(function(){return s})),r.d(t,"c",(function(){return c}));var o=r(11),i=function(e){(document.attachEvent?"complete"===document.readyState:"loading"!==document.readyState)?setTimeout(e,0):document.addEventListener("DOMContentLoaded",e)},n=Object(o.generateUrl)("/apps/text"),s=function(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1];return t?"".concat(n,"/public/").concat(e):"".concat(n,"/").concat(e)},a=["Artichoke","Arugula","Asparagus","Avocado","Bamboo Shoot","Bean Sprout","Bean","Beet","Belgian Endive","Bell Pepper","Bitter Melon","Bitter Gourd","Bok Choy","Broccoli","Brussels Sprout","Burdock Root","Cabbage","Calabash","Caper","Carrot","Cassava","Cauliflower","Celery","Celery Root","Celtuce","Chayote","Chinese Broccoli","Corn","Baby Corn","Cucumber","English Cucumber","Gherkin","Pickling Cucumber","Daikon Radish","Edamame","Eggplant","Elephant Garlic","Endive","Curly","Escarole","Fennel","Fiddlehead","Galangal","Garlic","Ginger","Grape Leave","Green Bean","Wax Bean","Green","Amaranth Leave","Beet Green","Collard Green","Dandelion Green","Kale","Kohlrabi Green","Mustard Green","Rapini","Spinach","Swiss Chard","Turnip Green","Hearts of Palm","Horseradish","Jerusalem Artichoke","Jícama","Kale","Curly","Lacinato","Ornamental","Kohlrabi","Leeks","Lemongrass","Lettuce","Butterhead","Iceberg","Leaf","Romaine","Lotus Root","Lotus Seed","Mushroom","Napa Cabbage","Nopales","Okra","Olive","Onion","Green Onion","Parsley","Parsley Root","Parsnip","Pepper","Plantain","Potato","Pumpkin","Purslane","Radicchio","Radish","Rutabaga","Shallots","Spinach","Squash","Sweet Potato","Swiss Chard","Taro","Tomatillo","Tomato","Turnip","Water Chestnut","Water Spinach","Watercress","Winter Melon","Yams","Zucchini"],c=function(){return a[Math.floor(Math.random()*a.length)]}},176:function(e,r,o){"use strict";o.r(r);var i=o(45),n=o(223),s=o.n(n),a=o(554),c=o.n(a),l=o(29),d=o.n(l),u=o(167),p=o(198);function h(e,t){for(var r=0;r<t.length;r++){var o=t[r];o.enumerable=o.enumerable||!1,o.configurable=!0,"value"in o&&(o.writable=!0),Object.defineProperty(e,o.key,o)}}
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[189],{167:function(e,t,r){"use strict";r.d(t,"a",(function(){return i})),r.d(t,"b",(function(){return s})),r.d(t,"c",(function(){return c}));var o=r(11),i=function(e){(document.attachEvent?"complete"===document.readyState:"loading"!==document.readyState)?setTimeout(e,0):document.addEventListener("DOMContentLoaded",e)},n=Object(o.generateUrl)("/apps/text"),s=function(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1];return t?"".concat(n,"/public/").concat(e):"".concat(n,"/").concat(e)},a=["Artichoke","Arugula","Asparagus","Avocado","Bamboo Shoot","Bean Sprout","Bean","Beet","Belgian Endive","Bell Pepper","Bitter Melon","Bitter Gourd","Bok Choy","Broccoli","Brussels Sprout","Burdock Root","Cabbage","Calabash","Caper","Carrot","Cassava","Cauliflower","Celery","Celery Root","Celtuce","Chayote","Chinese Broccoli","Corn","Baby Corn","Cucumber","English Cucumber","Gherkin","Pickling Cucumber","Daikon Radish","Edamame","Eggplant","Elephant Garlic","Endive","Curly","Escarole","Fennel","Fiddlehead","Galangal","Garlic","Ginger","Grape Leave","Green Bean","Wax Bean","Green","Amaranth Leave","Beet Green","Collard Green","Dandelion Green","Kale","Kohlrabi Green","Mustard Green","Rapini","Spinach","Swiss Chard","Turnip Green","Hearts of Palm","Horseradish","Jerusalem Artichoke","Jícama","Kale","Curly","Lacinato","Ornamental","Kohlrabi","Leeks","Lemongrass","Lettuce","Butterhead","Iceberg","Leaf","Romaine","Lotus Root","Lotus Seed","Mushroom","Napa Cabbage","Nopales","Okra","Olive","Onion","Green Onion","Parsley","Parsley Root","Parsnip","Pepper","Plantain","Potato","Pumpkin","Purslane","Radicchio","Radish","Rutabaga","Shallots","Spinach","Squash","Sweet Potato","Swiss Chard","Taro","Tomatillo","Tomato","Turnip","Water Chestnut","Water Spinach","Watercress","Winter Melon","Yams","Zucchini"],c=function(){return a[Math.floor(Math.random()*a.length)]}},176:function(e,r,o){"use strict";o.r(r);var i=o(45),n=o(223),s=o.n(n),a=o(555),c=o.n(a),l=o(29),d=o.n(l),u=o(167),p=o(198);function h(e,t){for(var r=0;r<t.length;r++){var o=t[r];o.enumerable=o.enumerable||!1,o.configurable=!0,"value"in o&&(o.writable=!0),Object.defineProperty(e,o.key,o)}}
/*
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
@@ -42,7 +42,7 @@ var f=function(){function e(t){!function(e,t){if(!(e instanceof t))throw new Typ
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
-var b={shareToken:null,forceRecreate:!1,serialize:function(e){return e}},y={SAVE_COLLISSION:0,PUSH_FAILURE:1,LOAD_ERROR:2,CONNECTION_FAILED:3,SOURCE_NOT_FOUND:4},g=function(){function e(t){return function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),this.eventHandlers={opened:[],loaded:[],fetched:[],sync:[],stateChange:[],error:[],change:[],save:[]},this.backend=new f(this),this.options=Object.assign({},b,t),this.document=null,this.session=null,this.sessions=[],this.steps=[],this.stepClientIDs=[],this}var t,r,o,i,n;return t=e,(r=[{key:"open",value:(i=regeneratorRuntime.mark((function e(t){var r,o,i,n,s,a=this;return regeneratorRuntime.wrap((function(e){for(;;)switch(e.prev=e.next){case 0:if(r=t.fileId,o=t.filePath,i=t.initialSession,n=null,void 0!==i){e.next=16;break}return e.prev=3,e.next=6,this._openDocument({fileId:r,filePath:o});case 6:s=e.sent,n=s.data,e.next=14;break;case 10:throw e.prev=10,e.t0=e.catch(3),e.t0.response&&"ECONNABORTED"!==e.t0.code?this.emit("error",y.LOAD_ERROR,e.t0.response.status):this.emit("error",y.CONNECTION_FAILED,{}),e.t0;case 14:e.next=17;break;case 16:n=i;case 17:return this.document=n.document,this.document.readOnly=n.readOnly,this.session=n.session,this.emit("opened",{document:this.document,session:this.session}),e.abrupt("return",this._fetchDocument().then((function(e){var t=e.data;a.emit("loaded",{document:a.document,session:a.session,documentSource:""+t})})));case 22:case"end":return e.stop()}}),e,this,[[3,10]])})),n=function(){var e=this,t=arguments;return new Promise((function(r,o){var n=i.apply(e,t);function s(e){m(n,r,o,s,a,"next",e)}function a(e){m(n,r,o,s,a,"throw",e)}s(void 0)}))},function(e){return n.apply(this,arguments)})},{key:"startSync",value:function(){this.backend.connect()}},{key:"_openDocument",value:function(e){var t=e.fileId,r=e.filePath;return d.a.get(Object(u.b)("session/create",!!this.options.shareToken),{params:{fileId:t,filePath:r,token:this.options.shareToken,guestName:this.options.guestName,forceRecreate:this.options.forceRecreate}})}},{key:"_fetchDocument",value:function(){return d.a.get(Object(u.b)("session/fetch",!!this.options.shareToken),{transformResponse:[function(e){return e}],params:{documentId:this.document.id,sessionId:this.session.id,sessionToken:this.session.token,token:this.options.shareToken}})}},{key:"updateSession",value:function(e){var t=this;if(this.isPublic())return d.a.post(Object(u.b)("session",!!this.options.shareToken),{documentId:this.document.id,sessionId:this.session.id,sessionToken:this.session.token,token:this.options.shareToken,guestName:e}).then((function(e){var r=e.data;return t.session=r,r})).catch((function(e){return console.error("Failed to update the session",e),Promise.reject(e)}))}},{key:"sendSteps",value:function(e){var t=e||Object(p.d)(this.state);if(t)return this.backend.sendSteps(t)}},{key:"stepsSince",value:function(e){return{steps:this.steps.slice(e),clientIDs:this.stepClientIDs.slice(e)}}},{key:"_receiveSteps",value:function(e){for(var t=this,r=e.steps,o=e.document,i=[],n=function(e){var o=r[e].data;if(!Array.isArray(o))return console.error("Invalid step data, skipping step",r[e]),"continue";o.forEach((function(o){t.steps.push(o),i.push({step:o,clientID:r[e].sessionId})}))},s=0;s<r.length;s++)n(s);this.emit("sync",{steps:i,document:o}),console.debug("receivedSteps","newVersion",this._getVersion())}},{key:"_getVersion",value:function(){return this.state?Object(p.b)(this.state):0}},{key:"_getDocument",value:function(){if(this.state)return this.state.doc}},{key:"_getContent",value:function(){return this.options.serialize(this._getDocument())}},{key:"save",value:function(){this.backend.save&&this.backend.save()}},{key:"forceSave",value:function(){this.backend.forceSave&&this.backend.forceSave()}},{key:"close",value:function(){var e=this,t=!1;return new Promise((function(r,o){e.on("save",(function(){e._close().then((function(){t=!0,r()})).catch((function(){return r()}))})),setTimeout((function(){t||e._close().then((function(){r()})).catch((function(){return r()}))}),2e3),e.save()}))}},{key:"_close",value:function(){return null===this.document||null===this.session?Promise.resolve():(this.backend.disconnect(),d.a.get(Object(u.b)("session/close",!!this.options.shareToken),{params:{documentId:this.document.id,sessionId:this.session.id,sessionToken:this.session.token,token:this.options.shareToken}}))}},{key:"on",value:function(e,t,r){return this.eventHandlers[e].push(t.bind(r)),this}},{key:"emit",value:function(e,t,r){void 0!==this.eventHandlers[e]?this.eventHandlers[e].forEach((function(e){e(t,r)})):console.error("Event not found",e)}},{key:"isPublic",value:function(){return!!this.options.shareToken}}])&&v(t.prototype,r),o&&v(t,o),e}(),j={py:"python",gyp:"python",wsgi:"python",htm:"html",xhtml:"html",erl:"erlang",jsp:"java",pl:"perl",rss:"xml",atom:"xml",xsl:"xml",plist:"xml",rb:"ruby",builder:"ruby",gemspec:"ruby",podspec:"ruby",thor:"ruby",diff:"patch",hs:"haskell",icl:"haskell",php3:"php",php4:"php",php5:"php",php6:"php",sh:"bash",zsh:"bash",st:"smalltalk",as:"actionscript",apacheconf:"apache",osacript:"applescript",b:"brainfuck",bf:"brainfuck",clj:"clojure","cmake.in":"cmake",coffee:"coffeescript",cson:"coffescript",iced:"coffescript",c:"cpp",h:"cpp","c++":"cpp","h++":"cpp",hh:"cpp",jinja:"django",bat:"dos",cmd:"dos",fs:"fsharp",hbs:"handlebars","html.hbs":"handlebars","html.handlebars":"handlebars",sublime_metrics:"json",sublime_session:"json","sublime-keymap":"json","sublime-mousemap":"json","sublime-project":"json","sublime-settings":"json","sublime-workspace":"json",mk:"makefile",mak:"makefile",md:"markdown",mkdown:"markdown",mkd:"markdown",nginxconf:"nginx",m:"objectivec",mm:"objectivec",ml:"ocaml",rs:"rust",sci:"scilab",vb:"vbnet",vbs:"vbscript"},w=o(553),x=o(183),k=o(188);function P(e){return(P="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e})(e)}function S(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function O(e,t){for(var r=0;r<t.length;r++){var o=t[r];o.enumerable=o.enumerable||!1,o.configurable=!0,"value"in o&&(o.writable=!0),Object.defineProperty(e,o.key,o)}}function _(e,t){return(_=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e})(e,t)}function M(e){var t=function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}();return function(){var r,o=C(e);if(t){var i=C(this).constructor;r=Reflect.construct(o,arguments,i)}else r=o.apply(this,arguments);return E(this,r)}}function E(e,t){return!t||"object"!==P(t)&&"function"!=typeof t?function(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}(e):t}function C(e){return(C=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)})(e)}
+var b={shareToken:null,forceRecreate:!1,serialize:function(e){return e}},y={SAVE_COLLISSION:0,PUSH_FAILURE:1,LOAD_ERROR:2,CONNECTION_FAILED:3,SOURCE_NOT_FOUND:4},g=function(){function e(t){return function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),this.eventHandlers={opened:[],loaded:[],fetched:[],sync:[],stateChange:[],error:[],change:[],save:[]},this.backend=new f(this),this.options=Object.assign({},b,t),this.document=null,this.session=null,this.sessions=[],this.steps=[],this.stepClientIDs=[],this}var t,r,o,i,n;return t=e,(r=[{key:"open",value:(i=regeneratorRuntime.mark((function e(t){var r,o,i,n,s,a=this;return regeneratorRuntime.wrap((function(e){for(;;)switch(e.prev=e.next){case 0:if(r=t.fileId,o=t.filePath,i=t.initialSession,n=null,void 0!==i){e.next=16;break}return e.prev=3,e.next=6,this._openDocument({fileId:r,filePath:o});case 6:s=e.sent,n=s.data,e.next=14;break;case 10:throw e.prev=10,e.t0=e.catch(3),e.t0.response&&"ECONNABORTED"!==e.t0.code?this.emit("error",y.LOAD_ERROR,e.t0.response.status):this.emit("error",y.CONNECTION_FAILED,{}),e.t0;case 14:e.next=17;break;case 16:n=i;case 17:return this.document=n.document,this.document.readOnly=n.readOnly,this.session=n.session,this.emit("opened",{document:this.document,session:this.session}),e.abrupt("return",this._fetchDocument().then((function(e){var t=e.data;a.emit("loaded",{document:a.document,session:a.session,documentSource:""+t})})));case 22:case"end":return e.stop()}}),e,this,[[3,10]])})),n=function(){var e=this,t=arguments;return new Promise((function(r,o){var n=i.apply(e,t);function s(e){m(n,r,o,s,a,"next",e)}function a(e){m(n,r,o,s,a,"throw",e)}s(void 0)}))},function(e){return n.apply(this,arguments)})},{key:"startSync",value:function(){this.backend.connect()}},{key:"_openDocument",value:function(e){var t=e.fileId,r=e.filePath;return d.a.get(Object(u.b)("session/create",!!this.options.shareToken),{params:{fileId:t,filePath:r,token:this.options.shareToken,guestName:this.options.guestName,forceRecreate:this.options.forceRecreate}})}},{key:"_fetchDocument",value:function(){return d.a.get(Object(u.b)("session/fetch",!!this.options.shareToken),{transformResponse:[function(e){return e}],params:{documentId:this.document.id,sessionId:this.session.id,sessionToken:this.session.token,token:this.options.shareToken}})}},{key:"updateSession",value:function(e){var t=this;if(this.isPublic())return d.a.post(Object(u.b)("session",!!this.options.shareToken),{documentId:this.document.id,sessionId:this.session.id,sessionToken:this.session.token,token:this.options.shareToken,guestName:e}).then((function(e){var r=e.data;return t.session=r,r})).catch((function(e){return console.error("Failed to update the session",e),Promise.reject(e)}))}},{key:"sendSteps",value:function(e){var t=e||Object(p.d)(this.state);if(t)return this.backend.sendSteps(t)}},{key:"stepsSince",value:function(e){return{steps:this.steps.slice(e),clientIDs:this.stepClientIDs.slice(e)}}},{key:"_receiveSteps",value:function(e){for(var t=this,r=e.steps,o=e.document,i=[],n=function(e){var o=r[e].data;if(!Array.isArray(o))return console.error("Invalid step data, skipping step",r[e]),"continue";o.forEach((function(o){t.steps.push(o),i.push({step:o,clientID:r[e].sessionId})}))},s=0;s<r.length;s++)n(s);this.emit("sync",{steps:i,document:o}),console.debug("receivedSteps","newVersion",this._getVersion())}},{key:"_getVersion",value:function(){return this.state?Object(p.b)(this.state):0}},{key:"_getDocument",value:function(){if(this.state)return this.state.doc}},{key:"_getContent",value:function(){return this.options.serialize(this._getDocument())}},{key:"save",value:function(){this.backend.save&&this.backend.save()}},{key:"forceSave",value:function(){this.backend.forceSave&&this.backend.forceSave()}},{key:"close",value:function(){var e=this,t=!1;return new Promise((function(r,o){e.on("save",(function(){e._close().then((function(){t=!0,r()})).catch((function(){return r()}))})),setTimeout((function(){t||e._close().then((function(){r()})).catch((function(){return r()}))}),2e3),e.save()}))}},{key:"_close",value:function(){return null===this.document||null===this.session?Promise.resolve():(this.backend.disconnect(),d.a.get(Object(u.b)("session/close",!!this.options.shareToken),{params:{documentId:this.document.id,sessionId:this.session.id,sessionToken:this.session.token,token:this.options.shareToken}}))}},{key:"on",value:function(e,t,r){return this.eventHandlers[e].push(t.bind(r)),this}},{key:"emit",value:function(e,t,r){void 0!==this.eventHandlers[e]?this.eventHandlers[e].forEach((function(e){e(t,r)})):console.error("Event not found",e)}},{key:"isPublic",value:function(){return!!this.options.shareToken}}])&&v(t.prototype,r),o&&v(t,o),e}(),j={py:"python",gyp:"python",wsgi:"python",htm:"html",xhtml:"html",erl:"erlang",jsp:"java",pl:"perl",rss:"xml",atom:"xml",xsl:"xml",plist:"xml",rb:"ruby",builder:"ruby",gemspec:"ruby",podspec:"ruby",thor:"ruby",diff:"patch",hs:"haskell",icl:"haskell",php3:"php",php4:"php",php5:"php",php6:"php",sh:"bash",zsh:"bash",st:"smalltalk",as:"actionscript",apacheconf:"apache",osacript:"applescript",b:"brainfuck",bf:"brainfuck",clj:"clojure","cmake.in":"cmake",coffee:"coffeescript",cson:"coffescript",iced:"coffescript",c:"cpp",h:"cpp","c++":"cpp","h++":"cpp",hh:"cpp",jinja:"django",bat:"dos",cmd:"dos",fs:"fsharp",hbs:"handlebars","html.hbs":"handlebars","html.handlebars":"handlebars",sublime_metrics:"json",sublime_session:"json","sublime-keymap":"json","sublime-mousemap":"json","sublime-project":"json","sublime-settings":"json","sublime-workspace":"json",mk:"makefile",mak:"makefile",md:"markdown",mkdown:"markdown",mkd:"markdown",nginxconf:"nginx",m:"objectivec",mm:"objectivec",ml:"ocaml",rs:"rust",sci:"scilab",vb:"vbnet",vbs:"vbscript"},w=o(554),x=o(183),k=o(188);function P(e){return(P="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e})(e)}function S(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function O(e,t){for(var r=0;r<t.length;r++){var o=t[r];o.enumerable=o.enumerable||!1,o.configurable=!0,"value"in o&&(o.writable=!0),Object.defineProperty(e,o.key,o)}}function _(e,t){return(_=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e})(e,t)}function M(e){var t=function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}();return function(){var r,o=C(e);if(t){var i=C(this).constructor;r=Reflect.construct(o,arguments,i)}else r=o.apply(this,arguments);return E(this,r)}}function E(e,t){return!t||"object"!==P(t)&&"function"!=typeof t?function(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}(e):t}function C(e){return(C=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)})(e)}
/*
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
@@ -105,7 +105,7 @@ var b={shareToken:null,forceRecreate:!1,serialize:function(e){return e}},y={SAVE
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */function N(e,t,r,o,i,n,s){try{var a=e[n](s),c=a.value}catch(e){return void r(e)}a.done?t(c):Promise.resolve(c).then(o,i)}var z={name:"EditorWrapper",components:{EditorContent:x.b,MenuBar:function(){return Promise.all([o.e(1),o.e(198),o.e(192)]).then(o.bind(null,668))},MenuBubble:function(){return Promise.all([o.e(1),o.e(198),o.e(192)]).then(o.bind(null,670))},ReadOnlyEditor:function(){return Promise.all([o.e(0),o.e(188),o.e(197),o.e(189)]).then(o.bind(null,671))},CollisionResolveDialog:function(){return Promise.all([o.e(0),o.e(188),o.e(197),o.e(189)]).then(o.bind(null,672))},GuestNameDialog:function(){return Promise.all([o.e(1),o.e(187),o.e(191)]).then(o.bind(null,673))},SessionList:function(){return Promise.all([o.e(1),o.e(187),o.e(190)]).then(o.bind(null,674))}},directives:{Tooltip:D.a},mixins:[R],props:{initialSession:{type:Object,default:null},relativePath:{type:String,default:null},fileId:{type:Number,default:null},active:{type:Boolean,default:!1},autofocus:{type:Boolean,default:!0},shareToken:{type:String,default:null},mime:{type:String,default:null},autohide:{type:Boolean,default:!1},isDirectEditing:{type:Boolean,default:!1}},data:function(){return{tiptap:null,syncService:null,document:null,sessions:[],currentSession:null,filteredSessions:{},dirty:!1,initialLoading:!1,lastSavedString:"",syncError:null,hasConnectionIssue:!1,readOnly:!0,forceRecreate:!1,saveStatusPolling:null}},computed:{lastSavedStatus:function(){var e=this.dirtyStateIndicator?"*":"";return this.isMobile||(e+=this.lastSavedString),e},lastSavedStatusClass:function(){return this.syncError&&""!==this.lastSavedString?"error":""},dirtyStateIndicator:function(){return this.hasUnpushedChanges||this.hasUnsavedChanges},lastSavedStatusTooltip:function(){var e=t("text","Last saved {lastSaved}",{lastSaved:this.lastSavedString});return this.hasSyncCollission&&(e=t("text","The document has been changed outside of the editor. The changes cannot be applied.")),this.hasUnpushedChanges&&(e+=" - "+t("text","Unpushed changes")),this.hasUnsavedChanges&&(e+=" - "+t("text","Unsaved changes")),{content:e,placement:"bottom"}},hasSyncCollission:function(){return this.syncError&&this.syncError.type===y.SAVE_COLLISSION},hasUnpushedChanges:function(){return this.dirty},hasUnsavedChanges:function(){return this.document&&this.document.lastSavedVersion<this.document.currentVersion},backendUrl:function(){var e=this;return function(t){return Object(u.b)(t,!!e.shareToken)}},hasDocumentParameters:function(){return this.fileId||this.shareToken||this.initialSession},isPublic:function(){return this.isDirectEditing||document.getElementById("isPublic")&&"1"===document.getElementById("isPublic").value},isRichEditor:function(){return"text/markdown"===this.mime},fileExtension:function(){return this.relativePath?this.relativePath.split("/").pop().split(".").pop():"txt"}},watch:{lastSavedStatus:function(){this.$refs.menubar&&this.$refs.menubar.redrawMenuBar()}},mounted:function(){this.active&&this.hasDocumentParameters&&this.initSession(),this.$parent.$emit("update:loaded",!0)},created:function(){var e=this;this.saveStatusPolling=setInterval((function(){e.updateLastSavedStatus()}),2e3),document.addEventListener("keydown",this._keyUpHandler,!0)},beforeDestroy:function(){this.close()},methods:{close:function(){var e,t=this;return(e=regeneratorRuntime.mark((function e(){return regeneratorRuntime.wrap((function(e){for(;;)switch(e.prev=e.next){case 0:if(document.removeEventListener("keydown",t._keyUpHandler,!0),clearInterval(t.saveStatusPolling),!t.currentSession||!t.syncService){e.next=12;break}return e.prev=3,e.next=6,t.syncService.close();case 6:t.currentSession=null,t.syncService=null,e.next=12;break;case 10:e.prev=10,e.t0=e.catch(3);case 12:return e.abrupt("return",!0);case 13:case"end":return e.stop()}}),e,null,[[3,10]])})),function(){var t=this,r=arguments;return new Promise((function(o,i){var n=e.apply(t,r);function s(e){N(n,o,i,s,a,"next",e)}function a(e){N(n,o,i,s,a,"throw",e)}s(void 0)}))})()},updateLastSavedStatus:function(){this.document&&(this.lastSavedString=c()(1e3*this.document.lastSavedVersionTime).fromNow())},initSession:function(){var e=this;if(this.hasDocumentParameters){var t=localStorage.getItem("nick")?localStorage.getItem("nick"):Object(u.c)();this.syncService=new g({shareToken:this.shareToken,filePath:this.relativePath,guestName:t,forceRecreate:this.forceRecreate,serialize:function(t){if(e.isRichEditor){var r=Object(w.b)(e.tiptap.nodes,e.tiptap.marks).serialize(t);return console.debug("serialized document",{markdown:r}),r}var o=Object(w.e)(e.tiptap);return console.debug("serialized document",{file:o}),o}}).on("opened",(function(t){var r=t.document,o=t.session;e.currentSession=o,e.document=r,e.readOnly=r.readOnly,localStorage.setItem("nick",e.currentSession.guestName)})).on("change",(function(t){var r=t.document,o=t.sessions;""===e.document.baseVersionEtag||r.baseVersionEtag===e.document.baseVersionEtag?(e.updateSessions.bind(e)(o),e.document=r,e.syncError=null,e.tiptap.setOptions({editable:!e.readOnly})):e.resolveUseServerVersion()})).on("loaded",(function(t){var r=t.documentSource;e.hasConnectionIssue=!1,Object(w.c)(j[e.fileExtension]?j[e.fileExtension]:e.fileExtension).then((function(t){e.tiptap=Object(w.a)({content:e.isRichEditor?w.d.render(r):"<pre>"+s()(r)+"</pre>",onInit:function(t){var r=t.state;e.syncService.state=r,e.syncService.startSync()},onUpdate:function(t){var r=t.state;e.syncService.state=r},extensions:[new k.g({version:e.document.initialVersion,clientID:e.currentSession.id,debounce:200,onSendable:function(t){t.sendable;e.syncService&&e.syncService.sendSteps()}}),new I({"Ctrl-s":function(){return e.syncService.save(),!0}})],enableRichEditing:e.isRichEditor,languages:t}),e.tiptap.on("focus",(function(){e.$emit("focus")})),e.tiptap.on("blur",(function(){e.$emit("blur")})),e.syncService.state=e.tiptap.state}))})).on("sync",(function(t){var r=t.steps,o=t.document;e.hasConnectionIssue=!1;try{e.tiptap.extensions.options.collaboration.update({version:o.currentVersion,steps:r}),e.syncService.state=e.tiptap.state,e.updateLastSavedStatus()}catch(e){console.error("Failed to update steps in collaboration plugin",e)}e.document=o})).on("error",(function(t,r){e.tiptap.setOptions({editable:!1}),t!==y.SAVE_COLLISSION||e.syncError&&e.syncError.type===y.SAVE_COLLISSION||(e.initialLoading=!0,e.syncError={type:t,data:r}),t!==y.CONNECTION_FAILED||e.hasConnectionIssue||(e.hasConnectionIssue=!0,OC.Notification.showTemporary("Connection failed, reconnecting"),!1!==r.retry&&setTimeout(e.reconnect.bind(e),5e3)),t===y.SOURCE_NOT_FOUND&&(e.initialLoading=!1,e.$emit("close"),e.$emit("error"))})).on("stateChange",(function(t){t.initialLoading&&!e.initialLoading&&(e.initialLoading=!0,e.autofocus&&e.tiptap.focus("start"),e.$emit("ready"),e.$parent.$emit("ready",!0)),Object.prototype.hasOwnProperty.call(t,"dirty")&&(e.dirty=t.dirty)})),null===this.initialSession?this.syncService.open({fileId:this.fileId,filePath:this.relativePath}).catch((function(t){e.hasConnectionIssue=!0})):this.syncService.open({initialSession:this.initialSession}).catch((function(t){e.hasConnectionIssue=!0})),this.forceRecreate=!1}else this.$parent.$emit("error","No valid file provided")},resolveUseThisVersion:function(){this.syncService.forceSave(),this.tiptap.setOptions({editable:!this.readOnly})},resolveUseServerVersion:function(){this.forceRecreate=!0,this.reconnect()},reconnect:function(){var e=this;this.syncService?this.syncService.close().then((function(){e.syncService=null,e.tiptap.destroy(),e.initSession()})).catch((function(e){})):(this.syncService=null,this.tiptap.destroy(),this.initSession())},updateSessions:function(e){this.sessions=e.sort((function(e,t){return t.lastContact-e.lastContact}));var t=this.sessions.map((function(e){return e.userId})),r=this.sessions.map((function(e){return e.guestId})),o=Object.keys(this.filteredSessions).filter((function(e){return!t.includes(e)&&!r.includes(e)}));for(var n in o)i.default.delete(this.filteredSessions,o[n]);for(var s in this.sessions){var a=this.sessions[s],c=a.displayName?a.userId:a.id;this.filteredSessions[c]?this.filteredSessions[c].lastContact<a.lastContact&&i.default.set(this.filteredSessions[c],"lastContact",a.lastContact):i.default.set(this.filteredSessions,c,a),a.id===this.currentSession.id&&i.default.set(this.filteredSessions[c],"isCurrent",!0)}},_keyUpHandler:function(e){var t=e.key||e.keyCode;if((e.ctrlKey||e.metaKey)&&!e.shiftKey&&("f"===t||70===t))return e.stopPropagation(),!0}}},L=(o(626),o(628),o(18)),A=Object(L.a)(z,(function(){var e=this,t=e.$createElement,r=e._self._c||t;return r("div",{attrs:{id:"editor-container"}},[e.currentSession&&e.active?r("div",[e.hasSyncCollission?r("p",{staticClass:"msg icon-error"},[e._v("\n\t\t\t"+e._s(e.t("text","The document has been changed outside of the editor. The changes cannot be applied."))+"\n\t\t")]):e._e(),e._v(" "),e.hasConnectionIssue?r("p",{staticClass:"msg icon-info"},[e._v("\n\t\t\t"+e._s(e.t("text","File could not be loaded. Please check your internet connection."))+" "),r("a",{staticClass:"button primary",on:{click:e.reconnect}},[e._v(e._s(e.t("text","Retry")))])]):e._e()]):e._e(),e._v(" "),e.currentSession&&e.active?r("div",{class:{"has-conflicts":e.hasSyncCollission,"icon-loading":!e.initialLoading||e.hasConnectionIssue,richEditor:e.isRichEditor},attrs:{id:"editor-wrapper"}},[r("div",{attrs:{id:"editor"}},[e.syncError||e.readOnly?e._e():r("MenuBar",{ref:"menubar",attrs:{editor:e.tiptap,"file-path":e.relativePath,"is-rich-editor":e.isRichEditor,"is-public":e.isPublic,autohide:e.autohide}},[e.currentSession&&e.active?r("div",{attrs:{id:"editor-session-list"}},[r("div",{directives:[{name:"tooltip",rawName:"v-tooltip",value:e.lastSavedStatusTooltip,expression:"lastSavedStatusTooltip"}],staticClass:"save-status",class:e.lastSavedStatusClass},[e._v("\n\t\t\t\t\t\t"+e._s(e.lastSavedStatus)+"\n\t\t\t\t\t")]),e._v(" "),r("SessionList",{attrs:{sessions:e.filteredSessions}},[e.isPublic&&e.currentSession.guestName?r("GuestNameDialog",{attrs:{"sync-service":e.syncService}}):e._e()],1)],1):e._e(),e._v(" "),e._t("header")],2),e._v(" "),r("div",[!e.readOnly&&e.isRichEditor?r("MenuBubble",{attrs:{editor:e.tiptap,filePath:e.relativePath}}):e._e(),e._v(" "),r("EditorContent",{directives:[{name:"show",rawName:"v-show",value:e.initialLoading,expression:"initialLoading"}],staticClass:"editor__content",attrs:{editor:e.tiptap}})],1)],1),e._v(" "),e.hasSyncCollission?r("ReadOnlyEditor",{attrs:{content:e.syncError.data.outsideChange,"is-rich-editor":e.isRichEditor}}):e._e()],1):e._e(),e._v(" "),e.hasSyncCollission&&!e.readOnly?r("CollisionResolveDialog",{on:{resolveUseThisVersion:e.resolveUseThisVersion,resolveUseServerVersion:e.resolveUseServerVersion}}):e._e()],1)}),[],!1,null,"6e21a4b1",null);r.default=A.exports},177:function(e,t,r){"use strict";r.r(t);var o=r(45),i=r(176);function n(e,t,r,o,i,n,s){try{var a=e[n](s),c=a.value}catch(e){return void r(e)}a.done?t(c):Promise.resolve(c).then(o,i)}function s(e){return function(){var t=this,r=arguments;return new Promise((function(o,i){var s=e.apply(t,r);function a(e){n(s,o,i,a,c,"next",e)}function c(e){n(s,o,i,a,c,"throw",e)}a(void 0)}))}}var a=o.default.observable({messages:[],mtime:0}),c=function(e,t){console.debug("callMobileMessage "+e,t);var r=e;void 0!==t&&(r={MessageName:e,Values:t});var o=null;try{o=JSON.stringify(t)}catch(e){o=null}window.DirectEditingMobileInterface&&"function"==typeof window.DirectEditingMobileInterface[e]&&(null==o?window.DirectEditingMobileInterface[e]():window.DirectEditingMobileInterface[e](o)),window.webkit&&window.webkit.messageHandlers&&window.webkit.messageHandlers.DirectEditingMobileInterface&&window.webkit.messageHandlers.DirectEditingMobileInterface.postMessage(r),window.postMessage(r)};window.addEventListener("message",(function(e){a.messages.push(e.data),console.debug("postMessage",e)}));var l={name:"DirectEditing",components:{EditorWrapper:i.default},data:function(){return{initial:OCP.InitialState.loadState("text","file"),messages:a.messages,log:a,saving:!1}},computed:{initialSession:function(){return JSON.parse(this.initial.session)||null}},beforeMount:function(){c("loading")},mounted:function(){document.querySelector('meta[name="viewport"]').setAttribute("content","width=device-width, initial-scale=1.0, maximum-scale=1.0, user-scalable=0")},methods:{close:function(){var e=this;return s(regeneratorRuntime.mark((function t(){return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:e.saving=!0,setTimeout(s(regeneratorRuntime.mark((function t(){return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:return t.next=2,e.$refs.editor.close();case 2:c("close");case 3:case"end":return t.stop()}}),t)}))),0);case 2:case"end":return t.stop()}}),t)})))()},share:function(){c("share")},loaded:function(){c("loaded")}}},d=(r(630),r(18)),u=Object(d.a)(l,(function(){var e=this,t=e.$createElement,r=e._self._c||t;return r("div",{class:{"icon-loading":e.saving},attrs:{id:"direct-editor"}},[r("EditorWrapper",{ref:"editor",attrs:{"initial-session":e.initialSession,active:!0,mime:e.initial.mimetype,"is-direct-editing":!0},on:{ready:e.loaded},scopedSlots:e._u([{key:"header",fn:function(){return[r("button",{staticClass:"icon-share",on:{click:e.share}}),e._v(" "),r("button",{staticClass:"icon-close",on:{click:e.close}})]},proxy:!0}])})],1)}),[],!1,null,"3ea77884",null);t.default=u.exports},199:function(e,t,r){var o=r(564);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("5e6bee16",o,!0,{})},203:function(e,t,r){var o=r(627);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("07474748",o,!0,{})},204:function(e,t,r){var o=r(629);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("5039213c",o,!0,{})},205:function(e,t,r){var o=r(631);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("992233ba",o,!0,{})},217:function(e,t,r){var o=r(646);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("5ba6a5c3",o,!0,{})},218:function(e,t,r){var o=r(648);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("ed017fbc",o,!0,{})},219:function(e,t,r){var o=r(650);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("a3c96434",o,!0,{})},553:function(e,t,r){"use strict";r.d(t,"d",(function(){return Ce})),r.d(t,"a",(function(){return Ee})),r.d(t,"b",(function(){return Re})),r.d(t,"e",(function(){return Te})),r.d(t,"c",(function(){return Me}));var o=r(183),i=r(188),n=r(191),s=r(11);function a(e,t){return function(e){if(Array.isArray(e))return e}
+ */function N(e,t,r,o,i,n,s){try{var a=e[n](s),c=a.value}catch(e){return void r(e)}a.done?t(c):Promise.resolve(c).then(o,i)}var z={name:"EditorWrapper",components:{EditorContent:x.b,MenuBar:function(){return Promise.all([o.e(1),o.e(198),o.e(192)]).then(o.bind(null,668))},MenuBubble:function(){return Promise.all([o.e(1),o.e(198),o.e(192)]).then(o.bind(null,670))},ReadOnlyEditor:function(){return Promise.all([o.e(0),o.e(188),o.e(197),o.e(189)]).then(o.bind(null,671))},CollisionResolveDialog:function(){return Promise.all([o.e(0),o.e(188),o.e(197),o.e(189)]).then(o.bind(null,672))},GuestNameDialog:function(){return Promise.all([o.e(1),o.e(187),o.e(191)]).then(o.bind(null,673))},SessionList:function(){return Promise.all([o.e(1),o.e(187),o.e(190)]).then(o.bind(null,674))}},directives:{Tooltip:D.a},mixins:[R],props:{initialSession:{type:Object,default:null},relativePath:{type:String,default:null},fileId:{type:Number,default:null},active:{type:Boolean,default:!1},autofocus:{type:Boolean,default:!0},shareToken:{type:String,default:null},mime:{type:String,default:null},autohide:{type:Boolean,default:!1},isDirectEditing:{type:Boolean,default:!1}},data:function(){return{tiptap:null,syncService:null,document:null,sessions:[],currentSession:null,filteredSessions:{},dirty:!1,initialLoading:!1,lastSavedString:"",syncError:null,hasConnectionIssue:!1,readOnly:!0,forceRecreate:!1,saveStatusPolling:null}},computed:{lastSavedStatus:function(){var e=this.dirtyStateIndicator?"*":"";return this.isMobile||(e+=this.lastSavedString),e},lastSavedStatusClass:function(){return this.syncError&&""!==this.lastSavedString?"error":""},dirtyStateIndicator:function(){return this.hasUnpushedChanges||this.hasUnsavedChanges},lastSavedStatusTooltip:function(){var e=t("text","Last saved {lastSaved}",{lastSaved:this.lastSavedString});return this.hasSyncCollission&&(e=t("text","The document has been changed outside of the editor. The changes cannot be applied.")),this.hasUnpushedChanges&&(e+=" - "+t("text","Unpushed changes")),this.hasUnsavedChanges&&(e+=" - "+t("text","Unsaved changes")),{content:e,placement:"bottom"}},hasSyncCollission:function(){return this.syncError&&this.syncError.type===y.SAVE_COLLISSION},hasUnpushedChanges:function(){return this.dirty},hasUnsavedChanges:function(){return this.document&&this.document.lastSavedVersion<this.document.currentVersion},backendUrl:function(){var e=this;return function(t){return Object(u.b)(t,!!e.shareToken)}},hasDocumentParameters:function(){return this.fileId||this.shareToken||this.initialSession},isPublic:function(){return this.isDirectEditing||document.getElementById("isPublic")&&"1"===document.getElementById("isPublic").value},isRichEditor:function(){return"text/markdown"===this.mime},fileExtension:function(){return this.relativePath?this.relativePath.split("/").pop().split(".").pop():"txt"}},watch:{lastSavedStatus:function(){this.$refs.menubar&&this.$refs.menubar.redrawMenuBar()}},mounted:function(){this.active&&this.hasDocumentParameters&&this.initSession(),this.$parent.$emit("update:loaded",!0)},created:function(){var e=this;this.saveStatusPolling=setInterval((function(){e.updateLastSavedStatus()}),2e3),document.addEventListener("keydown",this._keyUpHandler,!0)},beforeDestroy:function(){this.close()},methods:{close:function(){var e,t=this;return(e=regeneratorRuntime.mark((function e(){return regeneratorRuntime.wrap((function(e){for(;;)switch(e.prev=e.next){case 0:if(document.removeEventListener("keydown",t._keyUpHandler,!0),clearInterval(t.saveStatusPolling),!t.currentSession||!t.syncService){e.next=12;break}return e.prev=3,e.next=6,t.syncService.close();case 6:t.currentSession=null,t.syncService=null,e.next=12;break;case 10:e.prev=10,e.t0=e.catch(3);case 12:return e.abrupt("return",!0);case 13:case"end":return e.stop()}}),e,null,[[3,10]])})),function(){var t=this,r=arguments;return new Promise((function(o,i){var n=e.apply(t,r);function s(e){N(n,o,i,s,a,"next",e)}function a(e){N(n,o,i,s,a,"throw",e)}s(void 0)}))})()},updateLastSavedStatus:function(){this.document&&(this.lastSavedString=c()(1e3*this.document.lastSavedVersionTime).fromNow())},initSession:function(){var e=this;if(this.hasDocumentParameters){var t=localStorage.getItem("nick")?localStorage.getItem("nick"):Object(u.c)();this.syncService=new g({shareToken:this.shareToken,filePath:this.relativePath,guestName:t,forceRecreate:this.forceRecreate,serialize:function(t){if(e.isRichEditor){var r=Object(w.b)(e.tiptap.nodes,e.tiptap.marks).serialize(t);return console.debug("serialized document",{markdown:r}),r}var o=Object(w.e)(e.tiptap);return console.debug("serialized document",{file:o}),o}}).on("opened",(function(t){var r=t.document,o=t.session;e.currentSession=o,e.document=r,e.readOnly=r.readOnly,localStorage.setItem("nick",e.currentSession.guestName)})).on("change",(function(t){var r=t.document,o=t.sessions;""===e.document.baseVersionEtag||r.baseVersionEtag===e.document.baseVersionEtag?(e.updateSessions.bind(e)(o),e.document=r,e.syncError=null,e.tiptap.setOptions({editable:!e.readOnly})):e.resolveUseServerVersion()})).on("loaded",(function(t){var r=t.documentSource;e.hasConnectionIssue=!1,Object(w.c)(j[e.fileExtension]?j[e.fileExtension]:e.fileExtension).then((function(t){e.tiptap=Object(w.a)({content:e.isRichEditor?w.d.render(r):"<pre>"+s()(r)+"</pre>",onInit:function(t){var r=t.state;e.syncService.state=r,e.syncService.startSync()},onUpdate:function(t){var r=t.state;e.syncService.state=r},extensions:[new k.g({version:e.document.initialVersion,clientID:e.currentSession.id,debounce:200,onSendable:function(t){t.sendable;e.syncService&&e.syncService.sendSteps()}}),new I({"Ctrl-s":function(){return e.syncService.save(),!0}})],enableRichEditing:e.isRichEditor,languages:t}),e.tiptap.on("focus",(function(){e.$emit("focus")})),e.tiptap.on("blur",(function(){e.$emit("blur")})),e.syncService.state=e.tiptap.state}))})).on("sync",(function(t){var r=t.steps,o=t.document;e.hasConnectionIssue=!1;try{e.tiptap.extensions.options.collaboration.update({version:o.currentVersion,steps:r}),e.syncService.state=e.tiptap.state,e.updateLastSavedStatus()}catch(e){console.error("Failed to update steps in collaboration plugin",e)}e.document=o})).on("error",(function(t,r){e.tiptap.setOptions({editable:!1}),t!==y.SAVE_COLLISSION||e.syncError&&e.syncError.type===y.SAVE_COLLISSION||(e.initialLoading=!0,e.syncError={type:t,data:r}),t!==y.CONNECTION_FAILED||e.hasConnectionIssue||(e.hasConnectionIssue=!0,OC.Notification.showTemporary("Connection failed, reconnecting"),!1!==r.retry&&setTimeout(e.reconnect.bind(e),5e3)),t===y.SOURCE_NOT_FOUND&&(e.initialLoading=!1,e.$emit("close"),e.$emit("error"))})).on("stateChange",(function(t){t.initialLoading&&!e.initialLoading&&(e.initialLoading=!0,e.autofocus&&e.tiptap.focus("start"),e.$emit("ready"),e.$parent.$emit("ready",!0)),Object.prototype.hasOwnProperty.call(t,"dirty")&&(e.dirty=t.dirty)})),null===this.initialSession?this.syncService.open({fileId:this.fileId,filePath:this.relativePath}).catch((function(t){e.hasConnectionIssue=!0})):this.syncService.open({initialSession:this.initialSession}).catch((function(t){e.hasConnectionIssue=!0})),this.forceRecreate=!1}else this.$parent.$emit("error","No valid file provided")},resolveUseThisVersion:function(){this.syncService.forceSave(),this.tiptap.setOptions({editable:!this.readOnly})},resolveUseServerVersion:function(){this.forceRecreate=!0,this.reconnect()},reconnect:function(){var e=this;this.syncService?this.syncService.close().then((function(){e.syncService=null,e.tiptap.destroy(),e.initSession()})).catch((function(e){})):(this.syncService=null,this.tiptap.destroy(),this.initSession())},updateSessions:function(e){this.sessions=e.sort((function(e,t){return t.lastContact-e.lastContact}));var t=this.sessions.map((function(e){return e.userId})),r=this.sessions.map((function(e){return e.guestId})),o=Object.keys(this.filteredSessions).filter((function(e){return!t.includes(e)&&!r.includes(e)}));for(var n in o)i.default.delete(this.filteredSessions,o[n]);for(var s in this.sessions){var a=this.sessions[s],c=a.displayName?a.userId:a.id;this.filteredSessions[c]?this.filteredSessions[c].lastContact<a.lastContact&&i.default.set(this.filteredSessions[c],"lastContact",a.lastContact):i.default.set(this.filteredSessions,c,a),a.id===this.currentSession.id&&i.default.set(this.filteredSessions[c],"isCurrent",!0)}},_keyUpHandler:function(e){var t=e.key||e.keyCode;if((e.ctrlKey||e.metaKey)&&!e.shiftKey&&("f"===t||70===t))return e.stopPropagation(),!0}}},L=(o(627),o(629),o(18)),A=Object(L.a)(z,(function(){var e=this,t=e.$createElement,r=e._self._c||t;return r("div",{attrs:{id:"editor-container"}},[e.currentSession&&e.active?r("div",[e.hasSyncCollission?r("p",{staticClass:"msg icon-error"},[e._v("\n\t\t\t"+e._s(e.t("text","The document has been changed outside of the editor. The changes cannot be applied."))+"\n\t\t")]):e._e(),e._v(" "),e.hasConnectionIssue?r("p",{staticClass:"msg icon-info"},[e._v("\n\t\t\t"+e._s(e.t("text","File could not be loaded. Please check your internet connection."))+" "),r("a",{staticClass:"button primary",on:{click:e.reconnect}},[e._v(e._s(e.t("text","Retry")))])]):e._e()]):e._e(),e._v(" "),e.currentSession&&e.active?r("div",{class:{"has-conflicts":e.hasSyncCollission,"icon-loading":!e.initialLoading||e.hasConnectionIssue,richEditor:e.isRichEditor},attrs:{id:"editor-wrapper"}},[r("div",{attrs:{id:"editor"}},[e.syncError||e.readOnly?e._e():r("MenuBar",{ref:"menubar",attrs:{editor:e.tiptap,"file-path":e.relativePath,"is-rich-editor":e.isRichEditor,"is-public":e.isPublic,autohide:e.autohide}},[e.currentSession&&e.active?r("div",{attrs:{id:"editor-session-list"}},[r("div",{directives:[{name:"tooltip",rawName:"v-tooltip",value:e.lastSavedStatusTooltip,expression:"lastSavedStatusTooltip"}],staticClass:"save-status",class:e.lastSavedStatusClass},[e._v("\n\t\t\t\t\t\t"+e._s(e.lastSavedStatus)+"\n\t\t\t\t\t")]),e._v(" "),r("SessionList",{attrs:{sessions:e.filteredSessions}},[e.isPublic&&e.currentSession.guestName?r("GuestNameDialog",{attrs:{"sync-service":e.syncService}}):e._e()],1)],1):e._e(),e._v(" "),e._t("header")],2),e._v(" "),r("div",[!e.readOnly&&e.isRichEditor?r("MenuBubble",{attrs:{editor:e.tiptap,filePath:e.relativePath}}):e._e(),e._v(" "),r("EditorContent",{directives:[{name:"show",rawName:"v-show",value:e.initialLoading,expression:"initialLoading"}],staticClass:"editor__content",attrs:{editor:e.tiptap}})],1)],1),e._v(" "),e.hasSyncCollission?r("ReadOnlyEditor",{attrs:{content:e.syncError.data.outsideChange,"is-rich-editor":e.isRichEditor}}):e._e()],1):e._e(),e._v(" "),e.hasSyncCollission&&!e.readOnly?r("CollisionResolveDialog",{on:{resolveUseThisVersion:e.resolveUseThisVersion,resolveUseServerVersion:e.resolveUseServerVersion}}):e._e()],1)}),[],!1,null,"6e21a4b1",null);r.default=A.exports},177:function(e,t,r){"use strict";r.r(t);var o=r(45),i=r(176);function n(e,t,r,o,i,n,s){try{var a=e[n](s),c=a.value}catch(e){return void r(e)}a.done?t(c):Promise.resolve(c).then(o,i)}function s(e){return function(){var t=this,r=arguments;return new Promise((function(o,i){var s=e.apply(t,r);function a(e){n(s,o,i,a,c,"next",e)}function c(e){n(s,o,i,a,c,"throw",e)}a(void 0)}))}}var a=o.default.observable({messages:[],mtime:0}),c=function(e,t){console.debug("callMobileMessage "+e,t);var r=e;void 0!==t&&(r={MessageName:e,Values:t});var o=null;try{o=JSON.stringify(t)}catch(e){o=null}window.DirectEditingMobileInterface&&"function"==typeof window.DirectEditingMobileInterface[e]&&(null==o?window.DirectEditingMobileInterface[e]():window.DirectEditingMobileInterface[e](o)),window.webkit&&window.webkit.messageHandlers&&window.webkit.messageHandlers.DirectEditingMobileInterface&&window.webkit.messageHandlers.DirectEditingMobileInterface.postMessage(r),window.postMessage(r)};window.addEventListener("message",(function(e){a.messages.push(e.data),console.debug("postMessage",e)}));var l={name:"DirectEditing",components:{EditorWrapper:i.default},data:function(){return{initial:OCP.InitialState.loadState("text","file"),messages:a.messages,log:a,saving:!1}},computed:{initialSession:function(){return JSON.parse(this.initial.session)||null}},beforeMount:function(){c("loading")},mounted:function(){document.querySelector('meta[name="viewport"]').setAttribute("content","width=device-width, initial-scale=1.0, maximum-scale=1.0, user-scalable=0")},methods:{close:function(){var e=this;return s(regeneratorRuntime.mark((function t(){return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:e.saving=!0,setTimeout(s(regeneratorRuntime.mark((function t(){return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:return t.next=2,e.$refs.editor.close();case 2:c("close");case 3:case"end":return t.stop()}}),t)}))),0);case 2:case"end":return t.stop()}}),t)})))()},share:function(){c("share")},loaded:function(){c("loaded")}}},d=(r(631),r(18)),u=Object(d.a)(l,(function(){var e=this,t=e.$createElement,r=e._self._c||t;return r("div",{class:{"icon-loading":e.saving},attrs:{id:"direct-editor"}},[r("EditorWrapper",{ref:"editor",attrs:{"initial-session":e.initialSession,active:!0,mime:e.initial.mimetype,"is-direct-editing":!0},on:{ready:e.loaded},scopedSlots:e._u([{key:"header",fn:function(){return[r("button",{staticClass:"icon-share",on:{click:e.share}}),e._v(" "),r("button",{staticClass:"icon-close",on:{click:e.close}})]},proxy:!0}])})],1)}),[],!1,null,"3ea77884",null);t.default=u.exports},199:function(e,t,r){var o=r(565);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("5e6bee16",o,!0,{})},203:function(e,t,r){var o=r(628);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("07474748",o,!0,{})},204:function(e,t,r){var o=r(630);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("5039213c",o,!0,{})},205:function(e,t,r){var o=r(632);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("992233ba",o,!0,{})},217:function(e,t,r){var o=r(647);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("5ba6a5c3",o,!0,{})},218:function(e,t,r){var o=r(649);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("ed017fbc",o,!0,{})},219:function(e,t,r){var o=r(651);"string"==typeof o&&(o=[[e.i,o,""]]),o.locals&&(e.exports=o.locals);(0,r(50).default)("a3c96434",o,!0,{})},554:function(e,t,r){"use strict";r.d(t,"d",(function(){return Ce})),r.d(t,"a",(function(){return Ee})),r.d(t,"b",(function(){return Re})),r.d(t,"e",(function(){return Te})),r.d(t,"c",(function(){return Me}));var o=r(183),i=r(188),n=r(191),s=r(11);function a(e,t){return function(e){if(Array.isArray(e))return e}
/*
* @copyright Copyright (c) 2020 Azul <azul@riseup.net>
*
@@ -147,7 +147,7 @@ var b={shareToken:null,forceRecreate:!1,serialize:function(e){return e}},y={SAVE
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */var P=function(e){g(r,e);var t=w(r);function r(){return v(this,r),t.apply(this,arguments)}return y(r,[{key:"name",get:function(){return"strong"}}]),r}(i.b),S=function(e){g(r,e);var t=w(r);function r(){return v(this,r),t.apply(this,arguments)}return y(r,[{key:"name",get:function(){return"em"}}]),r}(i.m),O=function(e){g(r,e);var t=w(r);function r(){return v(this,r),t.apply(this,arguments)}return y(r,[{key:"schema",get:function(){return{parseDOM:[{tag:"s"},{tag:"del"},{tag:"strike"},{style:"text-decoration",getAttrs:function(e){return"line-through"===e}}],toDOM:function(){return["s",0]},toMarkdown:{open:"~~",close:"~~",mixable:!0,expelEnclosingWhitespace:!0}}}}]),r}(i.r),_=function(e){g(r,e);var t=w(r);function r(){return v(this,r),t.apply(this,arguments)}return y(r,[{key:"schema",get:function(){return{attrs:{href:{default:null}},inclusive:!1,parseDOM:[{tag:"a[href]",getAttrs:function(e){return{href:u(e)}}}],toDOM:function(e){return["a",h(h({},e.attrs),{},{href:d(e),title:e.attrs.href,rel:"noopener noreferrer nofollow"}),0]}}}},{key:"plugins",get:function(){return this.options.openOnClick?[new o.h({props:{handleClick:function(e,t,r){var o=e.state.schema;if(Object(n.a)(e.state,o.marks.link).href&&r.target instanceof HTMLAnchorElement){r.stopPropagation();var i=r.target.href;if(0===r.button&&!r.ctrlKey&&i.startsWith(window.location.origin)){var s=OC.parseQueryString(i),a=OC.parseQueryString(i.split("#").pop());if(s.dir&&a.relPath){var c=a.relPath.split("/").pop(),l="".concat(s.dir,"/").concat(c);document.title="".concat(c," - ").concat(OC.theme.title),window.location.pathname.match(/apps\/files\/$/),OCA.Viewer.open({path:l})}else window.open(i)}else window.open(i)}}}})]:[]}}]),r}(i.n),M=r(562),E=r.n(M),C=["image/png","image/jpeg","image/gif","image/x-xbitmap","image/bmp","image/svg+xml"],I=function(e,t){var r=e.split("?")[1];if(void 0!==r){var o=r.split(/[&#]/);if(void 0!==o)for(var i=0;i<o.length;i++){var n=o[i].split("=");if(decodeURIComponent(n[0])===t)return decodeURIComponent(n[1])}}},R={name:"ImageView",props:["node","updateAttrs","view"],data:function(){return{imageLoaded:!1,loaded:!1,failed:!1}},computed:{imageUrl:function(){if(this.hasPreviewUrl)return this.src;if(this.fileId)return Object(s.generateUrl)("/core/preview")+"?fileId=".concat(this.fileId,"&x=1024&y=1024&a=true");var e=FileList.getCurrentDirectory()+"/"+this.src,t=encodeURIComponent(E.a.normalize(e));return Object(s.generateUrl)("/core/preview.png")+"?file=".concat(t,"&x=1024&y=1024&a=true")},fileId:function(){return I(this.src,"fileId")},hasPreviewUrl:function(){return this.src.match(/^(\/index.php)?\/core\/preview/)},mimeIcon:function(){var e=I(this.src,"mimetype");return e?{backgroundImage:"url("+window.OC.MimeType.getIconUrl(e)+")"}:{}},isSupportedImage:function(){var e=I(this.src,"mimetype");return void 0===e||-1!==C.indexOf(e)},internalLinkOrImage:function(){var e=I(this.src,"fileId");return e?Object(s.generateUrl)("/f/"+e):this.src},src:{get:function(){return this.node.attrs.src},set:function(e){this.updateAttrs({src:e})}},alt:{get:function(){return this.node.attrs.alt?this.node.attrs.alt:""},set:function(e){this.updateAttrs({alt:e})}},t:function(){return function(e,t){return window.t(e,t)}}},beforeMount:function(){var e=this;if(!this.isSupportedImage)return this.failed=!0,this.imageLoaded=!1,void(this.loaded=!0);var t=new Image;t.src=this.imageUrl,t.onload=function(){e.imageLoaded=!0},t.onerror=function(){e.failed=!0,e.imageLoaded=!1,e.loaded=!0}},methods:{updateAlt:function(){this.alt=this.$refs.altInput.value},onLoaded:function(){this.loaded=!0}}},T=(r(563),r(18)),D=Object(T.a)(R,(function(){var e=this,t=e.$createElement,r=e._self._c||t;return r("div",{staticClass:"image",class:{"icon-loading":!e.loaded},attrs:{"data-src":e.src}},[e.imageLoaded&&e.isSupportedImage?r("div",{staticClass:"image__view"},[r("transition",{attrs:{name:"fade"}},[r("img",{directives:[{name:"show",rawName:"v-show",value:e.loaded,expression:"loaded"}],staticClass:"image__main",attrs:{src:e.imageUrl},on:{load:e.onLoaded}})]),e._v(" "),r("transition",{attrs:{name:"fade"}},[r("div",{directives:[{name:"show",rawName:"v-show",value:e.loaded,expression:"loaded"}],staticClass:"image__caption"},[r("input",{ref:"altInput",attrs:{type:"text"},domProps:{value:e.alt},on:{keyup:function(t){return!t.type.indexOf("key")&&e._k(t.keyCode,"enter",13,t.key,"Enter")?null:e.updateAlt()}}})])])],1):r("div",{staticClass:"image__placeholder"},[r("transition",{attrs:{name:"fade"}},[r("div",{directives:[{name:"show",rawName:"v-show",value:e.loaded,expression:"loaded"}],staticClass:"image__main"},[r("a",{attrs:{href:e.internalLinkOrImage,target:"_blank"}},[r("div",{staticClass:"icon-image",style:e.mimeIcon}),e._v(" "),e.isSupportedImage?e._e():r("p",[e._v(e._s(e.alt))])])])]),r("transition",{attrs:{name:"fade"}},[r("div",{directives:[{name:"show",rawName:"v-show",value:e.loaded,expression:"loaded"}],staticClass:"image__caption"},[r("input",{ref:"altInput",attrs:{type:"text"},domProps:{value:e.alt},on:{keyup:function(t){return!t.type.indexOf("key")&&e._k(t.keyCode,"enter",13,t.key,"Enter")?null:e.updateAlt()}}})])])],1)])}),[],!1,null,"5a0c4be0",null).exports;function N(e){return(N="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e})(e)}function z(e,t){var r=Object.keys(e);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);t&&(o=o.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),r.push.apply(r,o)}return r}function L(e){for(var t=1;t<arguments.length;t++){var r=null!=arguments[t]?arguments[t]:{};t%2?z(Object(r),!0).forEach((function(t){A(e,t,r[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(r)):z(Object(r)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(r,t))}))}return e}function A(e,t,r){return t in e?Object.defineProperty(e,t,{value:r,enumerable:!0,configurable:!0,writable:!0}):e[t]=r,e}function U(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function V(e,t){for(var r=0;r<t.length;r++){var o=t[r];o.enumerable=o.enumerable||!1,o.configurable=!0,"value"in o&&(o.writable=!0),Object.defineProperty(e,o.key,o)}}function B(e,t,r){return(B="undefined"!=typeof Reflect&&Reflect.get?Reflect.get:function(e,t,r){var o=function(e,t){for(;!Object.prototype.hasOwnProperty.call(e,t)&&null!==(e=G(e)););return e}(e,t);if(o){var i=Object.getOwnPropertyDescriptor(o,t);return i.get?i.get.call(r):i.value}})(e,t,r||e)}function q(e,t){return(q=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e})(e,t)}function $(e){var t=function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}();return function(){var r,o=G(e);if(t){var i=G(this).constructor;r=Reflect.construct(o,arguments,i)}else r=o.apply(this,arguments);return F(this,r)}}function F(e,t){return!t||"object"!==N(t)&&"function"!=typeof t?function(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}(e):t}function G(e){return(G=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)})(e)}
+ */var P=function(e){g(r,e);var t=w(r);function r(){return v(this,r),t.apply(this,arguments)}return y(r,[{key:"name",get:function(){return"strong"}}]),r}(i.b),S=function(e){g(r,e);var t=w(r);function r(){return v(this,r),t.apply(this,arguments)}return y(r,[{key:"name",get:function(){return"em"}}]),r}(i.m),O=function(e){g(r,e);var t=w(r);function r(){return v(this,r),t.apply(this,arguments)}return y(r,[{key:"schema",get:function(){return{parseDOM:[{tag:"s"},{tag:"del"},{tag:"strike"},{style:"text-decoration",getAttrs:function(e){return"line-through"===e}}],toDOM:function(){return["s",0]},toMarkdown:{open:"~~",close:"~~",mixable:!0,expelEnclosingWhitespace:!0}}}}]),r}(i.r),_=function(e){g(r,e);var t=w(r);function r(){return v(this,r),t.apply(this,arguments)}return y(r,[{key:"schema",get:function(){return{attrs:{href:{default:null}},inclusive:!1,parseDOM:[{tag:"a[href]",getAttrs:function(e){return{href:u(e)}}}],toDOM:function(e){return["a",h(h({},e.attrs),{},{href:d(e),title:e.attrs.href,rel:"noopener noreferrer nofollow"}),0]}}}},{key:"plugins",get:function(){return this.options.openOnClick?[new o.h({props:{handleClick:function(e,t,r){var o=e.state.schema;if(Object(n.a)(e.state,o.marks.link).href&&r.target instanceof HTMLAnchorElement){r.stopPropagation();var i=r.target.href;if(0===r.button&&!r.ctrlKey&&i.startsWith(window.location.origin)){var s=OC.parseQueryString(i),a=OC.parseQueryString(i.split("#").pop());if(s.dir&&a.relPath){var c=a.relPath.split("/").pop(),l="".concat(s.dir,"/").concat(c);document.title="".concat(c," - ").concat(OC.theme.title),window.location.pathname.match(/apps\/files\/$/),OCA.Viewer.open({path:l})}else window.open(i)}else window.open(i)}}}})]:[]}}]),r}(i.n),M=r(563),E=r.n(M),C=["image/png","image/jpeg","image/gif","image/x-xbitmap","image/bmp","image/svg+xml"],I=function(e,t){var r=e.split("?")[1];if(void 0!==r){var o=r.split(/[&#]/);if(void 0!==o)for(var i=0;i<o.length;i++){var n=o[i].split("=");if(decodeURIComponent(n[0])===t)return decodeURIComponent(n[1])}}},R={name:"ImageView",props:["node","updateAttrs","view"],data:function(){return{imageLoaded:!1,loaded:!1,failed:!1}},computed:{imageUrl:function(){if(this.hasPreviewUrl)return this.src;if(this.fileId)return Object(s.generateUrl)("/core/preview")+"?fileId=".concat(this.fileId,"&x=1024&y=1024&a=true");var e=FileList.getCurrentDirectory()+"/"+this.src,t=encodeURIComponent(E.a.normalize(e));return Object(s.generateUrl)("/core/preview.png")+"?file=".concat(t,"&x=1024&y=1024&a=true")},fileId:function(){return I(this.src,"fileId")},hasPreviewUrl:function(){return this.src.match(/^(\/index.php)?\/core\/preview/)},mimeIcon:function(){var e=I(this.src,"mimetype");return e?{backgroundImage:"url("+window.OC.MimeType.getIconUrl(e)+")"}:{}},isSupportedImage:function(){var e=I(this.src,"mimetype");return void 0===e||-1!==C.indexOf(e)},internalLinkOrImage:function(){var e=I(this.src,"fileId");return e?Object(s.generateUrl)("/f/"+e):this.src},src:{get:function(){return this.node.attrs.src},set:function(e){this.updateAttrs({src:e})}},alt:{get:function(){return this.node.attrs.alt?this.node.attrs.alt:""},set:function(e){this.updateAttrs({alt:e})}},t:function(){return function(e,t){return window.t(e,t)}}},beforeMount:function(){var e=this;if(!this.isSupportedImage)return this.failed=!0,this.imageLoaded=!1,void(this.loaded=!0);var t=new Image;t.src=this.imageUrl,t.onload=function(){e.imageLoaded=!0},t.onerror=function(){e.failed=!0,e.imageLoaded=!1,e.loaded=!0}},methods:{updateAlt:function(){this.alt=this.$refs.altInput.value},onLoaded:function(){this.loaded=!0}}},T=(r(564),r(18)),D=Object(T.a)(R,(function(){var e=this,t=e.$createElement,r=e._self._c||t;return r("div",{staticClass:"image",class:{"icon-loading":!e.loaded},attrs:{"data-src":e.src}},[e.imageLoaded&&e.isSupportedImage?r("div",{staticClass:"image__view"},[r("transition",{attrs:{name:"fade"}},[r("img",{directives:[{name:"show",rawName:"v-show",value:e.loaded,expression:"loaded"}],staticClass:"image__main",attrs:{src:e.imageUrl},on:{load:e.onLoaded}})]),e._v(" "),r("transition",{attrs:{name:"fade"}},[r("div",{directives:[{name:"show",rawName:"v-show",value:e.loaded,expression:"loaded"}],staticClass:"image__caption"},[r("input",{ref:"altInput",attrs:{type:"text"},domProps:{value:e.alt},on:{keyup:function(t){return!t.type.indexOf("key")&&e._k(t.keyCode,"enter",13,t.key,"Enter")?null:e.updateAlt()}}})])])],1):r("div",{staticClass:"image__placeholder"},[r("transition",{attrs:{name:"fade"}},[r("div",{directives:[{name:"show",rawName:"v-show",value:e.loaded,expression:"loaded"}],staticClass:"image__main"},[r("a",{attrs:{href:e.internalLinkOrImage,target:"_blank"}},[r("div",{staticClass:"icon-image",style:e.mimeIcon}),e._v(" "),e.isSupportedImage?e._e():r("p",[e._v(e._s(e.alt))])])])]),r("transition",{attrs:{name:"fade"}},[r("div",{directives:[{name:"show",rawName:"v-show",value:e.loaded,expression:"loaded"}],staticClass:"image__caption"},[r("input",{ref:"altInput",attrs:{type:"text"},domProps:{value:e.alt},on:{keyup:function(t){return!t.type.indexOf("key")&&e._k(t.keyCode,"enter",13,t.key,"Enter")?null:e.updateAlt()}}})])])],1)])}),[],!1,null,"5a0c4be0",null).exports;function N(e){return(N="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e})(e)}function z(e,t){var r=Object.keys(e);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);t&&(o=o.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),r.push.apply(r,o)}return r}function L(e){for(var t=1;t<arguments.length;t++){var r=null!=arguments[t]?arguments[t]:{};t%2?z(Object(r),!0).forEach((function(t){A(e,t,r[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(r)):z(Object(r)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(r,t))}))}return e}function A(e,t,r){return t in e?Object.defineProperty(e,t,{value:r,enumerable:!0,configurable:!0,writable:!0}):e[t]=r,e}function U(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function V(e,t){for(var r=0;r<t.length;r++){var o=t[r];o.enumerable=o.enumerable||!1,o.configurable=!0,"value"in o&&(o.writable=!0),Object.defineProperty(e,o.key,o)}}function B(e,t,r){return(B="undefined"!=typeof Reflect&&Reflect.get?Reflect.get:function(e,t,r){var o=function(e,t){for(;!Object.prototype.hasOwnProperty.call(e,t)&&null!==(e=G(e)););return e}(e,t);if(o){var i=Object.getOwnPropertyDescriptor(o,t);return i.get?i.get.call(r):i.value}})(e,t,r||e)}function q(e,t){return(q=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e})(e,t)}function $(e){var t=function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}();return function(){var r,o=G(e);if(t){var i=G(this).constructor;r=Reflect.construct(o,arguments,i)}else r=o.apply(this,arguments);return F(this,r)}}function F(e,t){return!t||"object"!==N(t)&&"function"!=typeof t?function(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}(e):t}function G(e){return(G=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)})(e)}
/*
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
@@ -210,7 +210,7 @@ var b={shareToken:null,forceRecreate:!1,serialize:function(e){return e}},y={SAVE
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */var de=0,ue=1,pe=function(e,t){return Object(re.findParentNode)((function(t){return t.type===e.nodes.list_item}))(t)},he=function(e){!function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&se(e,t)}(s,e);var t,r,i,n=ae(s);function s(){return ie(this,s),n.apply(this,arguments)}return t=s,(r=[{key:"commands",value:function(e){var t=e.type,r=e.schema;return{bullet_list_item:function(){return function(e,o,i){return Object(W.q)(r.nodes.bullet_list,t)(e,o,i)}},todo_item:function(){return function(e,r,o){var i=e.schema,n=e.selection,s=n.$from,a=n.$to,c=s.blockRange(a),l=e.tr,d=pe(i,n);if(void 0===d&&(Object(W.q)(i.nodes.bullet_list,t)(e,(function(e){l=e}),o),d=pe(i,l.selection)),!c||void 0===d)return!1;l.setNodeMarkup(d.pos,i.nodes.list_item,{type:d.node.attrs.type===ue?de:ue}),l.scrollIntoView(),r&&r(l)}}}}},{key:"defaultOptions",get:function(){return{nested:!0}}},{key:"schema",get:function(){return{attrs:{done:{default:!1},type:{default:de}},draggable:!1,content:"paragraph block*",toDOM:function(e){if(e.attrs.type===de)return["li",0];var t={class:"checkbox-item"},r={type:"checkbox",class:"",contenteditable:!1};return e.attrs.done&&(r.checked=!0,t.class+=" checked"),["li",t,["input",r],["label",0]]},parseDOM:[{priority:100,tag:"li",getAttrs:function(e){var t=e.querySelector("input[type=checkbox]");return{done:t&&t.checked,type:t?ue:de}}}],toMarkdown:function(e,t){t.attrs.type===ue&&e.write("[".concat(t.attrs.done?"x":" ","] ")),e.renderContent(t)}}}},{key:"plugins",get:function(){return[new o.h({props:{handleClick:function(e,t,r){var o=e.state,i=o.schema,n=e.posAtCoords({left:r.clientX,top:r.clientY}),s=o.doc.resolve(n.pos),a=Object(re.findParentNodeClosestToPos)(s,(function(e){return e.type===i.nodes.list_item})),c="li"===r.target.tagName.toLowerCase();if(void 0!==a&&a.node.attrs.type===ue&&c){var l=o.tr;l.setNodeMarkup(a.pos,i.nodes.list_item,{done:!a.node.attrs.done,type:ue}),e.dispatch(l)}}}})]}}])&&ne(t.prototype,r),i&&ne(t,i),s}(i.o),fe=r(353),me=r.n(fe),ve=r(619),be=r.n(ve),ye=r(189),ge=(r(620),r(622));function je(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){if("undefined"==typeof Symbol||!(Symbol.iterator in Object(e)))return;var r=[],o=!0,i=!1,n=void 0;try{for(var s,a=e[Symbol.iterator]();!(o=(s=a.next()).done)&&(r.push(s.value),!t||r.length!==t);o=!0);}catch(e){i=!0,n=e}finally{try{o||null==a.return||a.return()}finally{if(i)throw n}}return r}(e,t)||xe(e,t)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function we(e){return function(e){if(Array.isArray(e))return ke(e)}(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||xe(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function xe(e,t){if(e){if("string"==typeof e)return ke(e,t);var r=Object.prototype.toString.call(e).slice(8,-1);return"Object"===r&&e.constructor&&(r=e.constructor.name),"Map"===r||"Set"===r?Array.from(e):"Arguments"===r||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(r)?ke(e,t):void 0}}function ke(e,t){(null==t||t>e.length)&&(t=e.length);for(var r=0,o=new Array(t);r<t;r++)o[r]=e[r];return o}function Pe(e,t){var r=Object.keys(e);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);t&&(o=o.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),r.push.apply(r,o)}return r}function Se(e){for(var t=1;t<arguments.length;t++){var r=null!=arguments[t]?arguments[t]:{};t%2?Pe(Object(r),!0).forEach((function(t){Oe(e,t,r[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(r)):Pe(Object(r)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(r,t))}))}return e}function Oe(e,t,r){return t in e?Object.defineProperty(e,t,{value:r,enumerable:!0,configurable:!0,writable:!0}):e[t]=r,e}function _e(e,t,r,o,i,n,s){try{var a=e[n](s),c=a.value}catch(e){return void r(e)}a.done?t(c):Promise.resolve(c).then(o,i)}
+ */var de=0,ue=1,pe=function(e,t){return Object(re.findParentNode)((function(t){return t.type===e.nodes.list_item}))(t)},he=function(e){!function(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&se(e,t)}(s,e);var t,r,i,n=ae(s);function s(){return ie(this,s),n.apply(this,arguments)}return t=s,(r=[{key:"commands",value:function(e){var t=e.type,r=e.schema;return{bullet_list_item:function(){return function(e,o,i){return Object(W.q)(r.nodes.bullet_list,t)(e,o,i)}},todo_item:function(){return function(e,r,o){var i=e.schema,n=e.selection,s=n.$from,a=n.$to,c=s.blockRange(a),l=e.tr,d=pe(i,n);if(void 0===d&&(Object(W.q)(i.nodes.bullet_list,t)(e,(function(e){l=e}),o),d=pe(i,l.selection)),!c||void 0===d)return!1;l.setNodeMarkup(d.pos,i.nodes.list_item,{type:d.node.attrs.type===ue?de:ue}),l.scrollIntoView(),r&&r(l)}}}}},{key:"defaultOptions",get:function(){return{nested:!0}}},{key:"schema",get:function(){return{attrs:{done:{default:!1},type:{default:de}},draggable:!1,content:"paragraph block*",toDOM:function(e){if(e.attrs.type===de)return["li",0];var t={class:"checkbox-item"},r={type:"checkbox",class:"",contenteditable:!1};return e.attrs.done&&(r.checked=!0,t.class+=" checked"),["li",t,["input",r],["label",0]]},parseDOM:[{priority:100,tag:"li",getAttrs:function(e){var t=e.querySelector("input[type=checkbox]");return{done:t&&t.checked,type:t?ue:de}}}],toMarkdown:function(e,t){t.attrs.type===ue&&e.write("[".concat(t.attrs.done?"x":" ","] ")),e.renderContent(t)}}}},{key:"plugins",get:function(){return[new o.h({props:{handleClick:function(e,t,r){var o=e.state,i=o.schema,n=e.posAtCoords({left:r.clientX,top:r.clientY}),s=o.doc.resolve(n.pos),a=Object(re.findParentNodeClosestToPos)(s,(function(e){return e.type===i.nodes.list_item})),c="li"===r.target.tagName.toLowerCase();if(void 0!==a&&a.node.attrs.type===ue&&c){var l=o.tr;l.setNodeMarkup(a.pos,i.nodes.list_item,{done:!a.node.attrs.done,type:ue}),e.dispatch(l)}}}})]}}])&&ne(t.prototype,r),i&&ne(t,i),s}(i.o),fe=r(354),me=r.n(fe),ve=r(620),be=r.n(ve),ye=r(189),ge=(r(621),r(623));function je(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){if("undefined"==typeof Symbol||!(Symbol.iterator in Object(e)))return;var r=[],o=!0,i=!1,n=void 0;try{for(var s,a=e[Symbol.iterator]();!(o=(s=a.next()).done)&&(r.push(s.value),!t||r.length!==t);o=!0);}catch(e){i=!0,n=e}finally{try{o||null==a.return||a.return()}finally{if(i)throw n}}return r}(e,t)||xe(e,t)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function we(e){return function(e){if(Array.isArray(e))return ke(e)}(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||xe(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function xe(e,t){if(e){if("string"==typeof e)return ke(e,t);var r=Object.prototype.toString.call(e).slice(8,-1);return"Object"===r&&e.constructor&&(r=e.constructor.name),"Map"===r||"Set"===r?Array.from(e):"Arguments"===r||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(r)?ke(e,t):void 0}}function ke(e,t){(null==t||t>e.length)&&(t=e.length);for(var r=0,o=new Array(t);r<t;r++)o[r]=e[r];return o}function Pe(e,t){var r=Object.keys(e);if(Object.getOwnPropertySymbols){var o=Object.getOwnPropertySymbols(e);t&&(o=o.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),r.push.apply(r,o)}return r}function Se(e){for(var t=1;t<arguments.length;t++){var r=null!=arguments[t]?arguments[t]:{};t%2?Pe(Object(r),!0).forEach((function(t){Oe(e,t,r[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(r)):Pe(Object(r)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(r,t))}))}return e}function Oe(e,t,r){return t in e?Object.defineProperty(e,t,{value:r,enumerable:!0,configurable:!0,writable:!0}):e[t]=r,e}function _e(e,t,r,o,i,n,s){try{var a=e[n](s),c=a.value}catch(e){return void r(e)}a.done?t(c):Promise.resolve(c).then(o,i)}
/*
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
@@ -232,5 +232,5 @@ var b={shareToken:null,forceRecreate:!1,serialize:function(e){return e}},y={SAVE
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
-var Me=function(){var e,t=(e=regeneratorRuntime.mark((function e(t){var o,i,n,s;return regeneratorRuntime.wrap((function(e){for(;;)switch(e.prev=e.next){case 0:o=[t],i={},n=0;case 3:if(!(n<o.length)){e.next=17;break}return e.prev=4,e.next=7,r(623)("./"+o[n]);case 7:s=e.sent,i[o[n]]=s.default,e.next=14;break;case 11:return e.prev=11,e.t0=e.catch(4),e.abrupt("return",void 0);case 14:n++,e.next=3;break;case 17:if(0!==Object.keys(i).length||i.constructor!==Object){e.next=19;break}return e.abrupt("return",void 0);case 19:return e.abrupt("return",{languages:i});case 20:case"end":return e.stop()}}),e,null,[[4,11]])})),function(){var t=this,r=arguments;return new Promise((function(o,i){var n=e.apply(t,r);function s(e){_e(n,o,i,s,a,"next",e)}function a(e){_e(n,o,i,s,a,"throw",e)}s(void 0)}))});return function(e){return t.apply(this,arguments)}}(),Ee=function(e){var t=e.content,r=e.onInit,n=e.onUpdate,s=e.extensions,a=e.enableRichEditing,c=e.languages,l=[];return l=a?[new i.i,new i.d,new P,new S,new O,new i.h,new i.k,new i.c,new i.p,new i.a,new i.e,new he,new _({openOnClick:!0}),new H,new i.q({emptyNodeClass:"is-empty",emptyNodeText:Object(ye.translate)("text","Add notes, lists or links …"),showOnlyWhenEditable:!0})]:[new te,new o.j,new i.f(Se({},c))],s=s||[],new o.a({content:t,onInit:r,onUpdate:n,extensions:[].concat(we(l),[new i.j]).concat(s),useBuiltInExtensions:a})},Ce=me()("commonmark",{html:!1,breaks:!1}).enable("strikethrough").use(be.a,{enable:!0,labelAfter:!0}),Ie=function(e){this.message=e},Re=function(e,t){var r=Object.entries(e).filter((function(e){return je(e,2)[1].toMarkdown})).reduce((function(e,t){var r=je(t,2),o=r[0],i=r[1].toMarkdown;return Se(Se({},e),{},Oe({},o,i))}),{}),o=Object.entries(t).filter((function(e){return je(e,2)[1].toMarkdown})).reduce((function(e,t){var r=je(t,2),o=r[0],i=r[1].toMarkdown;return Se(Se({},e),{},Oe({},o,i))}),{});return{serializer:new ge.a(Se(Se({},ge.b.nodes),r),Se(Se({},ge.b.marks),o)),serialize:function(e,t){return this.serializer.serialize(e,Se(Se({},t),{},{tightLists:!0})).split("\\[").join("[").split("\\]").join("]")}}},Te=function(e){var t=e.getJSON();if(1!==t.content.length||void 0===t.content[0].content||1!==t.content[0].content.length){if("code_block"===t.content[0].type&&void 0===t.content[0].content)return"";throw new Ie("Failed to serialize document to plain text")}var r=t.content[0].content[0];if("text"!==r.type)throw new Ie("Failed to serialize document to plain text");return r.text}},555:function(e,t,r){var o={"./af":225,"./af.js":225,"./ar":226,"./ar-dz":227,"./ar-dz.js":227,"./ar-kw":228,"./ar-kw.js":228,"./ar-ly":229,"./ar-ly.js":229,"./ar-ma":230,"./ar-ma.js":230,"./ar-sa":231,"./ar-sa.js":231,"./ar-tn":232,"./ar-tn.js":232,"./ar.js":226,"./az":233,"./az.js":233,"./be":234,"./be.js":234,"./bg":235,"./bg.js":235,"./bm":236,"./bm.js":236,"./bn":237,"./bn.js":237,"./bo":238,"./bo.js":238,"./br":239,"./br.js":239,"./bs":240,"./bs.js":240,"./ca":241,"./ca.js":241,"./cs":242,"./cs.js":242,"./cv":243,"./cv.js":243,"./cy":244,"./cy.js":244,"./da":245,"./da.js":245,"./de":246,"./de-at":247,"./de-at.js":247,"./de-ch":248,"./de-ch.js":248,"./de.js":246,"./dv":249,"./dv.js":249,"./el":250,"./el.js":250,"./en-SG":251,"./en-SG.js":251,"./en-au":252,"./en-au.js":252,"./en-ca":253,"./en-ca.js":253,"./en-gb":254,"./en-gb.js":254,"./en-ie":255,"./en-ie.js":255,"./en-il":256,"./en-il.js":256,"./en-nz":257,"./en-nz.js":257,"./eo":258,"./eo.js":258,"./es":259,"./es-do":260,"./es-do.js":260,"./es-us":261,"./es-us.js":261,"./es.js":259,"./et":262,"./et.js":262,"./eu":263,"./eu.js":263,"./fa":264,"./fa.js":264,"./fi":265,"./fi.js":265,"./fo":266,"./fo.js":266,"./fr":267,"./fr-ca":268,"./fr-ca.js":268,"./fr-ch":269,"./fr-ch.js":269,"./fr.js":267,"./fy":270,"./fy.js":270,"./ga":271,"./ga.js":271,"./gd":272,"./gd.js":272,"./gl":273,"./gl.js":273,"./gom-latn":274,"./gom-latn.js":274,"./gu":275,"./gu.js":275,"./he":276,"./he.js":276,"./hi":277,"./hi.js":277,"./hr":278,"./hr.js":278,"./hu":279,"./hu.js":279,"./hy-am":280,"./hy-am.js":280,"./id":281,"./id.js":281,"./is":282,"./is.js":282,"./it":283,"./it-ch":284,"./it-ch.js":284,"./it.js":283,"./ja":285,"./ja.js":285,"./jv":286,"./jv.js":286,"./ka":287,"./ka.js":287,"./kk":288,"./kk.js":288,"./km":289,"./km.js":289,"./kn":290,"./kn.js":290,"./ko":291,"./ko.js":291,"./ku":292,"./ku.js":292,"./ky":293,"./ky.js":293,"./lb":294,"./lb.js":294,"./lo":295,"./lo.js":295,"./lt":296,"./lt.js":296,"./lv":297,"./lv.js":297,"./me":298,"./me.js":298,"./mi":299,"./mi.js":299,"./mk":300,"./mk.js":300,"./ml":301,"./ml.js":301,"./mn":302,"./mn.js":302,"./mr":303,"./mr.js":303,"./ms":304,"./ms-my":305,"./ms-my.js":305,"./ms.js":304,"./mt":306,"./mt.js":306,"./my":307,"./my.js":307,"./nb":308,"./nb.js":308,"./ne":309,"./ne.js":309,"./nl":310,"./nl-be":311,"./nl-be.js":311,"./nl.js":310,"./nn":312,"./nn.js":312,"./pa-in":313,"./pa-in.js":313,"./pl":314,"./pl.js":314,"./pt":315,"./pt-br":316,"./pt-br.js":316,"./pt.js":315,"./ro":317,"./ro.js":317,"./ru":318,"./ru.js":318,"./sd":319,"./sd.js":319,"./se":320,"./se.js":320,"./si":321,"./si.js":321,"./sk":322,"./sk.js":322,"./sl":323,"./sl.js":323,"./sq":324,"./sq.js":324,"./sr":325,"./sr-cyrl":326,"./sr-cyrl.js":326,"./sr.js":325,"./ss":327,"./ss.js":327,"./sv":328,"./sv.js":328,"./sw":329,"./sw.js":329,"./ta":330,"./ta.js":330,"./te":331,"./te.js":331,"./tet":332,"./tet.js":332,"./tg":333,"./tg.js":333,"./th":334,"./th.js":334,"./tl-ph":335,"./tl-ph.js":335,"./tlh":336,"./tlh.js":336,"./tr":337,"./tr.js":337,"./tzl":338,"./tzl.js":338,"./tzm":339,"./tzm-latn":340,"./tzm-latn.js":340,"./tzm.js":339,"./ug-cn":341,"./ug-cn.js":341,"./uk":342,"./uk.js":342,"./ur":343,"./ur.js":343,"./uz":344,"./uz-latn":345,"./uz-latn.js":345,"./uz.js":344,"./vi":346,"./vi.js":346,"./x-pseudo":347,"./x-pseudo.js":347,"./yo":348,"./yo.js":348,"./zh-cn":349,"./zh-cn.js":349,"./zh-hk":350,"./zh-hk.js":350,"./zh-tw":351,"./zh-tw.js":351};function i(e){var t=n(e);return r(t)}function n(e){if(!r.o(o,e)){var t=new Error("Cannot find module '"+e+"'");throw t.code="MODULE_NOT_FOUND",t}return o[e]}i.keys=function(){return Object.keys(o)},i.resolve=n,e.exports=i,i.id=555},563:function(e,t,r){"use strict";var o=r(199);r.n(o).a},564:function(e,t,r){(t=r(49)(!1)).push([e.i,".image[data-v-5a0c4be0]{margin:0;padding:0}.image__caption[data-v-5a0c4be0]{text-align:center;color:var(--color-text-lighter)}.image__caption input[type='text'][data-v-5a0c4be0]{width:100%;border:none;text-align:center}.icon-image[data-v-5a0c4be0]{margin-top:10px;height:32px;padding:20px;background-size:contain}.image__loading[data-v-5a0c4be0]{height:100px}.image__view[data-v-5a0c4be0]{text-align:center}.image__view .image__main[data-v-5a0c4be0]{max-height:40vh}.image__placeholder a[data-v-5a0c4be0]{display:flex}.image__placeholder .image__main[data-v-5a0c4be0]{background-color:var(--color-background-dark);text-align:center;padding:5px;border-radius:var(--border-radius)}.image__placeholder .image__main .icon-image[data-v-5a0c4be0]{margin:0}.image__placeholder .image__main p[data-v-5a0c4be0]{padding:10px}.fade-enter-active[data-v-5a0c4be0]{transition:opacity .3s ease-in-out}.fade-enter-to[data-v-5a0c4be0]{opacity:1}.fade-enter[data-v-5a0c4be0]{opacity:0}\n",""]),e.exports=t},623:function(e,t,r){var o={"./1c":[368,2],"./1c.js":[368,2],"./abnf":[369,3],"./abnf.js":[369,3],"./accesslog":[370,4],"./accesslog.js":[370,4],"./actionscript":[371,5],"./actionscript.js":[371,5],"./ada":[372,6],"./ada.js":[372,6],"./angelscript":[373,7],"./angelscript.js":[373,7],"./apache":[374,8],"./apache.js":[374,8],"./applescript":[375,9],"./applescript.js":[375,9],"./arcade":[376,10],"./arcade.js":[376,10],"./arduino":[377,11],"./arduino.js":[377,11],"./armasm":[378,12],"./armasm.js":[378,12],"./asciidoc":[379,13],"./asciidoc.js":[379,13],"./aspectj":[380,14],"./aspectj.js":[380,14],"./autohotkey":[381,15],"./autohotkey.js":[381,15],"./autoit":[382,16],"./autoit.js":[382,16],"./avrasm":[383,17],"./avrasm.js":[383,17],"./awk":[384,18],"./awk.js":[384,18],"./axapta":[385,19],"./axapta.js":[385,19],"./bash":[386,20],"./bash.js":[386,20],"./basic":[387,21],"./basic.js":[387,21],"./bnf":[388,22],"./bnf.js":[388,22],"./brainfuck":[389,23],"./brainfuck.js":[389,23],"./cal":[390,24],"./cal.js":[390,24],"./capnproto":[391,25],"./capnproto.js":[391,25],"./ceylon":[392,26],"./ceylon.js":[392,26],"./clean":[393,27],"./clean.js":[393,27],"./clojure":[395,28],"./clojure-repl":[394,29],"./clojure-repl.js":[394,29],"./clojure.js":[395,28],"./cmake":[396,30],"./cmake.js":[396,30],"./coffeescript":[397,31],"./coffeescript.js":[397,31],"./coq":[398,32],"./coq.js":[398,32],"./cos":[399,33],"./cos.js":[399,33],"./cpp":[400,34],"./cpp.js":[400,34],"./crmsh":[401,35],"./crmsh.js":[401,35],"./crystal":[402,36],"./crystal.js":[402,36],"./cs":[403,37],"./cs.js":[403,37],"./csp":[404,38],"./csp.js":[404,38],"./css":[405,39],"./css.js":[405,39],"./d":[406,40],"./d.js":[406,40],"./dart":[407,41],"./dart.js":[407,41],"./delphi":[408,42],"./delphi.js":[408,42],"./diff":[409,43],"./diff.js":[409,43],"./django":[410,44],"./django.js":[410,44],"./dns":[411,45],"./dns.js":[411,45],"./dockerfile":[412,46],"./dockerfile.js":[412,46],"./dos":[413,47],"./dos.js":[413,47],"./dsconfig":[414,48],"./dsconfig.js":[414,48],"./dts":[415,49],"./dts.js":[415,49],"./dust":[416,50],"./dust.js":[416,50],"./ebnf":[417,51],"./ebnf.js":[417,51],"./elixir":[418,52],"./elixir.js":[418,52],"./elm":[419,53],"./elm.js":[419,53],"./erb":[420,54],"./erb.js":[420,54],"./erlang":[422,55],"./erlang-repl":[421,56],"./erlang-repl.js":[421,56],"./erlang.js":[422,55],"./excel":[423,57],"./excel.js":[423,57],"./fix":[424,58],"./fix.js":[424,58],"./flix":[425,59],"./flix.js":[425,59],"./fortran":[426,60],"./fortran.js":[426,60],"./fsharp":[427,61],"./fsharp.js":[427,61],"./gams":[428,62],"./gams.js":[428,62],"./gauss":[429,63],"./gauss.js":[429,63],"./gcode":[430,64],"./gcode.js":[430,64],"./gherkin":[431,65],"./gherkin.js":[431,65],"./glsl":[432,66],"./glsl.js":[432,66],"./gml":[433,67],"./gml.js":[433,67],"./go":[434,68],"./go.js":[434,68],"./golo":[435,69],"./golo.js":[435,69],"./gradle":[436,70],"./gradle.js":[436,70],"./groovy":[437,71],"./groovy.js":[437,71],"./haml":[438,72],"./haml.js":[438,72],"./handlebars":[439,73],"./handlebars.js":[439,73],"./haskell":[440,74],"./haskell.js":[440,74],"./haxe":[441,75],"./haxe.js":[441,75],"./hsp":[442,76],"./hsp.js":[442,76],"./htmlbars":[443,77],"./htmlbars.js":[443,77],"./http":[444,78],"./http.js":[444,78],"./hy":[445,79],"./hy.js":[445,79],"./inform7":[446,80],"./inform7.js":[446,80],"./ini":[447,81],"./ini.js":[447,81],"./irpf90":[448,82],"./irpf90.js":[448,82],"./isbl":[449,83],"./isbl.js":[449,83],"./java":[450,84],"./java.js":[450,84],"./javascript":[451,85],"./javascript.js":[451,85],"./jboss-cli":[452,86],"./jboss-cli.js":[452,86],"./json":[453,87],"./json.js":[453,87],"./julia":[455,88],"./julia-repl":[454,89],"./julia-repl.js":[454,89],"./julia.js":[455,88],"./kotlin":[456,90],"./kotlin.js":[456,90],"./lasso":[457,91],"./lasso.js":[457,91],"./ldif":[458,92],"./ldif.js":[458,92],"./leaf":[459,93],"./leaf.js":[459,93],"./less":[460,94],"./less.js":[460,94],"./lisp":[461,95],"./lisp.js":[461,95],"./livecodeserver":[462,96],"./livecodeserver.js":[462,96],"./livescript":[463,97],"./livescript.js":[463,97],"./llvm":[464,98],"./llvm.js":[464,98],"./lsl":[465,99],"./lsl.js":[465,99],"./lua":[466,100],"./lua.js":[466,100],"./makefile":[467,101],"./makefile.js":[467,101],"./markdown":[468,102],"./markdown.js":[468,102],"./mathematica":[469,103],"./mathematica.js":[469,103],"./matlab":[470,104],"./matlab.js":[470,104],"./maxima":[471,105],"./maxima.js":[471,105],"./mel":[472,106],"./mel.js":[472,106],"./mercury":[473,107],"./mercury.js":[473,107],"./mipsasm":[474,108],"./mipsasm.js":[474,108],"./mizar":[475,109],"./mizar.js":[475,109],"./mojolicious":[476,110],"./mojolicious.js":[476,110],"./monkey":[477,111],"./monkey.js":[477,111],"./moonscript":[478,112],"./moonscript.js":[478,112],"./n1ql":[479,113],"./n1ql.js":[479,113],"./nginx":[480,114],"./nginx.js":[480,114],"./nimrod":[481,115],"./nimrod.js":[481,115],"./nix":[482,116],"./nix.js":[482,116],"./nsis":[483,117],"./nsis.js":[483,117],"./objectivec":[484,118],"./objectivec.js":[484,118],"./ocaml":[485,119],"./ocaml.js":[485,119],"./openscad":[486,120],"./openscad.js":[486,120],"./oxygene":[487,121],"./oxygene.js":[487,121],"./parser3":[488,122],"./parser3.js":[488,122],"./perl":[489,123],"./perl.js":[489,123],"./pf":[490,124],"./pf.js":[490,124],"./pgsql":[491,125],"./pgsql.js":[491,125],"./php":[492,126],"./php.js":[492,126],"./plaintext":[493,127],"./plaintext.js":[493,127],"./pony":[494,128],"./pony.js":[494,128],"./powershell":[495,129],"./powershell.js":[495,129],"./processing":[496,130],"./processing.js":[496,130],"./profile":[497,131],"./profile.js":[497,131],"./prolog":[498,132],"./prolog.js":[498,132],"./properties":[499,133],"./properties.js":[499,133],"./protobuf":[500,134],"./protobuf.js":[500,134],"./puppet":[501,135],"./puppet.js":[501,135],"./purebasic":[502,136],"./purebasic.js":[502,136],"./python":[503,137],"./python.js":[503,137],"./q":[504,138],"./q.js":[504,138],"./qml":[505,139],"./qml.js":[505,139],"./r":[506,140],"./r.js":[506,140],"./reasonml":[507,141],"./reasonml.js":[507,141],"./rib":[508,142],"./rib.js":[508,142],"./roboconf":[509,143],"./roboconf.js":[509,143],"./routeros":[510,144],"./routeros.js":[510,144],"./rsl":[511,145],"./rsl.js":[511,145],"./ruby":[512,146],"./ruby.js":[512,146],"./ruleslanguage":[513,147],"./ruleslanguage.js":[513,147],"./rust":[514,148],"./rust.js":[514,148],"./sas":[515,149],"./sas.js":[515,149],"./scala":[516,150],"./scala.js":[516,150],"./scheme":[517,151],"./scheme.js":[517,151],"./scilab":[518,152],"./scilab.js":[518,152],"./scss":[519,153],"./scss.js":[519,153],"./shell":[520,154],"./shell.js":[520,154],"./smali":[521,155],"./smali.js":[521,155],"./smalltalk":[522,156],"./smalltalk.js":[522,156],"./sml":[523,157],"./sml.js":[523,157],"./sqf":[524,158],"./sqf.js":[524,158],"./sql":[525,159],"./sql.js":[525,159],"./stan":[526,160],"./stan.js":[526,160],"./stata":[527,161],"./stata.js":[527,161],"./step21":[528,162],"./step21.js":[528,162],"./stylus":[529,163],"./stylus.js":[529,163],"./subunit":[530,164],"./subunit.js":[530,164],"./swift":[531,165],"./swift.js":[531,165],"./taggerscript":[532,166],"./taggerscript.js":[532,166],"./tap":[533,167],"./tap.js":[533,167],"./tcl":[534,168],"./tcl.js":[534,168],"./tex":[535,169],"./tex.js":[535,169],"./thrift":[536,170],"./thrift.js":[536,170],"./tp":[537,171],"./tp.js":[537,171],"./twig":[538,172],"./twig.js":[538,172],"./typescript":[539,173],"./typescript.js":[539,173],"./vala":[540,174],"./vala.js":[540,174],"./vbnet":[541,175],"./vbnet.js":[541,175],"./vbscript":[543,176],"./vbscript-html":[542,177],"./vbscript-html.js":[542,177],"./vbscript.js":[543,176],"./verilog":[544,178],"./verilog.js":[544,178],"./vhdl":[545,179],"./vhdl.js":[545,179],"./vim":[546,180],"./vim.js":[546,180],"./x86asm":[547,181],"./x86asm.js":[547,181],"./xl":[548,182],"./xl.js":[548,182],"./xml":[549,183],"./xml.js":[549,183],"./xquery":[550,184],"./xquery.js":[550,184],"./yaml":[551,185],"./yaml.js":[551,185],"./zephir":[552,186],"./zephir.js":[552,186]};function i(e){if(!r.o(o,e))return Promise.resolve().then((function(){var t=new Error("Cannot find module '"+e+"'");throw t.code="MODULE_NOT_FOUND",t}));var t=o[e],i=t[0];return r.e(t[1]).then((function(){return r.t(i,7)}))}i.keys=function(){return Object.keys(o)},i.id=623,e.exports=i},626:function(e,t,r){"use strict";var o=r(203);r.n(o).a},627:function(e,t,r){(t=r(49)(!1)).push([e.i,"#editor-container[data-v-6e21a4b1]{display:block;width:100%;max-width:100%;height:100%;left:0;top:50px;margin:0 auto;position:relative;background-color:var(--color-main-background)}#editor-wrapper[data-v-6e21a4b1]{display:flex;width:100%;height:100%;overflow:hidden;position:absolute}#editor-wrapper .ProseMirror[data-v-6e21a4b1]{margin-top:0 !important}#editor-wrapper.icon-loading #editor[data-v-6e21a4b1]{opacity:0.3}#editor[data-v-6e21a4b1],.editor[data-v-6e21a4b1]{background:var(--color-main-background);color:var(--color-main-text);background-clip:padding-box;border-radius:var(--border-radius);padding:0;position:relative;overflow-y:auto;overflow-x:hidden;width:100%}.msg.icon-error[data-v-6e21a4b1]{padding:12px;border-bottom:1px solid var(--color-border);padding-left:30px;background-position:8px center}.save-status[data-v-6e21a4b1]{padding:9px;text-overflow:ellipsis;color:var(--color-text-lighter)}.save-status.error[data-v-6e21a4b1]{background-color:var(--color-error);color:var(--color-main-background);border-radius:3px}#editor-container #editor-wrapper.has-conflicts[data-v-6e21a4b1]{height:calc(100% - 50px)}#editor-container #editor-wrapper.has-conflicts #editor[data-v-6e21a4b1],#editor-container #editor-wrapper.has-conflicts #read-only-editor[data-v-6e21a4b1]{width:50%;height:100%}#editor-session-list[data-v-6e21a4b1]{padding:4px 16px 4px 4px;display:flex}#editor-session-list input[data-v-6e21a4b1],#editor-session-list div[data-v-6e21a4b1]{vertical-align:middle;margin-left:3px}.editor__content[data-v-6e21a4b1]{max-width:670px;margin:auto;position:relative}#body-public[data-v-6e21a4b1]{height:auto}#files-public-content[data-v-6e21a4b1]{height:auto}#files-public-content #editor-wrapper[data-v-6e21a4b1]{position:relative}#files-public-content #editor-container[data-v-6e21a4b1]{top:0;width:100%}#files-public-content #editor-container #editor[data-v-6e21a4b1] .menubar{position:fixed;top:50px;width:100%}#files-public-content #editor-container #editor[data-v-6e21a4b1]{padding-top:50px;overflow:auto}#files-public-content #editor-container .has-conflicts #editor[data-v-6e21a4b1]{padding-top:0px}.ie #editor[data-v-6e21a4b1] .menubar{position:fixed;top:50px;width:100%}.ie .editor__content[data-v-6e21a4b1] .ProseMirror{padding-top:50px}\n",""]),e.exports=t},628:function(e,t,r){"use strict";var o=r(204);r.n(o).a},629:function(e,t,r){(t=r(49)(!1)).push([e.i,'.modal-container #editor-container{position:absolute}.ProseMirror-hideselection *::selection{background:transparent;color:var(--color-main-text)}.ProseMirror-hideselection *::-moz-selection{background:transparent;color:var(--color-main-text)}.ProseMirror-hideselection{caret-color:transparent;color:var(--color-main-text)}.ProseMirror-selectednode{outline:2px solid #8cf}li.ProseMirror-selectednode{outline:none}li.ProseMirror-selectednode:after{content:"";position:absolute;left:-32px;right:-2px;top:-2px;bottom:-2px;border:2px solid #8cf;pointer-events:none}.has-conflicts .ProseMirror-menubar,#editor-wrapper.icon-loading .ProseMirror-menubar{display:none}.ProseMirror-gapcursor{display:none;pointer-events:none;position:absolute}.ProseMirror-gapcursor:after{content:"";display:block;position:absolute;top:-2px;width:20px;border-top:1px solid var(--color-main-text);animation:ProseMirror-cursor-blink 1.1s steps(2, start) infinite}@keyframes ProseMirror-cursor-blink{to{visibility:hidden}}#editor-wrapper div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}#editor-wrapper div.ProseMirror[contenteditable=true],#editor-wrapper div.ProseMirror[contenteditable=false],#editor-wrapper div.ProseMirror [contenteditable=true],#editor-wrapper div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}#editor-wrapper div.ProseMirror .checkbox-item{display:flex;align-items:start}#editor-wrapper div.ProseMirror .checkbox-item input[type=checkbox]{display:none}#editor-wrapper div.ProseMirror .checkbox-item:before{content:\'\';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:"";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}#editor-wrapper div.ProseMirror .checkbox-item.checked:before{background-image:url("/core/css/../img/actions/checkbox-mark.svg");background-color:var(--color-primary-element)}#editor-wrapper div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}#editor-wrapper div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}#editor-wrapper div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}#editor-wrapper div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}#editor-wrapper div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}#editor-wrapper div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}#editor-wrapper div.ProseMirror p:first-child,#editor-wrapper div.ProseMirror h1:first-child,#editor-wrapper div.ProseMirror h2:first-child,#editor-wrapper div.ProseMirror h3:first-child,#editor-wrapper div.ProseMirror h4:first-child,#editor-wrapper div.ProseMirror h5:first-child,#editor-wrapper div.ProseMirror h6:first-child{margin-top:10px}#editor-wrapper div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}#editor-wrapper div.ProseMirror p{margin-bottom:1em;line-height:150%}#editor-wrapper div.ProseMirror em{font-style:italic}#editor-wrapper div.ProseMirror h1,#editor-wrapper div.ProseMirror h2,#editor-wrapper div.ProseMirror h3,#editor-wrapper div.ProseMirror h4,#editor-wrapper div.ProseMirror h5,#editor-wrapper div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}#editor-wrapper div.ProseMirror h1{font-size:36px;margin-top:48px}#editor-wrapper div.ProseMirror h2{font-size:28px;margin-top:48px}#editor-wrapper div.ProseMirror h3{font-size:24px}#editor-wrapper div.ProseMirror h4{font-size:21px}#editor-wrapper div.ProseMirror h5{font-size:17px}#editor-wrapper div.ProseMirror h6{font-size:14px}#editor-wrapper div.ProseMirror img{cursor:default;max-width:100%}#editor-wrapper div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}#editor-wrapper div.ProseMirror hr:after{content:"";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}#editor-wrapper div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}#editor-wrapper div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}#editor-wrapper div.ProseMirror li{position:relative}#editor-wrapper div.ProseMirror ul,#editor-wrapper div.ProseMirror ol{padding-left:10px;margin-left:10px}#editor-wrapper div.ProseMirror ul li{list-style-type:disc}#editor-wrapper div.ProseMirror ul>li>ul>li{list-style-type:circle}#editor-wrapper div.ProseMirror ul>li>ul>li ul li{list-style-type:square}#editor-wrapper div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}#editor-wrapper .ProseMirror-focused .ProseMirror-gapcursor{display:block}#editor-wrapper .editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}#editor-wrapper:not(.richEditor) .ProseMirror pre{background-color:var(--color-main-background)}#editor-wrapper:not(.richEditor) .ProseMirror pre::before{content:attr(data-language);text-transform:uppercase;display:block;text-align:right;font-weight:bold;font-size:0.6rem}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-comment,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-quote{color:#999999}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-variable,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-template-variable,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-attribute,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-tag,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-name,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-regexp,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-link,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-id,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-class{color:#f2777a}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-number,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-meta,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-built_in,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-builtin-name,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-literal,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-type,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-params{color:#f99157}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-string,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-symbol,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-bullet{color:#99cc99}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-title,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-section{color:#ffcc66}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-keyword,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-tag{color:#6699cc}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-emphasis{font-style:italic}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-strong{font-weight:700}\n',""]),e.exports=t},630:function(e,t,r){"use strict";var o=r(205);r.n(o).a},631:function(e,t,r){(t=r(49)(!1)).push([e.i,"body[data-v-3ea77884]{position:fixed}#direct-editor[data-v-3ea77884]{width:100%;height:100%;position:fixed;overflow:hidden}#direct-editor[data-v-3ea77884] #editor-container{height:100%;top:0}#direct-editor[data-v-3ea77884] #editor-wrapper div.ProseMirror{margin-top:0}pre[data-v-3ea77884]{width:100%;max-width:700px;margin:auto;background-color:var(--color-background-dark)}button[data-v-3ea77884]{width:44px;height:44px;margin:0;background-size:16px;border:0;background-color:transparent;opacity:.5;color:var(--color-main-text);background-position:center center;vertical-align:top}button[data-v-3ea77884]:hover,button[data-v-3ea77884]:focus,button[data-v-3ea77884]:active{background-color:var(--color-background-dark)}button.is-active[data-v-3ea77884],button[data-v-3ea77884]:hover,button[data-v-3ea77884]:focus{opacity:1}button.icon-undo[data-v-3ea77884],button.icon-redo[data-v-3ea77884]{opacity:.4}\n",""]),e.exports=t},645:function(e,t,r){"use strict";var o=r(217);r.n(o).a},646:function(e,t,r){(t=r(49)(!1)).push([e.i,'#read-only-editor{overflow:scroll}#read-only-editor div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}#read-only-editor div.ProseMirror[contenteditable=true],#read-only-editor div.ProseMirror[contenteditable=false],#read-only-editor div.ProseMirror [contenteditable=true],#read-only-editor div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}#read-only-editor div.ProseMirror .checkbox-item{display:flex;align-items:start}#read-only-editor div.ProseMirror .checkbox-item input[type=checkbox]{display:none}#read-only-editor div.ProseMirror .checkbox-item:before{content:\'\';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:"";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}#read-only-editor div.ProseMirror .checkbox-item.checked:before{background-image:url("/core/css/../img/actions/checkbox-mark.svg");background-color:var(--color-primary-element)}#read-only-editor div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}#read-only-editor div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}#read-only-editor div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}#read-only-editor div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}#read-only-editor div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}#read-only-editor div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}#read-only-editor div.ProseMirror p:first-child,#read-only-editor div.ProseMirror h1:first-child,#read-only-editor div.ProseMirror h2:first-child,#read-only-editor div.ProseMirror h3:first-child,#read-only-editor div.ProseMirror h4:first-child,#read-only-editor div.ProseMirror h5:first-child,#read-only-editor div.ProseMirror h6:first-child{margin-top:10px}#read-only-editor div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}#read-only-editor div.ProseMirror p{margin-bottom:1em;line-height:150%}#read-only-editor div.ProseMirror em{font-style:italic}#read-only-editor div.ProseMirror h1,#read-only-editor div.ProseMirror h2,#read-only-editor div.ProseMirror h3,#read-only-editor div.ProseMirror h4,#read-only-editor div.ProseMirror h5,#read-only-editor div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}#read-only-editor div.ProseMirror h1{font-size:36px;margin-top:48px}#read-only-editor div.ProseMirror h2{font-size:28px;margin-top:48px}#read-only-editor div.ProseMirror h3{font-size:24px}#read-only-editor div.ProseMirror h4{font-size:21px}#read-only-editor div.ProseMirror h5{font-size:17px}#read-only-editor div.ProseMirror h6{font-size:14px}#read-only-editor div.ProseMirror img{cursor:default;max-width:100%}#read-only-editor div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}#read-only-editor div.ProseMirror hr:after{content:"";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}#read-only-editor div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}#read-only-editor div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}#read-only-editor div.ProseMirror li{position:relative}#read-only-editor div.ProseMirror ul,#read-only-editor div.ProseMirror ol{padding-left:10px;margin-left:10px}#read-only-editor div.ProseMirror ul li{list-style-type:disc}#read-only-editor div.ProseMirror ul>li>ul>li{list-style-type:circle}#read-only-editor div.ProseMirror ul>li>ul>li ul li{list-style-type:square}#read-only-editor div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}#read-only-editor .ProseMirror-focused .ProseMirror-gapcursor{display:block}#read-only-editor .editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}.thumbnailContainer #read-only-editor{width:100%}.thumbnailContainer #read-only-editor .ProseMirror{height:auto;margin:0 0 0 0;padding:0}\n',""]),e.exports=t},647:function(e,t,r){"use strict";var o=r(218);r.n(o).a},648:function(e,t,r){(t=r(49)(!1)).push([e.i,'div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}div.ProseMirror[contenteditable=true],div.ProseMirror[contenteditable=false],div.ProseMirror [contenteditable=true],div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}div.ProseMirror .checkbox-item{display:flex;align-items:start}div.ProseMirror .checkbox-item input[type=checkbox]{display:none}div.ProseMirror .checkbox-item:before{content:\'\';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:"";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}div.ProseMirror .checkbox-item.checked:before{background-image:url("/core/css/../img/actions/checkbox-mark.svg");background-color:var(--color-primary-element)}div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}div.ProseMirror p:first-child,div.ProseMirror h1:first-child,div.ProseMirror h2:first-child,div.ProseMirror h3:first-child,div.ProseMirror h4:first-child,div.ProseMirror h5:first-child,div.ProseMirror h6:first-child{margin-top:10px}div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}div.ProseMirror p{margin-bottom:1em;line-height:150%}div.ProseMirror em{font-style:italic}div.ProseMirror h1,div.ProseMirror h2,div.ProseMirror h3,div.ProseMirror h4,div.ProseMirror h5,div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}div.ProseMirror h1{font-size:36px;margin-top:48px}div.ProseMirror h2{font-size:28px;margin-top:48px}div.ProseMirror h3{font-size:24px}div.ProseMirror h4{font-size:21px}div.ProseMirror h5{font-size:17px}div.ProseMirror h6{font-size:14px}div.ProseMirror img{cursor:default;max-width:100%}div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}div.ProseMirror hr:after{content:"";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}div.ProseMirror li{position:relative}div.ProseMirror ul,div.ProseMirror ol{padding-left:10px;margin-left:10px}div.ProseMirror ul li{list-style-type:disc}div.ProseMirror ul>li>ul>li{list-style-type:circle}div.ProseMirror ul>li>ul>li ul li{list-style-type:square}div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}.ProseMirror-focused .ProseMirror-gapcursor{display:block}.editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}\n',""]),e.exports=t},649:function(e,t,r){"use strict";var o=r(219);r.n(o).a},650:function(e,t,r){(t=r(49)(!1)).push([e.i,"#resolve-conflicts[data-v-7fd0186f]{display:flex;position:fixed;z-index:10000;bottom:0;max-width:900px;width:100vw;margin:auto;padding:20px 0}#resolve-conflicts button[data-v-7fd0186f]{margin:auto;box-shadow:0 0 10px var(--color-box-shadow)}\n",""]),e.exports=t},671:function(e,t,r){"use strict";r.r(t);var o=r(183),i=r(223),n=r.n(i),s=r(553),a={name:"ReadOnlyEditor",components:{EditorContent:o.b},props:{content:{type:String,required:!0},isRichEditor:{type:Boolean,default:!0}},data:function(){return{editor:null}},mounted:function(){this.editor=Object(s.a)({content:this.isRichEditor?s.d.render(this.content):"<pre>"+n()(this.content)+"</pre>",enableRichEditing:this.isRichEditor}),this.editor.setOptions({editable:!1})},beforeDestroy:function(){this.editor.destroy()}},c=(r(645),r(647),r(18)),l=Object(c.a)(a,(function(){var e=this.$createElement,t=this._self._c||e;return this.editor?t("EditorContent",{attrs:{id:"read-only-editor",editor:this.editor}}):this._e()}),[],!1,null,null,null);t.default=l.exports},672:function(e,t,r){"use strict";r.r(t);var o={name:"CollisionResolveDialog"},i=(r(649),r(18)),n=Object(i.a)(o,(function(){var e=this,t=e.$createElement,r=e._self._c||t;return r("div",{staticClass:"collision-resolve-dialog",attrs:{id:"resolve-conflicts"}},[r("button",{on:{click:function(t){return e.$emit("resolveUseThisVersion")}}},[e._v("\n\t\t"+e._s(e.t("text","Use current version"))+"\n\t")]),e._v(" "),r("button",{on:{click:function(t){return e.$emit("resolveUseServerVersion")}}},[e._v("\n\t\t"+e._s(e.t("text","Use the saved version"))+"\n\t")])])}),[],!1,null,"7fd0186f",null);t.default=n.exports}}]);
-//# sourceMappingURL=editor.js.map?v=b9981ca8a64832b3b257 \ No newline at end of file
+var Me=function(){var e,t=(e=regeneratorRuntime.mark((function e(t){var o,i,n,s;return regeneratorRuntime.wrap((function(e){for(;;)switch(e.prev=e.next){case 0:o=[t],i={},n=0;case 3:if(!(n<o.length)){e.next=17;break}return e.prev=4,e.next=7,r(624)("./"+o[n]);case 7:s=e.sent,i[o[n]]=s.default,e.next=14;break;case 11:return e.prev=11,e.t0=e.catch(4),e.abrupt("return",void 0);case 14:n++,e.next=3;break;case 17:if(0!==Object.keys(i).length||i.constructor!==Object){e.next=19;break}return e.abrupt("return",void 0);case 19:return e.abrupt("return",{languages:i});case 20:case"end":return e.stop()}}),e,null,[[4,11]])})),function(){var t=this,r=arguments;return new Promise((function(o,i){var n=e.apply(t,r);function s(e){_e(n,o,i,s,a,"next",e)}function a(e){_e(n,o,i,s,a,"throw",e)}s(void 0)}))});return function(e){return t.apply(this,arguments)}}(),Ee=function(e){var t=e.content,r=e.onInit,n=e.onUpdate,s=e.extensions,a=e.enableRichEditing,c=e.languages,l=[];return l=a?[new i.i,new i.d,new P,new S,new O,new i.h,new i.k,new i.c,new i.p,new i.a,new i.e,new he,new _({openOnClick:!0}),new H,new i.q({emptyNodeClass:"is-empty",emptyNodeText:Object(ye.translate)("text","Add notes, lists or links …"),showOnlyWhenEditable:!0})]:[new te,new o.j,new i.f(Se({},c))],s=s||[],new o.a({content:t,onInit:r,onUpdate:n,extensions:[].concat(we(l),[new i.j]).concat(s),useBuiltInExtensions:a})},Ce=me()("commonmark",{html:!1,breaks:!1}).enable("strikethrough").use(be.a,{enable:!0,labelAfter:!0}),Ie=function(e){this.message=e},Re=function(e,t){var r=Object.entries(e).filter((function(e){return je(e,2)[1].toMarkdown})).reduce((function(e,t){var r=je(t,2),o=r[0],i=r[1].toMarkdown;return Se(Se({},e),{},Oe({},o,i))}),{}),o=Object.entries(t).filter((function(e){return je(e,2)[1].toMarkdown})).reduce((function(e,t){var r=je(t,2),o=r[0],i=r[1].toMarkdown;return Se(Se({},e),{},Oe({},o,i))}),{});return{serializer:new ge.a(Se(Se({},ge.b.nodes),r),Se(Se({},ge.b.marks),o)),serialize:function(e,t){return this.serializer.serialize(e,Se(Se({},t),{},{tightLists:!0})).split("\\[").join("[").split("\\]").join("]")}}},Te=function(e){var t=e.getJSON();if(1!==t.content.length||void 0===t.content[0].content||1!==t.content[0].content.length){if("code_block"===t.content[0].type&&void 0===t.content[0].content)return"";throw new Ie("Failed to serialize document to plain text")}var r=t.content[0].content[0];if("text"!==r.type)throw new Ie("Failed to serialize document to plain text");return r.text}},556:function(e,t,r){var o={"./af":225,"./af.js":225,"./ar":226,"./ar-dz":227,"./ar-dz.js":227,"./ar-kw":228,"./ar-kw.js":228,"./ar-ly":229,"./ar-ly.js":229,"./ar-ma":230,"./ar-ma.js":230,"./ar-sa":231,"./ar-sa.js":231,"./ar-tn":232,"./ar-tn.js":232,"./ar.js":226,"./az":233,"./az.js":233,"./be":234,"./be.js":234,"./bg":235,"./bg.js":235,"./bm":236,"./bm.js":236,"./bn":237,"./bn.js":237,"./bo":238,"./bo.js":238,"./br":239,"./br.js":239,"./bs":240,"./bs.js":240,"./ca":241,"./ca.js":241,"./cs":242,"./cs.js":242,"./cv":243,"./cv.js":243,"./cy":244,"./cy.js":244,"./da":245,"./da.js":245,"./de":246,"./de-at":247,"./de-at.js":247,"./de-ch":248,"./de-ch.js":248,"./de.js":246,"./dv":249,"./dv.js":249,"./el":250,"./el.js":250,"./en-SG":251,"./en-SG.js":251,"./en-au":252,"./en-au.js":252,"./en-ca":253,"./en-ca.js":253,"./en-gb":254,"./en-gb.js":254,"./en-ie":255,"./en-ie.js":255,"./en-il":256,"./en-il.js":256,"./en-nz":257,"./en-nz.js":257,"./eo":258,"./eo.js":258,"./es":259,"./es-do":260,"./es-do.js":260,"./es-us":261,"./es-us.js":261,"./es.js":259,"./et":262,"./et.js":262,"./eu":263,"./eu.js":263,"./fa":264,"./fa.js":264,"./fi":265,"./fi.js":265,"./fo":266,"./fo.js":266,"./fr":267,"./fr-ca":268,"./fr-ca.js":268,"./fr-ch":269,"./fr-ch.js":269,"./fr.js":267,"./fy":270,"./fy.js":270,"./ga":271,"./ga.js":271,"./gd":272,"./gd.js":272,"./gl":273,"./gl.js":273,"./gom-latn":274,"./gom-latn.js":274,"./gu":275,"./gu.js":275,"./he":276,"./he.js":276,"./hi":277,"./hi.js":277,"./hr":278,"./hr.js":278,"./hu":279,"./hu.js":279,"./hy-am":280,"./hy-am.js":280,"./id":281,"./id.js":281,"./is":282,"./is.js":282,"./it":283,"./it-ch":284,"./it-ch.js":284,"./it.js":283,"./ja":285,"./ja.js":285,"./jv":286,"./jv.js":286,"./ka":287,"./ka.js":287,"./kk":288,"./kk.js":288,"./km":289,"./km.js":289,"./kn":290,"./kn.js":290,"./ko":291,"./ko.js":291,"./ku":292,"./ku.js":292,"./ky":293,"./ky.js":293,"./lb":294,"./lb.js":294,"./lo":295,"./lo.js":295,"./lt":296,"./lt.js":296,"./lv":297,"./lv.js":297,"./me":298,"./me.js":298,"./mi":299,"./mi.js":299,"./mk":300,"./mk.js":300,"./ml":301,"./ml.js":301,"./mn":302,"./mn.js":302,"./mr":303,"./mr.js":303,"./ms":304,"./ms-my":305,"./ms-my.js":305,"./ms.js":304,"./mt":306,"./mt.js":306,"./my":307,"./my.js":307,"./nb":308,"./nb.js":308,"./ne":309,"./ne.js":309,"./nl":310,"./nl-be":311,"./nl-be.js":311,"./nl.js":310,"./nn":312,"./nn.js":312,"./pa-in":313,"./pa-in.js":313,"./pl":314,"./pl.js":314,"./pt":315,"./pt-br":316,"./pt-br.js":316,"./pt.js":315,"./ro":317,"./ro.js":317,"./ru":318,"./ru.js":318,"./sd":319,"./sd.js":319,"./se":320,"./se.js":320,"./si":321,"./si.js":321,"./sk":322,"./sk.js":322,"./sl":323,"./sl.js":323,"./sq":324,"./sq.js":324,"./sr":325,"./sr-cyrl":326,"./sr-cyrl.js":326,"./sr.js":325,"./ss":327,"./ss.js":327,"./sv":328,"./sv.js":328,"./sw":329,"./sw.js":329,"./ta":330,"./ta.js":330,"./te":331,"./te.js":331,"./tet":332,"./tet.js":332,"./tg":333,"./tg.js":333,"./th":334,"./th.js":334,"./tl-ph":335,"./tl-ph.js":335,"./tlh":336,"./tlh.js":336,"./tr":337,"./tr.js":337,"./tzl":338,"./tzl.js":338,"./tzm":339,"./tzm-latn":340,"./tzm-latn.js":340,"./tzm.js":339,"./ug-cn":341,"./ug-cn.js":341,"./uk":342,"./uk.js":342,"./ur":343,"./ur.js":343,"./uz":344,"./uz-latn":345,"./uz-latn.js":345,"./uz.js":344,"./vi":346,"./vi.js":346,"./x-pseudo":347,"./x-pseudo.js":347,"./yo":348,"./yo.js":348,"./zh-cn":349,"./zh-cn.js":349,"./zh-hk":350,"./zh-hk.js":350,"./zh-tw":351,"./zh-tw.js":351};function i(e){var t=n(e);return r(t)}function n(e){if(!r.o(o,e)){var t=new Error("Cannot find module '"+e+"'");throw t.code="MODULE_NOT_FOUND",t}return o[e]}i.keys=function(){return Object.keys(o)},i.resolve=n,e.exports=i,i.id=556},564:function(e,t,r){"use strict";var o=r(199);r.n(o).a},565:function(e,t,r){(t=r(49)(!1)).push([e.i,".image[data-v-5a0c4be0]{margin:0;padding:0}.image__caption[data-v-5a0c4be0]{text-align:center;color:var(--color-text-lighter)}.image__caption input[type='text'][data-v-5a0c4be0]{width:100%;border:none;text-align:center}.icon-image[data-v-5a0c4be0]{margin-top:10px;height:32px;padding:20px;background-size:contain}.image__loading[data-v-5a0c4be0]{height:100px}.image__view[data-v-5a0c4be0]{text-align:center}.image__view .image__main[data-v-5a0c4be0]{max-height:40vh}.image__placeholder a[data-v-5a0c4be0]{display:flex}.image__placeholder .image__main[data-v-5a0c4be0]{background-color:var(--color-background-dark);text-align:center;padding:5px;border-radius:var(--border-radius)}.image__placeholder .image__main .icon-image[data-v-5a0c4be0]{margin:0}.image__placeholder .image__main p[data-v-5a0c4be0]{padding:10px}.fade-enter-active[data-v-5a0c4be0]{transition:opacity .3s ease-in-out}.fade-enter-to[data-v-5a0c4be0]{opacity:1}.fade-enter[data-v-5a0c4be0]{opacity:0}\n",""]),e.exports=t},624:function(e,t,r){var o={"./1c":[369,2],"./1c.js":[369,2],"./abnf":[370,3],"./abnf.js":[370,3],"./accesslog":[371,4],"./accesslog.js":[371,4],"./actionscript":[372,5],"./actionscript.js":[372,5],"./ada":[373,6],"./ada.js":[373,6],"./angelscript":[374,7],"./angelscript.js":[374,7],"./apache":[375,8],"./apache.js":[375,8],"./applescript":[376,9],"./applescript.js":[376,9],"./arcade":[377,10],"./arcade.js":[377,10],"./arduino":[378,11],"./arduino.js":[378,11],"./armasm":[379,12],"./armasm.js":[379,12],"./asciidoc":[380,13],"./asciidoc.js":[380,13],"./aspectj":[381,14],"./aspectj.js":[381,14],"./autohotkey":[382,15],"./autohotkey.js":[382,15],"./autoit":[383,16],"./autoit.js":[383,16],"./avrasm":[384,17],"./avrasm.js":[384,17],"./awk":[385,18],"./awk.js":[385,18],"./axapta":[386,19],"./axapta.js":[386,19],"./bash":[387,20],"./bash.js":[387,20],"./basic":[388,21],"./basic.js":[388,21],"./bnf":[389,22],"./bnf.js":[389,22],"./brainfuck":[390,23],"./brainfuck.js":[390,23],"./cal":[391,24],"./cal.js":[391,24],"./capnproto":[392,25],"./capnproto.js":[392,25],"./ceylon":[393,26],"./ceylon.js":[393,26],"./clean":[394,27],"./clean.js":[394,27],"./clojure":[396,28],"./clojure-repl":[395,29],"./clojure-repl.js":[395,29],"./clojure.js":[396,28],"./cmake":[397,30],"./cmake.js":[397,30],"./coffeescript":[398,31],"./coffeescript.js":[398,31],"./coq":[399,32],"./coq.js":[399,32],"./cos":[400,33],"./cos.js":[400,33],"./cpp":[401,34],"./cpp.js":[401,34],"./crmsh":[402,35],"./crmsh.js":[402,35],"./crystal":[403,36],"./crystal.js":[403,36],"./cs":[404,37],"./cs.js":[404,37],"./csp":[405,38],"./csp.js":[405,38],"./css":[406,39],"./css.js":[406,39],"./d":[407,40],"./d.js":[407,40],"./dart":[408,41],"./dart.js":[408,41],"./delphi":[409,42],"./delphi.js":[409,42],"./diff":[410,43],"./diff.js":[410,43],"./django":[411,44],"./django.js":[411,44],"./dns":[412,45],"./dns.js":[412,45],"./dockerfile":[413,46],"./dockerfile.js":[413,46],"./dos":[414,47],"./dos.js":[414,47],"./dsconfig":[415,48],"./dsconfig.js":[415,48],"./dts":[416,49],"./dts.js":[416,49],"./dust":[417,50],"./dust.js":[417,50],"./ebnf":[418,51],"./ebnf.js":[418,51],"./elixir":[419,52],"./elixir.js":[419,52],"./elm":[420,53],"./elm.js":[420,53],"./erb":[421,54],"./erb.js":[421,54],"./erlang":[423,55],"./erlang-repl":[422,56],"./erlang-repl.js":[422,56],"./erlang.js":[423,55],"./excel":[424,57],"./excel.js":[424,57],"./fix":[425,58],"./fix.js":[425,58],"./flix":[426,59],"./flix.js":[426,59],"./fortran":[427,60],"./fortran.js":[427,60],"./fsharp":[428,61],"./fsharp.js":[428,61],"./gams":[429,62],"./gams.js":[429,62],"./gauss":[430,63],"./gauss.js":[430,63],"./gcode":[431,64],"./gcode.js":[431,64],"./gherkin":[432,65],"./gherkin.js":[432,65],"./glsl":[433,66],"./glsl.js":[433,66],"./gml":[434,67],"./gml.js":[434,67],"./go":[435,68],"./go.js":[435,68],"./golo":[436,69],"./golo.js":[436,69],"./gradle":[437,70],"./gradle.js":[437,70],"./groovy":[438,71],"./groovy.js":[438,71],"./haml":[439,72],"./haml.js":[439,72],"./handlebars":[440,73],"./handlebars.js":[440,73],"./haskell":[441,74],"./haskell.js":[441,74],"./haxe":[442,75],"./haxe.js":[442,75],"./hsp":[443,76],"./hsp.js":[443,76],"./htmlbars":[444,77],"./htmlbars.js":[444,77],"./http":[445,78],"./http.js":[445,78],"./hy":[446,79],"./hy.js":[446,79],"./inform7":[447,80],"./inform7.js":[447,80],"./ini":[448,81],"./ini.js":[448,81],"./irpf90":[449,82],"./irpf90.js":[449,82],"./isbl":[450,83],"./isbl.js":[450,83],"./java":[451,84],"./java.js":[451,84],"./javascript":[452,85],"./javascript.js":[452,85],"./jboss-cli":[453,86],"./jboss-cli.js":[453,86],"./json":[454,87],"./json.js":[454,87],"./julia":[456,88],"./julia-repl":[455,89],"./julia-repl.js":[455,89],"./julia.js":[456,88],"./kotlin":[457,90],"./kotlin.js":[457,90],"./lasso":[458,91],"./lasso.js":[458,91],"./ldif":[459,92],"./ldif.js":[459,92],"./leaf":[460,93],"./leaf.js":[460,93],"./less":[461,94],"./less.js":[461,94],"./lisp":[462,95],"./lisp.js":[462,95],"./livecodeserver":[463,96],"./livecodeserver.js":[463,96],"./livescript":[464,97],"./livescript.js":[464,97],"./llvm":[465,98],"./llvm.js":[465,98],"./lsl":[466,99],"./lsl.js":[466,99],"./lua":[467,100],"./lua.js":[467,100],"./makefile":[468,101],"./makefile.js":[468,101],"./markdown":[469,102],"./markdown.js":[469,102],"./mathematica":[470,103],"./mathematica.js":[470,103],"./matlab":[471,104],"./matlab.js":[471,104],"./maxima":[472,105],"./maxima.js":[472,105],"./mel":[473,106],"./mel.js":[473,106],"./mercury":[474,107],"./mercury.js":[474,107],"./mipsasm":[475,108],"./mipsasm.js":[475,108],"./mizar":[476,109],"./mizar.js":[476,109],"./mojolicious":[477,110],"./mojolicious.js":[477,110],"./monkey":[478,111],"./monkey.js":[478,111],"./moonscript":[479,112],"./moonscript.js":[479,112],"./n1ql":[480,113],"./n1ql.js":[480,113],"./nginx":[481,114],"./nginx.js":[481,114],"./nimrod":[482,115],"./nimrod.js":[482,115],"./nix":[483,116],"./nix.js":[483,116],"./nsis":[484,117],"./nsis.js":[484,117],"./objectivec":[485,118],"./objectivec.js":[485,118],"./ocaml":[486,119],"./ocaml.js":[486,119],"./openscad":[487,120],"./openscad.js":[487,120],"./oxygene":[488,121],"./oxygene.js":[488,121],"./parser3":[489,122],"./parser3.js":[489,122],"./perl":[490,123],"./perl.js":[490,123],"./pf":[491,124],"./pf.js":[491,124],"./pgsql":[492,125],"./pgsql.js":[492,125],"./php":[493,126],"./php.js":[493,126],"./plaintext":[494,127],"./plaintext.js":[494,127],"./pony":[495,128],"./pony.js":[495,128],"./powershell":[496,129],"./powershell.js":[496,129],"./processing":[497,130],"./processing.js":[497,130],"./profile":[498,131],"./profile.js":[498,131],"./prolog":[499,132],"./prolog.js":[499,132],"./properties":[500,133],"./properties.js":[500,133],"./protobuf":[501,134],"./protobuf.js":[501,134],"./puppet":[502,135],"./puppet.js":[502,135],"./purebasic":[503,136],"./purebasic.js":[503,136],"./python":[504,137],"./python.js":[504,137],"./q":[505,138],"./q.js":[505,138],"./qml":[506,139],"./qml.js":[506,139],"./r":[507,140],"./r.js":[507,140],"./reasonml":[508,141],"./reasonml.js":[508,141],"./rib":[509,142],"./rib.js":[509,142],"./roboconf":[510,143],"./roboconf.js":[510,143],"./routeros":[511,144],"./routeros.js":[511,144],"./rsl":[512,145],"./rsl.js":[512,145],"./ruby":[513,146],"./ruby.js":[513,146],"./ruleslanguage":[514,147],"./ruleslanguage.js":[514,147],"./rust":[515,148],"./rust.js":[515,148],"./sas":[516,149],"./sas.js":[516,149],"./scala":[517,150],"./scala.js":[517,150],"./scheme":[518,151],"./scheme.js":[518,151],"./scilab":[519,152],"./scilab.js":[519,152],"./scss":[520,153],"./scss.js":[520,153],"./shell":[521,154],"./shell.js":[521,154],"./smali":[522,155],"./smali.js":[522,155],"./smalltalk":[523,156],"./smalltalk.js":[523,156],"./sml":[524,157],"./sml.js":[524,157],"./sqf":[525,158],"./sqf.js":[525,158],"./sql":[526,159],"./sql.js":[526,159],"./stan":[527,160],"./stan.js":[527,160],"./stata":[528,161],"./stata.js":[528,161],"./step21":[529,162],"./step21.js":[529,162],"./stylus":[530,163],"./stylus.js":[530,163],"./subunit":[531,164],"./subunit.js":[531,164],"./swift":[532,165],"./swift.js":[532,165],"./taggerscript":[533,166],"./taggerscript.js":[533,166],"./tap":[534,167],"./tap.js":[534,167],"./tcl":[535,168],"./tcl.js":[535,168],"./tex":[536,169],"./tex.js":[536,169],"./thrift":[537,170],"./thrift.js":[537,170],"./tp":[538,171],"./tp.js":[538,171],"./twig":[539,172],"./twig.js":[539,172],"./typescript":[540,173],"./typescript.js":[540,173],"./vala":[541,174],"./vala.js":[541,174],"./vbnet":[542,175],"./vbnet.js":[542,175],"./vbscript":[544,176],"./vbscript-html":[543,177],"./vbscript-html.js":[543,177],"./vbscript.js":[544,176],"./verilog":[545,178],"./verilog.js":[545,178],"./vhdl":[546,179],"./vhdl.js":[546,179],"./vim":[547,180],"./vim.js":[547,180],"./x86asm":[548,181],"./x86asm.js":[548,181],"./xl":[549,182],"./xl.js":[549,182],"./xml":[550,183],"./xml.js":[550,183],"./xquery":[551,184],"./xquery.js":[551,184],"./yaml":[552,185],"./yaml.js":[552,185],"./zephir":[553,186],"./zephir.js":[553,186]};function i(e){if(!r.o(o,e))return Promise.resolve().then((function(){var t=new Error("Cannot find module '"+e+"'");throw t.code="MODULE_NOT_FOUND",t}));var t=o[e],i=t[0];return r.e(t[1]).then((function(){return r.t(i,7)}))}i.keys=function(){return Object.keys(o)},i.id=624,e.exports=i},627:function(e,t,r){"use strict";var o=r(203);r.n(o).a},628:function(e,t,r){(t=r(49)(!1)).push([e.i,"#editor-container[data-v-6e21a4b1]{display:block;width:100%;max-width:100%;height:100%;left:0;top:50px;margin:0 auto;position:relative;background-color:var(--color-main-background)}#editor-wrapper[data-v-6e21a4b1]{display:flex;width:100%;height:100%;overflow:hidden;position:absolute}#editor-wrapper .ProseMirror[data-v-6e21a4b1]{margin-top:0 !important}#editor-wrapper.icon-loading #editor[data-v-6e21a4b1]{opacity:0.3}#editor[data-v-6e21a4b1],.editor[data-v-6e21a4b1]{background:var(--color-main-background);color:var(--color-main-text);background-clip:padding-box;border-radius:var(--border-radius);padding:0;position:relative;overflow-y:auto;overflow-x:hidden;width:100%}.msg.icon-error[data-v-6e21a4b1]{padding:12px;border-bottom:1px solid var(--color-border);padding-left:30px;background-position:8px center}.save-status[data-v-6e21a4b1]{padding:9px;text-overflow:ellipsis;color:var(--color-text-lighter)}.save-status.error[data-v-6e21a4b1]{background-color:var(--color-error);color:var(--color-main-background);border-radius:3px}#editor-container #editor-wrapper.has-conflicts[data-v-6e21a4b1]{height:calc(100% - 50px)}#editor-container #editor-wrapper.has-conflicts #editor[data-v-6e21a4b1],#editor-container #editor-wrapper.has-conflicts #read-only-editor[data-v-6e21a4b1]{width:50%;height:100%}#editor-session-list[data-v-6e21a4b1]{padding:4px 16px 4px 4px;display:flex}#editor-session-list input[data-v-6e21a4b1],#editor-session-list div[data-v-6e21a4b1]{vertical-align:middle;margin-left:3px}.editor__content[data-v-6e21a4b1]{max-width:670px;margin:auto;position:relative}#body-public[data-v-6e21a4b1]{height:auto}#files-public-content[data-v-6e21a4b1]{height:auto}#files-public-content #editor-wrapper[data-v-6e21a4b1]{position:relative}#files-public-content #editor-container[data-v-6e21a4b1]{top:0;width:100%}#files-public-content #editor-container #editor[data-v-6e21a4b1] .menubar{position:fixed;top:50px;width:100%}#files-public-content #editor-container #editor[data-v-6e21a4b1]{padding-top:50px;overflow:auto}#files-public-content #editor-container .has-conflicts #editor[data-v-6e21a4b1]{padding-top:0px}.ie #editor[data-v-6e21a4b1] .menubar{position:fixed;top:50px;width:100%}.ie .editor__content[data-v-6e21a4b1] .ProseMirror{padding-top:50px}\n",""]),e.exports=t},629:function(e,t,r){"use strict";var o=r(204);r.n(o).a},630:function(e,t,r){(t=r(49)(!1)).push([e.i,'.modal-container #editor-container{position:absolute}.ProseMirror-hideselection *::selection{background:transparent;color:var(--color-main-text)}.ProseMirror-hideselection *::-moz-selection{background:transparent;color:var(--color-main-text)}.ProseMirror-hideselection{caret-color:transparent;color:var(--color-main-text)}.ProseMirror-selectednode{outline:2px solid #8cf}li.ProseMirror-selectednode{outline:none}li.ProseMirror-selectednode:after{content:"";position:absolute;left:-32px;right:-2px;top:-2px;bottom:-2px;border:2px solid #8cf;pointer-events:none}.has-conflicts .ProseMirror-menubar,#editor-wrapper.icon-loading .ProseMirror-menubar{display:none}.ProseMirror-gapcursor{display:none;pointer-events:none;position:absolute}.ProseMirror-gapcursor:after{content:"";display:block;position:absolute;top:-2px;width:20px;border-top:1px solid var(--color-main-text);animation:ProseMirror-cursor-blink 1.1s steps(2, start) infinite}@keyframes ProseMirror-cursor-blink{to{visibility:hidden}}#editor-wrapper div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}#editor-wrapper div.ProseMirror[contenteditable=true],#editor-wrapper div.ProseMirror[contenteditable=false],#editor-wrapper div.ProseMirror [contenteditable=true],#editor-wrapper div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}#editor-wrapper div.ProseMirror .checkbox-item{display:flex;align-items:start}#editor-wrapper div.ProseMirror .checkbox-item input[type=checkbox]{display:none}#editor-wrapper div.ProseMirror .checkbox-item:before{content:\'\';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:"";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}#editor-wrapper div.ProseMirror .checkbox-item.checked:before{background-image:url("/core/css/../img/actions/checkbox-mark.svg");background-color:var(--color-primary-element)}#editor-wrapper div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}#editor-wrapper div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}#editor-wrapper div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}#editor-wrapper div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}#editor-wrapper div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}#editor-wrapper div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}#editor-wrapper div.ProseMirror p:first-child,#editor-wrapper div.ProseMirror h1:first-child,#editor-wrapper div.ProseMirror h2:first-child,#editor-wrapper div.ProseMirror h3:first-child,#editor-wrapper div.ProseMirror h4:first-child,#editor-wrapper div.ProseMirror h5:first-child,#editor-wrapper div.ProseMirror h6:first-child{margin-top:10px}#editor-wrapper div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}#editor-wrapper div.ProseMirror p{margin-bottom:1em;line-height:150%}#editor-wrapper div.ProseMirror em{font-style:italic}#editor-wrapper div.ProseMirror h1,#editor-wrapper div.ProseMirror h2,#editor-wrapper div.ProseMirror h3,#editor-wrapper div.ProseMirror h4,#editor-wrapper div.ProseMirror h5,#editor-wrapper div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}#editor-wrapper div.ProseMirror h1{font-size:36px;margin-top:48px}#editor-wrapper div.ProseMirror h2{font-size:28px;margin-top:48px}#editor-wrapper div.ProseMirror h3{font-size:24px}#editor-wrapper div.ProseMirror h4{font-size:21px}#editor-wrapper div.ProseMirror h5{font-size:17px}#editor-wrapper div.ProseMirror h6{font-size:14px}#editor-wrapper div.ProseMirror img{cursor:default;max-width:100%}#editor-wrapper div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}#editor-wrapper div.ProseMirror hr:after{content:"";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}#editor-wrapper div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}#editor-wrapper div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}#editor-wrapper div.ProseMirror li{position:relative}#editor-wrapper div.ProseMirror ul,#editor-wrapper div.ProseMirror ol{padding-left:10px;margin-left:10px}#editor-wrapper div.ProseMirror ul li{list-style-type:disc}#editor-wrapper div.ProseMirror ul>li>ul>li{list-style-type:circle}#editor-wrapper div.ProseMirror ul>li>ul>li ul li{list-style-type:square}#editor-wrapper div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}#editor-wrapper .ProseMirror-focused .ProseMirror-gapcursor{display:block}#editor-wrapper .editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}#editor-wrapper:not(.richEditor) .ProseMirror pre{background-color:var(--color-main-background)}#editor-wrapper:not(.richEditor) .ProseMirror pre::before{content:attr(data-language);text-transform:uppercase;display:block;text-align:right;font-weight:bold;font-size:0.6rem}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-comment,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-quote{color:#999999}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-variable,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-template-variable,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-attribute,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-tag,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-name,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-regexp,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-link,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-id,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-class{color:#f2777a}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-number,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-meta,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-built_in,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-builtin-name,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-literal,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-type,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-params{color:#f99157}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-string,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-symbol,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-bullet{color:#99cc99}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-title,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-section{color:#ffcc66}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-keyword,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-tag{color:#6699cc}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-emphasis{font-style:italic}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-strong{font-weight:700}\n',""]),e.exports=t},631:function(e,t,r){"use strict";var o=r(205);r.n(o).a},632:function(e,t,r){(t=r(49)(!1)).push([e.i,"body[data-v-3ea77884]{position:fixed}#direct-editor[data-v-3ea77884]{width:100%;height:100%;position:fixed;overflow:hidden}#direct-editor[data-v-3ea77884] #editor-container{height:100%;top:0}#direct-editor[data-v-3ea77884] #editor-wrapper div.ProseMirror{margin-top:0}pre[data-v-3ea77884]{width:100%;max-width:700px;margin:auto;background-color:var(--color-background-dark)}button[data-v-3ea77884]{width:44px;height:44px;margin:0;background-size:16px;border:0;background-color:transparent;opacity:.5;color:var(--color-main-text);background-position:center center;vertical-align:top}button[data-v-3ea77884]:hover,button[data-v-3ea77884]:focus,button[data-v-3ea77884]:active{background-color:var(--color-background-dark)}button.is-active[data-v-3ea77884],button[data-v-3ea77884]:hover,button[data-v-3ea77884]:focus{opacity:1}button.icon-undo[data-v-3ea77884],button.icon-redo[data-v-3ea77884]{opacity:.4}\n",""]),e.exports=t},646:function(e,t,r){"use strict";var o=r(217);r.n(o).a},647:function(e,t,r){(t=r(49)(!1)).push([e.i,'#read-only-editor{overflow:scroll}#read-only-editor div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}#read-only-editor div.ProseMirror[contenteditable=true],#read-only-editor div.ProseMirror[contenteditable=false],#read-only-editor div.ProseMirror [contenteditable=true],#read-only-editor div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}#read-only-editor div.ProseMirror .checkbox-item{display:flex;align-items:start}#read-only-editor div.ProseMirror .checkbox-item input[type=checkbox]{display:none}#read-only-editor div.ProseMirror .checkbox-item:before{content:\'\';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:"";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}#read-only-editor div.ProseMirror .checkbox-item.checked:before{background-image:url("/core/css/../img/actions/checkbox-mark.svg");background-color:var(--color-primary-element)}#read-only-editor div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}#read-only-editor div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}#read-only-editor div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}#read-only-editor div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}#read-only-editor div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}#read-only-editor div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}#read-only-editor div.ProseMirror p:first-child,#read-only-editor div.ProseMirror h1:first-child,#read-only-editor div.ProseMirror h2:first-child,#read-only-editor div.ProseMirror h3:first-child,#read-only-editor div.ProseMirror h4:first-child,#read-only-editor div.ProseMirror h5:first-child,#read-only-editor div.ProseMirror h6:first-child{margin-top:10px}#read-only-editor div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}#read-only-editor div.ProseMirror p{margin-bottom:1em;line-height:150%}#read-only-editor div.ProseMirror em{font-style:italic}#read-only-editor div.ProseMirror h1,#read-only-editor div.ProseMirror h2,#read-only-editor div.ProseMirror h3,#read-only-editor div.ProseMirror h4,#read-only-editor div.ProseMirror h5,#read-only-editor div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}#read-only-editor div.ProseMirror h1{font-size:36px;margin-top:48px}#read-only-editor div.ProseMirror h2{font-size:28px;margin-top:48px}#read-only-editor div.ProseMirror h3{font-size:24px}#read-only-editor div.ProseMirror h4{font-size:21px}#read-only-editor div.ProseMirror h5{font-size:17px}#read-only-editor div.ProseMirror h6{font-size:14px}#read-only-editor div.ProseMirror img{cursor:default;max-width:100%}#read-only-editor div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}#read-only-editor div.ProseMirror hr:after{content:"";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}#read-only-editor div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}#read-only-editor div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}#read-only-editor div.ProseMirror li{position:relative}#read-only-editor div.ProseMirror ul,#read-only-editor div.ProseMirror ol{padding-left:10px;margin-left:10px}#read-only-editor div.ProseMirror ul li{list-style-type:disc}#read-only-editor div.ProseMirror ul>li>ul>li{list-style-type:circle}#read-only-editor div.ProseMirror ul>li>ul>li ul li{list-style-type:square}#read-only-editor div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}#read-only-editor .ProseMirror-focused .ProseMirror-gapcursor{display:block}#read-only-editor .editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}.thumbnailContainer #read-only-editor{width:100%}.thumbnailContainer #read-only-editor .ProseMirror{height:auto;margin:0 0 0 0;padding:0}\n',""]),e.exports=t},648:function(e,t,r){"use strict";var o=r(218);r.n(o).a},649:function(e,t,r){(t=r(49)(!1)).push([e.i,'div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}div.ProseMirror[contenteditable=true],div.ProseMirror[contenteditable=false],div.ProseMirror [contenteditable=true],div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}div.ProseMirror .checkbox-item{display:flex;align-items:start}div.ProseMirror .checkbox-item input[type=checkbox]{display:none}div.ProseMirror .checkbox-item:before{content:\'\';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:"";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}div.ProseMirror .checkbox-item.checked:before{background-image:url("/core/css/../img/actions/checkbox-mark.svg");background-color:var(--color-primary-element)}div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}div.ProseMirror p:first-child,div.ProseMirror h1:first-child,div.ProseMirror h2:first-child,div.ProseMirror h3:first-child,div.ProseMirror h4:first-child,div.ProseMirror h5:first-child,div.ProseMirror h6:first-child{margin-top:10px}div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}div.ProseMirror p{margin-bottom:1em;line-height:150%}div.ProseMirror em{font-style:italic}div.ProseMirror h1,div.ProseMirror h2,div.ProseMirror h3,div.ProseMirror h4,div.ProseMirror h5,div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}div.ProseMirror h1{font-size:36px;margin-top:48px}div.ProseMirror h2{font-size:28px;margin-top:48px}div.ProseMirror h3{font-size:24px}div.ProseMirror h4{font-size:21px}div.ProseMirror h5{font-size:17px}div.ProseMirror h6{font-size:14px}div.ProseMirror img{cursor:default;max-width:100%}div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}div.ProseMirror hr:after{content:"";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}div.ProseMirror li{position:relative}div.ProseMirror ul,div.ProseMirror ol{padding-left:10px;margin-left:10px}div.ProseMirror ul li{list-style-type:disc}div.ProseMirror ul>li>ul>li{list-style-type:circle}div.ProseMirror ul>li>ul>li ul li{list-style-type:square}div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}.ProseMirror-focused .ProseMirror-gapcursor{display:block}.editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}\n',""]),e.exports=t},650:function(e,t,r){"use strict";var o=r(219);r.n(o).a},651:function(e,t,r){(t=r(49)(!1)).push([e.i,"#resolve-conflicts[data-v-7fd0186f]{display:flex;position:fixed;z-index:10000;bottom:0;max-width:900px;width:100vw;margin:auto;padding:20px 0}#resolve-conflicts button[data-v-7fd0186f]{margin:auto;box-shadow:0 0 10px var(--color-box-shadow)}\n",""]),e.exports=t},671:function(e,t,r){"use strict";r.r(t);var o=r(183),i=r(223),n=r.n(i),s=r(554),a={name:"ReadOnlyEditor",components:{EditorContent:o.b},props:{content:{type:String,required:!0},isRichEditor:{type:Boolean,default:!0}},data:function(){return{editor:null}},mounted:function(){this.editor=Object(s.a)({content:this.isRichEditor?s.d.render(this.content):"<pre>"+n()(this.content)+"</pre>",enableRichEditing:this.isRichEditor}),this.editor.setOptions({editable:!1})},beforeDestroy:function(){this.editor.destroy()}},c=(r(646),r(648),r(18)),l=Object(c.a)(a,(function(){var e=this.$createElement,t=this._self._c||e;return this.editor?t("EditorContent",{attrs:{id:"read-only-editor",editor:this.editor}}):this._e()}),[],!1,null,null,null);t.default=l.exports},672:function(e,t,r){"use strict";r.r(t);var o={name:"CollisionResolveDialog"},i=(r(650),r(18)),n=Object(i.a)(o,(function(){var e=this,t=e.$createElement,r=e._self._c||t;return r("div",{staticClass:"collision-resolve-dialog",attrs:{id:"resolve-conflicts"}},[r("button",{on:{click:function(t){return e.$emit("resolveUseThisVersion")}}},[e._v("\n\t\t"+e._s(e.t("text","Use current version"))+"\n\t")]),e._v(" "),r("button",{on:{click:function(t){return e.$emit("resolveUseServerVersion")}}},[e._v("\n\t\t"+e._s(e.t("text","Use the saved version"))+"\n\t")])])}),[],!1,null,"7fd0186f",null);t.default=n.exports}}]);
+//# sourceMappingURL=editor.js.map?v=6ee3c36190dc54802157 \ No newline at end of file
diff --git a/js/editor.js.map b/js/editor.js.map
index 1c050e237..2a0a8da61 100644
--- a/js/editor.js.map
+++ b/js/editor.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./src/helpers/index.js","webpack:///./src/components/EditorWrapper.vue?9c67","webpack:///./src/services/PollingBackend.js","webpack:///./src/services/SyncService.js","webpack:///./src/helpers/mappings.js","webpack:///./src/extensions/Keymap.js","webpack:///./src/mixins/isMobile.js","webpack:///src/components/EditorWrapper.vue","webpack:///./src/components/EditorWrapper.vue?a7cb","webpack:///./src/components/EditorWrapper.vue","webpack:///./src/views/DirectEditing.vue?47d3","webpack:///src/views/DirectEditing.vue","webpack:///./src/views/DirectEditing.vue?009f","webpack:///./src/views/DirectEditing.vue","webpack:///./src/nodes/ImageView.vue?128c","webpack:///./src/components/EditorWrapper.vue?d69f","webpack:///./src/components/EditorWrapper.vue?9e6d","webpack:///./src/views/DirectEditing.vue?10c8","webpack:///./src/components/ReadOnlyEditor.vue?fbe1","webpack:///./src/components/ReadOnlyEditor.vue?b76d","webpack:///./src/components/CollisionResolveDialog.vue?c321","webpack:///./src/helpers/links.js","webpack:///./src/marks/index.js","webpack:///src/nodes/ImageView.vue","webpack:///./src/nodes/ImageView.vue?549b","webpack:///./src/nodes/ImageView.vue","webpack:///./src/nodes/ImageView.vue?39a0","webpack:///./src/nodes/Image.js","webpack:///./src/nodes/PlainTextDocument.js","webpack:///./src/nodes/ListItem.js","webpack:///./src/EditorFactory.js","webpack:///./node_modules/moment/locale sync ^\\.\\/.*$","webpack:///./src/nodes/ImageView.vue?7118","webpack:///./src/nodes/ImageView.vue?e9a4","webpack:///./node_modules/highlight.js/lib/languages lazy ^\\.\\/.*$ namespace object","webpack:///./src/components/EditorWrapper.vue?dba0","webpack:///./src/components/EditorWrapper.vue?e573","webpack:///./src/components/EditorWrapper.vue?bcc9","webpack:///./src/components/EditorWrapper.vue?65ff","webpack:///./src/views/DirectEditing.vue?81e5","webpack:///./src/views/DirectEditing.vue?401a","webpack:///./src/components/ReadOnlyEditor.vue?9358","webpack:///./src/components/ReadOnlyEditor.vue?31a0","webpack:///./src/components/ReadOnlyEditor.vue?d128","webpack:///./src/components/ReadOnlyEditor.vue?d976","webpack:///./src/components/CollisionResolveDialog.vue?afa1","webpack:///./src/components/CollisionResolveDialog.vue?d109","webpack:///./src/components/ReadOnlyEditor.vue?936d","webpack:///./src/components/ReadOnlyEditor.vue?fe8f","webpack:///src/components/ReadOnlyEditor.vue","webpack:///./src/components/ReadOnlyEditor.vue","webpack:///./src/components/CollisionResolveDialog.vue?3a26","webpack:///./src/components/CollisionResolveDialog.vue?9e50","webpack:///src/components/CollisionResolveDialog.vue","webpack:///./src/components/CollisionResolveDialog.vue"],"names":["documentReady","callback","document","attachEvent","readyState","setTimeout","addEventListener","_baseUrl","generateUrl","endpointUrl","endpoint","isPublic","randomGuestNames","getRandomGuestName","Math","floor","random","length","PollingBackend","authority","this","_authority","fetchInterval","retryTime","lock","fetchRetryCounter","fetcher","setInterval","_fetchSteps","bind","options","shareToken","_forcedSave","fetchSteps","_manualSave","autosaveContent","sendableSteps","state","_getVersion","lastSavedVersion","_getContent","axios","post","_isPublic","documentId","id","sessionId","session","sessionToken","token","version","force","manualSave","filePath","then","response","data","console","debug","emit","sessions","steps","filter","lastContact","Date","now","maximumRefetchTimer","increaseRefetchTimer","dirty","initialLoading","_receiveSteps","resetRefetchTimer","catch","e","code","status","currentVersion","error","ERROR_TYPE","SAVE_COLLISSION","outsideChange","SOURCE_NOT_FOUND","CONNECTION_FAILED","retry","_sendable","sendSteps","sendable","map","s","toJSON","carefulRetryReset","PUSH_FAILURE","OC","Notification","showTemporary","carefulRetry","clearInterval","min","newRetry","defaultOptions","forceRecreate","serialize","LOAD_ERROR","SyncService","eventHandlers","opened","loaded","fetched","sync","stateChange","change","save","backend","Object","assign","stepClientIDs","fileId","initialSession","connectionData","_openDocument","readOnly","_fetchDocument","documentSource","connect","get","params","guestName","transformResponse","Promise","reject","slice","clientIDs","newSteps","i","singleSteps","Array","isArray","forEach","step","push","clientID","getVersion","doc","_getDocument","forceSave","closed","resolve","on","_close","disconnect","event","_this","additionalData","extensionHighlight","py","gyp","wsgi","htm","xhtml","erl","jsp","pl","rss","atom","xsl","plist","rb","builder","gemspec","podspec","thor","diff","hs","icl","php3","php4","php5","php6","sh","zsh","st","as","apacheconf","osacript","b","bf","clj","coffee","cson","iced","c","h","hh","jinja","bat","cmd","fs","hbs","sublime_metrics","sublime_session","mk","mak","md","mkdown","mkd","nginxconf","m","mm","ml","rs","sci","vb","vbs","Keymap","schema","Extension","isMobile","_isMobile","beforeMount","window","_onResize","beforeDestroy","removeEventListener","methods","documentElement","clientWidth","component","_vm","_h","$createElement","_c","_self","attrs","currentSession","active","staticClass","_v","_s","t","_e","reconnect","class","hasSyncCollission","hasConnectionIssue","isRichEditor","syncError","ref","tiptap","relativePath","autohide","directives","name","rawName","value","expression","lastSavedStatusClass","lastSavedStatus","filteredSessions","syncService","_t","resolveUseThisVersion","resolveUseServerVersion","saving","initial","mimetype","scopedSlots","_u","key","fn","share","close","proxy","content","module","locals","exports","add","default","basedir","file","end","lastIndexOf","domHref","node","href","match","relPath","dir","base","rel","split","pop","shift","concat","join","absolutePath","OCA","Viewer","parseHref","dom","getAttribute","path","Strong","Bold","Italic","TipTapItalic","Strike","parseDOM","tag","style","getAttrs","toDOM","toMarkdown","open","mixable","expelEnclosingWhitespace","TipTapStrike","Link","inclusive","title","openOnClick","Plugin","props","handleClick","view","pos","getMarkAttrs","marks","link","target","HTMLAnchorElement","stopPropagation","htmlHref","button","ctrlKey","startsWith","location","origin","query","parseQueryString","fragment","filename","theme","pathname","TipTapLink","src","imageLoaded","isSupportedImage","imageUrl","onLoaded","domProps","alt","$event","type","indexOf","_k","keyCode","updateAlt","internalLinkOrImage","Image","ImageView","selectable","TiptapImage","PlainTextDocument","Tab","insertText","editor","dispatch","Node","TYPES","getParentList","selection","findParentNode","nodes","list_item","ListItem","bullet_list_item","toggleList","bullet_list","todo_item","$from","$to","range","blockRange","tr","parentList","_transaction","setNodeMarkup","scrollIntoView","nested","done","draggable","listAttributes","checkboxAttributes","contenteditable","checked","priority","el","checkbox","querySelector","write","renderContent","coordinates","posAtCoords","left","clientX","top","clientY","position","findParentNodeClosestToPos","isListClicked","tagName","toLowerCase","TiptapListItem","loadSyntaxHighlight","language","languages","modules","lang","undefined","keys","constructor","createEditor","onInit","onUpdate","extensions","enableRichEditing","richEditingExtensions","Heading","Code","HardBreak","HorizontalRule","BulletList","OrderedList","Blockquote","CodeBlock","Placeholder","emptyNodeClass","emptyNodeText","showOnlyWhenEditable","Text","CodeBlockHighlight","Editor","History","useBuiltInExtensions","markdownit","MarkdownIt","html","breaks","enable","use","taskLists","labelAfter","SerializeException","message","createMarkdownSerializer","_nodes","_marks","entries","reduce","items","serializer","MarkdownSerializer","defaultMarkdownSerializer","tightLists","serializePlainText","getJSON","codeBlock","text","webpackContext","req","webpackContextResolve","__webpack_require__","o","Error","___CSS_LOADER_API_IMPORT___","webpackAsyncContext","ids","$emit"],"mappings":"oGAAA,kHA4BMA,EAAgB,SAASC,IAE1BC,SAASC,YAAsC,aAAxBD,SAASE,WAAoD,YAAxBF,SAASE,YADxDC,WAAWJ,EAAU,GAIrCC,SAASI,iBAAiB,mBAAoBL,IAI1CM,EAAWC,sBAAY,cACvBC,EAAc,SAACC,GAA+B,IAArBC,EAAqB,wDACnD,OAAIA,EACH,UAAUJ,EAAV,mBAA6BG,GAE9B,UAAUH,EAAV,YAAsBG,IAGjBE,EAAmB,CAAC,YAAa,UAAW,YAAa,UAAW,eAAgB,cAAe,OAAQ,OAAQ,iBAAkB,cAAe,eAAgB,eAAgB,WAAY,WAAY,kBAAmB,eAAgB,UAAW,WAAY,QAAS,SAAU,UAAW,cAAe,SAAU,cAAe,UAAW,UAAW,mBAAoB,OAAQ,YAAa,WAAY,mBAAoB,UAAW,oBAAqB,gBAAiB,UAAW,WAAY,kBAAmB,SAAU,QAAS,WAAY,SAAU,aAAc,WAAY,SAAU,SAAU,cAAe,aAAc,WAAY,QAAS,iBAAkB,aAAc,gBAAiB,kBAAmB,OAAQ,iBAAkB,gBAAiB,SAAU,UAAW,cAAe,eAAgB,iBAAkB,cAAe,sBAAuB,SAAU,OAAQ,QAAS,WAAY,aAAc,WAAY,QAAS,aAAc,UAAW,aAAc,UAAW,OAAQ,UAAW,aAAc,aAAc,WAAY,eAAgB,UAAW,OAAQ,QAAS,QAAS,cAAe,UAAW,eAAgB,UAAW,SAAU,WAAY,SAAU,UAAW,WAAY,YAAa,SAAU,WAAY,WAAY,UAAW,SAAU,eAAgB,cAAe,OAAQ,YAAa,SAAU,SAAU,iBAAkB,gBAAiB,aAAc,eAAgB,OAAQ,YACv5CC,EAAqB,WAC1B,OAAOD,EAAiBE,KAAKC,MAAMD,KAAKE,SAAWJ,EAAiBK,W,wCC/CrE,I;;;;;;;;;;;;;;;;;;;;;;AC8BA,IA0OeC,E,WA9Md,WAAYC,I,4FAAW,SAEtBC,KAAKC,WAAaF,EAClBC,KAAKE,cA/BgB,IAgCrBF,KAAKG,UAlBgB,IAmBrBH,KAAKI,MAAO,EACZJ,KAAKK,kBAAoB,E,yDAIzBL,KAAKM,QAAUC,YAAYP,KAAKQ,YAAYC,KAAKT,MAAO,K,kCAIxD,QAASA,KAAKC,WAAWS,QAAQC,a,kCAIjCX,KAAKY,aAAc,EACnBZ,KAAKa,e,6BAILb,KAAKc,aAAc,EACnBd,KAAKa,e,mCAILb,KAAKQ,gB,oCAMQ,IAKTO,EALS,QACTf,KAAKI,MAASJ,KAAKM,UAGvBN,KAAKI,MAAO,GAERJ,KAAKY,aAAeZ,KAAKc,cACvBE,YAAchB,KAAKC,WAAWgB,QAC/BjB,KAAKC,WAAWiB,gBAAkBlB,KAAKC,WAAWnB,SAASqC,oBAE/DJ,EAAkBf,KAAKC,WAAWmB,eAEnCC,IAAMC,KAAKjC,YAAY,eAAgBW,KAAKuB,aAAc,CACzDC,WAAYxB,KAAKC,WAAWnB,SAAS2C,GACrCC,UAAW1B,KAAKC,WAAW0B,QAAQF,GACnCG,aAAc5B,KAAKC,WAAW0B,QAAQE,MACtCC,QAAS9B,KAAKC,WAAWiB,cACzBH,kBACAgB,QAAS/B,KAAKY,YACdoB,aAAchC,KAAKc,YACnBe,MAAO7B,KAAKC,WAAWS,QAAQC,WAC/BsB,SAAUjC,KAAKC,WAAWS,QAAQuB,WAChCC,MAAK,SAACC,GAYR,GAXA,EAAK9B,kBAAoB,EAErB,EAAKJ,WAAWnB,SAASqC,iBAAmBgB,EAASC,KAAKtD,SAASqC,mBACtEkB,QAAQC,MAAM,iBAAkBH,EAASC,KAAKtD,UAC9C,EAAKmB,WAAWsC,KAAK,OAAQ,CAAEzD,SAAUqD,EAASC,KAAKtD,SAAU0D,SAAUL,EAASC,KAAKI,YAG1F,EAAKvC,WAAWsC,KAAK,SAAU,CAAEzD,SAAUqD,EAASC,KAAKtD,SAAU0D,SAAUL,EAASC,KAAKI,WAC3F,EAAKvC,WAAWnB,SAAWqD,EAASC,KAAKtD,SACzC,EAAKmB,WAAWuC,SAAWL,EAASC,KAAKI,SAEN,IAA/BL,EAASC,KAAKK,MAAM5C,OASvB,OARA,EAAKO,MAAO,EACR+B,EAASC,KAAKI,SAASE,QAAO,SAACf,GAAD,OAAaA,EAAQgB,YAAcC,KAAKC,MAAQ,IA1EjD,MA0EsFhD,OAAS,EAC/H,EAAKiD,sBAEL,EAAKC,uBAEN,EAAK9C,WAAWsC,KAAK,cAAe,CAAES,OAAO,SAC7C,EAAK/C,WAAWsC,KAAK,cAAe,CAAEU,gBAAgB,IAIvD,EAAKhD,WAAWiD,cAAcf,EAASC,MACvC,EAAKhC,MAAO,EACZ,EAAKQ,aAAc,EACnB,EAAKuC,uBACHC,OAAM,SAACC,GACT,EAAKjD,MAAO,EACPiD,EAAElB,UAAuB,iBAAXkB,EAAEC,KAQY,MAAtBD,EAAElB,SAASoB,QAAkBF,EAAElB,SAASC,KAAKtD,SAAS0E,iBAAmB,EAAKvD,WAAWnB,SAAS0E,gBAE5GnB,QAAQoB,MAAM,6CACd,EAAKxD,WAAWsC,KAAK,QAASmB,EAAWC,gBAAiB,CACzDC,cAAeP,EAAElB,SAASC,KAAKwB,iBAEA,MAAtBP,EAAElB,SAASoB,QAEW,MAAtBF,EAAElB,SAASoB,OADrB,EAAKtD,WAAWsC,KAAK,QAASmB,EAAWG,iBAAkB,IAG3B,MAAtBR,EAAElB,SAASoB,QACrB,EAAKR,uBACL,EAAK9C,WAAWsC,KAAK,QAASmB,EAAWI,kBAAmB,CAAEC,OAAO,IACrE1B,QAAQoB,MAAM,mDAAoDJ,KAElE,EAAKN,uBACL,EAAK9C,WAAWsC,KAAK,QAASmB,EAAWI,kBAAmB,CAAEC,OAAO,IACrE1B,QAAQoB,MAAM,4CAA6CJ,IAxBvD,EAAKhD,qBA9FiB,GA+FzBgC,QAAQoB,MAAM,6FACd,EAAKxD,WAAWsC,KAAK,QAASmB,EAAWI,kBAAmB,KAG5DzB,QAAQoB,MAAR,+EAAsF,EAAKpD,uBAsB9FL,KAAKc,aAAc,EACnBd,KAAKY,aAAc,K,gCAGVoD,GAAW,WAEpB,GADAhE,KAAKC,WAAWsC,KAAK,cAAe,CAAES,OAAO,IACzChD,KAAKI,KACRnB,YAAW,WACV,EAAKgB,WAAWgE,cACd,SAHJ,CAMAjE,KAAKI,MAAO,EACZ,IAAM8D,EAAiC,mBAAdF,EAA4BA,IAAcA,EAC7DvB,EAAQyB,EAASzB,MACvBpB,IAAMC,KAAKjC,YAAY,iBAAkBW,KAAKC,WAAWS,QAAQC,YAAa,CAC7Ea,WAAYxB,KAAKC,WAAWnB,SAAS2C,GACrCC,UAAW1B,KAAKC,WAAW0B,QAAQF,GACnCG,aAAc5B,KAAKC,WAAW0B,QAAQE,MACtCY,MAAOA,EAAM0B,KAAI,SAAAC,GAAC,OAAIA,EAAEC,OAASD,EAAEC,SAAWD,MAAM,GACpDtC,QAASoC,EAASpC,QAClBD,MAAO7B,KAAKC,WAAWS,QAAQC,WAC/BsB,SAAUjC,KAAKC,WAAWS,QAAQuB,WAChCC,MAAK,SAACC,GACR,EAAKmC,oBACL,EAAKlE,MAAO,EACZ,EAAKS,gBACHuC,OAAM,SAACC,GACThB,QAAQoB,MAAM,qDACd,EAAKrD,MAAO,EACPiD,EAAElB,UAAuB,iBAAXkB,EAAEC,MAGY,MAAtBD,EAAElB,SAASoB,QAAkBF,EAAElB,SAASC,KAAKtD,SAAS0E,iBAAmB,EAAKvD,WAAWnB,SAAS0E,iBAE5G,EAAKvD,WAAWsC,KAAK,QAASmB,EAAWa,aAAc,IACvDC,GAAGC,aAAaC,cAAc,kCAG/B,EAAK7D,aACL,EAAK8D,gBATJ,EAAK1E,WAAWsC,KAAK,QAASmB,EAAWI,kBAAmB,U,mCAc9Dc,cAAc5E,KAAKM,SACnBN,KAAKM,QAAU,I,0CAIM,IAAjBN,KAAKM,UAGTN,KAAKE,cAnMgB,IAoMrB0E,cAAc5E,KAAKM,SACnBN,KAAKM,QAAUC,YAAYP,KAAKQ,YAAYC,KAAKT,MAAOA,KAAKE,kB,6CAKxC,IAAjBF,KAAKM,UAGTN,KAAKE,cAAgBR,KAAKmF,IAAyB,EAArB7E,KAAKE,cAvMV,KAwMzB0E,cAAc5E,KAAKM,SACnBN,KAAKM,QAAUC,YAAYP,KAAKQ,YAAYC,KAAKT,MAAOA,KAAKE,kB,4CAIxC,IAAjBF,KAAKM,UAGTN,KAAKE,cA1M8B,IA2MnC0E,cAAc5E,KAAKM,SACnBN,KAAKM,QAAUC,YAAYP,KAAKQ,YAAYC,KAAKT,MAAOA,KAAKE,kB,qCAI7D,IAAM4E,EAAW9E,KAAKG,UAAYT,KAAKmF,IAAqB,EAAjB7E,KAAKG,UA7M3B,KADA,IA+MjB2E,EA3MqB,KA2MY9E,KAAKG,UA3MjB,MA4MxBqE,GAAGC,aAAaC,cAAc,iCAC9B1E,KAAKC,WAAWsC,KAAK,QAASmB,EAAWa,aAAc,KAExDvE,KAAKG,UAAY2E,I,0CAIjB9E,KAAKG,UAvNgB,S;;;;;;;;;;;;;;;;;;;;;;ACjBvB,IAAM4E,EAAiB,CACtBpE,WAAY,KACZqE,eAAe,EACfC,UAAW,SAACnG,GAAD,OAAcA,IAGpB4E,EAAa,CAKlBC,gBAAiB,EAIjBY,aAAc,EAEdW,WAAY,EAEZpB,kBAAmB,EAEnBD,iBAAkB,GAGbsB,E,WAEL,WAAYzE,GA8BX,O,4FA9BoB,SACpBV,KAAKoF,cAAgB,CAEpBC,OAAQ,GACRC,OAAQ,GAERC,QAAS,GAETC,KAAM,GAENC,YAAa,GAEbhC,MAAO,GAEPiC,OAAQ,GAERC,KAAM,IAGP3F,KAAK4F,QAAU,IAAI9F,EAAeE,MAElCA,KAAKU,QAAUmF,OAAOC,OAAO,GAAIf,EAAgBrE,GAEjDV,KAAKlB,SAAW,KAChBkB,KAAK2B,QAAU,KACf3B,KAAKwC,SAAW,GAEhBxC,KAAKyC,MAAQ,GACbzC,KAAK+F,cAAgB,GAEd/F,K,iMAGKgG,E,EAAAA,OAAQ/D,E,EAAAA,SAAUgE,E,EAAAA,eAC1BC,EAAiB,UACS,IAAnBD,E,0CAEcjG,KAAKmG,cAAc,CAAEH,SAAQ/D,a,OAA9CE,E,OACN+D,EAAiB/D,EAASC,K,wDAErB,KAAMD,UAA2B,iBAAf,KAAMmB,KAG5BtD,KAAKuC,KAAK,QAASmB,EAAWwB,WAAY,KAAM/C,SAASoB,QAFzDvD,KAAKuC,KAAK,QAASmB,EAAWI,kBAAmB,I,qCAOnDoC,EAAiBD,E,eAGlBjG,KAAKlB,SAAWoH,EAAepH,SAC/BkB,KAAKlB,SAASsH,SAAWF,EAAeE,SACxCpG,KAAK2B,QAAUuE,EAAevE,QAE9B3B,KAAKuC,KAAK,SAAU,CACnBzD,SAAUkB,KAAKlB,SACf6C,QAAS3B,KAAK2B,U,kBAER3B,KAAKqG,iBAAiBnE,MAAK,YAAc,IAAXE,EAAW,EAAXA,KACpC,EAAKG,KAAK,SAAU,CACnBzD,SAAU,EAAKA,SACf6C,QAAS,EAAKA,QACd2E,eAAgB,GAAKlE,Q,yTAMvBpC,KAAK4F,QAAQW,Y,uCAGsB,IAApBP,EAAoB,EAApBA,OAAQ/D,EAAY,EAAZA,SACvB,OAAOZ,IAAMmF,IAAInH,YAAY,mBAAoBW,KAAKU,QAAQC,YAAa,CAC1E8F,OAAQ,CACPT,SACA/D,WACAJ,MAAO7B,KAAKU,QAAQC,WACpB+F,UAAW1G,KAAKU,QAAQgG,UACxB1B,cAAehF,KAAKU,QAAQsE,mB,uCAM9B,OAAO3D,IAAMmF,IACZnH,YAAY,kBAAmBW,KAAKU,QAAQC,YAAa,CACxDgG,kBAAmB,CAAC,SAACvE,GAAD,OAAUA,IAC9BqE,OAAQ,CACPjF,WAAYxB,KAAKlB,SAAS2C,GAC1BC,UAAW1B,KAAK2B,QAAQF,GACxBG,aAAc5B,KAAK2B,QAAQE,MAC3BA,MAAO7B,KAAKU,QAAQC,gB,oCAMV+F,GAAW,WACxB,GAAK1G,KAAKT,WAGV,OAAO8B,IAAMC,KACZjC,YAAY,YAAaW,KAAKU,QAAQC,YAAa,CAClDa,WAAYxB,KAAKlB,SAAS2C,GAC1BC,UAAW1B,KAAK2B,QAAQF,GACxBG,aAAc5B,KAAK2B,QAAQE,MAC3BA,MAAO7B,KAAKU,QAAQC,WACpB+F,cAEAxE,MAAK,YAAc,IAAXE,EAAW,EAAXA,KAET,OADA,EAAKT,QAAUS,EACRA,KACLgB,OAAM,SAACK,GAET,OADApB,QAAQoB,MAAM,+BAAgCA,GACvCmD,QAAQC,OAAOpD,Q,gCAIdO,GACT,IAAME,EAAWF,GAAahD,YAAchB,KAAKiB,OACjD,GAAKiD,EAGL,OAAOlE,KAAK4F,QAAQ3B,UAAUC,K,iCAGpBpC,GACV,MAAO,CACNW,MAAOzC,KAAKyC,MAAMqE,MAAMhF,GACxBiF,UAAW/G,KAAK+F,cAAce,MAAMhF,M,uCAMrC,IAFkC,WAAnBW,EAAmB,EAAnBA,MAAO3D,EAAY,EAAZA,SAChBkI,EAAW,GADiB,WAEzBC,GACR,IAAMC,EAAczE,EAAMwE,GAAG7E,KAC7B,IAAK+E,MAAMC,QAAQF,GAGlB,OAFA7E,QAAQoB,MAAM,mCAAoChB,EAAMwE,IAExD,WAEDC,EAAYG,SAAQ,SAAAC,GACnB,EAAK7E,MAAM8E,KAAKD,GAChBN,EAASO,KAAK,CACbD,OACAE,SAAU/E,EAAMwE,GAAGvF,gBAXbuF,EAAI,EAAGA,EAAIxE,EAAM5C,OAAQoH,IAAK,EAA9BA,GAeTjH,KAAKuC,KAAK,OAAQ,CAAEE,MAAOuE,EAAUlI,aACrCuD,QAAQC,MAAM,gBAAiB,aAActC,KAAKkB,iB,oCAIlD,OAAIlB,KAAKiB,MACDwG,YAAWzH,KAAKiB,OAEjB,I,qCAIP,GAAIjB,KAAKiB,MACR,OAAOjB,KAAKiB,MAAMyG,M,oCAKnB,OAAO1H,KAAKU,QAAQuE,UAAUjF,KAAK2H,kB,6BAI/B3H,KAAK4F,QAAQD,MAChB3F,KAAK4F,QAAQD,S,kCAKV3F,KAAK4F,QAAQgC,WAChB5H,KAAK4F,QAAQgC,c,8BAIP,WACHC,GAAS,EACb,OAAO,IAAIjB,SAAQ,SAACkB,EAASjB,GAC5B,EAAKkB,GAAG,QAAQ,WACf,EAAKC,SAAS9F,MAAK,WAClB2F,GAAS,EACTC,OACE1E,OAAM,kBAAM0E,UAEhB7I,YAAW,WACL4I,GACJ,EAAKG,SAAS9F,MAAK,WAClB4F,OACE1E,OAAM,kBAAM0E,SAEd,KACH,EAAKnC,Y,+BAKN,OAAsB,OAAlB3F,KAAKlB,UAAsC,OAAjBkB,KAAK2B,QAC3BiF,QAAQkB,WAEhB9H,KAAK4F,QAAQqC,aACN5G,IAAMmF,IACZnH,YAAY,kBAAmBW,KAAKU,QAAQC,YAAa,CACxD8F,OAAQ,CACPjF,WAAYxB,KAAKlB,SAAS2C,GAC1BC,UAAW1B,KAAK2B,QAAQF,GACxBG,aAAc5B,KAAK2B,QAAQE,MAC3BA,MAAO7B,KAAKU,QAAQC,iB,yBAMrBuH,EAAOrJ,EAAUsJ,GAEnB,OADAnI,KAAKoF,cAAc8C,GAAOX,KAAK1I,EAAS4B,KAAK0H,IACtCnI,O,2BAGHkI,EAAO9F,EAAMgG,QACwB,IAA9BpI,KAAKoF,cAAc8C,GAC7BlI,KAAKoF,cAAc8C,GAAOb,SAAQ,SAASxI,GAC1CA,EAASuD,EAAMgG,MAGhB/F,QAAQoB,MAAM,kBAAmByE,K,iCAKlC,QAASlI,KAAKU,QAAQC,gB,gCC5QlB0H,EAAqB,CAC1BC,GAAI,SACJC,IAAK,SACLC,KAAM,SACNC,IAAK,OACLC,MAAO,OACPC,IAAK,SACLC,IAAK,OACLC,GAAI,OACJC,IAAK,MACLC,KAAM,MACNC,IAAK,MACLC,MAAO,MACPC,GAAI,OACJC,QAAS,OACTC,QAAS,OACTC,QAAS,OACTC,KAAM,OACNC,KAAM,QACNC,GAAI,UACJC,IAAK,UACLC,KAAM,MACNC,KAAM,MACNC,KAAM,MACNC,KAAM,MACNC,GAAI,OACJC,IAAK,OACLC,GAAI,YACJC,GAAI,eACJC,WAAY,SACZC,SAAU,cACVC,EAAG,YACHC,GAAI,YACJC,IAAK,UACL,WAAY,QACZC,OAAQ,eACRC,KAAM,cACNC,KAAM,cACNC,EAAG,MACHC,EAAG,MACH,MAAO,MACP,MAAO,MACPC,GAAI,MACJC,MAAO,SACPC,IAAK,MACLC,IAAK,MACLC,GAAI,SACJC,IAAK,aACL,WAAY,aACZ,kBAAmB,aACnBC,gBAAiB,OACjBC,gBAAiB,OACjB,iBAAkB,OAClB,mBAAoB,OACpB,kBAAmB,OACnB,mBAAoB,OACpB,oBAAqB,OACrBC,GAAI,WACJC,IAAK,WACLC,GAAI,WACJC,OAAQ,WACRC,IAAK,WACLC,UAAW,QACXC,EAAG,aACHC,GAAI,aACJC,GAAI,QACJC,GAAI,OACJC,IAAK,SACLC,GAAI,QACJC,IAAK,Y;;;;;;;;;;;;;;;;;;;;;OCnEeC,E,yWAOnB,OADgB,EAAVC,OACClM,KAAKU,U,2BAJZ,MAAO,Y,8BAH2ByL,KCFrB,GACd/J,KADc,WAEb,MAAO,CACNgK,SAAUpM,KAAKqM,cAGjBC,YANc,WAObC,OAAOrN,iBAAiB,SAAUc,KAAKwM,YAExCC,cATc,WAUbF,OAAOG,oBAAoB,SAAU1M,KAAKwM,YAE3CG,QAAS,CACRH,UADQ,WAGPxM,KAAKoM,SAAWpM,KAAKqM,aAEtBA,UALQ,WAOP,OAAOvN,SAAS8N,gBAAgBC,YAAc,O;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;4HC6CjD,ICtF6L,EDwF7L,CACA,qBACA,YACA,kBACA,0FACA,6FACA,0GACA,kHACA,kGACA,+FAEA,YACA,aAEA,QACA,GAEA,OACA,gBACA,YACA,cAEA,cACA,YACA,cAEA,QACA,YACA,cAEA,QACA,aACA,YAEA,WACA,aACA,YAEA,YACA,YACA,cAEA,MACA,YACA,cAEA,UACA,aACA,YAEA,iBACA,aACA,aAGA,KAvDA,WAwDA,OACA,YAEA,iBAEA,cACA,YACA,oBAEA,oBAEA,SACA,kBACA,mBACA,eACA,sBACA,YACA,iBAEA,yBAGA,UACA,gBADA,WAEA,sCAIA,OAHA,gBACA,yBAEA,GAEA,qBARA,WASA,6DAEA,oBAXA,WAYA,wDAEA,uBAdA,WAeA,0EAUA,OATA,yBACA,mGAEA,0BACA,uCAEA,yBACA,sCAEA,gCAEA,kBA3BA,WA4BA,gEAEA,mBA9BA,WA+BA,mBAEA,kBAjCA,WAkCA,mFAEA,WApCA,WAoCA,WACA,mBACA,uCAGA,sBAzCA,WA0CA,0DAEA,SA5CA,WA6CA,mHAEA,aA/CA,WAgDA,mCAEA,cAlDA,WAmDA,qFAGA,OACA,gBADA,WAEA,yDAGA,QAzIA,WA0IA,yCACA,mBAEA,wCAEA,QA/IA,WA+IA,WACA,+CACA,4BACA,KACA,4DAEA,cArJA,WAsJA,cAEA,SACA,MADA,WACA,I,EAAA,c,EAAA,yHACA,2DACA,oCACA,iCAHA,0CAKA,sBALA,OAMA,sBACA,mBAPA,oFAYA,GAZA,yD,kLAcA,sBAfA,WAgBA,gBACA,6EAGA,YApBA,WAoBA,WACA,+BAIA,8EACA,wBACA,2BACA,2BACA,YACA,iCACA,sBACA,mBACA,8DAEA,OADA,kDACA,EAEA,4BAEA,OADA,8CACA,KAIA,sDACA,mBACA,aACA,sBACA,2DAEA,uDACA,iFAIA,4BACA,aAEA,iBACA,6CAPA,+BAUA,gDACA,wBACA,qFACA,sBACA,6DACA,iCACA,sBACA,2BAEA,mCACA,uBAEA,YACA,SAGA,kCACA,6BAEA,SA1OA,IA2OA,kCACA,eACA,6BAIA,OACA,oBAEA,OADA,sBACA,MAIA,iCACA,cAEA,gCACA,oBAEA,+BACA,mBAEA,yCAGA,kDACA,wBACA,IACA,kDACA,yBACA,UAEA,mCACA,0BACA,SACA,kEAGA,gBAEA,0BACA,mCACA,2EACA,oBACA,aACA,OACA,SAGA,gDACA,wBAEA,kEACA,aACA,qCAGA,yBACA,oBACA,iBACA,qBAGA,8BACA,sCACA,oBACA,aACA,wBAEA,iBACA,6BAEA,kDACA,oBAGA,2BACA,uBACA,mBACA,6BACA,mBACA,2BAGA,uBACA,qCACA,mBACA,2BAGA,2BArJA,sDAwJA,sBA9KA,WA+KA,6BACA,mDAGA,wBAnLA,WAoLA,sBACA,kBAGA,UAxLA,WAwLA,WACA,iBACA,0CACA,mBACA,mBACA,mBACA,wBAIA,sBACA,sBACA,qBAIA,eAxMA,SAwMA,GACA,0EACA,wDACA,qDAEA,qCACA,4DAEA,eACA,6CAEA,4BACA,uBACA,8BACA,yBAEA,oDACA,oEAGA,yCAEA,+BACA,yDAIA,cAnOA,SAmOA,GACA,uBACA,0DAEA,OADA,qBACA,K,wBE9cIC,EAAY,YACd,GRVW,WAAa,IAAIC,EAAI/M,KAASgN,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAOE,EAAG,MAAM,CAACE,MAAM,CAAC,GAAK,qBAAqB,CAAEL,EAAIM,gBAAkBN,EAAIO,OAAQJ,EAAG,MAAM,CAAEH,EAAqB,kBAAEG,EAAG,IAAI,CAACK,YAAY,kBAAkB,CAACR,EAAIS,GAAG,WAAWT,EAAIU,GAAGV,EAAIW,EAAE,OAAQ,wFAAwF,YAAYX,EAAIY,KAAKZ,EAAIS,GAAG,KAAMT,EAAsB,mBAAEG,EAAG,IAAI,CAACK,YAAY,iBAAiB,CAACR,EAAIS,GAAG,WAAWT,EAAIU,GAAGV,EAAIW,EAAE,OAAQ,qEAAqE,KAAKR,EAAG,IAAI,CAACK,YAAY,iBAAiBxF,GAAG,CAAC,MAAQgF,EAAIa,YAAY,CAACb,EAAIS,GAAGT,EAAIU,GAAGV,EAAIW,EAAE,OAAQ,eAAeX,EAAIY,OAAOZ,EAAIY,KAAKZ,EAAIS,GAAG,KAAMT,EAAIM,gBAAkBN,EAAIO,OAAQJ,EAAG,MAAM,CAACW,MAAM,CAAC,gBAAiBd,EAAIe,kBAAmB,gBAAiBf,EAAI9J,gBAAkB8J,EAAIgB,mBAAoB,WAAchB,EAAIiB,cAAcZ,MAAM,CAAC,GAAK,mBAAmB,CAACF,EAAG,MAAM,CAACE,MAAM,CAAC,GAAK,WAAW,CAAGL,EAAIkB,WAAclB,EAAI3G,SAA2vB2G,EAAIY,KAArvBT,EAAG,UAAU,CAACgB,IAAI,UAAUd,MAAM,CAAC,OAASL,EAAIoB,OAAO,YAAYpB,EAAIqB,aAAa,iBAAiBrB,EAAIiB,aAAa,YAAYjB,EAAIxN,SAAS,SAAWwN,EAAIsB,WAAW,CAAEtB,EAAIM,gBAAkBN,EAAIO,OAAQJ,EAAG,MAAM,CAACE,MAAM,CAAC,GAAK,wBAAwB,CAACF,EAAG,MAAM,CAACoB,WAAW,CAAC,CAACC,KAAK,UAAUC,QAAQ,YAAYC,MAAO1B,EAA0B,uBAAE2B,WAAW,2BAA2BnB,YAAY,cAAcM,MAAMd,EAAI4B,sBAAsB,CAAC5B,EAAIS,GAAG,iBAAiBT,EAAIU,GAAGV,EAAI6B,iBAAiB,kBAAkB7B,EAAIS,GAAG,KAAKN,EAAG,cAAc,CAACE,MAAM,CAAC,SAAWL,EAAI8B,mBAAmB,CAAE9B,EAAIxN,UAAYwN,EAAIM,eAAe3G,UAAWwG,EAAG,kBAAkB,CAACE,MAAM,CAAC,eAAeL,EAAI+B,eAAe/B,EAAIY,MAAM,IAAI,GAAGZ,EAAIY,KAAKZ,EAAIS,GAAG,KAAKT,EAAIgC,GAAG,WAAW,GAAYhC,EAAIS,GAAG,KAAKN,EAAG,MAAM,EAAGH,EAAI3G,UAAY2G,EAAIiB,aAAcd,EAAG,aAAa,CAACE,MAAM,CAAC,OAASL,EAAIoB,OAAO,SAAWpB,EAAIqB,gBAAgBrB,EAAIY,KAAKZ,EAAIS,GAAG,KAAKN,EAAG,gBAAgB,CAACoB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO1B,EAAkB,eAAE2B,WAAW,mBAAmBnB,YAAY,kBAAkBH,MAAM,CAAC,OAASL,EAAIoB,WAAW,IAAI,GAAGpB,EAAIS,GAAG,KAAMT,EAAqB,kBAAEG,EAAG,iBAAiB,CAACE,MAAM,CAAC,QAAUL,EAAIkB,UAAU7L,KAAKwB,cAAc,iBAAiBmJ,EAAIiB,gBAAgBjB,EAAIY,MAAM,GAAGZ,EAAIY,KAAKZ,EAAIS,GAAG,KAAMT,EAAIe,oBAAsBf,EAAI3G,SAAU8G,EAAG,yBAAyB,CAACnF,GAAG,CAAC,sBAAwBgF,EAAIiC,sBAAsB,wBAA0BjC,EAAIkC,2BAA2BlC,EAAIY,MAAM,KAC95E,IQYpB,EACA,KACA,WACA,MAIa,UAAAb,E,iDCpBf,I,6UC0CA,4BACA,YACA,UAGA,gBACA,wCACA,aACA,QACA,GACA,cACA,WAGA,WACA,IACA,oBACA,SACA,OAIA,iGACA,QACA,yCAEA,2CAKA,eACA,+BACA,4DACA,0EAGA,uBAGAP,OAAOrN,iBAAiB,WAAW,SAAnC,GACA,wBACA,kCAGA,ICvF6L,EDuF7L,CACA,qBACA,qCACA,KAHA,WAIA,OACA,kDACA,oBACA,MACA,YAGA,UACA,eADA,WAEA,gDAGA,YAhBA,WAiBA,cAEA,QAnBA,WAoBA,qJAEA,SACA,MADA,WACA,0IACA,YACA,mJACA,uBADA,OAEA,WAFA,2CAGA,GALA,8CAOA,MARA,WASA,YAEA,OAXA,WAYA,e,iBEjHI4N,EAAY,YACd,GHTW,WAAa,IAAIC,EAAI/M,KAASgN,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAOE,EAAG,MAAM,CAACW,MAAM,CAAC,eAAgBd,EAAImC,QAAQ9B,MAAM,CAAC,GAAK,kBAAkB,CAACF,EAAG,gBAAgB,CAACgB,IAAI,SAASd,MAAM,CAAC,kBAAkBL,EAAI9G,eAAe,QAAS,EAAK,KAAO8G,EAAIoC,QAAQC,SAAS,qBAAoB,GAAMrH,GAAG,CAAC,MAAQgF,EAAIzH,QAAQ+J,YAAYtC,EAAIuC,GAAG,CAAC,CAACC,IAAI,SAASC,GAAG,WAAW,MAAO,CAACtC,EAAG,SAAS,CAACK,YAAY,aAAaxF,GAAG,CAAC,MAAQgF,EAAI0C,SAAS1C,EAAIS,GAAG,KAAKN,EAAG,SAAS,CAACK,YAAY,aAAaxF,GAAG,CAAC,MAAQgF,EAAI2C,WAAWC,OAAM,QAAW,KACphB,IGWpB,EACA,KACA,WACA,MAIa,UAAA7C,E,6BChBf,IAAI8C,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K;;;;;;;;;;;;;;;;;;;;;04BCgB5C,IAkBMM,EAAU,SAASC,GACxB,IAAMC,EAAMD,EAAKE,YAAY,KAC7B,OAAQD,EAAM,EACXD,EAAKrJ,MAAM,EAAGsJ,GACdD,EAAKrJ,MAAM,EAAGsJ,EAAM,IAGlBE,EAAU,SAASC,GACxB,IAAMrC,EAAMqC,EAAKnD,MAAMoD,KACvB,IAAKtC,EACJ,OAAOA,EAER,GAAIA,EAAIuC,MAAM,eACb,OAAOvC,EAER,IAAMuC,EAAQvC,EAAIuC,MAAM,0BACxB,GAAIA,EAAO,SACcA,EADd,GACDC,EADC,KACQjP,EADR,KAGJkP,EArCa,SAASC,EAAMC,GACnC,IAAKA,EACJ,OAAOD,EAER,GAAe,MAAXC,EAAI,GACP,OAAOA,EAIR,IAFAD,EAAOA,EAAKE,MAAM,KAClBD,EAAMA,EAAIC,MAAM,KACE,OAAXD,EAAI,IAA0B,MAAXA,EAAI,IACd,OAAXA,EAAI,IACPD,EAAKG,MAENF,EAAIG,QAEL,OAAOJ,EAAKK,OAAOJ,GAAKK,KAAK,KAsBhBC,CADOjB,EAAQkB,IAAIC,OAAOpQ,MAAMkP,MACPD,EAAQQ,IAC7C,OAAOtR,sBAAY,oBAAD,OAAqBuR,EAArB,qBAAqClP,EAArC,oBAAmDiP,MAIjEY,EAAY,SAASC,GAC1B,IAAMrD,EAAMqD,EAAIC,aAAa,QAC7B,IAAKtD,EACJ,OAAOA,EAER,IAAMuC,EAAQvC,EAAIuC,MAAM,kDACxB,GAAIA,EAAO,SACaA,EADb,GACChP,EADD,KACKgQ,EADL,KAEV,gBAAUA,EAAV,mBAAyBhQ,GAE1B,OAAOyM,G;;;;;;;;;;;;;;;;;;;;;OC5CFwD,E,2HAGJ,MAAO,a,GAHYC,KAQfC,E,2HAGJ,MAAO,S,GAHYC,KAQfC,E,6HAGJ,MAAO,CACNC,SAAU,CACT,CACCC,IAAK,KAEN,CACCA,IAAK,OAEN,CACCA,IAAK,UAEN,CACCC,MAAO,kBACPC,SAAU,SAAAzD,GAAK,MAAc,iBAAVA,KAGrB0D,MAAO,iBAAM,CAAC,IAAK,IACnBC,WAAY,CACXC,KAAM,KACN3C,MAAO,KACP4C,SAAS,EACTC,0BAA0B,Q,GAxBTC,KA+BfC,E,6HAGJ,MAAO,CACNrF,MAAO,CACNoD,KAAM,CACLP,QAAS,OAGXyC,WAAW,EACXX,SAAU,CACT,CACCC,IAAK,UACLE,SAAU,SAAAX,GAAG,MAAK,CACjBf,KAAMc,EAAUC,OAInBY,MAAO,SAAA5B,GAAI,MAAI,CAAC,IAAD,OACXA,EAAKnD,OADM,IAEdoD,KAAMF,EAAQC,GACdoC,MAAOpC,EAAKnD,MAAMoD,KAClBK,IAAK,iCACH,O,8BAKJ,OAAK7Q,KAAKU,QAAQkS,YAIX,CACN,IAAIC,IAAO,CACVC,MAAO,CACNC,YAAa,SAACC,EAAMC,EAAK/K,GAAU,IAC1BgE,EAAW8G,EAAK/R,MAAhBiL,OAGR,GAFcgH,YAAaF,EAAK/R,MAAOiL,EAAOiH,MAAMC,MAE1C5C,MAAQtI,EAAMmL,kBAAkBC,kBAAmB,CAC5DpL,EAAMqL,kBACN,IAAMC,EAAWtL,EAAMmL,OAAO7C,KAC9B,GAAqB,IAAjBtI,EAAMuL,SAAiBvL,EAAMwL,SAAWF,EAASG,WAAWpH,OAAOqH,SAASC,QAAS,CACxF,IAAMC,EAAQtP,GAAGuP,iBAAiBP,GAC5BQ,EAAWxP,GAAGuP,iBAAiBP,EAAS1C,MAAM,KAAKC,OACzD,GAAI+C,EAAMnD,KAAOqD,EAAStD,QAAS,CAClC,IAAMuD,EAAWD,EAAStD,QAAQI,MAAM,KAAKC,MACvCU,EAAO,GAAH,OAAMqC,EAAMnD,IAAZ,YAAmBsD,GAC7BnV,SAAS6T,MAAT,UAAoBsB,EAApB,cAAkCzP,GAAG0P,MAAMvB,OACvCpG,OAAOqH,SAASO,SAAS1D,MAAM,kBAKnCW,IAAIC,OAAOgB,KAAK,CAAEZ,cAElBlF,OAAO8F,KAAKmB,QAGbjH,OAAO8F,KAAKmB,SA9BV,O,GA7BSY,K,kBCfnB,GACA,YACA,aACA,YACA,kBACA,YACA,iBAGA,gBACA,sBACA,eAGA,sBACA,cAGA,4BACA,sBACA,gCACA,mCCrFyL,ED0FzL,CACA,iBACA,oCACA,KAHA,WAIA,OACA,eACA,UACA,YAGA,UACA,SADA,WAEA,sBACA,gBAEA,eACA,qGAEA,kDACA,uCACA,8FAEA,OAZA,WAaA,6BAEA,cAfA,WAgBA,yDAEA,SAlBA,WAmBA,6BACA,SACA,CACA,6DAGA,IAEA,iBA3BA,WA4BA,6BACA,sCAEA,oBA/BA,WAgCA,2BACA,SACA,+BAEA,UAEA,KACA,IADA,WAEA,4BAEA,IAJA,SAIA,GACA,kBACA,UAIA,KACA,IADA,WAEA,mDAEA,IAJA,SAIA,GACA,kBACA,UAIA,EA1DA,WA2DA,6CAGA,YAxEA,WAwEA,WACA,0BAKA,OAHA,eACA,yBACA,gBAGA,gBACA,oBACA,oBACA,kBAEA,qBACA,YACA,iBACA,cAGA,SACA,UADA,WAEA,oCAEA,SAJA,WAKA,kB,iBEvKe,EAXC,YACd,GCTW,WAAa,IAAIrH,EAAI/M,KAASgN,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAOE,EAAG,MAAM,CAACK,YAAY,QAAQM,MAAM,CAAC,gBAAiBd,EAAIzH,QAAQ8H,MAAM,CAAC,WAAWL,EAAIsH,MAAM,CAAEtH,EAAIuH,aAAevH,EAAIwH,iBAAkBrH,EAAG,MAAM,CAACK,YAAY,eAAe,CAACL,EAAG,aAAa,CAACE,MAAM,CAAC,KAAO,SAAS,CAACF,EAAG,MAAM,CAACoB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO1B,EAAU,OAAE2B,WAAW,WAAWnB,YAAY,cAAcH,MAAM,CAAC,IAAML,EAAIyH,UAAUzM,GAAG,CAAC,KAAOgF,EAAI0H,cAAc1H,EAAIS,GAAG,KAAKN,EAAG,aAAa,CAACE,MAAM,CAAC,KAAO,SAAS,CAACF,EAAG,MAAM,CAACoB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO1B,EAAU,OAAE2B,WAAW,WAAWnB,YAAY,kBAAkB,CAACL,EAAG,QAAQ,CAACgB,IAAI,WAAWd,MAAM,CAAC,KAAO,QAAQsH,SAAS,CAAC,MAAQ3H,EAAI4H,KAAK5M,GAAG,CAAC,MAAQ,SAAS6M,GAAQ,OAAIA,EAAOC,KAAKC,QAAQ,QAAQ/H,EAAIgI,GAAGH,EAAOI,QAAQ,QAAQ,GAAGJ,EAAOrF,IAAI,SAAkB,KAAcxC,EAAIkI,qBAAqB,GAAG/H,EAAG,MAAM,CAACK,YAAY,sBAAsB,CAACL,EAAG,aAAa,CAACE,MAAM,CAAC,KAAO,SAAS,CAACF,EAAG,MAAM,CAACoB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO1B,EAAU,OAAE2B,WAAW,WAAWnB,YAAY,eAAe,CAACL,EAAG,IAAI,CAACE,MAAM,CAAC,KAAOL,EAAImI,oBAAoB,OAAS,WAAW,CAAChI,EAAG,MAAM,CAACK,YAAY,aAAa0E,MAAOlF,EAAY,WAAIA,EAAIS,GAAG,KAAOT,EAAIwH,iBAAoDxH,EAAIY,KAAtCT,EAAG,IAAI,CAACH,EAAIS,GAAGT,EAAIU,GAAGV,EAAI4H,cAAuBzH,EAAG,aAAa,CAACE,MAAM,CAAC,KAAO,SAAS,CAACF,EAAG,MAAM,CAACoB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO1B,EAAU,OAAE2B,WAAW,WAAWnB,YAAY,kBAAkB,CAACL,EAAG,QAAQ,CAACgB,IAAI,WAAWd,MAAM,CAAC,KAAO,QAAQsH,SAAS,CAAC,MAAQ3H,EAAI4H,KAAK5M,GAAG,CAAC,MAAQ,SAAS6M,GAAQ,OAAIA,EAAOC,KAAKC,QAAQ,QAAQ/H,EAAIgI,GAAGH,EAAOI,QAAQ,QAAQ,GAAGJ,EAAOrF,IAAI,SAAkB,KAAcxC,EAAIkI,qBAAqB,OACvqD,IDWpB,EACA,KACA,WACA,M;;;;;;;;;;;;;;;;;;;;;OEUmBE,E,sWAGnB,OAAOC,I,6BAIP,mDAECC,YAAY,S,8BAToBC,K;;;;;;;;;;;;;;;;;;;;;OCAdC,G,wWAYb,WACN,MAAO,CACNC,IAAK,SAACvU,GAEL,OADAwU,YAAW,KAAXA,CAAiBxU,EAAO,EAAKyU,OAAO1C,KAAK2C,SAAU,EAAKD,OAAO1C,OACxD,M,2BAbT,MAAO,Q,6BAIP,MAAO,CACNpD,QAAS,c,8BARmCgG,K;;;;;;;;;;;;;;;;;;;;;GCE/C,IAAMC,GACG,EADHA,GAEK,EAGLC,GAAgB,SAAC5J,EAAQ6J,GAC9B,OAAOC,2BAAe,SAASzF,GAC9B,OAAOA,EAAKsE,OAAS3I,EAAO+J,MAAMC,YAD5BF,CAEJD,IAGiBI,G,gXA8DO,IAAhBtB,EAAgB,EAAhBA,KAAM3I,EAAU,EAAVA,OAChB,MAAO,CACNkK,iBAAkB,WACjB,OAAO,SAACnV,EAAO0U,EAAU3C,GACxB,OAAOqD,YAAWnK,EAAO+J,MAAMK,YAAazB,EAArCwB,CAA2CpV,EAAO0U,EAAU3C,KAGrEuD,UAAW,WACV,OAAO,SAACtV,EAAO0U,EAAU3C,GACxB,IAAM9G,EAASjL,EAAMiL,OACf6J,EAAY9U,EAAM8U,UAClBS,EAAQT,EAAUS,MAClBC,EAAMV,EAAUU,IAChBC,EAAQF,EAAMG,WAAWF,GAE3BG,EAAK3V,EAAM2V,GACXC,EAAaf,GAAc5J,EAAQ6J,GASvC,QAP0B,IAAfc,IACVR,YAAWnK,EAAO+J,MAAMK,YAAazB,EAArCwB,CAA2CpV,GAAO,SAAC6V,GAClDF,EAAKE,IACH9D,GACH6D,EAAaf,GAAc5J,EAAQ0K,EAAGb,aAGlCW,QAA+B,IAAfG,EACpB,OAAO,EAGRD,EAAGG,cAAcF,EAAW5D,IAAK/G,EAAO+J,MAAMC,UAAW,CAAErB,KAAMgC,EAAWtG,KAAKnD,MAAMyH,OAASgB,GAAiBA,GAAeA,KAChIe,EAAGI,iBAECrB,GACHA,EAASiB,Q,qCA5Fb,MAAO,CACNK,QAAQ,K,6BAKT,MAAO,CACN7J,MAAO,CACN8J,KAAM,CACLjH,SAAS,GAEV4E,KAAM,CACL5E,QAAS4F,KAGXsB,WAAW,EACXvH,QAAS,mBACTuC,MAAO,SAAA5B,GACN,GAAIA,EAAKnD,MAAMyH,OAASgB,GACvB,MAAO,CAAC,KAAM,GAEf,IAAMuB,EAAiB,CAAEvJ,MAAO,iBAC1BwJ,EAAqB,CAAExC,KAAM,WAAYhH,MAAO,GAAIyJ,iBAAiB,GAK3E,OAJI/G,EAAKnD,MAAM8J,OACdG,EAAmBE,SAAU,EAC7BH,EAAevJ,OAAS,YAElB,CACN,KACAuJ,EACA,CACC,QACAC,GAED,CACC,QACA,KAIHtF,SAAU,CACT,CACCyF,SAAU,IACVxF,IAAK,KACLE,SAAU,SAAAuF,GACT,IAAMC,EAAWD,EAAGE,cAAc,wBAClC,MAAO,CAAET,KAAMQ,GAAYA,EAASH,QAAS1C,KAAM6C,EAAW7B,GAAiBA,OAIlFzD,WAAY,SAACnR,EAAOsP,GACfA,EAAKnD,MAAMyH,OAASgB,IACvB5U,EAAM2W,MAAN,WAAgBrH,EAAKnD,MAAM8J,KAAO,IAAM,IAAxC,OAEDjW,EAAM4W,cAActH,O,8BA+CtB,MAAO,CACN,IAAIsC,IAAO,CACVC,MAAO,CACNC,YAAa,SAACC,EAAMC,EAAK/K,GACxB,IAAMjH,EAAQ+R,EAAK/R,MACbiL,EAASjL,EAAMiL,OAEf4L,EAAc9E,EAAK+E,YAAY,CAAEC,KAAM9P,EAAM+P,QAASC,IAAKhQ,EAAMiQ,UACjEC,EAAWnX,EAAMyG,IAAII,QAAQgQ,EAAY7E,KACzC4D,EAAawB,sCAA2BD,GAAU,SAAS7H,GAChE,OAAOA,EAAKsE,OAAS3I,EAAO+J,MAAMC,aAE7BoC,EAAuD,OAAvCpQ,EAAMmL,OAAOkF,QAAQC,cAC3C,QAA0B,IAAf3B,GAA8BA,EAAWtG,KAAKnD,MAAMyH,OAASgB,IAAmByC,EAA3F,CAIA,IAAM1B,EAAK3V,EAAM2V,GACjBA,EAAGG,cAAcF,EAAW5D,IAAK/G,EAAO+J,MAAMC,UAAW,CAAEgB,MAAOL,EAAWtG,KAAKnD,MAAM8J,KAAMrC,KAAMgB,KACpG7C,EAAK2C,SAASiB,c,gCA3HkB6B,K;;;;;;;;;;;;;;;;;;;;;;ACOtC,IAAMC,GAAmB,e,EAAA,G,EAAA,yBAAG,WAAMC,GAAN,4FACrBC,EAAY,CAACD,GACbE,EAAU,GACP5R,EAAI,EAHc,YAGXA,EAAI2R,EAAU/Y,QAHH,0CAKN,OAAoD,KAAgC+Y,EAAU3R,IALxF,OAKnB6R,EALmB,OAMzBD,EAAQD,EAAU3R,IAAM6R,EAAK7I,QANJ,gFASlB8I,GATkB,QAGW9R,IAHX,0BAYS,IAAhCpB,OAAOmT,KAAKH,GAAShZ,QAAgBgZ,EAAQI,cAAgBpT,OAZtC,+CAanBkT,GAbmB,iCAepB,CAAEH,UAAWC,IAfO,yD,iLAAH,sDAkBnBK,GAAe,SAAC,GAA4E,IAA1EtJ,EAA0E,EAA1EA,QAASuJ,EAAiE,EAAjEA,OAAQC,EAAyD,EAAzDA,SAAUC,EAA+C,EAA/CA,WAAYC,EAAmC,EAAnCA,kBAAmBV,EAAgB,EAAhBA,UAC7EW,EAAwB,GAmC5B,OAjCCA,EADGD,EACqB,CACvB,IAAIE,IACJ,IAAIC,IACJ,IAAI/H,EACJ,IAAIE,EACJ,IAAIE,EACJ,IAAI4H,IACJ,IAAIC,IACJ,IAAIC,IACJ,IAAIC,IACJ,IAAIC,IACJ,IAAIC,IACJ,IAAI5D,GACJ,IAAI1D,EAAK,CACRG,aAAa,IAEd,IAAIuC,EACJ,IAAI6E,IAAY,CACfC,eAAgB,WAChBC,cAAexM,qBAAE,OAAQ,+BACzByM,sBAAsB,KAIA,CACvB,IAAI5E,GACJ,IAAI6E,IACJ,IAAIC,IAAJ,MACIzB,KAINS,EAAaA,GAAc,GACpB,IAAIiB,IAAO,CACjB1K,UACAuJ,SACAC,WACAC,WAAY,aACRE,GADQ,CAEX,IAAIgB,MACHtJ,OAAOoI,GACTmB,qBAAsBlB,KAIlBmB,GAAaC,KAAW,aAAc,CAAEC,MAAM,EAAOC,QAAQ,IACjEC,OAAO,iBACPC,IAAIC,KAAW,CAAEF,QAAQ,EAAMG,YAAY,IAEvCC,GAAqB,SAASC,GACnClb,KAAKkb,QAAUA,GAEVC,GAA2B,SAACC,EAAQC,GACzC,IAAMpF,EAAQpQ,OACZyV,QAAQF,GACR1Y,QAAO,8BAAmB0P,cAC1BmJ,QAAO,SAACC,EAAD,iBAASjN,EAAT,KAAiB6D,EAAjB,KAAiBA,WAAjB,UAAC,MACLoJ,GADI,SAENjN,EAAO6D,MACL,IAECe,EAAQtN,OACZyV,QAAQD,GACR3Y,QAAO,8BAAmB0P,cAC1BmJ,QAAO,SAACC,EAAD,iBAASjN,EAAT,KAAiB6D,EAAjB,KAAiBA,WAAjB,UAAC,MACLoJ,GADI,SAENjN,EAAO6D,MACL,IACL,MAAO,CACNqJ,WAAY,IAAIC,KAAJ,SACNC,KAA0B1F,OAAUA,GAD9B,SAEN0F,KAA0BxI,OAAUA,IAE1ClO,UALM,SAKI2K,EAASlP,GAClB,OAAOV,KAAKyb,WAAWxW,UAAU2K,EAA1B,SAAwClP,GAAxC,IAAiDkb,YAAY,KAClE9K,MAAM,OAAOI,KAAK,KAClBJ,MAAM,OAAOI,KAAK,QAKjB2K,GAAqB,SAAC1N,GAC3B,IAAMzG,EAAMyG,EAAO2N,UAEnB,GAA2B,IAAvBpU,EAAIkI,QAAQ/P,aAAkD,IAA3B6H,EAAIkI,QAAQ,GAAGA,SAA6D,IAAlClI,EAAIkI,QAAQ,GAAGA,QAAQ/P,OAAc,CACrH,GAA4B,eAAxB6H,EAAIkI,QAAQ,GAAGiF,WAA2D,IAA3BnN,EAAIkI,QAAQ,GAAGA,QACjE,MAAO,GAER,MAAM,IAAIqL,GAAmB,8CAE9B,IAAMc,EAAYrU,EAAIkI,QAAQ,GAAGA,QAAQ,GACzC,GAAuB,SAAnBmM,EAAUlH,KACb,MAAM,IAAIoG,GAAmB,8CAE9B,OAAOc,EAAUC,O,oBChKlB,IAAI7X,EAAM,CACT,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,gBAAiB,IACjB,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,UAAW,IACX,aAAc,IACd,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,UAAW,IACX,aAAc,IACd,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,YAAa,IACb,eAAgB,IAChB,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,QAAS,IACT,WAAY,IACZ,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,UAAW,IACX,aAAc,IACd,QAAS,IACT,WAAY,IACZ,OAAQ,IACR,UAAW,IACX,QAAS,IACT,WAAY,IACZ,QAAS,IACT,aAAc,IACd,gBAAiB,IACjB,WAAY,IACZ,UAAW,IACX,aAAc,IACd,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,YAAa,IACb,eAAgB,IAChB,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,gBAAiB,IACjB,OAAQ,IACR,UAAW,IACX,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,KAIf,SAAS8X,EAAeC,GACvB,IAAIza,EAAK0a,EAAsBD,GAC/B,OAAOE,EAAoB3a,GAE5B,SAAS0a,EAAsBD,GAC9B,IAAIE,EAAoBC,EAAElY,EAAK+X,GAAM,CACpC,IAAI7Y,EAAI,IAAIiZ,MAAM,uBAAyBJ,EAAM,KAEjD,MADA7Y,EAAEC,KAAO,mBACHD,EAEP,OAAOc,EAAI+X,GAEZD,EAAejD,KAAO,WACrB,OAAOnT,OAAOmT,KAAK7U,IAEpB8X,EAAenU,QAAUqU,EACzBtM,EAAOE,QAAUkM,EACjBA,EAAexa,GAAK,K,iCCnRpB,oBAA+W,G,qBCE/WsO,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,48BAA68B,KAEt+B4I,EAAOE,QAAUA,G,oBCNjB,IAAI5L,EAAM,CACT,OAAQ,CACP,IACA,GAED,UAAW,CACV,IACA,GAED,SAAU,CACT,IACA,GAED,YAAa,CACZ,IACA,GAED,cAAe,CACd,IACA,GAED,iBAAkB,CACjB,IACA,GAED,iBAAkB,CACjB,IACA,GAED,oBAAqB,CACpB,IACA,GAED,QAAS,CACR,IACA,GAED,WAAY,CACX,IACA,GAED,gBAAiB,CAChB,IACA,GAED,mBAAoB,CACnB,IACA,GAED,WAAY,CACX,IACA,GAED,cAAe,CACd,IACA,GAED,gBAAiB,CAChB,IACA,GAED,mBAAoB,CACnB,IACA,GAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,aAAc,CACb,IACA,IAED,gBAAiB,CAChB,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,iBAAkB,CACjB,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,iBAAkB,CACjB,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,YAAa,CACZ,IACA,IAED,iBAAkB,CACjB,IACA,IAED,oBAAqB,CACpB,IACA,IAED,eAAgB,CACf,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,iBAAkB,CACjB,IACA,IAED,oBAAqB,CACpB,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,OAAQ,CACP,IACA,IAED,UAAW,CACV,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,MAAO,CACN,IACA,IAED,SAAU,CACT,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,aAAc,CACb,IACA,IAED,gBAAiB,CAChB,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,WAAY,CACX,IACA,IAED,gBAAiB,CAChB,IACA,IAED,mBAAoB,CACnB,IACA,IAED,cAAe,CACd,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,OAAQ,CACP,IACA,IAED,UAAW,CACV,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,aAAc,CACb,IACA,IAED,gBAAiB,CAChB,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,OAAQ,CACP,IACA,IAED,UAAW,CACV,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,cAAe,CACd,IACA,IAED,iBAAkB,CACjB,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,UAAW,CACV,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,aAAc,CACb,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,mBAAoB,CACnB,IACA,IAED,sBAAuB,CACtB,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,gBAAiB,CAChB,IACA,KAED,mBAAoB,CACnB,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,mBAAoB,CACnB,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,OAAQ,CACP,IACA,KAED,UAAW,CACV,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,iBAAkB,CACjB,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,cAAe,CACd,IACA,KAED,iBAAkB,CACjB,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,MAAO,CACN,IACA,KAED,SAAU,CACT,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,MAAO,CACN,IACA,KAED,SAAU,CACT,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,kBAAmB,CAClB,IACA,KAED,qBAAsB,CACrB,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,cAAe,CACd,IACA,KAED,iBAAkB,CACjB,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,iBAAkB,CACjB,IACA,KAED,oBAAqB,CACpB,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,OAAQ,CACP,IACA,KAED,UAAW,CACV,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,aAAc,CACb,IACA,KAED,kBAAmB,CAClB,IACA,KAED,qBAAsB,CACrB,IACA,KAED,gBAAiB,CAChB,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,OAAQ,CACP,IACA,KAED,UAAW,CACV,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,MAGF,SAASqY,EAAoBN,GAC5B,IAAIE,EAAoBC,EAAElY,EAAK+X,GAC9B,OAAOtV,QAAQkB,UAAU5F,MAAK,WAC7B,IAAImB,EAAI,IAAIiZ,MAAM,uBAAyBJ,EAAM,KAEjD,MADA7Y,EAAEC,KAAO,mBACHD,KAIR,IAAIoZ,EAAMtY,EAAI+X,GAAMza,EAAKgb,EAAI,GAC7B,OAAOL,EAAoB/Y,EAAEoZ,EAAI,IAAIva,MAAK,WACzC,OAAOka,EAAoB1O,EAAEjM,EAAI,MAGnC+a,EAAoBxD,KAAO,WAC1B,OAAOnT,OAAOmT,KAAK7U,IAEpBqY,EAAoB/a,GAAK,IACzBoO,EAAOE,QAAUyM,G,iCC59CjB,oBAAmX,G,qBCEnXzM,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,+tEAAguE,KAEzvE4I,EAAOE,QAAUA,G,iCCNjB,oBAA2V,G,qBCE3VA,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,upPAAgqP,KAEzrP4I,EAAOE,QAAUA,G,iCCNjB,oBAAmX,G,qBCEnXA,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,24BAA44B,KAEr6B4I,EAAOE,QAAUA,G,iCCNjB,oBAA4V,G,qBCE5VA,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,kvJAAuvJ,KAEhxJ4I,EAAOE,QAAUA,G,iCCNjB,oBAA4V,G,qBCE5VA,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,irHAAsrH,KAE/sH4I,EAAOE,QAAUA,G,iCCNjB,oBAA4X,G,qBCE5XA,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,sPAAuP,KAEhR4I,EAAOE,QAAUA,G,wCCNjB,I,oCCA8L,EC+B9L,CACA,sBACA,+BACA,OACA,SACA,YACA,aAEA,cACA,aACA,aAGA,gBACA,OACA,cAGA,QAlBA,WAmBA,yBACA,sFACA,sCAEA,uCAEA,cAzBA,WA0BA,wB,wBChDIjD,EAAY,YACd,GHVW,WAAa,IAAiBE,EAAThN,KAAgBiN,eAAmBC,EAAnClN,KAA0CmN,MAAMD,IAAIF,EAAG,OAAvDhN,KAAyE,OAAEkN,EAAG,gBAAgB,CAACE,MAAM,CAAC,GAAK,mBAAmB,OAA9HpN,KAA2I0V,UAA3I1V,KAAyJ2N,OACrK,IGYpB,EACA,KACA,KACA,MAIa,UAAAb,E,iDCpBf,ICAsM,ECkCtM,CACA,+B,iBC3BIA,EAAY,YACd,GHTW,WAAa,IAAIC,EAAI/M,KAASgN,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAOE,EAAG,MAAM,CAACK,YAAY,2BAA2BH,MAAM,CAAC,GAAK,sBAAsB,CAACF,EAAG,SAAS,CAACnF,GAAG,CAAC,MAAQ,SAAS6M,GAAQ,OAAO7H,EAAI2P,MAAM,4BAA4B,CAAC3P,EAAIS,GAAG,SAAST,EAAIU,GAAGV,EAAIW,EAAE,OAAQ,wBAAwB,UAAUX,EAAIS,GAAG,KAAKN,EAAG,SAAS,CAACnF,GAAG,CAAC,MAAQ,SAAS6M,GAAQ,OAAO7H,EAAI2P,MAAM,8BAA8B,CAAC3P,EAAIS,GAAG,SAAST,EAAIU,GAAGV,EAAIW,EAAE,OAAQ,0BAA0B,cACle,IGWpB,EACA,KACA,WACA,MAIa,UAAAZ,E","file":"editor.js?v=b9981ca8a64832b3b257","sourcesContent":["/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\n/**\n * Callback that should be executed after the document is ready\n * @param callback\n */\nimport { generateUrl } from '@nextcloud/router'\n\nconst documentReady = function(callback) {\n\tconst fn = () => setTimeout(callback, 0)\n\tif (document.attachEvent ? document.readyState === 'complete' : document.readyState !== 'loading') {\n\t\tfn()\n\t} else {\n\t\tdocument.addEventListener('DOMContentLoaded', callback)\n\t}\n}\n\nconst _baseUrl = generateUrl('/apps/text')\nconst endpointUrl = (endpoint, isPublic = false) => {\n\tif (isPublic) {\n\t\treturn `${_baseUrl}/public/${endpoint}`\n\t}\n\treturn `${_baseUrl}/${endpoint}`\n}\n\nconst randomGuestNames = ['Artichoke', 'Arugula', 'Asparagus', 'Avocado', 'Bamboo Shoot', 'Bean Sprout', 'Bean', 'Beet', 'Belgian Endive', 'Bell Pepper', 'Bitter Melon', 'Bitter Gourd', 'Bok Choy', 'Broccoli', 'Brussels Sprout', 'Burdock Root', 'Cabbage', 'Calabash', 'Caper', 'Carrot', 'Cassava', 'Cauliflower', 'Celery', 'Celery Root', 'Celtuce', 'Chayote', 'Chinese Broccoli', 'Corn', 'Baby Corn', 'Cucumber', 'English Cucumber', 'Gherkin', 'Pickling Cucumber', 'Daikon Radish', 'Edamame', 'Eggplant', 'Elephant Garlic', 'Endive', 'Curly', 'Escarole', 'Fennel', 'Fiddlehead', 'Galangal', 'Garlic', 'Ginger', 'Grape Leave', 'Green Bean', 'Wax Bean', 'Green', 'Amaranth Leave', 'Beet Green', 'Collard Green', 'Dandelion Green', 'Kale', 'Kohlrabi Green', 'Mustard Green', 'Rapini', 'Spinach', 'Swiss Chard', 'Turnip Green', 'Hearts of Palm', 'Horseradish', 'Jerusalem Artichoke', 'Jícama', 'Kale', 'Curly', 'Lacinato', 'Ornamental', 'Kohlrabi', 'Leeks', 'Lemongrass', 'Lettuce', 'Butterhead', 'Iceberg', 'Leaf', 'Romaine', 'Lotus Root', 'Lotus Seed', 'Mushroom', 'Napa Cabbage', 'Nopales', 'Okra', 'Olive', 'Onion', 'Green Onion', 'Parsley', 'Parsley Root', 'Parsnip', 'Pepper', 'Plantain', 'Potato', 'Pumpkin', 'Purslane', 'Radicchio', 'Radish', 'Rutabaga', 'Shallots', 'Spinach', 'Squash', 'Sweet Potato', 'Swiss Chard', 'Taro', 'Tomatillo', 'Tomato', 'Turnip', 'Water Chestnut', 'Water Spinach', 'Watercress', 'Winter Melon', 'Yams', 'Zucchini']\nconst getRandomGuestName = () => {\n\treturn randomGuestNames[Math.floor(Math.random() * randomGuestNames.length)]\n}\n\nexport {\n\tdocumentReady,\n\tendpointUrl,\n\tgetRandomGuestName,\n}\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{attrs:{\"id\":\"editor-container\"}},[(_vm.currentSession && _vm.active)?_c('div',[(_vm.hasSyncCollission)?_c('p',{staticClass:\"msg icon-error\"},[_vm._v(\"\\n\\t\\t\\t\"+_vm._s(_vm.t('text', 'The document has been changed outside of the editor. The changes cannot be applied.'))+\"\\n\\t\\t\")]):_vm._e(),_vm._v(\" \"),(_vm.hasConnectionIssue)?_c('p',{staticClass:\"msg icon-info\"},[_vm._v(\"\\n\\t\\t\\t\"+_vm._s(_vm.t('text', 'File could not be loaded. Please check your internet connection.'))+\" \"),_c('a',{staticClass:\"button primary\",on:{\"click\":_vm.reconnect}},[_vm._v(_vm._s(_vm.t('text', 'Retry')))])]):_vm._e()]):_vm._e(),_vm._v(\" \"),(_vm.currentSession && _vm.active)?_c('div',{class:{'has-conflicts': _vm.hasSyncCollission, 'icon-loading': !_vm.initialLoading || _vm.hasConnectionIssue, 'richEditor': _vm.isRichEditor},attrs:{\"id\":\"editor-wrapper\"}},[_c('div',{attrs:{\"id\":\"editor\"}},[(!_vm.syncError && !_vm.readOnly)?_c('MenuBar',{ref:\"menubar\",attrs:{\"editor\":_vm.tiptap,\"file-path\":_vm.relativePath,\"is-rich-editor\":_vm.isRichEditor,\"is-public\":_vm.isPublic,\"autohide\":_vm.autohide}},[(_vm.currentSession && _vm.active)?_c('div',{attrs:{\"id\":\"editor-session-list\"}},[_c('div',{directives:[{name:\"tooltip\",rawName:\"v-tooltip\",value:(_vm.lastSavedStatusTooltip),expression:\"lastSavedStatusTooltip\"}],staticClass:\"save-status\",class:_vm.lastSavedStatusClass},[_vm._v(\"\\n\\t\\t\\t\\t\\t\\t\"+_vm._s(_vm.lastSavedStatus)+\"\\n\\t\\t\\t\\t\\t\")]),_vm._v(\" \"),_c('SessionList',{attrs:{\"sessions\":_vm.filteredSessions}},[(_vm.isPublic && _vm.currentSession.guestName)?_c('GuestNameDialog',{attrs:{\"sync-service\":_vm.syncService}}):_vm._e()],1)],1):_vm._e(),_vm._v(\" \"),_vm._t(\"header\")],2):_vm._e(),_vm._v(\" \"),_c('div',[(!_vm.readOnly && _vm.isRichEditor)?_c('MenuBubble',{attrs:{\"editor\":_vm.tiptap,\"filePath\":_vm.relativePath}}):_vm._e(),_vm._v(\" \"),_c('EditorContent',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.initialLoading),expression:\"initialLoading\"}],staticClass:\"editor__content\",attrs:{\"editor\":_vm.tiptap}})],1)],1),_vm._v(\" \"),(_vm.hasSyncCollission)?_c('ReadOnlyEditor',{attrs:{\"content\":_vm.syncError.data.outsideChange,\"is-rich-editor\":_vm.isRichEditor}}):_vm._e()],1):_vm._e(),_vm._v(\" \"),(_vm.hasSyncCollission && !_vm.readOnly)?_c('CollisionResolveDialog',{on:{\"resolveUseThisVersion\":_vm.resolveUseThisVersion,\"resolveUseServerVersion\":_vm.resolveUseServerVersion}}):_vm._e()],1)}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nimport axios from '@nextcloud/axios'\nimport { endpointUrl } from '../helpers'\nimport { ERROR_TYPE } from './SyncService'\nimport { sendableSteps } from 'prosemirror-collab'\n\n/**\n * Minimum inverval to refetch the document changes\n * @type {number}\n */\nconst FETCH_INTERVAL = 300\n\n/**\n * Maximum interval between refetches of document state if multiple users have joined\n * @type {number}\n */\nconst FETCH_INTERVAL_MAX = 5000\n\n/**\n * Interval to check for changes when there is only one user joined\n * @type {number}\n */\nconst FETCH_INTERVAL_SINGLE_EDITOR = 5000\n\nconst MIN_PUSH_RETRY = 500\nconst MAX_PUSH_RETRY = 10000\n\n/* Timeout after that a PUSH_FAILURE error is emitted */\nconst WARNING_PUSH_RETRY = 5000\n\n/* Maximum number of retries for fetching before emitting a connection error */\nconst MAX_RETRY_FETCH_COUNT = 5\n\n/* Timeout for sessions to be marked as disconnected */\nconst COLLABORATOR_DISCONNECT_TIME = 20\n\nclass PollingBackend {\n\n\tconstructor(authority) {\n\t\t/** @type SyncService */\n\t\tthis._authority = authority\n\t\tthis.fetchInterval = FETCH_INTERVAL\n\t\tthis.retryTime = MIN_PUSH_RETRY\n\t\tthis.lock = false\n\t\tthis.fetchRetryCounter = 0\n\t}\n\n\tconnect() {\n\t\tthis.fetcher = setInterval(this._fetchSteps.bind(this), 0)\n\t}\n\n\t_isPublic() {\n\t\treturn !!this._authority.options.shareToken\n\t}\n\n\tforceSave() {\n\t\tthis._forcedSave = true\n\t\tthis.fetchSteps()\n\t}\n\n\tsave() {\n\t\tthis._manualSave = true\n\t\tthis.fetchSteps()\n\t}\n\n\tfetchSteps() {\n\t\tthis._fetchSteps()\n\t}\n\n\t/**\n\t * This method is only called though the timer\n\t */\n\t_fetchSteps() {\n\t\tif (this.lock || !this.fetcher) {\n\t\t\treturn\n\t\t}\n\t\tthis.lock = true\n\t\tlet autosaveContent\n\t\tif (this._forcedSave || this._manualSave\n\t\t\t|| (!sendableSteps(this._authority.state)\n\t\t\t&& (this._authority._getVersion() !== this._authority.document.lastSavedVersion))\n\t\t) {\n\t\t\tautosaveContent = this._authority._getContent()\n\t\t}\n\t\taxios.post(endpointUrl('session/sync', this._isPublic()), {\n\t\t\tdocumentId: this._authority.document.id,\n\t\t\tsessionId: this._authority.session.id,\n\t\t\tsessionToken: this._authority.session.token,\n\t\t\tversion: this._authority._getVersion(),\n\t\t\tautosaveContent,\n\t\t\tforce: !!this._forcedSave,\n\t\t\tmanualSave: !!this._manualSave,\n\t\t\ttoken: this._authority.options.shareToken,\n\t\t\tfilePath: this._authority.options.filePath,\n\t\t}).then((response) => {\n\t\t\tthis.fetchRetryCounter = 0\n\n\t\t\tif (this._authority.document.lastSavedVersion < response.data.document.lastSavedVersion) {\n\t\t\t\tconsole.debug('Saved document', response.data.document)\n\t\t\t\tthis._authority.emit('save', { document: response.data.document, sessions: response.data.sessions })\n\t\t\t}\n\n\t\t\tthis._authority.emit('change', { document: response.data.document, sessions: response.data.sessions })\n\t\t\tthis._authority.document = response.data.document\n\t\t\tthis._authority.sessions = response.data.sessions\n\n\t\t\tif (response.data.steps.length === 0) {\n\t\t\t\tthis.lock = false\n\t\t\t\tif (response.data.sessions.filter((session) => session.lastContact > Date.now() / 1000 - COLLABORATOR_DISCONNECT_TIME).length < 2) {\n\t\t\t\t\tthis.maximumRefetchTimer()\n\t\t\t\t} else {\n\t\t\t\t\tthis.increaseRefetchTimer()\n\t\t\t\t}\n\t\t\t\tthis._authority.emit('stateChange', { dirty: false })\n\t\t\t\tthis._authority.emit('stateChange', { initialLoading: true })\n\t\t\t\treturn\n\t\t\t}\n\n\t\t\tthis._authority._receiveSteps(response.data)\n\t\t\tthis.lock = false\n\t\t\tthis._forcedSave = false\n\t\t\tthis.resetRefetchTimer()\n\t\t}).catch((e) => {\n\t\t\tthis.lock = false\n\t\t\tif (!e.response || e.code === 'ECONNABORTED') {\n\t\t\t\tif (this.fetchRetryCounter++ >= MAX_RETRY_FETCH_COUNT) {\n\t\t\t\t\tconsole.error('[PollingBackend:fetchSteps] Network error when fetching steps, emitting CONNECTION_FAILED')\n\t\t\t\t\tthis._authority.emit('error', ERROR_TYPE.CONNECTION_FAILED, {})\n\n\t\t\t\t} else {\n\t\t\t\t\tconsole.error(`[PollingBackend:fetchSteps] Network error when fetching steps, retry ${this.fetchRetryCounter}`)\n\t\t\t\t}\n\t\t\t} else if (e.response.status === 409 && e.response.data.document.currentVersion === this._authority.document.currentVersion) {\n\t\t\t\t// Only emit conflict event if we have synced until the latest version\n\t\t\t\tconsole.error('Conflict during file save, please resolve')\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.SAVE_COLLISSION, {\n\t\t\t\t\toutsideChange: e.response.data.outsideChange,\n\t\t\t\t})\n\t\t\t} else if (e.response.status === 403) {\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.SOURCE_NOT_FOUND, {})\n\t\t\t} else if (e.response.status === 404) {\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.SOURCE_NOT_FOUND, {})\n\t\t\t} else if (e.response.status === 503) {\n\t\t\t\tthis.increaseRefetchTimer()\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.CONNECTION_FAILED, { retry: true })\n\t\t\t\tconsole.error('Failed to fetch steps due to unavailable service', e)\n\t\t\t} else {\n\t\t\t\tthis.increaseRefetchTimer()\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.CONNECTION_FAILED, { retry: false })\n\t\t\t\tconsole.error('Failed to fetch steps due to other reason', e)\n\t\t\t}\n\t\t})\n\t\tthis._manualSave = false\n\t\tthis._forcedSave = false\n\t}\n\n\tsendSteps(_sendable) {\n\t\tthis._authority.emit('stateChange', { dirty: true })\n\t\tif (this.lock) {\n\t\t\tsetTimeout(() => {\n\t\t\t\tthis._authority.sendSteps()\n\t\t\t}, 100)\n\t\t\treturn\n\t\t}\n\t\tthis.lock = true\n\t\tconst sendable = (typeof _sendable === 'function') ? _sendable() : _sendable\n\t\tconst steps = sendable.steps\n\t\taxios.post(endpointUrl('session/push', !!this._authority.options.shareToken), {\n\t\t\tdocumentId: this._authority.document.id,\n\t\t\tsessionId: this._authority.session.id,\n\t\t\tsessionToken: this._authority.session.token,\n\t\t\tsteps: steps.map(s => s.toJSON ? s.toJSON() : s) || [],\n\t\t\tversion: sendable.version,\n\t\t\ttoken: this._authority.options.shareToken,\n\t\t\tfilePath: this._authority.options.filePath,\n\t\t}).then((response) => {\n\t\t\tthis.carefulRetryReset()\n\t\t\tthis.lock = false\n\t\t\tthis.fetchSteps()\n\t\t}).catch((e) => {\n\t\t\tconsole.error('failed to apply steps due to collission, retrying')\n\t\t\tthis.lock = false\n\t\t\tif (!e.response || e.code === 'ECONNABORTED') {\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.CONNECTION_FAILED, {})\n\t\t\t\treturn\n\t\t\t} else if (e.response.status === 403 && e.response.data.document.currentVersion === this._authority.document.currentVersion) {\n\t\t\t\t// Only emit conflict event if we have synced until the latest version\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.PUSH_FAILURE, {})\n\t\t\t\tOC.Notification.showTemporary('Changes could not be sent yet')\n\t\t\t}\n\n\t\t\tthis.fetchSteps()\n\t\t\tthis.carefulRetry()\n\t\t})\n\t}\n\n\tdisconnect() {\n\t\tclearInterval(this.fetcher)\n\t\tthis.fetcher = 0\n\t}\n\n\tresetRefetchTimer() {\n\t\tif (this.fetcher === 0) {\n\t\t\treturn\n\t\t}\n\t\tthis.fetchInterval = FETCH_INTERVAL\n\t\tclearInterval(this.fetcher)\n\t\tthis.fetcher = setInterval(this._fetchSteps.bind(this), this.fetchInterval)\n\n\t}\n\n\tincreaseRefetchTimer() {\n\t\tif (this.fetcher === 0) {\n\t\t\treturn\n\t\t}\n\t\tthis.fetchInterval = Math.min(this.fetchInterval * 2, FETCH_INTERVAL_MAX)\n\t\tclearInterval(this.fetcher)\n\t\tthis.fetcher = setInterval(this._fetchSteps.bind(this), this.fetchInterval)\n\t}\n\n\tmaximumRefetchTimer() {\n\t\tif (this.fetcher === 0) {\n\t\t\treturn\n\t\t}\n\t\tthis.fetchInterval = FETCH_INTERVAL_SINGLE_EDITOR\n\t\tclearInterval(this.fetcher)\n\t\tthis.fetcher = setInterval(this._fetchSteps.bind(this), this.fetchInterval)\n\t}\n\n\tcarefulRetry() {\n\t\tconst newRetry = this.retryTime ? Math.min(this.retryTime * 2, MAX_PUSH_RETRY) : MIN_PUSH_RETRY\n\t\tif (newRetry > WARNING_PUSH_RETRY && this.retryTime < WARNING_PUSH_RETRY) {\n\t\t\tOC.Notification.showTemporary('Changes could not be sent yet')\n\t\t\tthis._authority.emit('error', ERROR_TYPE.PUSH_FAILURE, {})\n\t\t}\n\t\tthis.retryTime = newRetry\n\t}\n\n\tcarefulRetryReset() {\n\t\tthis.retryTime = MIN_PUSH_RETRY\n\t}\n\n}\n\nexport default PollingBackend\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nimport axios from '@nextcloud/axios'\n\nimport PollingBackend from './PollingBackend'\nimport { endpointUrl } from './../helpers'\nimport { getVersion, sendableSteps } from 'prosemirror-collab'\n\nconst defaultOptions = {\n\tshareToken: null,\n\tforceRecreate: false,\n\tserialize: (document) => document,\n}\n\nconst ERROR_TYPE = {\n\t/**\n\t * Failed to save collaborative document due to external change\n\t * collission needs to be resolved manually\n\t */\n\tSAVE_COLLISSION: 0,\n\t/**\n\t * Failed to push changes for MAX_REBASE_RETRY times\n\t */\n\tPUSH_FAILURE: 1,\n\n\tLOAD_ERROR: 2,\n\n\tCONNECTION_FAILED: 3,\n\n\tSOURCE_NOT_FOUND: 4,\n}\n\nclass SyncService {\n\n\tconstructor(options) {\n\t\tthis.eventHandlers = {\n\t\t\t/* Document state */\n\t\t\topened: [],\n\t\t\tloaded: [],\n\t\t\t/* All initial steps fetched */\n\t\t\tfetched: [],\n\t\t\t/* received new steps */\n\t\t\tsync: [],\n\t\t\t/* state changed (dirty) */\n\t\t\tstateChange: [],\n\t\t\t/* error */\n\t\t\terror: [],\n\t\t\t/* Events for session and document meta data */\n\t\t\tchange: [],\n\t\t\t/* Emitted after successful save */\n\t\t\tsave: [],\n\t\t}\n\n\t\tthis.backend = new PollingBackend(this)\n\n\t\tthis.options = Object.assign({}, defaultOptions, options)\n\n\t\tthis.document = null\n\t\tthis.session = null\n\t\tthis.sessions = []\n\n\t\tthis.steps = []\n\t\tthis.stepClientIDs = []\n\n\t\treturn this\n\t}\n\n\tasync open({ fileId, filePath, initialSession }) {\n\t\tlet connectionData = null\n\t\tif (typeof initialSession === 'undefined') {\n\t\t\ttry {\n\t\t\t\tconst response = await this._openDocument({ fileId, filePath })\n\t\t\t\tconnectionData = response.data\n\t\t\t} catch (error) {\n\t\t\t\tif (!error.response || error.code === 'ECONNABORTED') {\n\t\t\t\t\tthis.emit('error', ERROR_TYPE.CONNECTION_FAILED, {})\n\t\t\t\t} else {\n\t\t\t\t\tthis.emit('error', ERROR_TYPE.LOAD_ERROR, error.response.status)\n\t\t\t\t}\n\t\t\t\tthrow error\n\t\t\t}\n\t\t} else {\n\t\t\tconnectionData = initialSession\n\t\t}\n\n\t\tthis.document = connectionData.document\n\t\tthis.document.readOnly = connectionData.readOnly\n\t\tthis.session = connectionData.session\n\n\t\tthis.emit('opened', {\n\t\t\tdocument: this.document,\n\t\t\tsession: this.session,\n\t\t})\n\t\treturn this._fetchDocument().then(({ data }) => {\n\t\t\tthis.emit('loaded', {\n\t\t\t\tdocument: this.document,\n\t\t\t\tsession: this.session,\n\t\t\t\tdocumentSource: '' + data,\n\t\t\t})\n\t\t})\n\t}\n\n\tstartSync() {\n\t\tthis.backend.connect()\n\t}\n\n\t_openDocument({ fileId, filePath }) {\n\t\treturn axios.get(endpointUrl('session/create', !!this.options.shareToken), {\n\t\t\tparams: {\n\t\t\t\tfileId,\n\t\t\t\tfilePath,\n\t\t\t\ttoken: this.options.shareToken,\n\t\t\t\tguestName: this.options.guestName,\n\t\t\t\tforceRecreate: this.options.forceRecreate,\n\t\t\t},\n\t\t})\n\t}\n\n\t_fetchDocument() {\n\t\treturn axios.get(\n\t\t\tendpointUrl('session/fetch', !!this.options.shareToken), {\n\t\t\t\ttransformResponse: [(data) => data],\n\t\t\t\tparams: {\n\t\t\t\t\tdocumentId: this.document.id,\n\t\t\t\t\tsessionId: this.session.id,\n\t\t\t\t\tsessionToken: this.session.token,\n\t\t\t\t\ttoken: this.options.shareToken,\n\t\t\t\t},\n\t\t\t}\n\t\t)\n\t}\n\n\tupdateSession(guestName) {\n\t\tif (!this.isPublic()) {\n\t\t\treturn\n\t\t}\n\t\treturn axios.post(\n\t\t\tendpointUrl('session', !!this.options.shareToken), {\n\t\t\t\tdocumentId: this.document.id,\n\t\t\t\tsessionId: this.session.id,\n\t\t\t\tsessionToken: this.session.token,\n\t\t\t\ttoken: this.options.shareToken,\n\t\t\t\tguestName,\n\t\t\t}\n\t\t).then(({ data }) => {\n\t\t\tthis.session = data\n\t\t\treturn data\n\t\t}).catch((error) => {\n\t\t\tconsole.error('Failed to update the session', error)\n\t\t\treturn Promise.reject(error)\n\t\t})\n\t}\n\n\tsendSteps(_sendable) {\n\t\tconst sendable = _sendable || sendableSteps(this.state)\n\t\tif (!sendable) {\n\t\t\treturn\n\t\t}\n\t\treturn this.backend.sendSteps(sendable)\n\t}\n\n\tstepsSince(version) {\n\t\treturn {\n\t\t\tsteps: this.steps.slice(version),\n\t\t\tclientIDs: this.stepClientIDs.slice(version),\n\t\t}\n\t}\n\n\t_receiveSteps({ steps, document }) {\n\t\tconst newSteps = []\n\t\tfor (let i = 0; i < steps.length; i++) {\n\t\t\tconst singleSteps = steps[i].data\n\t\t\tif (!Array.isArray(singleSteps)) {\n\t\t\t\tconsole.error('Invalid step data, skipping step', steps[i])\n\t\t\t\t// TODO: recover\n\t\t\t\tcontinue\n\t\t\t}\n\t\t\tsingleSteps.forEach(step => {\n\t\t\t\tthis.steps.push(step)\n\t\t\t\tnewSteps.push({\n\t\t\t\t\tstep,\n\t\t\t\t\tclientID: steps[i].sessionId,\n\t\t\t\t})\n\t\t\t})\n\t\t}\n\t\tthis.emit('sync', { steps: newSteps, document })\n\t\tconsole.debug('receivedSteps', 'newVersion', this._getVersion())\n\t}\n\n\t_getVersion() {\n\t\tif (this.state) {\n\t\t\treturn getVersion(this.state)\n\t\t}\n\t\treturn 0\n\t}\n\n\t_getDocument() {\n\t\tif (this.state) {\n\t\t\treturn this.state.doc\n\t\t}\n\t}\n\n\t_getContent() {\n\t\treturn this.options.serialize(this._getDocument())\n\t}\n\n\tsave() {\n\t\tif (this.backend.save) {\n\t\t\tthis.backend.save()\n\t\t}\n\t}\n\n\tforceSave() {\n\t\tif (this.backend.forceSave) {\n\t\t\tthis.backend.forceSave()\n\t\t}\n\t}\n\n\tclose() {\n\t\tlet closed = false\n\t\treturn new Promise((resolve, reject) => {\n\t\t\tthis.on('save', () => {\n\t\t\t\tthis._close().then(() => {\n\t\t\t\t\tclosed = true\n\t\t\t\t\tresolve()\n\t\t\t\t}).catch(() => resolve())\n\t\t\t})\n\t\t\tsetTimeout(() => {\n\t\t\t\tif (!closed) {\n\t\t\t\t\tthis._close().then(() => {\n\t\t\t\t\t\tresolve()\n\t\t\t\t\t}).catch(() => resolve())\n\t\t\t\t}\n\t\t\t}, 2000)\n\t\t\tthis.save()\n\t\t})\n\t}\n\n\t_close() {\n\t\tif (this.document === null || this.session === null) {\n\t\t\treturn Promise.resolve()\n\t\t}\n\t\tthis.backend.disconnect()\n\t\treturn axios.get(\n\t\t\tendpointUrl('session/close', !!this.options.shareToken), {\n\t\t\t\tparams: {\n\t\t\t\t\tdocumentId: this.document.id,\n\t\t\t\t\tsessionId: this.session.id,\n\t\t\t\t\tsessionToken: this.session.token,\n\t\t\t\t\ttoken: this.options.shareToken,\n\t\t\t\t},\n\t\t\t}\n\t\t)\n\t}\n\n\ton(event, callback, _this) {\n\t\tthis.eventHandlers[event].push(callback.bind(_this))\n\t\treturn this\n\t}\n\n\temit(event, data, additionalData) {\n\t\tif (typeof this.eventHandlers[event] !== 'undefined') {\n\t\t\tthis.eventHandlers[event].forEach(function(callback) {\n\t\t\t\tcallback(data, additionalData)\n\t\t\t})\n\t\t} else {\n\t\t\tconsole.error('Event not found', event)\n\t\t}\n\t}\n\n\tisPublic() {\n\t\treturn !!this.options.shareToken\n\t}\n\n}\n\nexport default SyncService\nexport { SyncService, ERROR_TYPE }\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nconst extensionHighlight = {\n\tpy: 'python',\n\tgyp: 'python',\n\twsgi: 'python',\n\thtm: 'html',\n\txhtml: 'html',\n\terl: 'erlang',\n\tjsp: 'java',\n\tpl: 'perl',\n\trss: 'xml',\n\tatom: 'xml',\n\txsl: 'xml',\n\tplist: 'xml',\n\trb: 'ruby',\n\tbuilder: 'ruby',\n\tgemspec: 'ruby',\n\tpodspec: 'ruby',\n\tthor: 'ruby',\n\tdiff: 'patch',\n\ths: 'haskell',\n\ticl: 'haskell',\n\tphp3: 'php',\n\tphp4: 'php',\n\tphp5: 'php',\n\tphp6: 'php',\n\tsh: 'bash',\n\tzsh: 'bash',\n\tst: 'smalltalk',\n\tas: 'actionscript',\n\tapacheconf: 'apache',\n\tosacript: 'applescript',\n\tb: 'brainfuck',\n\tbf: 'brainfuck',\n\tclj: 'clojure',\n\t'cmake.in': 'cmake',\n\tcoffee: 'coffeescript',\n\tcson: 'coffescript',\n\ticed: 'coffescript',\n\tc: 'cpp',\n\th: 'cpp',\n\t'c++': 'cpp',\n\t'h++': 'cpp',\n\thh: 'cpp',\n\tjinja: 'django',\n\tbat: 'dos',\n\tcmd: 'dos',\n\tfs: 'fsharp',\n\thbs: 'handlebars',\n\t'html.hbs': 'handlebars',\n\t'html.handlebars': 'handlebars',\n\tsublime_metrics: 'json',\n\tsublime_session: 'json',\n\t'sublime-keymap': 'json',\n\t'sublime-mousemap': 'json',\n\t'sublime-project': 'json',\n\t'sublime-settings': 'json',\n\t'sublime-workspace': 'json',\n\tmk: 'makefile',\n\tmak: 'makefile',\n\tmd: 'markdown',\n\tmkdown: 'markdown',\n\tmkd: 'markdown',\n\tnginxconf: 'nginx',\n\tm: 'objectivec',\n\tmm: 'objectivec',\n\tml: 'ocaml',\n\trs: 'rust',\n\tsci: 'scilab',\n\tvb: 'vbnet',\n\tvbs: 'vbscript',\n}\n\nexport default extensionHighlight\nexport {\n\textensionHighlight,\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { Extension } from 'tiptap'\n\nexport default class Keymap extends Extension {\n\n\tget name() {\n\t\treturn 'save'\n\t}\n\n\tkeys({ schema }) {\n\t\treturn this.options\n\t}\n\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nexport default {\n\tdata() {\n\t\treturn {\n\t\t\tisMobile: this._isMobile(),\n\t\t}\n\t},\n\tbeforeMount() {\n\t\twindow.addEventListener('resize', this._onResize)\n\t},\n\tbeforeDestroy() {\n\t\twindow.removeEventListener('resize', this._onResize)\n\t},\n\tmethods: {\n\t\t_onResize() {\n\t\t\t// Update mobile mode\n\t\t\tthis.isMobile = this._isMobile()\n\t\t},\n\t\t_isMobile() {\n\t\t\t// check if content width is under 768px\n\t\t\treturn document.documentElement.clientWidth < 768\n\t\t},\n\t},\n}\n","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div id=\"editor-container\">\n\t\t<div v-if=\"currentSession && active\">\n\t\t\t<p v-if=\"hasSyncCollission\" class=\"msg icon-error\">\n\t\t\t\t{{ t('text', 'The document has been changed outside of the editor. The changes cannot be applied.') }}\n\t\t\t</p>\n\t\t\t<p v-if=\"hasConnectionIssue\" class=\"msg icon-info\">\n\t\t\t\t{{ t('text', 'File could not be loaded. Please check your internet connection.') }} <a class=\"button primary\" @click=\"reconnect\">{{ t('text', 'Retry') }}</a>\n\t\t\t</p>\n\t\t</div>\n\t\t<div v-if=\"currentSession && active\" id=\"editor-wrapper\" :class=\"{'has-conflicts': hasSyncCollission, 'icon-loading': !initialLoading || hasConnectionIssue, 'richEditor': isRichEditor}\">\n\t\t\t<div id=\"editor\">\n\t\t\t\t<MenuBar v-if=\"!syncError && !readOnly\"\n\t\t\t\t\tref=\"menubar\"\n\t\t\t\t\t:editor=\"tiptap\"\n\t\t\t\t\t:file-path=\"relativePath\"\n\t\t\t\t\t:is-rich-editor=\"isRichEditor\"\n\t\t\t\t\t:is-public=\"isPublic\"\n\t\t\t\t\t:autohide=\"autohide\">\n\t\t\t\t\t<div v-if=\"currentSession && active\" id=\"editor-session-list\">\n\t\t\t\t\t\t<div v-tooltip=\"lastSavedStatusTooltip\" class=\"save-status\" :class=\"lastSavedStatusClass\">\n\t\t\t\t\t\t\t{{ lastSavedStatus }}\n\t\t\t\t\t\t</div>\n\t\t\t\t\t\t<SessionList :sessions=\"filteredSessions\">\n\t\t\t\t\t\t\t<GuestNameDialog v-if=\"isPublic && currentSession.guestName\" :sync-service=\"syncService\" />\n\t\t\t\t\t\t</SessionList>\n\t\t\t\t\t</div>\n\t\t\t\t\t<slot name=\"header\" />\n\t\t\t\t</MenuBar>\n\t\t\t\t<div>\n\t\t\t\t\t<MenuBubble v-if=\"!readOnly && isRichEditor\"\n\t\t\t\t\t\t:editor=\"tiptap\"\n\t\t\t\t\t\t:filePath=\"relativePath\" />\n\t\t\t\t\t<EditorContent v-show=\"initialLoading\"\n\t\t\t\t\t\tclass=\"editor__content\"\n\t\t\t\t\t\t:editor=\"tiptap\" />\n\t\t\t\t</div>\n\t\t\t</div>\n\t\t\t<ReadOnlyEditor v-if=\"hasSyncCollission\"\n\t\t\t\t:content=\"syncError.data.outsideChange\"\n\t\t\t\t:is-rich-editor=\"isRichEditor\" />\n\t\t</div>\n\n\t\t<CollisionResolveDialog v-if=\"hasSyncCollission && !readOnly\" @resolveUseThisVersion=\"resolveUseThisVersion\" @resolveUseServerVersion=\"resolveUseServerVersion\" />\n\t</div>\n</template>\n\n<script>\nimport Vue from 'vue'\nimport escapeHtml from 'escape-html'\nimport moment from '@nextcloud/moment'\n\nimport { SyncService, ERROR_TYPE } from './../services/SyncService'\nimport { endpointUrl, getRandomGuestName } from './../helpers'\nimport { extensionHighlight } from '../helpers/mappings'\nimport { createEditor, markdownit, createMarkdownSerializer, serializePlainText, loadSyntaxHighlight } from './../EditorFactory'\n\nimport { EditorContent } from 'tiptap'\nimport { Collaboration } from 'tiptap-extensions'\nimport { Keymap } from './../extensions'\nimport isMobile from './../mixins/isMobile'\n\nimport Tooltip from '@nextcloud/vue/dist/Directives/Tooltip'\n\nconst EDITOR_PUSH_DEBOUNCE = 200\n\nexport default {\n\tname: 'EditorWrapper',\n\tcomponents: {\n\t\tEditorContent,\n\t\tMenuBar: () => import(/* webpackChunkName: \"editor-rich\" */'./MenuBar'),\n\t\tMenuBubble: () => import(/* webpackChunkName: \"editor-rich\" */'./MenuBubble'),\n\t\tReadOnlyEditor: () => import(/* webpackChunkName: \"editor\" */'./ReadOnlyEditor'),\n\t\tCollisionResolveDialog: () => import(/* webpackChunkName: \"editor\" */'./CollisionResolveDialog'),\n\t\tGuestNameDialog: () => import(/* webpackChunkName: \"editor-guest\" */'./GuestNameDialog'),\n\t\tSessionList: () => import(/* webpackChunkName: \"editor-collab\" */'./SessionList'),\n\t},\n\tdirectives: {\n\t\tTooltip,\n\t},\n\tmixins: [\n\t\tisMobile,\n\t],\n\tprops: {\n\t\tinitialSession: {\n\t\t\ttype: Object,\n\t\t\tdefault: null,\n\t\t},\n\t\trelativePath: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tfileId: {\n\t\t\ttype: Number,\n\t\t\tdefault: null,\n\t\t},\n\t\tactive: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tautofocus: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: true,\n\t\t},\n\t\tshareToken: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tmime: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tautohide: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tisDirectEditing: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\ttiptap: null,\n\t\t\t/** @type SyncService */\n\t\t\tsyncService: null,\n\n\t\t\tdocument: null,\n\t\t\tsessions: [],\n\t\t\tcurrentSession: null,\n\n\t\t\tfilteredSessions: {},\n\n\t\t\tdirty: false,\n\t\t\tinitialLoading: false,\n\t\t\tlastSavedString: '',\n\t\t\tsyncError: null,\n\t\t\thasConnectionIssue: false,\n\t\t\treadOnly: true,\n\t\t\tforceRecreate: false,\n\n\t\t\tsaveStatusPolling: null,\n\t\t}\n\t},\n\tcomputed: {\n\t\tlastSavedStatus() {\n\t\t\tlet status = (this.dirtyStateIndicator ? '*' : '')\n\t\t\tif (!this.isMobile) {\n\t\t\t\tstatus += this.lastSavedString\n\t\t\t}\n\t\t\treturn status\n\t\t},\n\t\tlastSavedStatusClass() {\n\t\t\treturn this.syncError && this.lastSavedString !== '' ? 'error' : ''\n\t\t},\n\t\tdirtyStateIndicator() {\n\t\t\treturn this.hasUnpushedChanges || this.hasUnsavedChanges\n\t\t},\n\t\tlastSavedStatusTooltip() {\n\t\t\tlet message = t('text', 'Last saved {lastSaved}', { lastSaved: this.lastSavedString })\n\t\t\tif (this.hasSyncCollission) {\n\t\t\t\tmessage = t('text', 'The document has been changed outside of the editor. The changes cannot be applied.')\n\t\t\t}\n\t\t\tif (this.hasUnpushedChanges) {\n\t\t\t\tmessage += ' - ' + t('text', 'Unpushed changes')\n\t\t\t}\n\t\t\tif (this.hasUnsavedChanges) {\n\t\t\t\tmessage += ' - ' + t('text', 'Unsaved changes')\n\t\t\t}\n\t\t\treturn { content: message, placement: 'bottom' }\n\t\t},\n\t\thasSyncCollission() {\n\t\t\treturn this.syncError && this.syncError.type === ERROR_TYPE.SAVE_COLLISSION\n\t\t},\n\t\thasUnpushedChanges() {\n\t\t\treturn this.dirty\n\t\t},\n\t\thasUnsavedChanges() {\n\t\t\treturn this.document && this.document.lastSavedVersion < this.document.currentVersion\n\t\t},\n\t\tbackendUrl() {\n\t\t\treturn (endpoint) => {\n\t\t\t\treturn endpointUrl(endpoint, !!this.shareToken)\n\t\t\t}\n\t\t},\n\t\thasDocumentParameters() {\n\t\t\treturn this.fileId || this.shareToken || this.initialSession\n\t\t},\n\t\tisPublic() {\n\t\t\treturn this.isDirectEditing || (document.getElementById('isPublic') && document.getElementById('isPublic').value === '1')\n\t\t},\n\t\tisRichEditor() {\n\t\t\treturn this.mime === 'text/markdown'\n\t\t},\n\t\tfileExtension() {\n\t\t\treturn this.relativePath ? this.relativePath.split('/').pop().split('.').pop() : 'txt'\n\t\t},\n\t},\n\twatch: {\n\t\tlastSavedStatus() {\n\t\t\tthis.$refs.menubar && this.$refs.menubar.redrawMenuBar()\n\t\t},\n\t},\n\tmounted() {\n\t\tif (this.active && (this.hasDocumentParameters)) {\n\t\t\tthis.initSession()\n\t\t}\n\t\tthis.$parent.$emit('update:loaded', true)\n\t},\n\tcreated() {\n\t\tthis.saveStatusPolling = setInterval(() => {\n\t\t\tthis.updateLastSavedStatus()\n\t\t}, 2000)\n\t\tdocument.addEventListener('keydown', this._keyUpHandler, true)\n\t},\n\tbeforeDestroy() {\n\t\tthis.close()\n\t},\n\tmethods: {\n\t\tasync close() {\n\t\t\tdocument.removeEventListener('keydown', this._keyUpHandler, true)\n\t\t\tclearInterval(this.saveStatusPolling)\n\t\t\tif (this.currentSession && this.syncService) {\n\t\t\t\ttry {\n\t\t\t\t\tawait this.syncService.close()\n\t\t\t\t\tthis.currentSession = null\n\t\t\t\t\tthis.syncService = null\n\t\t\t\t} catch (e) {\n\t\t\t\t\t// Ignore issues closing the session since those might happen due to network issues\n\t\t\t\t}\n\t\t\t}\n\t\t\treturn true\n\t\t},\n\t\tupdateLastSavedStatus() {\n\t\t\tif (this.document) {\n\t\t\t\tthis.lastSavedString = moment(this.document.lastSavedVersionTime * 1000).fromNow()\n\t\t\t}\n\t\t},\n\t\tinitSession() {\n\t\t\tif (!this.hasDocumentParameters) {\n\t\t\t\tthis.$parent.$emit('error', 'No valid file provided')\n\t\t\t\treturn\n\t\t\t}\n\t\t\tconst guestName = localStorage.getItem('nick') ? localStorage.getItem('nick') : getRandomGuestName()\n\t\t\tthis.syncService = new SyncService({\n\t\t\t\tshareToken: this.shareToken,\n\t\t\t\tfilePath: this.relativePath,\n\t\t\t\tguestName,\n\t\t\t\tforceRecreate: this.forceRecreate,\n\t\t\t\tserialize: (document) => {\n\t\t\t\t\tif (this.isRichEditor) {\n\t\t\t\t\t\tconst markdown = (createMarkdownSerializer(this.tiptap.nodes, this.tiptap.marks)).serialize(document)\n\t\t\t\t\t\tconsole.debug('serialized document', { markdown })\n\t\t\t\t\t\treturn markdown\n\t\t\t\t\t}\n\t\t\t\t\tconst file = serializePlainText(this.tiptap)\n\t\t\t\t\tconsole.debug('serialized document', { file })\n\t\t\t\t\treturn file\n\n\t\t\t\t},\n\t\t\t})\n\t\t\t\t.on('opened', ({ document, session }) => {\n\t\t\t\t\tthis.currentSession = session\n\t\t\t\t\tthis.document = document\n\t\t\t\t\tthis.readOnly = document.readOnly\n\t\t\t\t\tlocalStorage.setItem('nick', this.currentSession.guestName)\n\t\t\t\t})\n\t\t\t\t.on('change', ({ document, sessions }) => {\n\t\t\t\t\tif (this.document.baseVersionEtag !== '' && document.baseVersionEtag !== this.document.baseVersionEtag) {\n\t\t\t\t\t\tthis.resolveUseServerVersion()\n\t\t\t\t\t\treturn\n\t\t\t\t\t}\n\t\t\t\t\tthis.updateSessions.bind(this)(sessions)\n\t\t\t\t\tthis.document = document\n\n\t\t\t\t\tthis.syncError = null\n\t\t\t\t\tthis.tiptap.setOptions({ editable: !this.readOnly })\n\n\t\t\t\t})\n\t\t\t\t.on('loaded', ({ documentSource }) => {\n\t\t\t\t\tthis.hasConnectionIssue = false\n\t\t\t\t\tloadSyntaxHighlight(extensionHighlight[this.fileExtension] ? extensionHighlight[this.fileExtension] : this.fileExtension).then((languages) => {\n\t\t\t\t\t\tthis.tiptap = createEditor({\n\t\t\t\t\t\t\tcontent: this.isRichEditor ? markdownit.render(documentSource) : '<pre>' + escapeHtml(documentSource) + '</pre>',\n\t\t\t\t\t\t\tonInit: ({ state }) => {\n\t\t\t\t\t\t\t\tthis.syncService.state = state\n\t\t\t\t\t\t\t\tthis.syncService.startSync()\n\t\t\t\t\t\t\t},\n\t\t\t\t\t\t\tonUpdate: ({ state }) => {\n\t\t\t\t\t\t\t\tthis.syncService.state = state\n\t\t\t\t\t\t\t},\n\t\t\t\t\t\t\textensions: [\n\t\t\t\t\t\t\t\tnew Collaboration({\n\t\t\t\t\t\t\t\t// the initial version we start with\n\t\t\t\t\t\t\t\t// version is an integer which is incremented with every change\n\t\t\t\t\t\t\t\t\tversion: this.document.initialVersion,\n\t\t\t\t\t\t\t\t\tclientID: this.currentSession.id,\n\t\t\t\t\t\t\t\t\t// debounce changes so we can save some bandwidth\n\t\t\t\t\t\t\t\t\tdebounce: EDITOR_PUSH_DEBOUNCE,\n\t\t\t\t\t\t\t\t\tonSendable: ({ sendable }) => {\n\t\t\t\t\t\t\t\t\t\tif (this.syncService) {\n\t\t\t\t\t\t\t\t\t\t\tthis.syncService.sendSteps()\n\t\t\t\t\t\t\t\t\t\t}\n\t\t\t\t\t\t\t\t\t},\n\t\t\t\t\t\t\t\t}),\n\t\t\t\t\t\t\t\tnew Keymap({\n\t\t\t\t\t\t\t\t\t'Ctrl-s': () => {\n\t\t\t\t\t\t\t\t\t\tthis.syncService.save()\n\t\t\t\t\t\t\t\t\t\treturn true\n\t\t\t\t\t\t\t\t\t},\n\t\t\t\t\t\t\t\t}),\n\t\t\t\t\t\t\t],\n\t\t\t\t\t\t\tenableRichEditing: this.isRichEditor,\n\t\t\t\t\t\t\tlanguages,\n\t\t\t\t\t\t})\n\t\t\t\t\t\tthis.tiptap.on('focus', () => {\n\t\t\t\t\t\t\tthis.$emit('focus')\n\t\t\t\t\t\t})\n\t\t\t\t\t\tthis.tiptap.on('blur', () => {\n\t\t\t\t\t\t\tthis.$emit('blur')\n\t\t\t\t\t\t})\n\t\t\t\t\t\tthis.syncService.state = this.tiptap.state\n\t\t\t\t\t})\n\t\t\t\t})\n\t\t\t\t.on('sync', ({ steps, document }) => {\n\t\t\t\t\tthis.hasConnectionIssue = false\n\t\t\t\t\ttry {\n\t\t\t\t\t\tthis.tiptap.extensions.options.collaboration.update({\n\t\t\t\t\t\t\tversion: document.currentVersion,\n\t\t\t\t\t\t\tsteps,\n\t\t\t\t\t\t})\n\t\t\t\t\t\tthis.syncService.state = this.tiptap.state\n\t\t\t\t\t\tthis.updateLastSavedStatus()\n\t\t\t\t\t} catch (e) {\n\t\t\t\t\t\tconsole.error('Failed to update steps in collaboration plugin', e)\n\t\t\t\t\t\t// TODO: we should recreate the editing session when this happens\n\t\t\t\t\t}\n\t\t\t\t\tthis.document = document\n\t\t\t\t})\n\t\t\t\t.on('error', (error, data) => {\n\t\t\t\t\tthis.tiptap.setOptions({ editable: false })\n\t\t\t\t\tif (error === ERROR_TYPE.SAVE_COLLISSION && (!this.syncError || this.syncError.type !== ERROR_TYPE.SAVE_COLLISSION)) {\n\t\t\t\t\t\tthis.initialLoading = true\n\t\t\t\t\t\tthis.syncError = {\n\t\t\t\t\t\t\ttype: error,\n\t\t\t\t\t\t\tdata,\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t\tif (error === ERROR_TYPE.CONNECTION_FAILED && !this.hasConnectionIssue) {\n\t\t\t\t\t\tthis.hasConnectionIssue = true\n\t\t\t\t\t\t// FIXME: ideally we just try to reconnect in the service, so we don't loose steps\n\t\t\t\t\t\tOC.Notification.showTemporary('Connection failed, reconnecting')\n\t\t\t\t\t\tif (data.retry !== false) {\n\t\t\t\t\t\t\tsetTimeout(this.reconnect.bind(this), 5000)\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t\tif (error === ERROR_TYPE.SOURCE_NOT_FOUND) {\n\t\t\t\t\t\tthis.initialLoading = false\n\t\t\t\t\t\tthis.$emit('close')\n\t\t\t\t\t\tthis.$emit('error')\n\t\t\t\t\t}\n\t\t\t\t})\n\t\t\t\t.on('stateChange', (state) => {\n\t\t\t\t\tif (state.initialLoading && !this.initialLoading) {\n\t\t\t\t\t\tthis.initialLoading = true\n\t\t\t\t\t\tif (this.autofocus) {\n\t\t\t\t\t\t\tthis.tiptap.focus('start')\n\t\t\t\t\t\t}\n\t\t\t\t\t\tthis.$emit('ready')\n\t\t\t\t\t\tthis.$parent.$emit('ready', true)\n\t\t\t\t\t}\n\t\t\t\t\tif (Object.prototype.hasOwnProperty.call(state, 'dirty')) {\n\t\t\t\t\t\tthis.dirty = state.dirty\n\t\t\t\t\t}\n\t\t\t\t})\n\t\t\tif (this.initialSession === null) {\n\t\t\t\tthis.syncService.open({\n\t\t\t\t\tfileId: this.fileId,\n\t\t\t\t\tfilePath: this.relativePath,\n\t\t\t\t}).catch((e) => {\n\t\t\t\t\tthis.hasConnectionIssue = true\n\t\t\t\t})\n\t\t\t} else {\n\t\t\t\tthis.syncService.open({\n\t\t\t\t\tinitialSession: this.initialSession,\n\t\t\t\t}).catch((e) => {\n\t\t\t\t\tthis.hasConnectionIssue = true\n\t\t\t\t})\n\t\t\t}\n\t\t\tthis.forceRecreate = false\n\t\t},\n\n\t\tresolveUseThisVersion() {\n\t\t\tthis.syncService.forceSave()\n\t\t\tthis.tiptap.setOptions({ editable: !this.readOnly })\n\t\t},\n\n\t\tresolveUseServerVersion() {\n\t\t\tthis.forceRecreate = true\n\t\t\tthis.reconnect()\n\t\t},\n\n\t\treconnect() {\n\t\t\tif (this.syncService) {\n\t\t\t\tthis.syncService.close().then(() => {\n\t\t\t\t\tthis.syncService = null\n\t\t\t\t\tthis.tiptap.destroy()\n\t\t\t\t\tthis.initSession()\n\t\t\t\t}).catch((e) => {\n\t\t\t\t\t// Ignore issues closing the session since those might happen due to network issues\n\t\t\t\t})\n\t\t\t} else {\n\t\t\t\tthis.syncService = null\n\t\t\t\tthis.tiptap.destroy()\n\t\t\t\tthis.initSession()\n\t\t\t}\n\t\t},\n\n\t\tupdateSessions(sessions) {\n\t\t\tthis.sessions = sessions.sort((a, b) => b.lastContact - a.lastContact)\n\t\t\tconst currentSessionIds = this.sessions.map((session) => session.userId)\n\t\t\tconst currentGuestIds = this.sessions.map((session) => session.guestId)\n\n\t\t\tconst removedSessions = Object.keys(this.filteredSessions)\n\t\t\t\t.filter(sessionId => !currentSessionIds.includes(sessionId) && !currentGuestIds.includes(sessionId))\n\n\t\t\tfor (const index in removedSessions) {\n\t\t\t\tVue.delete(this.filteredSessions, removedSessions[index])\n\t\t\t}\n\t\t\tfor (const index in this.sessions) {\n\t\t\t\tconst session = this.sessions[index]\n\t\t\t\tconst sessionKey = session.displayName ? session.userId : session.id\n\t\t\t\tif (this.filteredSessions[sessionKey]) {\n\t\t\t\t\t// update timestamp if relevant\n\t\t\t\t\tif (this.filteredSessions[sessionKey].lastContact < session.lastContact) {\n\t\t\t\t\t\tVue.set(this.filteredSessions[sessionKey], 'lastContact', session.lastContact)\n\t\t\t\t\t}\n\t\t\t\t} else {\n\t\t\t\t\tVue.set(this.filteredSessions, sessionKey, session)\n\t\t\t\t}\n\t\t\t\tif (session.id === this.currentSession.id) {\n\t\t\t\t\tVue.set(this.filteredSessions[sessionKey], 'isCurrent', true)\n\t\t\t\t}\n\t\t\t}\n\t\t},\n\t\t_keyUpHandler(event) {\n\t\t\tconst key = event.key || event.keyCode\n\t\t\tif ((event.ctrlKey || event.metaKey) && !event.shiftKey && (key === 'f' || key === 70)) {\n\t\t\t\tevent.stopPropagation()\n\t\t\t\treturn true\n\t\t\t}\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t#editor-container {\n\t\tdisplay: block;\n\t\twidth: 100%;\n\t\tmax-width: 100%;\n\t\theight: 100%;\n\t\tleft: 0;\n\t\ttop: 50px;\n\t\tmargin: 0 auto;\n\t\tposition: relative;\n\t\tbackground-color: var(--color-main-background);\n\t}\n\n\t#editor-wrapper {\n\t\tdisplay: flex;\n\t\twidth: 100%;\n\t\theight: 100%;\n\t\toverflow: hidden;\n\t\tposition: absolute;\n\t\t.ProseMirror {\n\t\t\tmargin-top: 0 !important;\n\t\t}\n\t\t&.icon-loading {\n\t\t\t#editor {\n\t\t\t\topacity: 0.3;\n\t\t\t}\n\t\t}\n\t}\n\n\t#editor, .editor {\n\t\tbackground: var(--color-main-background);\n\t\tcolor: var(--color-main-text);\n\t\tbackground-clip: padding-box;\n\t\tborder-radius: var(--border-radius);\n\t\tpadding: 0;\n\t\tposition: relative;\n\t\toverflow-y: auto;\n\t\toverflow-x: hidden;\n\t\twidth: 100%;\n\t}\n\n\t.msg.icon-error {\n\t\tpadding: 12px;\n\t\tborder-bottom:1px solid var(--color-border);\n\t\tpadding-left: 30px;\n\t\tbackground-position: 8px center;\n\t}\n\n\t.save-status {\n\t\tpadding: 9px;\n\t\ttext-overflow: ellipsis;\n\t\tcolor: var(--color-text-lighter);\n\n\t\t&.error {\n\t\t\tbackground-color: var(--color-error);\n\t\t\tcolor: var(--color-main-background);\n\t\t\tborder-radius: 3px;\n\t\t}\n\t}\n\n\t#editor-container #editor-wrapper.has-conflicts {\n\t\theight: calc(100% - 50px);\n\n\t\t#editor, #read-only-editor {\n\t\t\twidth: 50%;\n\t\t\theight: 100%;\n\t\t}\n\t}\n\n\t#editor-session-list {\n\t\tpadding: 4px 16px 4px 4px;\n\t\tdisplay: flex;\n\n\t\tinput, div {\n\t\t\tvertical-align: middle;\n\t\t\tmargin-left: 3px;\n\t\t}\n\t}\n\n\t.editor__content {\n\t\tmax-width: 670px;\n\t\tmargin: auto;\n\t\tposition: relative;\n\t}\n\n\t#body-public {\n\t\theight: auto;\n\t}\n\n\t#files-public-content {\n\t\theight: auto;\n\t\t#editor-wrapper {\n\t\t\tposition: relative;\n\t\t}\n\t\t#editor-container {\n\t\t\ttop: 0;\n\t\t\twidth: 100%;\n\n\t\t\t#editor::v-deep .menubar {\n\t\t\t\t// sticky position is not working as body is our scroll container\n\t\t\t\tposition: fixed;\n\t\t\t\ttop: 50px;\n\t\t\t\twidth: 100%;\n\t\t\t}\n\n\t\t\t#editor {\n\t\t\t\tpadding-top: 50px;\n\t\t\t\toverflow: auto;\n\t\t\t}\n\t\t\t.has-conflicts #editor {\n\t\t\t\tpadding-top: 0px;\n\t\t\t}\n\t\t}\n\t}\n\n\t.ie {\n\t\t#editor::v-deep .menubar {\n\t\t\t// sticky position is not working as body is our scroll container\n\t\t\tposition: fixed;\n\t\t\ttop: 50px;\n\t\t\twidth: 100%;\n\t\t}\n\t\t.editor__content::v-deep .ProseMirror {\n\t\t\tpadding-top: 50px;\n\t\t}\n\t}\n\n</style>\n<style lang=\"scss\">\n\t@import './../../css/style';\n\n\t#editor-wrapper {\n\t\t@import './../../css/prosemirror';\n\n\t\t&:not(.richEditor) .ProseMirror {\n\t\t\tpre {\n\t\t\t\tbackground-color: var(--color-main-background);\n\n\t\t\t\t&::before {\n\t\t\t\t\tcontent: attr(data-language);\n\t\t\t\t\ttext-transform: uppercase;\n\t\t\t\t\tdisplay: block;\n\t\t\t\t\ttext-align: right;\n\t\t\t\t\tfont-weight: bold;\n\t\t\t\t\tfont-size: 0.6rem;\n\t\t\t\t}\n\t\t\t\tcode {\n\t\t\t\t\t.hljs-comment,\n\t\t\t\t\t.hljs-quote {\n\t\t\t\t\t\tcolor: #999999;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-variable,\n\t\t\t\t\t.hljs-template-variable,\n\t\t\t\t\t.hljs-attribute,\n\t\t\t\t\t.hljs-tag,\n\t\t\t\t\t.hljs-name,\n\t\t\t\t\t.hljs-regexp,\n\t\t\t\t\t.hljs-link,\n\t\t\t\t\t.hljs-selector-id,\n\t\t\t\t\t.hljs-selector-class {\n\t\t\t\t\t\tcolor: #f2777a;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-number,\n\t\t\t\t\t.hljs-meta,\n\t\t\t\t\t.hljs-built_in,\n\t\t\t\t\t.hljs-builtin-name,\n\t\t\t\t\t.hljs-literal,\n\t\t\t\t\t.hljs-type,\n\t\t\t\t\t.hljs-params {\n\t\t\t\t\t\tcolor: #f99157;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-string,\n\t\t\t\t\t.hljs-symbol,\n\t\t\t\t\t.hljs-bullet {\n\t\t\t\t\t\tcolor: #99cc99;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-title,\n\t\t\t\t\t.hljs-section {\n\t\t\t\t\t\tcolor: #ffcc66;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-keyword,\n\t\t\t\t\t.hljs-selector-tag {\n\t\t\t\t\t\tcolor: #6699cc;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-emphasis {\n\t\t\t\t\t\tfont-style: italic;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-strong {\n\t\t\t\t\t\tfont-weight: 700;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./EditorWrapper.vue?vue&type=template&id=6e21a4b1&scoped=true&\"\nimport script from \"./EditorWrapper.vue?vue&type=script&lang=js&\"\nexport * from \"./EditorWrapper.vue?vue&type=script&lang=js&\"\nimport style0 from \"./EditorWrapper.vue?vue&type=style&index=0&id=6e21a4b1&scoped=true&lang=scss&\"\nimport style1 from \"./EditorWrapper.vue?vue&type=style&index=1&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"6e21a4b1\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{class:{'icon-loading': _vm.saving},attrs:{\"id\":\"direct-editor\"}},[_c('EditorWrapper',{ref:\"editor\",attrs:{\"initial-session\":_vm.initialSession,\"active\":true,\"mime\":_vm.initial.mimetype,\"is-direct-editing\":true},on:{\"ready\":_vm.loaded},scopedSlots:_vm._u([{key:\"header\",fn:function(){return [_c('button',{staticClass:\"icon-share\",on:{\"click\":_vm.share}}),_vm._v(\" \"),_c('button',{staticClass:\"icon-close\",on:{\"click\":_vm.close}})]},proxy:true}])})],1)}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div id=\"direct-editor\" :class=\"{'icon-loading': saving}\">\n\t\t<EditorWrapper ref=\"editor\"\n\t\t\t:initial-session=\"initialSession\"\n\t\t\t:active=\"true\"\n\t\t\t:mime=\"initial.mimetype\"\n\t\t\t:is-direct-editing=\"true\"\n\t\t\t@ready=\"loaded\">\n\t\t\t<template #header>\n\t\t\t\t<button class=\"icon-share\" @click=\"share\" />\n\t\t\t\t<button class=\"icon-close\" @click=\"close\" />\n\t\t\t</template>\n\t\t</EditorWrapper>\n\t</div>\n</template>\n\n<script>\nimport Vue from 'vue'\nimport EditorWrapper from '../components/EditorWrapper'\n\nconst log = Vue.observable({\n\tmessages: [],\n\tmtime: 0,\n})\n\nconst callMobileMessage = (messageName, attributes) => {\n\tconsole.debug('callMobileMessage ' + messageName, attributes)\n\tlet message = messageName\n\tif (typeof attributes !== 'undefined') {\n\t\tmessage = {\n\t\t\tMessageName: messageName,\n\t\t\tValues: attributes,\n\t\t}\n\t}\n\tlet attributesString = null\n\ttry {\n\t\tattributesString = JSON.stringify(attributes)\n\t} catch (e) {\n\t\tattributesString = null\n\t}\n\n\t// Forward to mobile handler\n\tif (window.DirectEditingMobileInterface && typeof window.DirectEditingMobileInterface[messageName] === 'function') {\n\t\tif (attributesString === null || typeof attributesString === 'undefined') {\n\t\t\twindow.DirectEditingMobileInterface[messageName]()\n\t\t} else {\n\t\t\twindow.DirectEditingMobileInterface[messageName](attributesString)\n\t\t}\n\t}\n\n\t// iOS webkit fallback\n\tif (window.webkit\n\t\t&& window.webkit.messageHandlers\n\t\t&& window.webkit.messageHandlers.DirectEditingMobileInterface) {\n\t\twindow.webkit.messageHandlers.DirectEditingMobileInterface.postMessage(message)\n\t}\n\n\twindow.postMessage(message)\n}\n\nwindow.addEventListener('message', function(message) {\n\tlog.messages.push(message.data)\n\tconsole.debug('postMessage', message)\n})\n\nexport default {\n\tname: 'DirectEditing',\n\tcomponents: { EditorWrapper },\n\tdata() {\n\t\treturn {\n\t\t\tinitial: OCP.InitialState.loadState('text', 'file'),\n\t\t\tmessages: log.messages,\n\t\t\tlog,\n\t\t\tsaving: false,\n\t\t}\n\t},\n\tcomputed: {\n\t\tinitialSession() {\n\t\t\treturn JSON.parse(this.initial.session) || null\n\t\t},\n\t},\n\tbeforeMount() {\n\t\tcallMobileMessage('loading')\n\t},\n\tmounted() {\n\t\tdocument.querySelector('meta[name=\"viewport\"]').setAttribute('content', 'width=device-width, initial-scale=1.0, maximum-scale=1.0, user-scalable=0')\n\t},\n\tmethods: {\n\t\tasync close() {\n\t\t\tthis.saving = true\n\t\t\tsetTimeout(async() => {\n\t\t\t\tawait this.$refs.editor.close()\n\t\t\t\tcallMobileMessage('close')\n\t\t\t}, 0)\n\t\t},\n\t\tshare() {\n\t\t\tcallMobileMessage('share')\n\t\t},\n\t\tloaded() {\n\t\t\tcallMobileMessage('loaded')\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\tbody {\n\t\tposition: fixed;\n\t}\n\n\t#direct-editor {\n\t\twidth: 100%;\n\t\theight: 100%;\n\t\tposition: fixed;\n\t\toverflow: hidden;\n\n\t\t&::v-deep #editor-container {\n\t\t\theight: 100%;\n\t\t\ttop: 0;\n\t\t}\n\t\t&::v-deep #editor-wrapper div.ProseMirror {\n\t\t\tmargin-top: 0;\n\t\t}\n\t}\n\n\tpre {\n\t\twidth: 100%;\n\t\tmax-width: 700px;\n\t\tmargin: auto;\n\t\tbackground-color: var(--color-background-dark);\n\t}\n\n\tbutton {\n\t\twidth: 44px;\n\t\theight: 44px;\n\t\tmargin: 0;\n\t\tbackground-size: 16px;\n\t\tborder: 0;\n\t\tbackground-color: transparent;\n\t\topacity: .5;\n\t\tcolor: var(--color-main-text);\n\t\tbackground-position: center center;\n\t\tvertical-align: top;\n\t\t&:hover, &:focus, &:active {\n\t\t\tbackground-color: var(--color-background-dark);\n\t\t}\n\t\t&.is-active,\n\t\t&:hover,\n\t\t&:focus {\n\t\t\topacity: 1;\n\t\t}\n\n\t\t&.icon-undo, &.icon-redo {\n\t\t\topacity: .4;\n\t\t}\n\t}\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./DirectEditing.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./DirectEditing.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./DirectEditing.vue?vue&type=template&id=3ea77884&scoped=true&\"\nimport script from \"./DirectEditing.vue?vue&type=script&lang=js&\"\nexport * from \"./DirectEditing.vue?vue&type=script&lang=js&\"\nimport style0 from \"./DirectEditing.vue?vue&type=style&index=0&id=3ea77884&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"3ea77884\",\n null\n \n)\n\nexport default component.exports","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ImageView.vue?vue&type=style&index=0&id=5a0c4be0&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"5e6bee16\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=0&id=6e21a4b1&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"07474748\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=1&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"5039213c\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./DirectEditing.vue?vue&type=style&index=0&id=3ea77884&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"992233ba\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=0&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"5ba6a5c3\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=1&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"ed017fbc\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./CollisionResolveDialog.vue?vue&type=style&index=0&id=7fd0186f&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"a3c96434\", content, true, {});","/*\n * @copyright Copyright (c) 2020 Azul <azul@riseup.net>\n *\n * @author Azul <azul@riseup.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { generateUrl } from '@nextcloud/router'\n\nconst absolutePath = function(base, rel) {\n\tif (!rel) {\n\t\treturn base\n\t}\n\tif (rel[0] === '/') {\n\t\treturn rel\n\t}\n\tbase = base.split('/')\n\trel = rel.split('/')\n\twhile (rel[0] === '..' || rel[0] === '.') {\n\t\tif (rel[0] === '..') {\n\t\t\tbase.pop()\n\t\t}\n\t\trel.shift()\n\t}\n\treturn base.concat(rel).join('/')\n}\n\nconst basedir = function(file) {\n\tconst end = file.lastIndexOf('/')\n\treturn (end > 0)\n\t\t? file.slice(0, end)\n\t\t: file.slice(0, end + 1) // basedir('/toplevel') should return '/'\n}\n\nconst domHref = function(node) {\n\tconst ref = node.attrs.href\n\tif (!ref) {\n\t\treturn ref\n\t}\n\tif (ref.match(/^[a-zA-Z]*:/)) {\n\t\treturn ref\n\t}\n\tconst match = ref.match(/^([^?]*)\\?fileId=(\\d+)/)\n\tif (match) {\n\t\tconst [, relPath, id] = match\n\t\tconst currentDir = basedir(OCA.Viewer.state.file)\n\t\tconst dir = absolutePath(currentDir, basedir(relPath))\n\t\treturn generateUrl(`/apps/files/?dir=${dir}&openfile=${id}#relPath=${relPath}`)\n\t}\n}\n\nconst parseHref = function(dom) {\n\tconst ref = dom.getAttribute('href')\n\tif (!ref) {\n\t\treturn ref\n\t}\n\tconst match = ref.match(/\\?dir=([^&]*)&openfile=([^&]*)#relPath=([^&]*)/)\n\tif (match) {\n\t\tconst [, , id, path] = match\n\t\treturn `${path}?fileId=${id}`\n\t}\n\treturn ref\n}\n\nexport {\n\tdomHref,\n\tparseHref,\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { Bold, Italic as TipTapItalic, Strike as TipTapStrike, Link as TipTapLink } from 'tiptap-extensions'\nimport { Plugin } from 'tiptap'\nimport { getMarkAttrs } from 'tiptap-utils'\nimport { domHref, parseHref } from './../helpers/links'\n\n/**\n * This file maps prosemirror mark names to tiptap classes,\n * so we can reuse the prosemirror-markdown default parser for now\n */\n\nclass Strong extends Bold {\n\n\tget name() {\n\t\treturn 'strong'\n\t}\n\n}\n\nclass Italic extends TipTapItalic {\n\n\tget name() {\n\t\treturn 'em'\n\t}\n\n}\n\nclass Strike extends TipTapStrike {\n\n\tget schema() {\n\t\treturn {\n\t\t\tparseDOM: [\n\t\t\t\t{\n\t\t\t\t\ttag: 's',\n\t\t\t\t},\n\t\t\t\t{\n\t\t\t\t\ttag: 'del',\n\t\t\t\t},\n\t\t\t\t{\n\t\t\t\t\ttag: 'strike',\n\t\t\t\t},\n\t\t\t\t{\n\t\t\t\t\tstyle: 'text-decoration',\n\t\t\t\t\tgetAttrs: value => value === 'line-through',\n\t\t\t\t},\n\t\t\t],\n\t\t\ttoDOM: () => ['s', 0],\n\t\t\ttoMarkdown: {\n\t\t\t\topen: '~~',\n\t\t\t\tclose: '~~',\n\t\t\t\tmixable: true,\n\t\t\t\texpelEnclosingWhitespace: true,\n\t\t\t},\n\t\t}\n\t}\n\n}\n\nclass Link extends TipTapLink {\n\n\tget schema() {\n\t\treturn {\n\t\t\tattrs: {\n\t\t\t\thref: {\n\t\t\t\t\tdefault: null,\n\t\t\t\t},\n\t\t\t},\n\t\t\tinclusive: false,\n\t\t\tparseDOM: [\n\t\t\t\t{\n\t\t\t\t\ttag: 'a[href]',\n\t\t\t\t\tgetAttrs: dom => ({\n\t\t\t\t\t\thref: parseHref(dom),\n\t\t\t\t\t}),\n\t\t\t\t},\n\t\t\t],\n\t\t\ttoDOM: node => ['a', {\n\t\t\t\t...node.attrs,\n\t\t\t\thref: domHref(node),\n\t\t\t\ttitle: node.attrs.href,\n\t\t\t\trel: 'noopener noreferrer nofollow',\n\t\t\t}, 0],\n\t\t}\n\t}\n\n\tget plugins() {\n\t\tif (!this.options.openOnClick) {\n\t\t\treturn []\n\t\t}\n\n\t\treturn [\n\t\t\tnew Plugin({\n\t\t\t\tprops: {\n\t\t\t\t\thandleClick: (view, pos, event) => {\n\t\t\t\t\t\tconst { schema } = view.state\n\t\t\t\t\t\tconst attrs = getMarkAttrs(view.state, schema.marks.link)\n\n\t\t\t\t\t\tif (attrs.href && event.target instanceof HTMLAnchorElement) {\n\t\t\t\t\t\t\tevent.stopPropagation()\n\t\t\t\t\t\t\tconst htmlHref = event.target.href\n\t\t\t\t\t\t\tif (event.button === 0 && !event.ctrlKey && htmlHref.startsWith(window.location.origin)) {\n\t\t\t\t\t\t\t\tconst query = OC.parseQueryString(htmlHref)\n\t\t\t\t\t\t\t\tconst fragment = OC.parseQueryString(htmlHref.split('#').pop())\n\t\t\t\t\t\t\t\tif (query.dir && fragment.relPath) {\n\t\t\t\t\t\t\t\t\tconst filename = fragment.relPath.split('/').pop()\n\t\t\t\t\t\t\t\t\tconst path = `${query.dir}/${filename}`\n\t\t\t\t\t\t\t\t\tdocument.title = `${filename} - ${OC.theme.title}`\n\t\t\t\t\t\t\t\t\tif (window.location.pathname.match(/apps\\/files\\/$/)) {\n\t\t\t\t\t\t\t\t\t\t// The files app still lacks a popState handler\n\t\t\t\t\t\t\t\t\t\t// to allow for using the back button\n\t\t\t\t\t\t\t\t\t\t// OC.Util.History.pushState('', htmlHref)\n\t\t\t\t\t\t\t\t\t}\n\t\t\t\t\t\t\t\t\tOCA.Viewer.open({ path })\n\t\t\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\t\t\twindow.open(htmlHref)\n\t\t\t\t\t\t\t\t}\n\t\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\t\twindow.open(htmlHref)\n\t\t\t\t\t\t\t}\n\t\t\t\t\t\t}\n\t\t\t\t\t},\n\t\t\t\t},\n\t\t\t}),\n\t\t]\n\t}\n\n}\n\n/** Strike is currently unsupported by prosemirror-markdown */\n\nexport {\n\tStrong,\n\tItalic,\n\tStrike,\n\tLink,\n}\n","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div class=\"image\" :class=\"{'icon-loading': !loaded}\" :data-src=\"src\">\n\t\t<div v-if=\"imageLoaded && isSupportedImage\" class=\"image__view\">\n\t\t\t<transition name=\"fade\">\n\t\t\t\t<img v-show=\"loaded\"\n\t\t\t\t\t:src=\"imageUrl\"\n\t\t\t\t\tclass=\"image__main\"\n\t\t\t\t\t@load=\"onLoaded\">\n\t\t\t</transition>\n\t\t\t<transition name=\"fade\">\n\t\t\t\t<div v-show=\"loaded\" class=\"image__caption\">\n\t\t\t\t\t<input ref=\"altInput\"\n\t\t\t\t\t\ttype=\"text\"\n\t\t\t\t\t\t:value=\"alt\"\n\t\t\t\t\t\t@keyup.enter=\"updateAlt()\">\n\t\t\t\t</div>\n\t\t\t</transition>\n\t\t</div>\n\t\t<div v-else class=\"image__placeholder\">\n\t\t\t<transition name=\"fade\">\n\t\t\t\t<div v-show=\"loaded\" class=\"image__main\">\n\t\t\t\t\t<a :href=\"internalLinkOrImage\" target=\"_blank\">\n\t\t\t\t\t\t<div class=\"icon-image\" :style=\"mimeIcon\" />\n\t\t\t\t\t\t<p v-if=\"!isSupportedImage\">{{ alt }}</p>\n\t\t\t\t\t</a>\n\t\t\t\t</div>\n\t\t\t</transition><transition name=\"fade\">\n\t\t\t\t<div v-show=\"loaded\" class=\"image__caption\">\n\t\t\t\t\t<input ref=\"altInput\"\n\t\t\t\t\t\ttype=\"text\"\n\t\t\t\t\t\t:value=\"alt\"\n\t\t\t\t\t\t@keyup.enter=\"updateAlt()\">\n\t\t\t\t</div>\n\t\t\t</transition>\n\t\t</div>\n\t</div>\n</template>\n\n<script>\nimport path from 'path'\nimport { generateUrl } from '@nextcloud/router'\n\nconst imageMimes = [\n\t'image/png',\n\t'image/jpeg',\n\t'image/gif',\n\t'image/x-xbitmap',\n\t'image/bmp',\n\t'image/svg+xml',\n]\n\nconst getQueryVariable = (src, variable) => {\n\tconst query = src.split('?')[1]\n\tif (typeof query === 'undefined') {\n\t\treturn\n\t}\n\tconst vars = query.split(/[&#]/)\n\tif (typeof vars === 'undefined') {\n\t\treturn\n\t}\n\tfor (let i = 0; i < vars.length; i++) {\n\t\tconst pair = vars[i].split('=')\n\t\tif (decodeURIComponent(pair[0]) === variable) {\n\t\t\treturn decodeURIComponent(pair[1])\n\t\t}\n\t}\n}\n\nexport default {\n\tname: 'ImageView',\n\tprops: ['node', 'updateAttrs', 'view'], // eslint-disable-line\n\tdata() {\n\t\treturn {\n\t\t\timageLoaded: false,\n\t\t\tloaded: false,\n\t\t\tfailed: false,\n\t\t}\n\t},\n\tcomputed: {\n\t\timageUrl() {\n\t\t\tif (this.hasPreviewUrl) {\n\t\t\t\treturn this.src\n\t\t\t}\n\t\t\tif (this.fileId) {\n\t\t\t\treturn generateUrl('/core/preview') + `?fileId=${this.fileId}&x=1024&y=1024&a=true`\n\t\t\t}\n\t\t\tconst f = FileList.getCurrentDirectory() + '/' + this.src\n\t\t\tconst pathParam = encodeURIComponent(path.normalize(f))\n\t\t\treturn generateUrl('/core/preview.png') + `?file=${pathParam}&x=1024&y=1024&a=true`\n\t\t},\n\t\tfileId() {\n\t\t\treturn getQueryVariable(this.src, 'fileId')\n\t\t},\n\t\thasPreviewUrl() {\n\t\t\treturn this.src.match(/^(\\/index.php)?\\/core\\/preview/)\n\t\t},\n\t\tmimeIcon() {\n\t\t\tconst mime = getQueryVariable(this.src, 'mimetype')\n\t\t\tif (mime) {\n\t\t\t\treturn {\n\t\t\t\t\tbackgroundImage: 'url(' + window.OC.MimeType.getIconUrl(mime) + ')',\n\t\t\t\t}\n\t\t\t}\n\t\t\treturn {}\n\t\t},\n\t\tisSupportedImage() {\n\t\t\tconst mime = getQueryVariable(this.src, 'mimetype')\n\t\t\treturn typeof mime === 'undefined' || imageMimes.indexOf(mime) !== -1\n\t\t},\n\t\tinternalLinkOrImage() {\n\t\t\tconst fileId = getQueryVariable(this.src, 'fileId')\n\t\t\tif (fileId) {\n\t\t\t\treturn generateUrl('/f/' + fileId)\n\t\t\t}\n\t\t\treturn this.src\n\t\t},\n\t\tsrc: {\n\t\t\tget() {\n\t\t\t\treturn this.node.attrs.src\n\t\t\t},\n\t\t\tset(src) {\n\t\t\t\tthis.updateAttrs({\n\t\t\t\t\tsrc,\n\t\t\t\t})\n\t\t\t},\n\t\t},\n\t\talt: {\n\t\t\tget() {\n\t\t\t\treturn this.node.attrs.alt ? this.node.attrs.alt : ''\n\t\t\t},\n\t\t\tset(alt) {\n\t\t\t\tthis.updateAttrs({\n\t\t\t\t\talt,\n\t\t\t\t})\n\t\t\t},\n\t\t},\n\t\tt() {\n\t\t\treturn (a, s) => window.t(a, s)\n\t\t},\n\t},\n\tbeforeMount() {\n\t\tif (!this.isSupportedImage) {\n\t\t\t// TODO check if hasPreview and render a file preview if available\n\t\t\tthis.failed = true\n\t\t\tthis.imageLoaded = false\n\t\t\tthis.loaded = true\n\t\t\treturn\n\t\t}\n\t\tconst img = new Image()\n\t\timg.src = this.imageUrl\n\t\timg.onload = () => {\n\t\t\tthis.imageLoaded = true\n\t\t}\n\t\timg.onerror = () => {\n\t\t\tthis.failed = true\n\t\t\tthis.imageLoaded = false\n\t\t\tthis.loaded = true\n\t\t}\n\t},\n\tmethods: {\n\t\tupdateAlt() {\n\t\t\tthis.alt = this.$refs.altInput.value\n\t\t},\n\t\tonLoaded() {\n\t\t\tthis.loaded = true\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t.image {\n\t\tmargin: 0;\n\t\tpadding: 0;\n\t}\n\n\t.image__caption {\n\t\ttext-align: center;\n\t\tcolor: var(--color-text-lighter);\n\t\tinput[type='text'] {\n\t\t\twidth: 100%;\n\t\t\tborder: none;\n\t\t\ttext-align: center;\n\t\t}\n\t}\n\n\t.icon-image {\n\t\tmargin-top: 10px;\n\t\theight: 32px;\n\t\tpadding: 20px;\n\t\tbackground-size: contain;\n\t}\n\n\t.image__loading {\n\t\theight: 100px;\n\t}\n\n\t.image__view {\n\t\ttext-align: center;\n\n\t\t.image__main {\n\t\t\tmax-height: 40vh;\n\t\t}\n\t}\n\n\t.image__placeholder {\n\t\ta {\n\t\t\tdisplay: flex;\n\t\t}\n\t\t.image__main {\n\t\t\tbackground-color: var(--color-background-dark);\n\t\t\ttext-align: center;\n\t\t\tpadding: 5px;\n\t\t\tborder-radius: var(--border-radius);\n\n\t\t\t.icon-image {\n\t\t\t\tmargin: 0;\n\t\t\t}\n\n\t\t\tp {\n\t\t\t\tpadding: 10px;\n\t\t\t}\n\t\t}\n\t}\n\n\t.fade-enter-active {\n\t\ttransition: opacity .3s ease-in-out;\n\t}\n\n\t.fade-enter-to {\n\t\topacity: 1;\n\t}\n\n\t.fade-enter {\n\t\topacity: 0;\n\t}\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ImageView.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ImageView.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./ImageView.vue?vue&type=template&id=5a0c4be0&scoped=true&\"\nimport script from \"./ImageView.vue?vue&type=script&lang=js&\"\nexport * from \"./ImageView.vue?vue&type=script&lang=js&\"\nimport style0 from \"./ImageView.vue?vue&type=style&index=0&id=5a0c4be0&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"5a0c4be0\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{staticClass:\"image\",class:{'icon-loading': !_vm.loaded},attrs:{\"data-src\":_vm.src}},[(_vm.imageLoaded && _vm.isSupportedImage)?_c('div',{staticClass:\"image__view\"},[_c('transition',{attrs:{\"name\":\"fade\"}},[_c('img',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.loaded),expression:\"loaded\"}],staticClass:\"image__main\",attrs:{\"src\":_vm.imageUrl},on:{\"load\":_vm.onLoaded}})]),_vm._v(\" \"),_c('transition',{attrs:{\"name\":\"fade\"}},[_c('div',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.loaded),expression:\"loaded\"}],staticClass:\"image__caption\"},[_c('input',{ref:\"altInput\",attrs:{\"type\":\"text\"},domProps:{\"value\":_vm.alt},on:{\"keyup\":function($event){if(!$event.type.indexOf('key')&&_vm._k($event.keyCode,\"enter\",13,$event.key,\"Enter\")){ return null; }return _vm.updateAlt()}}})])])],1):_c('div',{staticClass:\"image__placeholder\"},[_c('transition',{attrs:{\"name\":\"fade\"}},[_c('div',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.loaded),expression:\"loaded\"}],staticClass:\"image__main\"},[_c('a',{attrs:{\"href\":_vm.internalLinkOrImage,\"target\":\"_blank\"}},[_c('div',{staticClass:\"icon-image\",style:(_vm.mimeIcon)}),_vm._v(\" \"),(!_vm.isSupportedImage)?_c('p',[_vm._v(_vm._s(_vm.alt))]):_vm._e()])])]),_c('transition',{attrs:{\"name\":\"fade\"}},[_c('div',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.loaded),expression:\"loaded\"}],staticClass:\"image__caption\"},[_c('input',{ref:\"altInput\",attrs:{\"type\":\"text\"},domProps:{\"value\":_vm.alt},on:{\"keyup\":function($event){if(!$event.type.indexOf('key')&&_vm._k($event.keyCode,\"enter\",13,$event.key,\"Enter\")){ return null; }return _vm.updateAlt()}}})])])],1)])}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { Image as TiptapImage } from 'tiptap-extensions'\nimport ImageView from './ImageView'\n\nexport default class Image extends TiptapImage {\n\n\tget view() {\n\t\treturn ImageView\n\t}\n\n\tget schema() {\n\t\treturn {\n\t\t\t...super.schema,\n\t\t\tselectable: false,\n\t\t}\n\t}\n\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { Node } from 'tiptap'\nimport { insertText } from 'tiptap-commands'\n\nexport default class PlainTextDocument extends Node {\n\n\tget name() {\n\t\treturn 'doc'\n\t}\n\n\tget schema() {\n\t\treturn {\n\t\t\tcontent: 'block',\n\t\t}\n\t}\n\n\tkeys() {\n\t\treturn {\n\t\t\tTab: (state) => {\n\t\t\t\tinsertText('\\t')(state, this.editor.view.dispatch, this.editor.view)\n\t\t\t\treturn true\n\t\t\t},\n\t\t}\n\t}\n\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { ListItem as TiptapListItem } from 'tiptap-extensions'\nimport { Plugin } from 'tiptap'\nimport { toggleList } from 'tiptap-commands'\nimport { findParentNode, findParentNodeClosestToPos } from 'prosemirror-utils'\n\nconst TYPES = {\n\tBULLET: 0,\n\tCHECKBOX: 1,\n}\n\nconst getParentList = (schema, selection) => {\n\treturn findParentNode(function(node) {\n\t\treturn node.type === schema.nodes.list_item\n\t})(selection)\n}\n\nexport default class ListItem extends TiptapListItem {\n\n\tget defaultOptions() {\n\t\treturn {\n\t\t\tnested: true,\n\t\t}\n\t}\n\n\tget schema() {\n\t\treturn {\n\t\t\tattrs: {\n\t\t\t\tdone: {\n\t\t\t\t\tdefault: false,\n\t\t\t\t},\n\t\t\t\ttype: {\n\t\t\t\t\tdefault: TYPES.BULLET,\n\t\t\t\t},\n\t\t\t},\n\t\t\tdraggable: false,\n\t\t\tcontent: 'paragraph block*',\n\t\t\ttoDOM: node => {\n\t\t\t\tif (node.attrs.type === TYPES.BULLET) {\n\t\t\t\t\treturn ['li', 0]\n\t\t\t\t}\n\t\t\t\tconst listAttributes = { class: 'checkbox-item' }\n\t\t\t\tconst checkboxAttributes = { type: 'checkbox', class: '', contenteditable: false }\n\t\t\t\tif (node.attrs.done) {\n\t\t\t\t\tcheckboxAttributes.checked = true\n\t\t\t\t\tlistAttributes.class += ' checked'\n\t\t\t\t}\n\t\t\t\treturn [\n\t\t\t\t\t'li',\n\t\t\t\t\tlistAttributes,\n\t\t\t\t\t[\n\t\t\t\t\t\t'input',\n\t\t\t\t\t\tcheckboxAttributes,\n\t\t\t\t\t],\n\t\t\t\t\t[\n\t\t\t\t\t\t'label',\n\t\t\t\t\t\t0,\n\t\t\t\t\t],\n\t\t\t\t]\n\t\t\t},\n\t\t\tparseDOM: [\n\t\t\t\t{\n\t\t\t\t\tpriority: 100,\n\t\t\t\t\ttag: 'li',\n\t\t\t\t\tgetAttrs: el => {\n\t\t\t\t\t\tconst checkbox = el.querySelector('input[type=checkbox]')\n\t\t\t\t\t\treturn { done: checkbox && checkbox.checked, type: checkbox ? TYPES.CHECKBOX : TYPES.BULLET }\n\t\t\t\t\t},\n\t\t\t\t},\n\t\t\t],\n\t\t\ttoMarkdown: (state, node) => {\n\t\t\t\tif (node.attrs.type === TYPES.CHECKBOX) {\n\t\t\t\t\tstate.write(`[${node.attrs.done ? 'x' : ' '}] `)\n\t\t\t\t}\n\t\t\t\tstate.renderContent(node)\n\t\t\t},\n\t\t}\n\t}\n\n\tcommands({ type, schema }) {\n\t\treturn {\n\t\t\tbullet_list_item: () => {\n\t\t\t\treturn (state, dispatch, view) => {\n\t\t\t\t\treturn toggleList(schema.nodes.bullet_list, type)(state, dispatch, view)\n\t\t\t\t}\n\t\t\t},\n\t\t\ttodo_item: () => {\n\t\t\t\treturn (state, dispatch, view) => {\n\t\t\t\t\tconst schema = state.schema\n\t\t\t\t\tconst selection = state.selection\n\t\t\t\t\tconst $from = selection.$from\n\t\t\t\t\tconst $to = selection.$to\n\t\t\t\t\tconst range = $from.blockRange($to)\n\n\t\t\t\t\tlet tr = state.tr\n\t\t\t\t\tlet parentList = getParentList(schema, selection)\n\n\t\t\t\t\tif (typeof parentList === 'undefined') {\n\t\t\t\t\t\ttoggleList(schema.nodes.bullet_list, type)(state, (_transaction) => {\n\t\t\t\t\t\t\ttr = _transaction\n\t\t\t\t\t\t}, view)\n\t\t\t\t\t\tparentList = getParentList(schema, tr.selection)\n\t\t\t\t\t}\n\n\t\t\t\t\tif (!range || typeof parentList === 'undefined') {\n\t\t\t\t\t\treturn false\n\t\t\t\t\t}\n\n\t\t\t\t\ttr.setNodeMarkup(parentList.pos, schema.nodes.list_item, { type: parentList.node.attrs.type === TYPES.CHECKBOX ? TYPES.BULLET : TYPES.CHECKBOX })\n\t\t\t\t\ttr.scrollIntoView()\n\n\t\t\t\t\tif (dispatch) {\n\t\t\t\t\t\tdispatch(tr)\n\t\t\t\t\t}\n\n\t\t\t\t}\n\t\t\t},\n\t\t}\n\t}\n\n\tget plugins() {\n\t\treturn [\n\t\t\tnew Plugin({\n\t\t\t\tprops: {\n\t\t\t\t\thandleClick: (view, pos, event) => {\n\t\t\t\t\t\tconst state = view.state\n\t\t\t\t\t\tconst schema = state.schema\n\n\t\t\t\t\t\tconst coordinates = view.posAtCoords({ left: event.clientX, top: event.clientY })\n\t\t\t\t\t\tconst position = state.doc.resolve(coordinates.pos)\n\t\t\t\t\t\tconst parentList = findParentNodeClosestToPos(position, function(node) {\n\t\t\t\t\t\t\treturn node.type === schema.nodes.list_item\n\t\t\t\t\t\t})\n\t\t\t\t\t\tconst isListClicked = event.target.tagName.toLowerCase() === 'li'\n\t\t\t\t\t\tif (typeof parentList === 'undefined' || parentList.node.attrs.type !== TYPES.CHECKBOX || !isListClicked) {\n\t\t\t\t\t\t\treturn\n\t\t\t\t\t\t}\n\n\t\t\t\t\t\tconst tr = state.tr\n\t\t\t\t\t\ttr.setNodeMarkup(parentList.pos, schema.nodes.list_item, { done: !parentList.node.attrs.done, type: TYPES.CHECKBOX })\n\t\t\t\t\t\tview.dispatch(tr)\n\t\t\t\t\t},\n\t\t\t\t},\n\t\t\t}),\n\t\t]\n\t}\n\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nimport { Editor, Text } from 'tiptap'\nimport {\n\tHardBreak,\n\tHeading,\n\tCode,\n\tBulletList,\n\tOrderedList,\n\tBlockquote,\n\tCodeBlock,\n\tCodeBlockHighlight,\n\tHorizontalRule,\n\tHistory,\n\tPlaceholder,\n} from 'tiptap-extensions'\nimport { Strong, Italic, Strike, Link } from './marks'\nimport { Image, PlainTextDocument, ListItem } from './nodes'\nimport MarkdownIt from 'markdown-it'\nimport taskLists from 'markdown-it-task-lists'\nimport { translate as t } from '@nextcloud/l10n'\n\nimport 'proxy-polyfill'\n\nimport { MarkdownSerializer, defaultMarkdownSerializer } from 'prosemirror-markdown'\n\nconst loadSyntaxHighlight = async(language) => {\n\tconst languages = [language]\n\tconst modules = {}\n\tfor (let i = 0; i < languages.length; i++) {\n\t\ttry {\n\t\t\tconst lang = await import(/* webpackChunkName: \"highlight/[request]\" */'highlight.js/lib/languages/' + languages[i])\n\t\t\tmodules[languages[i]] = lang.default\n\t\t} catch (e) {\n\t\t\t// No matching highlighing found, fallback to none\n\t\t\treturn undefined\n\t\t}\n\t}\n\tif (Object.keys(modules).length === 0 && modules.constructor === Object) {\n\t\treturn undefined\n\t}\n\treturn { languages: modules }\n}\n\nconst createEditor = ({ content, onInit, onUpdate, extensions, enableRichEditing, languages }) => {\n\tlet richEditingExtensions = []\n\tif (enableRichEditing) {\n\t\trichEditingExtensions = [\n\t\t\tnew Heading(),\n\t\t\tnew Code(),\n\t\t\tnew Strong(),\n\t\t\tnew Italic(),\n\t\t\tnew Strike(),\n\t\t\tnew HardBreak(),\n\t\t\tnew HorizontalRule(),\n\t\t\tnew BulletList(),\n\t\t\tnew OrderedList(),\n\t\t\tnew Blockquote(),\n\t\t\tnew CodeBlock(),\n\t\t\tnew ListItem(),\n\t\t\tnew Link({\n\t\t\t\topenOnClick: true,\n\t\t\t}),\n\t\t\tnew Image(),\n\t\t\tnew Placeholder({\n\t\t\t\temptyNodeClass: 'is-empty',\n\t\t\t\temptyNodeText: t('text', 'Add notes, lists or links …'),\n\t\t\t\tshowOnlyWhenEditable: true,\n\t\t\t}),\n\t\t]\n\t} else {\n\t\trichEditingExtensions = [\n\t\t\tnew PlainTextDocument(),\n\t\t\tnew Text(),\n\t\t\tnew CodeBlockHighlight({\n\t\t\t\t...languages,\n\t\t\t}),\n\t\t]\n\t}\n\textensions = extensions || []\n\treturn new Editor({\n\t\tcontent,\n\t\tonInit,\n\t\tonUpdate,\n\t\textensions: [\n\t\t\t...richEditingExtensions,\n\t\t\tnew History(),\n\t\t].concat(extensions),\n\t\tuseBuiltInExtensions: enableRichEditing,\n\t})\n}\n\nconst markdownit = MarkdownIt('commonmark', { html: false, breaks: false })\n\t.enable('strikethrough')\n\t.use(taskLists, { enable: true, labelAfter: true })\n\nconst SerializeException = function(message) {\n\tthis.message = message\n}\nconst createMarkdownSerializer = (_nodes, _marks) => {\n\tconst nodes = Object\n\t\t.entries(_nodes)\n\t\t.filter(([, node]) => node.toMarkdown)\n\t\t.reduce((items, [name, { toMarkdown }]) => ({\n\t\t\t...items,\n\t\t\t[name]: toMarkdown,\n\t\t}), {})\n\n\tconst marks = Object\n\t\t.entries(_marks)\n\t\t.filter(([, node]) => node.toMarkdown)\n\t\t.reduce((items, [name, { toMarkdown }]) => ({\n\t\t\t...items,\n\t\t\t[name]: toMarkdown,\n\t\t}), {})\n\treturn {\n\t\tserializer: new MarkdownSerializer(\n\t\t\t{ ...defaultMarkdownSerializer.nodes, ...nodes },\n\t\t\t{ ...defaultMarkdownSerializer.marks, ...marks }\n\t\t),\n\t\tserialize(content, options) {\n\t\t\treturn this.serializer.serialize(content, { ...options, tightLists: true })\n\t\t\t\t.split('\\\\[').join('[')\n\t\t\t\t.split('\\\\]').join(']')\n\t\t},\n\t}\n}\n\nconst serializePlainText = (tiptap) => {\n\tconst doc = tiptap.getJSON()\n\n\tif (doc.content.length !== 1 || typeof doc.content[0].content === 'undefined' || doc.content[0].content.length !== 1) {\n\t\tif (doc.content[0].type === 'code_block' && typeof doc.content[0].content === 'undefined') {\n\t\t\treturn ''\n\t\t}\n\t\tthrow new SerializeException('Failed to serialize document to plain text')\n\t}\n\tconst codeBlock = doc.content[0].content[0]\n\tif (codeBlock.type !== 'text') {\n\t\tthrow new SerializeException('Failed to serialize document to plain text')\n\t}\n\treturn codeBlock.text\n}\n\nexport default createEditor\nexport { markdownit, createEditor, createMarkdownSerializer, serializePlainText, loadSyntaxHighlight }\n","var map = {\n\t\"./af\": 225,\n\t\"./af.js\": 225,\n\t\"./ar\": 226,\n\t\"./ar-dz\": 227,\n\t\"./ar-dz.js\": 227,\n\t\"./ar-kw\": 228,\n\t\"./ar-kw.js\": 228,\n\t\"./ar-ly\": 229,\n\t\"./ar-ly.js\": 229,\n\t\"./ar-ma\": 230,\n\t\"./ar-ma.js\": 230,\n\t\"./ar-sa\": 231,\n\t\"./ar-sa.js\": 231,\n\t\"./ar-tn\": 232,\n\t\"./ar-tn.js\": 232,\n\t\"./ar.js\": 226,\n\t\"./az\": 233,\n\t\"./az.js\": 233,\n\t\"./be\": 234,\n\t\"./be.js\": 234,\n\t\"./bg\": 235,\n\t\"./bg.js\": 235,\n\t\"./bm\": 236,\n\t\"./bm.js\": 236,\n\t\"./bn\": 237,\n\t\"./bn.js\": 237,\n\t\"./bo\": 238,\n\t\"./bo.js\": 238,\n\t\"./br\": 239,\n\t\"./br.js\": 239,\n\t\"./bs\": 240,\n\t\"./bs.js\": 240,\n\t\"./ca\": 241,\n\t\"./ca.js\": 241,\n\t\"./cs\": 242,\n\t\"./cs.js\": 242,\n\t\"./cv\": 243,\n\t\"./cv.js\": 243,\n\t\"./cy\": 244,\n\t\"./cy.js\": 244,\n\t\"./da\": 245,\n\t\"./da.js\": 245,\n\t\"./de\": 246,\n\t\"./de-at\": 247,\n\t\"./de-at.js\": 247,\n\t\"./de-ch\": 248,\n\t\"./de-ch.js\": 248,\n\t\"./de.js\": 246,\n\t\"./dv\": 249,\n\t\"./dv.js\": 249,\n\t\"./el\": 250,\n\t\"./el.js\": 250,\n\t\"./en-SG\": 251,\n\t\"./en-SG.js\": 251,\n\t\"./en-au\": 252,\n\t\"./en-au.js\": 252,\n\t\"./en-ca\": 253,\n\t\"./en-ca.js\": 253,\n\t\"./en-gb\": 254,\n\t\"./en-gb.js\": 254,\n\t\"./en-ie\": 255,\n\t\"./en-ie.js\": 255,\n\t\"./en-il\": 256,\n\t\"./en-il.js\": 256,\n\t\"./en-nz\": 257,\n\t\"./en-nz.js\": 257,\n\t\"./eo\": 258,\n\t\"./eo.js\": 258,\n\t\"./es\": 259,\n\t\"./es-do\": 260,\n\t\"./es-do.js\": 260,\n\t\"./es-us\": 261,\n\t\"./es-us.js\": 261,\n\t\"./es.js\": 259,\n\t\"./et\": 262,\n\t\"./et.js\": 262,\n\t\"./eu\": 263,\n\t\"./eu.js\": 263,\n\t\"./fa\": 264,\n\t\"./fa.js\": 264,\n\t\"./fi\": 265,\n\t\"./fi.js\": 265,\n\t\"./fo\": 266,\n\t\"./fo.js\": 266,\n\t\"./fr\": 267,\n\t\"./fr-ca\": 268,\n\t\"./fr-ca.js\": 268,\n\t\"./fr-ch\": 269,\n\t\"./fr-ch.js\": 269,\n\t\"./fr.js\": 267,\n\t\"./fy\": 270,\n\t\"./fy.js\": 270,\n\t\"./ga\": 271,\n\t\"./ga.js\": 271,\n\t\"./gd\": 272,\n\t\"./gd.js\": 272,\n\t\"./gl\": 273,\n\t\"./gl.js\": 273,\n\t\"./gom-latn\": 274,\n\t\"./gom-latn.js\": 274,\n\t\"./gu\": 275,\n\t\"./gu.js\": 275,\n\t\"./he\": 276,\n\t\"./he.js\": 276,\n\t\"./hi\": 277,\n\t\"./hi.js\": 277,\n\t\"./hr\": 278,\n\t\"./hr.js\": 278,\n\t\"./hu\": 279,\n\t\"./hu.js\": 279,\n\t\"./hy-am\": 280,\n\t\"./hy-am.js\": 280,\n\t\"./id\": 281,\n\t\"./id.js\": 281,\n\t\"./is\": 282,\n\t\"./is.js\": 282,\n\t\"./it\": 283,\n\t\"./it-ch\": 284,\n\t\"./it-ch.js\": 284,\n\t\"./it.js\": 283,\n\t\"./ja\": 285,\n\t\"./ja.js\": 285,\n\t\"./jv\": 286,\n\t\"./jv.js\": 286,\n\t\"./ka\": 287,\n\t\"./ka.js\": 287,\n\t\"./kk\": 288,\n\t\"./kk.js\": 288,\n\t\"./km\": 289,\n\t\"./km.js\": 289,\n\t\"./kn\": 290,\n\t\"./kn.js\": 290,\n\t\"./ko\": 291,\n\t\"./ko.js\": 291,\n\t\"./ku\": 292,\n\t\"./ku.js\": 292,\n\t\"./ky\": 293,\n\t\"./ky.js\": 293,\n\t\"./lb\": 294,\n\t\"./lb.js\": 294,\n\t\"./lo\": 295,\n\t\"./lo.js\": 295,\n\t\"./lt\": 296,\n\t\"./lt.js\": 296,\n\t\"./lv\": 297,\n\t\"./lv.js\": 297,\n\t\"./me\": 298,\n\t\"./me.js\": 298,\n\t\"./mi\": 299,\n\t\"./mi.js\": 299,\n\t\"./mk\": 300,\n\t\"./mk.js\": 300,\n\t\"./ml\": 301,\n\t\"./ml.js\": 301,\n\t\"./mn\": 302,\n\t\"./mn.js\": 302,\n\t\"./mr\": 303,\n\t\"./mr.js\": 303,\n\t\"./ms\": 304,\n\t\"./ms-my\": 305,\n\t\"./ms-my.js\": 305,\n\t\"./ms.js\": 304,\n\t\"./mt\": 306,\n\t\"./mt.js\": 306,\n\t\"./my\": 307,\n\t\"./my.js\": 307,\n\t\"./nb\": 308,\n\t\"./nb.js\": 308,\n\t\"./ne\": 309,\n\t\"./ne.js\": 309,\n\t\"./nl\": 310,\n\t\"./nl-be\": 311,\n\t\"./nl-be.js\": 311,\n\t\"./nl.js\": 310,\n\t\"./nn\": 312,\n\t\"./nn.js\": 312,\n\t\"./pa-in\": 313,\n\t\"./pa-in.js\": 313,\n\t\"./pl\": 314,\n\t\"./pl.js\": 314,\n\t\"./pt\": 315,\n\t\"./pt-br\": 316,\n\t\"./pt-br.js\": 316,\n\t\"./pt.js\": 315,\n\t\"./ro\": 317,\n\t\"./ro.js\": 317,\n\t\"./ru\": 318,\n\t\"./ru.js\": 318,\n\t\"./sd\": 319,\n\t\"./sd.js\": 319,\n\t\"./se\": 320,\n\t\"./se.js\": 320,\n\t\"./si\": 321,\n\t\"./si.js\": 321,\n\t\"./sk\": 322,\n\t\"./sk.js\": 322,\n\t\"./sl\": 323,\n\t\"./sl.js\": 323,\n\t\"./sq\": 324,\n\t\"./sq.js\": 324,\n\t\"./sr\": 325,\n\t\"./sr-cyrl\": 326,\n\t\"./sr-cyrl.js\": 326,\n\t\"./sr.js\": 325,\n\t\"./ss\": 327,\n\t\"./ss.js\": 327,\n\t\"./sv\": 328,\n\t\"./sv.js\": 328,\n\t\"./sw\": 329,\n\t\"./sw.js\": 329,\n\t\"./ta\": 330,\n\t\"./ta.js\": 330,\n\t\"./te\": 331,\n\t\"./te.js\": 331,\n\t\"./tet\": 332,\n\t\"./tet.js\": 332,\n\t\"./tg\": 333,\n\t\"./tg.js\": 333,\n\t\"./th\": 334,\n\t\"./th.js\": 334,\n\t\"./tl-ph\": 335,\n\t\"./tl-ph.js\": 335,\n\t\"./tlh\": 336,\n\t\"./tlh.js\": 336,\n\t\"./tr\": 337,\n\t\"./tr.js\": 337,\n\t\"./tzl\": 338,\n\t\"./tzl.js\": 338,\n\t\"./tzm\": 339,\n\t\"./tzm-latn\": 340,\n\t\"./tzm-latn.js\": 340,\n\t\"./tzm.js\": 339,\n\t\"./ug-cn\": 341,\n\t\"./ug-cn.js\": 341,\n\t\"./uk\": 342,\n\t\"./uk.js\": 342,\n\t\"./ur\": 343,\n\t\"./ur.js\": 343,\n\t\"./uz\": 344,\n\t\"./uz-latn\": 345,\n\t\"./uz-latn.js\": 345,\n\t\"./uz.js\": 344,\n\t\"./vi\": 346,\n\t\"./vi.js\": 346,\n\t\"./x-pseudo\": 347,\n\t\"./x-pseudo.js\": 347,\n\t\"./yo\": 348,\n\t\"./yo.js\": 348,\n\t\"./zh-cn\": 349,\n\t\"./zh-cn.js\": 349,\n\t\"./zh-hk\": 350,\n\t\"./zh-hk.js\": 350,\n\t\"./zh-tw\": 351,\n\t\"./zh-tw.js\": 351\n};\n\n\nfunction webpackContext(req) {\n\tvar id = webpackContextResolve(req);\n\treturn __webpack_require__(id);\n}\nfunction webpackContextResolve(req) {\n\tif(!__webpack_require__.o(map, req)) {\n\t\tvar e = new Error(\"Cannot find module '\" + req + \"'\");\n\t\te.code = 'MODULE_NOT_FOUND';\n\t\tthrow e;\n\t}\n\treturn map[req];\n}\nwebpackContext.keys = function webpackContextKeys() {\n\treturn Object.keys(map);\n};\nwebpackContext.resolve = webpackContextResolve;\nmodule.exports = webpackContext;\nwebpackContext.id = 555;","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ImageView.vue?vue&type=style&index=0&id=5a0c4be0&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ImageView.vue?vue&type=style&index=0&id=5a0c4be0&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \".image[data-v-5a0c4be0]{margin:0;padding:0}.image__caption[data-v-5a0c4be0]{text-align:center;color:var(--color-text-lighter)}.image__caption input[type='text'][data-v-5a0c4be0]{width:100%;border:none;text-align:center}.icon-image[data-v-5a0c4be0]{margin-top:10px;height:32px;padding:20px;background-size:contain}.image__loading[data-v-5a0c4be0]{height:100px}.image__view[data-v-5a0c4be0]{text-align:center}.image__view .image__main[data-v-5a0c4be0]{max-height:40vh}.image__placeholder a[data-v-5a0c4be0]{display:flex}.image__placeholder .image__main[data-v-5a0c4be0]{background-color:var(--color-background-dark);text-align:center;padding:5px;border-radius:var(--border-radius)}.image__placeholder .image__main .icon-image[data-v-5a0c4be0]{margin:0}.image__placeholder .image__main p[data-v-5a0c4be0]{padding:10px}.fade-enter-active[data-v-5a0c4be0]{transition:opacity .3s ease-in-out}.fade-enter-to[data-v-5a0c4be0]{opacity:1}.fade-enter[data-v-5a0c4be0]{opacity:0}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var map = {\n\t\"./1c\": [\n\t\t368,\n\t\t2\n\t],\n\t\"./1c.js\": [\n\t\t368,\n\t\t2\n\t],\n\t\"./abnf\": [\n\t\t369,\n\t\t3\n\t],\n\t\"./abnf.js\": [\n\t\t369,\n\t\t3\n\t],\n\t\"./accesslog\": [\n\t\t370,\n\t\t4\n\t],\n\t\"./accesslog.js\": [\n\t\t370,\n\t\t4\n\t],\n\t\"./actionscript\": [\n\t\t371,\n\t\t5\n\t],\n\t\"./actionscript.js\": [\n\t\t371,\n\t\t5\n\t],\n\t\"./ada\": [\n\t\t372,\n\t\t6\n\t],\n\t\"./ada.js\": [\n\t\t372,\n\t\t6\n\t],\n\t\"./angelscript\": [\n\t\t373,\n\t\t7\n\t],\n\t\"./angelscript.js\": [\n\t\t373,\n\t\t7\n\t],\n\t\"./apache\": [\n\t\t374,\n\t\t8\n\t],\n\t\"./apache.js\": [\n\t\t374,\n\t\t8\n\t],\n\t\"./applescript\": [\n\t\t375,\n\t\t9\n\t],\n\t\"./applescript.js\": [\n\t\t375,\n\t\t9\n\t],\n\t\"./arcade\": [\n\t\t376,\n\t\t10\n\t],\n\t\"./arcade.js\": [\n\t\t376,\n\t\t10\n\t],\n\t\"./arduino\": [\n\t\t377,\n\t\t11\n\t],\n\t\"./arduino.js\": [\n\t\t377,\n\t\t11\n\t],\n\t\"./armasm\": [\n\t\t378,\n\t\t12\n\t],\n\t\"./armasm.js\": [\n\t\t378,\n\t\t12\n\t],\n\t\"./asciidoc\": [\n\t\t379,\n\t\t13\n\t],\n\t\"./asciidoc.js\": [\n\t\t379,\n\t\t13\n\t],\n\t\"./aspectj\": [\n\t\t380,\n\t\t14\n\t],\n\t\"./aspectj.js\": [\n\t\t380,\n\t\t14\n\t],\n\t\"./autohotkey\": [\n\t\t381,\n\t\t15\n\t],\n\t\"./autohotkey.js\": [\n\t\t381,\n\t\t15\n\t],\n\t\"./autoit\": [\n\t\t382,\n\t\t16\n\t],\n\t\"./autoit.js\": [\n\t\t382,\n\t\t16\n\t],\n\t\"./avrasm\": [\n\t\t383,\n\t\t17\n\t],\n\t\"./avrasm.js\": [\n\t\t383,\n\t\t17\n\t],\n\t\"./awk\": [\n\t\t384,\n\t\t18\n\t],\n\t\"./awk.js\": [\n\t\t384,\n\t\t18\n\t],\n\t\"./axapta\": [\n\t\t385,\n\t\t19\n\t],\n\t\"./axapta.js\": [\n\t\t385,\n\t\t19\n\t],\n\t\"./bash\": [\n\t\t386,\n\t\t20\n\t],\n\t\"./bash.js\": [\n\t\t386,\n\t\t20\n\t],\n\t\"./basic\": [\n\t\t387,\n\t\t21\n\t],\n\t\"./basic.js\": [\n\t\t387,\n\t\t21\n\t],\n\t\"./bnf\": [\n\t\t388,\n\t\t22\n\t],\n\t\"./bnf.js\": [\n\t\t388,\n\t\t22\n\t],\n\t\"./brainfuck\": [\n\t\t389,\n\t\t23\n\t],\n\t\"./brainfuck.js\": [\n\t\t389,\n\t\t23\n\t],\n\t\"./cal\": [\n\t\t390,\n\t\t24\n\t],\n\t\"./cal.js\": [\n\t\t390,\n\t\t24\n\t],\n\t\"./capnproto\": [\n\t\t391,\n\t\t25\n\t],\n\t\"./capnproto.js\": [\n\t\t391,\n\t\t25\n\t],\n\t\"./ceylon\": [\n\t\t392,\n\t\t26\n\t],\n\t\"./ceylon.js\": [\n\t\t392,\n\t\t26\n\t],\n\t\"./clean\": [\n\t\t393,\n\t\t27\n\t],\n\t\"./clean.js\": [\n\t\t393,\n\t\t27\n\t],\n\t\"./clojure\": [\n\t\t395,\n\t\t28\n\t],\n\t\"./clojure-repl\": [\n\t\t394,\n\t\t29\n\t],\n\t\"./clojure-repl.js\": [\n\t\t394,\n\t\t29\n\t],\n\t\"./clojure.js\": [\n\t\t395,\n\t\t28\n\t],\n\t\"./cmake\": [\n\t\t396,\n\t\t30\n\t],\n\t\"./cmake.js\": [\n\t\t396,\n\t\t30\n\t],\n\t\"./coffeescript\": [\n\t\t397,\n\t\t31\n\t],\n\t\"./coffeescript.js\": [\n\t\t397,\n\t\t31\n\t],\n\t\"./coq\": [\n\t\t398,\n\t\t32\n\t],\n\t\"./coq.js\": [\n\t\t398,\n\t\t32\n\t],\n\t\"./cos\": [\n\t\t399,\n\t\t33\n\t],\n\t\"./cos.js\": [\n\t\t399,\n\t\t33\n\t],\n\t\"./cpp\": [\n\t\t400,\n\t\t34\n\t],\n\t\"./cpp.js\": [\n\t\t400,\n\t\t34\n\t],\n\t\"./crmsh\": [\n\t\t401,\n\t\t35\n\t],\n\t\"./crmsh.js\": [\n\t\t401,\n\t\t35\n\t],\n\t\"./crystal\": [\n\t\t402,\n\t\t36\n\t],\n\t\"./crystal.js\": [\n\t\t402,\n\t\t36\n\t],\n\t\"./cs\": [\n\t\t403,\n\t\t37\n\t],\n\t\"./cs.js\": [\n\t\t403,\n\t\t37\n\t],\n\t\"./csp\": [\n\t\t404,\n\t\t38\n\t],\n\t\"./csp.js\": [\n\t\t404,\n\t\t38\n\t],\n\t\"./css\": [\n\t\t405,\n\t\t39\n\t],\n\t\"./css.js\": [\n\t\t405,\n\t\t39\n\t],\n\t\"./d\": [\n\t\t406,\n\t\t40\n\t],\n\t\"./d.js\": [\n\t\t406,\n\t\t40\n\t],\n\t\"./dart\": [\n\t\t407,\n\t\t41\n\t],\n\t\"./dart.js\": [\n\t\t407,\n\t\t41\n\t],\n\t\"./delphi\": [\n\t\t408,\n\t\t42\n\t],\n\t\"./delphi.js\": [\n\t\t408,\n\t\t42\n\t],\n\t\"./diff\": [\n\t\t409,\n\t\t43\n\t],\n\t\"./diff.js\": [\n\t\t409,\n\t\t43\n\t],\n\t\"./django\": [\n\t\t410,\n\t\t44\n\t],\n\t\"./django.js\": [\n\t\t410,\n\t\t44\n\t],\n\t\"./dns\": [\n\t\t411,\n\t\t45\n\t],\n\t\"./dns.js\": [\n\t\t411,\n\t\t45\n\t],\n\t\"./dockerfile\": [\n\t\t412,\n\t\t46\n\t],\n\t\"./dockerfile.js\": [\n\t\t412,\n\t\t46\n\t],\n\t\"./dos\": [\n\t\t413,\n\t\t47\n\t],\n\t\"./dos.js\": [\n\t\t413,\n\t\t47\n\t],\n\t\"./dsconfig\": [\n\t\t414,\n\t\t48\n\t],\n\t\"./dsconfig.js\": [\n\t\t414,\n\t\t48\n\t],\n\t\"./dts\": [\n\t\t415,\n\t\t49\n\t],\n\t\"./dts.js\": [\n\t\t415,\n\t\t49\n\t],\n\t\"./dust\": [\n\t\t416,\n\t\t50\n\t],\n\t\"./dust.js\": [\n\t\t416,\n\t\t50\n\t],\n\t\"./ebnf\": [\n\t\t417,\n\t\t51\n\t],\n\t\"./ebnf.js\": [\n\t\t417,\n\t\t51\n\t],\n\t\"./elixir\": [\n\t\t418,\n\t\t52\n\t],\n\t\"./elixir.js\": [\n\t\t418,\n\t\t52\n\t],\n\t\"./elm\": [\n\t\t419,\n\t\t53\n\t],\n\t\"./elm.js\": [\n\t\t419,\n\t\t53\n\t],\n\t\"./erb\": [\n\t\t420,\n\t\t54\n\t],\n\t\"./erb.js\": [\n\t\t420,\n\t\t54\n\t],\n\t\"./erlang\": [\n\t\t422,\n\t\t55\n\t],\n\t\"./erlang-repl\": [\n\t\t421,\n\t\t56\n\t],\n\t\"./erlang-repl.js\": [\n\t\t421,\n\t\t56\n\t],\n\t\"./erlang.js\": [\n\t\t422,\n\t\t55\n\t],\n\t\"./excel\": [\n\t\t423,\n\t\t57\n\t],\n\t\"./excel.js\": [\n\t\t423,\n\t\t57\n\t],\n\t\"./fix\": [\n\t\t424,\n\t\t58\n\t],\n\t\"./fix.js\": [\n\t\t424,\n\t\t58\n\t],\n\t\"./flix\": [\n\t\t425,\n\t\t59\n\t],\n\t\"./flix.js\": [\n\t\t425,\n\t\t59\n\t],\n\t\"./fortran\": [\n\t\t426,\n\t\t60\n\t],\n\t\"./fortran.js\": [\n\t\t426,\n\t\t60\n\t],\n\t\"./fsharp\": [\n\t\t427,\n\t\t61\n\t],\n\t\"./fsharp.js\": [\n\t\t427,\n\t\t61\n\t],\n\t\"./gams\": [\n\t\t428,\n\t\t62\n\t],\n\t\"./gams.js\": [\n\t\t428,\n\t\t62\n\t],\n\t\"./gauss\": [\n\t\t429,\n\t\t63\n\t],\n\t\"./gauss.js\": [\n\t\t429,\n\t\t63\n\t],\n\t\"./gcode\": [\n\t\t430,\n\t\t64\n\t],\n\t\"./gcode.js\": [\n\t\t430,\n\t\t64\n\t],\n\t\"./gherkin\": [\n\t\t431,\n\t\t65\n\t],\n\t\"./gherkin.js\": [\n\t\t431,\n\t\t65\n\t],\n\t\"./glsl\": [\n\t\t432,\n\t\t66\n\t],\n\t\"./glsl.js\": [\n\t\t432,\n\t\t66\n\t],\n\t\"./gml\": [\n\t\t433,\n\t\t67\n\t],\n\t\"./gml.js\": [\n\t\t433,\n\t\t67\n\t],\n\t\"./go\": [\n\t\t434,\n\t\t68\n\t],\n\t\"./go.js\": [\n\t\t434,\n\t\t68\n\t],\n\t\"./golo\": [\n\t\t435,\n\t\t69\n\t],\n\t\"./golo.js\": [\n\t\t435,\n\t\t69\n\t],\n\t\"./gradle\": [\n\t\t436,\n\t\t70\n\t],\n\t\"./gradle.js\": [\n\t\t436,\n\t\t70\n\t],\n\t\"./groovy\": [\n\t\t437,\n\t\t71\n\t],\n\t\"./groovy.js\": [\n\t\t437,\n\t\t71\n\t],\n\t\"./haml\": [\n\t\t438,\n\t\t72\n\t],\n\t\"./haml.js\": [\n\t\t438,\n\t\t72\n\t],\n\t\"./handlebars\": [\n\t\t439,\n\t\t73\n\t],\n\t\"./handlebars.js\": [\n\t\t439,\n\t\t73\n\t],\n\t\"./haskell\": [\n\t\t440,\n\t\t74\n\t],\n\t\"./haskell.js\": [\n\t\t440,\n\t\t74\n\t],\n\t\"./haxe\": [\n\t\t441,\n\t\t75\n\t],\n\t\"./haxe.js\": [\n\t\t441,\n\t\t75\n\t],\n\t\"./hsp\": [\n\t\t442,\n\t\t76\n\t],\n\t\"./hsp.js\": [\n\t\t442,\n\t\t76\n\t],\n\t\"./htmlbars\": [\n\t\t443,\n\t\t77\n\t],\n\t\"./htmlbars.js\": [\n\t\t443,\n\t\t77\n\t],\n\t\"./http\": [\n\t\t444,\n\t\t78\n\t],\n\t\"./http.js\": [\n\t\t444,\n\t\t78\n\t],\n\t\"./hy\": [\n\t\t445,\n\t\t79\n\t],\n\t\"./hy.js\": [\n\t\t445,\n\t\t79\n\t],\n\t\"./inform7\": [\n\t\t446,\n\t\t80\n\t],\n\t\"./inform7.js\": [\n\t\t446,\n\t\t80\n\t],\n\t\"./ini\": [\n\t\t447,\n\t\t81\n\t],\n\t\"./ini.js\": [\n\t\t447,\n\t\t81\n\t],\n\t\"./irpf90\": [\n\t\t448,\n\t\t82\n\t],\n\t\"./irpf90.js\": [\n\t\t448,\n\t\t82\n\t],\n\t\"./isbl\": [\n\t\t449,\n\t\t83\n\t],\n\t\"./isbl.js\": [\n\t\t449,\n\t\t83\n\t],\n\t\"./java\": [\n\t\t450,\n\t\t84\n\t],\n\t\"./java.js\": [\n\t\t450,\n\t\t84\n\t],\n\t\"./javascript\": [\n\t\t451,\n\t\t85\n\t],\n\t\"./javascript.js\": [\n\t\t451,\n\t\t85\n\t],\n\t\"./jboss-cli\": [\n\t\t452,\n\t\t86\n\t],\n\t\"./jboss-cli.js\": [\n\t\t452,\n\t\t86\n\t],\n\t\"./json\": [\n\t\t453,\n\t\t87\n\t],\n\t\"./json.js\": [\n\t\t453,\n\t\t87\n\t],\n\t\"./julia\": [\n\t\t455,\n\t\t88\n\t],\n\t\"./julia-repl\": [\n\t\t454,\n\t\t89\n\t],\n\t\"./julia-repl.js\": [\n\t\t454,\n\t\t89\n\t],\n\t\"./julia.js\": [\n\t\t455,\n\t\t88\n\t],\n\t\"./kotlin\": [\n\t\t456,\n\t\t90\n\t],\n\t\"./kotlin.js\": [\n\t\t456,\n\t\t90\n\t],\n\t\"./lasso\": [\n\t\t457,\n\t\t91\n\t],\n\t\"./lasso.js\": [\n\t\t457,\n\t\t91\n\t],\n\t\"./ldif\": [\n\t\t458,\n\t\t92\n\t],\n\t\"./ldif.js\": [\n\t\t458,\n\t\t92\n\t],\n\t\"./leaf\": [\n\t\t459,\n\t\t93\n\t],\n\t\"./leaf.js\": [\n\t\t459,\n\t\t93\n\t],\n\t\"./less\": [\n\t\t460,\n\t\t94\n\t],\n\t\"./less.js\": [\n\t\t460,\n\t\t94\n\t],\n\t\"./lisp\": [\n\t\t461,\n\t\t95\n\t],\n\t\"./lisp.js\": [\n\t\t461,\n\t\t95\n\t],\n\t\"./livecodeserver\": [\n\t\t462,\n\t\t96\n\t],\n\t\"./livecodeserver.js\": [\n\t\t462,\n\t\t96\n\t],\n\t\"./livescript\": [\n\t\t463,\n\t\t97\n\t],\n\t\"./livescript.js\": [\n\t\t463,\n\t\t97\n\t],\n\t\"./llvm\": [\n\t\t464,\n\t\t98\n\t],\n\t\"./llvm.js\": [\n\t\t464,\n\t\t98\n\t],\n\t\"./lsl\": [\n\t\t465,\n\t\t99\n\t],\n\t\"./lsl.js\": [\n\t\t465,\n\t\t99\n\t],\n\t\"./lua\": [\n\t\t466,\n\t\t100\n\t],\n\t\"./lua.js\": [\n\t\t466,\n\t\t100\n\t],\n\t\"./makefile\": [\n\t\t467,\n\t\t101\n\t],\n\t\"./makefile.js\": [\n\t\t467,\n\t\t101\n\t],\n\t\"./markdown\": [\n\t\t468,\n\t\t102\n\t],\n\t\"./markdown.js\": [\n\t\t468,\n\t\t102\n\t],\n\t\"./mathematica\": [\n\t\t469,\n\t\t103\n\t],\n\t\"./mathematica.js\": [\n\t\t469,\n\t\t103\n\t],\n\t\"./matlab\": [\n\t\t470,\n\t\t104\n\t],\n\t\"./matlab.js\": [\n\t\t470,\n\t\t104\n\t],\n\t\"./maxima\": [\n\t\t471,\n\t\t105\n\t],\n\t\"./maxima.js\": [\n\t\t471,\n\t\t105\n\t],\n\t\"./mel\": [\n\t\t472,\n\t\t106\n\t],\n\t\"./mel.js\": [\n\t\t472,\n\t\t106\n\t],\n\t\"./mercury\": [\n\t\t473,\n\t\t107\n\t],\n\t\"./mercury.js\": [\n\t\t473,\n\t\t107\n\t],\n\t\"./mipsasm\": [\n\t\t474,\n\t\t108\n\t],\n\t\"./mipsasm.js\": [\n\t\t474,\n\t\t108\n\t],\n\t\"./mizar\": [\n\t\t475,\n\t\t109\n\t],\n\t\"./mizar.js\": [\n\t\t475,\n\t\t109\n\t],\n\t\"./mojolicious\": [\n\t\t476,\n\t\t110\n\t],\n\t\"./mojolicious.js\": [\n\t\t476,\n\t\t110\n\t],\n\t\"./monkey\": [\n\t\t477,\n\t\t111\n\t],\n\t\"./monkey.js\": [\n\t\t477,\n\t\t111\n\t],\n\t\"./moonscript\": [\n\t\t478,\n\t\t112\n\t],\n\t\"./moonscript.js\": [\n\t\t478,\n\t\t112\n\t],\n\t\"./n1ql\": [\n\t\t479,\n\t\t113\n\t],\n\t\"./n1ql.js\": [\n\t\t479,\n\t\t113\n\t],\n\t\"./nginx\": [\n\t\t480,\n\t\t114\n\t],\n\t\"./nginx.js\": [\n\t\t480,\n\t\t114\n\t],\n\t\"./nimrod\": [\n\t\t481,\n\t\t115\n\t],\n\t\"./nimrod.js\": [\n\t\t481,\n\t\t115\n\t],\n\t\"./nix\": [\n\t\t482,\n\t\t116\n\t],\n\t\"./nix.js\": [\n\t\t482,\n\t\t116\n\t],\n\t\"./nsis\": [\n\t\t483,\n\t\t117\n\t],\n\t\"./nsis.js\": [\n\t\t483,\n\t\t117\n\t],\n\t\"./objectivec\": [\n\t\t484,\n\t\t118\n\t],\n\t\"./objectivec.js\": [\n\t\t484,\n\t\t118\n\t],\n\t\"./ocaml\": [\n\t\t485,\n\t\t119\n\t],\n\t\"./ocaml.js\": [\n\t\t485,\n\t\t119\n\t],\n\t\"./openscad\": [\n\t\t486,\n\t\t120\n\t],\n\t\"./openscad.js\": [\n\t\t486,\n\t\t120\n\t],\n\t\"./oxygene\": [\n\t\t487,\n\t\t121\n\t],\n\t\"./oxygene.js\": [\n\t\t487,\n\t\t121\n\t],\n\t\"./parser3\": [\n\t\t488,\n\t\t122\n\t],\n\t\"./parser3.js\": [\n\t\t488,\n\t\t122\n\t],\n\t\"./perl\": [\n\t\t489,\n\t\t123\n\t],\n\t\"./perl.js\": [\n\t\t489,\n\t\t123\n\t],\n\t\"./pf\": [\n\t\t490,\n\t\t124\n\t],\n\t\"./pf.js\": [\n\t\t490,\n\t\t124\n\t],\n\t\"./pgsql\": [\n\t\t491,\n\t\t125\n\t],\n\t\"./pgsql.js\": [\n\t\t491,\n\t\t125\n\t],\n\t\"./php\": [\n\t\t492,\n\t\t126\n\t],\n\t\"./php.js\": [\n\t\t492,\n\t\t126\n\t],\n\t\"./plaintext\": [\n\t\t493,\n\t\t127\n\t],\n\t\"./plaintext.js\": [\n\t\t493,\n\t\t127\n\t],\n\t\"./pony\": [\n\t\t494,\n\t\t128\n\t],\n\t\"./pony.js\": [\n\t\t494,\n\t\t128\n\t],\n\t\"./powershell\": [\n\t\t495,\n\t\t129\n\t],\n\t\"./powershell.js\": [\n\t\t495,\n\t\t129\n\t],\n\t\"./processing\": [\n\t\t496,\n\t\t130\n\t],\n\t\"./processing.js\": [\n\t\t496,\n\t\t130\n\t],\n\t\"./profile\": [\n\t\t497,\n\t\t131\n\t],\n\t\"./profile.js\": [\n\t\t497,\n\t\t131\n\t],\n\t\"./prolog\": [\n\t\t498,\n\t\t132\n\t],\n\t\"./prolog.js\": [\n\t\t498,\n\t\t132\n\t],\n\t\"./properties\": [\n\t\t499,\n\t\t133\n\t],\n\t\"./properties.js\": [\n\t\t499,\n\t\t133\n\t],\n\t\"./protobuf\": [\n\t\t500,\n\t\t134\n\t],\n\t\"./protobuf.js\": [\n\t\t500,\n\t\t134\n\t],\n\t\"./puppet\": [\n\t\t501,\n\t\t135\n\t],\n\t\"./puppet.js\": [\n\t\t501,\n\t\t135\n\t],\n\t\"./purebasic\": [\n\t\t502,\n\t\t136\n\t],\n\t\"./purebasic.js\": [\n\t\t502,\n\t\t136\n\t],\n\t\"./python\": [\n\t\t503,\n\t\t137\n\t],\n\t\"./python.js\": [\n\t\t503,\n\t\t137\n\t],\n\t\"./q\": [\n\t\t504,\n\t\t138\n\t],\n\t\"./q.js\": [\n\t\t504,\n\t\t138\n\t],\n\t\"./qml\": [\n\t\t505,\n\t\t139\n\t],\n\t\"./qml.js\": [\n\t\t505,\n\t\t139\n\t],\n\t\"./r\": [\n\t\t506,\n\t\t140\n\t],\n\t\"./r.js\": [\n\t\t506,\n\t\t140\n\t],\n\t\"./reasonml\": [\n\t\t507,\n\t\t141\n\t],\n\t\"./reasonml.js\": [\n\t\t507,\n\t\t141\n\t],\n\t\"./rib\": [\n\t\t508,\n\t\t142\n\t],\n\t\"./rib.js\": [\n\t\t508,\n\t\t142\n\t],\n\t\"./roboconf\": [\n\t\t509,\n\t\t143\n\t],\n\t\"./roboconf.js\": [\n\t\t509,\n\t\t143\n\t],\n\t\"./routeros\": [\n\t\t510,\n\t\t144\n\t],\n\t\"./routeros.js\": [\n\t\t510,\n\t\t144\n\t],\n\t\"./rsl\": [\n\t\t511,\n\t\t145\n\t],\n\t\"./rsl.js\": [\n\t\t511,\n\t\t145\n\t],\n\t\"./ruby\": [\n\t\t512,\n\t\t146\n\t],\n\t\"./ruby.js\": [\n\t\t512,\n\t\t146\n\t],\n\t\"./ruleslanguage\": [\n\t\t513,\n\t\t147\n\t],\n\t\"./ruleslanguage.js\": [\n\t\t513,\n\t\t147\n\t],\n\t\"./rust\": [\n\t\t514,\n\t\t148\n\t],\n\t\"./rust.js\": [\n\t\t514,\n\t\t148\n\t],\n\t\"./sas\": [\n\t\t515,\n\t\t149\n\t],\n\t\"./sas.js\": [\n\t\t515,\n\t\t149\n\t],\n\t\"./scala\": [\n\t\t516,\n\t\t150\n\t],\n\t\"./scala.js\": [\n\t\t516,\n\t\t150\n\t],\n\t\"./scheme\": [\n\t\t517,\n\t\t151\n\t],\n\t\"./scheme.js\": [\n\t\t517,\n\t\t151\n\t],\n\t\"./scilab\": [\n\t\t518,\n\t\t152\n\t],\n\t\"./scilab.js\": [\n\t\t518,\n\t\t152\n\t],\n\t\"./scss\": [\n\t\t519,\n\t\t153\n\t],\n\t\"./scss.js\": [\n\t\t519,\n\t\t153\n\t],\n\t\"./shell\": [\n\t\t520,\n\t\t154\n\t],\n\t\"./shell.js\": [\n\t\t520,\n\t\t154\n\t],\n\t\"./smali\": [\n\t\t521,\n\t\t155\n\t],\n\t\"./smali.js\": [\n\t\t521,\n\t\t155\n\t],\n\t\"./smalltalk\": [\n\t\t522,\n\t\t156\n\t],\n\t\"./smalltalk.js\": [\n\t\t522,\n\t\t156\n\t],\n\t\"./sml\": [\n\t\t523,\n\t\t157\n\t],\n\t\"./sml.js\": [\n\t\t523,\n\t\t157\n\t],\n\t\"./sqf\": [\n\t\t524,\n\t\t158\n\t],\n\t\"./sqf.js\": [\n\t\t524,\n\t\t158\n\t],\n\t\"./sql\": [\n\t\t525,\n\t\t159\n\t],\n\t\"./sql.js\": [\n\t\t525,\n\t\t159\n\t],\n\t\"./stan\": [\n\t\t526,\n\t\t160\n\t],\n\t\"./stan.js\": [\n\t\t526,\n\t\t160\n\t],\n\t\"./stata\": [\n\t\t527,\n\t\t161\n\t],\n\t\"./stata.js\": [\n\t\t527,\n\t\t161\n\t],\n\t\"./step21\": [\n\t\t528,\n\t\t162\n\t],\n\t\"./step21.js\": [\n\t\t528,\n\t\t162\n\t],\n\t\"./stylus\": [\n\t\t529,\n\t\t163\n\t],\n\t\"./stylus.js\": [\n\t\t529,\n\t\t163\n\t],\n\t\"./subunit\": [\n\t\t530,\n\t\t164\n\t],\n\t\"./subunit.js\": [\n\t\t530,\n\t\t164\n\t],\n\t\"./swift\": [\n\t\t531,\n\t\t165\n\t],\n\t\"./swift.js\": [\n\t\t531,\n\t\t165\n\t],\n\t\"./taggerscript\": [\n\t\t532,\n\t\t166\n\t],\n\t\"./taggerscript.js\": [\n\t\t532,\n\t\t166\n\t],\n\t\"./tap\": [\n\t\t533,\n\t\t167\n\t],\n\t\"./tap.js\": [\n\t\t533,\n\t\t167\n\t],\n\t\"./tcl\": [\n\t\t534,\n\t\t168\n\t],\n\t\"./tcl.js\": [\n\t\t534,\n\t\t168\n\t],\n\t\"./tex\": [\n\t\t535,\n\t\t169\n\t],\n\t\"./tex.js\": [\n\t\t535,\n\t\t169\n\t],\n\t\"./thrift\": [\n\t\t536,\n\t\t170\n\t],\n\t\"./thrift.js\": [\n\t\t536,\n\t\t170\n\t],\n\t\"./tp\": [\n\t\t537,\n\t\t171\n\t],\n\t\"./tp.js\": [\n\t\t537,\n\t\t171\n\t],\n\t\"./twig\": [\n\t\t538,\n\t\t172\n\t],\n\t\"./twig.js\": [\n\t\t538,\n\t\t172\n\t],\n\t\"./typescript\": [\n\t\t539,\n\t\t173\n\t],\n\t\"./typescript.js\": [\n\t\t539,\n\t\t173\n\t],\n\t\"./vala\": [\n\t\t540,\n\t\t174\n\t],\n\t\"./vala.js\": [\n\t\t540,\n\t\t174\n\t],\n\t\"./vbnet\": [\n\t\t541,\n\t\t175\n\t],\n\t\"./vbnet.js\": [\n\t\t541,\n\t\t175\n\t],\n\t\"./vbscript\": [\n\t\t543,\n\t\t176\n\t],\n\t\"./vbscript-html\": [\n\t\t542,\n\t\t177\n\t],\n\t\"./vbscript-html.js\": [\n\t\t542,\n\t\t177\n\t],\n\t\"./vbscript.js\": [\n\t\t543,\n\t\t176\n\t],\n\t\"./verilog\": [\n\t\t544,\n\t\t178\n\t],\n\t\"./verilog.js\": [\n\t\t544,\n\t\t178\n\t],\n\t\"./vhdl\": [\n\t\t545,\n\t\t179\n\t],\n\t\"./vhdl.js\": [\n\t\t545,\n\t\t179\n\t],\n\t\"./vim\": [\n\t\t546,\n\t\t180\n\t],\n\t\"./vim.js\": [\n\t\t546,\n\t\t180\n\t],\n\t\"./x86asm\": [\n\t\t547,\n\t\t181\n\t],\n\t\"./x86asm.js\": [\n\t\t547,\n\t\t181\n\t],\n\t\"./xl\": [\n\t\t548,\n\t\t182\n\t],\n\t\"./xl.js\": [\n\t\t548,\n\t\t182\n\t],\n\t\"./xml\": [\n\t\t549,\n\t\t183\n\t],\n\t\"./xml.js\": [\n\t\t549,\n\t\t183\n\t],\n\t\"./xquery\": [\n\t\t550,\n\t\t184\n\t],\n\t\"./xquery.js\": [\n\t\t550,\n\t\t184\n\t],\n\t\"./yaml\": [\n\t\t551,\n\t\t185\n\t],\n\t\"./yaml.js\": [\n\t\t551,\n\t\t185\n\t],\n\t\"./zephir\": [\n\t\t552,\n\t\t186\n\t],\n\t\"./zephir.js\": [\n\t\t552,\n\t\t186\n\t]\n};\nfunction webpackAsyncContext(req) {\n\tif(!__webpack_require__.o(map, req)) {\n\t\treturn Promise.resolve().then(function() {\n\t\t\tvar e = new Error(\"Cannot find module '\" + req + \"'\");\n\t\t\te.code = 'MODULE_NOT_FOUND';\n\t\t\tthrow e;\n\t\t});\n\t}\n\n\tvar ids = map[req], id = ids[0];\n\treturn __webpack_require__.e(ids[1]).then(function() {\n\t\treturn __webpack_require__.t(id, 7);\n\t});\n}\nwebpackAsyncContext.keys = function webpackAsyncContextKeys() {\n\treturn Object.keys(map);\n};\nwebpackAsyncContext.id = 623;\nmodule.exports = webpackAsyncContext;","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=0&id=6e21a4b1&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=0&id=6e21a4b1&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#editor-container[data-v-6e21a4b1]{display:block;width:100%;max-width:100%;height:100%;left:0;top:50px;margin:0 auto;position:relative;background-color:var(--color-main-background)}#editor-wrapper[data-v-6e21a4b1]{display:flex;width:100%;height:100%;overflow:hidden;position:absolute}#editor-wrapper .ProseMirror[data-v-6e21a4b1]{margin-top:0 !important}#editor-wrapper.icon-loading #editor[data-v-6e21a4b1]{opacity:0.3}#editor[data-v-6e21a4b1],.editor[data-v-6e21a4b1]{background:var(--color-main-background);color:var(--color-main-text);background-clip:padding-box;border-radius:var(--border-radius);padding:0;position:relative;overflow-y:auto;overflow-x:hidden;width:100%}.msg.icon-error[data-v-6e21a4b1]{padding:12px;border-bottom:1px solid var(--color-border);padding-left:30px;background-position:8px center}.save-status[data-v-6e21a4b1]{padding:9px;text-overflow:ellipsis;color:var(--color-text-lighter)}.save-status.error[data-v-6e21a4b1]{background-color:var(--color-error);color:var(--color-main-background);border-radius:3px}#editor-container #editor-wrapper.has-conflicts[data-v-6e21a4b1]{height:calc(100% - 50px)}#editor-container #editor-wrapper.has-conflicts #editor[data-v-6e21a4b1],#editor-container #editor-wrapper.has-conflicts #read-only-editor[data-v-6e21a4b1]{width:50%;height:100%}#editor-session-list[data-v-6e21a4b1]{padding:4px 16px 4px 4px;display:flex}#editor-session-list input[data-v-6e21a4b1],#editor-session-list div[data-v-6e21a4b1]{vertical-align:middle;margin-left:3px}.editor__content[data-v-6e21a4b1]{max-width:670px;margin:auto;position:relative}#body-public[data-v-6e21a4b1]{height:auto}#files-public-content[data-v-6e21a4b1]{height:auto}#files-public-content #editor-wrapper[data-v-6e21a4b1]{position:relative}#files-public-content #editor-container[data-v-6e21a4b1]{top:0;width:100%}#files-public-content #editor-container #editor[data-v-6e21a4b1] .menubar{position:fixed;top:50px;width:100%}#files-public-content #editor-container #editor[data-v-6e21a4b1]{padding-top:50px;overflow:auto}#files-public-content #editor-container .has-conflicts #editor[data-v-6e21a4b1]{padding-top:0px}.ie #editor[data-v-6e21a4b1] .menubar{position:fixed;top:50px;width:100%}.ie .editor__content[data-v-6e21a4b1] .ProseMirror{padding-top:50px}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=1&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=1&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \".modal-container #editor-container{position:absolute}.ProseMirror-hideselection *::selection{background:transparent;color:var(--color-main-text)}.ProseMirror-hideselection *::-moz-selection{background:transparent;color:var(--color-main-text)}.ProseMirror-hideselection{caret-color:transparent;color:var(--color-main-text)}.ProseMirror-selectednode{outline:2px solid #8cf}li.ProseMirror-selectednode{outline:none}li.ProseMirror-selectednode:after{content:\\\"\\\";position:absolute;left:-32px;right:-2px;top:-2px;bottom:-2px;border:2px solid #8cf;pointer-events:none}.has-conflicts .ProseMirror-menubar,#editor-wrapper.icon-loading .ProseMirror-menubar{display:none}.ProseMirror-gapcursor{display:none;pointer-events:none;position:absolute}.ProseMirror-gapcursor:after{content:\\\"\\\";display:block;position:absolute;top:-2px;width:20px;border-top:1px solid var(--color-main-text);animation:ProseMirror-cursor-blink 1.1s steps(2, start) infinite}@keyframes ProseMirror-cursor-blink{to{visibility:hidden}}#editor-wrapper div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}#editor-wrapper div.ProseMirror[contenteditable=true],#editor-wrapper div.ProseMirror[contenteditable=false],#editor-wrapper div.ProseMirror [contenteditable=true],#editor-wrapper div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}#editor-wrapper div.ProseMirror .checkbox-item{display:flex;align-items:start}#editor-wrapper div.ProseMirror .checkbox-item input[type=checkbox]{display:none}#editor-wrapper div.ProseMirror .checkbox-item:before{content:'';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:\\\"\\\";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}#editor-wrapper div.ProseMirror .checkbox-item.checked:before{background-image:url(\\\"/core/css/../img/actions/checkbox-mark.svg\\\");background-color:var(--color-primary-element)}#editor-wrapper div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}#editor-wrapper div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}#editor-wrapper div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}#editor-wrapper div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}#editor-wrapper div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}#editor-wrapper div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}#editor-wrapper div.ProseMirror p:first-child,#editor-wrapper div.ProseMirror h1:first-child,#editor-wrapper div.ProseMirror h2:first-child,#editor-wrapper div.ProseMirror h3:first-child,#editor-wrapper div.ProseMirror h4:first-child,#editor-wrapper div.ProseMirror h5:first-child,#editor-wrapper div.ProseMirror h6:first-child{margin-top:10px}#editor-wrapper div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}#editor-wrapper div.ProseMirror p{margin-bottom:1em;line-height:150%}#editor-wrapper div.ProseMirror em{font-style:italic}#editor-wrapper div.ProseMirror h1,#editor-wrapper div.ProseMirror h2,#editor-wrapper div.ProseMirror h3,#editor-wrapper div.ProseMirror h4,#editor-wrapper div.ProseMirror h5,#editor-wrapper div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}#editor-wrapper div.ProseMirror h1{font-size:36px;margin-top:48px}#editor-wrapper div.ProseMirror h2{font-size:28px;margin-top:48px}#editor-wrapper div.ProseMirror h3{font-size:24px}#editor-wrapper div.ProseMirror h4{font-size:21px}#editor-wrapper div.ProseMirror h5{font-size:17px}#editor-wrapper div.ProseMirror h6{font-size:14px}#editor-wrapper div.ProseMirror img{cursor:default;max-width:100%}#editor-wrapper div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}#editor-wrapper div.ProseMirror hr:after{content:\\\"\\\";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}#editor-wrapper div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}#editor-wrapper div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}#editor-wrapper div.ProseMirror li{position:relative}#editor-wrapper div.ProseMirror ul,#editor-wrapper div.ProseMirror ol{padding-left:10px;margin-left:10px}#editor-wrapper div.ProseMirror ul li{list-style-type:disc}#editor-wrapper div.ProseMirror ul>li>ul>li{list-style-type:circle}#editor-wrapper div.ProseMirror ul>li>ul>li ul li{list-style-type:square}#editor-wrapper div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}#editor-wrapper .ProseMirror-focused .ProseMirror-gapcursor{display:block}#editor-wrapper .editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}#editor-wrapper:not(.richEditor) .ProseMirror pre{background-color:var(--color-main-background)}#editor-wrapper:not(.richEditor) .ProseMirror pre::before{content:attr(data-language);text-transform:uppercase;display:block;text-align:right;font-weight:bold;font-size:0.6rem}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-comment,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-quote{color:#999999}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-variable,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-template-variable,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-attribute,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-tag,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-name,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-regexp,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-link,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-id,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-class{color:#f2777a}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-number,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-meta,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-built_in,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-builtin-name,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-literal,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-type,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-params{color:#f99157}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-string,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-symbol,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-bullet{color:#99cc99}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-title,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-section{color:#ffcc66}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-keyword,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-tag{color:#6699cc}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-emphasis{font-style:italic}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-strong{font-weight:700}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./DirectEditing.vue?vue&type=style&index=0&id=3ea77884&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./DirectEditing.vue?vue&type=style&index=0&id=3ea77884&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"body[data-v-3ea77884]{position:fixed}#direct-editor[data-v-3ea77884]{width:100%;height:100%;position:fixed;overflow:hidden}#direct-editor[data-v-3ea77884] #editor-container{height:100%;top:0}#direct-editor[data-v-3ea77884] #editor-wrapper div.ProseMirror{margin-top:0}pre[data-v-3ea77884]{width:100%;max-width:700px;margin:auto;background-color:var(--color-background-dark)}button[data-v-3ea77884]{width:44px;height:44px;margin:0;background-size:16px;border:0;background-color:transparent;opacity:.5;color:var(--color-main-text);background-position:center center;vertical-align:top}button[data-v-3ea77884]:hover,button[data-v-3ea77884]:focus,button[data-v-3ea77884]:active{background-color:var(--color-background-dark)}button.is-active[data-v-3ea77884],button[data-v-3ea77884]:hover,button[data-v-3ea77884]:focus{opacity:1}button.icon-undo[data-v-3ea77884],button.icon-redo[data-v-3ea77884]{opacity:.4}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=0&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=0&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#read-only-editor{overflow:scroll}#read-only-editor div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}#read-only-editor div.ProseMirror[contenteditable=true],#read-only-editor div.ProseMirror[contenteditable=false],#read-only-editor div.ProseMirror [contenteditable=true],#read-only-editor div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}#read-only-editor div.ProseMirror .checkbox-item{display:flex;align-items:start}#read-only-editor div.ProseMirror .checkbox-item input[type=checkbox]{display:none}#read-only-editor div.ProseMirror .checkbox-item:before{content:'';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:\\\"\\\";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}#read-only-editor div.ProseMirror .checkbox-item.checked:before{background-image:url(\\\"/core/css/../img/actions/checkbox-mark.svg\\\");background-color:var(--color-primary-element)}#read-only-editor div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}#read-only-editor div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}#read-only-editor div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}#read-only-editor div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}#read-only-editor div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}#read-only-editor div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}#read-only-editor div.ProseMirror p:first-child,#read-only-editor div.ProseMirror h1:first-child,#read-only-editor div.ProseMirror h2:first-child,#read-only-editor div.ProseMirror h3:first-child,#read-only-editor div.ProseMirror h4:first-child,#read-only-editor div.ProseMirror h5:first-child,#read-only-editor div.ProseMirror h6:first-child{margin-top:10px}#read-only-editor div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}#read-only-editor div.ProseMirror p{margin-bottom:1em;line-height:150%}#read-only-editor div.ProseMirror em{font-style:italic}#read-only-editor div.ProseMirror h1,#read-only-editor div.ProseMirror h2,#read-only-editor div.ProseMirror h3,#read-only-editor div.ProseMirror h4,#read-only-editor div.ProseMirror h5,#read-only-editor div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}#read-only-editor div.ProseMirror h1{font-size:36px;margin-top:48px}#read-only-editor div.ProseMirror h2{font-size:28px;margin-top:48px}#read-only-editor div.ProseMirror h3{font-size:24px}#read-only-editor div.ProseMirror h4{font-size:21px}#read-only-editor div.ProseMirror h5{font-size:17px}#read-only-editor div.ProseMirror h6{font-size:14px}#read-only-editor div.ProseMirror img{cursor:default;max-width:100%}#read-only-editor div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}#read-only-editor div.ProseMirror hr:after{content:\\\"\\\";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}#read-only-editor div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}#read-only-editor div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}#read-only-editor div.ProseMirror li{position:relative}#read-only-editor div.ProseMirror ul,#read-only-editor div.ProseMirror ol{padding-left:10px;margin-left:10px}#read-only-editor div.ProseMirror ul li{list-style-type:disc}#read-only-editor div.ProseMirror ul>li>ul>li{list-style-type:circle}#read-only-editor div.ProseMirror ul>li>ul>li ul li{list-style-type:square}#read-only-editor div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}#read-only-editor .ProseMirror-focused .ProseMirror-gapcursor{display:block}#read-only-editor .editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}.thumbnailContainer #read-only-editor{width:100%}.thumbnailContainer #read-only-editor .ProseMirror{height:auto;margin:0 0 0 0;padding:0}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=1&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=1&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}div.ProseMirror[contenteditable=true],div.ProseMirror[contenteditable=false],div.ProseMirror [contenteditable=true],div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}div.ProseMirror .checkbox-item{display:flex;align-items:start}div.ProseMirror .checkbox-item input[type=checkbox]{display:none}div.ProseMirror .checkbox-item:before{content:'';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:\\\"\\\";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}div.ProseMirror .checkbox-item.checked:before{background-image:url(\\\"/core/css/../img/actions/checkbox-mark.svg\\\");background-color:var(--color-primary-element)}div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}div.ProseMirror p:first-child,div.ProseMirror h1:first-child,div.ProseMirror h2:first-child,div.ProseMirror h3:first-child,div.ProseMirror h4:first-child,div.ProseMirror h5:first-child,div.ProseMirror h6:first-child{margin-top:10px}div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}div.ProseMirror p{margin-bottom:1em;line-height:150%}div.ProseMirror em{font-style:italic}div.ProseMirror h1,div.ProseMirror h2,div.ProseMirror h3,div.ProseMirror h4,div.ProseMirror h5,div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}div.ProseMirror h1{font-size:36px;margin-top:48px}div.ProseMirror h2{font-size:28px;margin-top:48px}div.ProseMirror h3{font-size:24px}div.ProseMirror h4{font-size:21px}div.ProseMirror h5{font-size:17px}div.ProseMirror h6{font-size:14px}div.ProseMirror img{cursor:default;max-width:100%}div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}div.ProseMirror hr:after{content:\\\"\\\";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}div.ProseMirror li{position:relative}div.ProseMirror ul,div.ProseMirror ol{padding-left:10px;margin-left:10px}div.ProseMirror ul li{list-style-type:disc}div.ProseMirror ul>li>ul>li{list-style-type:circle}div.ProseMirror ul>li>ul>li ul li{list-style-type:square}div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}.ProseMirror-focused .ProseMirror-gapcursor{display:block}.editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./CollisionResolveDialog.vue?vue&type=style&index=0&id=7fd0186f&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./CollisionResolveDialog.vue?vue&type=style&index=0&id=7fd0186f&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#resolve-conflicts[data-v-7fd0186f]{display:flex;position:fixed;z-index:10000;bottom:0;max-width:900px;width:100vw;margin:auto;padding:20px 0}#resolve-conflicts button[data-v-7fd0186f]{margin:auto;box-shadow:0 0 10px var(--color-box-shadow)}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return (_vm.editor)?_c('EditorContent',{attrs:{\"id\":\"read-only-editor\",\"editor\":_vm.editor}}):_vm._e()}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<EditorContent v-if=\"editor\" id=\"read-only-editor\" :editor=\"editor\" />\n</template>\n\n<script>\nimport { EditorContent } from 'tiptap'\nimport escapeHtml from 'escape-html'\nimport { createEditor, markdownit } from '../EditorFactory'\n\nexport default {\n\tname: 'ReadOnlyEditor',\n\tcomponents: { EditorContent },\n\tprops: {\n\t\tcontent: {\n\t\t\ttype: String,\n\t\t\trequired: true,\n\t\t},\n\t\tisRichEditor: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: true,\n\t\t},\n\t},\n\tdata: () => {\n\t\treturn {\n\t\t\teditor: null,\n\t\t}\n\t},\n\tmounted() {\n\t\tthis.editor = createEditor({\n\t\t\tcontent: this.isRichEditor ? markdownit.render(this.content) : '<pre>' + escapeHtml(this.content) + '</pre>',\n\t\t\tenableRichEditing: this.isRichEditor,\n\t\t})\n\t\tthis.editor.setOptions({ editable: false })\n\t},\n\tbeforeDestroy() {\n\t\tthis.editor.destroy()\n\t},\n}\n</script>\n\n<style lang=\"scss\">\n\n\t#read-only-editor {\n\t\t@import './../../css/prosemirror';\n\t\toverflow: scroll;\n\t}\n\n\t.thumbnailContainer #read-only-editor {\n\t\twidth: 100%;\n\n\t\t.ProseMirror {\n\t\t\theight: auto;\n\t\t\tmargin: 0 0 0 0;\n\t\t\tpadding: 0;\n\t\t}\n\t}\n\n</style>\n<style lang=\"scss\">\n\t@import './../../css/prosemirror';\n</style>\n","import { render, staticRenderFns } from \"./ReadOnlyEditor.vue?vue&type=template&id=67962a1a&\"\nimport script from \"./ReadOnlyEditor.vue?vue&type=script&lang=js&\"\nexport * from \"./ReadOnlyEditor.vue?vue&type=script&lang=js&\"\nimport style0 from \"./ReadOnlyEditor.vue?vue&type=style&index=0&lang=scss&\"\nimport style1 from \"./ReadOnlyEditor.vue?vue&type=style&index=1&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n null,\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{staticClass:\"collision-resolve-dialog\",attrs:{\"id\":\"resolve-conflicts\"}},[_c('button',{on:{\"click\":function($event){return _vm.$emit('resolveUseThisVersion')}}},[_vm._v(\"\\n\\t\\t\"+_vm._s(_vm.t('text', 'Use current version'))+\"\\n\\t\")]),_vm._v(\" \"),_c('button',{on:{\"click\":function($event){return _vm.$emit('resolveUseServerVersion')}}},[_vm._v(\"\\n\\t\\t\"+_vm._s(_vm.t('text', 'Use the saved version'))+\"\\n\\t\")])])}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./CollisionResolveDialog.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./CollisionResolveDialog.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div id=\"resolve-conflicts\" class=\"collision-resolve-dialog\">\n\t\t<button @click=\"$emit('resolveUseThisVersion')\">\n\t\t\t{{ t('text', 'Use current version') }}\n\t\t</button>\n\t\t<button @click=\"$emit('resolveUseServerVersion')\">\n\t\t\t{{ t('text', 'Use the saved version') }}\n\t\t</button>\n\t</div>\n</template>\n\n<script>\nexport default {\n\tname: 'CollisionResolveDialog',\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t#resolve-conflicts {\n\t\tdisplay: flex;\n\t\tposition: fixed;\n\t\tz-index: 10000;\n\t\tbottom: 0;\n\t\tmax-width: 900px;\n\t\twidth: 100vw;\n\t\tmargin: auto;\n\t\tpadding: 20px 0;\n\n\t\tbutton {\n\t\t\tmargin: auto;\n\t\t\tbox-shadow: 0 0 10px var(--color-box-shadow);\n\t\t}\n\t}\n</style>\n","import { render, staticRenderFns } from \"./CollisionResolveDialog.vue?vue&type=template&id=7fd0186f&scoped=true&\"\nimport script from \"./CollisionResolveDialog.vue?vue&type=script&lang=js&\"\nexport * from \"./CollisionResolveDialog.vue?vue&type=script&lang=js&\"\nimport style0 from \"./CollisionResolveDialog.vue?vue&type=style&index=0&id=7fd0186f&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"7fd0186f\",\n null\n \n)\n\nexport default component.exports"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./src/helpers/index.js","webpack:///./src/components/EditorWrapper.vue?9c67","webpack:///./src/services/PollingBackend.js","webpack:///./src/services/SyncService.js","webpack:///./src/helpers/mappings.js","webpack:///./src/extensions/Keymap.js","webpack:///./src/mixins/isMobile.js","webpack:///src/components/EditorWrapper.vue","webpack:///./src/components/EditorWrapper.vue?a7cb","webpack:///./src/components/EditorWrapper.vue","webpack:///./src/views/DirectEditing.vue?47d3","webpack:///src/views/DirectEditing.vue","webpack:///./src/views/DirectEditing.vue?009f","webpack:///./src/views/DirectEditing.vue","webpack:///./src/nodes/ImageView.vue?128c","webpack:///./src/components/EditorWrapper.vue?d69f","webpack:///./src/components/EditorWrapper.vue?9e6d","webpack:///./src/views/DirectEditing.vue?10c8","webpack:///./src/components/ReadOnlyEditor.vue?fbe1","webpack:///./src/components/ReadOnlyEditor.vue?b76d","webpack:///./src/components/CollisionResolveDialog.vue?c321","webpack:///./src/helpers/links.js","webpack:///./src/marks/index.js","webpack:///src/nodes/ImageView.vue","webpack:///./src/nodes/ImageView.vue?549b","webpack:///./src/nodes/ImageView.vue","webpack:///./src/nodes/ImageView.vue?39a0","webpack:///./src/nodes/Image.js","webpack:///./src/nodes/PlainTextDocument.js","webpack:///./src/nodes/ListItem.js","webpack:///./src/EditorFactory.js","webpack:///./node_modules/moment/locale sync ^\\.\\/.*$","webpack:///./src/nodes/ImageView.vue?7118","webpack:///./src/nodes/ImageView.vue?e9a4","webpack:///./node_modules/highlight.js/lib/languages lazy ^\\.\\/.*$ namespace object","webpack:///./src/components/EditorWrapper.vue?dba0","webpack:///./src/components/EditorWrapper.vue?e573","webpack:///./src/components/EditorWrapper.vue?bcc9","webpack:///./src/components/EditorWrapper.vue?65ff","webpack:///./src/views/DirectEditing.vue?81e5","webpack:///./src/views/DirectEditing.vue?401a","webpack:///./src/components/ReadOnlyEditor.vue?9358","webpack:///./src/components/ReadOnlyEditor.vue?31a0","webpack:///./src/components/ReadOnlyEditor.vue?d128","webpack:///./src/components/ReadOnlyEditor.vue?d976","webpack:///./src/components/CollisionResolveDialog.vue?afa1","webpack:///./src/components/CollisionResolveDialog.vue?d109","webpack:///./src/components/ReadOnlyEditor.vue?936d","webpack:///./src/components/ReadOnlyEditor.vue?fe8f","webpack:///src/components/ReadOnlyEditor.vue","webpack:///./src/components/ReadOnlyEditor.vue","webpack:///./src/components/CollisionResolveDialog.vue?3a26","webpack:///./src/components/CollisionResolveDialog.vue?9e50","webpack:///src/components/CollisionResolveDialog.vue","webpack:///./src/components/CollisionResolveDialog.vue"],"names":["documentReady","callback","document","attachEvent","readyState","setTimeout","addEventListener","_baseUrl","generateUrl","endpointUrl","endpoint","isPublic","randomGuestNames","getRandomGuestName","Math","floor","random","length","PollingBackend","authority","this","_authority","fetchInterval","retryTime","lock","fetchRetryCounter","fetcher","setInterval","_fetchSteps","bind","options","shareToken","_forcedSave","fetchSteps","_manualSave","autosaveContent","sendableSteps","state","_getVersion","lastSavedVersion","_getContent","axios","post","_isPublic","documentId","id","sessionId","session","sessionToken","token","version","force","manualSave","filePath","then","response","data","console","debug","emit","sessions","steps","filter","lastContact","Date","now","maximumRefetchTimer","increaseRefetchTimer","dirty","initialLoading","_receiveSteps","resetRefetchTimer","catch","e","code","status","currentVersion","error","ERROR_TYPE","SAVE_COLLISSION","outsideChange","SOURCE_NOT_FOUND","CONNECTION_FAILED","retry","_sendable","sendSteps","sendable","map","s","toJSON","carefulRetryReset","PUSH_FAILURE","OC","Notification","showTemporary","carefulRetry","clearInterval","min","newRetry","defaultOptions","forceRecreate","serialize","LOAD_ERROR","SyncService","eventHandlers","opened","loaded","fetched","sync","stateChange","change","save","backend","Object","assign","stepClientIDs","fileId","initialSession","connectionData","_openDocument","readOnly","_fetchDocument","documentSource","connect","get","params","guestName","transformResponse","Promise","reject","slice","clientIDs","newSteps","i","singleSteps","Array","isArray","forEach","step","push","clientID","getVersion","doc","_getDocument","forceSave","closed","resolve","on","_close","disconnect","event","_this","additionalData","extensionHighlight","py","gyp","wsgi","htm","xhtml","erl","jsp","pl","rss","atom","xsl","plist","rb","builder","gemspec","podspec","thor","diff","hs","icl","php3","php4","php5","php6","sh","zsh","st","as","apacheconf","osacript","b","bf","clj","coffee","cson","iced","c","h","hh","jinja","bat","cmd","fs","hbs","sublime_metrics","sublime_session","mk","mak","md","mkdown","mkd","nginxconf","m","mm","ml","rs","sci","vb","vbs","Keymap","schema","Extension","isMobile","_isMobile","beforeMount","window","_onResize","beforeDestroy","removeEventListener","methods","documentElement","clientWidth","component","_vm","_h","$createElement","_c","_self","attrs","currentSession","active","staticClass","_v","_s","t","_e","reconnect","class","hasSyncCollission","hasConnectionIssue","isRichEditor","syncError","ref","tiptap","relativePath","autohide","directives","name","rawName","value","expression","lastSavedStatusClass","lastSavedStatus","filteredSessions","syncService","_t","resolveUseThisVersion","resolveUseServerVersion","saving","initial","mimetype","scopedSlots","_u","key","fn","share","close","proxy","content","module","locals","exports","add","default","basedir","file","end","lastIndexOf","domHref","node","href","match","relPath","dir","base","rel","split","pop","shift","concat","join","absolutePath","OCA","Viewer","parseHref","dom","getAttribute","path","Strong","Bold","Italic","TipTapItalic","Strike","parseDOM","tag","style","getAttrs","toDOM","toMarkdown","open","mixable","expelEnclosingWhitespace","TipTapStrike","Link","inclusive","title","openOnClick","Plugin","props","handleClick","view","pos","getMarkAttrs","marks","link","target","HTMLAnchorElement","stopPropagation","htmlHref","button","ctrlKey","startsWith","location","origin","query","parseQueryString","fragment","filename","theme","pathname","TipTapLink","src","imageLoaded","isSupportedImage","imageUrl","onLoaded","domProps","alt","$event","type","indexOf","_k","keyCode","updateAlt","internalLinkOrImage","Image","ImageView","selectable","TiptapImage","PlainTextDocument","Tab","insertText","editor","dispatch","Node","TYPES","getParentList","selection","findParentNode","nodes","list_item","ListItem","bullet_list_item","toggleList","bullet_list","todo_item","$from","$to","range","blockRange","tr","parentList","_transaction","setNodeMarkup","scrollIntoView","nested","done","draggable","listAttributes","checkboxAttributes","contenteditable","checked","priority","el","checkbox","querySelector","write","renderContent","coordinates","posAtCoords","left","clientX","top","clientY","position","findParentNodeClosestToPos","isListClicked","tagName","toLowerCase","TiptapListItem","loadSyntaxHighlight","language","languages","modules","lang","undefined","keys","constructor","createEditor","onInit","onUpdate","extensions","enableRichEditing","richEditingExtensions","Heading","Code","HardBreak","HorizontalRule","BulletList","OrderedList","Blockquote","CodeBlock","Placeholder","emptyNodeClass","emptyNodeText","showOnlyWhenEditable","Text","CodeBlockHighlight","Editor","History","useBuiltInExtensions","markdownit","MarkdownIt","html","breaks","enable","use","taskLists","labelAfter","SerializeException","message","createMarkdownSerializer","_nodes","_marks","entries","reduce","items","serializer","MarkdownSerializer","defaultMarkdownSerializer","tightLists","serializePlainText","getJSON","codeBlock","text","webpackContext","req","webpackContextResolve","__webpack_require__","o","Error","___CSS_LOADER_API_IMPORT___","webpackAsyncContext","ids","$emit"],"mappings":"oGAAA,kHA4BMA,EAAgB,SAASC,IAE1BC,SAASC,YAAsC,aAAxBD,SAASE,WAAoD,YAAxBF,SAASE,YADxDC,WAAWJ,EAAU,GAIrCC,SAASI,iBAAiB,mBAAoBL,IAI1CM,EAAWC,sBAAY,cACvBC,EAAc,SAACC,GAA+B,IAArBC,EAAqB,wDACnD,OAAIA,EACH,UAAUJ,EAAV,mBAA6BG,GAE9B,UAAUH,EAAV,YAAsBG,IAGjBE,EAAmB,CAAC,YAAa,UAAW,YAAa,UAAW,eAAgB,cAAe,OAAQ,OAAQ,iBAAkB,cAAe,eAAgB,eAAgB,WAAY,WAAY,kBAAmB,eAAgB,UAAW,WAAY,QAAS,SAAU,UAAW,cAAe,SAAU,cAAe,UAAW,UAAW,mBAAoB,OAAQ,YAAa,WAAY,mBAAoB,UAAW,oBAAqB,gBAAiB,UAAW,WAAY,kBAAmB,SAAU,QAAS,WAAY,SAAU,aAAc,WAAY,SAAU,SAAU,cAAe,aAAc,WAAY,QAAS,iBAAkB,aAAc,gBAAiB,kBAAmB,OAAQ,iBAAkB,gBAAiB,SAAU,UAAW,cAAe,eAAgB,iBAAkB,cAAe,sBAAuB,SAAU,OAAQ,QAAS,WAAY,aAAc,WAAY,QAAS,aAAc,UAAW,aAAc,UAAW,OAAQ,UAAW,aAAc,aAAc,WAAY,eAAgB,UAAW,OAAQ,QAAS,QAAS,cAAe,UAAW,eAAgB,UAAW,SAAU,WAAY,SAAU,UAAW,WAAY,YAAa,SAAU,WAAY,WAAY,UAAW,SAAU,eAAgB,cAAe,OAAQ,YAAa,SAAU,SAAU,iBAAkB,gBAAiB,aAAc,eAAgB,OAAQ,YACv5CC,EAAqB,WAC1B,OAAOD,EAAiBE,KAAKC,MAAMD,KAAKE,SAAWJ,EAAiBK,W,wCC/CrE,I;;;;;;;;;;;;;;;;;;;;;;AC8BA,IA0OeC,E,WA9Md,WAAYC,I,4FAAW,SAEtBC,KAAKC,WAAaF,EAClBC,KAAKE,cA/BgB,IAgCrBF,KAAKG,UAlBgB,IAmBrBH,KAAKI,MAAO,EACZJ,KAAKK,kBAAoB,E,yDAIzBL,KAAKM,QAAUC,YAAYP,KAAKQ,YAAYC,KAAKT,MAAO,K,kCAIxD,QAASA,KAAKC,WAAWS,QAAQC,a,kCAIjCX,KAAKY,aAAc,EACnBZ,KAAKa,e,6BAILb,KAAKc,aAAc,EACnBd,KAAKa,e,mCAILb,KAAKQ,gB,oCAMQ,IAKTO,EALS,QACTf,KAAKI,MAASJ,KAAKM,UAGvBN,KAAKI,MAAO,GAERJ,KAAKY,aAAeZ,KAAKc,cACvBE,YAAchB,KAAKC,WAAWgB,QAC/BjB,KAAKC,WAAWiB,gBAAkBlB,KAAKC,WAAWnB,SAASqC,oBAE/DJ,EAAkBf,KAAKC,WAAWmB,eAEnCC,IAAMC,KAAKjC,YAAY,eAAgBW,KAAKuB,aAAc,CACzDC,WAAYxB,KAAKC,WAAWnB,SAAS2C,GACrCC,UAAW1B,KAAKC,WAAW0B,QAAQF,GACnCG,aAAc5B,KAAKC,WAAW0B,QAAQE,MACtCC,QAAS9B,KAAKC,WAAWiB,cACzBH,kBACAgB,QAAS/B,KAAKY,YACdoB,aAAchC,KAAKc,YACnBe,MAAO7B,KAAKC,WAAWS,QAAQC,WAC/BsB,SAAUjC,KAAKC,WAAWS,QAAQuB,WAChCC,MAAK,SAACC,GAYR,GAXA,EAAK9B,kBAAoB,EAErB,EAAKJ,WAAWnB,SAASqC,iBAAmBgB,EAASC,KAAKtD,SAASqC,mBACtEkB,QAAQC,MAAM,iBAAkBH,EAASC,KAAKtD,UAC9C,EAAKmB,WAAWsC,KAAK,OAAQ,CAAEzD,SAAUqD,EAASC,KAAKtD,SAAU0D,SAAUL,EAASC,KAAKI,YAG1F,EAAKvC,WAAWsC,KAAK,SAAU,CAAEzD,SAAUqD,EAASC,KAAKtD,SAAU0D,SAAUL,EAASC,KAAKI,WAC3F,EAAKvC,WAAWnB,SAAWqD,EAASC,KAAKtD,SACzC,EAAKmB,WAAWuC,SAAWL,EAASC,KAAKI,SAEN,IAA/BL,EAASC,KAAKK,MAAM5C,OASvB,OARA,EAAKO,MAAO,EACR+B,EAASC,KAAKI,SAASE,QAAO,SAACf,GAAD,OAAaA,EAAQgB,YAAcC,KAAKC,MAAQ,IA1EjD,MA0EsFhD,OAAS,EAC/H,EAAKiD,sBAEL,EAAKC,uBAEN,EAAK9C,WAAWsC,KAAK,cAAe,CAAES,OAAO,SAC7C,EAAK/C,WAAWsC,KAAK,cAAe,CAAEU,gBAAgB,IAIvD,EAAKhD,WAAWiD,cAAcf,EAASC,MACvC,EAAKhC,MAAO,EACZ,EAAKQ,aAAc,EACnB,EAAKuC,uBACHC,OAAM,SAACC,GACT,EAAKjD,MAAO,EACPiD,EAAElB,UAAuB,iBAAXkB,EAAEC,KAQY,MAAtBD,EAAElB,SAASoB,QAAkBF,EAAElB,SAASC,KAAKtD,SAAS0E,iBAAmB,EAAKvD,WAAWnB,SAAS0E,gBAE5GnB,QAAQoB,MAAM,6CACd,EAAKxD,WAAWsC,KAAK,QAASmB,EAAWC,gBAAiB,CACzDC,cAAeP,EAAElB,SAASC,KAAKwB,iBAEA,MAAtBP,EAAElB,SAASoB,QAEW,MAAtBF,EAAElB,SAASoB,OADrB,EAAKtD,WAAWsC,KAAK,QAASmB,EAAWG,iBAAkB,IAG3B,MAAtBR,EAAElB,SAASoB,QACrB,EAAKR,uBACL,EAAK9C,WAAWsC,KAAK,QAASmB,EAAWI,kBAAmB,CAAEC,OAAO,IACrE1B,QAAQoB,MAAM,mDAAoDJ,KAElE,EAAKN,uBACL,EAAK9C,WAAWsC,KAAK,QAASmB,EAAWI,kBAAmB,CAAEC,OAAO,IACrE1B,QAAQoB,MAAM,4CAA6CJ,IAxBvD,EAAKhD,qBA9FiB,GA+FzBgC,QAAQoB,MAAM,6FACd,EAAKxD,WAAWsC,KAAK,QAASmB,EAAWI,kBAAmB,KAG5DzB,QAAQoB,MAAR,+EAAsF,EAAKpD,uBAsB9FL,KAAKc,aAAc,EACnBd,KAAKY,aAAc,K,gCAGVoD,GAAW,WAEpB,GADAhE,KAAKC,WAAWsC,KAAK,cAAe,CAAES,OAAO,IACzChD,KAAKI,KACRnB,YAAW,WACV,EAAKgB,WAAWgE,cACd,SAHJ,CAMAjE,KAAKI,MAAO,EACZ,IAAM8D,EAAiC,mBAAdF,EAA4BA,IAAcA,EAC7DvB,EAAQyB,EAASzB,MACvBpB,IAAMC,KAAKjC,YAAY,iBAAkBW,KAAKC,WAAWS,QAAQC,YAAa,CAC7Ea,WAAYxB,KAAKC,WAAWnB,SAAS2C,GACrCC,UAAW1B,KAAKC,WAAW0B,QAAQF,GACnCG,aAAc5B,KAAKC,WAAW0B,QAAQE,MACtCY,MAAOA,EAAM0B,KAAI,SAAAC,GAAC,OAAIA,EAAEC,OAASD,EAAEC,SAAWD,MAAM,GACpDtC,QAASoC,EAASpC,QAClBD,MAAO7B,KAAKC,WAAWS,QAAQC,WAC/BsB,SAAUjC,KAAKC,WAAWS,QAAQuB,WAChCC,MAAK,SAACC,GACR,EAAKmC,oBACL,EAAKlE,MAAO,EACZ,EAAKS,gBACHuC,OAAM,SAACC,GACThB,QAAQoB,MAAM,qDACd,EAAKrD,MAAO,EACPiD,EAAElB,UAAuB,iBAAXkB,EAAEC,MAGY,MAAtBD,EAAElB,SAASoB,QAAkBF,EAAElB,SAASC,KAAKtD,SAAS0E,iBAAmB,EAAKvD,WAAWnB,SAAS0E,iBAE5G,EAAKvD,WAAWsC,KAAK,QAASmB,EAAWa,aAAc,IACvDC,GAAGC,aAAaC,cAAc,kCAG/B,EAAK7D,aACL,EAAK8D,gBATJ,EAAK1E,WAAWsC,KAAK,QAASmB,EAAWI,kBAAmB,U,mCAc9Dc,cAAc5E,KAAKM,SACnBN,KAAKM,QAAU,I,0CAIM,IAAjBN,KAAKM,UAGTN,KAAKE,cAnMgB,IAoMrB0E,cAAc5E,KAAKM,SACnBN,KAAKM,QAAUC,YAAYP,KAAKQ,YAAYC,KAAKT,MAAOA,KAAKE,kB,6CAKxC,IAAjBF,KAAKM,UAGTN,KAAKE,cAAgBR,KAAKmF,IAAyB,EAArB7E,KAAKE,cAvMV,KAwMzB0E,cAAc5E,KAAKM,SACnBN,KAAKM,QAAUC,YAAYP,KAAKQ,YAAYC,KAAKT,MAAOA,KAAKE,kB,4CAIxC,IAAjBF,KAAKM,UAGTN,KAAKE,cA1M8B,IA2MnC0E,cAAc5E,KAAKM,SACnBN,KAAKM,QAAUC,YAAYP,KAAKQ,YAAYC,KAAKT,MAAOA,KAAKE,kB,qCAI7D,IAAM4E,EAAW9E,KAAKG,UAAYT,KAAKmF,IAAqB,EAAjB7E,KAAKG,UA7M3B,KADA,IA+MjB2E,EA3MqB,KA2MY9E,KAAKG,UA3MjB,MA4MxBqE,GAAGC,aAAaC,cAAc,iCAC9B1E,KAAKC,WAAWsC,KAAK,QAASmB,EAAWa,aAAc,KAExDvE,KAAKG,UAAY2E,I,0CAIjB9E,KAAKG,UAvNgB,S;;;;;;;;;;;;;;;;;;;;;;ACjBvB,IAAM4E,EAAiB,CACtBpE,WAAY,KACZqE,eAAe,EACfC,UAAW,SAACnG,GAAD,OAAcA,IAGpB4E,EAAa,CAKlBC,gBAAiB,EAIjBY,aAAc,EAEdW,WAAY,EAEZpB,kBAAmB,EAEnBD,iBAAkB,GAGbsB,E,WAEL,WAAYzE,GA8BX,O,4FA9BoB,SACpBV,KAAKoF,cAAgB,CAEpBC,OAAQ,GACRC,OAAQ,GAERC,QAAS,GAETC,KAAM,GAENC,YAAa,GAEbhC,MAAO,GAEPiC,OAAQ,GAERC,KAAM,IAGP3F,KAAK4F,QAAU,IAAI9F,EAAeE,MAElCA,KAAKU,QAAUmF,OAAOC,OAAO,GAAIf,EAAgBrE,GAEjDV,KAAKlB,SAAW,KAChBkB,KAAK2B,QAAU,KACf3B,KAAKwC,SAAW,GAEhBxC,KAAKyC,MAAQ,GACbzC,KAAK+F,cAAgB,GAEd/F,K,iMAGKgG,E,EAAAA,OAAQ/D,E,EAAAA,SAAUgE,E,EAAAA,eAC1BC,EAAiB,UACS,IAAnBD,E,0CAEcjG,KAAKmG,cAAc,CAAEH,SAAQ/D,a,OAA9CE,E,OACN+D,EAAiB/D,EAASC,K,wDAErB,KAAMD,UAA2B,iBAAf,KAAMmB,KAG5BtD,KAAKuC,KAAK,QAASmB,EAAWwB,WAAY,KAAM/C,SAASoB,QAFzDvD,KAAKuC,KAAK,QAASmB,EAAWI,kBAAmB,I,qCAOnDoC,EAAiBD,E,eAGlBjG,KAAKlB,SAAWoH,EAAepH,SAC/BkB,KAAKlB,SAASsH,SAAWF,EAAeE,SACxCpG,KAAK2B,QAAUuE,EAAevE,QAE9B3B,KAAKuC,KAAK,SAAU,CACnBzD,SAAUkB,KAAKlB,SACf6C,QAAS3B,KAAK2B,U,kBAER3B,KAAKqG,iBAAiBnE,MAAK,YAAc,IAAXE,EAAW,EAAXA,KACpC,EAAKG,KAAK,SAAU,CACnBzD,SAAU,EAAKA,SACf6C,QAAS,EAAKA,QACd2E,eAAgB,GAAKlE,Q,yTAMvBpC,KAAK4F,QAAQW,Y,uCAGsB,IAApBP,EAAoB,EAApBA,OAAQ/D,EAAY,EAAZA,SACvB,OAAOZ,IAAMmF,IAAInH,YAAY,mBAAoBW,KAAKU,QAAQC,YAAa,CAC1E8F,OAAQ,CACPT,SACA/D,WACAJ,MAAO7B,KAAKU,QAAQC,WACpB+F,UAAW1G,KAAKU,QAAQgG,UACxB1B,cAAehF,KAAKU,QAAQsE,mB,uCAM9B,OAAO3D,IAAMmF,IACZnH,YAAY,kBAAmBW,KAAKU,QAAQC,YAAa,CACxDgG,kBAAmB,CAAC,SAACvE,GAAD,OAAUA,IAC9BqE,OAAQ,CACPjF,WAAYxB,KAAKlB,SAAS2C,GAC1BC,UAAW1B,KAAK2B,QAAQF,GACxBG,aAAc5B,KAAK2B,QAAQE,MAC3BA,MAAO7B,KAAKU,QAAQC,gB,oCAMV+F,GAAW,WACxB,GAAK1G,KAAKT,WAGV,OAAO8B,IAAMC,KACZjC,YAAY,YAAaW,KAAKU,QAAQC,YAAa,CAClDa,WAAYxB,KAAKlB,SAAS2C,GAC1BC,UAAW1B,KAAK2B,QAAQF,GACxBG,aAAc5B,KAAK2B,QAAQE,MAC3BA,MAAO7B,KAAKU,QAAQC,WACpB+F,cAEAxE,MAAK,YAAc,IAAXE,EAAW,EAAXA,KAET,OADA,EAAKT,QAAUS,EACRA,KACLgB,OAAM,SAACK,GAET,OADApB,QAAQoB,MAAM,+BAAgCA,GACvCmD,QAAQC,OAAOpD,Q,gCAIdO,GACT,IAAME,EAAWF,GAAahD,YAAchB,KAAKiB,OACjD,GAAKiD,EAGL,OAAOlE,KAAK4F,QAAQ3B,UAAUC,K,iCAGpBpC,GACV,MAAO,CACNW,MAAOzC,KAAKyC,MAAMqE,MAAMhF,GACxBiF,UAAW/G,KAAK+F,cAAce,MAAMhF,M,uCAMrC,IAFkC,WAAnBW,EAAmB,EAAnBA,MAAO3D,EAAY,EAAZA,SAChBkI,EAAW,GADiB,WAEzBC,GACR,IAAMC,EAAczE,EAAMwE,GAAG7E,KAC7B,IAAK+E,MAAMC,QAAQF,GAGlB,OAFA7E,QAAQoB,MAAM,mCAAoChB,EAAMwE,IAExD,WAEDC,EAAYG,SAAQ,SAAAC,GACnB,EAAK7E,MAAM8E,KAAKD,GAChBN,EAASO,KAAK,CACbD,OACAE,SAAU/E,EAAMwE,GAAGvF,gBAXbuF,EAAI,EAAGA,EAAIxE,EAAM5C,OAAQoH,IAAK,EAA9BA,GAeTjH,KAAKuC,KAAK,OAAQ,CAAEE,MAAOuE,EAAUlI,aACrCuD,QAAQC,MAAM,gBAAiB,aAActC,KAAKkB,iB,oCAIlD,OAAIlB,KAAKiB,MACDwG,YAAWzH,KAAKiB,OAEjB,I,qCAIP,GAAIjB,KAAKiB,MACR,OAAOjB,KAAKiB,MAAMyG,M,oCAKnB,OAAO1H,KAAKU,QAAQuE,UAAUjF,KAAK2H,kB,6BAI/B3H,KAAK4F,QAAQD,MAChB3F,KAAK4F,QAAQD,S,kCAKV3F,KAAK4F,QAAQgC,WAChB5H,KAAK4F,QAAQgC,c,8BAIP,WACHC,GAAS,EACb,OAAO,IAAIjB,SAAQ,SAACkB,EAASjB,GAC5B,EAAKkB,GAAG,QAAQ,WACf,EAAKC,SAAS9F,MAAK,WAClB2F,GAAS,EACTC,OACE1E,OAAM,kBAAM0E,UAEhB7I,YAAW,WACL4I,GACJ,EAAKG,SAAS9F,MAAK,WAClB4F,OACE1E,OAAM,kBAAM0E,SAEd,KACH,EAAKnC,Y,+BAKN,OAAsB,OAAlB3F,KAAKlB,UAAsC,OAAjBkB,KAAK2B,QAC3BiF,QAAQkB,WAEhB9H,KAAK4F,QAAQqC,aACN5G,IAAMmF,IACZnH,YAAY,kBAAmBW,KAAKU,QAAQC,YAAa,CACxD8F,OAAQ,CACPjF,WAAYxB,KAAKlB,SAAS2C,GAC1BC,UAAW1B,KAAK2B,QAAQF,GACxBG,aAAc5B,KAAK2B,QAAQE,MAC3BA,MAAO7B,KAAKU,QAAQC,iB,yBAMrBuH,EAAOrJ,EAAUsJ,GAEnB,OADAnI,KAAKoF,cAAc8C,GAAOX,KAAK1I,EAAS4B,KAAK0H,IACtCnI,O,2BAGHkI,EAAO9F,EAAMgG,QACwB,IAA9BpI,KAAKoF,cAAc8C,GAC7BlI,KAAKoF,cAAc8C,GAAOb,SAAQ,SAASxI,GAC1CA,EAASuD,EAAMgG,MAGhB/F,QAAQoB,MAAM,kBAAmByE,K,iCAKlC,QAASlI,KAAKU,QAAQC,gB,gCC5QlB0H,EAAqB,CAC1BC,GAAI,SACJC,IAAK,SACLC,KAAM,SACNC,IAAK,OACLC,MAAO,OACPC,IAAK,SACLC,IAAK,OACLC,GAAI,OACJC,IAAK,MACLC,KAAM,MACNC,IAAK,MACLC,MAAO,MACPC,GAAI,OACJC,QAAS,OACTC,QAAS,OACTC,QAAS,OACTC,KAAM,OACNC,KAAM,QACNC,GAAI,UACJC,IAAK,UACLC,KAAM,MACNC,KAAM,MACNC,KAAM,MACNC,KAAM,MACNC,GAAI,OACJC,IAAK,OACLC,GAAI,YACJC,GAAI,eACJC,WAAY,SACZC,SAAU,cACVC,EAAG,YACHC,GAAI,YACJC,IAAK,UACL,WAAY,QACZC,OAAQ,eACRC,KAAM,cACNC,KAAM,cACNC,EAAG,MACHC,EAAG,MACH,MAAO,MACP,MAAO,MACPC,GAAI,MACJC,MAAO,SACPC,IAAK,MACLC,IAAK,MACLC,GAAI,SACJC,IAAK,aACL,WAAY,aACZ,kBAAmB,aACnBC,gBAAiB,OACjBC,gBAAiB,OACjB,iBAAkB,OAClB,mBAAoB,OACpB,kBAAmB,OACnB,mBAAoB,OACpB,oBAAqB,OACrBC,GAAI,WACJC,IAAK,WACLC,GAAI,WACJC,OAAQ,WACRC,IAAK,WACLC,UAAW,QACXC,EAAG,aACHC,GAAI,aACJC,GAAI,QACJC,GAAI,OACJC,IAAK,SACLC,GAAI,QACJC,IAAK,Y;;;;;;;;;;;;;;;;;;;;;OCnEeC,E,yWAOnB,OADgB,EAAVC,OACClM,KAAKU,U,2BAJZ,MAAO,Y,8BAH2ByL,KCFrB,GACd/J,KADc,WAEb,MAAO,CACNgK,SAAUpM,KAAKqM,cAGjBC,YANc,WAObC,OAAOrN,iBAAiB,SAAUc,KAAKwM,YAExCC,cATc,WAUbF,OAAOG,oBAAoB,SAAU1M,KAAKwM,YAE3CG,QAAS,CACRH,UADQ,WAGPxM,KAAKoM,SAAWpM,KAAKqM,aAEtBA,UALQ,WAOP,OAAOvN,SAAS8N,gBAAgBC,YAAc,O;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;4HC6CjD,ICtF6L,EDwF7L,CACA,qBACA,YACA,kBACA,0FACA,6FACA,0GACA,kHACA,kGACA,+FAEA,YACA,aAEA,QACA,GAEA,OACA,gBACA,YACA,cAEA,cACA,YACA,cAEA,QACA,YACA,cAEA,QACA,aACA,YAEA,WACA,aACA,YAEA,YACA,YACA,cAEA,MACA,YACA,cAEA,UACA,aACA,YAEA,iBACA,aACA,aAGA,KAvDA,WAwDA,OACA,YAEA,iBAEA,cACA,YACA,oBAEA,oBAEA,SACA,kBACA,mBACA,eACA,sBACA,YACA,iBAEA,yBAGA,UACA,gBADA,WAEA,sCAIA,OAHA,gBACA,yBAEA,GAEA,qBARA,WASA,6DAEA,oBAXA,WAYA,wDAEA,uBAdA,WAeA,0EAUA,OATA,yBACA,mGAEA,0BACA,uCAEA,yBACA,sCAEA,gCAEA,kBA3BA,WA4BA,gEAEA,mBA9BA,WA+BA,mBAEA,kBAjCA,WAkCA,mFAEA,WApCA,WAoCA,WACA,mBACA,uCAGA,sBAzCA,WA0CA,0DAEA,SA5CA,WA6CA,mHAEA,aA/CA,WAgDA,mCAEA,cAlDA,WAmDA,qFAGA,OACA,gBADA,WAEA,yDAGA,QAzIA,WA0IA,yCACA,mBAEA,wCAEA,QA/IA,WA+IA,WACA,+CACA,4BACA,KACA,4DAEA,cArJA,WAsJA,cAEA,SACA,MADA,WACA,I,EAAA,c,EAAA,yHACA,2DACA,oCACA,iCAHA,0CAKA,sBALA,OAMA,sBACA,mBAPA,oFAYA,GAZA,yD,kLAcA,sBAfA,WAgBA,gBACA,6EAGA,YApBA,WAoBA,WACA,+BAIA,8EACA,wBACA,2BACA,2BACA,YACA,iCACA,sBACA,mBACA,8DAEA,OADA,kDACA,EAEA,4BAEA,OADA,8CACA,KAIA,sDACA,mBACA,aACA,sBACA,2DAEA,uDACA,iFAIA,4BACA,aAEA,iBACA,6CAPA,+BAUA,gDACA,wBACA,qFACA,sBACA,6DACA,iCACA,sBACA,2BAEA,mCACA,uBAEA,YACA,SAGA,kCACA,6BAEA,SA1OA,IA2OA,kCACA,eACA,6BAIA,OACA,oBAEA,OADA,sBACA,MAIA,iCACA,cAEA,gCACA,oBAEA,+BACA,mBAEA,yCAGA,kDACA,wBACA,IACA,kDACA,yBACA,UAEA,mCACA,0BACA,SACA,kEAGA,gBAEA,0BACA,mCACA,2EACA,oBACA,aACA,OACA,SAGA,gDACA,wBAEA,kEACA,aACA,qCAGA,yBACA,oBACA,iBACA,qBAGA,8BACA,sCACA,oBACA,aACA,wBAEA,iBACA,6BAEA,kDACA,oBAGA,2BACA,uBACA,mBACA,6BACA,mBACA,2BAGA,uBACA,qCACA,mBACA,2BAGA,2BArJA,sDAwJA,sBA9KA,WA+KA,6BACA,mDAGA,wBAnLA,WAoLA,sBACA,kBAGA,UAxLA,WAwLA,WACA,iBACA,0CACA,mBACA,mBACA,mBACA,wBAIA,sBACA,sBACA,qBAIA,eAxMA,SAwMA,GACA,0EACA,wDACA,qDAEA,qCACA,4DAEA,eACA,6CAEA,4BACA,uBACA,8BACA,yBAEA,oDACA,oEAGA,yCAEA,+BACA,yDAIA,cAnOA,SAmOA,GACA,uBACA,0DAEA,OADA,qBACA,K,wBE9cIC,EAAY,YACd,GRVW,WAAa,IAAIC,EAAI/M,KAASgN,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAOE,EAAG,MAAM,CAACE,MAAM,CAAC,GAAK,qBAAqB,CAAEL,EAAIM,gBAAkBN,EAAIO,OAAQJ,EAAG,MAAM,CAAEH,EAAqB,kBAAEG,EAAG,IAAI,CAACK,YAAY,kBAAkB,CAACR,EAAIS,GAAG,WAAWT,EAAIU,GAAGV,EAAIW,EAAE,OAAQ,wFAAwF,YAAYX,EAAIY,KAAKZ,EAAIS,GAAG,KAAMT,EAAsB,mBAAEG,EAAG,IAAI,CAACK,YAAY,iBAAiB,CAACR,EAAIS,GAAG,WAAWT,EAAIU,GAAGV,EAAIW,EAAE,OAAQ,qEAAqE,KAAKR,EAAG,IAAI,CAACK,YAAY,iBAAiBxF,GAAG,CAAC,MAAQgF,EAAIa,YAAY,CAACb,EAAIS,GAAGT,EAAIU,GAAGV,EAAIW,EAAE,OAAQ,eAAeX,EAAIY,OAAOZ,EAAIY,KAAKZ,EAAIS,GAAG,KAAMT,EAAIM,gBAAkBN,EAAIO,OAAQJ,EAAG,MAAM,CAACW,MAAM,CAAC,gBAAiBd,EAAIe,kBAAmB,gBAAiBf,EAAI9J,gBAAkB8J,EAAIgB,mBAAoB,WAAchB,EAAIiB,cAAcZ,MAAM,CAAC,GAAK,mBAAmB,CAACF,EAAG,MAAM,CAACE,MAAM,CAAC,GAAK,WAAW,CAAGL,EAAIkB,WAAclB,EAAI3G,SAA2vB2G,EAAIY,KAArvBT,EAAG,UAAU,CAACgB,IAAI,UAAUd,MAAM,CAAC,OAASL,EAAIoB,OAAO,YAAYpB,EAAIqB,aAAa,iBAAiBrB,EAAIiB,aAAa,YAAYjB,EAAIxN,SAAS,SAAWwN,EAAIsB,WAAW,CAAEtB,EAAIM,gBAAkBN,EAAIO,OAAQJ,EAAG,MAAM,CAACE,MAAM,CAAC,GAAK,wBAAwB,CAACF,EAAG,MAAM,CAACoB,WAAW,CAAC,CAACC,KAAK,UAAUC,QAAQ,YAAYC,MAAO1B,EAA0B,uBAAE2B,WAAW,2BAA2BnB,YAAY,cAAcM,MAAMd,EAAI4B,sBAAsB,CAAC5B,EAAIS,GAAG,iBAAiBT,EAAIU,GAAGV,EAAI6B,iBAAiB,kBAAkB7B,EAAIS,GAAG,KAAKN,EAAG,cAAc,CAACE,MAAM,CAAC,SAAWL,EAAI8B,mBAAmB,CAAE9B,EAAIxN,UAAYwN,EAAIM,eAAe3G,UAAWwG,EAAG,kBAAkB,CAACE,MAAM,CAAC,eAAeL,EAAI+B,eAAe/B,EAAIY,MAAM,IAAI,GAAGZ,EAAIY,KAAKZ,EAAIS,GAAG,KAAKT,EAAIgC,GAAG,WAAW,GAAYhC,EAAIS,GAAG,KAAKN,EAAG,MAAM,EAAGH,EAAI3G,UAAY2G,EAAIiB,aAAcd,EAAG,aAAa,CAACE,MAAM,CAAC,OAASL,EAAIoB,OAAO,SAAWpB,EAAIqB,gBAAgBrB,EAAIY,KAAKZ,EAAIS,GAAG,KAAKN,EAAG,gBAAgB,CAACoB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO1B,EAAkB,eAAE2B,WAAW,mBAAmBnB,YAAY,kBAAkBH,MAAM,CAAC,OAASL,EAAIoB,WAAW,IAAI,GAAGpB,EAAIS,GAAG,KAAMT,EAAqB,kBAAEG,EAAG,iBAAiB,CAACE,MAAM,CAAC,QAAUL,EAAIkB,UAAU7L,KAAKwB,cAAc,iBAAiBmJ,EAAIiB,gBAAgBjB,EAAIY,MAAM,GAAGZ,EAAIY,KAAKZ,EAAIS,GAAG,KAAMT,EAAIe,oBAAsBf,EAAI3G,SAAU8G,EAAG,yBAAyB,CAACnF,GAAG,CAAC,sBAAwBgF,EAAIiC,sBAAsB,wBAA0BjC,EAAIkC,2BAA2BlC,EAAIY,MAAM,KAC95E,IQYpB,EACA,KACA,WACA,MAIa,UAAAb,E,iDCpBf,I,6UC0CA,4BACA,YACA,UAGA,gBACA,wCACA,aACA,QACA,GACA,cACA,WAGA,WACA,IACA,oBACA,SACA,OAIA,iGACA,QACA,yCAEA,2CAKA,eACA,+BACA,4DACA,0EAGA,uBAGAP,OAAOrN,iBAAiB,WAAW,SAAnC,GACA,wBACA,kCAGA,ICvF6L,EDuF7L,CACA,qBACA,qCACA,KAHA,WAIA,OACA,kDACA,oBACA,MACA,YAGA,UACA,eADA,WAEA,gDAGA,YAhBA,WAiBA,cAEA,QAnBA,WAoBA,qJAEA,SACA,MADA,WACA,0IACA,YACA,mJACA,uBADA,OAEA,WAFA,2CAGA,GALA,8CAOA,MARA,WASA,YAEA,OAXA,WAYA,e,iBEjHI4N,EAAY,YACd,GHTW,WAAa,IAAIC,EAAI/M,KAASgN,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAOE,EAAG,MAAM,CAACW,MAAM,CAAC,eAAgBd,EAAImC,QAAQ9B,MAAM,CAAC,GAAK,kBAAkB,CAACF,EAAG,gBAAgB,CAACgB,IAAI,SAASd,MAAM,CAAC,kBAAkBL,EAAI9G,eAAe,QAAS,EAAK,KAAO8G,EAAIoC,QAAQC,SAAS,qBAAoB,GAAMrH,GAAG,CAAC,MAAQgF,EAAIzH,QAAQ+J,YAAYtC,EAAIuC,GAAG,CAAC,CAACC,IAAI,SAASC,GAAG,WAAW,MAAO,CAACtC,EAAG,SAAS,CAACK,YAAY,aAAaxF,GAAG,CAAC,MAAQgF,EAAI0C,SAAS1C,EAAIS,GAAG,KAAKN,EAAG,SAAS,CAACK,YAAY,aAAaxF,GAAG,CAAC,MAAQgF,EAAI2C,WAAWC,OAAM,QAAW,KACphB,IGWpB,EACA,KACA,WACA,MAIa,UAAA7C,E,6BChBf,IAAI8C,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K,oBCL5C,IAAIA,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACC,EAAO5I,EAAI2I,EAAS,MAC7DA,EAAQE,SAAQD,EAAOE,QAAUH,EAAQE,SAG/BE,EADH,EAAQ,IAA+DC,SAChE,WAAYL,GAAS,EAAM,K;;;;;;;;;;;;;;;;;;;;;04BCgB5C,IAkBMM,EAAU,SAASC,GACxB,IAAMC,EAAMD,EAAKE,YAAY,KAC7B,OAAQD,EAAM,EACXD,EAAKrJ,MAAM,EAAGsJ,GACdD,EAAKrJ,MAAM,EAAGsJ,EAAM,IAGlBE,EAAU,SAASC,GACxB,IAAMrC,EAAMqC,EAAKnD,MAAMoD,KACvB,IAAKtC,EACJ,OAAOA,EAER,GAAIA,EAAIuC,MAAM,eACb,OAAOvC,EAER,IAAMuC,EAAQvC,EAAIuC,MAAM,0BACxB,GAAIA,EAAO,SACcA,EADd,GACDC,EADC,KACQjP,EADR,KAGJkP,EArCa,SAASC,EAAMC,GACnC,IAAKA,EACJ,OAAOD,EAER,GAAe,MAAXC,EAAI,GACP,OAAOA,EAIR,IAFAD,EAAOA,EAAKE,MAAM,KAClBD,EAAMA,EAAIC,MAAM,KACE,OAAXD,EAAI,IAA0B,MAAXA,EAAI,IACd,OAAXA,EAAI,IACPD,EAAKG,MAENF,EAAIG,QAEL,OAAOJ,EAAKK,OAAOJ,GAAKK,KAAK,KAsBhBC,CADOjB,EAAQkB,IAAIC,OAAOpQ,MAAMkP,MACPD,EAAQQ,IAC7C,OAAOtR,sBAAY,oBAAD,OAAqBuR,EAArB,qBAAqClP,EAArC,oBAAmDiP,MAIjEY,EAAY,SAASC,GAC1B,IAAMrD,EAAMqD,EAAIC,aAAa,QAC7B,IAAKtD,EACJ,OAAOA,EAER,IAAMuC,EAAQvC,EAAIuC,MAAM,kDACxB,GAAIA,EAAO,SACaA,EADb,GACChP,EADD,KACKgQ,EADL,KAEV,gBAAUA,EAAV,mBAAyBhQ,GAE1B,OAAOyM,G;;;;;;;;;;;;;;;;;;;;;OC5CFwD,E,2HAGJ,MAAO,a,GAHYC,KAQfC,E,2HAGJ,MAAO,S,GAHYC,KAQfC,E,6HAGJ,MAAO,CACNC,SAAU,CACT,CACCC,IAAK,KAEN,CACCA,IAAK,OAEN,CACCA,IAAK,UAEN,CACCC,MAAO,kBACPC,SAAU,SAAAzD,GAAK,MAAc,iBAAVA,KAGrB0D,MAAO,iBAAM,CAAC,IAAK,IACnBC,WAAY,CACXC,KAAM,KACN3C,MAAO,KACP4C,SAAS,EACTC,0BAA0B,Q,GAxBTC,KA+BfC,E,6HAGJ,MAAO,CACNrF,MAAO,CACNoD,KAAM,CACLP,QAAS,OAGXyC,WAAW,EACXX,SAAU,CACT,CACCC,IAAK,UACLE,SAAU,SAAAX,GAAG,MAAK,CACjBf,KAAMc,EAAUC,OAInBY,MAAO,SAAA5B,GAAI,MAAI,CAAC,IAAD,OACXA,EAAKnD,OADM,IAEdoD,KAAMF,EAAQC,GACdoC,MAAOpC,EAAKnD,MAAMoD,KAClBK,IAAK,iCACH,O,8BAKJ,OAAK7Q,KAAKU,QAAQkS,YAIX,CACN,IAAIC,IAAO,CACVC,MAAO,CACNC,YAAa,SAACC,EAAMC,EAAK/K,GAAU,IAC1BgE,EAAW8G,EAAK/R,MAAhBiL,OAGR,GAFcgH,YAAaF,EAAK/R,MAAOiL,EAAOiH,MAAMC,MAE1C5C,MAAQtI,EAAMmL,kBAAkBC,kBAAmB,CAC5DpL,EAAMqL,kBACN,IAAMC,EAAWtL,EAAMmL,OAAO7C,KAC9B,GAAqB,IAAjBtI,EAAMuL,SAAiBvL,EAAMwL,SAAWF,EAASG,WAAWpH,OAAOqH,SAASC,QAAS,CACxF,IAAMC,EAAQtP,GAAGuP,iBAAiBP,GAC5BQ,EAAWxP,GAAGuP,iBAAiBP,EAAS1C,MAAM,KAAKC,OACzD,GAAI+C,EAAMnD,KAAOqD,EAAStD,QAAS,CAClC,IAAMuD,EAAWD,EAAStD,QAAQI,MAAM,KAAKC,MACvCU,EAAO,GAAH,OAAMqC,EAAMnD,IAAZ,YAAmBsD,GAC7BnV,SAAS6T,MAAT,UAAoBsB,EAApB,cAAkCzP,GAAG0P,MAAMvB,OACvCpG,OAAOqH,SAASO,SAAS1D,MAAM,kBAKnCW,IAAIC,OAAOgB,KAAK,CAAEZ,cAElBlF,OAAO8F,KAAKmB,QAGbjH,OAAO8F,KAAKmB,SA9BV,O,GA7BSY,K,kBCfnB,GACA,YACA,aACA,YACA,kBACA,YACA,iBAGA,gBACA,sBACA,eAGA,sBACA,cAGA,4BACA,sBACA,gCACA,mCCrFyL,ED0FzL,CACA,iBACA,oCACA,KAHA,WAIA,OACA,eACA,UACA,YAGA,UACA,SADA,WAEA,sBACA,gBAEA,eACA,qGAEA,kDACA,uCACA,8FAEA,OAZA,WAaA,6BAEA,cAfA,WAgBA,yDAEA,SAlBA,WAmBA,6BACA,SACA,CACA,6DAGA,IAEA,iBA3BA,WA4BA,6BACA,sCAEA,oBA/BA,WAgCA,2BACA,SACA,+BAEA,UAEA,KACA,IADA,WAEA,4BAEA,IAJA,SAIA,GACA,kBACA,UAIA,KACA,IADA,WAEA,mDAEA,IAJA,SAIA,GACA,kBACA,UAIA,EA1DA,WA2DA,6CAGA,YAxEA,WAwEA,WACA,0BAKA,OAHA,eACA,yBACA,gBAGA,gBACA,oBACA,oBACA,kBAEA,qBACA,YACA,iBACA,cAGA,SACA,UADA,WAEA,oCAEA,SAJA,WAKA,kB,iBEvKe,EAXC,YACd,GCTW,WAAa,IAAIrH,EAAI/M,KAASgN,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAOE,EAAG,MAAM,CAACK,YAAY,QAAQM,MAAM,CAAC,gBAAiBd,EAAIzH,QAAQ8H,MAAM,CAAC,WAAWL,EAAIsH,MAAM,CAAEtH,EAAIuH,aAAevH,EAAIwH,iBAAkBrH,EAAG,MAAM,CAACK,YAAY,eAAe,CAACL,EAAG,aAAa,CAACE,MAAM,CAAC,KAAO,SAAS,CAACF,EAAG,MAAM,CAACoB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO1B,EAAU,OAAE2B,WAAW,WAAWnB,YAAY,cAAcH,MAAM,CAAC,IAAML,EAAIyH,UAAUzM,GAAG,CAAC,KAAOgF,EAAI0H,cAAc1H,EAAIS,GAAG,KAAKN,EAAG,aAAa,CAACE,MAAM,CAAC,KAAO,SAAS,CAACF,EAAG,MAAM,CAACoB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO1B,EAAU,OAAE2B,WAAW,WAAWnB,YAAY,kBAAkB,CAACL,EAAG,QAAQ,CAACgB,IAAI,WAAWd,MAAM,CAAC,KAAO,QAAQsH,SAAS,CAAC,MAAQ3H,EAAI4H,KAAK5M,GAAG,CAAC,MAAQ,SAAS6M,GAAQ,OAAIA,EAAOC,KAAKC,QAAQ,QAAQ/H,EAAIgI,GAAGH,EAAOI,QAAQ,QAAQ,GAAGJ,EAAOrF,IAAI,SAAkB,KAAcxC,EAAIkI,qBAAqB,GAAG/H,EAAG,MAAM,CAACK,YAAY,sBAAsB,CAACL,EAAG,aAAa,CAACE,MAAM,CAAC,KAAO,SAAS,CAACF,EAAG,MAAM,CAACoB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO1B,EAAU,OAAE2B,WAAW,WAAWnB,YAAY,eAAe,CAACL,EAAG,IAAI,CAACE,MAAM,CAAC,KAAOL,EAAImI,oBAAoB,OAAS,WAAW,CAAChI,EAAG,MAAM,CAACK,YAAY,aAAa0E,MAAOlF,EAAY,WAAIA,EAAIS,GAAG,KAAOT,EAAIwH,iBAAoDxH,EAAIY,KAAtCT,EAAG,IAAI,CAACH,EAAIS,GAAGT,EAAIU,GAAGV,EAAI4H,cAAuBzH,EAAG,aAAa,CAACE,MAAM,CAAC,KAAO,SAAS,CAACF,EAAG,MAAM,CAACoB,WAAW,CAAC,CAACC,KAAK,OAAOC,QAAQ,SAASC,MAAO1B,EAAU,OAAE2B,WAAW,WAAWnB,YAAY,kBAAkB,CAACL,EAAG,QAAQ,CAACgB,IAAI,WAAWd,MAAM,CAAC,KAAO,QAAQsH,SAAS,CAAC,MAAQ3H,EAAI4H,KAAK5M,GAAG,CAAC,MAAQ,SAAS6M,GAAQ,OAAIA,EAAOC,KAAKC,QAAQ,QAAQ/H,EAAIgI,GAAGH,EAAOI,QAAQ,QAAQ,GAAGJ,EAAOrF,IAAI,SAAkB,KAAcxC,EAAIkI,qBAAqB,OACvqD,IDWpB,EACA,KACA,WACA,M;;;;;;;;;;;;;;;;;;;;;OEUmBE,E,sWAGnB,OAAOC,I,6BAIP,mDAECC,YAAY,S,8BAToBC,K;;;;;;;;;;;;;;;;;;;;;OCAdC,G,wWAYb,WACN,MAAO,CACNC,IAAK,SAACvU,GAEL,OADAwU,YAAW,KAAXA,CAAiBxU,EAAO,EAAKyU,OAAO1C,KAAK2C,SAAU,EAAKD,OAAO1C,OACxD,M,2BAbT,MAAO,Q,6BAIP,MAAO,CACNpD,QAAS,c,8BARmCgG,K;;;;;;;;;;;;;;;;;;;;;GCE/C,IAAMC,GACG,EADHA,GAEK,EAGLC,GAAgB,SAAC5J,EAAQ6J,GAC9B,OAAOC,2BAAe,SAASzF,GAC9B,OAAOA,EAAKsE,OAAS3I,EAAO+J,MAAMC,YAD5BF,CAEJD,IAGiBI,G,gXA8DO,IAAhBtB,EAAgB,EAAhBA,KAAM3I,EAAU,EAAVA,OAChB,MAAO,CACNkK,iBAAkB,WACjB,OAAO,SAACnV,EAAO0U,EAAU3C,GACxB,OAAOqD,YAAWnK,EAAO+J,MAAMK,YAAazB,EAArCwB,CAA2CpV,EAAO0U,EAAU3C,KAGrEuD,UAAW,WACV,OAAO,SAACtV,EAAO0U,EAAU3C,GACxB,IAAM9G,EAASjL,EAAMiL,OACf6J,EAAY9U,EAAM8U,UAClBS,EAAQT,EAAUS,MAClBC,EAAMV,EAAUU,IAChBC,EAAQF,EAAMG,WAAWF,GAE3BG,EAAK3V,EAAM2V,GACXC,EAAaf,GAAc5J,EAAQ6J,GASvC,QAP0B,IAAfc,IACVR,YAAWnK,EAAO+J,MAAMK,YAAazB,EAArCwB,CAA2CpV,GAAO,SAAC6V,GAClDF,EAAKE,IACH9D,GACH6D,EAAaf,GAAc5J,EAAQ0K,EAAGb,aAGlCW,QAA+B,IAAfG,EACpB,OAAO,EAGRD,EAAGG,cAAcF,EAAW5D,IAAK/G,EAAO+J,MAAMC,UAAW,CAAErB,KAAMgC,EAAWtG,KAAKnD,MAAMyH,OAASgB,GAAiBA,GAAeA,KAChIe,EAAGI,iBAECrB,GACHA,EAASiB,Q,qCA5Fb,MAAO,CACNK,QAAQ,K,6BAKT,MAAO,CACN7J,MAAO,CACN8J,KAAM,CACLjH,SAAS,GAEV4E,KAAM,CACL5E,QAAS4F,KAGXsB,WAAW,EACXvH,QAAS,mBACTuC,MAAO,SAAA5B,GACN,GAAIA,EAAKnD,MAAMyH,OAASgB,GACvB,MAAO,CAAC,KAAM,GAEf,IAAMuB,EAAiB,CAAEvJ,MAAO,iBAC1BwJ,EAAqB,CAAExC,KAAM,WAAYhH,MAAO,GAAIyJ,iBAAiB,GAK3E,OAJI/G,EAAKnD,MAAM8J,OACdG,EAAmBE,SAAU,EAC7BH,EAAevJ,OAAS,YAElB,CACN,KACAuJ,EACA,CACC,QACAC,GAED,CACC,QACA,KAIHtF,SAAU,CACT,CACCyF,SAAU,IACVxF,IAAK,KACLE,SAAU,SAAAuF,GACT,IAAMC,EAAWD,EAAGE,cAAc,wBAClC,MAAO,CAAET,KAAMQ,GAAYA,EAASH,QAAS1C,KAAM6C,EAAW7B,GAAiBA,OAIlFzD,WAAY,SAACnR,EAAOsP,GACfA,EAAKnD,MAAMyH,OAASgB,IACvB5U,EAAM2W,MAAN,WAAgBrH,EAAKnD,MAAM8J,KAAO,IAAM,IAAxC,OAEDjW,EAAM4W,cAActH,O,8BA+CtB,MAAO,CACN,IAAIsC,IAAO,CACVC,MAAO,CACNC,YAAa,SAACC,EAAMC,EAAK/K,GACxB,IAAMjH,EAAQ+R,EAAK/R,MACbiL,EAASjL,EAAMiL,OAEf4L,EAAc9E,EAAK+E,YAAY,CAAEC,KAAM9P,EAAM+P,QAASC,IAAKhQ,EAAMiQ,UACjEC,EAAWnX,EAAMyG,IAAII,QAAQgQ,EAAY7E,KACzC4D,EAAawB,sCAA2BD,GAAU,SAAS7H,GAChE,OAAOA,EAAKsE,OAAS3I,EAAO+J,MAAMC,aAE7BoC,EAAuD,OAAvCpQ,EAAMmL,OAAOkF,QAAQC,cAC3C,QAA0B,IAAf3B,GAA8BA,EAAWtG,KAAKnD,MAAMyH,OAASgB,IAAmByC,EAA3F,CAIA,IAAM1B,EAAK3V,EAAM2V,GACjBA,EAAGG,cAAcF,EAAW5D,IAAK/G,EAAO+J,MAAMC,UAAW,CAAEgB,MAAOL,EAAWtG,KAAKnD,MAAM8J,KAAMrC,KAAMgB,KACpG7C,EAAK2C,SAASiB,c,gCA3HkB6B,K;;;;;;;;;;;;;;;;;;;;;;ACOtC,IAAMC,GAAmB,e,EAAA,G,EAAA,yBAAG,WAAMC,GAAN,4FACrBC,EAAY,CAACD,GACbE,EAAU,GACP5R,EAAI,EAHc,YAGXA,EAAI2R,EAAU/Y,QAHH,0CAKN,OAAoD,KAAgC+Y,EAAU3R,IALxF,OAKnB6R,EALmB,OAMzBD,EAAQD,EAAU3R,IAAM6R,EAAK7I,QANJ,gFASlB8I,GATkB,QAGW9R,IAHX,0BAYS,IAAhCpB,OAAOmT,KAAKH,GAAShZ,QAAgBgZ,EAAQI,cAAgBpT,OAZtC,+CAanBkT,GAbmB,iCAepB,CAAEH,UAAWC,IAfO,yD,iLAAH,sDAkBnBK,GAAe,SAAC,GAA4E,IAA1EtJ,EAA0E,EAA1EA,QAASuJ,EAAiE,EAAjEA,OAAQC,EAAyD,EAAzDA,SAAUC,EAA+C,EAA/CA,WAAYC,EAAmC,EAAnCA,kBAAmBV,EAAgB,EAAhBA,UAC7EW,EAAwB,GAmC5B,OAjCCA,EADGD,EACqB,CACvB,IAAIE,IACJ,IAAIC,IACJ,IAAI/H,EACJ,IAAIE,EACJ,IAAIE,EACJ,IAAI4H,IACJ,IAAIC,IACJ,IAAIC,IACJ,IAAIC,IACJ,IAAIC,IACJ,IAAIC,IACJ,IAAI5D,GACJ,IAAI1D,EAAK,CACRG,aAAa,IAEd,IAAIuC,EACJ,IAAI6E,IAAY,CACfC,eAAgB,WAChBC,cAAexM,qBAAE,OAAQ,+BACzByM,sBAAsB,KAIA,CACvB,IAAI5E,GACJ,IAAI6E,IACJ,IAAIC,IAAJ,MACIzB,KAINS,EAAaA,GAAc,GACpB,IAAIiB,IAAO,CACjB1K,UACAuJ,SACAC,WACAC,WAAY,aACRE,GADQ,CAEX,IAAIgB,MACHtJ,OAAOoI,GACTmB,qBAAsBlB,KAIlBmB,GAAaC,KAAW,aAAc,CAAEC,MAAM,EAAOC,QAAQ,IACjEC,OAAO,iBACPC,IAAIC,KAAW,CAAEF,QAAQ,EAAMG,YAAY,IAEvCC,GAAqB,SAASC,GACnClb,KAAKkb,QAAUA,GAEVC,GAA2B,SAACC,EAAQC,GACzC,IAAMpF,EAAQpQ,OACZyV,QAAQF,GACR1Y,QAAO,8BAAmB0P,cAC1BmJ,QAAO,SAACC,EAAD,iBAASjN,EAAT,KAAiB6D,EAAjB,KAAiBA,WAAjB,UAAC,MACLoJ,GADI,SAENjN,EAAO6D,MACL,IAECe,EAAQtN,OACZyV,QAAQD,GACR3Y,QAAO,8BAAmB0P,cAC1BmJ,QAAO,SAACC,EAAD,iBAASjN,EAAT,KAAiB6D,EAAjB,KAAiBA,WAAjB,UAAC,MACLoJ,GADI,SAENjN,EAAO6D,MACL,IACL,MAAO,CACNqJ,WAAY,IAAIC,KAAJ,SACNC,KAA0B1F,OAAUA,GAD9B,SAEN0F,KAA0BxI,OAAUA,IAE1ClO,UALM,SAKI2K,EAASlP,GAClB,OAAOV,KAAKyb,WAAWxW,UAAU2K,EAA1B,SAAwClP,GAAxC,IAAiDkb,YAAY,KAClE9K,MAAM,OAAOI,KAAK,KAClBJ,MAAM,OAAOI,KAAK,QAKjB2K,GAAqB,SAAC1N,GAC3B,IAAMzG,EAAMyG,EAAO2N,UAEnB,GAA2B,IAAvBpU,EAAIkI,QAAQ/P,aAAkD,IAA3B6H,EAAIkI,QAAQ,GAAGA,SAA6D,IAAlClI,EAAIkI,QAAQ,GAAGA,QAAQ/P,OAAc,CACrH,GAA4B,eAAxB6H,EAAIkI,QAAQ,GAAGiF,WAA2D,IAA3BnN,EAAIkI,QAAQ,GAAGA,QACjE,MAAO,GAER,MAAM,IAAIqL,GAAmB,8CAE9B,IAAMc,EAAYrU,EAAIkI,QAAQ,GAAGA,QAAQ,GACzC,GAAuB,SAAnBmM,EAAUlH,KACb,MAAM,IAAIoG,GAAmB,8CAE9B,OAAOc,EAAUC,O,oBChKlB,IAAI7X,EAAM,CACT,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,gBAAiB,IACjB,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,UAAW,IACX,aAAc,IACd,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,UAAW,IACX,aAAc,IACd,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,YAAa,IACb,eAAgB,IAChB,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,QAAS,IACT,WAAY,IACZ,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,UAAW,IACX,aAAc,IACd,QAAS,IACT,WAAY,IACZ,OAAQ,IACR,UAAW,IACX,QAAS,IACT,WAAY,IACZ,QAAS,IACT,aAAc,IACd,gBAAiB,IACjB,WAAY,IACZ,UAAW,IACX,aAAc,IACd,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,UAAW,IACX,OAAQ,IACR,YAAa,IACb,eAAgB,IAChB,UAAW,IACX,OAAQ,IACR,UAAW,IACX,aAAc,IACd,gBAAiB,IACjB,OAAQ,IACR,UAAW,IACX,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,IACd,UAAW,IACX,aAAc,KAIf,SAAS8X,EAAeC,GACvB,IAAIza,EAAK0a,EAAsBD,GAC/B,OAAOE,EAAoB3a,GAE5B,SAAS0a,EAAsBD,GAC9B,IAAIE,EAAoBC,EAAElY,EAAK+X,GAAM,CACpC,IAAI7Y,EAAI,IAAIiZ,MAAM,uBAAyBJ,EAAM,KAEjD,MADA7Y,EAAEC,KAAO,mBACHD,EAEP,OAAOc,EAAI+X,GAEZD,EAAejD,KAAO,WACrB,OAAOnT,OAAOmT,KAAK7U,IAEpB8X,EAAenU,QAAUqU,EACzBtM,EAAOE,QAAUkM,EACjBA,EAAexa,GAAK,K,iCCnRpB,oBAA+W,G,qBCE/WsO,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,48BAA68B,KAEt+B4I,EAAOE,QAAUA,G,oBCNjB,IAAI5L,EAAM,CACT,OAAQ,CACP,IACA,GAED,UAAW,CACV,IACA,GAED,SAAU,CACT,IACA,GAED,YAAa,CACZ,IACA,GAED,cAAe,CACd,IACA,GAED,iBAAkB,CACjB,IACA,GAED,iBAAkB,CACjB,IACA,GAED,oBAAqB,CACpB,IACA,GAED,QAAS,CACR,IACA,GAED,WAAY,CACX,IACA,GAED,gBAAiB,CAChB,IACA,GAED,mBAAoB,CACnB,IACA,GAED,WAAY,CACX,IACA,GAED,cAAe,CACd,IACA,GAED,gBAAiB,CAChB,IACA,GAED,mBAAoB,CACnB,IACA,GAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,aAAc,CACb,IACA,IAED,gBAAiB,CAChB,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,iBAAkB,CACjB,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,iBAAkB,CACjB,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,YAAa,CACZ,IACA,IAED,iBAAkB,CACjB,IACA,IAED,oBAAqB,CACpB,IACA,IAED,eAAgB,CACf,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,iBAAkB,CACjB,IACA,IAED,oBAAqB,CACpB,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,OAAQ,CACP,IACA,IAED,UAAW,CACV,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,MAAO,CACN,IACA,IAED,SAAU,CACT,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,aAAc,CACb,IACA,IAED,gBAAiB,CAChB,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,WAAY,CACX,IACA,IAED,gBAAiB,CAChB,IACA,IAED,mBAAoB,CACnB,IACA,IAED,cAAe,CACd,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,OAAQ,CACP,IACA,IAED,UAAW,CACV,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,aAAc,CACb,IACA,IAED,gBAAiB,CAChB,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,OAAQ,CACP,IACA,IAED,UAAW,CACV,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,cAAe,CACd,IACA,IAED,iBAAkB,CACjB,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,UAAW,CACV,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,aAAc,CACb,IACA,IAED,WAAY,CACX,IACA,IAED,cAAe,CACd,IACA,IAED,UAAW,CACV,IACA,IAED,aAAc,CACb,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,mBAAoB,CACnB,IACA,IAED,sBAAuB,CACtB,IACA,IAED,eAAgB,CACf,IACA,IAED,kBAAmB,CAClB,IACA,IAED,SAAU,CACT,IACA,IAED,YAAa,CACZ,IACA,IAED,QAAS,CACR,IACA,IAED,WAAY,CACX,IACA,IAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,gBAAiB,CAChB,IACA,KAED,mBAAoB,CACnB,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,mBAAoB,CACnB,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,OAAQ,CACP,IACA,KAED,UAAW,CACV,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,iBAAkB,CACjB,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,cAAe,CACd,IACA,KAED,iBAAkB,CACjB,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,MAAO,CACN,IACA,KAED,SAAU,CACT,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,MAAO,CACN,IACA,KAED,SAAU,CACT,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,aAAc,CACb,IACA,KAED,gBAAiB,CAChB,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,kBAAmB,CAClB,IACA,KAED,qBAAsB,CACrB,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,cAAe,CACd,IACA,KAED,iBAAkB,CACjB,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,iBAAkB,CACjB,IACA,KAED,oBAAqB,CACpB,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,OAAQ,CACP,IACA,KAED,UAAW,CACV,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,kBAAmB,CAClB,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,UAAW,CACV,IACA,KAED,aAAc,CACb,IACA,KAED,aAAc,CACb,IACA,KAED,kBAAmB,CAClB,IACA,KAED,qBAAsB,CACrB,IACA,KAED,gBAAiB,CAChB,IACA,KAED,YAAa,CACZ,IACA,KAED,eAAgB,CACf,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,OAAQ,CACP,IACA,KAED,UAAW,CACV,IACA,KAED,QAAS,CACR,IACA,KAED,WAAY,CACX,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,KAED,SAAU,CACT,IACA,KAED,YAAa,CACZ,IACA,KAED,WAAY,CACX,IACA,KAED,cAAe,CACd,IACA,MAGF,SAASqY,EAAoBN,GAC5B,IAAIE,EAAoBC,EAAElY,EAAK+X,GAC9B,OAAOtV,QAAQkB,UAAU5F,MAAK,WAC7B,IAAImB,EAAI,IAAIiZ,MAAM,uBAAyBJ,EAAM,KAEjD,MADA7Y,EAAEC,KAAO,mBACHD,KAIR,IAAIoZ,EAAMtY,EAAI+X,GAAMza,EAAKgb,EAAI,GAC7B,OAAOL,EAAoB/Y,EAAEoZ,EAAI,IAAIva,MAAK,WACzC,OAAOka,EAAoB1O,EAAEjM,EAAI,MAGnC+a,EAAoBxD,KAAO,WAC1B,OAAOnT,OAAOmT,KAAK7U,IAEpBqY,EAAoB/a,GAAK,IACzBoO,EAAOE,QAAUyM,G,iCC59CjB,oBAAmX,G,qBCEnXzM,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,+tEAAguE,KAEzvE4I,EAAOE,QAAUA,G,iCCNjB,oBAA2V,G,qBCE3VA,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,upPAAgqP,KAEzrP4I,EAAOE,QAAUA,G,iCCNjB,oBAAmX,G,qBCEnXA,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,24BAA44B,KAEr6B4I,EAAOE,QAAUA,G,iCCNjB,oBAA4V,G,qBCE5VA,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,kvJAAuvJ,KAEhxJ4I,EAAOE,QAAUA,G,iCCNjB,oBAA4V,G,qBCE5VA,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,irHAAsrH,KAE/sH4I,EAAOE,QAAUA,G,iCCNjB,oBAA4X,G,qBCE5XA,EADkC,EAAQ,GAChCwM,EAA4B,IAE9BhV,KAAK,CAACsI,EAAO5I,EAAI,sPAAuP,KAEhR4I,EAAOE,QAAUA,G,wCCNjB,I,oCCA8L,EC+B9L,CACA,sBACA,+BACA,OACA,SACA,YACA,aAEA,cACA,aACA,aAGA,gBACA,OACA,cAGA,QAlBA,WAmBA,yBACA,sFACA,sCAEA,uCAEA,cAzBA,WA0BA,wB,wBChDIjD,EAAY,YACd,GHVW,WAAa,IAAiBE,EAAThN,KAAgBiN,eAAmBC,EAAnClN,KAA0CmN,MAAMD,IAAIF,EAAG,OAAvDhN,KAAyE,OAAEkN,EAAG,gBAAgB,CAACE,MAAM,CAAC,GAAK,mBAAmB,OAA9HpN,KAA2I0V,UAA3I1V,KAAyJ2N,OACrK,IGYpB,EACA,KACA,KACA,MAIa,UAAAb,E,iDCpBf,ICAsM,ECkCtM,CACA,+B,iBC3BIA,EAAY,YACd,GHTW,WAAa,IAAIC,EAAI/M,KAASgN,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAOE,EAAG,MAAM,CAACK,YAAY,2BAA2BH,MAAM,CAAC,GAAK,sBAAsB,CAACF,EAAG,SAAS,CAACnF,GAAG,CAAC,MAAQ,SAAS6M,GAAQ,OAAO7H,EAAI2P,MAAM,4BAA4B,CAAC3P,EAAIS,GAAG,SAAST,EAAIU,GAAGV,EAAIW,EAAE,OAAQ,wBAAwB,UAAUX,EAAIS,GAAG,KAAKN,EAAG,SAAS,CAACnF,GAAG,CAAC,MAAQ,SAAS6M,GAAQ,OAAO7H,EAAI2P,MAAM,8BAA8B,CAAC3P,EAAIS,GAAG,SAAST,EAAIU,GAAGV,EAAIW,EAAE,OAAQ,0BAA0B,cACle,IGWpB,EACA,KACA,WACA,MAIa,UAAAZ,E","file":"editor.js?v=6ee3c36190dc54802157","sourcesContent":["/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\n/**\n * Callback that should be executed after the document is ready\n * @param callback\n */\nimport { generateUrl } from '@nextcloud/router'\n\nconst documentReady = function(callback) {\n\tconst fn = () => setTimeout(callback, 0)\n\tif (document.attachEvent ? document.readyState === 'complete' : document.readyState !== 'loading') {\n\t\tfn()\n\t} else {\n\t\tdocument.addEventListener('DOMContentLoaded', callback)\n\t}\n}\n\nconst _baseUrl = generateUrl('/apps/text')\nconst endpointUrl = (endpoint, isPublic = false) => {\n\tif (isPublic) {\n\t\treturn `${_baseUrl}/public/${endpoint}`\n\t}\n\treturn `${_baseUrl}/${endpoint}`\n}\n\nconst randomGuestNames = ['Artichoke', 'Arugula', 'Asparagus', 'Avocado', 'Bamboo Shoot', 'Bean Sprout', 'Bean', 'Beet', 'Belgian Endive', 'Bell Pepper', 'Bitter Melon', 'Bitter Gourd', 'Bok Choy', 'Broccoli', 'Brussels Sprout', 'Burdock Root', 'Cabbage', 'Calabash', 'Caper', 'Carrot', 'Cassava', 'Cauliflower', 'Celery', 'Celery Root', 'Celtuce', 'Chayote', 'Chinese Broccoli', 'Corn', 'Baby Corn', 'Cucumber', 'English Cucumber', 'Gherkin', 'Pickling Cucumber', 'Daikon Radish', 'Edamame', 'Eggplant', 'Elephant Garlic', 'Endive', 'Curly', 'Escarole', 'Fennel', 'Fiddlehead', 'Galangal', 'Garlic', 'Ginger', 'Grape Leave', 'Green Bean', 'Wax Bean', 'Green', 'Amaranth Leave', 'Beet Green', 'Collard Green', 'Dandelion Green', 'Kale', 'Kohlrabi Green', 'Mustard Green', 'Rapini', 'Spinach', 'Swiss Chard', 'Turnip Green', 'Hearts of Palm', 'Horseradish', 'Jerusalem Artichoke', 'Jícama', 'Kale', 'Curly', 'Lacinato', 'Ornamental', 'Kohlrabi', 'Leeks', 'Lemongrass', 'Lettuce', 'Butterhead', 'Iceberg', 'Leaf', 'Romaine', 'Lotus Root', 'Lotus Seed', 'Mushroom', 'Napa Cabbage', 'Nopales', 'Okra', 'Olive', 'Onion', 'Green Onion', 'Parsley', 'Parsley Root', 'Parsnip', 'Pepper', 'Plantain', 'Potato', 'Pumpkin', 'Purslane', 'Radicchio', 'Radish', 'Rutabaga', 'Shallots', 'Spinach', 'Squash', 'Sweet Potato', 'Swiss Chard', 'Taro', 'Tomatillo', 'Tomato', 'Turnip', 'Water Chestnut', 'Water Spinach', 'Watercress', 'Winter Melon', 'Yams', 'Zucchini']\nconst getRandomGuestName = () => {\n\treturn randomGuestNames[Math.floor(Math.random() * randomGuestNames.length)]\n}\n\nexport {\n\tdocumentReady,\n\tendpointUrl,\n\tgetRandomGuestName,\n}\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{attrs:{\"id\":\"editor-container\"}},[(_vm.currentSession && _vm.active)?_c('div',[(_vm.hasSyncCollission)?_c('p',{staticClass:\"msg icon-error\"},[_vm._v(\"\\n\\t\\t\\t\"+_vm._s(_vm.t('text', 'The document has been changed outside of the editor. The changes cannot be applied.'))+\"\\n\\t\\t\")]):_vm._e(),_vm._v(\" \"),(_vm.hasConnectionIssue)?_c('p',{staticClass:\"msg icon-info\"},[_vm._v(\"\\n\\t\\t\\t\"+_vm._s(_vm.t('text', 'File could not be loaded. Please check your internet connection.'))+\" \"),_c('a',{staticClass:\"button primary\",on:{\"click\":_vm.reconnect}},[_vm._v(_vm._s(_vm.t('text', 'Retry')))])]):_vm._e()]):_vm._e(),_vm._v(\" \"),(_vm.currentSession && _vm.active)?_c('div',{class:{'has-conflicts': _vm.hasSyncCollission, 'icon-loading': !_vm.initialLoading || _vm.hasConnectionIssue, 'richEditor': _vm.isRichEditor},attrs:{\"id\":\"editor-wrapper\"}},[_c('div',{attrs:{\"id\":\"editor\"}},[(!_vm.syncError && !_vm.readOnly)?_c('MenuBar',{ref:\"menubar\",attrs:{\"editor\":_vm.tiptap,\"file-path\":_vm.relativePath,\"is-rich-editor\":_vm.isRichEditor,\"is-public\":_vm.isPublic,\"autohide\":_vm.autohide}},[(_vm.currentSession && _vm.active)?_c('div',{attrs:{\"id\":\"editor-session-list\"}},[_c('div',{directives:[{name:\"tooltip\",rawName:\"v-tooltip\",value:(_vm.lastSavedStatusTooltip),expression:\"lastSavedStatusTooltip\"}],staticClass:\"save-status\",class:_vm.lastSavedStatusClass},[_vm._v(\"\\n\\t\\t\\t\\t\\t\\t\"+_vm._s(_vm.lastSavedStatus)+\"\\n\\t\\t\\t\\t\\t\")]),_vm._v(\" \"),_c('SessionList',{attrs:{\"sessions\":_vm.filteredSessions}},[(_vm.isPublic && _vm.currentSession.guestName)?_c('GuestNameDialog',{attrs:{\"sync-service\":_vm.syncService}}):_vm._e()],1)],1):_vm._e(),_vm._v(\" \"),_vm._t(\"header\")],2):_vm._e(),_vm._v(\" \"),_c('div',[(!_vm.readOnly && _vm.isRichEditor)?_c('MenuBubble',{attrs:{\"editor\":_vm.tiptap,\"filePath\":_vm.relativePath}}):_vm._e(),_vm._v(\" \"),_c('EditorContent',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.initialLoading),expression:\"initialLoading\"}],staticClass:\"editor__content\",attrs:{\"editor\":_vm.tiptap}})],1)],1),_vm._v(\" \"),(_vm.hasSyncCollission)?_c('ReadOnlyEditor',{attrs:{\"content\":_vm.syncError.data.outsideChange,\"is-rich-editor\":_vm.isRichEditor}}):_vm._e()],1):_vm._e(),_vm._v(\" \"),(_vm.hasSyncCollission && !_vm.readOnly)?_c('CollisionResolveDialog',{on:{\"resolveUseThisVersion\":_vm.resolveUseThisVersion,\"resolveUseServerVersion\":_vm.resolveUseServerVersion}}):_vm._e()],1)}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nimport axios from '@nextcloud/axios'\nimport { endpointUrl } from '../helpers'\nimport { ERROR_TYPE } from './SyncService'\nimport { sendableSteps } from 'prosemirror-collab'\n\n/**\n * Minimum inverval to refetch the document changes\n * @type {number}\n */\nconst FETCH_INTERVAL = 300\n\n/**\n * Maximum interval between refetches of document state if multiple users have joined\n * @type {number}\n */\nconst FETCH_INTERVAL_MAX = 5000\n\n/**\n * Interval to check for changes when there is only one user joined\n * @type {number}\n */\nconst FETCH_INTERVAL_SINGLE_EDITOR = 5000\n\nconst MIN_PUSH_RETRY = 500\nconst MAX_PUSH_RETRY = 10000\n\n/* Timeout after that a PUSH_FAILURE error is emitted */\nconst WARNING_PUSH_RETRY = 5000\n\n/* Maximum number of retries for fetching before emitting a connection error */\nconst MAX_RETRY_FETCH_COUNT = 5\n\n/* Timeout for sessions to be marked as disconnected */\nconst COLLABORATOR_DISCONNECT_TIME = 20\n\nclass PollingBackend {\n\n\tconstructor(authority) {\n\t\t/** @type SyncService */\n\t\tthis._authority = authority\n\t\tthis.fetchInterval = FETCH_INTERVAL\n\t\tthis.retryTime = MIN_PUSH_RETRY\n\t\tthis.lock = false\n\t\tthis.fetchRetryCounter = 0\n\t}\n\n\tconnect() {\n\t\tthis.fetcher = setInterval(this._fetchSteps.bind(this), 0)\n\t}\n\n\t_isPublic() {\n\t\treturn !!this._authority.options.shareToken\n\t}\n\n\tforceSave() {\n\t\tthis._forcedSave = true\n\t\tthis.fetchSteps()\n\t}\n\n\tsave() {\n\t\tthis._manualSave = true\n\t\tthis.fetchSteps()\n\t}\n\n\tfetchSteps() {\n\t\tthis._fetchSteps()\n\t}\n\n\t/**\n\t * This method is only called though the timer\n\t */\n\t_fetchSteps() {\n\t\tif (this.lock || !this.fetcher) {\n\t\t\treturn\n\t\t}\n\t\tthis.lock = true\n\t\tlet autosaveContent\n\t\tif (this._forcedSave || this._manualSave\n\t\t\t|| (!sendableSteps(this._authority.state)\n\t\t\t&& (this._authority._getVersion() !== this._authority.document.lastSavedVersion))\n\t\t) {\n\t\t\tautosaveContent = this._authority._getContent()\n\t\t}\n\t\taxios.post(endpointUrl('session/sync', this._isPublic()), {\n\t\t\tdocumentId: this._authority.document.id,\n\t\t\tsessionId: this._authority.session.id,\n\t\t\tsessionToken: this._authority.session.token,\n\t\t\tversion: this._authority._getVersion(),\n\t\t\tautosaveContent,\n\t\t\tforce: !!this._forcedSave,\n\t\t\tmanualSave: !!this._manualSave,\n\t\t\ttoken: this._authority.options.shareToken,\n\t\t\tfilePath: this._authority.options.filePath,\n\t\t}).then((response) => {\n\t\t\tthis.fetchRetryCounter = 0\n\n\t\t\tif (this._authority.document.lastSavedVersion < response.data.document.lastSavedVersion) {\n\t\t\t\tconsole.debug('Saved document', response.data.document)\n\t\t\t\tthis._authority.emit('save', { document: response.data.document, sessions: response.data.sessions })\n\t\t\t}\n\n\t\t\tthis._authority.emit('change', { document: response.data.document, sessions: response.data.sessions })\n\t\t\tthis._authority.document = response.data.document\n\t\t\tthis._authority.sessions = response.data.sessions\n\n\t\t\tif (response.data.steps.length === 0) {\n\t\t\t\tthis.lock = false\n\t\t\t\tif (response.data.sessions.filter((session) => session.lastContact > Date.now() / 1000 - COLLABORATOR_DISCONNECT_TIME).length < 2) {\n\t\t\t\t\tthis.maximumRefetchTimer()\n\t\t\t\t} else {\n\t\t\t\t\tthis.increaseRefetchTimer()\n\t\t\t\t}\n\t\t\t\tthis._authority.emit('stateChange', { dirty: false })\n\t\t\t\tthis._authority.emit('stateChange', { initialLoading: true })\n\t\t\t\treturn\n\t\t\t}\n\n\t\t\tthis._authority._receiveSteps(response.data)\n\t\t\tthis.lock = false\n\t\t\tthis._forcedSave = false\n\t\t\tthis.resetRefetchTimer()\n\t\t}).catch((e) => {\n\t\t\tthis.lock = false\n\t\t\tif (!e.response || e.code === 'ECONNABORTED') {\n\t\t\t\tif (this.fetchRetryCounter++ >= MAX_RETRY_FETCH_COUNT) {\n\t\t\t\t\tconsole.error('[PollingBackend:fetchSteps] Network error when fetching steps, emitting CONNECTION_FAILED')\n\t\t\t\t\tthis._authority.emit('error', ERROR_TYPE.CONNECTION_FAILED, {})\n\n\t\t\t\t} else {\n\t\t\t\t\tconsole.error(`[PollingBackend:fetchSteps] Network error when fetching steps, retry ${this.fetchRetryCounter}`)\n\t\t\t\t}\n\t\t\t} else if (e.response.status === 409 && e.response.data.document.currentVersion === this._authority.document.currentVersion) {\n\t\t\t\t// Only emit conflict event if we have synced until the latest version\n\t\t\t\tconsole.error('Conflict during file save, please resolve')\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.SAVE_COLLISSION, {\n\t\t\t\t\toutsideChange: e.response.data.outsideChange,\n\t\t\t\t})\n\t\t\t} else if (e.response.status === 403) {\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.SOURCE_NOT_FOUND, {})\n\t\t\t} else if (e.response.status === 404) {\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.SOURCE_NOT_FOUND, {})\n\t\t\t} else if (e.response.status === 503) {\n\t\t\t\tthis.increaseRefetchTimer()\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.CONNECTION_FAILED, { retry: true })\n\t\t\t\tconsole.error('Failed to fetch steps due to unavailable service', e)\n\t\t\t} else {\n\t\t\t\tthis.increaseRefetchTimer()\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.CONNECTION_FAILED, { retry: false })\n\t\t\t\tconsole.error('Failed to fetch steps due to other reason', e)\n\t\t\t}\n\t\t})\n\t\tthis._manualSave = false\n\t\tthis._forcedSave = false\n\t}\n\n\tsendSteps(_sendable) {\n\t\tthis._authority.emit('stateChange', { dirty: true })\n\t\tif (this.lock) {\n\t\t\tsetTimeout(() => {\n\t\t\t\tthis._authority.sendSteps()\n\t\t\t}, 100)\n\t\t\treturn\n\t\t}\n\t\tthis.lock = true\n\t\tconst sendable = (typeof _sendable === 'function') ? _sendable() : _sendable\n\t\tconst steps = sendable.steps\n\t\taxios.post(endpointUrl('session/push', !!this._authority.options.shareToken), {\n\t\t\tdocumentId: this._authority.document.id,\n\t\t\tsessionId: this._authority.session.id,\n\t\t\tsessionToken: this._authority.session.token,\n\t\t\tsteps: steps.map(s => s.toJSON ? s.toJSON() : s) || [],\n\t\t\tversion: sendable.version,\n\t\t\ttoken: this._authority.options.shareToken,\n\t\t\tfilePath: this._authority.options.filePath,\n\t\t}).then((response) => {\n\t\t\tthis.carefulRetryReset()\n\t\t\tthis.lock = false\n\t\t\tthis.fetchSteps()\n\t\t}).catch((e) => {\n\t\t\tconsole.error('failed to apply steps due to collission, retrying')\n\t\t\tthis.lock = false\n\t\t\tif (!e.response || e.code === 'ECONNABORTED') {\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.CONNECTION_FAILED, {})\n\t\t\t\treturn\n\t\t\t} else if (e.response.status === 403 && e.response.data.document.currentVersion === this._authority.document.currentVersion) {\n\t\t\t\t// Only emit conflict event if we have synced until the latest version\n\t\t\t\tthis._authority.emit('error', ERROR_TYPE.PUSH_FAILURE, {})\n\t\t\t\tOC.Notification.showTemporary('Changes could not be sent yet')\n\t\t\t}\n\n\t\t\tthis.fetchSteps()\n\t\t\tthis.carefulRetry()\n\t\t})\n\t}\n\n\tdisconnect() {\n\t\tclearInterval(this.fetcher)\n\t\tthis.fetcher = 0\n\t}\n\n\tresetRefetchTimer() {\n\t\tif (this.fetcher === 0) {\n\t\t\treturn\n\t\t}\n\t\tthis.fetchInterval = FETCH_INTERVAL\n\t\tclearInterval(this.fetcher)\n\t\tthis.fetcher = setInterval(this._fetchSteps.bind(this), this.fetchInterval)\n\n\t}\n\n\tincreaseRefetchTimer() {\n\t\tif (this.fetcher === 0) {\n\t\t\treturn\n\t\t}\n\t\tthis.fetchInterval = Math.min(this.fetchInterval * 2, FETCH_INTERVAL_MAX)\n\t\tclearInterval(this.fetcher)\n\t\tthis.fetcher = setInterval(this._fetchSteps.bind(this), this.fetchInterval)\n\t}\n\n\tmaximumRefetchTimer() {\n\t\tif (this.fetcher === 0) {\n\t\t\treturn\n\t\t}\n\t\tthis.fetchInterval = FETCH_INTERVAL_SINGLE_EDITOR\n\t\tclearInterval(this.fetcher)\n\t\tthis.fetcher = setInterval(this._fetchSteps.bind(this), this.fetchInterval)\n\t}\n\n\tcarefulRetry() {\n\t\tconst newRetry = this.retryTime ? Math.min(this.retryTime * 2, MAX_PUSH_RETRY) : MIN_PUSH_RETRY\n\t\tif (newRetry > WARNING_PUSH_RETRY && this.retryTime < WARNING_PUSH_RETRY) {\n\t\t\tOC.Notification.showTemporary('Changes could not be sent yet')\n\t\t\tthis._authority.emit('error', ERROR_TYPE.PUSH_FAILURE, {})\n\t\t}\n\t\tthis.retryTime = newRetry\n\t}\n\n\tcarefulRetryReset() {\n\t\tthis.retryTime = MIN_PUSH_RETRY\n\t}\n\n}\n\nexport default PollingBackend\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nimport axios from '@nextcloud/axios'\n\nimport PollingBackend from './PollingBackend'\nimport { endpointUrl } from './../helpers'\nimport { getVersion, sendableSteps } from 'prosemirror-collab'\n\nconst defaultOptions = {\n\tshareToken: null,\n\tforceRecreate: false,\n\tserialize: (document) => document,\n}\n\nconst ERROR_TYPE = {\n\t/**\n\t * Failed to save collaborative document due to external change\n\t * collission needs to be resolved manually\n\t */\n\tSAVE_COLLISSION: 0,\n\t/**\n\t * Failed to push changes for MAX_REBASE_RETRY times\n\t */\n\tPUSH_FAILURE: 1,\n\n\tLOAD_ERROR: 2,\n\n\tCONNECTION_FAILED: 3,\n\n\tSOURCE_NOT_FOUND: 4,\n}\n\nclass SyncService {\n\n\tconstructor(options) {\n\t\tthis.eventHandlers = {\n\t\t\t/* Document state */\n\t\t\topened: [],\n\t\t\tloaded: [],\n\t\t\t/* All initial steps fetched */\n\t\t\tfetched: [],\n\t\t\t/* received new steps */\n\t\t\tsync: [],\n\t\t\t/* state changed (dirty) */\n\t\t\tstateChange: [],\n\t\t\t/* error */\n\t\t\terror: [],\n\t\t\t/* Events for session and document meta data */\n\t\t\tchange: [],\n\t\t\t/* Emitted after successful save */\n\t\t\tsave: [],\n\t\t}\n\n\t\tthis.backend = new PollingBackend(this)\n\n\t\tthis.options = Object.assign({}, defaultOptions, options)\n\n\t\tthis.document = null\n\t\tthis.session = null\n\t\tthis.sessions = []\n\n\t\tthis.steps = []\n\t\tthis.stepClientIDs = []\n\n\t\treturn this\n\t}\n\n\tasync open({ fileId, filePath, initialSession }) {\n\t\tlet connectionData = null\n\t\tif (typeof initialSession === 'undefined') {\n\t\t\ttry {\n\t\t\t\tconst response = await this._openDocument({ fileId, filePath })\n\t\t\t\tconnectionData = response.data\n\t\t\t} catch (error) {\n\t\t\t\tif (!error.response || error.code === 'ECONNABORTED') {\n\t\t\t\t\tthis.emit('error', ERROR_TYPE.CONNECTION_FAILED, {})\n\t\t\t\t} else {\n\t\t\t\t\tthis.emit('error', ERROR_TYPE.LOAD_ERROR, error.response.status)\n\t\t\t\t}\n\t\t\t\tthrow error\n\t\t\t}\n\t\t} else {\n\t\t\tconnectionData = initialSession\n\t\t}\n\n\t\tthis.document = connectionData.document\n\t\tthis.document.readOnly = connectionData.readOnly\n\t\tthis.session = connectionData.session\n\n\t\tthis.emit('opened', {\n\t\t\tdocument: this.document,\n\t\t\tsession: this.session,\n\t\t})\n\t\treturn this._fetchDocument().then(({ data }) => {\n\t\t\tthis.emit('loaded', {\n\t\t\t\tdocument: this.document,\n\t\t\t\tsession: this.session,\n\t\t\t\tdocumentSource: '' + data,\n\t\t\t})\n\t\t})\n\t}\n\n\tstartSync() {\n\t\tthis.backend.connect()\n\t}\n\n\t_openDocument({ fileId, filePath }) {\n\t\treturn axios.get(endpointUrl('session/create', !!this.options.shareToken), {\n\t\t\tparams: {\n\t\t\t\tfileId,\n\t\t\t\tfilePath,\n\t\t\t\ttoken: this.options.shareToken,\n\t\t\t\tguestName: this.options.guestName,\n\t\t\t\tforceRecreate: this.options.forceRecreate,\n\t\t\t},\n\t\t})\n\t}\n\n\t_fetchDocument() {\n\t\treturn axios.get(\n\t\t\tendpointUrl('session/fetch', !!this.options.shareToken), {\n\t\t\t\ttransformResponse: [(data) => data],\n\t\t\t\tparams: {\n\t\t\t\t\tdocumentId: this.document.id,\n\t\t\t\t\tsessionId: this.session.id,\n\t\t\t\t\tsessionToken: this.session.token,\n\t\t\t\t\ttoken: this.options.shareToken,\n\t\t\t\t},\n\t\t\t}\n\t\t)\n\t}\n\n\tupdateSession(guestName) {\n\t\tif (!this.isPublic()) {\n\t\t\treturn\n\t\t}\n\t\treturn axios.post(\n\t\t\tendpointUrl('session', !!this.options.shareToken), {\n\t\t\t\tdocumentId: this.document.id,\n\t\t\t\tsessionId: this.session.id,\n\t\t\t\tsessionToken: this.session.token,\n\t\t\t\ttoken: this.options.shareToken,\n\t\t\t\tguestName,\n\t\t\t}\n\t\t).then(({ data }) => {\n\t\t\tthis.session = data\n\t\t\treturn data\n\t\t}).catch((error) => {\n\t\t\tconsole.error('Failed to update the session', error)\n\t\t\treturn Promise.reject(error)\n\t\t})\n\t}\n\n\tsendSteps(_sendable) {\n\t\tconst sendable = _sendable || sendableSteps(this.state)\n\t\tif (!sendable) {\n\t\t\treturn\n\t\t}\n\t\treturn this.backend.sendSteps(sendable)\n\t}\n\n\tstepsSince(version) {\n\t\treturn {\n\t\t\tsteps: this.steps.slice(version),\n\t\t\tclientIDs: this.stepClientIDs.slice(version),\n\t\t}\n\t}\n\n\t_receiveSteps({ steps, document }) {\n\t\tconst newSteps = []\n\t\tfor (let i = 0; i < steps.length; i++) {\n\t\t\tconst singleSteps = steps[i].data\n\t\t\tif (!Array.isArray(singleSteps)) {\n\t\t\t\tconsole.error('Invalid step data, skipping step', steps[i])\n\t\t\t\t// TODO: recover\n\t\t\t\tcontinue\n\t\t\t}\n\t\t\tsingleSteps.forEach(step => {\n\t\t\t\tthis.steps.push(step)\n\t\t\t\tnewSteps.push({\n\t\t\t\t\tstep,\n\t\t\t\t\tclientID: steps[i].sessionId,\n\t\t\t\t})\n\t\t\t})\n\t\t}\n\t\tthis.emit('sync', { steps: newSteps, document })\n\t\tconsole.debug('receivedSteps', 'newVersion', this._getVersion())\n\t}\n\n\t_getVersion() {\n\t\tif (this.state) {\n\t\t\treturn getVersion(this.state)\n\t\t}\n\t\treturn 0\n\t}\n\n\t_getDocument() {\n\t\tif (this.state) {\n\t\t\treturn this.state.doc\n\t\t}\n\t}\n\n\t_getContent() {\n\t\treturn this.options.serialize(this._getDocument())\n\t}\n\n\tsave() {\n\t\tif (this.backend.save) {\n\t\t\tthis.backend.save()\n\t\t}\n\t}\n\n\tforceSave() {\n\t\tif (this.backend.forceSave) {\n\t\t\tthis.backend.forceSave()\n\t\t}\n\t}\n\n\tclose() {\n\t\tlet closed = false\n\t\treturn new Promise((resolve, reject) => {\n\t\t\tthis.on('save', () => {\n\t\t\t\tthis._close().then(() => {\n\t\t\t\t\tclosed = true\n\t\t\t\t\tresolve()\n\t\t\t\t}).catch(() => resolve())\n\t\t\t})\n\t\t\tsetTimeout(() => {\n\t\t\t\tif (!closed) {\n\t\t\t\t\tthis._close().then(() => {\n\t\t\t\t\t\tresolve()\n\t\t\t\t\t}).catch(() => resolve())\n\t\t\t\t}\n\t\t\t}, 2000)\n\t\t\tthis.save()\n\t\t})\n\t}\n\n\t_close() {\n\t\tif (this.document === null || this.session === null) {\n\t\t\treturn Promise.resolve()\n\t\t}\n\t\tthis.backend.disconnect()\n\t\treturn axios.get(\n\t\t\tendpointUrl('session/close', !!this.options.shareToken), {\n\t\t\t\tparams: {\n\t\t\t\t\tdocumentId: this.document.id,\n\t\t\t\t\tsessionId: this.session.id,\n\t\t\t\t\tsessionToken: this.session.token,\n\t\t\t\t\ttoken: this.options.shareToken,\n\t\t\t\t},\n\t\t\t}\n\t\t)\n\t}\n\n\ton(event, callback, _this) {\n\t\tthis.eventHandlers[event].push(callback.bind(_this))\n\t\treturn this\n\t}\n\n\temit(event, data, additionalData) {\n\t\tif (typeof this.eventHandlers[event] !== 'undefined') {\n\t\t\tthis.eventHandlers[event].forEach(function(callback) {\n\t\t\t\tcallback(data, additionalData)\n\t\t\t})\n\t\t} else {\n\t\t\tconsole.error('Event not found', event)\n\t\t}\n\t}\n\n\tisPublic() {\n\t\treturn !!this.options.shareToken\n\t}\n\n}\n\nexport default SyncService\nexport { SyncService, ERROR_TYPE }\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nconst extensionHighlight = {\n\tpy: 'python',\n\tgyp: 'python',\n\twsgi: 'python',\n\thtm: 'html',\n\txhtml: 'html',\n\terl: 'erlang',\n\tjsp: 'java',\n\tpl: 'perl',\n\trss: 'xml',\n\tatom: 'xml',\n\txsl: 'xml',\n\tplist: 'xml',\n\trb: 'ruby',\n\tbuilder: 'ruby',\n\tgemspec: 'ruby',\n\tpodspec: 'ruby',\n\tthor: 'ruby',\n\tdiff: 'patch',\n\ths: 'haskell',\n\ticl: 'haskell',\n\tphp3: 'php',\n\tphp4: 'php',\n\tphp5: 'php',\n\tphp6: 'php',\n\tsh: 'bash',\n\tzsh: 'bash',\n\tst: 'smalltalk',\n\tas: 'actionscript',\n\tapacheconf: 'apache',\n\tosacript: 'applescript',\n\tb: 'brainfuck',\n\tbf: 'brainfuck',\n\tclj: 'clojure',\n\t'cmake.in': 'cmake',\n\tcoffee: 'coffeescript',\n\tcson: 'coffescript',\n\ticed: 'coffescript',\n\tc: 'cpp',\n\th: 'cpp',\n\t'c++': 'cpp',\n\t'h++': 'cpp',\n\thh: 'cpp',\n\tjinja: 'django',\n\tbat: 'dos',\n\tcmd: 'dos',\n\tfs: 'fsharp',\n\thbs: 'handlebars',\n\t'html.hbs': 'handlebars',\n\t'html.handlebars': 'handlebars',\n\tsublime_metrics: 'json',\n\tsublime_session: 'json',\n\t'sublime-keymap': 'json',\n\t'sublime-mousemap': 'json',\n\t'sublime-project': 'json',\n\t'sublime-settings': 'json',\n\t'sublime-workspace': 'json',\n\tmk: 'makefile',\n\tmak: 'makefile',\n\tmd: 'markdown',\n\tmkdown: 'markdown',\n\tmkd: 'markdown',\n\tnginxconf: 'nginx',\n\tm: 'objectivec',\n\tmm: 'objectivec',\n\tml: 'ocaml',\n\trs: 'rust',\n\tsci: 'scilab',\n\tvb: 'vbnet',\n\tvbs: 'vbscript',\n}\n\nexport default extensionHighlight\nexport {\n\textensionHighlight,\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { Extension } from 'tiptap'\n\nexport default class Keymap extends Extension {\n\n\tget name() {\n\t\treturn 'save'\n\t}\n\n\tkeys({ schema }) {\n\t\treturn this.options\n\t}\n\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nexport default {\n\tdata() {\n\t\treturn {\n\t\t\tisMobile: this._isMobile(),\n\t\t}\n\t},\n\tbeforeMount() {\n\t\twindow.addEventListener('resize', this._onResize)\n\t},\n\tbeforeDestroy() {\n\t\twindow.removeEventListener('resize', this._onResize)\n\t},\n\tmethods: {\n\t\t_onResize() {\n\t\t\t// Update mobile mode\n\t\t\tthis.isMobile = this._isMobile()\n\t\t},\n\t\t_isMobile() {\n\t\t\t// check if content width is under 768px\n\t\t\treturn document.documentElement.clientWidth < 768\n\t\t},\n\t},\n}\n","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div id=\"editor-container\">\n\t\t<div v-if=\"currentSession && active\">\n\t\t\t<p v-if=\"hasSyncCollission\" class=\"msg icon-error\">\n\t\t\t\t{{ t('text', 'The document has been changed outside of the editor. The changes cannot be applied.') }}\n\t\t\t</p>\n\t\t\t<p v-if=\"hasConnectionIssue\" class=\"msg icon-info\">\n\t\t\t\t{{ t('text', 'File could not be loaded. Please check your internet connection.') }} <a class=\"button primary\" @click=\"reconnect\">{{ t('text', 'Retry') }}</a>\n\t\t\t</p>\n\t\t</div>\n\t\t<div v-if=\"currentSession && active\" id=\"editor-wrapper\" :class=\"{'has-conflicts': hasSyncCollission, 'icon-loading': !initialLoading || hasConnectionIssue, 'richEditor': isRichEditor}\">\n\t\t\t<div id=\"editor\">\n\t\t\t\t<MenuBar v-if=\"!syncError && !readOnly\"\n\t\t\t\t\tref=\"menubar\"\n\t\t\t\t\t:editor=\"tiptap\"\n\t\t\t\t\t:file-path=\"relativePath\"\n\t\t\t\t\t:is-rich-editor=\"isRichEditor\"\n\t\t\t\t\t:is-public=\"isPublic\"\n\t\t\t\t\t:autohide=\"autohide\">\n\t\t\t\t\t<div v-if=\"currentSession && active\" id=\"editor-session-list\">\n\t\t\t\t\t\t<div v-tooltip=\"lastSavedStatusTooltip\" class=\"save-status\" :class=\"lastSavedStatusClass\">\n\t\t\t\t\t\t\t{{ lastSavedStatus }}\n\t\t\t\t\t\t</div>\n\t\t\t\t\t\t<SessionList :sessions=\"filteredSessions\">\n\t\t\t\t\t\t\t<GuestNameDialog v-if=\"isPublic && currentSession.guestName\" :sync-service=\"syncService\" />\n\t\t\t\t\t\t</SessionList>\n\t\t\t\t\t</div>\n\t\t\t\t\t<slot name=\"header\" />\n\t\t\t\t</MenuBar>\n\t\t\t\t<div>\n\t\t\t\t\t<MenuBubble v-if=\"!readOnly && isRichEditor\"\n\t\t\t\t\t\t:editor=\"tiptap\"\n\t\t\t\t\t\t:filePath=\"relativePath\" />\n\t\t\t\t\t<EditorContent v-show=\"initialLoading\"\n\t\t\t\t\t\tclass=\"editor__content\"\n\t\t\t\t\t\t:editor=\"tiptap\" />\n\t\t\t\t</div>\n\t\t\t</div>\n\t\t\t<ReadOnlyEditor v-if=\"hasSyncCollission\"\n\t\t\t\t:content=\"syncError.data.outsideChange\"\n\t\t\t\t:is-rich-editor=\"isRichEditor\" />\n\t\t</div>\n\n\t\t<CollisionResolveDialog v-if=\"hasSyncCollission && !readOnly\" @resolveUseThisVersion=\"resolveUseThisVersion\" @resolveUseServerVersion=\"resolveUseServerVersion\" />\n\t</div>\n</template>\n\n<script>\nimport Vue from 'vue'\nimport escapeHtml from 'escape-html'\nimport moment from '@nextcloud/moment'\n\nimport { SyncService, ERROR_TYPE } from './../services/SyncService'\nimport { endpointUrl, getRandomGuestName } from './../helpers'\nimport { extensionHighlight } from '../helpers/mappings'\nimport { createEditor, markdownit, createMarkdownSerializer, serializePlainText, loadSyntaxHighlight } from './../EditorFactory'\n\nimport { EditorContent } from 'tiptap'\nimport { Collaboration } from 'tiptap-extensions'\nimport { Keymap } from './../extensions'\nimport isMobile from './../mixins/isMobile'\n\nimport Tooltip from '@nextcloud/vue/dist/Directives/Tooltip'\n\nconst EDITOR_PUSH_DEBOUNCE = 200\n\nexport default {\n\tname: 'EditorWrapper',\n\tcomponents: {\n\t\tEditorContent,\n\t\tMenuBar: () => import(/* webpackChunkName: \"editor-rich\" */'./MenuBar'),\n\t\tMenuBubble: () => import(/* webpackChunkName: \"editor-rich\" */'./MenuBubble'),\n\t\tReadOnlyEditor: () => import(/* webpackChunkName: \"editor\" */'./ReadOnlyEditor'),\n\t\tCollisionResolveDialog: () => import(/* webpackChunkName: \"editor\" */'./CollisionResolveDialog'),\n\t\tGuestNameDialog: () => import(/* webpackChunkName: \"editor-guest\" */'./GuestNameDialog'),\n\t\tSessionList: () => import(/* webpackChunkName: \"editor-collab\" */'./SessionList'),\n\t},\n\tdirectives: {\n\t\tTooltip,\n\t},\n\tmixins: [\n\t\tisMobile,\n\t],\n\tprops: {\n\t\tinitialSession: {\n\t\t\ttype: Object,\n\t\t\tdefault: null,\n\t\t},\n\t\trelativePath: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tfileId: {\n\t\t\ttype: Number,\n\t\t\tdefault: null,\n\t\t},\n\t\tactive: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tautofocus: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: true,\n\t\t},\n\t\tshareToken: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tmime: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tautohide: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tisDirectEditing: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\ttiptap: null,\n\t\t\t/** @type SyncService */\n\t\t\tsyncService: null,\n\n\t\t\tdocument: null,\n\t\t\tsessions: [],\n\t\t\tcurrentSession: null,\n\n\t\t\tfilteredSessions: {},\n\n\t\t\tdirty: false,\n\t\t\tinitialLoading: false,\n\t\t\tlastSavedString: '',\n\t\t\tsyncError: null,\n\t\t\thasConnectionIssue: false,\n\t\t\treadOnly: true,\n\t\t\tforceRecreate: false,\n\n\t\t\tsaveStatusPolling: null,\n\t\t}\n\t},\n\tcomputed: {\n\t\tlastSavedStatus() {\n\t\t\tlet status = (this.dirtyStateIndicator ? '*' : '')\n\t\t\tif (!this.isMobile) {\n\t\t\t\tstatus += this.lastSavedString\n\t\t\t}\n\t\t\treturn status\n\t\t},\n\t\tlastSavedStatusClass() {\n\t\t\treturn this.syncError && this.lastSavedString !== '' ? 'error' : ''\n\t\t},\n\t\tdirtyStateIndicator() {\n\t\t\treturn this.hasUnpushedChanges || this.hasUnsavedChanges\n\t\t},\n\t\tlastSavedStatusTooltip() {\n\t\t\tlet message = t('text', 'Last saved {lastSaved}', { lastSaved: this.lastSavedString })\n\t\t\tif (this.hasSyncCollission) {\n\t\t\t\tmessage = t('text', 'The document has been changed outside of the editor. The changes cannot be applied.')\n\t\t\t}\n\t\t\tif (this.hasUnpushedChanges) {\n\t\t\t\tmessage += ' - ' + t('text', 'Unpushed changes')\n\t\t\t}\n\t\t\tif (this.hasUnsavedChanges) {\n\t\t\t\tmessage += ' - ' + t('text', 'Unsaved changes')\n\t\t\t}\n\t\t\treturn { content: message, placement: 'bottom' }\n\t\t},\n\t\thasSyncCollission() {\n\t\t\treturn this.syncError && this.syncError.type === ERROR_TYPE.SAVE_COLLISSION\n\t\t},\n\t\thasUnpushedChanges() {\n\t\t\treturn this.dirty\n\t\t},\n\t\thasUnsavedChanges() {\n\t\t\treturn this.document && this.document.lastSavedVersion < this.document.currentVersion\n\t\t},\n\t\tbackendUrl() {\n\t\t\treturn (endpoint) => {\n\t\t\t\treturn endpointUrl(endpoint, !!this.shareToken)\n\t\t\t}\n\t\t},\n\t\thasDocumentParameters() {\n\t\t\treturn this.fileId || this.shareToken || this.initialSession\n\t\t},\n\t\tisPublic() {\n\t\t\treturn this.isDirectEditing || (document.getElementById('isPublic') && document.getElementById('isPublic').value === '1')\n\t\t},\n\t\tisRichEditor() {\n\t\t\treturn this.mime === 'text/markdown'\n\t\t},\n\t\tfileExtension() {\n\t\t\treturn this.relativePath ? this.relativePath.split('/').pop().split('.').pop() : 'txt'\n\t\t},\n\t},\n\twatch: {\n\t\tlastSavedStatus() {\n\t\t\tthis.$refs.menubar && this.$refs.menubar.redrawMenuBar()\n\t\t},\n\t},\n\tmounted() {\n\t\tif (this.active && (this.hasDocumentParameters)) {\n\t\t\tthis.initSession()\n\t\t}\n\t\tthis.$parent.$emit('update:loaded', true)\n\t},\n\tcreated() {\n\t\tthis.saveStatusPolling = setInterval(() => {\n\t\t\tthis.updateLastSavedStatus()\n\t\t}, 2000)\n\t\tdocument.addEventListener('keydown', this._keyUpHandler, true)\n\t},\n\tbeforeDestroy() {\n\t\tthis.close()\n\t},\n\tmethods: {\n\t\tasync close() {\n\t\t\tdocument.removeEventListener('keydown', this._keyUpHandler, true)\n\t\t\tclearInterval(this.saveStatusPolling)\n\t\t\tif (this.currentSession && this.syncService) {\n\t\t\t\ttry {\n\t\t\t\t\tawait this.syncService.close()\n\t\t\t\t\tthis.currentSession = null\n\t\t\t\t\tthis.syncService = null\n\t\t\t\t} catch (e) {\n\t\t\t\t\t// Ignore issues closing the session since those might happen due to network issues\n\t\t\t\t}\n\t\t\t}\n\t\t\treturn true\n\t\t},\n\t\tupdateLastSavedStatus() {\n\t\t\tif (this.document) {\n\t\t\t\tthis.lastSavedString = moment(this.document.lastSavedVersionTime * 1000).fromNow()\n\t\t\t}\n\t\t},\n\t\tinitSession() {\n\t\t\tif (!this.hasDocumentParameters) {\n\t\t\t\tthis.$parent.$emit('error', 'No valid file provided')\n\t\t\t\treturn\n\t\t\t}\n\t\t\tconst guestName = localStorage.getItem('nick') ? localStorage.getItem('nick') : getRandomGuestName()\n\t\t\tthis.syncService = new SyncService({\n\t\t\t\tshareToken: this.shareToken,\n\t\t\t\tfilePath: this.relativePath,\n\t\t\t\tguestName,\n\t\t\t\tforceRecreate: this.forceRecreate,\n\t\t\t\tserialize: (document) => {\n\t\t\t\t\tif (this.isRichEditor) {\n\t\t\t\t\t\tconst markdown = (createMarkdownSerializer(this.tiptap.nodes, this.tiptap.marks)).serialize(document)\n\t\t\t\t\t\tconsole.debug('serialized document', { markdown })\n\t\t\t\t\t\treturn markdown\n\t\t\t\t\t}\n\t\t\t\t\tconst file = serializePlainText(this.tiptap)\n\t\t\t\t\tconsole.debug('serialized document', { file })\n\t\t\t\t\treturn file\n\n\t\t\t\t},\n\t\t\t})\n\t\t\t\t.on('opened', ({ document, session }) => {\n\t\t\t\t\tthis.currentSession = session\n\t\t\t\t\tthis.document = document\n\t\t\t\t\tthis.readOnly = document.readOnly\n\t\t\t\t\tlocalStorage.setItem('nick', this.currentSession.guestName)\n\t\t\t\t})\n\t\t\t\t.on('change', ({ document, sessions }) => {\n\t\t\t\t\tif (this.document.baseVersionEtag !== '' && document.baseVersionEtag !== this.document.baseVersionEtag) {\n\t\t\t\t\t\tthis.resolveUseServerVersion()\n\t\t\t\t\t\treturn\n\t\t\t\t\t}\n\t\t\t\t\tthis.updateSessions.bind(this)(sessions)\n\t\t\t\t\tthis.document = document\n\n\t\t\t\t\tthis.syncError = null\n\t\t\t\t\tthis.tiptap.setOptions({ editable: !this.readOnly })\n\n\t\t\t\t})\n\t\t\t\t.on('loaded', ({ documentSource }) => {\n\t\t\t\t\tthis.hasConnectionIssue = false\n\t\t\t\t\tloadSyntaxHighlight(extensionHighlight[this.fileExtension] ? extensionHighlight[this.fileExtension] : this.fileExtension).then((languages) => {\n\t\t\t\t\t\tthis.tiptap = createEditor({\n\t\t\t\t\t\t\tcontent: this.isRichEditor ? markdownit.render(documentSource) : '<pre>' + escapeHtml(documentSource) + '</pre>',\n\t\t\t\t\t\t\tonInit: ({ state }) => {\n\t\t\t\t\t\t\t\tthis.syncService.state = state\n\t\t\t\t\t\t\t\tthis.syncService.startSync()\n\t\t\t\t\t\t\t},\n\t\t\t\t\t\t\tonUpdate: ({ state }) => {\n\t\t\t\t\t\t\t\tthis.syncService.state = state\n\t\t\t\t\t\t\t},\n\t\t\t\t\t\t\textensions: [\n\t\t\t\t\t\t\t\tnew Collaboration({\n\t\t\t\t\t\t\t\t// the initial version we start with\n\t\t\t\t\t\t\t\t// version is an integer which is incremented with every change\n\t\t\t\t\t\t\t\t\tversion: this.document.initialVersion,\n\t\t\t\t\t\t\t\t\tclientID: this.currentSession.id,\n\t\t\t\t\t\t\t\t\t// debounce changes so we can save some bandwidth\n\t\t\t\t\t\t\t\t\tdebounce: EDITOR_PUSH_DEBOUNCE,\n\t\t\t\t\t\t\t\t\tonSendable: ({ sendable }) => {\n\t\t\t\t\t\t\t\t\t\tif (this.syncService) {\n\t\t\t\t\t\t\t\t\t\t\tthis.syncService.sendSteps()\n\t\t\t\t\t\t\t\t\t\t}\n\t\t\t\t\t\t\t\t\t},\n\t\t\t\t\t\t\t\t}),\n\t\t\t\t\t\t\t\tnew Keymap({\n\t\t\t\t\t\t\t\t\t'Ctrl-s': () => {\n\t\t\t\t\t\t\t\t\t\tthis.syncService.save()\n\t\t\t\t\t\t\t\t\t\treturn true\n\t\t\t\t\t\t\t\t\t},\n\t\t\t\t\t\t\t\t}),\n\t\t\t\t\t\t\t],\n\t\t\t\t\t\t\tenableRichEditing: this.isRichEditor,\n\t\t\t\t\t\t\tlanguages,\n\t\t\t\t\t\t})\n\t\t\t\t\t\tthis.tiptap.on('focus', () => {\n\t\t\t\t\t\t\tthis.$emit('focus')\n\t\t\t\t\t\t})\n\t\t\t\t\t\tthis.tiptap.on('blur', () => {\n\t\t\t\t\t\t\tthis.$emit('blur')\n\t\t\t\t\t\t})\n\t\t\t\t\t\tthis.syncService.state = this.tiptap.state\n\t\t\t\t\t})\n\t\t\t\t})\n\t\t\t\t.on('sync', ({ steps, document }) => {\n\t\t\t\t\tthis.hasConnectionIssue = false\n\t\t\t\t\ttry {\n\t\t\t\t\t\tthis.tiptap.extensions.options.collaboration.update({\n\t\t\t\t\t\t\tversion: document.currentVersion,\n\t\t\t\t\t\t\tsteps,\n\t\t\t\t\t\t})\n\t\t\t\t\t\tthis.syncService.state = this.tiptap.state\n\t\t\t\t\t\tthis.updateLastSavedStatus()\n\t\t\t\t\t} catch (e) {\n\t\t\t\t\t\tconsole.error('Failed to update steps in collaboration plugin', e)\n\t\t\t\t\t\t// TODO: we should recreate the editing session when this happens\n\t\t\t\t\t}\n\t\t\t\t\tthis.document = document\n\t\t\t\t})\n\t\t\t\t.on('error', (error, data) => {\n\t\t\t\t\tthis.tiptap.setOptions({ editable: false })\n\t\t\t\t\tif (error === ERROR_TYPE.SAVE_COLLISSION && (!this.syncError || this.syncError.type !== ERROR_TYPE.SAVE_COLLISSION)) {\n\t\t\t\t\t\tthis.initialLoading = true\n\t\t\t\t\t\tthis.syncError = {\n\t\t\t\t\t\t\ttype: error,\n\t\t\t\t\t\t\tdata,\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t\tif (error === ERROR_TYPE.CONNECTION_FAILED && !this.hasConnectionIssue) {\n\t\t\t\t\t\tthis.hasConnectionIssue = true\n\t\t\t\t\t\t// FIXME: ideally we just try to reconnect in the service, so we don't loose steps\n\t\t\t\t\t\tOC.Notification.showTemporary('Connection failed, reconnecting')\n\t\t\t\t\t\tif (data.retry !== false) {\n\t\t\t\t\t\t\tsetTimeout(this.reconnect.bind(this), 5000)\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t\tif (error === ERROR_TYPE.SOURCE_NOT_FOUND) {\n\t\t\t\t\t\tthis.initialLoading = false\n\t\t\t\t\t\tthis.$emit('close')\n\t\t\t\t\t\tthis.$emit('error')\n\t\t\t\t\t}\n\t\t\t\t})\n\t\t\t\t.on('stateChange', (state) => {\n\t\t\t\t\tif (state.initialLoading && !this.initialLoading) {\n\t\t\t\t\t\tthis.initialLoading = true\n\t\t\t\t\t\tif (this.autofocus) {\n\t\t\t\t\t\t\tthis.tiptap.focus('start')\n\t\t\t\t\t\t}\n\t\t\t\t\t\tthis.$emit('ready')\n\t\t\t\t\t\tthis.$parent.$emit('ready', true)\n\t\t\t\t\t}\n\t\t\t\t\tif (Object.prototype.hasOwnProperty.call(state, 'dirty')) {\n\t\t\t\t\t\tthis.dirty = state.dirty\n\t\t\t\t\t}\n\t\t\t\t})\n\t\t\tif (this.initialSession === null) {\n\t\t\t\tthis.syncService.open({\n\t\t\t\t\tfileId: this.fileId,\n\t\t\t\t\tfilePath: this.relativePath,\n\t\t\t\t}).catch((e) => {\n\t\t\t\t\tthis.hasConnectionIssue = true\n\t\t\t\t})\n\t\t\t} else {\n\t\t\t\tthis.syncService.open({\n\t\t\t\t\tinitialSession: this.initialSession,\n\t\t\t\t}).catch((e) => {\n\t\t\t\t\tthis.hasConnectionIssue = true\n\t\t\t\t})\n\t\t\t}\n\t\t\tthis.forceRecreate = false\n\t\t},\n\n\t\tresolveUseThisVersion() {\n\t\t\tthis.syncService.forceSave()\n\t\t\tthis.tiptap.setOptions({ editable: !this.readOnly })\n\t\t},\n\n\t\tresolveUseServerVersion() {\n\t\t\tthis.forceRecreate = true\n\t\t\tthis.reconnect()\n\t\t},\n\n\t\treconnect() {\n\t\t\tif (this.syncService) {\n\t\t\t\tthis.syncService.close().then(() => {\n\t\t\t\t\tthis.syncService = null\n\t\t\t\t\tthis.tiptap.destroy()\n\t\t\t\t\tthis.initSession()\n\t\t\t\t}).catch((e) => {\n\t\t\t\t\t// Ignore issues closing the session since those might happen due to network issues\n\t\t\t\t})\n\t\t\t} else {\n\t\t\t\tthis.syncService = null\n\t\t\t\tthis.tiptap.destroy()\n\t\t\t\tthis.initSession()\n\t\t\t}\n\t\t},\n\n\t\tupdateSessions(sessions) {\n\t\t\tthis.sessions = sessions.sort((a, b) => b.lastContact - a.lastContact)\n\t\t\tconst currentSessionIds = this.sessions.map((session) => session.userId)\n\t\t\tconst currentGuestIds = this.sessions.map((session) => session.guestId)\n\n\t\t\tconst removedSessions = Object.keys(this.filteredSessions)\n\t\t\t\t.filter(sessionId => !currentSessionIds.includes(sessionId) && !currentGuestIds.includes(sessionId))\n\n\t\t\tfor (const index in removedSessions) {\n\t\t\t\tVue.delete(this.filteredSessions, removedSessions[index])\n\t\t\t}\n\t\t\tfor (const index in this.sessions) {\n\t\t\t\tconst session = this.sessions[index]\n\t\t\t\tconst sessionKey = session.displayName ? session.userId : session.id\n\t\t\t\tif (this.filteredSessions[sessionKey]) {\n\t\t\t\t\t// update timestamp if relevant\n\t\t\t\t\tif (this.filteredSessions[sessionKey].lastContact < session.lastContact) {\n\t\t\t\t\t\tVue.set(this.filteredSessions[sessionKey], 'lastContact', session.lastContact)\n\t\t\t\t\t}\n\t\t\t\t} else {\n\t\t\t\t\tVue.set(this.filteredSessions, sessionKey, session)\n\t\t\t\t}\n\t\t\t\tif (session.id === this.currentSession.id) {\n\t\t\t\t\tVue.set(this.filteredSessions[sessionKey], 'isCurrent', true)\n\t\t\t\t}\n\t\t\t}\n\t\t},\n\t\t_keyUpHandler(event) {\n\t\t\tconst key = event.key || event.keyCode\n\t\t\tif ((event.ctrlKey || event.metaKey) && !event.shiftKey && (key === 'f' || key === 70)) {\n\t\t\t\tevent.stopPropagation()\n\t\t\t\treturn true\n\t\t\t}\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t#editor-container {\n\t\tdisplay: block;\n\t\twidth: 100%;\n\t\tmax-width: 100%;\n\t\theight: 100%;\n\t\tleft: 0;\n\t\ttop: 50px;\n\t\tmargin: 0 auto;\n\t\tposition: relative;\n\t\tbackground-color: var(--color-main-background);\n\t}\n\n\t#editor-wrapper {\n\t\tdisplay: flex;\n\t\twidth: 100%;\n\t\theight: 100%;\n\t\toverflow: hidden;\n\t\tposition: absolute;\n\t\t.ProseMirror {\n\t\t\tmargin-top: 0 !important;\n\t\t}\n\t\t&.icon-loading {\n\t\t\t#editor {\n\t\t\t\topacity: 0.3;\n\t\t\t}\n\t\t}\n\t}\n\n\t#editor, .editor {\n\t\tbackground: var(--color-main-background);\n\t\tcolor: var(--color-main-text);\n\t\tbackground-clip: padding-box;\n\t\tborder-radius: var(--border-radius);\n\t\tpadding: 0;\n\t\tposition: relative;\n\t\toverflow-y: auto;\n\t\toverflow-x: hidden;\n\t\twidth: 100%;\n\t}\n\n\t.msg.icon-error {\n\t\tpadding: 12px;\n\t\tborder-bottom:1px solid var(--color-border);\n\t\tpadding-left: 30px;\n\t\tbackground-position: 8px center;\n\t}\n\n\t.save-status {\n\t\tpadding: 9px;\n\t\ttext-overflow: ellipsis;\n\t\tcolor: var(--color-text-lighter);\n\n\t\t&.error {\n\t\t\tbackground-color: var(--color-error);\n\t\t\tcolor: var(--color-main-background);\n\t\t\tborder-radius: 3px;\n\t\t}\n\t}\n\n\t#editor-container #editor-wrapper.has-conflicts {\n\t\theight: calc(100% - 50px);\n\n\t\t#editor, #read-only-editor {\n\t\t\twidth: 50%;\n\t\t\theight: 100%;\n\t\t}\n\t}\n\n\t#editor-session-list {\n\t\tpadding: 4px 16px 4px 4px;\n\t\tdisplay: flex;\n\n\t\tinput, div {\n\t\t\tvertical-align: middle;\n\t\t\tmargin-left: 3px;\n\t\t}\n\t}\n\n\t.editor__content {\n\t\tmax-width: 670px;\n\t\tmargin: auto;\n\t\tposition: relative;\n\t}\n\n\t#body-public {\n\t\theight: auto;\n\t}\n\n\t#files-public-content {\n\t\theight: auto;\n\t\t#editor-wrapper {\n\t\t\tposition: relative;\n\t\t}\n\t\t#editor-container {\n\t\t\ttop: 0;\n\t\t\twidth: 100%;\n\n\t\t\t#editor::v-deep .menubar {\n\t\t\t\t// sticky position is not working as body is our scroll container\n\t\t\t\tposition: fixed;\n\t\t\t\ttop: 50px;\n\t\t\t\twidth: 100%;\n\t\t\t}\n\n\t\t\t#editor {\n\t\t\t\tpadding-top: 50px;\n\t\t\t\toverflow: auto;\n\t\t\t}\n\t\t\t.has-conflicts #editor {\n\t\t\t\tpadding-top: 0px;\n\t\t\t}\n\t\t}\n\t}\n\n\t.ie {\n\t\t#editor::v-deep .menubar {\n\t\t\t// sticky position is not working as body is our scroll container\n\t\t\tposition: fixed;\n\t\t\ttop: 50px;\n\t\t\twidth: 100%;\n\t\t}\n\t\t.editor__content::v-deep .ProseMirror {\n\t\t\tpadding-top: 50px;\n\t\t}\n\t}\n\n</style>\n<style lang=\"scss\">\n\t@import './../../css/style';\n\n\t#editor-wrapper {\n\t\t@import './../../css/prosemirror';\n\n\t\t&:not(.richEditor) .ProseMirror {\n\t\t\tpre {\n\t\t\t\tbackground-color: var(--color-main-background);\n\n\t\t\t\t&::before {\n\t\t\t\t\tcontent: attr(data-language);\n\t\t\t\t\ttext-transform: uppercase;\n\t\t\t\t\tdisplay: block;\n\t\t\t\t\ttext-align: right;\n\t\t\t\t\tfont-weight: bold;\n\t\t\t\t\tfont-size: 0.6rem;\n\t\t\t\t}\n\t\t\t\tcode {\n\t\t\t\t\t.hljs-comment,\n\t\t\t\t\t.hljs-quote {\n\t\t\t\t\t\tcolor: #999999;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-variable,\n\t\t\t\t\t.hljs-template-variable,\n\t\t\t\t\t.hljs-attribute,\n\t\t\t\t\t.hljs-tag,\n\t\t\t\t\t.hljs-name,\n\t\t\t\t\t.hljs-regexp,\n\t\t\t\t\t.hljs-link,\n\t\t\t\t\t.hljs-selector-id,\n\t\t\t\t\t.hljs-selector-class {\n\t\t\t\t\t\tcolor: #f2777a;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-number,\n\t\t\t\t\t.hljs-meta,\n\t\t\t\t\t.hljs-built_in,\n\t\t\t\t\t.hljs-builtin-name,\n\t\t\t\t\t.hljs-literal,\n\t\t\t\t\t.hljs-type,\n\t\t\t\t\t.hljs-params {\n\t\t\t\t\t\tcolor: #f99157;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-string,\n\t\t\t\t\t.hljs-symbol,\n\t\t\t\t\t.hljs-bullet {\n\t\t\t\t\t\tcolor: #99cc99;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-title,\n\t\t\t\t\t.hljs-section {\n\t\t\t\t\t\tcolor: #ffcc66;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-keyword,\n\t\t\t\t\t.hljs-selector-tag {\n\t\t\t\t\t\tcolor: #6699cc;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-emphasis {\n\t\t\t\t\t\tfont-style: italic;\n\t\t\t\t\t}\n\t\t\t\t\t.hljs-strong {\n\t\t\t\t\t\tfont-weight: 700;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./EditorWrapper.vue?vue&type=template&id=6e21a4b1&scoped=true&\"\nimport script from \"./EditorWrapper.vue?vue&type=script&lang=js&\"\nexport * from \"./EditorWrapper.vue?vue&type=script&lang=js&\"\nimport style0 from \"./EditorWrapper.vue?vue&type=style&index=0&id=6e21a4b1&scoped=true&lang=scss&\"\nimport style1 from \"./EditorWrapper.vue?vue&type=style&index=1&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"6e21a4b1\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{class:{'icon-loading': _vm.saving},attrs:{\"id\":\"direct-editor\"}},[_c('EditorWrapper',{ref:\"editor\",attrs:{\"initial-session\":_vm.initialSession,\"active\":true,\"mime\":_vm.initial.mimetype,\"is-direct-editing\":true},on:{\"ready\":_vm.loaded},scopedSlots:_vm._u([{key:\"header\",fn:function(){return [_c('button',{staticClass:\"icon-share\",on:{\"click\":_vm.share}}),_vm._v(\" \"),_c('button',{staticClass:\"icon-close\",on:{\"click\":_vm.close}})]},proxy:true}])})],1)}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div id=\"direct-editor\" :class=\"{'icon-loading': saving}\">\n\t\t<EditorWrapper ref=\"editor\"\n\t\t\t:initial-session=\"initialSession\"\n\t\t\t:active=\"true\"\n\t\t\t:mime=\"initial.mimetype\"\n\t\t\t:is-direct-editing=\"true\"\n\t\t\t@ready=\"loaded\">\n\t\t\t<template #header>\n\t\t\t\t<button class=\"icon-share\" @click=\"share\" />\n\t\t\t\t<button class=\"icon-close\" @click=\"close\" />\n\t\t\t</template>\n\t\t</EditorWrapper>\n\t</div>\n</template>\n\n<script>\nimport Vue from 'vue'\nimport EditorWrapper from '../components/EditorWrapper'\n\nconst log = Vue.observable({\n\tmessages: [],\n\tmtime: 0,\n})\n\nconst callMobileMessage = (messageName, attributes) => {\n\tconsole.debug('callMobileMessage ' + messageName, attributes)\n\tlet message = messageName\n\tif (typeof attributes !== 'undefined') {\n\t\tmessage = {\n\t\t\tMessageName: messageName,\n\t\t\tValues: attributes,\n\t\t}\n\t}\n\tlet attributesString = null\n\ttry {\n\t\tattributesString = JSON.stringify(attributes)\n\t} catch (e) {\n\t\tattributesString = null\n\t}\n\n\t// Forward to mobile handler\n\tif (window.DirectEditingMobileInterface && typeof window.DirectEditingMobileInterface[messageName] === 'function') {\n\t\tif (attributesString === null || typeof attributesString === 'undefined') {\n\t\t\twindow.DirectEditingMobileInterface[messageName]()\n\t\t} else {\n\t\t\twindow.DirectEditingMobileInterface[messageName](attributesString)\n\t\t}\n\t}\n\n\t// iOS webkit fallback\n\tif (window.webkit\n\t\t&& window.webkit.messageHandlers\n\t\t&& window.webkit.messageHandlers.DirectEditingMobileInterface) {\n\t\twindow.webkit.messageHandlers.DirectEditingMobileInterface.postMessage(message)\n\t}\n\n\twindow.postMessage(message)\n}\n\nwindow.addEventListener('message', function(message) {\n\tlog.messages.push(message.data)\n\tconsole.debug('postMessage', message)\n})\n\nexport default {\n\tname: 'DirectEditing',\n\tcomponents: { EditorWrapper },\n\tdata() {\n\t\treturn {\n\t\t\tinitial: OCP.InitialState.loadState('text', 'file'),\n\t\t\tmessages: log.messages,\n\t\t\tlog,\n\t\t\tsaving: false,\n\t\t}\n\t},\n\tcomputed: {\n\t\tinitialSession() {\n\t\t\treturn JSON.parse(this.initial.session) || null\n\t\t},\n\t},\n\tbeforeMount() {\n\t\tcallMobileMessage('loading')\n\t},\n\tmounted() {\n\t\tdocument.querySelector('meta[name=\"viewport\"]').setAttribute('content', 'width=device-width, initial-scale=1.0, maximum-scale=1.0, user-scalable=0')\n\t},\n\tmethods: {\n\t\tasync close() {\n\t\t\tthis.saving = true\n\t\t\tsetTimeout(async() => {\n\t\t\t\tawait this.$refs.editor.close()\n\t\t\t\tcallMobileMessage('close')\n\t\t\t}, 0)\n\t\t},\n\t\tshare() {\n\t\t\tcallMobileMessage('share')\n\t\t},\n\t\tloaded() {\n\t\t\tcallMobileMessage('loaded')\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\tbody {\n\t\tposition: fixed;\n\t}\n\n\t#direct-editor {\n\t\twidth: 100%;\n\t\theight: 100%;\n\t\tposition: fixed;\n\t\toverflow: hidden;\n\n\t\t&::v-deep #editor-container {\n\t\t\theight: 100%;\n\t\t\ttop: 0;\n\t\t}\n\t\t&::v-deep #editor-wrapper div.ProseMirror {\n\t\t\tmargin-top: 0;\n\t\t}\n\t}\n\n\tpre {\n\t\twidth: 100%;\n\t\tmax-width: 700px;\n\t\tmargin: auto;\n\t\tbackground-color: var(--color-background-dark);\n\t}\n\n\tbutton {\n\t\twidth: 44px;\n\t\theight: 44px;\n\t\tmargin: 0;\n\t\tbackground-size: 16px;\n\t\tborder: 0;\n\t\tbackground-color: transparent;\n\t\topacity: .5;\n\t\tcolor: var(--color-main-text);\n\t\tbackground-position: center center;\n\t\tvertical-align: top;\n\t\t&:hover, &:focus, &:active {\n\t\t\tbackground-color: var(--color-background-dark);\n\t\t}\n\t\t&.is-active,\n\t\t&:hover,\n\t\t&:focus {\n\t\t\topacity: 1;\n\t\t}\n\n\t\t&.icon-undo, &.icon-redo {\n\t\t\topacity: .4;\n\t\t}\n\t}\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./DirectEditing.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./DirectEditing.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./DirectEditing.vue?vue&type=template&id=3ea77884&scoped=true&\"\nimport script from \"./DirectEditing.vue?vue&type=script&lang=js&\"\nexport * from \"./DirectEditing.vue?vue&type=script&lang=js&\"\nimport style0 from \"./DirectEditing.vue?vue&type=style&index=0&id=3ea77884&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"3ea77884\",\n null\n \n)\n\nexport default component.exports","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ImageView.vue?vue&type=style&index=0&id=5a0c4be0&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"5e6bee16\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=0&id=6e21a4b1&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"07474748\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=1&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"5039213c\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./DirectEditing.vue?vue&type=style&index=0&id=3ea77884&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"992233ba\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=0&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"5ba6a5c3\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=1&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"ed017fbc\", content, true, {});","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./CollisionResolveDialog.vue?vue&type=style&index=0&id=7fd0186f&scoped=true&lang=scss&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"a3c96434\", content, true, {});","/*\n * @copyright Copyright (c) 2020 Azul <azul@riseup.net>\n *\n * @author Azul <azul@riseup.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { generateUrl } from '@nextcloud/router'\n\nconst absolutePath = function(base, rel) {\n\tif (!rel) {\n\t\treturn base\n\t}\n\tif (rel[0] === '/') {\n\t\treturn rel\n\t}\n\tbase = base.split('/')\n\trel = rel.split('/')\n\twhile (rel[0] === '..' || rel[0] === '.') {\n\t\tif (rel[0] === '..') {\n\t\t\tbase.pop()\n\t\t}\n\t\trel.shift()\n\t}\n\treturn base.concat(rel).join('/')\n}\n\nconst basedir = function(file) {\n\tconst end = file.lastIndexOf('/')\n\treturn (end > 0)\n\t\t? file.slice(0, end)\n\t\t: file.slice(0, end + 1) // basedir('/toplevel') should return '/'\n}\n\nconst domHref = function(node) {\n\tconst ref = node.attrs.href\n\tif (!ref) {\n\t\treturn ref\n\t}\n\tif (ref.match(/^[a-zA-Z]*:/)) {\n\t\treturn ref\n\t}\n\tconst match = ref.match(/^([^?]*)\\?fileId=(\\d+)/)\n\tif (match) {\n\t\tconst [, relPath, id] = match\n\t\tconst currentDir = basedir(OCA.Viewer.state.file)\n\t\tconst dir = absolutePath(currentDir, basedir(relPath))\n\t\treturn generateUrl(`/apps/files/?dir=${dir}&openfile=${id}#relPath=${relPath}`)\n\t}\n}\n\nconst parseHref = function(dom) {\n\tconst ref = dom.getAttribute('href')\n\tif (!ref) {\n\t\treturn ref\n\t}\n\tconst match = ref.match(/\\?dir=([^&]*)&openfile=([^&]*)#relPath=([^&]*)/)\n\tif (match) {\n\t\tconst [, , id, path] = match\n\t\treturn `${path}?fileId=${id}`\n\t}\n\treturn ref\n}\n\nexport {\n\tdomHref,\n\tparseHref,\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { Bold, Italic as TipTapItalic, Strike as TipTapStrike, Link as TipTapLink } from 'tiptap-extensions'\nimport { Plugin } from 'tiptap'\nimport { getMarkAttrs } from 'tiptap-utils'\nimport { domHref, parseHref } from './../helpers/links'\n\n/**\n * This file maps prosemirror mark names to tiptap classes,\n * so we can reuse the prosemirror-markdown default parser for now\n */\n\nclass Strong extends Bold {\n\n\tget name() {\n\t\treturn 'strong'\n\t}\n\n}\n\nclass Italic extends TipTapItalic {\n\n\tget name() {\n\t\treturn 'em'\n\t}\n\n}\n\nclass Strike extends TipTapStrike {\n\n\tget schema() {\n\t\treturn {\n\t\t\tparseDOM: [\n\t\t\t\t{\n\t\t\t\t\ttag: 's',\n\t\t\t\t},\n\t\t\t\t{\n\t\t\t\t\ttag: 'del',\n\t\t\t\t},\n\t\t\t\t{\n\t\t\t\t\ttag: 'strike',\n\t\t\t\t},\n\t\t\t\t{\n\t\t\t\t\tstyle: 'text-decoration',\n\t\t\t\t\tgetAttrs: value => value === 'line-through',\n\t\t\t\t},\n\t\t\t],\n\t\t\ttoDOM: () => ['s', 0],\n\t\t\ttoMarkdown: {\n\t\t\t\topen: '~~',\n\t\t\t\tclose: '~~',\n\t\t\t\tmixable: true,\n\t\t\t\texpelEnclosingWhitespace: true,\n\t\t\t},\n\t\t}\n\t}\n\n}\n\nclass Link extends TipTapLink {\n\n\tget schema() {\n\t\treturn {\n\t\t\tattrs: {\n\t\t\t\thref: {\n\t\t\t\t\tdefault: null,\n\t\t\t\t},\n\t\t\t},\n\t\t\tinclusive: false,\n\t\t\tparseDOM: [\n\t\t\t\t{\n\t\t\t\t\ttag: 'a[href]',\n\t\t\t\t\tgetAttrs: dom => ({\n\t\t\t\t\t\thref: parseHref(dom),\n\t\t\t\t\t}),\n\t\t\t\t},\n\t\t\t],\n\t\t\ttoDOM: node => ['a', {\n\t\t\t\t...node.attrs,\n\t\t\t\thref: domHref(node),\n\t\t\t\ttitle: node.attrs.href,\n\t\t\t\trel: 'noopener noreferrer nofollow',\n\t\t\t}, 0],\n\t\t}\n\t}\n\n\tget plugins() {\n\t\tif (!this.options.openOnClick) {\n\t\t\treturn []\n\t\t}\n\n\t\treturn [\n\t\t\tnew Plugin({\n\t\t\t\tprops: {\n\t\t\t\t\thandleClick: (view, pos, event) => {\n\t\t\t\t\t\tconst { schema } = view.state\n\t\t\t\t\t\tconst attrs = getMarkAttrs(view.state, schema.marks.link)\n\n\t\t\t\t\t\tif (attrs.href && event.target instanceof HTMLAnchorElement) {\n\t\t\t\t\t\t\tevent.stopPropagation()\n\t\t\t\t\t\t\tconst htmlHref = event.target.href\n\t\t\t\t\t\t\tif (event.button === 0 && !event.ctrlKey && htmlHref.startsWith(window.location.origin)) {\n\t\t\t\t\t\t\t\tconst query = OC.parseQueryString(htmlHref)\n\t\t\t\t\t\t\t\tconst fragment = OC.parseQueryString(htmlHref.split('#').pop())\n\t\t\t\t\t\t\t\tif (query.dir && fragment.relPath) {\n\t\t\t\t\t\t\t\t\tconst filename = fragment.relPath.split('/').pop()\n\t\t\t\t\t\t\t\t\tconst path = `${query.dir}/${filename}`\n\t\t\t\t\t\t\t\t\tdocument.title = `${filename} - ${OC.theme.title}`\n\t\t\t\t\t\t\t\t\tif (window.location.pathname.match(/apps\\/files\\/$/)) {\n\t\t\t\t\t\t\t\t\t\t// The files app still lacks a popState handler\n\t\t\t\t\t\t\t\t\t\t// to allow for using the back button\n\t\t\t\t\t\t\t\t\t\t// OC.Util.History.pushState('', htmlHref)\n\t\t\t\t\t\t\t\t\t}\n\t\t\t\t\t\t\t\t\tOCA.Viewer.open({ path })\n\t\t\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\t\t\twindow.open(htmlHref)\n\t\t\t\t\t\t\t\t}\n\t\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\t\twindow.open(htmlHref)\n\t\t\t\t\t\t\t}\n\t\t\t\t\t\t}\n\t\t\t\t\t},\n\t\t\t\t},\n\t\t\t}),\n\t\t]\n\t}\n\n}\n\n/** Strike is currently unsupported by prosemirror-markdown */\n\nexport {\n\tStrong,\n\tItalic,\n\tStrike,\n\tLink,\n}\n","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div class=\"image\" :class=\"{'icon-loading': !loaded}\" :data-src=\"src\">\n\t\t<div v-if=\"imageLoaded && isSupportedImage\" class=\"image__view\">\n\t\t\t<transition name=\"fade\">\n\t\t\t\t<img v-show=\"loaded\"\n\t\t\t\t\t:src=\"imageUrl\"\n\t\t\t\t\tclass=\"image__main\"\n\t\t\t\t\t@load=\"onLoaded\">\n\t\t\t</transition>\n\t\t\t<transition name=\"fade\">\n\t\t\t\t<div v-show=\"loaded\" class=\"image__caption\">\n\t\t\t\t\t<input ref=\"altInput\"\n\t\t\t\t\t\ttype=\"text\"\n\t\t\t\t\t\t:value=\"alt\"\n\t\t\t\t\t\t@keyup.enter=\"updateAlt()\">\n\t\t\t\t</div>\n\t\t\t</transition>\n\t\t</div>\n\t\t<div v-else class=\"image__placeholder\">\n\t\t\t<transition name=\"fade\">\n\t\t\t\t<div v-show=\"loaded\" class=\"image__main\">\n\t\t\t\t\t<a :href=\"internalLinkOrImage\" target=\"_blank\">\n\t\t\t\t\t\t<div class=\"icon-image\" :style=\"mimeIcon\" />\n\t\t\t\t\t\t<p v-if=\"!isSupportedImage\">{{ alt }}</p>\n\t\t\t\t\t</a>\n\t\t\t\t</div>\n\t\t\t</transition><transition name=\"fade\">\n\t\t\t\t<div v-show=\"loaded\" class=\"image__caption\">\n\t\t\t\t\t<input ref=\"altInput\"\n\t\t\t\t\t\ttype=\"text\"\n\t\t\t\t\t\t:value=\"alt\"\n\t\t\t\t\t\t@keyup.enter=\"updateAlt()\">\n\t\t\t\t</div>\n\t\t\t</transition>\n\t\t</div>\n\t</div>\n</template>\n\n<script>\nimport path from 'path'\nimport { generateUrl } from '@nextcloud/router'\n\nconst imageMimes = [\n\t'image/png',\n\t'image/jpeg',\n\t'image/gif',\n\t'image/x-xbitmap',\n\t'image/bmp',\n\t'image/svg+xml',\n]\n\nconst getQueryVariable = (src, variable) => {\n\tconst query = src.split('?')[1]\n\tif (typeof query === 'undefined') {\n\t\treturn\n\t}\n\tconst vars = query.split(/[&#]/)\n\tif (typeof vars === 'undefined') {\n\t\treturn\n\t}\n\tfor (let i = 0; i < vars.length; i++) {\n\t\tconst pair = vars[i].split('=')\n\t\tif (decodeURIComponent(pair[0]) === variable) {\n\t\t\treturn decodeURIComponent(pair[1])\n\t\t}\n\t}\n}\n\nexport default {\n\tname: 'ImageView',\n\tprops: ['node', 'updateAttrs', 'view'], // eslint-disable-line\n\tdata() {\n\t\treturn {\n\t\t\timageLoaded: false,\n\t\t\tloaded: false,\n\t\t\tfailed: false,\n\t\t}\n\t},\n\tcomputed: {\n\t\timageUrl() {\n\t\t\tif (this.hasPreviewUrl) {\n\t\t\t\treturn this.src\n\t\t\t}\n\t\t\tif (this.fileId) {\n\t\t\t\treturn generateUrl('/core/preview') + `?fileId=${this.fileId}&x=1024&y=1024&a=true`\n\t\t\t}\n\t\t\tconst f = FileList.getCurrentDirectory() + '/' + this.src\n\t\t\tconst pathParam = encodeURIComponent(path.normalize(f))\n\t\t\treturn generateUrl('/core/preview.png') + `?file=${pathParam}&x=1024&y=1024&a=true`\n\t\t},\n\t\tfileId() {\n\t\t\treturn getQueryVariable(this.src, 'fileId')\n\t\t},\n\t\thasPreviewUrl() {\n\t\t\treturn this.src.match(/^(\\/index.php)?\\/core\\/preview/)\n\t\t},\n\t\tmimeIcon() {\n\t\t\tconst mime = getQueryVariable(this.src, 'mimetype')\n\t\t\tif (mime) {\n\t\t\t\treturn {\n\t\t\t\t\tbackgroundImage: 'url(' + window.OC.MimeType.getIconUrl(mime) + ')',\n\t\t\t\t}\n\t\t\t}\n\t\t\treturn {}\n\t\t},\n\t\tisSupportedImage() {\n\t\t\tconst mime = getQueryVariable(this.src, 'mimetype')\n\t\t\treturn typeof mime === 'undefined' || imageMimes.indexOf(mime) !== -1\n\t\t},\n\t\tinternalLinkOrImage() {\n\t\t\tconst fileId = getQueryVariable(this.src, 'fileId')\n\t\t\tif (fileId) {\n\t\t\t\treturn generateUrl('/f/' + fileId)\n\t\t\t}\n\t\t\treturn this.src\n\t\t},\n\t\tsrc: {\n\t\t\tget() {\n\t\t\t\treturn this.node.attrs.src\n\t\t\t},\n\t\t\tset(src) {\n\t\t\t\tthis.updateAttrs({\n\t\t\t\t\tsrc,\n\t\t\t\t})\n\t\t\t},\n\t\t},\n\t\talt: {\n\t\t\tget() {\n\t\t\t\treturn this.node.attrs.alt ? this.node.attrs.alt : ''\n\t\t\t},\n\t\t\tset(alt) {\n\t\t\t\tthis.updateAttrs({\n\t\t\t\t\talt,\n\t\t\t\t})\n\t\t\t},\n\t\t},\n\t\tt() {\n\t\t\treturn (a, s) => window.t(a, s)\n\t\t},\n\t},\n\tbeforeMount() {\n\t\tif (!this.isSupportedImage) {\n\t\t\t// TODO check if hasPreview and render a file preview if available\n\t\t\tthis.failed = true\n\t\t\tthis.imageLoaded = false\n\t\t\tthis.loaded = true\n\t\t\treturn\n\t\t}\n\t\tconst img = new Image()\n\t\timg.src = this.imageUrl\n\t\timg.onload = () => {\n\t\t\tthis.imageLoaded = true\n\t\t}\n\t\timg.onerror = () => {\n\t\t\tthis.failed = true\n\t\t\tthis.imageLoaded = false\n\t\t\tthis.loaded = true\n\t\t}\n\t},\n\tmethods: {\n\t\tupdateAlt() {\n\t\t\tthis.alt = this.$refs.altInput.value\n\t\t},\n\t\tonLoaded() {\n\t\t\tthis.loaded = true\n\t\t},\n\t},\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t.image {\n\t\tmargin: 0;\n\t\tpadding: 0;\n\t}\n\n\t.image__caption {\n\t\ttext-align: center;\n\t\tcolor: var(--color-text-lighter);\n\t\tinput[type='text'] {\n\t\t\twidth: 100%;\n\t\t\tborder: none;\n\t\t\ttext-align: center;\n\t\t}\n\t}\n\n\t.icon-image {\n\t\tmargin-top: 10px;\n\t\theight: 32px;\n\t\tpadding: 20px;\n\t\tbackground-size: contain;\n\t}\n\n\t.image__loading {\n\t\theight: 100px;\n\t}\n\n\t.image__view {\n\t\ttext-align: center;\n\n\t\t.image__main {\n\t\t\tmax-height: 40vh;\n\t\t}\n\t}\n\n\t.image__placeholder {\n\t\ta {\n\t\t\tdisplay: flex;\n\t\t}\n\t\t.image__main {\n\t\t\tbackground-color: var(--color-background-dark);\n\t\t\ttext-align: center;\n\t\t\tpadding: 5px;\n\t\t\tborder-radius: var(--border-radius);\n\n\t\t\t.icon-image {\n\t\t\t\tmargin: 0;\n\t\t\t}\n\n\t\t\tp {\n\t\t\t\tpadding: 10px;\n\t\t\t}\n\t\t}\n\t}\n\n\t.fade-enter-active {\n\t\ttransition: opacity .3s ease-in-out;\n\t}\n\n\t.fade-enter-to {\n\t\topacity: 1;\n\t}\n\n\t.fade-enter {\n\t\topacity: 0;\n\t}\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ImageView.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ImageView.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./ImageView.vue?vue&type=template&id=5a0c4be0&scoped=true&\"\nimport script from \"./ImageView.vue?vue&type=script&lang=js&\"\nexport * from \"./ImageView.vue?vue&type=script&lang=js&\"\nimport style0 from \"./ImageView.vue?vue&type=style&index=0&id=5a0c4be0&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"5a0c4be0\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{staticClass:\"image\",class:{'icon-loading': !_vm.loaded},attrs:{\"data-src\":_vm.src}},[(_vm.imageLoaded && _vm.isSupportedImage)?_c('div',{staticClass:\"image__view\"},[_c('transition',{attrs:{\"name\":\"fade\"}},[_c('img',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.loaded),expression:\"loaded\"}],staticClass:\"image__main\",attrs:{\"src\":_vm.imageUrl},on:{\"load\":_vm.onLoaded}})]),_vm._v(\" \"),_c('transition',{attrs:{\"name\":\"fade\"}},[_c('div',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.loaded),expression:\"loaded\"}],staticClass:\"image__caption\"},[_c('input',{ref:\"altInput\",attrs:{\"type\":\"text\"},domProps:{\"value\":_vm.alt},on:{\"keyup\":function($event){if(!$event.type.indexOf('key')&&_vm._k($event.keyCode,\"enter\",13,$event.key,\"Enter\")){ return null; }return _vm.updateAlt()}}})])])],1):_c('div',{staticClass:\"image__placeholder\"},[_c('transition',{attrs:{\"name\":\"fade\"}},[_c('div',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.loaded),expression:\"loaded\"}],staticClass:\"image__main\"},[_c('a',{attrs:{\"href\":_vm.internalLinkOrImage,\"target\":\"_blank\"}},[_c('div',{staticClass:\"icon-image\",style:(_vm.mimeIcon)}),_vm._v(\" \"),(!_vm.isSupportedImage)?_c('p',[_vm._v(_vm._s(_vm.alt))]):_vm._e()])])]),_c('transition',{attrs:{\"name\":\"fade\"}},[_c('div',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.loaded),expression:\"loaded\"}],staticClass:\"image__caption\"},[_c('input',{ref:\"altInput\",attrs:{\"type\":\"text\"},domProps:{\"value\":_vm.alt},on:{\"keyup\":function($event){if(!$event.type.indexOf('key')&&_vm._k($event.keyCode,\"enter\",13,$event.key,\"Enter\")){ return null; }return _vm.updateAlt()}}})])])],1)])}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { Image as TiptapImage } from 'tiptap-extensions'\nimport ImageView from './ImageView'\n\nexport default class Image extends TiptapImage {\n\n\tget view() {\n\t\treturn ImageView\n\t}\n\n\tget schema() {\n\t\treturn {\n\t\t\t...super.schema,\n\t\t\tselectable: false,\n\t\t}\n\t}\n\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { Node } from 'tiptap'\nimport { insertText } from 'tiptap-commands'\n\nexport default class PlainTextDocument extends Node {\n\n\tget name() {\n\t\treturn 'doc'\n\t}\n\n\tget schema() {\n\t\treturn {\n\t\t\tcontent: 'block',\n\t\t}\n\t}\n\n\tkeys() {\n\t\treturn {\n\t\t\tTab: (state) => {\n\t\t\t\tinsertText('\\t')(state, this.editor.view.dispatch, this.editor.view)\n\t\t\t\treturn true\n\t\t\t},\n\t\t}\n\t}\n\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { ListItem as TiptapListItem } from 'tiptap-extensions'\nimport { Plugin } from 'tiptap'\nimport { toggleList } from 'tiptap-commands'\nimport { findParentNode, findParentNodeClosestToPos } from 'prosemirror-utils'\n\nconst TYPES = {\n\tBULLET: 0,\n\tCHECKBOX: 1,\n}\n\nconst getParentList = (schema, selection) => {\n\treturn findParentNode(function(node) {\n\t\treturn node.type === schema.nodes.list_item\n\t})(selection)\n}\n\nexport default class ListItem extends TiptapListItem {\n\n\tget defaultOptions() {\n\t\treturn {\n\t\t\tnested: true,\n\t\t}\n\t}\n\n\tget schema() {\n\t\treturn {\n\t\t\tattrs: {\n\t\t\t\tdone: {\n\t\t\t\t\tdefault: false,\n\t\t\t\t},\n\t\t\t\ttype: {\n\t\t\t\t\tdefault: TYPES.BULLET,\n\t\t\t\t},\n\t\t\t},\n\t\t\tdraggable: false,\n\t\t\tcontent: 'paragraph block*',\n\t\t\ttoDOM: node => {\n\t\t\t\tif (node.attrs.type === TYPES.BULLET) {\n\t\t\t\t\treturn ['li', 0]\n\t\t\t\t}\n\t\t\t\tconst listAttributes = { class: 'checkbox-item' }\n\t\t\t\tconst checkboxAttributes = { type: 'checkbox', class: '', contenteditable: false }\n\t\t\t\tif (node.attrs.done) {\n\t\t\t\t\tcheckboxAttributes.checked = true\n\t\t\t\t\tlistAttributes.class += ' checked'\n\t\t\t\t}\n\t\t\t\treturn [\n\t\t\t\t\t'li',\n\t\t\t\t\tlistAttributes,\n\t\t\t\t\t[\n\t\t\t\t\t\t'input',\n\t\t\t\t\t\tcheckboxAttributes,\n\t\t\t\t\t],\n\t\t\t\t\t[\n\t\t\t\t\t\t'label',\n\t\t\t\t\t\t0,\n\t\t\t\t\t],\n\t\t\t\t]\n\t\t\t},\n\t\t\tparseDOM: [\n\t\t\t\t{\n\t\t\t\t\tpriority: 100,\n\t\t\t\t\ttag: 'li',\n\t\t\t\t\tgetAttrs: el => {\n\t\t\t\t\t\tconst checkbox = el.querySelector('input[type=checkbox]')\n\t\t\t\t\t\treturn { done: checkbox && checkbox.checked, type: checkbox ? TYPES.CHECKBOX : TYPES.BULLET }\n\t\t\t\t\t},\n\t\t\t\t},\n\t\t\t],\n\t\t\ttoMarkdown: (state, node) => {\n\t\t\t\tif (node.attrs.type === TYPES.CHECKBOX) {\n\t\t\t\t\tstate.write(`[${node.attrs.done ? 'x' : ' '}] `)\n\t\t\t\t}\n\t\t\t\tstate.renderContent(node)\n\t\t\t},\n\t\t}\n\t}\n\n\tcommands({ type, schema }) {\n\t\treturn {\n\t\t\tbullet_list_item: () => {\n\t\t\t\treturn (state, dispatch, view) => {\n\t\t\t\t\treturn toggleList(schema.nodes.bullet_list, type)(state, dispatch, view)\n\t\t\t\t}\n\t\t\t},\n\t\t\ttodo_item: () => {\n\t\t\t\treturn (state, dispatch, view) => {\n\t\t\t\t\tconst schema = state.schema\n\t\t\t\t\tconst selection = state.selection\n\t\t\t\t\tconst $from = selection.$from\n\t\t\t\t\tconst $to = selection.$to\n\t\t\t\t\tconst range = $from.blockRange($to)\n\n\t\t\t\t\tlet tr = state.tr\n\t\t\t\t\tlet parentList = getParentList(schema, selection)\n\n\t\t\t\t\tif (typeof parentList === 'undefined') {\n\t\t\t\t\t\ttoggleList(schema.nodes.bullet_list, type)(state, (_transaction) => {\n\t\t\t\t\t\t\ttr = _transaction\n\t\t\t\t\t\t}, view)\n\t\t\t\t\t\tparentList = getParentList(schema, tr.selection)\n\t\t\t\t\t}\n\n\t\t\t\t\tif (!range || typeof parentList === 'undefined') {\n\t\t\t\t\t\treturn false\n\t\t\t\t\t}\n\n\t\t\t\t\ttr.setNodeMarkup(parentList.pos, schema.nodes.list_item, { type: parentList.node.attrs.type === TYPES.CHECKBOX ? TYPES.BULLET : TYPES.CHECKBOX })\n\t\t\t\t\ttr.scrollIntoView()\n\n\t\t\t\t\tif (dispatch) {\n\t\t\t\t\t\tdispatch(tr)\n\t\t\t\t\t}\n\n\t\t\t\t}\n\t\t\t},\n\t\t}\n\t}\n\n\tget plugins() {\n\t\treturn [\n\t\t\tnew Plugin({\n\t\t\t\tprops: {\n\t\t\t\t\thandleClick: (view, pos, event) => {\n\t\t\t\t\t\tconst state = view.state\n\t\t\t\t\t\tconst schema = state.schema\n\n\t\t\t\t\t\tconst coordinates = view.posAtCoords({ left: event.clientX, top: event.clientY })\n\t\t\t\t\t\tconst position = state.doc.resolve(coordinates.pos)\n\t\t\t\t\t\tconst parentList = findParentNodeClosestToPos(position, function(node) {\n\t\t\t\t\t\t\treturn node.type === schema.nodes.list_item\n\t\t\t\t\t\t})\n\t\t\t\t\t\tconst isListClicked = event.target.tagName.toLowerCase() === 'li'\n\t\t\t\t\t\tif (typeof parentList === 'undefined' || parentList.node.attrs.type !== TYPES.CHECKBOX || !isListClicked) {\n\t\t\t\t\t\t\treturn\n\t\t\t\t\t\t}\n\n\t\t\t\t\t\tconst tr = state.tr\n\t\t\t\t\t\ttr.setNodeMarkup(parentList.pos, schema.nodes.list_item, { done: !parentList.node.attrs.done, type: TYPES.CHECKBOX })\n\t\t\t\t\t\tview.dispatch(tr)\n\t\t\t\t\t},\n\t\t\t\t},\n\t\t\t}),\n\t\t]\n\t}\n\n}\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nimport { Editor, Text } from 'tiptap'\nimport {\n\tHardBreak,\n\tHeading,\n\tCode,\n\tBulletList,\n\tOrderedList,\n\tBlockquote,\n\tCodeBlock,\n\tCodeBlockHighlight,\n\tHorizontalRule,\n\tHistory,\n\tPlaceholder,\n} from 'tiptap-extensions'\nimport { Strong, Italic, Strike, Link } from './marks'\nimport { Image, PlainTextDocument, ListItem } from './nodes'\nimport MarkdownIt from 'markdown-it'\nimport taskLists from 'markdown-it-task-lists'\nimport { translate as t } from '@nextcloud/l10n'\n\nimport 'proxy-polyfill'\n\nimport { MarkdownSerializer, defaultMarkdownSerializer } from 'prosemirror-markdown'\n\nconst loadSyntaxHighlight = async(language) => {\n\tconst languages = [language]\n\tconst modules = {}\n\tfor (let i = 0; i < languages.length; i++) {\n\t\ttry {\n\t\t\tconst lang = await import(/* webpackChunkName: \"highlight/[request]\" */'highlight.js/lib/languages/' + languages[i])\n\t\t\tmodules[languages[i]] = lang.default\n\t\t} catch (e) {\n\t\t\t// No matching highlighing found, fallback to none\n\t\t\treturn undefined\n\t\t}\n\t}\n\tif (Object.keys(modules).length === 0 && modules.constructor === Object) {\n\t\treturn undefined\n\t}\n\treturn { languages: modules }\n}\n\nconst createEditor = ({ content, onInit, onUpdate, extensions, enableRichEditing, languages }) => {\n\tlet richEditingExtensions = []\n\tif (enableRichEditing) {\n\t\trichEditingExtensions = [\n\t\t\tnew Heading(),\n\t\t\tnew Code(),\n\t\t\tnew Strong(),\n\t\t\tnew Italic(),\n\t\t\tnew Strike(),\n\t\t\tnew HardBreak(),\n\t\t\tnew HorizontalRule(),\n\t\t\tnew BulletList(),\n\t\t\tnew OrderedList(),\n\t\t\tnew Blockquote(),\n\t\t\tnew CodeBlock(),\n\t\t\tnew ListItem(),\n\t\t\tnew Link({\n\t\t\t\topenOnClick: true,\n\t\t\t}),\n\t\t\tnew Image(),\n\t\t\tnew Placeholder({\n\t\t\t\temptyNodeClass: 'is-empty',\n\t\t\t\temptyNodeText: t('text', 'Add notes, lists or links …'),\n\t\t\t\tshowOnlyWhenEditable: true,\n\t\t\t}),\n\t\t]\n\t} else {\n\t\trichEditingExtensions = [\n\t\t\tnew PlainTextDocument(),\n\t\t\tnew Text(),\n\t\t\tnew CodeBlockHighlight({\n\t\t\t\t...languages,\n\t\t\t}),\n\t\t]\n\t}\n\textensions = extensions || []\n\treturn new Editor({\n\t\tcontent,\n\t\tonInit,\n\t\tonUpdate,\n\t\textensions: [\n\t\t\t...richEditingExtensions,\n\t\t\tnew History(),\n\t\t].concat(extensions),\n\t\tuseBuiltInExtensions: enableRichEditing,\n\t})\n}\n\nconst markdownit = MarkdownIt('commonmark', { html: false, breaks: false })\n\t.enable('strikethrough')\n\t.use(taskLists, { enable: true, labelAfter: true })\n\nconst SerializeException = function(message) {\n\tthis.message = message\n}\nconst createMarkdownSerializer = (_nodes, _marks) => {\n\tconst nodes = Object\n\t\t.entries(_nodes)\n\t\t.filter(([, node]) => node.toMarkdown)\n\t\t.reduce((items, [name, { toMarkdown }]) => ({\n\t\t\t...items,\n\t\t\t[name]: toMarkdown,\n\t\t}), {})\n\n\tconst marks = Object\n\t\t.entries(_marks)\n\t\t.filter(([, node]) => node.toMarkdown)\n\t\t.reduce((items, [name, { toMarkdown }]) => ({\n\t\t\t...items,\n\t\t\t[name]: toMarkdown,\n\t\t}), {})\n\treturn {\n\t\tserializer: new MarkdownSerializer(\n\t\t\t{ ...defaultMarkdownSerializer.nodes, ...nodes },\n\t\t\t{ ...defaultMarkdownSerializer.marks, ...marks }\n\t\t),\n\t\tserialize(content, options) {\n\t\t\treturn this.serializer.serialize(content, { ...options, tightLists: true })\n\t\t\t\t.split('\\\\[').join('[')\n\t\t\t\t.split('\\\\]').join(']')\n\t\t},\n\t}\n}\n\nconst serializePlainText = (tiptap) => {\n\tconst doc = tiptap.getJSON()\n\n\tif (doc.content.length !== 1 || typeof doc.content[0].content === 'undefined' || doc.content[0].content.length !== 1) {\n\t\tif (doc.content[0].type === 'code_block' && typeof doc.content[0].content === 'undefined') {\n\t\t\treturn ''\n\t\t}\n\t\tthrow new SerializeException('Failed to serialize document to plain text')\n\t}\n\tconst codeBlock = doc.content[0].content[0]\n\tif (codeBlock.type !== 'text') {\n\t\tthrow new SerializeException('Failed to serialize document to plain text')\n\t}\n\treturn codeBlock.text\n}\n\nexport default createEditor\nexport { markdownit, createEditor, createMarkdownSerializer, serializePlainText, loadSyntaxHighlight }\n","var map = {\n\t\"./af\": 225,\n\t\"./af.js\": 225,\n\t\"./ar\": 226,\n\t\"./ar-dz\": 227,\n\t\"./ar-dz.js\": 227,\n\t\"./ar-kw\": 228,\n\t\"./ar-kw.js\": 228,\n\t\"./ar-ly\": 229,\n\t\"./ar-ly.js\": 229,\n\t\"./ar-ma\": 230,\n\t\"./ar-ma.js\": 230,\n\t\"./ar-sa\": 231,\n\t\"./ar-sa.js\": 231,\n\t\"./ar-tn\": 232,\n\t\"./ar-tn.js\": 232,\n\t\"./ar.js\": 226,\n\t\"./az\": 233,\n\t\"./az.js\": 233,\n\t\"./be\": 234,\n\t\"./be.js\": 234,\n\t\"./bg\": 235,\n\t\"./bg.js\": 235,\n\t\"./bm\": 236,\n\t\"./bm.js\": 236,\n\t\"./bn\": 237,\n\t\"./bn.js\": 237,\n\t\"./bo\": 238,\n\t\"./bo.js\": 238,\n\t\"./br\": 239,\n\t\"./br.js\": 239,\n\t\"./bs\": 240,\n\t\"./bs.js\": 240,\n\t\"./ca\": 241,\n\t\"./ca.js\": 241,\n\t\"./cs\": 242,\n\t\"./cs.js\": 242,\n\t\"./cv\": 243,\n\t\"./cv.js\": 243,\n\t\"./cy\": 244,\n\t\"./cy.js\": 244,\n\t\"./da\": 245,\n\t\"./da.js\": 245,\n\t\"./de\": 246,\n\t\"./de-at\": 247,\n\t\"./de-at.js\": 247,\n\t\"./de-ch\": 248,\n\t\"./de-ch.js\": 248,\n\t\"./de.js\": 246,\n\t\"./dv\": 249,\n\t\"./dv.js\": 249,\n\t\"./el\": 250,\n\t\"./el.js\": 250,\n\t\"./en-SG\": 251,\n\t\"./en-SG.js\": 251,\n\t\"./en-au\": 252,\n\t\"./en-au.js\": 252,\n\t\"./en-ca\": 253,\n\t\"./en-ca.js\": 253,\n\t\"./en-gb\": 254,\n\t\"./en-gb.js\": 254,\n\t\"./en-ie\": 255,\n\t\"./en-ie.js\": 255,\n\t\"./en-il\": 256,\n\t\"./en-il.js\": 256,\n\t\"./en-nz\": 257,\n\t\"./en-nz.js\": 257,\n\t\"./eo\": 258,\n\t\"./eo.js\": 258,\n\t\"./es\": 259,\n\t\"./es-do\": 260,\n\t\"./es-do.js\": 260,\n\t\"./es-us\": 261,\n\t\"./es-us.js\": 261,\n\t\"./es.js\": 259,\n\t\"./et\": 262,\n\t\"./et.js\": 262,\n\t\"./eu\": 263,\n\t\"./eu.js\": 263,\n\t\"./fa\": 264,\n\t\"./fa.js\": 264,\n\t\"./fi\": 265,\n\t\"./fi.js\": 265,\n\t\"./fo\": 266,\n\t\"./fo.js\": 266,\n\t\"./fr\": 267,\n\t\"./fr-ca\": 268,\n\t\"./fr-ca.js\": 268,\n\t\"./fr-ch\": 269,\n\t\"./fr-ch.js\": 269,\n\t\"./fr.js\": 267,\n\t\"./fy\": 270,\n\t\"./fy.js\": 270,\n\t\"./ga\": 271,\n\t\"./ga.js\": 271,\n\t\"./gd\": 272,\n\t\"./gd.js\": 272,\n\t\"./gl\": 273,\n\t\"./gl.js\": 273,\n\t\"./gom-latn\": 274,\n\t\"./gom-latn.js\": 274,\n\t\"./gu\": 275,\n\t\"./gu.js\": 275,\n\t\"./he\": 276,\n\t\"./he.js\": 276,\n\t\"./hi\": 277,\n\t\"./hi.js\": 277,\n\t\"./hr\": 278,\n\t\"./hr.js\": 278,\n\t\"./hu\": 279,\n\t\"./hu.js\": 279,\n\t\"./hy-am\": 280,\n\t\"./hy-am.js\": 280,\n\t\"./id\": 281,\n\t\"./id.js\": 281,\n\t\"./is\": 282,\n\t\"./is.js\": 282,\n\t\"./it\": 283,\n\t\"./it-ch\": 284,\n\t\"./it-ch.js\": 284,\n\t\"./it.js\": 283,\n\t\"./ja\": 285,\n\t\"./ja.js\": 285,\n\t\"./jv\": 286,\n\t\"./jv.js\": 286,\n\t\"./ka\": 287,\n\t\"./ka.js\": 287,\n\t\"./kk\": 288,\n\t\"./kk.js\": 288,\n\t\"./km\": 289,\n\t\"./km.js\": 289,\n\t\"./kn\": 290,\n\t\"./kn.js\": 290,\n\t\"./ko\": 291,\n\t\"./ko.js\": 291,\n\t\"./ku\": 292,\n\t\"./ku.js\": 292,\n\t\"./ky\": 293,\n\t\"./ky.js\": 293,\n\t\"./lb\": 294,\n\t\"./lb.js\": 294,\n\t\"./lo\": 295,\n\t\"./lo.js\": 295,\n\t\"./lt\": 296,\n\t\"./lt.js\": 296,\n\t\"./lv\": 297,\n\t\"./lv.js\": 297,\n\t\"./me\": 298,\n\t\"./me.js\": 298,\n\t\"./mi\": 299,\n\t\"./mi.js\": 299,\n\t\"./mk\": 300,\n\t\"./mk.js\": 300,\n\t\"./ml\": 301,\n\t\"./ml.js\": 301,\n\t\"./mn\": 302,\n\t\"./mn.js\": 302,\n\t\"./mr\": 303,\n\t\"./mr.js\": 303,\n\t\"./ms\": 304,\n\t\"./ms-my\": 305,\n\t\"./ms-my.js\": 305,\n\t\"./ms.js\": 304,\n\t\"./mt\": 306,\n\t\"./mt.js\": 306,\n\t\"./my\": 307,\n\t\"./my.js\": 307,\n\t\"./nb\": 308,\n\t\"./nb.js\": 308,\n\t\"./ne\": 309,\n\t\"./ne.js\": 309,\n\t\"./nl\": 310,\n\t\"./nl-be\": 311,\n\t\"./nl-be.js\": 311,\n\t\"./nl.js\": 310,\n\t\"./nn\": 312,\n\t\"./nn.js\": 312,\n\t\"./pa-in\": 313,\n\t\"./pa-in.js\": 313,\n\t\"./pl\": 314,\n\t\"./pl.js\": 314,\n\t\"./pt\": 315,\n\t\"./pt-br\": 316,\n\t\"./pt-br.js\": 316,\n\t\"./pt.js\": 315,\n\t\"./ro\": 317,\n\t\"./ro.js\": 317,\n\t\"./ru\": 318,\n\t\"./ru.js\": 318,\n\t\"./sd\": 319,\n\t\"./sd.js\": 319,\n\t\"./se\": 320,\n\t\"./se.js\": 320,\n\t\"./si\": 321,\n\t\"./si.js\": 321,\n\t\"./sk\": 322,\n\t\"./sk.js\": 322,\n\t\"./sl\": 323,\n\t\"./sl.js\": 323,\n\t\"./sq\": 324,\n\t\"./sq.js\": 324,\n\t\"./sr\": 325,\n\t\"./sr-cyrl\": 326,\n\t\"./sr-cyrl.js\": 326,\n\t\"./sr.js\": 325,\n\t\"./ss\": 327,\n\t\"./ss.js\": 327,\n\t\"./sv\": 328,\n\t\"./sv.js\": 328,\n\t\"./sw\": 329,\n\t\"./sw.js\": 329,\n\t\"./ta\": 330,\n\t\"./ta.js\": 330,\n\t\"./te\": 331,\n\t\"./te.js\": 331,\n\t\"./tet\": 332,\n\t\"./tet.js\": 332,\n\t\"./tg\": 333,\n\t\"./tg.js\": 333,\n\t\"./th\": 334,\n\t\"./th.js\": 334,\n\t\"./tl-ph\": 335,\n\t\"./tl-ph.js\": 335,\n\t\"./tlh\": 336,\n\t\"./tlh.js\": 336,\n\t\"./tr\": 337,\n\t\"./tr.js\": 337,\n\t\"./tzl\": 338,\n\t\"./tzl.js\": 338,\n\t\"./tzm\": 339,\n\t\"./tzm-latn\": 340,\n\t\"./tzm-latn.js\": 340,\n\t\"./tzm.js\": 339,\n\t\"./ug-cn\": 341,\n\t\"./ug-cn.js\": 341,\n\t\"./uk\": 342,\n\t\"./uk.js\": 342,\n\t\"./ur\": 343,\n\t\"./ur.js\": 343,\n\t\"./uz\": 344,\n\t\"./uz-latn\": 345,\n\t\"./uz-latn.js\": 345,\n\t\"./uz.js\": 344,\n\t\"./vi\": 346,\n\t\"./vi.js\": 346,\n\t\"./x-pseudo\": 347,\n\t\"./x-pseudo.js\": 347,\n\t\"./yo\": 348,\n\t\"./yo.js\": 348,\n\t\"./zh-cn\": 349,\n\t\"./zh-cn.js\": 349,\n\t\"./zh-hk\": 350,\n\t\"./zh-hk.js\": 350,\n\t\"./zh-tw\": 351,\n\t\"./zh-tw.js\": 351\n};\n\n\nfunction webpackContext(req) {\n\tvar id = webpackContextResolve(req);\n\treturn __webpack_require__(id);\n}\nfunction webpackContextResolve(req) {\n\tif(!__webpack_require__.o(map, req)) {\n\t\tvar e = new Error(\"Cannot find module '\" + req + \"'\");\n\t\te.code = 'MODULE_NOT_FOUND';\n\t\tthrow e;\n\t}\n\treturn map[req];\n}\nwebpackContext.keys = function webpackContextKeys() {\n\treturn Object.keys(map);\n};\nwebpackContext.resolve = webpackContextResolve;\nmodule.exports = webpackContext;\nwebpackContext.id = 556;","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ImageView.vue?vue&type=style&index=0&id=5a0c4be0&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ImageView.vue?vue&type=style&index=0&id=5a0c4be0&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \".image[data-v-5a0c4be0]{margin:0;padding:0}.image__caption[data-v-5a0c4be0]{text-align:center;color:var(--color-text-lighter)}.image__caption input[type='text'][data-v-5a0c4be0]{width:100%;border:none;text-align:center}.icon-image[data-v-5a0c4be0]{margin-top:10px;height:32px;padding:20px;background-size:contain}.image__loading[data-v-5a0c4be0]{height:100px}.image__view[data-v-5a0c4be0]{text-align:center}.image__view .image__main[data-v-5a0c4be0]{max-height:40vh}.image__placeholder a[data-v-5a0c4be0]{display:flex}.image__placeholder .image__main[data-v-5a0c4be0]{background-color:var(--color-background-dark);text-align:center;padding:5px;border-radius:var(--border-radius)}.image__placeholder .image__main .icon-image[data-v-5a0c4be0]{margin:0}.image__placeholder .image__main p[data-v-5a0c4be0]{padding:10px}.fade-enter-active[data-v-5a0c4be0]{transition:opacity .3s ease-in-out}.fade-enter-to[data-v-5a0c4be0]{opacity:1}.fade-enter[data-v-5a0c4be0]{opacity:0}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var map = {\n\t\"./1c\": [\n\t\t369,\n\t\t2\n\t],\n\t\"./1c.js\": [\n\t\t369,\n\t\t2\n\t],\n\t\"./abnf\": [\n\t\t370,\n\t\t3\n\t],\n\t\"./abnf.js\": [\n\t\t370,\n\t\t3\n\t],\n\t\"./accesslog\": [\n\t\t371,\n\t\t4\n\t],\n\t\"./accesslog.js\": [\n\t\t371,\n\t\t4\n\t],\n\t\"./actionscript\": [\n\t\t372,\n\t\t5\n\t],\n\t\"./actionscript.js\": [\n\t\t372,\n\t\t5\n\t],\n\t\"./ada\": [\n\t\t373,\n\t\t6\n\t],\n\t\"./ada.js\": [\n\t\t373,\n\t\t6\n\t],\n\t\"./angelscript\": [\n\t\t374,\n\t\t7\n\t],\n\t\"./angelscript.js\": [\n\t\t374,\n\t\t7\n\t],\n\t\"./apache\": [\n\t\t375,\n\t\t8\n\t],\n\t\"./apache.js\": [\n\t\t375,\n\t\t8\n\t],\n\t\"./applescript\": [\n\t\t376,\n\t\t9\n\t],\n\t\"./applescript.js\": [\n\t\t376,\n\t\t9\n\t],\n\t\"./arcade\": [\n\t\t377,\n\t\t10\n\t],\n\t\"./arcade.js\": [\n\t\t377,\n\t\t10\n\t],\n\t\"./arduino\": [\n\t\t378,\n\t\t11\n\t],\n\t\"./arduino.js\": [\n\t\t378,\n\t\t11\n\t],\n\t\"./armasm\": [\n\t\t379,\n\t\t12\n\t],\n\t\"./armasm.js\": [\n\t\t379,\n\t\t12\n\t],\n\t\"./asciidoc\": [\n\t\t380,\n\t\t13\n\t],\n\t\"./asciidoc.js\": [\n\t\t380,\n\t\t13\n\t],\n\t\"./aspectj\": [\n\t\t381,\n\t\t14\n\t],\n\t\"./aspectj.js\": [\n\t\t381,\n\t\t14\n\t],\n\t\"./autohotkey\": [\n\t\t382,\n\t\t15\n\t],\n\t\"./autohotkey.js\": [\n\t\t382,\n\t\t15\n\t],\n\t\"./autoit\": [\n\t\t383,\n\t\t16\n\t],\n\t\"./autoit.js\": [\n\t\t383,\n\t\t16\n\t],\n\t\"./avrasm\": [\n\t\t384,\n\t\t17\n\t],\n\t\"./avrasm.js\": [\n\t\t384,\n\t\t17\n\t],\n\t\"./awk\": [\n\t\t385,\n\t\t18\n\t],\n\t\"./awk.js\": [\n\t\t385,\n\t\t18\n\t],\n\t\"./axapta\": [\n\t\t386,\n\t\t19\n\t],\n\t\"./axapta.js\": [\n\t\t386,\n\t\t19\n\t],\n\t\"./bash\": [\n\t\t387,\n\t\t20\n\t],\n\t\"./bash.js\": [\n\t\t387,\n\t\t20\n\t],\n\t\"./basic\": [\n\t\t388,\n\t\t21\n\t],\n\t\"./basic.js\": [\n\t\t388,\n\t\t21\n\t],\n\t\"./bnf\": [\n\t\t389,\n\t\t22\n\t],\n\t\"./bnf.js\": [\n\t\t389,\n\t\t22\n\t],\n\t\"./brainfuck\": [\n\t\t390,\n\t\t23\n\t],\n\t\"./brainfuck.js\": [\n\t\t390,\n\t\t23\n\t],\n\t\"./cal\": [\n\t\t391,\n\t\t24\n\t],\n\t\"./cal.js\": [\n\t\t391,\n\t\t24\n\t],\n\t\"./capnproto\": [\n\t\t392,\n\t\t25\n\t],\n\t\"./capnproto.js\": [\n\t\t392,\n\t\t25\n\t],\n\t\"./ceylon\": [\n\t\t393,\n\t\t26\n\t],\n\t\"./ceylon.js\": [\n\t\t393,\n\t\t26\n\t],\n\t\"./clean\": [\n\t\t394,\n\t\t27\n\t],\n\t\"./clean.js\": [\n\t\t394,\n\t\t27\n\t],\n\t\"./clojure\": [\n\t\t396,\n\t\t28\n\t],\n\t\"./clojure-repl\": [\n\t\t395,\n\t\t29\n\t],\n\t\"./clojure-repl.js\": [\n\t\t395,\n\t\t29\n\t],\n\t\"./clojure.js\": [\n\t\t396,\n\t\t28\n\t],\n\t\"./cmake\": [\n\t\t397,\n\t\t30\n\t],\n\t\"./cmake.js\": [\n\t\t397,\n\t\t30\n\t],\n\t\"./coffeescript\": [\n\t\t398,\n\t\t31\n\t],\n\t\"./coffeescript.js\": [\n\t\t398,\n\t\t31\n\t],\n\t\"./coq\": [\n\t\t399,\n\t\t32\n\t],\n\t\"./coq.js\": [\n\t\t399,\n\t\t32\n\t],\n\t\"./cos\": [\n\t\t400,\n\t\t33\n\t],\n\t\"./cos.js\": [\n\t\t400,\n\t\t33\n\t],\n\t\"./cpp\": [\n\t\t401,\n\t\t34\n\t],\n\t\"./cpp.js\": [\n\t\t401,\n\t\t34\n\t],\n\t\"./crmsh\": [\n\t\t402,\n\t\t35\n\t],\n\t\"./crmsh.js\": [\n\t\t402,\n\t\t35\n\t],\n\t\"./crystal\": [\n\t\t403,\n\t\t36\n\t],\n\t\"./crystal.js\": [\n\t\t403,\n\t\t36\n\t],\n\t\"./cs\": [\n\t\t404,\n\t\t37\n\t],\n\t\"./cs.js\": [\n\t\t404,\n\t\t37\n\t],\n\t\"./csp\": [\n\t\t405,\n\t\t38\n\t],\n\t\"./csp.js\": [\n\t\t405,\n\t\t38\n\t],\n\t\"./css\": [\n\t\t406,\n\t\t39\n\t],\n\t\"./css.js\": [\n\t\t406,\n\t\t39\n\t],\n\t\"./d\": [\n\t\t407,\n\t\t40\n\t],\n\t\"./d.js\": [\n\t\t407,\n\t\t40\n\t],\n\t\"./dart\": [\n\t\t408,\n\t\t41\n\t],\n\t\"./dart.js\": [\n\t\t408,\n\t\t41\n\t],\n\t\"./delphi\": [\n\t\t409,\n\t\t42\n\t],\n\t\"./delphi.js\": [\n\t\t409,\n\t\t42\n\t],\n\t\"./diff\": [\n\t\t410,\n\t\t43\n\t],\n\t\"./diff.js\": [\n\t\t410,\n\t\t43\n\t],\n\t\"./django\": [\n\t\t411,\n\t\t44\n\t],\n\t\"./django.js\": [\n\t\t411,\n\t\t44\n\t],\n\t\"./dns\": [\n\t\t412,\n\t\t45\n\t],\n\t\"./dns.js\": [\n\t\t412,\n\t\t45\n\t],\n\t\"./dockerfile\": [\n\t\t413,\n\t\t46\n\t],\n\t\"./dockerfile.js\": [\n\t\t413,\n\t\t46\n\t],\n\t\"./dos\": [\n\t\t414,\n\t\t47\n\t],\n\t\"./dos.js\": [\n\t\t414,\n\t\t47\n\t],\n\t\"./dsconfig\": [\n\t\t415,\n\t\t48\n\t],\n\t\"./dsconfig.js\": [\n\t\t415,\n\t\t48\n\t],\n\t\"./dts\": [\n\t\t416,\n\t\t49\n\t],\n\t\"./dts.js\": [\n\t\t416,\n\t\t49\n\t],\n\t\"./dust\": [\n\t\t417,\n\t\t50\n\t],\n\t\"./dust.js\": [\n\t\t417,\n\t\t50\n\t],\n\t\"./ebnf\": [\n\t\t418,\n\t\t51\n\t],\n\t\"./ebnf.js\": [\n\t\t418,\n\t\t51\n\t],\n\t\"./elixir\": [\n\t\t419,\n\t\t52\n\t],\n\t\"./elixir.js\": [\n\t\t419,\n\t\t52\n\t],\n\t\"./elm\": [\n\t\t420,\n\t\t53\n\t],\n\t\"./elm.js\": [\n\t\t420,\n\t\t53\n\t],\n\t\"./erb\": [\n\t\t421,\n\t\t54\n\t],\n\t\"./erb.js\": [\n\t\t421,\n\t\t54\n\t],\n\t\"./erlang\": [\n\t\t423,\n\t\t55\n\t],\n\t\"./erlang-repl\": [\n\t\t422,\n\t\t56\n\t],\n\t\"./erlang-repl.js\": [\n\t\t422,\n\t\t56\n\t],\n\t\"./erlang.js\": [\n\t\t423,\n\t\t55\n\t],\n\t\"./excel\": [\n\t\t424,\n\t\t57\n\t],\n\t\"./excel.js\": [\n\t\t424,\n\t\t57\n\t],\n\t\"./fix\": [\n\t\t425,\n\t\t58\n\t],\n\t\"./fix.js\": [\n\t\t425,\n\t\t58\n\t],\n\t\"./flix\": [\n\t\t426,\n\t\t59\n\t],\n\t\"./flix.js\": [\n\t\t426,\n\t\t59\n\t],\n\t\"./fortran\": [\n\t\t427,\n\t\t60\n\t],\n\t\"./fortran.js\": [\n\t\t427,\n\t\t60\n\t],\n\t\"./fsharp\": [\n\t\t428,\n\t\t61\n\t],\n\t\"./fsharp.js\": [\n\t\t428,\n\t\t61\n\t],\n\t\"./gams\": [\n\t\t429,\n\t\t62\n\t],\n\t\"./gams.js\": [\n\t\t429,\n\t\t62\n\t],\n\t\"./gauss\": [\n\t\t430,\n\t\t63\n\t],\n\t\"./gauss.js\": [\n\t\t430,\n\t\t63\n\t],\n\t\"./gcode\": [\n\t\t431,\n\t\t64\n\t],\n\t\"./gcode.js\": [\n\t\t431,\n\t\t64\n\t],\n\t\"./gherkin\": [\n\t\t432,\n\t\t65\n\t],\n\t\"./gherkin.js\": [\n\t\t432,\n\t\t65\n\t],\n\t\"./glsl\": [\n\t\t433,\n\t\t66\n\t],\n\t\"./glsl.js\": [\n\t\t433,\n\t\t66\n\t],\n\t\"./gml\": [\n\t\t434,\n\t\t67\n\t],\n\t\"./gml.js\": [\n\t\t434,\n\t\t67\n\t],\n\t\"./go\": [\n\t\t435,\n\t\t68\n\t],\n\t\"./go.js\": [\n\t\t435,\n\t\t68\n\t],\n\t\"./golo\": [\n\t\t436,\n\t\t69\n\t],\n\t\"./golo.js\": [\n\t\t436,\n\t\t69\n\t],\n\t\"./gradle\": [\n\t\t437,\n\t\t70\n\t],\n\t\"./gradle.js\": [\n\t\t437,\n\t\t70\n\t],\n\t\"./groovy\": [\n\t\t438,\n\t\t71\n\t],\n\t\"./groovy.js\": [\n\t\t438,\n\t\t71\n\t],\n\t\"./haml\": [\n\t\t439,\n\t\t72\n\t],\n\t\"./haml.js\": [\n\t\t439,\n\t\t72\n\t],\n\t\"./handlebars\": [\n\t\t440,\n\t\t73\n\t],\n\t\"./handlebars.js\": [\n\t\t440,\n\t\t73\n\t],\n\t\"./haskell\": [\n\t\t441,\n\t\t74\n\t],\n\t\"./haskell.js\": [\n\t\t441,\n\t\t74\n\t],\n\t\"./haxe\": [\n\t\t442,\n\t\t75\n\t],\n\t\"./haxe.js\": [\n\t\t442,\n\t\t75\n\t],\n\t\"./hsp\": [\n\t\t443,\n\t\t76\n\t],\n\t\"./hsp.js\": [\n\t\t443,\n\t\t76\n\t],\n\t\"./htmlbars\": [\n\t\t444,\n\t\t77\n\t],\n\t\"./htmlbars.js\": [\n\t\t444,\n\t\t77\n\t],\n\t\"./http\": [\n\t\t445,\n\t\t78\n\t],\n\t\"./http.js\": [\n\t\t445,\n\t\t78\n\t],\n\t\"./hy\": [\n\t\t446,\n\t\t79\n\t],\n\t\"./hy.js\": [\n\t\t446,\n\t\t79\n\t],\n\t\"./inform7\": [\n\t\t447,\n\t\t80\n\t],\n\t\"./inform7.js\": [\n\t\t447,\n\t\t80\n\t],\n\t\"./ini\": [\n\t\t448,\n\t\t81\n\t],\n\t\"./ini.js\": [\n\t\t448,\n\t\t81\n\t],\n\t\"./irpf90\": [\n\t\t449,\n\t\t82\n\t],\n\t\"./irpf90.js\": [\n\t\t449,\n\t\t82\n\t],\n\t\"./isbl\": [\n\t\t450,\n\t\t83\n\t],\n\t\"./isbl.js\": [\n\t\t450,\n\t\t83\n\t],\n\t\"./java\": [\n\t\t451,\n\t\t84\n\t],\n\t\"./java.js\": [\n\t\t451,\n\t\t84\n\t],\n\t\"./javascript\": [\n\t\t452,\n\t\t85\n\t],\n\t\"./javascript.js\": [\n\t\t452,\n\t\t85\n\t],\n\t\"./jboss-cli\": [\n\t\t453,\n\t\t86\n\t],\n\t\"./jboss-cli.js\": [\n\t\t453,\n\t\t86\n\t],\n\t\"./json\": [\n\t\t454,\n\t\t87\n\t],\n\t\"./json.js\": [\n\t\t454,\n\t\t87\n\t],\n\t\"./julia\": [\n\t\t456,\n\t\t88\n\t],\n\t\"./julia-repl\": [\n\t\t455,\n\t\t89\n\t],\n\t\"./julia-repl.js\": [\n\t\t455,\n\t\t89\n\t],\n\t\"./julia.js\": [\n\t\t456,\n\t\t88\n\t],\n\t\"./kotlin\": [\n\t\t457,\n\t\t90\n\t],\n\t\"./kotlin.js\": [\n\t\t457,\n\t\t90\n\t],\n\t\"./lasso\": [\n\t\t458,\n\t\t91\n\t],\n\t\"./lasso.js\": [\n\t\t458,\n\t\t91\n\t],\n\t\"./ldif\": [\n\t\t459,\n\t\t92\n\t],\n\t\"./ldif.js\": [\n\t\t459,\n\t\t92\n\t],\n\t\"./leaf\": [\n\t\t460,\n\t\t93\n\t],\n\t\"./leaf.js\": [\n\t\t460,\n\t\t93\n\t],\n\t\"./less\": [\n\t\t461,\n\t\t94\n\t],\n\t\"./less.js\": [\n\t\t461,\n\t\t94\n\t],\n\t\"./lisp\": [\n\t\t462,\n\t\t95\n\t],\n\t\"./lisp.js\": [\n\t\t462,\n\t\t95\n\t],\n\t\"./livecodeserver\": [\n\t\t463,\n\t\t96\n\t],\n\t\"./livecodeserver.js\": [\n\t\t463,\n\t\t96\n\t],\n\t\"./livescript\": [\n\t\t464,\n\t\t97\n\t],\n\t\"./livescript.js\": [\n\t\t464,\n\t\t97\n\t],\n\t\"./llvm\": [\n\t\t465,\n\t\t98\n\t],\n\t\"./llvm.js\": [\n\t\t465,\n\t\t98\n\t],\n\t\"./lsl\": [\n\t\t466,\n\t\t99\n\t],\n\t\"./lsl.js\": [\n\t\t466,\n\t\t99\n\t],\n\t\"./lua\": [\n\t\t467,\n\t\t100\n\t],\n\t\"./lua.js\": [\n\t\t467,\n\t\t100\n\t],\n\t\"./makefile\": [\n\t\t468,\n\t\t101\n\t],\n\t\"./makefile.js\": [\n\t\t468,\n\t\t101\n\t],\n\t\"./markdown\": [\n\t\t469,\n\t\t102\n\t],\n\t\"./markdown.js\": [\n\t\t469,\n\t\t102\n\t],\n\t\"./mathematica\": [\n\t\t470,\n\t\t103\n\t],\n\t\"./mathematica.js\": [\n\t\t470,\n\t\t103\n\t],\n\t\"./matlab\": [\n\t\t471,\n\t\t104\n\t],\n\t\"./matlab.js\": [\n\t\t471,\n\t\t104\n\t],\n\t\"./maxima\": [\n\t\t472,\n\t\t105\n\t],\n\t\"./maxima.js\": [\n\t\t472,\n\t\t105\n\t],\n\t\"./mel\": [\n\t\t473,\n\t\t106\n\t],\n\t\"./mel.js\": [\n\t\t473,\n\t\t106\n\t],\n\t\"./mercury\": [\n\t\t474,\n\t\t107\n\t],\n\t\"./mercury.js\": [\n\t\t474,\n\t\t107\n\t],\n\t\"./mipsasm\": [\n\t\t475,\n\t\t108\n\t],\n\t\"./mipsasm.js\": [\n\t\t475,\n\t\t108\n\t],\n\t\"./mizar\": [\n\t\t476,\n\t\t109\n\t],\n\t\"./mizar.js\": [\n\t\t476,\n\t\t109\n\t],\n\t\"./mojolicious\": [\n\t\t477,\n\t\t110\n\t],\n\t\"./mojolicious.js\": [\n\t\t477,\n\t\t110\n\t],\n\t\"./monkey\": [\n\t\t478,\n\t\t111\n\t],\n\t\"./monkey.js\": [\n\t\t478,\n\t\t111\n\t],\n\t\"./moonscript\": [\n\t\t479,\n\t\t112\n\t],\n\t\"./moonscript.js\": [\n\t\t479,\n\t\t112\n\t],\n\t\"./n1ql\": [\n\t\t480,\n\t\t113\n\t],\n\t\"./n1ql.js\": [\n\t\t480,\n\t\t113\n\t],\n\t\"./nginx\": [\n\t\t481,\n\t\t114\n\t],\n\t\"./nginx.js\": [\n\t\t481,\n\t\t114\n\t],\n\t\"./nimrod\": [\n\t\t482,\n\t\t115\n\t],\n\t\"./nimrod.js\": [\n\t\t482,\n\t\t115\n\t],\n\t\"./nix\": [\n\t\t483,\n\t\t116\n\t],\n\t\"./nix.js\": [\n\t\t483,\n\t\t116\n\t],\n\t\"./nsis\": [\n\t\t484,\n\t\t117\n\t],\n\t\"./nsis.js\": [\n\t\t484,\n\t\t117\n\t],\n\t\"./objectivec\": [\n\t\t485,\n\t\t118\n\t],\n\t\"./objectivec.js\": [\n\t\t485,\n\t\t118\n\t],\n\t\"./ocaml\": [\n\t\t486,\n\t\t119\n\t],\n\t\"./ocaml.js\": [\n\t\t486,\n\t\t119\n\t],\n\t\"./openscad\": [\n\t\t487,\n\t\t120\n\t],\n\t\"./openscad.js\": [\n\t\t487,\n\t\t120\n\t],\n\t\"./oxygene\": [\n\t\t488,\n\t\t121\n\t],\n\t\"./oxygene.js\": [\n\t\t488,\n\t\t121\n\t],\n\t\"./parser3\": [\n\t\t489,\n\t\t122\n\t],\n\t\"./parser3.js\": [\n\t\t489,\n\t\t122\n\t],\n\t\"./perl\": [\n\t\t490,\n\t\t123\n\t],\n\t\"./perl.js\": [\n\t\t490,\n\t\t123\n\t],\n\t\"./pf\": [\n\t\t491,\n\t\t124\n\t],\n\t\"./pf.js\": [\n\t\t491,\n\t\t124\n\t],\n\t\"./pgsql\": [\n\t\t492,\n\t\t125\n\t],\n\t\"./pgsql.js\": [\n\t\t492,\n\t\t125\n\t],\n\t\"./php\": [\n\t\t493,\n\t\t126\n\t],\n\t\"./php.js\": [\n\t\t493,\n\t\t126\n\t],\n\t\"./plaintext\": [\n\t\t494,\n\t\t127\n\t],\n\t\"./plaintext.js\": [\n\t\t494,\n\t\t127\n\t],\n\t\"./pony\": [\n\t\t495,\n\t\t128\n\t],\n\t\"./pony.js\": [\n\t\t495,\n\t\t128\n\t],\n\t\"./powershell\": [\n\t\t496,\n\t\t129\n\t],\n\t\"./powershell.js\": [\n\t\t496,\n\t\t129\n\t],\n\t\"./processing\": [\n\t\t497,\n\t\t130\n\t],\n\t\"./processing.js\": [\n\t\t497,\n\t\t130\n\t],\n\t\"./profile\": [\n\t\t498,\n\t\t131\n\t],\n\t\"./profile.js\": [\n\t\t498,\n\t\t131\n\t],\n\t\"./prolog\": [\n\t\t499,\n\t\t132\n\t],\n\t\"./prolog.js\": [\n\t\t499,\n\t\t132\n\t],\n\t\"./properties\": [\n\t\t500,\n\t\t133\n\t],\n\t\"./properties.js\": [\n\t\t500,\n\t\t133\n\t],\n\t\"./protobuf\": [\n\t\t501,\n\t\t134\n\t],\n\t\"./protobuf.js\": [\n\t\t501,\n\t\t134\n\t],\n\t\"./puppet\": [\n\t\t502,\n\t\t135\n\t],\n\t\"./puppet.js\": [\n\t\t502,\n\t\t135\n\t],\n\t\"./purebasic\": [\n\t\t503,\n\t\t136\n\t],\n\t\"./purebasic.js\": [\n\t\t503,\n\t\t136\n\t],\n\t\"./python\": [\n\t\t504,\n\t\t137\n\t],\n\t\"./python.js\": [\n\t\t504,\n\t\t137\n\t],\n\t\"./q\": [\n\t\t505,\n\t\t138\n\t],\n\t\"./q.js\": [\n\t\t505,\n\t\t138\n\t],\n\t\"./qml\": [\n\t\t506,\n\t\t139\n\t],\n\t\"./qml.js\": [\n\t\t506,\n\t\t139\n\t],\n\t\"./r\": [\n\t\t507,\n\t\t140\n\t],\n\t\"./r.js\": [\n\t\t507,\n\t\t140\n\t],\n\t\"./reasonml\": [\n\t\t508,\n\t\t141\n\t],\n\t\"./reasonml.js\": [\n\t\t508,\n\t\t141\n\t],\n\t\"./rib\": [\n\t\t509,\n\t\t142\n\t],\n\t\"./rib.js\": [\n\t\t509,\n\t\t142\n\t],\n\t\"./roboconf\": [\n\t\t510,\n\t\t143\n\t],\n\t\"./roboconf.js\": [\n\t\t510,\n\t\t143\n\t],\n\t\"./routeros\": [\n\t\t511,\n\t\t144\n\t],\n\t\"./routeros.js\": [\n\t\t511,\n\t\t144\n\t],\n\t\"./rsl\": [\n\t\t512,\n\t\t145\n\t],\n\t\"./rsl.js\": [\n\t\t512,\n\t\t145\n\t],\n\t\"./ruby\": [\n\t\t513,\n\t\t146\n\t],\n\t\"./ruby.js\": [\n\t\t513,\n\t\t146\n\t],\n\t\"./ruleslanguage\": [\n\t\t514,\n\t\t147\n\t],\n\t\"./ruleslanguage.js\": [\n\t\t514,\n\t\t147\n\t],\n\t\"./rust\": [\n\t\t515,\n\t\t148\n\t],\n\t\"./rust.js\": [\n\t\t515,\n\t\t148\n\t],\n\t\"./sas\": [\n\t\t516,\n\t\t149\n\t],\n\t\"./sas.js\": [\n\t\t516,\n\t\t149\n\t],\n\t\"./scala\": [\n\t\t517,\n\t\t150\n\t],\n\t\"./scala.js\": [\n\t\t517,\n\t\t150\n\t],\n\t\"./scheme\": [\n\t\t518,\n\t\t151\n\t],\n\t\"./scheme.js\": [\n\t\t518,\n\t\t151\n\t],\n\t\"./scilab\": [\n\t\t519,\n\t\t152\n\t],\n\t\"./scilab.js\": [\n\t\t519,\n\t\t152\n\t],\n\t\"./scss\": [\n\t\t520,\n\t\t153\n\t],\n\t\"./scss.js\": [\n\t\t520,\n\t\t153\n\t],\n\t\"./shell\": [\n\t\t521,\n\t\t154\n\t],\n\t\"./shell.js\": [\n\t\t521,\n\t\t154\n\t],\n\t\"./smali\": [\n\t\t522,\n\t\t155\n\t],\n\t\"./smali.js\": [\n\t\t522,\n\t\t155\n\t],\n\t\"./smalltalk\": [\n\t\t523,\n\t\t156\n\t],\n\t\"./smalltalk.js\": [\n\t\t523,\n\t\t156\n\t],\n\t\"./sml\": [\n\t\t524,\n\t\t157\n\t],\n\t\"./sml.js\": [\n\t\t524,\n\t\t157\n\t],\n\t\"./sqf\": [\n\t\t525,\n\t\t158\n\t],\n\t\"./sqf.js\": [\n\t\t525,\n\t\t158\n\t],\n\t\"./sql\": [\n\t\t526,\n\t\t159\n\t],\n\t\"./sql.js\": [\n\t\t526,\n\t\t159\n\t],\n\t\"./stan\": [\n\t\t527,\n\t\t160\n\t],\n\t\"./stan.js\": [\n\t\t527,\n\t\t160\n\t],\n\t\"./stata\": [\n\t\t528,\n\t\t161\n\t],\n\t\"./stata.js\": [\n\t\t528,\n\t\t161\n\t],\n\t\"./step21\": [\n\t\t529,\n\t\t162\n\t],\n\t\"./step21.js\": [\n\t\t529,\n\t\t162\n\t],\n\t\"./stylus\": [\n\t\t530,\n\t\t163\n\t],\n\t\"./stylus.js\": [\n\t\t530,\n\t\t163\n\t],\n\t\"./subunit\": [\n\t\t531,\n\t\t164\n\t],\n\t\"./subunit.js\": [\n\t\t531,\n\t\t164\n\t],\n\t\"./swift\": [\n\t\t532,\n\t\t165\n\t],\n\t\"./swift.js\": [\n\t\t532,\n\t\t165\n\t],\n\t\"./taggerscript\": [\n\t\t533,\n\t\t166\n\t],\n\t\"./taggerscript.js\": [\n\t\t533,\n\t\t166\n\t],\n\t\"./tap\": [\n\t\t534,\n\t\t167\n\t],\n\t\"./tap.js\": [\n\t\t534,\n\t\t167\n\t],\n\t\"./tcl\": [\n\t\t535,\n\t\t168\n\t],\n\t\"./tcl.js\": [\n\t\t535,\n\t\t168\n\t],\n\t\"./tex\": [\n\t\t536,\n\t\t169\n\t],\n\t\"./tex.js\": [\n\t\t536,\n\t\t169\n\t],\n\t\"./thrift\": [\n\t\t537,\n\t\t170\n\t],\n\t\"./thrift.js\": [\n\t\t537,\n\t\t170\n\t],\n\t\"./tp\": [\n\t\t538,\n\t\t171\n\t],\n\t\"./tp.js\": [\n\t\t538,\n\t\t171\n\t],\n\t\"./twig\": [\n\t\t539,\n\t\t172\n\t],\n\t\"./twig.js\": [\n\t\t539,\n\t\t172\n\t],\n\t\"./typescript\": [\n\t\t540,\n\t\t173\n\t],\n\t\"./typescript.js\": [\n\t\t540,\n\t\t173\n\t],\n\t\"./vala\": [\n\t\t541,\n\t\t174\n\t],\n\t\"./vala.js\": [\n\t\t541,\n\t\t174\n\t],\n\t\"./vbnet\": [\n\t\t542,\n\t\t175\n\t],\n\t\"./vbnet.js\": [\n\t\t542,\n\t\t175\n\t],\n\t\"./vbscript\": [\n\t\t544,\n\t\t176\n\t],\n\t\"./vbscript-html\": [\n\t\t543,\n\t\t177\n\t],\n\t\"./vbscript-html.js\": [\n\t\t543,\n\t\t177\n\t],\n\t\"./vbscript.js\": [\n\t\t544,\n\t\t176\n\t],\n\t\"./verilog\": [\n\t\t545,\n\t\t178\n\t],\n\t\"./verilog.js\": [\n\t\t545,\n\t\t178\n\t],\n\t\"./vhdl\": [\n\t\t546,\n\t\t179\n\t],\n\t\"./vhdl.js\": [\n\t\t546,\n\t\t179\n\t],\n\t\"./vim\": [\n\t\t547,\n\t\t180\n\t],\n\t\"./vim.js\": [\n\t\t547,\n\t\t180\n\t],\n\t\"./x86asm\": [\n\t\t548,\n\t\t181\n\t],\n\t\"./x86asm.js\": [\n\t\t548,\n\t\t181\n\t],\n\t\"./xl\": [\n\t\t549,\n\t\t182\n\t],\n\t\"./xl.js\": [\n\t\t549,\n\t\t182\n\t],\n\t\"./xml\": [\n\t\t550,\n\t\t183\n\t],\n\t\"./xml.js\": [\n\t\t550,\n\t\t183\n\t],\n\t\"./xquery\": [\n\t\t551,\n\t\t184\n\t],\n\t\"./xquery.js\": [\n\t\t551,\n\t\t184\n\t],\n\t\"./yaml\": [\n\t\t552,\n\t\t185\n\t],\n\t\"./yaml.js\": [\n\t\t552,\n\t\t185\n\t],\n\t\"./zephir\": [\n\t\t553,\n\t\t186\n\t],\n\t\"./zephir.js\": [\n\t\t553,\n\t\t186\n\t]\n};\nfunction webpackAsyncContext(req) {\n\tif(!__webpack_require__.o(map, req)) {\n\t\treturn Promise.resolve().then(function() {\n\t\t\tvar e = new Error(\"Cannot find module '\" + req + \"'\");\n\t\t\te.code = 'MODULE_NOT_FOUND';\n\t\t\tthrow e;\n\t\t});\n\t}\n\n\tvar ids = map[req], id = ids[0];\n\treturn __webpack_require__.e(ids[1]).then(function() {\n\t\treturn __webpack_require__.t(id, 7);\n\t});\n}\nwebpackAsyncContext.keys = function webpackAsyncContextKeys() {\n\treturn Object.keys(map);\n};\nwebpackAsyncContext.id = 624;\nmodule.exports = webpackAsyncContext;","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=0&id=6e21a4b1&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=0&id=6e21a4b1&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#editor-container[data-v-6e21a4b1]{display:block;width:100%;max-width:100%;height:100%;left:0;top:50px;margin:0 auto;position:relative;background-color:var(--color-main-background)}#editor-wrapper[data-v-6e21a4b1]{display:flex;width:100%;height:100%;overflow:hidden;position:absolute}#editor-wrapper .ProseMirror[data-v-6e21a4b1]{margin-top:0 !important}#editor-wrapper.icon-loading #editor[data-v-6e21a4b1]{opacity:0.3}#editor[data-v-6e21a4b1],.editor[data-v-6e21a4b1]{background:var(--color-main-background);color:var(--color-main-text);background-clip:padding-box;border-radius:var(--border-radius);padding:0;position:relative;overflow-y:auto;overflow-x:hidden;width:100%}.msg.icon-error[data-v-6e21a4b1]{padding:12px;border-bottom:1px solid var(--color-border);padding-left:30px;background-position:8px center}.save-status[data-v-6e21a4b1]{padding:9px;text-overflow:ellipsis;color:var(--color-text-lighter)}.save-status.error[data-v-6e21a4b1]{background-color:var(--color-error);color:var(--color-main-background);border-radius:3px}#editor-container #editor-wrapper.has-conflicts[data-v-6e21a4b1]{height:calc(100% - 50px)}#editor-container #editor-wrapper.has-conflicts #editor[data-v-6e21a4b1],#editor-container #editor-wrapper.has-conflicts #read-only-editor[data-v-6e21a4b1]{width:50%;height:100%}#editor-session-list[data-v-6e21a4b1]{padding:4px 16px 4px 4px;display:flex}#editor-session-list input[data-v-6e21a4b1],#editor-session-list div[data-v-6e21a4b1]{vertical-align:middle;margin-left:3px}.editor__content[data-v-6e21a4b1]{max-width:670px;margin:auto;position:relative}#body-public[data-v-6e21a4b1]{height:auto}#files-public-content[data-v-6e21a4b1]{height:auto}#files-public-content #editor-wrapper[data-v-6e21a4b1]{position:relative}#files-public-content #editor-container[data-v-6e21a4b1]{top:0;width:100%}#files-public-content #editor-container #editor[data-v-6e21a4b1] .menubar{position:fixed;top:50px;width:100%}#files-public-content #editor-container #editor[data-v-6e21a4b1]{padding-top:50px;overflow:auto}#files-public-content #editor-container .has-conflicts #editor[data-v-6e21a4b1]{padding-top:0px}.ie #editor[data-v-6e21a4b1] .menubar{position:fixed;top:50px;width:100%}.ie .editor__content[data-v-6e21a4b1] .ProseMirror{padding-top:50px}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=1&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./EditorWrapper.vue?vue&type=style&index=1&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \".modal-container #editor-container{position:absolute}.ProseMirror-hideselection *::selection{background:transparent;color:var(--color-main-text)}.ProseMirror-hideselection *::-moz-selection{background:transparent;color:var(--color-main-text)}.ProseMirror-hideselection{caret-color:transparent;color:var(--color-main-text)}.ProseMirror-selectednode{outline:2px solid #8cf}li.ProseMirror-selectednode{outline:none}li.ProseMirror-selectednode:after{content:\\\"\\\";position:absolute;left:-32px;right:-2px;top:-2px;bottom:-2px;border:2px solid #8cf;pointer-events:none}.has-conflicts .ProseMirror-menubar,#editor-wrapper.icon-loading .ProseMirror-menubar{display:none}.ProseMirror-gapcursor{display:none;pointer-events:none;position:absolute}.ProseMirror-gapcursor:after{content:\\\"\\\";display:block;position:absolute;top:-2px;width:20px;border-top:1px solid var(--color-main-text);animation:ProseMirror-cursor-blink 1.1s steps(2, start) infinite}@keyframes ProseMirror-cursor-blink{to{visibility:hidden}}#editor-wrapper div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}#editor-wrapper div.ProseMirror[contenteditable=true],#editor-wrapper div.ProseMirror[contenteditable=false],#editor-wrapper div.ProseMirror [contenteditable=true],#editor-wrapper div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}#editor-wrapper div.ProseMirror .checkbox-item{display:flex;align-items:start}#editor-wrapper div.ProseMirror .checkbox-item input[type=checkbox]{display:none}#editor-wrapper div.ProseMirror .checkbox-item:before{content:'';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:\\\"\\\";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}#editor-wrapper div.ProseMirror .checkbox-item.checked:before{background-image:url(\\\"/core/css/../img/actions/checkbox-mark.svg\\\");background-color:var(--color-primary-element)}#editor-wrapper div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}#editor-wrapper div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}#editor-wrapper div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}#editor-wrapper div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}#editor-wrapper div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}#editor-wrapper div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}#editor-wrapper div.ProseMirror p:first-child,#editor-wrapper div.ProseMirror h1:first-child,#editor-wrapper div.ProseMirror h2:first-child,#editor-wrapper div.ProseMirror h3:first-child,#editor-wrapper div.ProseMirror h4:first-child,#editor-wrapper div.ProseMirror h5:first-child,#editor-wrapper div.ProseMirror h6:first-child{margin-top:10px}#editor-wrapper div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}#editor-wrapper div.ProseMirror p{margin-bottom:1em;line-height:150%}#editor-wrapper div.ProseMirror em{font-style:italic}#editor-wrapper div.ProseMirror h1,#editor-wrapper div.ProseMirror h2,#editor-wrapper div.ProseMirror h3,#editor-wrapper div.ProseMirror h4,#editor-wrapper div.ProseMirror h5,#editor-wrapper div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}#editor-wrapper div.ProseMirror h1{font-size:36px;margin-top:48px}#editor-wrapper div.ProseMirror h2{font-size:28px;margin-top:48px}#editor-wrapper div.ProseMirror h3{font-size:24px}#editor-wrapper div.ProseMirror h4{font-size:21px}#editor-wrapper div.ProseMirror h5{font-size:17px}#editor-wrapper div.ProseMirror h6{font-size:14px}#editor-wrapper div.ProseMirror img{cursor:default;max-width:100%}#editor-wrapper div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}#editor-wrapper div.ProseMirror hr:after{content:\\\"\\\";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}#editor-wrapper div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}#editor-wrapper div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}#editor-wrapper div.ProseMirror li{position:relative}#editor-wrapper div.ProseMirror ul,#editor-wrapper div.ProseMirror ol{padding-left:10px;margin-left:10px}#editor-wrapper div.ProseMirror ul li{list-style-type:disc}#editor-wrapper div.ProseMirror ul>li>ul>li{list-style-type:circle}#editor-wrapper div.ProseMirror ul>li>ul>li ul li{list-style-type:square}#editor-wrapper div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}#editor-wrapper .ProseMirror-focused .ProseMirror-gapcursor{display:block}#editor-wrapper .editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}#editor-wrapper:not(.richEditor) .ProseMirror pre{background-color:var(--color-main-background)}#editor-wrapper:not(.richEditor) .ProseMirror pre::before{content:attr(data-language);text-transform:uppercase;display:block;text-align:right;font-weight:bold;font-size:0.6rem}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-comment,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-quote{color:#999999}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-variable,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-template-variable,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-attribute,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-tag,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-name,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-regexp,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-link,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-id,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-class{color:#f2777a}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-number,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-meta,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-built_in,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-builtin-name,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-literal,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-type,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-params{color:#f99157}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-string,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-symbol,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-bullet{color:#99cc99}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-title,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-section{color:#ffcc66}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-keyword,#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-selector-tag{color:#6699cc}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-emphasis{font-style:italic}#editor-wrapper:not(.richEditor) .ProseMirror pre code .hljs-strong{font-weight:700}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./DirectEditing.vue?vue&type=style&index=0&id=3ea77884&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./DirectEditing.vue?vue&type=style&index=0&id=3ea77884&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"body[data-v-3ea77884]{position:fixed}#direct-editor[data-v-3ea77884]{width:100%;height:100%;position:fixed;overflow:hidden}#direct-editor[data-v-3ea77884] #editor-container{height:100%;top:0}#direct-editor[data-v-3ea77884] #editor-wrapper div.ProseMirror{margin-top:0}pre[data-v-3ea77884]{width:100%;max-width:700px;margin:auto;background-color:var(--color-background-dark)}button[data-v-3ea77884]{width:44px;height:44px;margin:0;background-size:16px;border:0;background-color:transparent;opacity:.5;color:var(--color-main-text);background-position:center center;vertical-align:top}button[data-v-3ea77884]:hover,button[data-v-3ea77884]:focus,button[data-v-3ea77884]:active{background-color:var(--color-background-dark)}button.is-active[data-v-3ea77884],button[data-v-3ea77884]:hover,button[data-v-3ea77884]:focus{opacity:1}button.icon-undo[data-v-3ea77884],button.icon-redo[data-v-3ea77884]{opacity:.4}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=0&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=0&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#read-only-editor{overflow:scroll}#read-only-editor div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}#read-only-editor div.ProseMirror[contenteditable=true],#read-only-editor div.ProseMirror[contenteditable=false],#read-only-editor div.ProseMirror [contenteditable=true],#read-only-editor div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}#read-only-editor div.ProseMirror .checkbox-item{display:flex;align-items:start}#read-only-editor div.ProseMirror .checkbox-item input[type=checkbox]{display:none}#read-only-editor div.ProseMirror .checkbox-item:before{content:'';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:\\\"\\\";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}#read-only-editor div.ProseMirror .checkbox-item.checked:before{background-image:url(\\\"/core/css/../img/actions/checkbox-mark.svg\\\");background-color:var(--color-primary-element)}#read-only-editor div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}#read-only-editor div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}#read-only-editor div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}#read-only-editor div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}#read-only-editor div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}#read-only-editor div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}#read-only-editor div.ProseMirror p:first-child,#read-only-editor div.ProseMirror h1:first-child,#read-only-editor div.ProseMirror h2:first-child,#read-only-editor div.ProseMirror h3:first-child,#read-only-editor div.ProseMirror h4:first-child,#read-only-editor div.ProseMirror h5:first-child,#read-only-editor div.ProseMirror h6:first-child{margin-top:10px}#read-only-editor div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}#read-only-editor div.ProseMirror p{margin-bottom:1em;line-height:150%}#read-only-editor div.ProseMirror em{font-style:italic}#read-only-editor div.ProseMirror h1,#read-only-editor div.ProseMirror h2,#read-only-editor div.ProseMirror h3,#read-only-editor div.ProseMirror h4,#read-only-editor div.ProseMirror h5,#read-only-editor div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}#read-only-editor div.ProseMirror h1{font-size:36px;margin-top:48px}#read-only-editor div.ProseMirror h2{font-size:28px;margin-top:48px}#read-only-editor div.ProseMirror h3{font-size:24px}#read-only-editor div.ProseMirror h4{font-size:21px}#read-only-editor div.ProseMirror h5{font-size:17px}#read-only-editor div.ProseMirror h6{font-size:14px}#read-only-editor div.ProseMirror img{cursor:default;max-width:100%}#read-only-editor div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}#read-only-editor div.ProseMirror hr:after{content:\\\"\\\";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}#read-only-editor div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}#read-only-editor div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}#read-only-editor div.ProseMirror li{position:relative}#read-only-editor div.ProseMirror ul,#read-only-editor div.ProseMirror ol{padding-left:10px;margin-left:10px}#read-only-editor div.ProseMirror ul li{list-style-type:disc}#read-only-editor div.ProseMirror ul>li>ul>li{list-style-type:circle}#read-only-editor div.ProseMirror ul>li>ul>li ul li{list-style-type:square}#read-only-editor div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}#read-only-editor .ProseMirror-focused .ProseMirror-gapcursor{display:block}#read-only-editor .editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}.thumbnailContainer #read-only-editor{width:100%}.thumbnailContainer #read-only-editor .ProseMirror{height:auto;margin:0 0 0 0;padding:0}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=1&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=style&index=1&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"div.ProseMirror{margin-top:44px;height:100%;position:relative;word-wrap:break-word;white-space:pre-wrap;-webkit-font-variant-ligatures:none;font-variant-ligatures:none;padding:4px 8px 200px 14px;line-height:150%;font-size:14px;outline:none}div.ProseMirror[contenteditable=true],div.ProseMirror[contenteditable=false],div.ProseMirror [contenteditable=true],div.ProseMirror [contenteditable=false]{border:none !important;width:100%;background-color:transparent;color:var(--color-main-text);opacity:1;-webkit-user-select:text;user-select:text;font-size:14px}div.ProseMirror .checkbox-item{display:flex;align-items:start}div.ProseMirror .checkbox-item input[type=checkbox]{display:none}div.ProseMirror .checkbox-item:before{content:'';display:inline-block;height:14px;width:14px;vertical-align:middle;border-radius:50%;margin:0 6px 3px 3px;margin-left:3px;border:1px solid #878787;content:\\\"\\\";position:relative;width:44px;height:44px;display:block;border-radius:1px;height:14px;width:14px;box-shadow:none !important;background-position:center}div.ProseMirror .checkbox-item.checked:before{background-image:url(\\\"/core/css/../img/actions/checkbox-mark.svg\\\");background-color:var(--color-primary-element)}div.ProseMirror .checkbox-item label{display:block;flex-grow:1;max-width:calc(100% - 28px)}div.ProseMirror .checkbox-item label>*:first-child{margin-top:0}div.ProseMirror li label.checkbox-label{width:100%;display:flex;margin-top:10px;margin-bottom:1em}div.ProseMirror li label.checkbox-label:before{position:relative;top:2px}div.ProseMirror li label.checkbox-label div.checkbox-wrapper{margin-bottom:-1em;width:100%}div.ProseMirror li label.checkbox-label div.checkbox-wrapper>p{margin-top:-1px;margin-bottom:0;padding-bottom:10px}div.ProseMirror p:first-child,div.ProseMirror h1:first-child,div.ProseMirror h2:first-child,div.ProseMirror h3:first-child,div.ProseMirror h4:first-child,div.ProseMirror h5:first-child,div.ProseMirror h6:first-child{margin-top:10px}div.ProseMirror a{color:var(--color-primary-element);text-decoration:underline;padding:.5em 0}div.ProseMirror p{margin-bottom:1em;line-height:150%}div.ProseMirror em{font-style:italic}div.ProseMirror h1,div.ProseMirror h2,div.ProseMirror h3,div.ProseMirror h4,div.ProseMirror h5,div.ProseMirror h6{font-weight:600;line-height:120%;margin-top:24px;margin-bottom:12px;color:var(--color-main-text)}div.ProseMirror h1{font-size:36px;margin-top:48px}div.ProseMirror h2{font-size:28px;margin-top:48px}div.ProseMirror h3{font-size:24px}div.ProseMirror h4{font-size:21px}div.ProseMirror h5{font-size:17px}div.ProseMirror h6{font-size:14px}div.ProseMirror img{cursor:default;max-width:100%}div.ProseMirror hr{padding:2px 0;border:none;margin:1em 0;width:100%}div.ProseMirror hr:after{content:\\\"\\\";display:block;height:1px;background-color:var(--color-border-dark);line-height:2px}div.ProseMirror pre{white-space:pre;overflow-x:auto;background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:1em 1.3em;margin-bottom:1em}div.ProseMirror p code{background-color:var(--color-background-dark);border-radius:var(--border-radius);padding:.1em .3em}div.ProseMirror li{position:relative}div.ProseMirror ul,div.ProseMirror ol{padding-left:10px;margin-left:10px}div.ProseMirror ul li{list-style-type:disc}div.ProseMirror ul>li>ul>li{list-style-type:circle}div.ProseMirror ul>li>ul>li ul li{list-style-type:square}div.ProseMirror blockquote{padding-left:1em;border-left:4px solid var(--color-primary-element);color:var(--color-text-maxcontrast);margin-left:0;margin-right:0}.ProseMirror-focused .ProseMirror-gapcursor{display:block}.editor__content p.is-empty:first-child::before{content:attr(data-empty-text);float:left;color:var(--color-text-maxcontrast);pointer-events:none;height:0}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./CollisionResolveDialog.vue?vue&type=style&index=0&id=7fd0186f&scoped=true&lang=scss&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./CollisionResolveDialog.vue?vue&type=style&index=0&id=7fd0186f&scoped=true&lang=scss&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#resolve-conflicts[data-v-7fd0186f]{display:flex;position:fixed;z-index:10000;bottom:0;max-width:900px;width:100vw;margin:auto;padding:20px 0}#resolve-conflicts button[data-v-7fd0186f]{margin:auto;box-shadow:0 0 10px var(--color-box-shadow)}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return (_vm.editor)?_c('EditorContent',{attrs:{\"id\":\"read-only-editor\",\"editor\":_vm.editor}}):_vm._e()}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ReadOnlyEditor.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<EditorContent v-if=\"editor\" id=\"read-only-editor\" :editor=\"editor\" />\n</template>\n\n<script>\nimport { EditorContent } from 'tiptap'\nimport escapeHtml from 'escape-html'\nimport { createEditor, markdownit } from '../EditorFactory'\n\nexport default {\n\tname: 'ReadOnlyEditor',\n\tcomponents: { EditorContent },\n\tprops: {\n\t\tcontent: {\n\t\t\ttype: String,\n\t\t\trequired: true,\n\t\t},\n\t\tisRichEditor: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: true,\n\t\t},\n\t},\n\tdata: () => {\n\t\treturn {\n\t\t\teditor: null,\n\t\t}\n\t},\n\tmounted() {\n\t\tthis.editor = createEditor({\n\t\t\tcontent: this.isRichEditor ? markdownit.render(this.content) : '<pre>' + escapeHtml(this.content) + '</pre>',\n\t\t\tenableRichEditing: this.isRichEditor,\n\t\t})\n\t\tthis.editor.setOptions({ editable: false })\n\t},\n\tbeforeDestroy() {\n\t\tthis.editor.destroy()\n\t},\n}\n</script>\n\n<style lang=\"scss\">\n\n\t#read-only-editor {\n\t\t@import './../../css/prosemirror';\n\t\toverflow: scroll;\n\t}\n\n\t.thumbnailContainer #read-only-editor {\n\t\twidth: 100%;\n\n\t\t.ProseMirror {\n\t\t\theight: auto;\n\t\t\tmargin: 0 0 0 0;\n\t\t\tpadding: 0;\n\t\t}\n\t}\n\n</style>\n<style lang=\"scss\">\n\t@import './../../css/prosemirror';\n</style>\n","import { render, staticRenderFns } from \"./ReadOnlyEditor.vue?vue&type=template&id=67962a1a&\"\nimport script from \"./ReadOnlyEditor.vue?vue&type=script&lang=js&\"\nexport * from \"./ReadOnlyEditor.vue?vue&type=script&lang=js&\"\nimport style0 from \"./ReadOnlyEditor.vue?vue&type=style&index=0&lang=scss&\"\nimport style1 from \"./ReadOnlyEditor.vue?vue&type=style&index=1&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n null,\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{staticClass:\"collision-resolve-dialog\",attrs:{\"id\":\"resolve-conflicts\"}},[_c('button',{on:{\"click\":function($event){return _vm.$emit('resolveUseThisVersion')}}},[_vm._v(\"\\n\\t\\t\"+_vm._s(_vm.t('text', 'Use current version'))+\"\\n\\t\")]),_vm._v(\" \"),_c('button',{on:{\"click\":function($event){return _vm.$emit('resolveUseServerVersion')}}},[_vm._v(\"\\n\\t\\t\"+_vm._s(_vm.t('text', 'Use the saved version'))+\"\\n\\t\")])])}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./CollisionResolveDialog.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./CollisionResolveDialog.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div id=\"resolve-conflicts\" class=\"collision-resolve-dialog\">\n\t\t<button @click=\"$emit('resolveUseThisVersion')\">\n\t\t\t{{ t('text', 'Use current version') }}\n\t\t</button>\n\t\t<button @click=\"$emit('resolveUseServerVersion')\">\n\t\t\t{{ t('text', 'Use the saved version') }}\n\t\t</button>\n\t</div>\n</template>\n\n<script>\nexport default {\n\tname: 'CollisionResolveDialog',\n}\n</script>\n\n<style scoped lang=\"scss\">\n\t#resolve-conflicts {\n\t\tdisplay: flex;\n\t\tposition: fixed;\n\t\tz-index: 10000;\n\t\tbottom: 0;\n\t\tmax-width: 900px;\n\t\twidth: 100vw;\n\t\tmargin: auto;\n\t\tpadding: 20px 0;\n\n\t\tbutton {\n\t\t\tmargin: auto;\n\t\t\tbox-shadow: 0 0 10px var(--color-box-shadow);\n\t\t}\n\t}\n</style>\n","import { render, staticRenderFns } from \"./CollisionResolveDialog.vue?vue&type=template&id=7fd0186f&scoped=true&\"\nimport script from \"./CollisionResolveDialog.vue?vue&type=script&lang=js&\"\nexport * from \"./CollisionResolveDialog.vue?vue&type=script&lang=js&\"\nimport style0 from \"./CollisionResolveDialog.vue?vue&type=style&index=0&id=7fd0186f&scoped=true&lang=scss&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"7fd0186f\",\n null\n \n)\n\nexport default component.exports"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/files-modal.js b/js/files-modal.js
index 42cb59ac1..321dcfccf 100644
--- a/js/files-modal.js
+++ b/js/files-modal.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[194],{669:function(e,t,l){"use strict";l.r(t);var a=l(632),i={name:"PublicFilesEditor",components:{Modal:l.n(a).a,EditorWrapper:function(){return Promise.all([l.e(0),l.e(188),l.e(197),l.e(189)]).then(l.bind(null,176))}},props:{fileId:{type:Number,default:null},relativePath:{type:String,default:null},active:{type:Boolean,default:!1},shareToken:{type:String,default:null},mimeType:{type:String,default:null}},computed:{fileName:function(){return this.relativePath.substring(this.relativePath.lastIndexOf("/")+1)}},methods:{close:function(){this.active=!1}}},n=l(18),r=Object(n.a)(i,(function(){var e=this,t=e.$createElement,l=e._self._c||t;return e.active?l("Modal",{attrs:{title:e.fileName},on:{close:e.close}},[l("EditorWrapper",{attrs:{"file-id":e.fileId,"relative-path":e.relativePath,active:e.active,"share-token":e.shareToken,mime:e.mimeType}})],1):e._e()}),[],!1,null,null,null);t.default=r.exports}}]);
-//# sourceMappingURL=files-modal.js.map?v=a005c419ab452b870d8d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[194],{669:function(e,t,l){"use strict";l.r(t);var a=l(633),i={name:"PublicFilesEditor",components:{Modal:l.n(a).a,EditorWrapper:function(){return Promise.all([l.e(0),l.e(188),l.e(197),l.e(189)]).then(l.bind(null,176))}},props:{fileId:{type:Number,default:null},relativePath:{type:String,default:null},active:{type:Boolean,default:!1},shareToken:{type:String,default:null},mimeType:{type:String,default:null}},computed:{fileName:function(){return this.relativePath.substring(this.relativePath.lastIndexOf("/")+1)}},methods:{close:function(){this.active=!1}}},n=l(18),r=Object(n.a)(i,(function(){var e=this,t=e.$createElement,l=e._self._c||t;return e.active?l("Modal",{attrs:{title:e.fileName},on:{close:e.close}},[l("EditorWrapper",{attrs:{"file-id":e.fileId,"relative-path":e.relativePath,active:e.active,"share-token":e.shareToken,mime:e.mimeType}})],1):e._e()}),[],!1,null,null,null);t.default=r.exports}}]);
+//# sourceMappingURL=files-modal.js.map?v=10f4a3e4df93b5fd8e9a \ No newline at end of file
diff --git a/js/files-modal.js.map b/js/files-modal.js.map
index 58b7989cf..3a99a9aab 100644
--- a/js/files-modal.js.map
+++ b/js/files-modal.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./src/components/PublicFilesEditor.vue?e78f","webpack:///./src/components/PublicFilesEditor.vue?431a","webpack:///src/components/PublicFilesEditor.vue","webpack:///./src/components/PublicFilesEditor.vue"],"names":["component","_vm","this","_h","$createElement","_c","_self","attrs","fileName","on","close","fileId","relativePath","active","shareToken","mimeType","_e"],"mappings":"2GAAA,I,SCAiM,ECmCjM,CACA,yBACA,YACA,M,OAAA,EACA,0GAEA,OACA,QACA,YACA,cAEA,cACA,YACA,cAEA,QACA,aACA,YAEA,YACA,YACA,cAEA,UACA,YACA,eAGA,UACA,SADA,WAEA,2EAGA,SACA,MADA,WAEA,kB,QC/DIA,EAAY,YACd,GHRW,WAAa,IAAIC,EAAIC,KAASC,EAAGF,EAAIG,eAAmBC,EAAGJ,EAAIK,MAAMD,IAAIF,EAAG,OAAQF,EAAU,OAAEI,EAAG,QAAQ,CAACE,MAAM,CAAC,MAAQN,EAAIO,UAAUC,GAAG,CAAC,MAAQR,EAAIS,QAAQ,CAACL,EAAG,gBAAgB,CAACE,MAAM,CAAC,UAAUN,EAAIU,OAAO,gBAAgBV,EAAIW,aAAa,OAASX,EAAIY,OAAO,cAAcZ,EAAIa,WAAW,KAAOb,EAAIc,aAAa,GAAGd,EAAIe,OAC1T,IGUpB,EACA,KACA,KACA,MAIa,UAAAhB,E","file":"files-modal.js?v=a005c419ab452b870d8d","sourcesContent":["var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return (_vm.active)?_c('Modal',{attrs:{\"title\":_vm.fileName},on:{\"close\":_vm.close}},[_c('EditorWrapper',{attrs:{\"file-id\":_vm.fileId,\"relative-path\":_vm.relativePath,\"active\":_vm.active,\"share-token\":_vm.shareToken,\"mime\":_vm.mimeType}})],1):_vm._e()}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./PublicFilesEditor.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./PublicFilesEditor.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<Modal v-if=\"active\" :title=\"fileName\" @close=\"close\">\n\t\t<EditorWrapper :file-id=\"fileId\"\n\t\t\t:relative-path=\"relativePath\"\n\t\t\t:active=\"active\"\n\t\t\t:share-token=\"shareToken\"\n\t\t\t:mime=\"mimeType\" />\n\t</Modal>\n</template>\n\n<script>\nimport Modal from '@nextcloud/vue/dist/Components/Modal'\n\nexport default {\n\tname: 'PublicFilesEditor',\n\tcomponents: {\n\t\tModal,\n\t\tEditorWrapper: () => import(/* webpackChunkName: \"editor\" */'./EditorWrapper'),\n\t},\n\tprops: {\n\t\tfileId: {\n\t\t\ttype: Number,\n\t\t\tdefault: null,\n\t\t},\n\t\trelativePath: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tactive: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tshareToken: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tmimeType: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t},\n\tcomputed: {\n\t\tfileName() {\n\t\t\treturn this.relativePath.substring(this.relativePath.lastIndexOf('/') + 1)\n\t\t},\n\t},\n\tmethods: {\n\t\tclose() {\n\t\t\tthis.active = false\n\t\t},\n\t},\n}\n</script>\n","import { render, staticRenderFns } from \"./PublicFilesEditor.vue?vue&type=template&id=602647cd&\"\nimport script from \"./PublicFilesEditor.vue?vue&type=script&lang=js&\"\nexport * from \"./PublicFilesEditor.vue?vue&type=script&lang=js&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n null,\n null\n \n)\n\nexport default component.exports"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./src/components/PublicFilesEditor.vue?e78f","webpack:///./src/components/PublicFilesEditor.vue?431a","webpack:///src/components/PublicFilesEditor.vue","webpack:///./src/components/PublicFilesEditor.vue"],"names":["component","_vm","this","_h","$createElement","_c","_self","attrs","fileName","on","close","fileId","relativePath","active","shareToken","mimeType","_e"],"mappings":"2GAAA,I,SCAiM,ECmCjM,CACA,yBACA,YACA,M,OAAA,EACA,0GAEA,OACA,QACA,YACA,cAEA,cACA,YACA,cAEA,QACA,aACA,YAEA,YACA,YACA,cAEA,UACA,YACA,eAGA,UACA,SADA,WAEA,2EAGA,SACA,MADA,WAEA,kB,QC/DIA,EAAY,YACd,GHRW,WAAa,IAAIC,EAAIC,KAASC,EAAGF,EAAIG,eAAmBC,EAAGJ,EAAIK,MAAMD,IAAIF,EAAG,OAAQF,EAAU,OAAEI,EAAG,QAAQ,CAACE,MAAM,CAAC,MAAQN,EAAIO,UAAUC,GAAG,CAAC,MAAQR,EAAIS,QAAQ,CAACL,EAAG,gBAAgB,CAACE,MAAM,CAAC,UAAUN,EAAIU,OAAO,gBAAgBV,EAAIW,aAAa,OAASX,EAAIY,OAAO,cAAcZ,EAAIa,WAAW,KAAOb,EAAIc,aAAa,GAAGd,EAAIe,OAC1T,IGUpB,EACA,KACA,KACA,MAIa,UAAAhB,E","file":"files-modal.js?v=10f4a3e4df93b5fd8e9a","sourcesContent":["var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return (_vm.active)?_c('Modal',{attrs:{\"title\":_vm.fileName},on:{\"close\":_vm.close}},[_c('EditorWrapper',{attrs:{\"file-id\":_vm.fileId,\"relative-path\":_vm.relativePath,\"active\":_vm.active,\"share-token\":_vm.shareToken,\"mime\":_vm.mimeType}})],1):_vm._e()}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./PublicFilesEditor.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./PublicFilesEditor.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<Modal v-if=\"active\" :title=\"fileName\" @close=\"close\">\n\t\t<EditorWrapper :file-id=\"fileId\"\n\t\t\t:relative-path=\"relativePath\"\n\t\t\t:active=\"active\"\n\t\t\t:share-token=\"shareToken\"\n\t\t\t:mime=\"mimeType\" />\n\t</Modal>\n</template>\n\n<script>\nimport Modal from '@nextcloud/vue/dist/Components/Modal'\n\nexport default {\n\tname: 'PublicFilesEditor',\n\tcomponents: {\n\t\tModal,\n\t\tEditorWrapper: () => import(/* webpackChunkName: \"editor\" */'./EditorWrapper'),\n\t},\n\tprops: {\n\t\tfileId: {\n\t\t\ttype: Number,\n\t\t\tdefault: null,\n\t\t},\n\t\trelativePath: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tactive: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tshareToken: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tmimeType: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t},\n\tcomputed: {\n\t\tfileName() {\n\t\t\treturn this.relativePath.substring(this.relativePath.lastIndexOf('/') + 1)\n\t\t},\n\t},\n\tmethods: {\n\t\tclose() {\n\t\t\tthis.active = false\n\t\t},\n\t},\n}\n</script>\n","import { render, staticRenderFns } from \"./PublicFilesEditor.vue?vue&type=template&id=602647cd&\"\nimport script from \"./PublicFilesEditor.vue?vue&type=script&lang=js&\"\nexport * from \"./PublicFilesEditor.vue?vue&type=script&lang=js&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n null,\n null\n \n)\n\nexport default component.exports"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/files.js b/js/files.js
index efb0c13d7..7780f76c4 100644
--- a/js/files.js
+++ b/js/files.js
@@ -1,4 +1,4 @@
-!function(e){function t(t){for(var n,i,o=t[0],a=t[1],s=0,u=[];s<o.length;s++)i=o[s],Object.prototype.hasOwnProperty.call(r,i)&&r[i]&&u.push(r[i][0]),r[i]=0;for(n in a)Object.prototype.hasOwnProperty.call(a,n)&&(e[n]=a[n]);for(c&&c(t);u.length;)u.shift()()}var n={},r={193:0,0:0};function i(t){if(n[t])return n[t].exports;var r=n[t]={i:t,l:!1,exports:{}};return e[t].call(r.exports,r,r.exports,i),r.l=!0,r.exports}i.e=function(e){var t=[],n=r[e];if(0!==n)if(n)t.push(n[2]);else{var o=new Promise((function(t,i){n=r[e]=[t,i]}));t.push(n[2]=o);var a,s=document.createElement("script");s.charset="utf-8",s.timeout=120,i.nc&&s.setAttribute("nonce",i.nc),s.src=function(e){return i.p+""+({0:"vendor",1:"vendors~editor-collab~editor-guest~editor-rich~files-modal",2:"highlight/1c",3:"highlight/abnf",4:"highlight/accesslog",5:"highlight/actionscript",6:"highlight/ada",7:"highlight/angelscript",8:"highlight/apache",9:"highlight/applescript",10:"highlight/arcade",11:"highlight/arduino",12:"highlight/armasm",13:"highlight/asciidoc",14:"highlight/aspectj",15:"highlight/autohotkey",16:"highlight/autoit",17:"highlight/avrasm",18:"highlight/awk",19:"highlight/axapta",20:"highlight/bash",21:"highlight/basic",22:"highlight/bnf",23:"highlight/brainfuck",24:"highlight/cal",25:"highlight/capnproto",26:"highlight/ceylon",27:"highlight/clean",28:"highlight/clojure",29:"highlight/clojure-repl",30:"highlight/cmake",31:"highlight/coffeescript",32:"highlight/coq",33:"highlight/cos",34:"highlight/cpp",35:"highlight/crmsh",36:"highlight/crystal",37:"highlight/cs",38:"highlight/csp",39:"highlight/css",40:"highlight/d",41:"highlight/dart",42:"highlight/delphi",43:"highlight/diff",44:"highlight/django",45:"highlight/dns",46:"highlight/dockerfile",47:"highlight/dos",48:"highlight/dsconfig",49:"highlight/dts",50:"highlight/dust",51:"highlight/ebnf",52:"highlight/elixir",53:"highlight/elm",54:"highlight/erb",55:"highlight/erlang",56:"highlight/erlang-repl",57:"highlight/excel",58:"highlight/fix",59:"highlight/flix",60:"highlight/fortran",61:"highlight/fsharp",62:"highlight/gams",63:"highlight/gauss",64:"highlight/gcode",65:"highlight/gherkin",66:"highlight/glsl",67:"highlight/gml",68:"highlight/go",69:"highlight/golo",70:"highlight/gradle",71:"highlight/groovy",72:"highlight/haml",73:"highlight/handlebars",74:"highlight/haskell",75:"highlight/haxe",76:"highlight/hsp",77:"highlight/htmlbars",78:"highlight/http",79:"highlight/hy",80:"highlight/inform7",81:"highlight/ini",82:"highlight/irpf90",83:"highlight/isbl",84:"highlight/java",85:"highlight/javascript",86:"highlight/jboss-cli",87:"highlight/json",88:"highlight/julia",89:"highlight/julia-repl",90:"highlight/kotlin",91:"highlight/lasso",92:"highlight/ldif",93:"highlight/leaf",94:"highlight/less",95:"highlight/lisp",96:"highlight/livecodeserver",97:"highlight/livescript",98:"highlight/llvm",99:"highlight/lsl",100:"highlight/lua",101:"highlight/makefile",102:"highlight/markdown",103:"highlight/mathematica",104:"highlight/matlab",105:"highlight/maxima",106:"highlight/mel",107:"highlight/mercury",108:"highlight/mipsasm",109:"highlight/mizar",110:"highlight/mojolicious",111:"highlight/monkey",112:"highlight/moonscript",113:"highlight/n1ql",114:"highlight/nginx",115:"highlight/nimrod",116:"highlight/nix",117:"highlight/nsis",118:"highlight/objectivec",119:"highlight/ocaml",120:"highlight/openscad",121:"highlight/oxygene",122:"highlight/parser3",123:"highlight/perl",124:"highlight/pf",125:"highlight/pgsql",126:"highlight/php",127:"highlight/plaintext",128:"highlight/pony",129:"highlight/powershell",130:"highlight/processing",131:"highlight/profile",132:"highlight/prolog",133:"highlight/properties",134:"highlight/protobuf",135:"highlight/puppet",136:"highlight/purebasic",137:"highlight/python",138:"highlight/q",139:"highlight/qml",140:"highlight/r",141:"highlight/reasonml",142:"highlight/rib",143:"highlight/roboconf",144:"highlight/routeros",145:"highlight/rsl",146:"highlight/ruby",147:"highlight/ruleslanguage",148:"highlight/rust",149:"highlight/sas",150:"highlight/scala",151:"highlight/scheme",152:"highlight/scilab",153:"highlight/scss",154:"highlight/shell",155:"highlight/smali",156:"highlight/smalltalk",157:"highlight/sml",158:"highlight/sqf",159:"highlight/sql",160:"highlight/stan",161:"highlight/stata",162:"highlight/step21",163:"highlight/stylus",164:"highlight/subunit",165:"highlight/swift",166:"highlight/taggerscript",167:"highlight/tap",168:"highlight/tcl",169:"highlight/tex",170:"highlight/thrift",171:"highlight/tp",172:"highlight/twig",173:"highlight/typescript",174:"highlight/vala",175:"highlight/vbnet",176:"highlight/vbscript",177:"highlight/vbscript-html",178:"highlight/verilog",179:"highlight/vhdl",180:"highlight/vim",181:"highlight/x86asm",182:"highlight/xl",183:"highlight/xml",184:"highlight/xquery",185:"highlight/yaml",186:"highlight/zephir",187:"vendors~editor-collab~editor-guest",188:"vendors~editor~files-modal",189:"editor",190:"editor-collab",191:"editor-guest",192:"editor-rich",194:"files-modal",197:"vendors~editor",198:"vendors~editor-rich",199:"vendors~files-modal"}[e]||e)+".js?v="+{0:"ed141b91c3a00e287c4c",1:"b526403ab64d5164f29b",2:"9cc569982de7443f974e",3:"8934502764debdbf47fe",4:"a95440520bd82b11c0f3",5:"f8b1f84d39c3d1f1e3bd",6:"e656029399ef6f83160c",7:"104479551edd172ad73e",8:"fbb59dc9fb3be5109bcc",9:"5e2e0f261e76c85d3a9d",10:"f349d212d16773240eeb",11:"c7f7bc33077eaff25b13",12:"b9f39d176581d2a05635",13:"827bcc9381bce9f2a12b",14:"3a2c10b412d047a60bd8",15:"feb1d1e2c65a332af9bf",16:"0cba5e452dfcc760d998",17:"670f9f95aaff5410673d",18:"8908b67ae2d46f588034",19:"e0f104cf2339dde93596",20:"61cc9cd76682ca7d6c9c",21:"5edbbab081eede46fe8d",22:"c308a241ae1a184f9629",23:"69a1d83d373c8e516a75",24:"b7ddfebfd6277dccb1ab",25:"74038533676091b4e205",26:"04943cf8159d3a6b7e7c",27:"d10832fa81004232164f",28:"c3e78ed772be50fe99d6",29:"cb9bd3633080a139fafc",30:"bfc695841df82d72642c",31:"68737ba6bd067e9713bd",32:"8edf814709e41a621c00",33:"e6c665aab6af75c3d662",34:"146c01012c96f04cb22e",35:"8d010e787091697e7890",36:"6ff571801a33c4c3d23f",37:"c96931208c9707ba550d",38:"06cc48e69fd68d1ce5af",39:"30d1fed026d1d9dd19d9",40:"804b4b3ff6753c8c1c43",41:"e66fee2b0448bc1f6a9c",42:"5da15a69249959cbdb60",43:"ab32283b9049df8d470b",44:"b053b56cf0aae271b5ee",45:"625803695a16972bdd7d",46:"3bc9854c21810d4e263a",47:"c12e545c5010d19f0a58",48:"8efbb91ad56c3968ed1f",49:"92d947b623617aa3eece",50:"7cea281ba25ba8179ba6",51:"efbdc8a740fc5f59526a",52:"0a0dc45473581e3c61a4",53:"7f111a57a9520e4338e6",54:"6ccc1724f23c9880b1af",55:"1db2104639486ce6a886",56:"0ea1e64f690e80a51ef8",57:"f7d5755284641d7499f6",58:"ff4b8a653e14b25452ee",59:"e9a31c00d950fd74fd30",60:"7b9d865da00740ad6235",61:"e52ae1f393a85870b0db",62:"80b8ca041bdcc1368596",63:"bb78d535d122c148ce9f",64:"c938bd5783c1cba9271e",65:"34f40631f4912e010eb4",66:"4a5b068975eb4fe5fc1a",67:"8603d4cd1b8ec3850173",68:"9184313b6a16567d122d",69:"e849b1f0d657b6bcedcc",70:"db86e40009abe2cb833f",71:"f2c66ec3181a23a20bb3",72:"8e8b1a6c7418a162ca0c",73:"7f8238c2027977ba846f",74:"8d4d5c447105b87a1561",75:"e083aa45046ae27dd8f9",76:"2e120e96171c74f2c192",77:"e2fad7bd884a27dbd116",78:"98335291648e3efa80a0",79:"b68c0a22eafb43ae73f2",80:"5901c54722f00ddb0fff",81:"0c070095cd563fb283f9",82:"fec83e19cc60434e549e",83:"1dbac8b292d7170cad4f",84:"e951995b14ff42ec76d5",85:"e0920c0f4c233fc35617",86:"909664c829c66b37ea84",87:"b505e6d42584ab0c7485",88:"e1bf63845c6da47deabd",89:"dc7ad4072726ba046f85",90:"8db560e208052754ae35",91:"5d5ecb397905792cd093",92:"f0cb3fbeaac6d1eef3d4",93:"4a0551bc1bc454a08f23",94:"20b8bc8ae962f4e3e0d3",95:"2b754318c79c4732d941",96:"6683a8df27a1e8e548cd",97:"2a3b108b77565b4b13ce",98:"de8eeeb74721bdcb4f62",99:"3e02aba5fc7b6b630780",100:"f4717f9fd32db6f93186",101:"7d08093791d0dd523e0a",102:"c87b4d23ef01e373209c",103:"bfcff5869f59f182fad6",104:"2ee9b6533b8cb874f361",105:"046dd34749d3b80b55b8",106:"216bf44da3da1098cda3",107:"ea4494b7e22551cecffb",108:"85abbb968434f2b20037",109:"82f47ef94dd9d67243a3",110:"646a52273319f1a0360e",111:"79c7c5fb901ec2f5afba",112:"259c468782b9a9863fc3",113:"819e9f111e7e6fcc1b0c",114:"9e45882f2d58060a2f02",115:"930e05a008dfa1d6ac01",116:"941a6c647f5f859f2333",117:"ff1f31092556ed517fc8",118:"11b6edb8bf4fc34b0bee",119:"26257cd9b184fb1a5c03",120:"7acd43d1048beb6cd2a2",121:"078b873ba9e78fa502da",122:"88c7a8cd25f6944801f9",123:"f8bf6d3c46f3315f43ed",124:"d6fb6a80350420c0a6f9",125:"cac13aff011c525bc9d7",126:"0eb2c247aae16b02f68d",127:"498081833cfcab05f826",128:"e4396af24945aecbd6d6",129:"0cf6db049788858d088d",130:"ffb6de30b7f89545e60c",131:"7cbb5b2fb0da3a51e144",132:"8108bc3f700a95263091",133:"a559582eda72a2e1f541",134:"88f6a3bcc077da83c858",135:"99676849ca57736cf88c",136:"21fd409ca5694de6309f",137:"297b9577b7cfff307bf0",138:"72ed8b5fc8665e5f06a9",139:"c0c830adc1a56d1b859d",140:"6c3dc37fcd75ccd3ec9b",141:"696ba59a793c33686d03",142:"16409453f26eabc69e8e",143:"597706970806bd69104f",144:"c16c9e14a4fae991856e",145:"0fb08bc1cfc2e430eaff",146:"e121f914ab1ce1f732ae",147:"84abdf1e13c43bb56fce",148:"daf2a80f2e0a56bedf82",149:"ba794444b6b6c17f490b",150:"cddb8e9bdb96da263c4f",151:"4ac4a448fb305c6aa2d1",152:"430c663b16533ace64db",153:"6e2c220181580676a4c1",154:"1b3adb8f912ffbac3be6",155:"8f1c1ff1344ff05a9a6d",156:"0e1ca20397f17d390f66",157:"9759864c5643a000f457",158:"f5d83762546e331af60e",159:"9e602e4836c8d4411632",160:"b78635dc2335bb0f7020",161:"0709e61532b44bbb912d",162:"3b98eda3d9a0629bc5d2",163:"c872d3944a2bc3799059",164:"2fe4efa9d54da3cab486",165:"db5cdb7a129af4b1f4bb",166:"2808c62683ede878eeeb",167:"aa7ff5d3fc416ad1d3c4",168:"b5af61b0f40933752a8c",169:"6f1f5567056e9ef490ba",170:"87cf85eff150505675ca",171:"f2411c2c74270ce81d52",172:"1e761e47062a79129dfc",173:"8ee2ac43425e97a68b30",174:"c596ef91f72126723c09",175:"2f85db1ca98a0a0b2e08",176:"b63fadbabeeffa3e7297",177:"a7ac21a917bbd8e7c5bf",178:"f999bb4dba18d55d2701",179:"534165910a2e66f505b5",180:"0930b39394e5c4e5b9c4",181:"c14e03ac28d97e1a2d6f",182:"79466549c0c67fec7bbc",183:"106ae920216b54c5ba56",184:"08968ac1851deb2d5eaa",185:"f59154c9d8046c81c18d",186:"5a89a2d882980e9f48e1",187:"12d203d6dad17366477c",188:"52bb514bab8d0c1423ed",189:"b9981ca8a64832b3b257",190:"bbfda5a02511351a232c",191:"e1bf59729a8b74be4d0d",192:"e548ee7cc67f1a27e8c9",194:"a005c419ab452b870d8d",197:"120d4b01f30f8b7368ba",198:"934bbece9404fd23da49",199:"3520de68cd23fc6b8a5b"}[e]}(e);var c=new Error;a=function(t){s.onerror=s.onload=null,clearTimeout(u);var n=r[e];if(0!==n){if(n){var i=t&&("load"===t.type?"missing":t.type),o=t&&t.target&&t.target.src;c.message="Loading chunk "+e+" failed.\n("+i+": "+o+")",c.name="ChunkLoadError",c.type=i,c.request=o,n[1](c)}r[e]=void 0}};var u=setTimeout((function(){a({type:"timeout",target:s})}),12e4);s.onerror=s.onload=a,document.head.appendChild(s)}return Promise.all(t)},i.m=e,i.c=n,i.d=function(e,t,n){i.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},i.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},i.t=function(e,t){if(1&t&&(e=i(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(i.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var r in e)i.d(n,r,function(t){return e[t]}.bind(null,r));return n},i.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return i.d(t,"a",t),t},i.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},i.p="/js/",i.oe=function(e){throw console.error(e),e};var o=window.textWebpackJsonp=window.textWebpackJsonp||[],a=o.push.bind(o);o.push=t,o=o.slice();for(var s=0;s<o.length;s++)t(o[s]);var c=a;i(i.s=174)}([function(e,t){e.exports=function(e){try{return!!e()}catch(e){return!0}}},function(e,t,n){var r=n(2),i=n(72),o=n(4),a=n(39),s=n(88),c=n(147),u=i("wks"),l=r.Symbol,f=c?l:l&&l.withoutSetter||a;e.exports=function(e){return o(u,e)||(s&&o(l,e)?u[e]=l[e]:u[e]=f("Symbol."+e)),u[e]}},function(e,t,n){(function(t){var n=function(e){return e&&e.Math==Math&&e};e.exports=n("object"==typeof globalThis&&globalThis)||n("object"==typeof window&&window)||n("object"==typeof self&&self)||n("object"==typeof t&&t)||Function("return this")()}).call(this,n(46))},function(e,t,n){"use strict";var r=n(77),i=Object.prototype.toString;function o(e){return"[object Array]"===i.call(e)}function a(e){return void 0===e}function s(e){return null!==e&&"object"==typeof e}function c(e){return"[object Function]"===i.call(e)}function u(e,t){if(null!=e)if("object"!=typeof e&&(e=[e]),o(e))for(var n=0,r=e.length;n<r;n++)t.call(null,e[n],n,e);else for(var i in e)Object.prototype.hasOwnProperty.call(e,i)&&t.call(null,e[i],i,e)}e.exports={isArray:o,isArrayBuffer:function(e){return"[object ArrayBuffer]"===i.call(e)},isBuffer:function(e){return null!==e&&!a(e)&&null!==e.constructor&&!a(e.constructor)&&"function"==typeof e.constructor.isBuffer&&e.constructor.isBuffer(e)},isFormData:function(e){return"undefined"!=typeof FormData&&e instanceof FormData},isArrayBufferView:function(e){return"undefined"!=typeof ArrayBuffer&&ArrayBuffer.isView?ArrayBuffer.isView(e):e&&e.buffer&&e.buffer instanceof ArrayBuffer},isString:function(e){return"string"==typeof e},isNumber:function(e){return"number"==typeof e},isObject:s,isUndefined:a,isDate:function(e){return"[object Date]"===i.call(e)},isFile:function(e){return"[object File]"===i.call(e)},isBlob:function(e){return"[object Blob]"===i.call(e)},isFunction:c,isStream:function(e){return s(e)&&c(e.pipe)},isURLSearchParams:function(e){return"undefined"!=typeof URLSearchParams&&e instanceof URLSearchParams},isStandardBrowserEnv:function(){return("undefined"==typeof navigator||"ReactNative"!==navigator.product&&"NativeScript"!==navigator.product&&"NS"!==navigator.product)&&("undefined"!=typeof window&&"undefined"!=typeof document)},forEach:u,merge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]=n}for(var r=0,i=arguments.length;r<i;r++)u(arguments[r],n);return t},deepMerge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]="object"==typeof n?e({},n):n}for(var r=0,i=arguments.length;r<i;r++)u(arguments[r],n);return t},extend:function(e,t,n){return u(t,(function(t,i){e[i]=n&&"function"==typeof t?r(t,n):t})),e},trim:function(e){return e.replace(/^\s*/,"").replace(/\s*$/,"")}}},function(e,t){var n={}.hasOwnProperty;e.exports=function(e,t){return n.call(e,t)}},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e))throw TypeError(String(e)+" is not an object");return e}},function(e,t){e.exports=function(e){return"object"==typeof e?null!==e:"function"==typeof e}},function(e,t,n){var r=n(0);e.exports=!r((function(){return 7!=Object.defineProperty({},1,{get:function(){return 7}})[1]}))},function(e,t,n){var r=n(7),i=n(70),o=n(5),a=n(34),s=Object.defineProperty;t.f=r?s:function(e,t,n){if(o(e),t=a(t,!0),o(n),i)try{return s(e,t,n)}catch(e){}if("get"in n||"set"in n)throw TypeError("Accessors not supported");return"value"in n&&(e[t]=n.value),e}},function(e,t,n){var r=n(7),i=n(8),o=n(24);e.exports=r?function(e,t,n){return i.f(e,t,o(1,n))}:function(e,t,n){return e[t]=n,e}},function(e,t,n){var r=n(2),i=n(54).f,o=n(9),a=n(12),s=n(37),c=n(126),u=n(55);e.exports=function(e,t){var n,l,f,d,p,h=e.target,v=e.global,g=e.stat;if(n=v?r:g?r[h]||s(h,{}):(r[h]||{}).prototype)for(l in t){if(d=t[l],f=e.noTargetGet?(p=i(n,l))&&p.value:n[l],!u(v?l:h+(g?".":"#")+l,e.forced)&&void 0!==f){if(typeof d==typeof f)continue;c(d,f)}(e.sham||f&&f.sham)&&o(d,"sham",!0),a(n,l,d,e)}}},function(e,t,n){"use strict";n(103),n(58),n(51),n(53),n(99),n(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getRootUrl=t.generateFilePath=t.imagePath=t.generateUrl=t.generateOcsUrl=t.generateRemoteUrl=t.linkTo=void 0;t.linkTo=function(e,t){return r(e,"",t)};t.generateRemoteUrl=function(e){return window.location.protocol+"//"+window.location.host+function(e){return i()+"/remote.php/"+e}(e)};t.generateOcsUrl=function(e,t){return t=2!==t?1:2,window.location.protocol+"//"+window.location.host+i()+"/ocs/v"+t+".php/"+e+"/"};t.generateUrl=function(e,t,n){var r=Object.assign({escape:!0,noRewrite:!1},n||{}),o=function(e,t){return t=t||{},e.replace(/{([^{}]*)}/g,(function(e,n){var i=t[n];return r.escape?"string"==typeof i||"number"==typeof i?encodeURIComponent(i.toString()):encodeURIComponent(e):"string"==typeof i||"number"==typeof i?i.toString():e}))};return"/"!==e.charAt(0)&&(e="/"+e),!0!==OC.config.modRewriteWorking||r.noRewrite?i()+"/index.php"+o(e,t||{}):i()+o(e,t||{})};t.imagePath=function(e,t){return-1===t.indexOf(".")?r(e,"img",t+".svg"):r(e,"img",t)};var r=function(e,t,n){var r=-1!==OC.coreApps.indexOf(e),o=i();return"php"!==n.substring(n.length-3)||r?"php"===n.substring(n.length-3)||r?(o+="settings"!==e&&"core"!==e&&"search"!==e||"ajax"!==t?"/":"/index.php/",r||(o+="apps/"),""!==e&&(o+=e+="/"),t&&(o+=t+"/"),o+=n):(o=OC.appswebroots[e],t&&(o+="/"+t+"/"),"/"!==o.substring(o.length-1)&&(o+="/"),o+=n):(o+="/index.php/apps/"+e,"index.php"!==n&&(o+="/",t&&(o+=encodeURI(t+"/")),o+=n)),o};t.generateFilePath=r;var i=function(){return OC.webroot};t.getRootUrl=i},function(e,t,n){var r=n(2),i=n(9),o=n(4),a=n(37),s=n(62),c=n(20),u=c.get,l=c.enforce,f=String(String).split("String");(e.exports=function(e,t,n,s){var c=!!s&&!!s.unsafe,u=!!s&&!!s.enumerable,d=!!s&&!!s.noTargetGet;"function"==typeof n&&("string"!=typeof t||o(n,"name")||i(n,"name",t),l(n).source=f.join("string"==typeof t?t:"")),e!==r?(c?!d&&e[t]&&(u=!0):delete e[t],u?e[t]=n:i(e,t,n)):u?e[t]=n:a(t,n)})(Function.prototype,"toString",(function(){return"function"==typeof this&&u(this).source||s(this)}))},function(e,t,n){"use strict";n.d(t,"a",(function(){return o})),n.d(t,"b",(function(){return r})),n.d(t,"c",(function(){return i}));
+!function(e){function t(t){for(var n,i,o=t[0],a=t[1],s=0,u=[];s<o.length;s++)i=o[s],Object.prototype.hasOwnProperty.call(r,i)&&r[i]&&u.push(r[i][0]),r[i]=0;for(n in a)Object.prototype.hasOwnProperty.call(a,n)&&(e[n]=a[n]);for(c&&c(t);u.length;)u.shift()()}var n={},r={193:0,0:0};function i(t){if(n[t])return n[t].exports;var r=n[t]={i:t,l:!1,exports:{}};return e[t].call(r.exports,r,r.exports,i),r.l=!0,r.exports}i.e=function(e){var t=[],n=r[e];if(0!==n)if(n)t.push(n[2]);else{var o=new Promise((function(t,i){n=r[e]=[t,i]}));t.push(n[2]=o);var a,s=document.createElement("script");s.charset="utf-8",s.timeout=120,i.nc&&s.setAttribute("nonce",i.nc),s.src=function(e){return i.p+""+({0:"vendor",1:"vendors~editor-collab~editor-guest~editor-rich~files-modal",2:"highlight/1c",3:"highlight/abnf",4:"highlight/accesslog",5:"highlight/actionscript",6:"highlight/ada",7:"highlight/angelscript",8:"highlight/apache",9:"highlight/applescript",10:"highlight/arcade",11:"highlight/arduino",12:"highlight/armasm",13:"highlight/asciidoc",14:"highlight/aspectj",15:"highlight/autohotkey",16:"highlight/autoit",17:"highlight/avrasm",18:"highlight/awk",19:"highlight/axapta",20:"highlight/bash",21:"highlight/basic",22:"highlight/bnf",23:"highlight/brainfuck",24:"highlight/cal",25:"highlight/capnproto",26:"highlight/ceylon",27:"highlight/clean",28:"highlight/clojure",29:"highlight/clojure-repl",30:"highlight/cmake",31:"highlight/coffeescript",32:"highlight/coq",33:"highlight/cos",34:"highlight/cpp",35:"highlight/crmsh",36:"highlight/crystal",37:"highlight/cs",38:"highlight/csp",39:"highlight/css",40:"highlight/d",41:"highlight/dart",42:"highlight/delphi",43:"highlight/diff",44:"highlight/django",45:"highlight/dns",46:"highlight/dockerfile",47:"highlight/dos",48:"highlight/dsconfig",49:"highlight/dts",50:"highlight/dust",51:"highlight/ebnf",52:"highlight/elixir",53:"highlight/elm",54:"highlight/erb",55:"highlight/erlang",56:"highlight/erlang-repl",57:"highlight/excel",58:"highlight/fix",59:"highlight/flix",60:"highlight/fortran",61:"highlight/fsharp",62:"highlight/gams",63:"highlight/gauss",64:"highlight/gcode",65:"highlight/gherkin",66:"highlight/glsl",67:"highlight/gml",68:"highlight/go",69:"highlight/golo",70:"highlight/gradle",71:"highlight/groovy",72:"highlight/haml",73:"highlight/handlebars",74:"highlight/haskell",75:"highlight/haxe",76:"highlight/hsp",77:"highlight/htmlbars",78:"highlight/http",79:"highlight/hy",80:"highlight/inform7",81:"highlight/ini",82:"highlight/irpf90",83:"highlight/isbl",84:"highlight/java",85:"highlight/javascript",86:"highlight/jboss-cli",87:"highlight/json",88:"highlight/julia",89:"highlight/julia-repl",90:"highlight/kotlin",91:"highlight/lasso",92:"highlight/ldif",93:"highlight/leaf",94:"highlight/less",95:"highlight/lisp",96:"highlight/livecodeserver",97:"highlight/livescript",98:"highlight/llvm",99:"highlight/lsl",100:"highlight/lua",101:"highlight/makefile",102:"highlight/markdown",103:"highlight/mathematica",104:"highlight/matlab",105:"highlight/maxima",106:"highlight/mel",107:"highlight/mercury",108:"highlight/mipsasm",109:"highlight/mizar",110:"highlight/mojolicious",111:"highlight/monkey",112:"highlight/moonscript",113:"highlight/n1ql",114:"highlight/nginx",115:"highlight/nimrod",116:"highlight/nix",117:"highlight/nsis",118:"highlight/objectivec",119:"highlight/ocaml",120:"highlight/openscad",121:"highlight/oxygene",122:"highlight/parser3",123:"highlight/perl",124:"highlight/pf",125:"highlight/pgsql",126:"highlight/php",127:"highlight/plaintext",128:"highlight/pony",129:"highlight/powershell",130:"highlight/processing",131:"highlight/profile",132:"highlight/prolog",133:"highlight/properties",134:"highlight/protobuf",135:"highlight/puppet",136:"highlight/purebasic",137:"highlight/python",138:"highlight/q",139:"highlight/qml",140:"highlight/r",141:"highlight/reasonml",142:"highlight/rib",143:"highlight/roboconf",144:"highlight/routeros",145:"highlight/rsl",146:"highlight/ruby",147:"highlight/ruleslanguage",148:"highlight/rust",149:"highlight/sas",150:"highlight/scala",151:"highlight/scheme",152:"highlight/scilab",153:"highlight/scss",154:"highlight/shell",155:"highlight/smali",156:"highlight/smalltalk",157:"highlight/sml",158:"highlight/sqf",159:"highlight/sql",160:"highlight/stan",161:"highlight/stata",162:"highlight/step21",163:"highlight/stylus",164:"highlight/subunit",165:"highlight/swift",166:"highlight/taggerscript",167:"highlight/tap",168:"highlight/tcl",169:"highlight/tex",170:"highlight/thrift",171:"highlight/tp",172:"highlight/twig",173:"highlight/typescript",174:"highlight/vala",175:"highlight/vbnet",176:"highlight/vbscript",177:"highlight/vbscript-html",178:"highlight/verilog",179:"highlight/vhdl",180:"highlight/vim",181:"highlight/x86asm",182:"highlight/xl",183:"highlight/xml",184:"highlight/xquery",185:"highlight/yaml",186:"highlight/zephir",187:"vendors~editor-collab~editor-guest",188:"vendors~editor~files-modal",189:"editor",190:"editor-collab",191:"editor-guest",192:"editor-rich",194:"files-modal",197:"vendors~editor",198:"vendors~editor-rich",199:"vendors~files-modal"}[e]||e)+".js?v="+{0:"b6a87e35c196cb18a193",1:"feb5918c44017d3ba256",2:"071b3beac1c09e6dc1f3",3:"02b6bf25401bff70e1ce",4:"06349a10fcba1fef2b08",5:"6f038c3b24b5205c8575",6:"facf339fc268c8300b58",7:"af2f947766977426f44f",8:"3a8af9aa690812789651",9:"3fa6a2dd879cbdba71ff",10:"24c8182859e17c1c1fca",11:"3cc7f34e3359919e423e",12:"c0eb0a244d0cb39704e6",13:"ca2812e6dfd669b9ed9e",14:"80494a33a16258364dd1",15:"eb67f56dc4c79e97cfb0",16:"b1c2c197768faebf2efc",17:"03440adea0408be2ab13",18:"f14a658a37d21c4300ae",19:"06a1e9a27797e6036fb9",20:"63e8c97d235cf8f2ef15",21:"05e2ec59a02550149906",22:"4d6660fa6ec96528db4a",23:"bb63714d6fef384603dd",24:"6f4fd50b6a017394d4ef",25:"407e150d0cddfc330a11",26:"ce3ee1e47a64b4c9d026",27:"2d664db16483c452e781",28:"a3a920317593707a929f",29:"1202ed203adda685cb20",30:"09e7844c496dacc56656",31:"3cdfa9538f890fa46bb1",32:"ebfcf67e77ab98acbcd1",33:"b8d0058fb8891ff39f37",34:"30d813319f34a0cddfeb",35:"1f15edbd9461b481ce4c",36:"897ee94b0f1b39f3d24a",37:"f492adc31805a0412549",38:"fe3bd1b963f4b889cf8e",39:"239e072113e160b67e91",40:"f39807cf7bdaf8526a15",41:"9b1df6b3d1dd1115e1e8",42:"09b2d711deb25f958472",43:"0a4af5e0ac26e74fb458",44:"eca9bda3374febe1408f",45:"bfd30fc702acf9b5b234",46:"459bafbfedeedec393f2",47:"e8fe346d7dd1651dc9fc",48:"a7a41eca2b432ecb456c",49:"78f8dd3917437cc93e18",50:"ee32b8fb945aa398bec1",51:"bcbee3a03044a8950774",52:"7b450fbefd2e3706ec70",53:"2926d9f5b9996ea173dc",54:"528937053c9973030a88",55:"2b7f25a3f54ef89fa6e3",56:"9260d01a166e0b5a57a6",57:"be8879bc01887c64e79b",58:"996d2460737c6c1b0e81",59:"9a35d6dc0ded8bce2582",60:"a4301b687567a5797eae",61:"fa47cb385b5375960fea",62:"9a0bf8a54e622f03528d",63:"3cee1c94793426c6fe0c",64:"10f4e9c5ca4207cf2739",65:"3985cce434296c7eb5c2",66:"f199328703a109721e77",67:"3e195b8b02db26ba5c7a",68:"8ba3db8152267cfaeb1b",69:"2dbea908dd7c4a0e74a1",70:"c4208dc434db3ef75467",71:"1bea4a55bf6330dd337c",72:"5403fd41ba6dadfb0069",73:"c6726e29b97cafb89aa2",74:"b50375f6d30f874d112b",75:"18918d37d2462dedbe24",76:"104df33b1e29b92c7e05",77:"230f0f7e75220878d753",78:"3620b0f6777c0d97d088",79:"e5519677d9528132d1b2",80:"6a6088db96341850bc6c",81:"5e0594b511ddf2286b2b",82:"a5f154fc0aec26d1af48",83:"4fea3cfbb47bcfc112f0",84:"6365dabe44f0ef66ded3",85:"0e1f9cfb325c38778c49",86:"737c3cc7bf7ec7e294eb",87:"829ab49f163323ee3597",88:"8dd0066b61f6587f1521",89:"8e6c3e163fdfd0858593",90:"908502cdb9c2f5fa2f14",91:"bb9a0eb8fe9fc64468cd",92:"b691a0396ca5de03ccd0",93:"9b1fcbab9c153d40a96b",94:"40d3ddabc330eb532413",95:"6bf858fab0e8d2e0283c",96:"4fe5a2c2f2d3e9759ff9",97:"12080a42229846062a9e",98:"53196626494a177837ab",99:"e6b4f915ea180b8d3b8a",100:"3a5f0e3c9c3b2d169fa5",101:"f20d61205575024461a4",102:"c176b1db2cd4865699c5",103:"483c94b31a85fa90f286",104:"e8ce50b033797edb4dab",105:"fac046a1f51230c115ad",106:"93c1bcf6baa80a731571",107:"414e423c5fed49ecb7a7",108:"9d5609deeea481d092a0",109:"6a29b8b667b4e9803831",110:"85f3d6a6fa8c94b59441",111:"4a3f216687a251cca431",112:"55d2478df875c48f0655",113:"3eadea73e515fd20b16e",114:"49b0f012825d96845ad4",115:"614d2341ad81de37a0a4",116:"543a514ee50dabba2c30",117:"5399d10990ccbbed2bc7",118:"dc7961e08feeaf5cdc07",119:"a02034d17acf2dfff765",120:"1a3f064db30c34258c09",121:"ba5037471c571831e853",122:"339b50117d4d287cf385",123:"bd941caa5d2f53d1d4b0",124:"07ab8fb74ff9bea845d5",125:"d9951f80e532cb8787b6",126:"8e97e0f2a5e2dbf035c8",127:"fbdfa92af2a410a157a5",128:"646d098d07d6d4da4fed",129:"3b4d9a58526a7741dcc7",130:"7948820b23eb0c62404f",131:"fdf3ed035e0369367eeb",132:"cdd8ccb02fffc1349738",133:"233db3ec5ccf7b2f5678",134:"3bf8fd57b29d88129b8f",135:"c492283b4dd2f97fcc07",136:"6c054c58d6a66b30d59f",137:"84414e9cc771f4cd88cf",138:"cfc52fa64ad538a93956",139:"a084023e960fba8dd47a",140:"10eec06a86dfeed3f165",141:"fe58cb5669ed32875a14",142:"58da31919b9b8a36647c",143:"6f6f8504ef26f304d74a",144:"98591744cbe1686b2e09",145:"54ebb876ef10f26f55f1",146:"cd038323b8dc2746f9ff",147:"ed71a0b324668c95b36d",148:"a3b4445f438d4ad1a303",149:"e42f265b747fb02b2df0",150:"fdb9f0a690d8cedb78c0",151:"4b3f9672baef038f2fbb",152:"4ed66f30d02c3d664950",153:"96dd81098350c7a5d92f",154:"1f4a8a17e05fbb11d688",155:"e3e6a82a8a5388a962b5",156:"1ca3a978cfdc9b49c6ec",157:"5da35776878ca5d6695f",158:"c99e663a86f2f2812d8c",159:"90c3cd67631dc8669de1",160:"9dd3a4734930fcff429e",161:"e4dfcdbed07b2fc923a4",162:"27eb26d60b4ed52a8cfe",163:"56a827ad5ecdb729d8e0",164:"77e46e77ce7f848e97e0",165:"e53f833abdedc4f118f9",166:"2e6a7aab79d8f765e78d",167:"82205764ac320b4a5907",168:"e5184691fd9e113a0b51",169:"57946c72e9f255a3184d",170:"b299c11dfb1a95970d0e",171:"d2822f4dfe393b7f225f",172:"be02ab9602ea44f5b8b7",173:"3edb6a685de2ba7d9067",174:"4b5209018d66028ae9d5",175:"e77f394c4ae6478b2c82",176:"63548036ec688dd55835",177:"73060daa5328bd173d80",178:"3f5c84f2ffb2818d5b42",179:"ba92adfe7ff24de3a002",180:"b85fdd69c3163b23cd4f",181:"f0569dd5247f481195bd",182:"f957f27aa1e0aad8b63e",183:"b4ed61a972de16719173",184:"b4400b1574e079783a8c",185:"5bccbb3a33a0c2898445",186:"b7ed8a9b4a93f789e4bd",187:"c9868841dbd0da14f4c2",188:"941e6f21e484ae4d0350",189:"6ee3c36190dc54802157",190:"7113b14aff5f5f406e65",191:"18fd63d85d2025596fb4",192:"e3894d020f96c922f4df",194:"10f4a3e4df93b5fd8e9a",197:"4ca0f6ac0902477b585a",198:"29d438f918f0be3868ae",199:"974d8a5edd0f4a8a98d0"}[e]}(e);var c=new Error;a=function(t){s.onerror=s.onload=null,clearTimeout(u);var n=r[e];if(0!==n){if(n){var i=t&&("load"===t.type?"missing":t.type),o=t&&t.target&&t.target.src;c.message="Loading chunk "+e+" failed.\n("+i+": "+o+")",c.name="ChunkLoadError",c.type=i,c.request=o,n[1](c)}r[e]=void 0}};var u=setTimeout((function(){a({type:"timeout",target:s})}),12e4);s.onerror=s.onload=a,document.head.appendChild(s)}return Promise.all(t)},i.m=e,i.c=n,i.d=function(e,t,n){i.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},i.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},i.t=function(e,t){if(1&t&&(e=i(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(i.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var r in e)i.d(n,r,function(t){return e[t]}.bind(null,r));return n},i.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return i.d(t,"a",t),t},i.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},i.p="/js/",i.oe=function(e){throw console.error(e),e};var o=window.textWebpackJsonp=window.textWebpackJsonp||[],a=o.push.bind(o);o.push=t,o=o.slice();for(var s=0;s<o.length;s++)t(o[s]);var c=a;i(i.s=174)}([function(e,t){e.exports=function(e){try{return!!e()}catch(e){return!0}}},function(e,t,n){var r=n(2),i=n(72),o=n(4),a=n(39),s=n(88),c=n(147),u=i("wks"),l=r.Symbol,f=c?l:l&&l.withoutSetter||a;e.exports=function(e){return o(u,e)||(s&&o(l,e)?u[e]=l[e]:u[e]=f("Symbol."+e)),u[e]}},function(e,t,n){(function(t){var n=function(e){return e&&e.Math==Math&&e};e.exports=n("object"==typeof globalThis&&globalThis)||n("object"==typeof window&&window)||n("object"==typeof self&&self)||n("object"==typeof t&&t)||Function("return this")()}).call(this,n(46))},function(e,t,n){"use strict";var r=n(77),i=Object.prototype.toString;function o(e){return"[object Array]"===i.call(e)}function a(e){return void 0===e}function s(e){return null!==e&&"object"==typeof e}function c(e){return"[object Function]"===i.call(e)}function u(e,t){if(null!=e)if("object"!=typeof e&&(e=[e]),o(e))for(var n=0,r=e.length;n<r;n++)t.call(null,e[n],n,e);else for(var i in e)Object.prototype.hasOwnProperty.call(e,i)&&t.call(null,e[i],i,e)}e.exports={isArray:o,isArrayBuffer:function(e){return"[object ArrayBuffer]"===i.call(e)},isBuffer:function(e){return null!==e&&!a(e)&&null!==e.constructor&&!a(e.constructor)&&"function"==typeof e.constructor.isBuffer&&e.constructor.isBuffer(e)},isFormData:function(e){return"undefined"!=typeof FormData&&e instanceof FormData},isArrayBufferView:function(e){return"undefined"!=typeof ArrayBuffer&&ArrayBuffer.isView?ArrayBuffer.isView(e):e&&e.buffer&&e.buffer instanceof ArrayBuffer},isString:function(e){return"string"==typeof e},isNumber:function(e){return"number"==typeof e},isObject:s,isUndefined:a,isDate:function(e){return"[object Date]"===i.call(e)},isFile:function(e){return"[object File]"===i.call(e)},isBlob:function(e){return"[object Blob]"===i.call(e)},isFunction:c,isStream:function(e){return s(e)&&c(e.pipe)},isURLSearchParams:function(e){return"undefined"!=typeof URLSearchParams&&e instanceof URLSearchParams},isStandardBrowserEnv:function(){return("undefined"==typeof navigator||"ReactNative"!==navigator.product&&"NativeScript"!==navigator.product&&"NS"!==navigator.product)&&("undefined"!=typeof window&&"undefined"!=typeof document)},forEach:u,merge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]=n}for(var r=0,i=arguments.length;r<i;r++)u(arguments[r],n);return t},deepMerge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]="object"==typeof n?e({},n):n}for(var r=0,i=arguments.length;r<i;r++)u(arguments[r],n);return t},extend:function(e,t,n){return u(t,(function(t,i){e[i]=n&&"function"==typeof t?r(t,n):t})),e},trim:function(e){return e.replace(/^\s*/,"").replace(/\s*$/,"")}}},function(e,t){var n={}.hasOwnProperty;e.exports=function(e,t){return n.call(e,t)}},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e))throw TypeError(String(e)+" is not an object");return e}},function(e,t){e.exports=function(e){return"object"==typeof e?null!==e:"function"==typeof e}},function(e,t,n){var r=n(0);e.exports=!r((function(){return 7!=Object.defineProperty({},1,{get:function(){return 7}})[1]}))},function(e,t,n){var r=n(7),i=n(70),o=n(5),a=n(34),s=Object.defineProperty;t.f=r?s:function(e,t,n){if(o(e),t=a(t,!0),o(n),i)try{return s(e,t,n)}catch(e){}if("get"in n||"set"in n)throw TypeError("Accessors not supported");return"value"in n&&(e[t]=n.value),e}},function(e,t,n){var r=n(7),i=n(8),o=n(24);e.exports=r?function(e,t,n){return i.f(e,t,o(1,n))}:function(e,t,n){return e[t]=n,e}},function(e,t,n){var r=n(2),i=n(54).f,o=n(9),a=n(12),s=n(37),c=n(126),u=n(55);e.exports=function(e,t){var n,l,f,d,p,h=e.target,v=e.global,g=e.stat;if(n=v?r:g?r[h]||s(h,{}):(r[h]||{}).prototype)for(l in t){if(d=t[l],f=e.noTargetGet?(p=i(n,l))&&p.value:n[l],!u(v?l:h+(g?".":"#")+l,e.forced)&&void 0!==f){if(typeof d==typeof f)continue;c(d,f)}(e.sham||f&&f.sham)&&o(d,"sham",!0),a(n,l,d,e)}}},function(e,t,n){"use strict";n(103),n(58),n(51),n(53),n(99),n(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getRootUrl=t.generateFilePath=t.imagePath=t.generateUrl=t.generateOcsUrl=t.generateRemoteUrl=t.linkTo=void 0;t.linkTo=function(e,t){return r(e,"",t)};t.generateRemoteUrl=function(e){return window.location.protocol+"//"+window.location.host+function(e){return i()+"/remote.php/"+e}(e)};t.generateOcsUrl=function(e,t){return t=2!==t?1:2,window.location.protocol+"//"+window.location.host+i()+"/ocs/v"+t+".php/"+e+"/"};t.generateUrl=function(e,t,n){var r=Object.assign({escape:!0,noRewrite:!1},n||{}),o=function(e,t){return t=t||{},e.replace(/{([^{}]*)}/g,(function(e,n){var i=t[n];return r.escape?"string"==typeof i||"number"==typeof i?encodeURIComponent(i.toString()):encodeURIComponent(e):"string"==typeof i||"number"==typeof i?i.toString():e}))};return"/"!==e.charAt(0)&&(e="/"+e),!0!==OC.config.modRewriteWorking||r.noRewrite?i()+"/index.php"+o(e,t||{}):i()+o(e,t||{})};t.imagePath=function(e,t){return-1===t.indexOf(".")?r(e,"img",t+".svg"):r(e,"img",t)};var r=function(e,t,n){var r=-1!==OC.coreApps.indexOf(e),o=i();return"php"!==n.substring(n.length-3)||r?"php"===n.substring(n.length-3)||r?(o+="settings"!==e&&"core"!==e&&"search"!==e||"ajax"!==t?"/":"/index.php/",r||(o+="apps/"),""!==e&&(o+=e+="/"),t&&(o+=t+"/"),o+=n):(o=OC.appswebroots[e],t&&(o+="/"+t+"/"),"/"!==o.substring(o.length-1)&&(o+="/"),o+=n):(o+="/index.php/apps/"+e,"index.php"!==n&&(o+="/",t&&(o+=encodeURI(t+"/")),o+=n)),o};t.generateFilePath=r;var i=function(){return OC.webroot};t.getRootUrl=i},function(e,t,n){var r=n(2),i=n(9),o=n(4),a=n(37),s=n(62),c=n(20),u=c.get,l=c.enforce,f=String(String).split("String");(e.exports=function(e,t,n,s){var c=!!s&&!!s.unsafe,u=!!s&&!!s.enumerable,d=!!s&&!!s.noTargetGet;"function"==typeof n&&("string"!=typeof t||o(n,"name")||i(n,"name",t),l(n).source=f.join("string"==typeof t?t:"")),e!==r?(c?!d&&e[t]&&(u=!0):delete e[t],u?e[t]=n:i(e,t,n)):u?e[t]=n:a(t,n)})(Function.prototype,"toString",(function(){return"function"==typeof this&&u(this).source||s(this)}))},function(e,t,n){"use strict";n.d(t,"a",(function(){return o})),n.d(t,"b",(function(){return r})),n.d(t,"c",(function(){return i}));
/*
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
@@ -26,7 +26,7 @@ var r=["text/markdown"],i=["text/plain","application/cmd","application/x-empty",
* (c) 2014-2019 Evan You
* Released under the MIT License.
*/
-var r=Object.freeze({});function i(e){return null==e}function o(e){return null!=e}function a(e){return!0===e}function s(e){return"string"==typeof e||"number"==typeof e||"symbol"==typeof e||"boolean"==typeof e}function c(e){return null!==e&&"object"==typeof e}var u=Object.prototype.toString;function l(e){return"[object Object]"===u.call(e)}function f(e){return"[object RegExp]"===u.call(e)}function d(e){var t=parseFloat(String(e));return t>=0&&Math.floor(t)===t&&isFinite(e)}function p(e){return o(e)&&"function"==typeof e.then&&"function"==typeof e.catch}function h(e){return null==e?"":Array.isArray(e)||l(e)&&e.toString===u?JSON.stringify(e,null,2):String(e)}function v(e){var t=parseFloat(e);return isNaN(t)?e:t}function g(e,t){for(var n=Object.create(null),r=e.split(","),i=0;i<r.length;i++)n[r[i]]=!0;return t?function(e){return n[e.toLowerCase()]}:function(e){return n[e]}}var m=g("slot,component",!0),y=g("key,ref,slot,slot-scope,is");function b(e,t){if(e.length){var n=e.indexOf(t);if(n>-1)return e.splice(n,1)}}var _=Object.prototype.hasOwnProperty;function x(e,t){return _.call(e,t)}function w(e){var t=Object.create(null);return function(n){return t[n]||(t[n]=e(n))}}var E=/-(\w)/g,O=w((function(e){return e.replace(E,(function(e,t){return t?t.toUpperCase():""}))})),A=w((function(e){return e.charAt(0).toUpperCase()+e.slice(1)})),S=/\B([A-Z])/g,C=w((function(e){return e.replace(S,"-$1").toLowerCase()}));var k=Function.prototype.bind?function(e,t){return e.bind(t)}:function(e,t){function n(n){var r=arguments.length;return r?r>1?e.apply(t,arguments):e.call(t,n):e.call(t)}return n._length=e.length,n};function $(e,t){t=t||0;for(var n=e.length-t,r=new Array(n);n--;)r[n]=e[n+t];return r}function T(e,t){for(var n in t)e[n]=t[n];return e}function I(e){for(var t={},n=0;n<e.length;n++)e[n]&&T(t,e[n]);return t}function R(e,t,n){}var N=function(e,t,n){return!1},L=function(e){return e};function j(e,t){if(e===t)return!0;var n=c(e),r=c(t);if(!n||!r)return!n&&!r&&String(e)===String(t);try{var i=Array.isArray(e),o=Array.isArray(t);if(i&&o)return e.length===t.length&&e.every((function(e,n){return j(e,t[n])}));if(e instanceof Date&&t instanceof Date)return e.getTime()===t.getTime();if(i||o)return!1;var a=Object.keys(e),s=Object.keys(t);return a.length===s.length&&a.every((function(n){return j(e[n],t[n])}))}catch(e){return!1}}function P(e,t){for(var n=0;n<e.length;n++)if(j(e[n],t))return n;return-1}function M(e){var t=!1;return function(){t||(t=!0,e.apply(this,arguments))}}var D=["component","directive","filter"],F=["beforeCreate","created","beforeMount","mounted","beforeUpdate","updated","beforeDestroy","destroyed","activated","deactivated","errorCaptured","serverPrefetch"],U={optionMergeStrategies:Object.create(null),silent:!1,productionTip:!1,devtools:!1,performance:!1,errorHandler:null,warnHandler:null,ignoredElements:[],keyCodes:Object.create(null),isReservedTag:N,isReservedAttr:N,isUnknownElement:N,getTagNamespace:R,parsePlatformTagName:L,mustUseProp:N,async:!0,_lifecycleHooks:F},B=/a-zA-Z\u00B7\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u037D\u037F-\u1FFF\u200C-\u200D\u203F-\u2040\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD/;function G(e){var t=(e+"").charCodeAt(0);return 36===t||95===t}function H(e,t,n,r){Object.defineProperty(e,t,{value:n,enumerable:!!r,writable:!0,configurable:!0})}var q=new RegExp("[^"+B.source+".$_\\d]");var V,z="__proto__"in{},W="undefined"!=typeof window,X="undefined"!=typeof WXEnvironment&&!!WXEnvironment.platform,K=X&&WXEnvironment.platform.toLowerCase(),J=W&&window.navigator.userAgent.toLowerCase(),Z=J&&/msie|trident/.test(J),Y=J&&J.indexOf("msie 9.0")>0,Q=J&&J.indexOf("edge/")>0,ee=(J&&J.indexOf("android"),J&&/iphone|ipad|ipod|ios/.test(J)||"ios"===K),te=(J&&/chrome\/\d+/.test(J),J&&/phantomjs/.test(J),J&&J.match(/firefox\/(\d+)/)),ne={}.watch,re=!1;if(W)try{var ie={};Object.defineProperty(ie,"passive",{get:function(){re=!0}}),window.addEventListener("test-passive",null,ie)}catch(e){}var oe=function(){return void 0===V&&(V=!W&&!X&&void 0!==e&&(e.process&&"server"===e.process.env.VUE_ENV)),V},ae=W&&window.__VUE_DEVTOOLS_GLOBAL_HOOK__;function se(e){return"function"==typeof e&&/native code/.test(e.toString())}var ce,ue="undefined"!=typeof Symbol&&se(Symbol)&&"undefined"!=typeof Reflect&&se(Reflect.ownKeys);ce="undefined"!=typeof Set&&se(Set)?Set:function(){function e(){this.set=Object.create(null)}return e.prototype.has=function(e){return!0===this.set[e]},e.prototype.add=function(e){this.set[e]=!0},e.prototype.clear=function(){this.set=Object.create(null)},e}();var le=R,fe=0,de=function(){this.id=fe++,this.subs=[]};de.prototype.addSub=function(e){this.subs.push(e)},de.prototype.removeSub=function(e){b(this.subs,e)},de.prototype.depend=function(){de.target&&de.target.addDep(this)},de.prototype.notify=function(){var e=this.subs.slice();for(var t=0,n=e.length;t<n;t++)e[t].update()},de.target=null;var pe=[];function he(e){pe.push(e),de.target=e}function ve(){pe.pop(),de.target=pe[pe.length-1]}var ge=function(e,t,n,r,i,o,a,s){this.tag=e,this.data=t,this.children=n,this.text=r,this.elm=i,this.ns=void 0,this.context=o,this.fnContext=void 0,this.fnOptions=void 0,this.fnScopeId=void 0,this.key=t&&t.key,this.componentOptions=a,this.componentInstance=void 0,this.parent=void 0,this.raw=!1,this.isStatic=!1,this.isRootInsert=!0,this.isComment=!1,this.isCloned=!1,this.isOnce=!1,this.asyncFactory=s,this.asyncMeta=void 0,this.isAsyncPlaceholder=!1},me={child:{configurable:!0}};me.child.get=function(){return this.componentInstance},Object.defineProperties(ge.prototype,me);var ye=function(e){void 0===e&&(e="");var t=new ge;return t.text=e,t.isComment=!0,t};function be(e){return new ge(void 0,void 0,void 0,String(e))}function _e(e){var t=new ge(e.tag,e.data,e.children&&e.children.slice(),e.text,e.elm,e.context,e.componentOptions,e.asyncFactory);return t.ns=e.ns,t.isStatic=e.isStatic,t.key=e.key,t.isComment=e.isComment,t.fnContext=e.fnContext,t.fnOptions=e.fnOptions,t.fnScopeId=e.fnScopeId,t.asyncMeta=e.asyncMeta,t.isCloned=!0,t}var xe=Array.prototype,we=Object.create(xe);["push","pop","shift","unshift","splice","sort","reverse"].forEach((function(e){var t=xe[e];H(we,e,(function(){for(var n=[],r=arguments.length;r--;)n[r]=arguments[r];var i,o=t.apply(this,n),a=this.__ob__;switch(e){case"push":case"unshift":i=n;break;case"splice":i=n.slice(2)}return i&&a.observeArray(i),a.dep.notify(),o}))}));var Ee=Object.getOwnPropertyNames(we),Oe=!0;function Ae(e){Oe=e}var Se=function(e){this.value=e,this.dep=new de,this.vmCount=0,H(e,"__ob__",this),Array.isArray(e)?(z?function(e,t){e.__proto__=t}(e,we):function(e,t,n){for(var r=0,i=n.length;r<i;r++){var o=n[r];H(e,o,t[o])}}(e,we,Ee),this.observeArray(e)):this.walk(e)};function Ce(e,t){var n;if(c(e)&&!(e instanceof ge))return x(e,"__ob__")&&e.__ob__ instanceof Se?n=e.__ob__:Oe&&!oe()&&(Array.isArray(e)||l(e))&&Object.isExtensible(e)&&!e._isVue&&(n=new Se(e)),t&&n&&n.vmCount++,n}function ke(e,t,n,r,i){var o=new de,a=Object.getOwnPropertyDescriptor(e,t);if(!a||!1!==a.configurable){var s=a&&a.get,c=a&&a.set;s&&!c||2!==arguments.length||(n=e[t]);var u=!i&&Ce(n);Object.defineProperty(e,t,{enumerable:!0,configurable:!0,get:function(){var t=s?s.call(e):n;return de.target&&(o.depend(),u&&(u.dep.depend(),Array.isArray(t)&&Ie(t))),t},set:function(t){var r=s?s.call(e):n;t===r||t!=t&&r!=r||s&&!c||(c?c.call(e,t):n=t,u=!i&&Ce(t),o.notify())}})}}function $e(e,t,n){if(Array.isArray(e)&&d(t))return e.length=Math.max(e.length,t),e.splice(t,1,n),n;if(t in e&&!(t in Object.prototype))return e[t]=n,n;var r=e.__ob__;return e._isVue||r&&r.vmCount?n:r?(ke(r.value,t,n),r.dep.notify(),n):(e[t]=n,n)}function Te(e,t){if(Array.isArray(e)&&d(t))e.splice(t,1);else{var n=e.__ob__;e._isVue||n&&n.vmCount||x(e,t)&&(delete e[t],n&&n.dep.notify())}}function Ie(e){for(var t=void 0,n=0,r=e.length;n<r;n++)(t=e[n])&&t.__ob__&&t.__ob__.dep.depend(),Array.isArray(t)&&Ie(t)}Se.prototype.walk=function(e){for(var t=Object.keys(e),n=0;n<t.length;n++)ke(e,t[n])},Se.prototype.observeArray=function(e){for(var t=0,n=e.length;t<n;t++)Ce(e[t])};var Re=U.optionMergeStrategies;function Ne(e,t){if(!t)return e;for(var n,r,i,o=ue?Reflect.ownKeys(t):Object.keys(t),a=0;a<o.length;a++)"__ob__"!==(n=o[a])&&(r=e[n],i=t[n],x(e,n)?r!==i&&l(r)&&l(i)&&Ne(r,i):$e(e,n,i));return e}function Le(e,t,n){return n?function(){var r="function"==typeof t?t.call(n,n):t,i="function"==typeof e?e.call(n,n):e;return r?Ne(r,i):i}:t?e?function(){return Ne("function"==typeof t?t.call(this,this):t,"function"==typeof e?e.call(this,this):e)}:t:e}function je(e,t){var n=t?e?e.concat(t):Array.isArray(t)?t:[t]:e;return n?function(e){for(var t=[],n=0;n<e.length;n++)-1===t.indexOf(e[n])&&t.push(e[n]);return t}(n):n}function Pe(e,t,n,r){var i=Object.create(e||null);return t?T(i,t):i}Re.data=function(e,t,n){return n?Le(e,t,n):t&&"function"!=typeof t?e:Le(e,t)},F.forEach((function(e){Re[e]=je})),D.forEach((function(e){Re[e+"s"]=Pe})),Re.watch=function(e,t,n,r){if(e===ne&&(e=void 0),t===ne&&(t=void 0),!t)return Object.create(e||null);if(!e)return t;var i={};for(var o in T(i,e),t){var a=i[o],s=t[o];a&&!Array.isArray(a)&&(a=[a]),i[o]=a?a.concat(s):Array.isArray(s)?s:[s]}return i},Re.props=Re.methods=Re.inject=Re.computed=function(e,t,n,r){if(!e)return t;var i=Object.create(null);return T(i,e),t&&T(i,t),i},Re.provide=Le;var Me=function(e,t){return void 0===t?e:t};function De(e,t,n){if("function"==typeof t&&(t=t.options),function(e,t){var n=e.props;if(n){var r,i,o={};if(Array.isArray(n))for(r=n.length;r--;)"string"==typeof(i=n[r])&&(o[O(i)]={type:null});else if(l(n))for(var a in n)i=n[a],o[O(a)]=l(i)?i:{type:i};else 0;e.props=o}}(t),function(e,t){var n=e.inject;if(n){var r=e.inject={};if(Array.isArray(n))for(var i=0;i<n.length;i++)r[n[i]]={from:n[i]};else if(l(n))for(var o in n){var a=n[o];r[o]=l(a)?T({from:o},a):{from:a}}else 0}}(t),function(e){var t=e.directives;if(t)for(var n in t){var r=t[n];"function"==typeof r&&(t[n]={bind:r,update:r})}}(t),!t._base&&(t.extends&&(e=De(e,t.extends,n)),t.mixins))for(var r=0,i=t.mixins.length;r<i;r++)e=De(e,t.mixins[r],n);var o,a={};for(o in e)s(o);for(o in t)x(e,o)||s(o);function s(r){var i=Re[r]||Me;a[r]=i(e[r],t[r],n,r)}return a}function Fe(e,t,n,r){if("string"==typeof n){var i=e[t];if(x(i,n))return i[n];var o=O(n);if(x(i,o))return i[o];var a=A(o);return x(i,a)?i[a]:i[n]||i[o]||i[a]}}function Ue(e,t,n,r){var i=t[e],o=!x(n,e),a=n[e],s=He(Boolean,i.type);if(s>-1)if(o&&!x(i,"default"))a=!1;else if(""===a||a===C(e)){var c=He(String,i.type);(c<0||s<c)&&(a=!0)}if(void 0===a){a=function(e,t,n){if(!x(t,"default"))return;var r=t.default;0;if(e&&e.$options.propsData&&void 0===e.$options.propsData[n]&&void 0!==e._props[n])return e._props[n];return"function"==typeof r&&"Function"!==Be(t.type)?r.call(e):r}(r,i,e);var u=Oe;Ae(!0),Ce(a),Ae(u)}return a}function Be(e){var t=e&&e.toString().match(/^\s*function (\w+)/);return t?t[1]:""}function Ge(e,t){return Be(e)===Be(t)}function He(e,t){if(!Array.isArray(t))return Ge(t,e)?0:-1;for(var n=0,r=t.length;n<r;n++)if(Ge(t[n],e))return n;return-1}function qe(e,t,n){he();try{if(t)for(var r=t;r=r.$parent;){var i=r.$options.errorCaptured;if(i)for(var o=0;o<i.length;o++)try{if(!1===i[o].call(r,e,t,n))return}catch(e){ze(e,r,"errorCaptured hook")}}ze(e,t,n)}finally{ve()}}function Ve(e,t,n,r,i){var o;try{(o=n?e.apply(t,n):e.call(t))&&!o._isVue&&p(o)&&!o._handled&&(o.catch((function(e){return qe(e,r,i+" (Promise/async)")})),o._handled=!0)}catch(e){qe(e,r,i)}return o}function ze(e,t,n){if(U.errorHandler)try{return U.errorHandler.call(null,e,t,n)}catch(t){t!==e&&We(t,null,"config.errorHandler")}We(e,t,n)}function We(e,t,n){if(!W&&!X||"undefined"==typeof console)throw e;console.error(e)}var Xe,Ke=!1,Je=[],Ze=!1;function Ye(){Ze=!1;var e=Je.slice(0);Je.length=0;for(var t=0;t<e.length;t++)e[t]()}if("undefined"!=typeof Promise&&se(Promise)){var Qe=Promise.resolve();Xe=function(){Qe.then(Ye),ee&&setTimeout(R)},Ke=!0}else if(Z||"undefined"==typeof MutationObserver||!se(MutationObserver)&&"[object MutationObserverConstructor]"!==MutationObserver.toString())Xe=void 0!==n&&se(n)?function(){n(Ye)}:function(){setTimeout(Ye,0)};else{var et=1,tt=new MutationObserver(Ye),nt=document.createTextNode(String(et));tt.observe(nt,{characterData:!0}),Xe=function(){et=(et+1)%2,nt.data=String(et)},Ke=!0}function rt(e,t){var n;if(Je.push((function(){if(e)try{e.call(t)}catch(e){qe(e,t,"nextTick")}else n&&n(t)})),Ze||(Ze=!0,Xe()),!e&&"undefined"!=typeof Promise)return new Promise((function(e){n=e}))}var it=new ce;function ot(e){!function e(t,n){var r,i,o=Array.isArray(t);if(!o&&!c(t)||Object.isFrozen(t)||t instanceof ge)return;if(t.__ob__){var a=t.__ob__.dep.id;if(n.has(a))return;n.add(a)}if(o)for(r=t.length;r--;)e(t[r],n);else for(i=Object.keys(t),r=i.length;r--;)e(t[i[r]],n)}(e,it),it.clear()}var at=w((function(e){var t="&"===e.charAt(0),n="~"===(e=t?e.slice(1):e).charAt(0),r="!"===(e=n?e.slice(1):e).charAt(0);return{name:e=r?e.slice(1):e,once:n,capture:r,passive:t}}));function st(e,t){function n(){var e=arguments,r=n.fns;if(!Array.isArray(r))return Ve(r,null,arguments,t,"v-on handler");for(var i=r.slice(),o=0;o<i.length;o++)Ve(i[o],null,e,t,"v-on handler")}return n.fns=e,n}function ct(e,t,n,r,o,s){var c,u,l,f;for(c in e)u=e[c],l=t[c],f=at(c),i(u)||(i(l)?(i(u.fns)&&(u=e[c]=st(u,s)),a(f.once)&&(u=e[c]=o(f.name,u,f.capture)),n(f.name,u,f.capture,f.passive,f.params)):u!==l&&(l.fns=u,e[c]=l));for(c in t)i(e[c])&&r((f=at(c)).name,t[c],f.capture)}function ut(e,t,n){var r;e instanceof ge&&(e=e.data.hook||(e.data.hook={}));var s=e[t];function c(){n.apply(this,arguments),b(r.fns,c)}i(s)?r=st([c]):o(s.fns)&&a(s.merged)?(r=s).fns.push(c):r=st([s,c]),r.merged=!0,e[t]=r}function lt(e,t,n,r,i){if(o(t)){if(x(t,n))return e[n]=t[n],i||delete t[n],!0;if(x(t,r))return e[n]=t[r],i||delete t[r],!0}return!1}function ft(e){return s(e)?[be(e)]:Array.isArray(e)?function e(t,n){var r,c,u,l,f=[];for(r=0;r<t.length;r++)i(c=t[r])||"boolean"==typeof c||(u=f.length-1,l=f[u],Array.isArray(c)?c.length>0&&(dt((c=e(c,(n||"")+"_"+r))[0])&&dt(l)&&(f[u]=be(l.text+c[0].text),c.shift()),f.push.apply(f,c)):s(c)?dt(l)?f[u]=be(l.text+c):""!==c&&f.push(be(c)):dt(c)&&dt(l)?f[u]=be(l.text+c.text):(a(t._isVList)&&o(c.tag)&&i(c.key)&&o(n)&&(c.key="__vlist"+n+"_"+r+"__"),f.push(c)));return f}(e):void 0}function dt(e){return o(e)&&o(e.text)&&!1===e.isComment}function pt(e,t){if(e){for(var n=Object.create(null),r=ue?Reflect.ownKeys(e):Object.keys(e),i=0;i<r.length;i++){var o=r[i];if("__ob__"!==o){for(var a=e[o].from,s=t;s;){if(s._provided&&x(s._provided,a)){n[o]=s._provided[a];break}s=s.$parent}if(!s)if("default"in e[o]){var c=e[o].default;n[o]="function"==typeof c?c.call(t):c}else 0}}return n}}function ht(e,t){if(!e||!e.length)return{};for(var n={},r=0,i=e.length;r<i;r++){var o=e[r],a=o.data;if(a&&a.attrs&&a.attrs.slot&&delete a.attrs.slot,o.context!==t&&o.fnContext!==t||!a||null==a.slot)(n.default||(n.default=[])).push(o);else{var s=a.slot,c=n[s]||(n[s]=[]);"template"===o.tag?c.push.apply(c,o.children||[]):c.push(o)}}for(var u in n)n[u].every(vt)&&delete n[u];return n}function vt(e){return e.isComment&&!e.asyncFactory||" "===e.text}function gt(e,t,n){var i,o=Object.keys(t).length>0,a=e?!!e.$stable:!o,s=e&&e.$key;if(e){if(e._normalized)return e._normalized;if(a&&n&&n!==r&&s===n.$key&&!o&&!n.$hasNormal)return n;for(var c in i={},e)e[c]&&"$"!==c[0]&&(i[c]=mt(t,c,e[c]))}else i={};for(var u in t)u in i||(i[u]=yt(t,u));return e&&Object.isExtensible(e)&&(e._normalized=i),H(i,"$stable",a),H(i,"$key",s),H(i,"$hasNormal",o),i}function mt(e,t,n){var r=function(){var e=arguments.length?n.apply(null,arguments):n({});return(e=e&&"object"==typeof e&&!Array.isArray(e)?[e]:ft(e))&&(0===e.length||1===e.length&&e[0].isComment)?void 0:e};return n.proxy&&Object.defineProperty(e,t,{get:r,enumerable:!0,configurable:!0}),r}function yt(e,t){return function(){return e[t]}}function bt(e,t){var n,r,i,a,s;if(Array.isArray(e)||"string"==typeof e)for(n=new Array(e.length),r=0,i=e.length;r<i;r++)n[r]=t(e[r],r);else if("number"==typeof e)for(n=new Array(e),r=0;r<e;r++)n[r]=t(r+1,r);else if(c(e))if(ue&&e[Symbol.iterator]){n=[];for(var u=e[Symbol.iterator](),l=u.next();!l.done;)n.push(t(l.value,n.length)),l=u.next()}else for(a=Object.keys(e),n=new Array(a.length),r=0,i=a.length;r<i;r++)s=a[r],n[r]=t(e[s],s,r);return o(n)||(n=[]),n._isVList=!0,n}function _t(e,t,n,r){var i,o=this.$scopedSlots[e];o?(n=n||{},r&&(n=T(T({},r),n)),i=o(n)||t):i=this.$slots[e]||t;var a=n&&n.slot;return a?this.$createElement("template",{slot:a},i):i}function xt(e){return Fe(this.$options,"filters",e)||L}function wt(e,t){return Array.isArray(e)?-1===e.indexOf(t):e!==t}function Et(e,t,n,r,i){var o=U.keyCodes[t]||n;return i&&r&&!U.keyCodes[t]?wt(i,r):o?wt(o,e):r?C(r)!==t:void 0}function Ot(e,t,n,r,i){if(n)if(c(n)){var o;Array.isArray(n)&&(n=I(n));var a=function(a){if("class"===a||"style"===a||y(a))o=e;else{var s=e.attrs&&e.attrs.type;o=r||U.mustUseProp(t,s,a)?e.domProps||(e.domProps={}):e.attrs||(e.attrs={})}var c=O(a),u=C(a);c in o||u in o||(o[a]=n[a],i&&((e.on||(e.on={}))["update:"+a]=function(e){n[a]=e}))};for(var s in n)a(s)}else;return e}function At(e,t){var n=this._staticTrees||(this._staticTrees=[]),r=n[e];return r&&!t||Ct(r=n[e]=this.$options.staticRenderFns[e].call(this._renderProxy,null,this),"__static__"+e,!1),r}function St(e,t,n){return Ct(e,"__once__"+t+(n?"_"+n:""),!0),e}function Ct(e,t,n){if(Array.isArray(e))for(var r=0;r<e.length;r++)e[r]&&"string"!=typeof e[r]&&kt(e[r],t+"_"+r,n);else kt(e,t,n)}function kt(e,t,n){e.isStatic=!0,e.key=t,e.isOnce=n}function $t(e,t){if(t)if(l(t)){var n=e.on=e.on?T({},e.on):{};for(var r in t){var i=n[r],o=t[r];n[r]=i?[].concat(i,o):o}}else;return e}function Tt(e,t,n,r){t=t||{$stable:!n};for(var i=0;i<e.length;i++){var o=e[i];Array.isArray(o)?Tt(o,t,n):o&&(o.proxy&&(o.fn.proxy=!0),t[o.key]=o.fn)}return r&&(t.$key=r),t}function It(e,t){for(var n=0;n<t.length;n+=2){var r=t[n];"string"==typeof r&&r&&(e[t[n]]=t[n+1])}return e}function Rt(e,t){return"string"==typeof e?t+e:e}function Nt(e){e._o=St,e._n=v,e._s=h,e._l=bt,e._t=_t,e._q=j,e._i=P,e._m=At,e._f=xt,e._k=Et,e._b=Ot,e._v=be,e._e=ye,e._u=Tt,e._g=$t,e._d=It,e._p=Rt}function Lt(e,t,n,i,o){var s,c=this,u=o.options;x(i,"_uid")?(s=Object.create(i))._original=i:(s=i,i=i._original);var l=a(u._compiled),f=!l;this.data=e,this.props=t,this.children=n,this.parent=i,this.listeners=e.on||r,this.injections=pt(u.inject,i),this.slots=function(){return c.$slots||gt(e.scopedSlots,c.$slots=ht(n,i)),c.$slots},Object.defineProperty(this,"scopedSlots",{enumerable:!0,get:function(){return gt(e.scopedSlots,this.slots())}}),l&&(this.$options=u,this.$slots=this.slots(),this.$scopedSlots=gt(e.scopedSlots,this.$slots)),u._scopeId?this._c=function(e,t,n,r){var o=Bt(s,e,t,n,r,f);return o&&!Array.isArray(o)&&(o.fnScopeId=u._scopeId,o.fnContext=i),o}:this._c=function(e,t,n,r){return Bt(s,e,t,n,r,f)}}function jt(e,t,n,r,i){var o=_e(e);return o.fnContext=n,o.fnOptions=r,t.slot&&((o.data||(o.data={})).slot=t.slot),o}function Pt(e,t){for(var n in t)e[O(n)]=t[n]}Nt(Lt.prototype);var Mt={init:function(e,t){if(e.componentInstance&&!e.componentInstance._isDestroyed&&e.data.keepAlive){var n=e;Mt.prepatch(n,n)}else{(e.componentInstance=function(e,t){var n={_isComponent:!0,_parentVnode:e,parent:t},r=e.data.inlineTemplate;o(r)&&(n.render=r.render,n.staticRenderFns=r.staticRenderFns);return new e.componentOptions.Ctor(n)}(e,Zt)).$mount(t?e.elm:void 0,t)}},prepatch:function(e,t){var n=t.componentOptions;!function(e,t,n,i,o){0;var a=i.data.scopedSlots,s=e.$scopedSlots,c=!!(a&&!a.$stable||s!==r&&!s.$stable||a&&e.$scopedSlots.$key!==a.$key),u=!!(o||e.$options._renderChildren||c);e.$options._parentVnode=i,e.$vnode=i,e._vnode&&(e._vnode.parent=i);if(e.$options._renderChildren=o,e.$attrs=i.data.attrs||r,e.$listeners=n||r,t&&e.$options.props){Ae(!1);for(var l=e._props,f=e.$options._propKeys||[],d=0;d<f.length;d++){var p=f[d],h=e.$options.props;l[p]=Ue(p,h,t,e)}Ae(!0),e.$options.propsData=t}n=n||r;var v=e.$options._parentListeners;e.$options._parentListeners=n,Jt(e,n,v),u&&(e.$slots=ht(o,i.context),e.$forceUpdate());0}(t.componentInstance=e.componentInstance,n.propsData,n.listeners,t,n.children)},insert:function(e){var t,n=e.context,r=e.componentInstance;r._isMounted||(r._isMounted=!0,tn(r,"mounted")),e.data.keepAlive&&(n._isMounted?((t=r)._inactive=!1,rn.push(t)):en(r,!0))},destroy:function(e){var t=e.componentInstance;t._isDestroyed||(e.data.keepAlive?function e(t,n){if(n&&(t._directInactive=!0,Qt(t)))return;if(!t._inactive){t._inactive=!0;for(var r=0;r<t.$children.length;r++)e(t.$children[r]);tn(t,"deactivated")}}(t,!0):t.$destroy())}},Dt=Object.keys(Mt);function Ft(e,t,n,s,u){if(!i(e)){var l=n.$options._base;if(c(e)&&(e=l.extend(e)),"function"==typeof e){var f;if(i(e.cid)&&void 0===(e=function(e,t){if(a(e.error)&&o(e.errorComp))return e.errorComp;if(o(e.resolved))return e.resolved;var n=Ht;n&&o(e.owners)&&-1===e.owners.indexOf(n)&&e.owners.push(n);if(a(e.loading)&&o(e.loadingComp))return e.loadingComp;if(n&&!o(e.owners)){var r=e.owners=[n],s=!0,u=null,l=null;n.$on("hook:destroyed",(function(){return b(r,n)}));var f=function(e){for(var t=0,n=r.length;t<n;t++)r[t].$forceUpdate();e&&(r.length=0,null!==u&&(clearTimeout(u),u=null),null!==l&&(clearTimeout(l),l=null))},d=M((function(n){e.resolved=qt(n,t),s?r.length=0:f(!0)})),h=M((function(t){o(e.errorComp)&&(e.error=!0,f(!0))})),v=e(d,h);return c(v)&&(p(v)?i(e.resolved)&&v.then(d,h):p(v.component)&&(v.component.then(d,h),o(v.error)&&(e.errorComp=qt(v.error,t)),o(v.loading)&&(e.loadingComp=qt(v.loading,t),0===v.delay?e.loading=!0:u=setTimeout((function(){u=null,i(e.resolved)&&i(e.error)&&(e.loading=!0,f(!1))}),v.delay||200)),o(v.timeout)&&(l=setTimeout((function(){l=null,i(e.resolved)&&h(null)}),v.timeout)))),s=!1,e.loading?e.loadingComp:e.resolved}}(f=e,l)))return function(e,t,n,r,i){var o=ye();return o.asyncFactory=e,o.asyncMeta={data:t,context:n,children:r,tag:i},o}(f,t,n,s,u);t=t||{},On(e),o(t.model)&&function(e,t){var n=e.model&&e.model.prop||"value",r=e.model&&e.model.event||"input";(t.attrs||(t.attrs={}))[n]=t.model.value;var i=t.on||(t.on={}),a=i[r],s=t.model.callback;o(a)?(Array.isArray(a)?-1===a.indexOf(s):a!==s)&&(i[r]=[s].concat(a)):i[r]=s}(e.options,t);var d=function(e,t,n){var r=t.options.props;if(!i(r)){var a={},s=e.attrs,c=e.props;if(o(s)||o(c))for(var u in r){var l=C(u);lt(a,c,u,l,!0)||lt(a,s,u,l,!1)}return a}}(t,e);if(a(e.options.functional))return function(e,t,n,i,a){var s=e.options,c={},u=s.props;if(o(u))for(var l in u)c[l]=Ue(l,u,t||r);else o(n.attrs)&&Pt(c,n.attrs),o(n.props)&&Pt(c,n.props);var f=new Lt(n,c,a,i,e),d=s.render.call(null,f._c,f);if(d instanceof ge)return jt(d,n,f.parent,s,f);if(Array.isArray(d)){for(var p=ft(d)||[],h=new Array(p.length),v=0;v<p.length;v++)h[v]=jt(p[v],n,f.parent,s,f);return h}}(e,d,t,n,s);var h=t.on;if(t.on=t.nativeOn,a(e.options.abstract)){var v=t.slot;t={},v&&(t.slot=v)}!function(e){for(var t=e.hook||(e.hook={}),n=0;n<Dt.length;n++){var r=Dt[n],i=t[r],o=Mt[r];i===o||i&&i._merged||(t[r]=i?Ut(o,i):o)}}(t);var g=e.options.name||u;return new ge("vue-component-"+e.cid+(g?"-"+g:""),t,void 0,void 0,void 0,n,{Ctor:e,propsData:d,listeners:h,tag:u,children:s},f)}}}function Ut(e,t){var n=function(n,r){e(n,r),t(n,r)};return n._merged=!0,n}function Bt(e,t,n,r,u,l){return(Array.isArray(n)||s(n))&&(u=r,r=n,n=void 0),a(l)&&(u=2),function(e,t,n,r,s){if(o(n)&&o(n.__ob__))return ye();o(n)&&o(n.is)&&(t=n.is);if(!t)return ye();0;Array.isArray(r)&&"function"==typeof r[0]&&((n=n||{}).scopedSlots={default:r[0]},r.length=0);2===s?r=ft(r):1===s&&(r=function(e){for(var t=0;t<e.length;t++)if(Array.isArray(e[t]))return Array.prototype.concat.apply([],e);return e}(r));var u,l;if("string"==typeof t){var f;l=e.$vnode&&e.$vnode.ns||U.getTagNamespace(t),u=U.isReservedTag(t)?new ge(U.parsePlatformTagName(t),n,r,void 0,void 0,e):n&&n.pre||!o(f=Fe(e.$options,"components",t))?new ge(t,n,r,void 0,void 0,e):Ft(f,n,e,r,t)}else u=Ft(t,n,e,r);return Array.isArray(u)?u:o(u)?(o(l)&&function e(t,n,r){t.ns=n,"foreignObject"===t.tag&&(n=void 0,r=!0);if(o(t.children))for(var s=0,c=t.children.length;s<c;s++){var u=t.children[s];o(u.tag)&&(i(u.ns)||a(r)&&"svg"!==u.tag)&&e(u,n,r)}}(u,l),o(n)&&function(e){c(e.style)&&ot(e.style);c(e.class)&&ot(e.class)}(n),u):ye()}(e,t,n,r,u)}var Gt,Ht=null;function qt(e,t){return(e.__esModule||ue&&"Module"===e[Symbol.toStringTag])&&(e=e.default),c(e)?t.extend(e):e}function Vt(e){return e.isComment&&e.asyncFactory}function zt(e){if(Array.isArray(e))for(var t=0;t<e.length;t++){var n=e[t];if(o(n)&&(o(n.componentOptions)||Vt(n)))return n}}function Wt(e,t){Gt.$on(e,t)}function Xt(e,t){Gt.$off(e,t)}function Kt(e,t){var n=Gt;return function r(){var i=t.apply(null,arguments);null!==i&&n.$off(e,r)}}function Jt(e,t,n){Gt=e,ct(t,n||{},Wt,Xt,Kt,e),Gt=void 0}var Zt=null;function Yt(e){var t=Zt;return Zt=e,function(){Zt=t}}function Qt(e){for(;e&&(e=e.$parent);)if(e._inactive)return!0;return!1}function en(e,t){if(t){if(e._directInactive=!1,Qt(e))return}else if(e._directInactive)return;if(e._inactive||null===e._inactive){e._inactive=!1;for(var n=0;n<e.$children.length;n++)en(e.$children[n]);tn(e,"activated")}}function tn(e,t){he();var n=e.$options[t],r=t+" hook";if(n)for(var i=0,o=n.length;i<o;i++)Ve(n[i],e,null,e,r);e._hasHookEvent&&e.$emit("hook:"+t),ve()}var nn=[],rn=[],on={},an=!1,sn=!1,cn=0;var un=0,ln=Date.now;if(W&&!Z){var fn=window.performance;fn&&"function"==typeof fn.now&&ln()>document.createEvent("Event").timeStamp&&(ln=function(){return fn.now()})}function dn(){var e,t;for(un=ln(),sn=!0,nn.sort((function(e,t){return e.id-t.id})),cn=0;cn<nn.length;cn++)(e=nn[cn]).before&&e.before(),t=e.id,on[t]=null,e.run();var n=rn.slice(),r=nn.slice();cn=nn.length=rn.length=0,on={},an=sn=!1,function(e){for(var t=0;t<e.length;t++)e[t]._inactive=!0,en(e[t],!0)}(n),function(e){var t=e.length;for(;t--;){var n=e[t],r=n.vm;r._watcher===n&&r._isMounted&&!r._isDestroyed&&tn(r,"updated")}}(r),ae&&U.devtools&&ae.emit("flush")}var pn=0,hn=function(e,t,n,r,i){this.vm=e,i&&(e._watcher=this),e._watchers.push(this),r?(this.deep=!!r.deep,this.user=!!r.user,this.lazy=!!r.lazy,this.sync=!!r.sync,this.before=r.before):this.deep=this.user=this.lazy=this.sync=!1,this.cb=n,this.id=++pn,this.active=!0,this.dirty=this.lazy,this.deps=[],this.newDeps=[],this.depIds=new ce,this.newDepIds=new ce,this.expression="","function"==typeof t?this.getter=t:(this.getter=function(e){if(!q.test(e)){var t=e.split(".");return function(e){for(var n=0;n<t.length;n++){if(!e)return;e=e[t[n]]}return e}}}(t),this.getter||(this.getter=R)),this.value=this.lazy?void 0:this.get()};hn.prototype.get=function(){var e;he(this);var t=this.vm;try{e=this.getter.call(t,t)}catch(e){if(!this.user)throw e;qe(e,t,'getter for watcher "'+this.expression+'"')}finally{this.deep&&ot(e),ve(),this.cleanupDeps()}return e},hn.prototype.addDep=function(e){var t=e.id;this.newDepIds.has(t)||(this.newDepIds.add(t),this.newDeps.push(e),this.depIds.has(t)||e.addSub(this))},hn.prototype.cleanupDeps=function(){for(var e=this.deps.length;e--;){var t=this.deps[e];this.newDepIds.has(t.id)||t.removeSub(this)}var n=this.depIds;this.depIds=this.newDepIds,this.newDepIds=n,this.newDepIds.clear(),n=this.deps,this.deps=this.newDeps,this.newDeps=n,this.newDeps.length=0},hn.prototype.update=function(){this.lazy?this.dirty=!0:this.sync?this.run():function(e){var t=e.id;if(null==on[t]){if(on[t]=!0,sn){for(var n=nn.length-1;n>cn&&nn[n].id>e.id;)n--;nn.splice(n+1,0,e)}else nn.push(e);an||(an=!0,rt(dn))}}(this)},hn.prototype.run=function(){if(this.active){var e=this.get();if(e!==this.value||c(e)||this.deep){var t=this.value;if(this.value=e,this.user)try{this.cb.call(this.vm,e,t)}catch(e){qe(e,this.vm,'callback for watcher "'+this.expression+'"')}else this.cb.call(this.vm,e,t)}}},hn.prototype.evaluate=function(){this.value=this.get(),this.dirty=!1},hn.prototype.depend=function(){for(var e=this.deps.length;e--;)this.deps[e].depend()},hn.prototype.teardown=function(){if(this.active){this.vm._isBeingDestroyed||b(this.vm._watchers,this);for(var e=this.deps.length;e--;)this.deps[e].removeSub(this);this.active=!1}};var vn={enumerable:!0,configurable:!0,get:R,set:R};function gn(e,t,n){vn.get=function(){return this[t][n]},vn.set=function(e){this[t][n]=e},Object.defineProperty(e,n,vn)}function mn(e){e._watchers=[];var t=e.$options;t.props&&function(e,t){var n=e.$options.propsData||{},r=e._props={},i=e.$options._propKeys=[];e.$parent&&Ae(!1);var o=function(o){i.push(o);var a=Ue(o,t,n,e);ke(r,o,a),o in e||gn(e,"_props",o)};for(var a in t)o(a);Ae(!0)}(e,t.props),t.methods&&function(e,t){e.$options.props;for(var n in t)e[n]="function"!=typeof t[n]?R:k(t[n],e)}(e,t.methods),t.data?function(e){var t=e.$options.data;l(t=e._data="function"==typeof t?function(e,t){he();try{return e.call(t,t)}catch(e){return qe(e,t,"data()"),{}}finally{ve()}}(t,e):t||{})||(t={});var n=Object.keys(t),r=e.$options.props,i=(e.$options.methods,n.length);for(;i--;){var o=n[i];0,r&&x(r,o)||G(o)||gn(e,"_data",o)}Ce(t,!0)}(e):Ce(e._data={},!0),t.computed&&function(e,t){var n=e._computedWatchers=Object.create(null),r=oe();for(var i in t){var o=t[i],a="function"==typeof o?o:o.get;0,r||(n[i]=new hn(e,a||R,R,yn)),i in e||bn(e,i,o)}}(e,t.computed),t.watch&&t.watch!==ne&&function(e,t){for(var n in t){var r=t[n];if(Array.isArray(r))for(var i=0;i<r.length;i++)wn(e,n,r[i]);else wn(e,n,r)}}(e,t.watch)}var yn={lazy:!0};function bn(e,t,n){var r=!oe();"function"==typeof n?(vn.get=r?_n(t):xn(n),vn.set=R):(vn.get=n.get?r&&!1!==n.cache?_n(t):xn(n.get):R,vn.set=n.set||R),Object.defineProperty(e,t,vn)}function _n(e){return function(){var t=this._computedWatchers&&this._computedWatchers[e];if(t)return t.dirty&&t.evaluate(),de.target&&t.depend(),t.value}}function xn(e){return function(){return e.call(this,this)}}function wn(e,t,n,r){return l(n)&&(r=n,n=n.handler),"string"==typeof n&&(n=e[n]),e.$watch(t,n,r)}var En=0;function On(e){var t=e.options;if(e.super){var n=On(e.super);if(n!==e.superOptions){e.superOptions=n;var r=function(e){var t,n=e.options,r=e.sealedOptions;for(var i in n)n[i]!==r[i]&&(t||(t={}),t[i]=n[i]);return t}(e);r&&T(e.extendOptions,r),(t=e.options=De(n,e.extendOptions)).name&&(t.components[t.name]=e)}}return t}function An(e){this._init(e)}function Sn(e){e.cid=0;var t=1;e.extend=function(e){e=e||{};var n=this,r=n.cid,i=e._Ctor||(e._Ctor={});if(i[r])return i[r];var o=e.name||n.options.name;var a=function(e){this._init(e)};return(a.prototype=Object.create(n.prototype)).constructor=a,a.cid=t++,a.options=De(n.options,e),a.super=n,a.options.props&&function(e){var t=e.options.props;for(var n in t)gn(e.prototype,"_props",n)}(a),a.options.computed&&function(e){var t=e.options.computed;for(var n in t)bn(e.prototype,n,t[n])}(a),a.extend=n.extend,a.mixin=n.mixin,a.use=n.use,D.forEach((function(e){a[e]=n[e]})),o&&(a.options.components[o]=a),a.superOptions=n.options,a.extendOptions=e,a.sealedOptions=T({},a.options),i[r]=a,a}}function Cn(e){return e&&(e.Ctor.options.name||e.tag)}function kn(e,t){return Array.isArray(e)?e.indexOf(t)>-1:"string"==typeof e?e.split(",").indexOf(t)>-1:!!f(e)&&e.test(t)}function $n(e,t){var n=e.cache,r=e.keys,i=e._vnode;for(var o in n){var a=n[o];if(a){var s=Cn(a.componentOptions);s&&!t(s)&&Tn(n,o,r,i)}}}function Tn(e,t,n,r){var i=e[t];!i||r&&i.tag===r.tag||i.componentInstance.$destroy(),e[t]=null,b(n,t)}!function(e){e.prototype._init=function(e){var t=this;t._uid=En++,t._isVue=!0,e&&e._isComponent?function(e,t){var n=e.$options=Object.create(e.constructor.options),r=t._parentVnode;n.parent=t.parent,n._parentVnode=r;var i=r.componentOptions;n.propsData=i.propsData,n._parentListeners=i.listeners,n._renderChildren=i.children,n._componentTag=i.tag,t.render&&(n.render=t.render,n.staticRenderFns=t.staticRenderFns)}(t,e):t.$options=De(On(t.constructor),e||{},t),t._renderProxy=t,t._self=t,function(e){var t=e.$options,n=t.parent;if(n&&!t.abstract){for(;n.$options.abstract&&n.$parent;)n=n.$parent;n.$children.push(e)}e.$parent=n,e.$root=n?n.$root:e,e.$children=[],e.$refs={},e._watcher=null,e._inactive=null,e._directInactive=!1,e._isMounted=!1,e._isDestroyed=!1,e._isBeingDestroyed=!1}(t),function(e){e._events=Object.create(null),e._hasHookEvent=!1;var t=e.$options._parentListeners;t&&Jt(e,t)}(t),function(e){e._vnode=null,e._staticTrees=null;var t=e.$options,n=e.$vnode=t._parentVnode,i=n&&n.context;e.$slots=ht(t._renderChildren,i),e.$scopedSlots=r,e._c=function(t,n,r,i){return Bt(e,t,n,r,i,!1)},e.$createElement=function(t,n,r,i){return Bt(e,t,n,r,i,!0)};var o=n&&n.data;ke(e,"$attrs",o&&o.attrs||r,null,!0),ke(e,"$listeners",t._parentListeners||r,null,!0)}(t),tn(t,"beforeCreate"),function(e){var t=pt(e.$options.inject,e);t&&(Ae(!1),Object.keys(t).forEach((function(n){ke(e,n,t[n])})),Ae(!0))}(t),mn(t),function(e){var t=e.$options.provide;t&&(e._provided="function"==typeof t?t.call(e):t)}(t),tn(t,"created"),t.$options.el&&t.$mount(t.$options.el)}}(An),function(e){var t={get:function(){return this._data}},n={get:function(){return this._props}};Object.defineProperty(e.prototype,"$data",t),Object.defineProperty(e.prototype,"$props",n),e.prototype.$set=$e,e.prototype.$delete=Te,e.prototype.$watch=function(e,t,n){if(l(t))return wn(this,e,t,n);(n=n||{}).user=!0;var r=new hn(this,e,t,n);if(n.immediate)try{t.call(this,r.value)}catch(e){qe(e,this,'callback for immediate watcher "'+r.expression+'"')}return function(){r.teardown()}}}(An),function(e){var t=/^hook:/;e.prototype.$on=function(e,n){var r=this;if(Array.isArray(e))for(var i=0,o=e.length;i<o;i++)r.$on(e[i],n);else(r._events[e]||(r._events[e]=[])).push(n),t.test(e)&&(r._hasHookEvent=!0);return r},e.prototype.$once=function(e,t){var n=this;function r(){n.$off(e,r),t.apply(n,arguments)}return r.fn=t,n.$on(e,r),n},e.prototype.$off=function(e,t){var n=this;if(!arguments.length)return n._events=Object.create(null),n;if(Array.isArray(e)){for(var r=0,i=e.length;r<i;r++)n.$off(e[r],t);return n}var o,a=n._events[e];if(!a)return n;if(!t)return n._events[e]=null,n;for(var s=a.length;s--;)if((o=a[s])===t||o.fn===t){a.splice(s,1);break}return n},e.prototype.$emit=function(e){var t=this,n=t._events[e];if(n){n=n.length>1?$(n):n;for(var r=$(arguments,1),i='event handler for "'+e+'"',o=0,a=n.length;o<a;o++)Ve(n[o],t,r,t,i)}return t}}(An),function(e){e.prototype._update=function(e,t){var n=this,r=n.$el,i=n._vnode,o=Yt(n);n._vnode=e,n.$el=i?n.__patch__(i,e):n.__patch__(n.$el,e,t,!1),o(),r&&(r.__vue__=null),n.$el&&(n.$el.__vue__=n),n.$vnode&&n.$parent&&n.$vnode===n.$parent._vnode&&(n.$parent.$el=n.$el)},e.prototype.$forceUpdate=function(){this._watcher&&this._watcher.update()},e.prototype.$destroy=function(){var e=this;if(!e._isBeingDestroyed){tn(e,"beforeDestroy"),e._isBeingDestroyed=!0;var t=e.$parent;!t||t._isBeingDestroyed||e.$options.abstract||b(t.$children,e),e._watcher&&e._watcher.teardown();for(var n=e._watchers.length;n--;)e._watchers[n].teardown();e._data.__ob__&&e._data.__ob__.vmCount--,e._isDestroyed=!0,e.__patch__(e._vnode,null),tn(e,"destroyed"),e.$off(),e.$el&&(e.$el.__vue__=null),e.$vnode&&(e.$vnode.parent=null)}}}(An),function(e){Nt(e.prototype),e.prototype.$nextTick=function(e){return rt(e,this)},e.prototype._render=function(){var e,t=this,n=t.$options,r=n.render,i=n._parentVnode;i&&(t.$scopedSlots=gt(i.data.scopedSlots,t.$slots,t.$scopedSlots)),t.$vnode=i;try{Ht=t,e=r.call(t._renderProxy,t.$createElement)}catch(n){qe(n,t,"render"),e=t._vnode}finally{Ht=null}return Array.isArray(e)&&1===e.length&&(e=e[0]),e instanceof ge||(e=ye()),e.parent=i,e}}(An);var In=[String,RegExp,Array],Rn={KeepAlive:{name:"keep-alive",abstract:!0,props:{include:In,exclude:In,max:[String,Number]},created:function(){this.cache=Object.create(null),this.keys=[]},destroyed:function(){for(var e in this.cache)Tn(this.cache,e,this.keys)},mounted:function(){var e=this;this.$watch("include",(function(t){$n(e,(function(e){return kn(t,e)}))})),this.$watch("exclude",(function(t){$n(e,(function(e){return!kn(t,e)}))}))},render:function(){var e=this.$slots.default,t=zt(e),n=t&&t.componentOptions;if(n){var r=Cn(n),i=this.include,o=this.exclude;if(i&&(!r||!kn(i,r))||o&&r&&kn(o,r))return t;var a=this.cache,s=this.keys,c=null==t.key?n.Ctor.cid+(n.tag?"::"+n.tag:""):t.key;a[c]?(t.componentInstance=a[c].componentInstance,b(s,c),s.push(c)):(a[c]=t,s.push(c),this.max&&s.length>parseInt(this.max)&&Tn(a,s[0],s,this._vnode)),t.data.keepAlive=!0}return t||e&&e[0]}}};!function(e){var t={get:function(){return U}};Object.defineProperty(e,"config",t),e.util={warn:le,extend:T,mergeOptions:De,defineReactive:ke},e.set=$e,e.delete=Te,e.nextTick=rt,e.observable=function(e){return Ce(e),e},e.options=Object.create(null),D.forEach((function(t){e.options[t+"s"]=Object.create(null)})),e.options._base=e,T(e.options.components,Rn),function(e){e.use=function(e){var t=this._installedPlugins||(this._installedPlugins=[]);if(t.indexOf(e)>-1)return this;var n=$(arguments,1);return n.unshift(this),"function"==typeof e.install?e.install.apply(e,n):"function"==typeof e&&e.apply(null,n),t.push(e),this}}(e),function(e){e.mixin=function(e){return this.options=De(this.options,e),this}}(e),Sn(e),function(e){D.forEach((function(t){e[t]=function(e,n){return n?("component"===t&&l(n)&&(n.name=n.name||e,n=this.options._base.extend(n)),"directive"===t&&"function"==typeof n&&(n={bind:n,update:n}),this.options[t+"s"][e]=n,n):this.options[t+"s"][e]}}))}(e)}(An),Object.defineProperty(An.prototype,"$isServer",{get:oe}),Object.defineProperty(An.prototype,"$ssrContext",{get:function(){return this.$vnode&&this.$vnode.ssrContext}}),Object.defineProperty(An,"FunctionalRenderContext",{value:Lt}),An.version="2.6.11";var Nn=g("style,class"),Ln=g("input,textarea,option,select,progress"),jn=function(e,t,n){return"value"===n&&Ln(e)&&"button"!==t||"selected"===n&&"option"===e||"checked"===n&&"input"===e||"muted"===n&&"video"===e},Pn=g("contenteditable,draggable,spellcheck"),Mn=g("events,caret,typing,plaintext-only"),Dn=g("allowfullscreen,async,autofocus,autoplay,checked,compact,controls,declare,default,defaultchecked,defaultmuted,defaultselected,defer,disabled,enabled,formnovalidate,hidden,indeterminate,inert,ismap,itemscope,loop,multiple,muted,nohref,noresize,noshade,novalidate,nowrap,open,pauseonexit,readonly,required,reversed,scoped,seamless,selected,sortable,translate,truespeed,typemustmatch,visible"),Fn="http://www.w3.org/1999/xlink",Un=function(e){return":"===e.charAt(5)&&"xlink"===e.slice(0,5)},Bn=function(e){return Un(e)?e.slice(6,e.length):""},Gn=function(e){return null==e||!1===e};function Hn(e){for(var t=e.data,n=e,r=e;o(r.componentInstance);)(r=r.componentInstance._vnode)&&r.data&&(t=qn(r.data,t));for(;o(n=n.parent);)n&&n.data&&(t=qn(t,n.data));return function(e,t){if(o(e)||o(t))return Vn(e,zn(t));return""}(t.staticClass,t.class)}function qn(e,t){return{staticClass:Vn(e.staticClass,t.staticClass),class:o(e.class)?[e.class,t.class]:t.class}}function Vn(e,t){return e?t?e+" "+t:e:t||""}function zn(e){return Array.isArray(e)?function(e){for(var t,n="",r=0,i=e.length;r<i;r++)o(t=zn(e[r]))&&""!==t&&(n&&(n+=" "),n+=t);return n}(e):c(e)?function(e){var t="";for(var n in e)e[n]&&(t&&(t+=" "),t+=n);return t}(e):"string"==typeof e?e:""}var Wn={svg:"http://www.w3.org/2000/svg",math:"http://www.w3.org/1998/Math/MathML"},Xn=g("html,body,base,head,link,meta,style,title,address,article,aside,footer,header,h1,h2,h3,h4,h5,h6,hgroup,nav,section,div,dd,dl,dt,figcaption,figure,picture,hr,img,li,main,ol,p,pre,ul,a,b,abbr,bdi,bdo,br,cite,code,data,dfn,em,i,kbd,mark,q,rp,rt,rtc,ruby,s,samp,small,span,strong,sub,sup,time,u,var,wbr,area,audio,map,track,video,embed,object,param,source,canvas,script,noscript,del,ins,caption,col,colgroup,table,thead,tbody,td,th,tr,button,datalist,fieldset,form,input,label,legend,meter,optgroup,option,output,progress,select,textarea,details,dialog,menu,menuitem,summary,content,element,shadow,template,blockquote,iframe,tfoot"),Kn=g("svg,animate,circle,clippath,cursor,defs,desc,ellipse,filter,font-face,foreignObject,g,glyph,image,line,marker,mask,missing-glyph,path,pattern,polygon,polyline,rect,switch,symbol,text,textpath,tspan,use,view",!0),Jn=function(e){return Xn(e)||Kn(e)};function Zn(e){return Kn(e)?"svg":"math"===e?"math":void 0}var Yn=Object.create(null);var Qn=g("text,number,password,search,email,tel,url");function er(e){if("string"==typeof e){var t=document.querySelector(e);return t||document.createElement("div")}return e}var tr=Object.freeze({createElement:function(e,t){var n=document.createElement(e);return"select"!==e||t.data&&t.data.attrs&&void 0!==t.data.attrs.multiple&&n.setAttribute("multiple","multiple"),n},createElementNS:function(e,t){return document.createElementNS(Wn[e],t)},createTextNode:function(e){return document.createTextNode(e)},createComment:function(e){return document.createComment(e)},insertBefore:function(e,t,n){e.insertBefore(t,n)},removeChild:function(e,t){e.removeChild(t)},appendChild:function(e,t){e.appendChild(t)},parentNode:function(e){return e.parentNode},nextSibling:function(e){return e.nextSibling},tagName:function(e){return e.tagName},setTextContent:function(e,t){e.textContent=t},setStyleScope:function(e,t){e.setAttribute(t,"")}}),nr={create:function(e,t){rr(t)},update:function(e,t){e.data.ref!==t.data.ref&&(rr(e,!0),rr(t))},destroy:function(e){rr(e,!0)}};function rr(e,t){var n=e.data.ref;if(o(n)){var r=e.context,i=e.componentInstance||e.elm,a=r.$refs;t?Array.isArray(a[n])?b(a[n],i):a[n]===i&&(a[n]=void 0):e.data.refInFor?Array.isArray(a[n])?a[n].indexOf(i)<0&&a[n].push(i):a[n]=[i]:a[n]=i}}var ir=new ge("",{},[]),or=["create","activate","update","remove","destroy"];function ar(e,t){return e.key===t.key&&(e.tag===t.tag&&e.isComment===t.isComment&&o(e.data)===o(t.data)&&function(e,t){if("input"!==e.tag)return!0;var n,r=o(n=e.data)&&o(n=n.attrs)&&n.type,i=o(n=t.data)&&o(n=n.attrs)&&n.type;return r===i||Qn(r)&&Qn(i)}(e,t)||a(e.isAsyncPlaceholder)&&e.asyncFactory===t.asyncFactory&&i(t.asyncFactory.error))}function sr(e,t,n){var r,i,a={};for(r=t;r<=n;++r)o(i=e[r].key)&&(a[i]=r);return a}var cr={create:ur,update:ur,destroy:function(e){ur(e,ir)}};function ur(e,t){(e.data.directives||t.data.directives)&&function(e,t){var n,r,i,o=e===ir,a=t===ir,s=fr(e.data.directives,e.context),c=fr(t.data.directives,t.context),u=[],l=[];for(n in c)r=s[n],i=c[n],r?(i.oldValue=r.value,i.oldArg=r.arg,pr(i,"update",t,e),i.def&&i.def.componentUpdated&&l.push(i)):(pr(i,"bind",t,e),i.def&&i.def.inserted&&u.push(i));if(u.length){var f=function(){for(var n=0;n<u.length;n++)pr(u[n],"inserted",t,e)};o?ut(t,"insert",f):f()}l.length&&ut(t,"postpatch",(function(){for(var n=0;n<l.length;n++)pr(l[n],"componentUpdated",t,e)}));if(!o)for(n in s)c[n]||pr(s[n],"unbind",e,e,a)}(e,t)}var lr=Object.create(null);function fr(e,t){var n,r,i=Object.create(null);if(!e)return i;for(n=0;n<e.length;n++)(r=e[n]).modifiers||(r.modifiers=lr),i[dr(r)]=r,r.def=Fe(t.$options,"directives",r.name);return i}function dr(e){return e.rawName||e.name+"."+Object.keys(e.modifiers||{}).join(".")}function pr(e,t,n,r,i){var o=e.def&&e.def[t];if(o)try{o(n.elm,e,n,r,i)}catch(r){qe(r,n.context,"directive "+e.name+" "+t+" hook")}}var hr=[nr,cr];function vr(e,t){var n=t.componentOptions;if(!(o(n)&&!1===n.Ctor.options.inheritAttrs||i(e.data.attrs)&&i(t.data.attrs))){var r,a,s=t.elm,c=e.data.attrs||{},u=t.data.attrs||{};for(r in o(u.__ob__)&&(u=t.data.attrs=T({},u)),u)a=u[r],c[r]!==a&&gr(s,r,a);for(r in(Z||Q)&&u.value!==c.value&&gr(s,"value",u.value),c)i(u[r])&&(Un(r)?s.removeAttributeNS(Fn,Bn(r)):Pn(r)||s.removeAttribute(r))}}function gr(e,t,n){e.tagName.indexOf("-")>-1?mr(e,t,n):Dn(t)?Gn(n)?e.removeAttribute(t):(n="allowfullscreen"===t&&"EMBED"===e.tagName?"true":t,e.setAttribute(t,n)):Pn(t)?e.setAttribute(t,function(e,t){return Gn(t)||"false"===t?"false":"contenteditable"===e&&Mn(t)?t:"true"}(t,n)):Un(t)?Gn(n)?e.removeAttributeNS(Fn,Bn(t)):e.setAttributeNS(Fn,t,n):mr(e,t,n)}function mr(e,t,n){if(Gn(n))e.removeAttribute(t);else{if(Z&&!Y&&"TEXTAREA"===e.tagName&&"placeholder"===t&&""!==n&&!e.__ieph){var r=function(t){t.stopImmediatePropagation(),e.removeEventListener("input",r)};e.addEventListener("input",r),e.__ieph=!0}e.setAttribute(t,n)}}var yr={create:vr,update:vr};function br(e,t){var n=t.elm,r=t.data,a=e.data;if(!(i(r.staticClass)&&i(r.class)&&(i(a)||i(a.staticClass)&&i(a.class)))){var s=Hn(t),c=n._transitionClasses;o(c)&&(s=Vn(s,zn(c))),s!==n._prevClass&&(n.setAttribute("class",s),n._prevClass=s)}}var _r,xr,wr,Er,Or,Ar,Sr={create:br,update:br},Cr=/[\w).+\-_$\]]/;function kr(e){var t,n,r,i,o,a=!1,s=!1,c=!1,u=!1,l=0,f=0,d=0,p=0;for(r=0;r<e.length;r++)if(n=t,t=e.charCodeAt(r),a)39===t&&92!==n&&(a=!1);else if(s)34===t&&92!==n&&(s=!1);else if(c)96===t&&92!==n&&(c=!1);else if(u)47===t&&92!==n&&(u=!1);else if(124!==t||124===e.charCodeAt(r+1)||124===e.charCodeAt(r-1)||l||f||d){switch(t){case 34:s=!0;break;case 39:a=!0;break;case 96:c=!0;break;case 40:d++;break;case 41:d--;break;case 91:f++;break;case 93:f--;break;case 123:l++;break;case 125:l--}if(47===t){for(var h=r-1,v=void 0;h>=0&&" "===(v=e.charAt(h));h--);v&&Cr.test(v)||(u=!0)}}else void 0===i?(p=r+1,i=e.slice(0,r).trim()):g();function g(){(o||(o=[])).push(e.slice(p,r).trim()),p=r+1}if(void 0===i?i=e.slice(0,r).trim():0!==p&&g(),o)for(r=0;r<o.length;r++)i=$r(i,o[r]);return i}function $r(e,t){var n=t.indexOf("(");if(n<0)return'_f("'+t+'")('+e+")";var r=t.slice(0,n),i=t.slice(n+1);return'_f("'+r+'")('+e+(")"!==i?","+i:i)}function Tr(e,t){console.error("[Vue compiler]: "+e)}function Ir(e,t){return e?e.map((function(e){return e[t]})).filter((function(e){return e})):[]}function Rr(e,t,n,r,i){(e.props||(e.props=[])).push(Br({name:t,value:n,dynamic:i},r)),e.plain=!1}function Nr(e,t,n,r,i){(i?e.dynamicAttrs||(e.dynamicAttrs=[]):e.attrs||(e.attrs=[])).push(Br({name:t,value:n,dynamic:i},r)),e.plain=!1}function Lr(e,t,n,r){e.attrsMap[t]=n,e.attrsList.push(Br({name:t,value:n},r))}function jr(e,t,n,r,i,o,a,s){(e.directives||(e.directives=[])).push(Br({name:t,rawName:n,value:r,arg:i,isDynamicArg:o,modifiers:a},s)),e.plain=!1}function Pr(e,t,n){return n?"_p("+t+',"'+e+'")':e+t}function Mr(e,t,n,i,o,a,s,c){var u;(i=i||r).right?c?t="("+t+")==='click'?'contextmenu':("+t+")":"click"===t&&(t="contextmenu",delete i.right):i.middle&&(c?t="("+t+")==='click'?'mouseup':("+t+")":"click"===t&&(t="mouseup")),i.capture&&(delete i.capture,t=Pr("!",t,c)),i.once&&(delete i.once,t=Pr("~",t,c)),i.passive&&(delete i.passive,t=Pr("&",t,c)),i.native?(delete i.native,u=e.nativeEvents||(e.nativeEvents={})):u=e.events||(e.events={});var l=Br({value:n.trim(),dynamic:c},s);i!==r&&(l.modifiers=i);var f=u[t];Array.isArray(f)?o?f.unshift(l):f.push(l):u[t]=f?o?[l,f]:[f,l]:l,e.plain=!1}function Dr(e,t,n){var r=Fr(e,":"+t)||Fr(e,"v-bind:"+t);if(null!=r)return kr(r);if(!1!==n){var i=Fr(e,t);if(null!=i)return JSON.stringify(i)}}function Fr(e,t,n){var r;if(null!=(r=e.attrsMap[t]))for(var i=e.attrsList,o=0,a=i.length;o<a;o++)if(i[o].name===t){i.splice(o,1);break}return n&&delete e.attrsMap[t],r}function Ur(e,t){for(var n=e.attrsList,r=0,i=n.length;r<i;r++){var o=n[r];if(t.test(o.name))return n.splice(r,1),o}}function Br(e,t){return t&&(null!=t.start&&(e.start=t.start),null!=t.end&&(e.end=t.end)),e}function Gr(e,t,n){var r=n||{},i=r.number,o="$$v";r.trim&&(o="(typeof $$v === 'string'? $$v.trim(): $$v)"),i&&(o="_n("+o+")");var a=Hr(t,o);e.model={value:"("+t+")",expression:JSON.stringify(t),callback:"function ($$v) {"+a+"}"}}function Hr(e,t){var n=function(e){if(e=e.trim(),_r=e.length,e.indexOf("[")<0||e.lastIndexOf("]")<_r-1)return(Er=e.lastIndexOf("."))>-1?{exp:e.slice(0,Er),key:'"'+e.slice(Er+1)+'"'}:{exp:e,key:null};xr=e,Er=Or=Ar=0;for(;!Vr();)zr(wr=qr())?Xr(wr):91===wr&&Wr(wr);return{exp:e.slice(0,Or),key:e.slice(Or+1,Ar)}}(e);return null===n.key?e+"="+t:"$set("+n.exp+", "+n.key+", "+t+")"}function qr(){return xr.charCodeAt(++Er)}function Vr(){return Er>=_r}function zr(e){return 34===e||39===e}function Wr(e){var t=1;for(Or=Er;!Vr();)if(zr(e=qr()))Xr(e);else if(91===e&&t++,93===e&&t--,0===t){Ar=Er;break}}function Xr(e){for(var t=e;!Vr()&&(e=qr())!==t;);}var Kr;function Jr(e,t,n){var r=Kr;return function i(){var o=t.apply(null,arguments);null!==o&&Qr(e,i,n,r)}}var Zr=Ke&&!(te&&Number(te[1])<=53);function Yr(e,t,n,r){if(Zr){var i=un,o=t;t=o._wrapper=function(e){if(e.target===e.currentTarget||e.timeStamp>=i||e.timeStamp<=0||e.target.ownerDocument!==document)return o.apply(this,arguments)}}Kr.addEventListener(e,t,re?{capture:n,passive:r}:n)}function Qr(e,t,n,r){(r||Kr).removeEventListener(e,t._wrapper||t,n)}function ei(e,t){if(!i(e.data.on)||!i(t.data.on)){var n=t.data.on||{},r=e.data.on||{};Kr=t.elm,function(e){if(o(e.__r)){var t=Z?"change":"input";e[t]=[].concat(e.__r,e[t]||[]),delete e.__r}o(e.__c)&&(e.change=[].concat(e.__c,e.change||[]),delete e.__c)}(n),ct(n,r,Yr,Qr,Jr,t.context),Kr=void 0}}var ti,ni={create:ei,update:ei};function ri(e,t){if(!i(e.data.domProps)||!i(t.data.domProps)){var n,r,a=t.elm,s=e.data.domProps||{},c=t.data.domProps||{};for(n in o(c.__ob__)&&(c=t.data.domProps=T({},c)),s)n in c||(a[n]="");for(n in c){if(r=c[n],"textContent"===n||"innerHTML"===n){if(t.children&&(t.children.length=0),r===s[n])continue;1===a.childNodes.length&&a.removeChild(a.childNodes[0])}if("value"===n&&"PROGRESS"!==a.tagName){a._value=r;var u=i(r)?"":String(r);ii(a,u)&&(a.value=u)}else if("innerHTML"===n&&Kn(a.tagName)&&i(a.innerHTML)){(ti=ti||document.createElement("div")).innerHTML="<svg>"+r+"</svg>";for(var l=ti.firstChild;a.firstChild;)a.removeChild(a.firstChild);for(;l.firstChild;)a.appendChild(l.firstChild)}else if(r!==s[n])try{a[n]=r}catch(e){}}}}function ii(e,t){return!e.composing&&("OPTION"===e.tagName||function(e,t){var n=!0;try{n=document.activeElement!==e}catch(e){}return n&&e.value!==t}(e,t)||function(e,t){var n=e.value,r=e._vModifiers;if(o(r)){if(r.number)return v(n)!==v(t);if(r.trim)return n.trim()!==t.trim()}return n!==t}(e,t))}var oi={create:ri,update:ri},ai=w((function(e){var t={},n=/:(.+)/;return e.split(/;(?![^(]*\))/g).forEach((function(e){if(e){var r=e.split(n);r.length>1&&(t[r[0].trim()]=r[1].trim())}})),t}));function si(e){var t=ci(e.style);return e.staticStyle?T(e.staticStyle,t):t}function ci(e){return Array.isArray(e)?I(e):"string"==typeof e?ai(e):e}var ui,li=/^--/,fi=/\s*!important$/,di=function(e,t,n){if(li.test(t))e.style.setProperty(t,n);else if(fi.test(n))e.style.setProperty(C(t),n.replace(fi,""),"important");else{var r=hi(t);if(Array.isArray(n))for(var i=0,o=n.length;i<o;i++)e.style[r]=n[i];else e.style[r]=n}},pi=["Webkit","Moz","ms"],hi=w((function(e){if(ui=ui||document.createElement("div").style,"filter"!==(e=O(e))&&e in ui)return e;for(var t=e.charAt(0).toUpperCase()+e.slice(1),n=0;n<pi.length;n++){var r=pi[n]+t;if(r in ui)return r}}));function vi(e,t){var n=t.data,r=e.data;if(!(i(n.staticStyle)&&i(n.style)&&i(r.staticStyle)&&i(r.style))){var a,s,c=t.elm,u=r.staticStyle,l=r.normalizedStyle||r.style||{},f=u||l,d=ci(t.data.style)||{};t.data.normalizedStyle=o(d.__ob__)?T({},d):d;var p=function(e,t){var n,r={};if(t)for(var i=e;i.componentInstance;)(i=i.componentInstance._vnode)&&i.data&&(n=si(i.data))&&T(r,n);(n=si(e.data))&&T(r,n);for(var o=e;o=o.parent;)o.data&&(n=si(o.data))&&T(r,n);return r}(t,!0);for(s in f)i(p[s])&&di(c,s,"");for(s in p)(a=p[s])!==f[s]&&di(c,s,null==a?"":a)}}var gi={create:vi,update:vi},mi=/\s+/;function yi(e,t){if(t&&(t=t.trim()))if(e.classList)t.indexOf(" ")>-1?t.split(mi).forEach((function(t){return e.classList.add(t)})):e.classList.add(t);else{var n=" "+(e.getAttribute("class")||"")+" ";n.indexOf(" "+t+" ")<0&&e.setAttribute("class",(n+t).trim())}}function bi(e,t){if(t&&(t=t.trim()))if(e.classList)t.indexOf(" ")>-1?t.split(mi).forEach((function(t){return e.classList.remove(t)})):e.classList.remove(t),e.classList.length||e.removeAttribute("class");else{for(var n=" "+(e.getAttribute("class")||"")+" ",r=" "+t+" ";n.indexOf(r)>=0;)n=n.replace(r," ");(n=n.trim())?e.setAttribute("class",n):e.removeAttribute("class")}}function _i(e){if(e){if("object"==typeof e){var t={};return!1!==e.css&&T(t,xi(e.name||"v")),T(t,e),t}return"string"==typeof e?xi(e):void 0}}var xi=w((function(e){return{enterClass:e+"-enter",enterToClass:e+"-enter-to",enterActiveClass:e+"-enter-active",leaveClass:e+"-leave",leaveToClass:e+"-leave-to",leaveActiveClass:e+"-leave-active"}})),wi=W&&!Y,Ei="transition",Oi="transitionend",Ai="animation",Si="animationend";wi&&(void 0===window.ontransitionend&&void 0!==window.onwebkittransitionend&&(Ei="WebkitTransition",Oi="webkitTransitionEnd"),void 0===window.onanimationend&&void 0!==window.onwebkitanimationend&&(Ai="WebkitAnimation",Si="webkitAnimationEnd"));var Ci=W?window.requestAnimationFrame?window.requestAnimationFrame.bind(window):setTimeout:function(e){return e()};function ki(e){Ci((function(){Ci(e)}))}function $i(e,t){var n=e._transitionClasses||(e._transitionClasses=[]);n.indexOf(t)<0&&(n.push(t),yi(e,t))}function Ti(e,t){e._transitionClasses&&b(e._transitionClasses,t),bi(e,t)}function Ii(e,t,n){var r=Ni(e,t),i=r.type,o=r.timeout,a=r.propCount;if(!i)return n();var s="transition"===i?Oi:Si,c=0,u=function(){e.removeEventListener(s,l),n()},l=function(t){t.target===e&&++c>=a&&u()};setTimeout((function(){c<a&&u()}),o+1),e.addEventListener(s,l)}var Ri=/\b(transform|all)(,|$)/;function Ni(e,t){var n,r=window.getComputedStyle(e),i=(r[Ei+"Delay"]||"").split(", "),o=(r[Ei+"Duration"]||"").split(", "),a=Li(i,o),s=(r[Ai+"Delay"]||"").split(", "),c=(r[Ai+"Duration"]||"").split(", "),u=Li(s,c),l=0,f=0;return"transition"===t?a>0&&(n="transition",l=a,f=o.length):"animation"===t?u>0&&(n="animation",l=u,f=c.length):f=(n=(l=Math.max(a,u))>0?a>u?"transition":"animation":null)?"transition"===n?o.length:c.length:0,{type:n,timeout:l,propCount:f,hasTransform:"transition"===n&&Ri.test(r[Ei+"Property"])}}function Li(e,t){for(;e.length<t.length;)e=e.concat(e);return Math.max.apply(null,t.map((function(t,n){return ji(t)+ji(e[n])})))}function ji(e){return 1e3*Number(e.slice(0,-1).replace(",","."))}function Pi(e,t){var n=e.elm;o(n._leaveCb)&&(n._leaveCb.cancelled=!0,n._leaveCb());var r=_i(e.data.transition);if(!i(r)&&!o(n._enterCb)&&1===n.nodeType){for(var a=r.css,s=r.type,u=r.enterClass,l=r.enterToClass,f=r.enterActiveClass,d=r.appearClass,p=r.appearToClass,h=r.appearActiveClass,g=r.beforeEnter,m=r.enter,y=r.afterEnter,b=r.enterCancelled,_=r.beforeAppear,x=r.appear,w=r.afterAppear,E=r.appearCancelled,O=r.duration,A=Zt,S=Zt.$vnode;S&&S.parent;)A=S.context,S=S.parent;var C=!A._isMounted||!e.isRootInsert;if(!C||x||""===x){var k=C&&d?d:u,$=C&&h?h:f,T=C&&p?p:l,I=C&&_||g,R=C&&"function"==typeof x?x:m,N=C&&w||y,L=C&&E||b,j=v(c(O)?O.enter:O);0;var P=!1!==a&&!Y,D=Fi(R),F=n._enterCb=M((function(){P&&(Ti(n,T),Ti(n,$)),F.cancelled?(P&&Ti(n,k),L&&L(n)):N&&N(n),n._enterCb=null}));e.data.show||ut(e,"insert",(function(){var t=n.parentNode,r=t&&t._pending&&t._pending[e.key];r&&r.tag===e.tag&&r.elm._leaveCb&&r.elm._leaveCb(),R&&R(n,F)})),I&&I(n),P&&($i(n,k),$i(n,$),ki((function(){Ti(n,k),F.cancelled||($i(n,T),D||(Di(j)?setTimeout(F,j):Ii(n,s,F)))}))),e.data.show&&(t&&t(),R&&R(n,F)),P||D||F()}}}function Mi(e,t){var n=e.elm;o(n._enterCb)&&(n._enterCb.cancelled=!0,n._enterCb());var r=_i(e.data.transition);if(i(r)||1!==n.nodeType)return t();if(!o(n._leaveCb)){var a=r.css,s=r.type,u=r.leaveClass,l=r.leaveToClass,f=r.leaveActiveClass,d=r.beforeLeave,p=r.leave,h=r.afterLeave,g=r.leaveCancelled,m=r.delayLeave,y=r.duration,b=!1!==a&&!Y,_=Fi(p),x=v(c(y)?y.leave:y);0;var w=n._leaveCb=M((function(){n.parentNode&&n.parentNode._pending&&(n.parentNode._pending[e.key]=null),b&&(Ti(n,l),Ti(n,f)),w.cancelled?(b&&Ti(n,u),g&&g(n)):(t(),h&&h(n)),n._leaveCb=null}));m?m(E):E()}function E(){w.cancelled||(!e.data.show&&n.parentNode&&((n.parentNode._pending||(n.parentNode._pending={}))[e.key]=e),d&&d(n),b&&($i(n,u),$i(n,f),ki((function(){Ti(n,u),w.cancelled||($i(n,l),_||(Di(x)?setTimeout(w,x):Ii(n,s,w)))}))),p&&p(n,w),b||_||w())}}function Di(e){return"number"==typeof e&&!isNaN(e)}function Fi(e){if(i(e))return!1;var t=e.fns;return o(t)?Fi(Array.isArray(t)?t[0]:t):(e._length||e.length)>1}function Ui(e,t){!0!==t.data.show&&Pi(t)}var Bi=function(e){var t,n,r={},c=e.modules,u=e.nodeOps;for(t=0;t<or.length;++t)for(r[or[t]]=[],n=0;n<c.length;++n)o(c[n][or[t]])&&r[or[t]].push(c[n][or[t]]);function l(e){var t=u.parentNode(e);o(t)&&u.removeChild(t,e)}function f(e,t,n,i,s,c,l){if(o(e.elm)&&o(c)&&(e=c[l]=_e(e)),e.isRootInsert=!s,!function(e,t,n,i){var s=e.data;if(o(s)){var c=o(e.componentInstance)&&s.keepAlive;if(o(s=s.hook)&&o(s=s.init)&&s(e,!1),o(e.componentInstance))return d(e,t),p(n,e.elm,i),a(c)&&function(e,t,n,i){var a,s=e;for(;s.componentInstance;)if(s=s.componentInstance._vnode,o(a=s.data)&&o(a=a.transition)){for(a=0;a<r.activate.length;++a)r.activate[a](ir,s);t.push(s);break}p(n,e.elm,i)}(e,t,n,i),!0}}(e,t,n,i)){var f=e.data,v=e.children,g=e.tag;o(g)?(e.elm=e.ns?u.createElementNS(e.ns,g):u.createElement(g,e),y(e),h(e,v,t),o(f)&&m(e,t),p(n,e.elm,i)):a(e.isComment)?(e.elm=u.createComment(e.text),p(n,e.elm,i)):(e.elm=u.createTextNode(e.text),p(n,e.elm,i))}}function d(e,t){o(e.data.pendingInsert)&&(t.push.apply(t,e.data.pendingInsert),e.data.pendingInsert=null),e.elm=e.componentInstance.$el,v(e)?(m(e,t),y(e)):(rr(e),t.push(e))}function p(e,t,n){o(e)&&(o(n)?u.parentNode(n)===e&&u.insertBefore(e,t,n):u.appendChild(e,t))}function h(e,t,n){if(Array.isArray(t)){0;for(var r=0;r<t.length;++r)f(t[r],n,e.elm,null,!0,t,r)}else s(e.text)&&u.appendChild(e.elm,u.createTextNode(String(e.text)))}function v(e){for(;e.componentInstance;)e=e.componentInstance._vnode;return o(e.tag)}function m(e,n){for(var i=0;i<r.create.length;++i)r.create[i](ir,e);o(t=e.data.hook)&&(o(t.create)&&t.create(ir,e),o(t.insert)&&n.push(e))}function y(e){var t;if(o(t=e.fnScopeId))u.setStyleScope(e.elm,t);else for(var n=e;n;)o(t=n.context)&&o(t=t.$options._scopeId)&&u.setStyleScope(e.elm,t),n=n.parent;o(t=Zt)&&t!==e.context&&t!==e.fnContext&&o(t=t.$options._scopeId)&&u.setStyleScope(e.elm,t)}function b(e,t,n,r,i,o){for(;r<=i;++r)f(n[r],o,e,t,!1,n,r)}function _(e){var t,n,i=e.data;if(o(i))for(o(t=i.hook)&&o(t=t.destroy)&&t(e),t=0;t<r.destroy.length;++t)r.destroy[t](e);if(o(t=e.children))for(n=0;n<e.children.length;++n)_(e.children[n])}function x(e,t,n){for(;t<=n;++t){var r=e[t];o(r)&&(o(r.tag)?(w(r),_(r)):l(r.elm))}}function w(e,t){if(o(t)||o(e.data)){var n,i=r.remove.length+1;for(o(t)?t.listeners+=i:t=function(e,t){function n(){0==--n.listeners&&l(e)}return n.listeners=t,n}(e.elm,i),o(n=e.componentInstance)&&o(n=n._vnode)&&o(n.data)&&w(n,t),n=0;n<r.remove.length;++n)r.remove[n](e,t);o(n=e.data.hook)&&o(n=n.remove)?n(e,t):t()}else l(e.elm)}function E(e,t,n,r){for(var i=n;i<r;i++){var a=t[i];if(o(a)&&ar(e,a))return i}}function O(e,t,n,s,c,l){if(e!==t){o(t.elm)&&o(s)&&(t=s[c]=_e(t));var d=t.elm=e.elm;if(a(e.isAsyncPlaceholder))o(t.asyncFactory.resolved)?C(e.elm,t,n):t.isAsyncPlaceholder=!0;else if(a(t.isStatic)&&a(e.isStatic)&&t.key===e.key&&(a(t.isCloned)||a(t.isOnce)))t.componentInstance=e.componentInstance;else{var p,h=t.data;o(h)&&o(p=h.hook)&&o(p=p.prepatch)&&p(e,t);var g=e.children,m=t.children;if(o(h)&&v(t)){for(p=0;p<r.update.length;++p)r.update[p](e,t);o(p=h.hook)&&o(p=p.update)&&p(e,t)}i(t.text)?o(g)&&o(m)?g!==m&&function(e,t,n,r,a){var s,c,l,d=0,p=0,h=t.length-1,v=t[0],g=t[h],m=n.length-1,y=n[0],_=n[m],w=!a;for(0;d<=h&&p<=m;)i(v)?v=t[++d]:i(g)?g=t[--h]:ar(v,y)?(O(v,y,r,n,p),v=t[++d],y=n[++p]):ar(g,_)?(O(g,_,r,n,m),g=t[--h],_=n[--m]):ar(v,_)?(O(v,_,r,n,m),w&&u.insertBefore(e,v.elm,u.nextSibling(g.elm)),v=t[++d],_=n[--m]):ar(g,y)?(O(g,y,r,n,p),w&&u.insertBefore(e,g.elm,v.elm),g=t[--h],y=n[++p]):(i(s)&&(s=sr(t,d,h)),i(c=o(y.key)?s[y.key]:E(y,t,d,h))?f(y,r,e,v.elm,!1,n,p):ar(l=t[c],y)?(O(l,y,r,n,p),t[c]=void 0,w&&u.insertBefore(e,l.elm,v.elm)):f(y,r,e,v.elm,!1,n,p),y=n[++p]);d>h?b(e,i(n[m+1])?null:n[m+1].elm,n,p,m,r):p>m&&x(t,d,h)}(d,g,m,n,l):o(m)?(o(e.text)&&u.setTextContent(d,""),b(d,null,m,0,m.length-1,n)):o(g)?x(g,0,g.length-1):o(e.text)&&u.setTextContent(d,""):e.text!==t.text&&u.setTextContent(d,t.text),o(h)&&o(p=h.hook)&&o(p=p.postpatch)&&p(e,t)}}}function A(e,t,n){if(a(n)&&o(e.parent))e.parent.data.pendingInsert=t;else for(var r=0;r<t.length;++r)t[r].data.hook.insert(t[r])}var S=g("attrs,class,staticClass,staticStyle,key");function C(e,t,n,r){var i,s=t.tag,c=t.data,u=t.children;if(r=r||c&&c.pre,t.elm=e,a(t.isComment)&&o(t.asyncFactory))return t.isAsyncPlaceholder=!0,!0;if(o(c)&&(o(i=c.hook)&&o(i=i.init)&&i(t,!0),o(i=t.componentInstance)))return d(t,n),!0;if(o(s)){if(o(u))if(e.hasChildNodes())if(o(i=c)&&o(i=i.domProps)&&o(i=i.innerHTML)){if(i!==e.innerHTML)return!1}else{for(var l=!0,f=e.firstChild,p=0;p<u.length;p++){if(!f||!C(f,u[p],n,r)){l=!1;break}f=f.nextSibling}if(!l||f)return!1}else h(t,u,n);if(o(c)){var v=!1;for(var g in c)if(!S(g)){v=!0,m(t,n);break}!v&&c.class&&ot(c.class)}}else e.data!==t.text&&(e.data=t.text);return!0}return function(e,t,n,s){if(!i(t)){var c,l=!1,d=[];if(i(e))l=!0,f(t,d);else{var p=o(e.nodeType);if(!p&&ar(e,t))O(e,t,d,null,null,s);else{if(p){if(1===e.nodeType&&e.hasAttribute("data-server-rendered")&&(e.removeAttribute("data-server-rendered"),n=!0),a(n)&&C(e,t,d))return A(t,d,!0),e;c=e,e=new ge(u.tagName(c).toLowerCase(),{},[],void 0,c)}var h=e.elm,g=u.parentNode(h);if(f(t,d,h._leaveCb?null:g,u.nextSibling(h)),o(t.parent))for(var m=t.parent,y=v(t);m;){for(var b=0;b<r.destroy.length;++b)r.destroy[b](m);if(m.elm=t.elm,y){for(var w=0;w<r.create.length;++w)r.create[w](ir,m);var E=m.data.hook.insert;if(E.merged)for(var S=1;S<E.fns.length;S++)E.fns[S]()}else rr(m);m=m.parent}o(g)?x([e],0,0):o(e.tag)&&_(e)}}return A(t,d,l),t.elm}o(e)&&_(e)}}({nodeOps:tr,modules:[yr,Sr,ni,oi,gi,W?{create:Ui,activate:Ui,remove:function(e,t){!0!==e.data.show?Mi(e,t):t()}}:{}].concat(hr)});Y&&document.addEventListener("selectionchange",(function(){var e=document.activeElement;e&&e.vmodel&&Ki(e,"input")}));var Gi={inserted:function(e,t,n,r){"select"===n.tag?(r.elm&&!r.elm._vOptions?ut(n,"postpatch",(function(){Gi.componentUpdated(e,t,n)})):Hi(e,t,n.context),e._vOptions=[].map.call(e.options,zi)):("textarea"===n.tag||Qn(e.type))&&(e._vModifiers=t.modifiers,t.modifiers.lazy||(e.addEventListener("compositionstart",Wi),e.addEventListener("compositionend",Xi),e.addEventListener("change",Xi),Y&&(e.vmodel=!0)))},componentUpdated:function(e,t,n){if("select"===n.tag){Hi(e,t,n.context);var r=e._vOptions,i=e._vOptions=[].map.call(e.options,zi);if(i.some((function(e,t){return!j(e,r[t])})))(e.multiple?t.value.some((function(e){return Vi(e,i)})):t.value!==t.oldValue&&Vi(t.value,i))&&Ki(e,"change")}}};function Hi(e,t,n){qi(e,t,n),(Z||Q)&&setTimeout((function(){qi(e,t,n)}),0)}function qi(e,t,n){var r=t.value,i=e.multiple;if(!i||Array.isArray(r)){for(var o,a,s=0,c=e.options.length;s<c;s++)if(a=e.options[s],i)o=P(r,zi(a))>-1,a.selected!==o&&(a.selected=o);else if(j(zi(a),r))return void(e.selectedIndex!==s&&(e.selectedIndex=s));i||(e.selectedIndex=-1)}}function Vi(e,t){return t.every((function(t){return!j(t,e)}))}function zi(e){return"_value"in e?e._value:e.value}function Wi(e){e.target.composing=!0}function Xi(e){e.target.composing&&(e.target.composing=!1,Ki(e.target,"input"))}function Ki(e,t){var n=document.createEvent("HTMLEvents");n.initEvent(t,!0,!0),e.dispatchEvent(n)}function Ji(e){return!e.componentInstance||e.data&&e.data.transition?e:Ji(e.componentInstance._vnode)}var Zi={model:Gi,show:{bind:function(e,t,n){var r=t.value,i=(n=Ji(n)).data&&n.data.transition,o=e.__vOriginalDisplay="none"===e.style.display?"":e.style.display;r&&i?(n.data.show=!0,Pi(n,(function(){e.style.display=o}))):e.style.display=r?o:"none"},update:function(e,t,n){var r=t.value;!r!=!t.oldValue&&((n=Ji(n)).data&&n.data.transition?(n.data.show=!0,r?Pi(n,(function(){e.style.display=e.__vOriginalDisplay})):Mi(n,(function(){e.style.display="none"}))):e.style.display=r?e.__vOriginalDisplay:"none")},unbind:function(e,t,n,r,i){i||(e.style.display=e.__vOriginalDisplay)}}},Yi={name:String,appear:Boolean,css:Boolean,mode:String,type:String,enterClass:String,leaveClass:String,enterToClass:String,leaveToClass:String,enterActiveClass:String,leaveActiveClass:String,appearClass:String,appearActiveClass:String,appearToClass:String,duration:[Number,String,Object]};function Qi(e){var t=e&&e.componentOptions;return t&&t.Ctor.options.abstract?Qi(zt(t.children)):e}function eo(e){var t={},n=e.$options;for(var r in n.propsData)t[r]=e[r];var i=n._parentListeners;for(var o in i)t[O(o)]=i[o];return t}function to(e,t){if(/\d-keep-alive$/.test(t.tag))return e("keep-alive",{props:t.componentOptions.propsData})}var no=function(e){return e.tag||Vt(e)},ro=function(e){return"show"===e.name},io={name:"transition",props:Yi,abstract:!0,render:function(e){var t=this,n=this.$slots.default;if(n&&(n=n.filter(no)).length){0;var r=this.mode;0;var i=n[0];if(function(e){for(;e=e.parent;)if(e.data.transition)return!0}(this.$vnode))return i;var o=Qi(i);if(!o)return i;if(this._leaving)return to(e,i);var a="__transition-"+this._uid+"-";o.key=null==o.key?o.isComment?a+"comment":a+o.tag:s(o.key)?0===String(o.key).indexOf(a)?o.key:a+o.key:o.key;var c=(o.data||(o.data={})).transition=eo(this),u=this._vnode,l=Qi(u);if(o.data.directives&&o.data.directives.some(ro)&&(o.data.show=!0),l&&l.data&&!function(e,t){return t.key===e.key&&t.tag===e.tag}(o,l)&&!Vt(l)&&(!l.componentInstance||!l.componentInstance._vnode.isComment)){var f=l.data.transition=T({},c);if("out-in"===r)return this._leaving=!0,ut(f,"afterLeave",(function(){t._leaving=!1,t.$forceUpdate()})),to(e,i);if("in-out"===r){if(Vt(o))return u;var d,p=function(){d()};ut(c,"afterEnter",p),ut(c,"enterCancelled",p),ut(f,"delayLeave",(function(e){d=e}))}}return i}}},oo=T({tag:String,moveClass:String},Yi);function ao(e){e.elm._moveCb&&e.elm._moveCb(),e.elm._enterCb&&e.elm._enterCb()}function so(e){e.data.newPos=e.elm.getBoundingClientRect()}function co(e){var t=e.data.pos,n=e.data.newPos,r=t.left-n.left,i=t.top-n.top;if(r||i){e.data.moved=!0;var o=e.elm.style;o.transform=o.WebkitTransform="translate("+r+"px,"+i+"px)",o.transitionDuration="0s"}}delete oo.mode;var uo={Transition:io,TransitionGroup:{props:oo,beforeMount:function(){var e=this,t=this._update;this._update=function(n,r){var i=Yt(e);e.__patch__(e._vnode,e.kept,!1,!0),e._vnode=e.kept,i(),t.call(e,n,r)}},render:function(e){for(var t=this.tag||this.$vnode.data.tag||"span",n=Object.create(null),r=this.prevChildren=this.children,i=this.$slots.default||[],o=this.children=[],a=eo(this),s=0;s<i.length;s++){var c=i[s];if(c.tag)if(null!=c.key&&0!==String(c.key).indexOf("__vlist"))o.push(c),n[c.key]=c,(c.data||(c.data={})).transition=a;else;}if(r){for(var u=[],l=[],f=0;f<r.length;f++){var d=r[f];d.data.transition=a,d.data.pos=d.elm.getBoundingClientRect(),n[d.key]?u.push(d):l.push(d)}this.kept=e(t,null,u),this.removed=l}return e(t,null,o)},updated:function(){var e=this.prevChildren,t=this.moveClass||(this.name||"v")+"-move";e.length&&this.hasMove(e[0].elm,t)&&(e.forEach(ao),e.forEach(so),e.forEach(co),this._reflow=document.body.offsetHeight,e.forEach((function(e){if(e.data.moved){var n=e.elm,r=n.style;$i(n,t),r.transform=r.WebkitTransform=r.transitionDuration="",n.addEventListener(Oi,n._moveCb=function e(r){r&&r.target!==n||r&&!/transform$/.test(r.propertyName)||(n.removeEventListener(Oi,e),n._moveCb=null,Ti(n,t))})}})))},methods:{hasMove:function(e,t){if(!wi)return!1;if(this._hasMove)return this._hasMove;var n=e.cloneNode();e._transitionClasses&&e._transitionClasses.forEach((function(e){bi(n,e)})),yi(n,t),n.style.display="none",this.$el.appendChild(n);var r=Ni(n);return this.$el.removeChild(n),this._hasMove=r.hasTransform}}}};An.config.mustUseProp=jn,An.config.isReservedTag=Jn,An.config.isReservedAttr=Nn,An.config.getTagNamespace=Zn,An.config.isUnknownElement=function(e){if(!W)return!0;if(Jn(e))return!1;if(e=e.toLowerCase(),null!=Yn[e])return Yn[e];var t=document.createElement(e);return e.indexOf("-")>-1?Yn[e]=t.constructor===window.HTMLUnknownElement||t.constructor===window.HTMLElement:Yn[e]=/HTMLUnknownElement/.test(t.toString())},T(An.options.directives,Zi),T(An.options.components,uo),An.prototype.__patch__=W?Bi:R,An.prototype.$mount=function(e,t){return function(e,t,n){var r;return e.$el=t,e.$options.render||(e.$options.render=ye),tn(e,"beforeMount"),r=function(){e._update(e._render(),n)},new hn(e,r,R,{before:function(){e._isMounted&&!e._isDestroyed&&tn(e,"beforeUpdate")}},!0),n=!1,null==e.$vnode&&(e._isMounted=!0,tn(e,"mounted")),e}(this,e=e&&W?er(e):void 0,t)},W&&setTimeout((function(){U.devtools&&ae&&ae.emit("init",An)}),0);var lo=/\{\{((?:.|\r?\n)+?)\}\}/g,fo=/[-.*+?^${}()|[\]\/\\]/g,po=w((function(e){var t=e[0].replace(fo,"\\$&"),n=e[1].replace(fo,"\\$&");return new RegExp(t+"((?:.|\\n)+?)"+n,"g")}));var ho={staticKeys:["staticClass"],transformNode:function(e,t){t.warn;var n=Fr(e,"class");n&&(e.staticClass=JSON.stringify(n));var r=Dr(e,"class",!1);r&&(e.classBinding=r)},genData:function(e){var t="";return e.staticClass&&(t+="staticClass:"+e.staticClass+","),e.classBinding&&(t+="class:"+e.classBinding+","),t}};var vo,go={staticKeys:["staticStyle"],transformNode:function(e,t){t.warn;var n=Fr(e,"style");n&&(e.staticStyle=JSON.stringify(ai(n)));var r=Dr(e,"style",!1);r&&(e.styleBinding=r)},genData:function(e){var t="";return e.staticStyle&&(t+="staticStyle:"+e.staticStyle+","),e.styleBinding&&(t+="style:("+e.styleBinding+"),"),t}},mo=function(e){return(vo=vo||document.createElement("div")).innerHTML=e,vo.textContent},yo=g("area,base,br,col,embed,frame,hr,img,input,isindex,keygen,link,meta,param,source,track,wbr"),bo=g("colgroup,dd,dt,li,options,p,td,tfoot,th,thead,tr,source"),_o=g("address,article,aside,base,blockquote,body,caption,col,colgroup,dd,details,dialog,div,dl,dt,fieldset,figcaption,figure,footer,form,h1,h2,h3,h4,h5,h6,head,header,hgroup,hr,html,legend,li,menuitem,meta,optgroup,option,param,rp,rt,source,style,summary,tbody,td,tfoot,th,thead,title,tr,track"),xo=/^\s*([^\s"'<>\/=]+)(?:\s*(=)\s*(?:"([^"]*)"+|'([^']*)'+|([^\s"'=<>`]+)))?/,wo=/^\s*((?:v-[\w-]+:|@|:|#)\[[^=]+\][^\s"'<>\/=]*)(?:\s*(=)\s*(?:"([^"]*)"+|'([^']*)'+|([^\s"'=<>`]+)))?/,Eo="[a-zA-Z_][\\-\\.0-9_a-zA-Z"+B.source+"]*",Oo="((?:"+Eo+"\\:)?"+Eo+")",Ao=new RegExp("^<"+Oo),So=/^\s*(\/?)>/,Co=new RegExp("^<\\/"+Oo+"[^>]*>"),ko=/^<!DOCTYPE [^>]+>/i,$o=/^<!\--/,To=/^<!\[/,Io=g("script,style,textarea",!0),Ro={},No={"&lt;":"<","&gt;":">","&quot;":'"',"&amp;":"&","&#10;":"\n","&#9;":"\t","&#39;":"'"},Lo=/&(?:lt|gt|quot|amp|#39);/g,jo=/&(?:lt|gt|quot|amp|#39|#10|#9);/g,Po=g("pre,textarea",!0),Mo=function(e,t){return e&&Po(e)&&"\n"===t[0]};function Do(e,t){var n=t?jo:Lo;return e.replace(n,(function(e){return No[e]}))}var Fo,Uo,Bo,Go,Ho,qo,Vo,zo,Wo=/^@|^v-on:/,Xo=/^v-|^@|^:|^#/,Ko=/([\s\S]*?)\s+(?:in|of)\s+([\s\S]*)/,Jo=/,([^,\}\]]*)(?:,([^,\}\]]*))?$/,Zo=/^\(|\)$/g,Yo=/^\[.*\]$/,Qo=/:(.*)$/,ea=/^:|^\.|^v-bind:/,ta=/\.[^.\]]+(?=[^\]]*$)/g,na=/^v-slot(:|$)|^#/,ra=/[\r\n]/,ia=/\s+/g,oa=w(mo);function aa(e,t,n){return{type:1,tag:e,attrsList:t,attrsMap:pa(t),rawAttrsMap:{},parent:n,children:[]}}function sa(e,t){Fo=t.warn||Tr,qo=t.isPreTag||N,Vo=t.mustUseProp||N,zo=t.getTagNamespace||N;var n=t.isReservedTag||N;(function(e){return!!e.component||!n(e.tag)}),Bo=Ir(t.modules,"transformNode"),Go=Ir(t.modules,"preTransformNode"),Ho=Ir(t.modules,"postTransformNode"),Uo=t.delimiters;var r,i,o=[],a=!1!==t.preserveWhitespace,s=t.whitespace,c=!1,u=!1;function l(e){if(f(e),c||e.processed||(e=ca(e,t)),o.length||e===r||r.if&&(e.elseif||e.else)&&la(r,{exp:e.elseif,block:e}),i&&!e.forbidden)if(e.elseif||e.else)a=e,(s=function(e){for(var t=e.length;t--;){if(1===e[t].type)return e[t];e.pop()}}(i.children))&&s.if&&la(s,{exp:a.elseif,block:a});else{if(e.slotScope){var n=e.slotTarget||'"default"';(i.scopedSlots||(i.scopedSlots={}))[n]=e}i.children.push(e),e.parent=i}var a,s;e.children=e.children.filter((function(e){return!e.slotScope})),f(e),e.pre&&(c=!1),qo(e.tag)&&(u=!1);for(var l=0;l<Ho.length;l++)Ho[l](e,t)}function f(e){if(!u)for(var t;(t=e.children[e.children.length-1])&&3===t.type&&" "===t.text;)e.children.pop()}return function(e,t){for(var n,r,i=[],o=t.expectHTML,a=t.isUnaryTag||N,s=t.canBeLeftOpenTag||N,c=0;e;){if(n=e,r&&Io(r)){var u=0,l=r.toLowerCase(),f=Ro[l]||(Ro[l]=new RegExp("([\\s\\S]*?)(</"+l+"[^>]*>)","i")),d=e.replace(f,(function(e,n,r){return u=r.length,Io(l)||"noscript"===l||(n=n.replace(/<!\--([\s\S]*?)-->/g,"$1").replace(/<!\[CDATA\[([\s\S]*?)]]>/g,"$1")),Mo(l,n)&&(n=n.slice(1)),t.chars&&t.chars(n),""}));c+=e.length-d.length,e=d,S(l,c-u,c)}else{var p=e.indexOf("<");if(0===p){if($o.test(e)){var h=e.indexOf("--\x3e");if(h>=0){t.shouldKeepComment&&t.comment(e.substring(4,h),c,c+h+3),E(h+3);continue}}if(To.test(e)){var v=e.indexOf("]>");if(v>=0){E(v+2);continue}}var g=e.match(ko);if(g){E(g[0].length);continue}var m=e.match(Co);if(m){var y=c;E(m[0].length),S(m[1],y,c);continue}var b=O();if(b){A(b),Mo(b.tagName,e)&&E(1);continue}}var _=void 0,x=void 0,w=void 0;if(p>=0){for(x=e.slice(p);!(Co.test(x)||Ao.test(x)||$o.test(x)||To.test(x)||(w=x.indexOf("<",1))<0);)p+=w,x=e.slice(p);_=e.substring(0,p)}p<0&&(_=e),_&&E(_.length),t.chars&&_&&t.chars(_,c-_.length,c)}if(e===n){t.chars&&t.chars(e);break}}function E(t){c+=t,e=e.substring(t)}function O(){var t=e.match(Ao);if(t){var n,r,i={tagName:t[1],attrs:[],start:c};for(E(t[0].length);!(n=e.match(So))&&(r=e.match(wo)||e.match(xo));)r.start=c,E(r[0].length),r.end=c,i.attrs.push(r);if(n)return i.unarySlash=n[1],E(n[0].length),i.end=c,i}}function A(e){var n=e.tagName,c=e.unarySlash;o&&("p"===r&&_o(n)&&S(r),s(n)&&r===n&&S(n));for(var u=a(n)||!!c,l=e.attrs.length,f=new Array(l),d=0;d<l;d++){var p=e.attrs[d],h=p[3]||p[4]||p[5]||"",v="a"===n&&"href"===p[1]?t.shouldDecodeNewlinesForHref:t.shouldDecodeNewlines;f[d]={name:p[1],value:Do(h,v)}}u||(i.push({tag:n,lowerCasedTag:n.toLowerCase(),attrs:f,start:e.start,end:e.end}),r=n),t.start&&t.start(n,f,u,e.start,e.end)}function S(e,n,o){var a,s;if(null==n&&(n=c),null==o&&(o=c),e)for(s=e.toLowerCase(),a=i.length-1;a>=0&&i[a].lowerCasedTag!==s;a--);else a=0;if(a>=0){for(var u=i.length-1;u>=a;u--)t.end&&t.end(i[u].tag,n,o);i.length=a,r=a&&i[a-1].tag}else"br"===s?t.start&&t.start(e,[],!0,n,o):"p"===s&&(t.start&&t.start(e,[],!1,n,o),t.end&&t.end(e,n,o))}S()}(e,{warn:Fo,expectHTML:t.expectHTML,isUnaryTag:t.isUnaryTag,canBeLeftOpenTag:t.canBeLeftOpenTag,shouldDecodeNewlines:t.shouldDecodeNewlines,shouldDecodeNewlinesForHref:t.shouldDecodeNewlinesForHref,shouldKeepComment:t.comments,outputSourceRange:t.outputSourceRange,start:function(e,n,a,s,f){var d=i&&i.ns||zo(e);Z&&"svg"===d&&(n=function(e){for(var t=[],n=0;n<e.length;n++){var r=e[n];ha.test(r.name)||(r.name=r.name.replace(va,""),t.push(r))}return t}(n));var p,h=aa(e,n,i);d&&(h.ns=d),"style"!==(p=h).tag&&("script"!==p.tag||p.attrsMap.type&&"text/javascript"!==p.attrsMap.type)||oe()||(h.forbidden=!0);for(var v=0;v<Go.length;v++)h=Go[v](h,t)||h;c||(!function(e){null!=Fr(e,"v-pre")&&(e.pre=!0)}(h),h.pre&&(c=!0)),qo(h.tag)&&(u=!0),c?function(e){var t=e.attrsList,n=t.length;if(n)for(var r=e.attrs=new Array(n),i=0;i<n;i++)r[i]={name:t[i].name,value:JSON.stringify(t[i].value)},null!=t[i].start&&(r[i].start=t[i].start,r[i].end=t[i].end);else e.pre||(e.plain=!0)}(h):h.processed||(ua(h),function(e){var t=Fr(e,"v-if");if(t)e.if=t,la(e,{exp:t,block:e});else{null!=Fr(e,"v-else")&&(e.else=!0);var n=Fr(e,"v-else-if");n&&(e.elseif=n)}}(h),function(e){null!=Fr(e,"v-once")&&(e.once=!0)}(h)),r||(r=h),a?l(h):(i=h,o.push(h))},end:function(e,t,n){var r=o[o.length-1];o.length-=1,i=o[o.length-1],l(r)},chars:function(e,t,n){if(i&&(!Z||"textarea"!==i.tag||i.attrsMap.placeholder!==e)){var r,o,l,f=i.children;if(e=u||e.trim()?"script"===(r=i).tag||"style"===r.tag?e:oa(e):f.length?s?"condense"===s&&ra.test(e)?"":" ":a?" ":"":"")u||"condense"!==s||(e=e.replace(ia," ")),!c&&" "!==e&&(o=function(e,t){var n=t?po(t):lo;if(n.test(e)){for(var r,i,o,a=[],s=[],c=n.lastIndex=0;r=n.exec(e);){(i=r.index)>c&&(s.push(o=e.slice(c,i)),a.push(JSON.stringify(o)));var u=kr(r[1].trim());a.push("_s("+u+")"),s.push({"@binding":u}),c=i+r[0].length}return c<e.length&&(s.push(o=e.slice(c)),a.push(JSON.stringify(o))),{expression:a.join("+"),tokens:s}}}(e,Uo))?l={type:2,expression:o.expression,tokens:o.tokens,text:e}:" "===e&&f.length&&" "===f[f.length-1].text||(l={type:3,text:e}),l&&f.push(l)}},comment:function(e,t,n){if(i){var r={type:3,text:e,isComment:!0};0,i.children.push(r)}}}),r}function ca(e,t){var n;!function(e){var t=Dr(e,"key");if(t){e.key=t}}(e),e.plain=!e.key&&!e.scopedSlots&&!e.attrsList.length,function(e){var t=Dr(e,"ref");t&&(e.ref=t,e.refInFor=function(e){var t=e;for(;t;){if(void 0!==t.for)return!0;t=t.parent}return!1}(e))}(e),function(e){var t;"template"===e.tag?(t=Fr(e,"scope"),e.slotScope=t||Fr(e,"slot-scope")):(t=Fr(e,"slot-scope"))&&(e.slotScope=t);var n=Dr(e,"slot");n&&(e.slotTarget='""'===n?'"default"':n,e.slotTargetDynamic=!(!e.attrsMap[":slot"]&&!e.attrsMap["v-bind:slot"]),"template"===e.tag||e.slotScope||Nr(e,"slot",n,function(e,t){return e.rawAttrsMap[":"+t]||e.rawAttrsMap["v-bind:"+t]||e.rawAttrsMap[t]}(e,"slot")));if("template"===e.tag){var r=Ur(e,na);if(r){0;var i=fa(r),o=i.name,a=i.dynamic;e.slotTarget=o,e.slotTargetDynamic=a,e.slotScope=r.value||"_empty_"}}else{var s=Ur(e,na);if(s){0;var c=e.scopedSlots||(e.scopedSlots={}),u=fa(s),l=u.name,f=u.dynamic,d=c[l]=aa("template",[],e);d.slotTarget=l,d.slotTargetDynamic=f,d.children=e.children.filter((function(e){if(!e.slotScope)return e.parent=d,!0})),d.slotScope=s.value||"_empty_",e.children=[],e.plain=!1}}}(e),"slot"===(n=e).tag&&(n.slotName=Dr(n,"name")),function(e){var t;(t=Dr(e,"is"))&&(e.component=t);null!=Fr(e,"inline-template")&&(e.inlineTemplate=!0)}(e);for(var r=0;r<Bo.length;r++)e=Bo[r](e,t)||e;return function(e){var t,n,r,i,o,a,s,c,u=e.attrsList;for(t=0,n=u.length;t<n;t++){if(r=i=u[t].name,o=u[t].value,Xo.test(r))if(e.hasBindings=!0,(a=da(r.replace(Xo,"")))&&(r=r.replace(ta,"")),ea.test(r))r=r.replace(ea,""),o=kr(o),(c=Yo.test(r))&&(r=r.slice(1,-1)),a&&(a.prop&&!c&&"innerHtml"===(r=O(r))&&(r="innerHTML"),a.camel&&!c&&(r=O(r)),a.sync&&(s=Hr(o,"$event"),c?Mr(e,'"update:"+('+r+")",s,null,!1,0,u[t],!0):(Mr(e,"update:"+O(r),s,null,!1,0,u[t]),C(r)!==O(r)&&Mr(e,"update:"+C(r),s,null,!1,0,u[t])))),a&&a.prop||!e.component&&Vo(e.tag,e.attrsMap.type,r)?Rr(e,r,o,u[t],c):Nr(e,r,o,u[t],c);else if(Wo.test(r))r=r.replace(Wo,""),(c=Yo.test(r))&&(r=r.slice(1,-1)),Mr(e,r,o,a,!1,0,u[t],c);else{var l=(r=r.replace(Xo,"")).match(Qo),f=l&&l[1];c=!1,f&&(r=r.slice(0,-(f.length+1)),Yo.test(f)&&(f=f.slice(1,-1),c=!0)),jr(e,r,i,o,f,c,a,u[t])}else Nr(e,r,JSON.stringify(o),u[t]),!e.component&&"muted"===r&&Vo(e.tag,e.attrsMap.type,r)&&Rr(e,r,"true",u[t])}}(e),e}function ua(e){var t;if(t=Fr(e,"v-for")){var n=function(e){var t=e.match(Ko);if(!t)return;var n={};n.for=t[2].trim();var r=t[1].trim().replace(Zo,""),i=r.match(Jo);i?(n.alias=r.replace(Jo,"").trim(),n.iterator1=i[1].trim(),i[2]&&(n.iterator2=i[2].trim())):n.alias=r;return n}(t);n&&T(e,n)}}function la(e,t){e.ifConditions||(e.ifConditions=[]),e.ifConditions.push(t)}function fa(e){var t=e.name.replace(na,"");return t||"#"!==e.name[0]&&(t="default"),Yo.test(t)?{name:t.slice(1,-1),dynamic:!0}:{name:'"'+t+'"',dynamic:!1}}function da(e){var t=e.match(ta);if(t){var n={};return t.forEach((function(e){n[e.slice(1)]=!0})),n}}function pa(e){for(var t={},n=0,r=e.length;n<r;n++)t[e[n].name]=e[n].value;return t}var ha=/^xmlns:NS\d+/,va=/^NS\d+:/;function ga(e){return aa(e.tag,e.attrsList.slice(),e.parent)}var ma=[ho,go,{preTransformNode:function(e,t){if("input"===e.tag){var n,r=e.attrsMap;if(!r["v-model"])return;if((r[":type"]||r["v-bind:type"])&&(n=Dr(e,"type")),r.type||n||!r["v-bind"]||(n="("+r["v-bind"]+").type"),n){var i=Fr(e,"v-if",!0),o=i?"&&("+i+")":"",a=null!=Fr(e,"v-else",!0),s=Fr(e,"v-else-if",!0),c=ga(e);ua(c),Lr(c,"type","checkbox"),ca(c,t),c.processed=!0,c.if="("+n+")==='checkbox'"+o,la(c,{exp:c.if,block:c});var u=ga(e);Fr(u,"v-for",!0),Lr(u,"type","radio"),ca(u,t),la(c,{exp:"("+n+")==='radio'"+o,block:u});var l=ga(e);return Fr(l,"v-for",!0),Lr(l,":type",n),ca(l,t),la(c,{exp:i,block:l}),a?c.else=!0:s&&(c.elseif=s),c}}}}];var ya,ba,_a={expectHTML:!0,modules:ma,directives:{model:function(e,t,n){n;var r=t.value,i=t.modifiers,o=e.tag,a=e.attrsMap.type;if(e.component)return Gr(e,r,i),!1;if("select"===o)!function(e,t,n){var r='var $$selectedVal = Array.prototype.filter.call($event.target.options,function(o){return o.selected}).map(function(o){var val = "_value" in o ? o._value : o.value;return '+(n&&n.number?"_n(val)":"val")+"});";r=r+" "+Hr(t,"$event.target.multiple ? $$selectedVal : $$selectedVal[0]"),Mr(e,"change",r,null,!0)}(e,r,i);else if("input"===o&&"checkbox"===a)!function(e,t,n){var r=n&&n.number,i=Dr(e,"value")||"null",o=Dr(e,"true-value")||"true",a=Dr(e,"false-value")||"false";Rr(e,"checked","Array.isArray("+t+")?_i("+t+","+i+")>-1"+("true"===o?":("+t+")":":_q("+t+","+o+")")),Mr(e,"change","var $$a="+t+",$$el=$event.target,$$c=$$el.checked?("+o+"):("+a+");if(Array.isArray($$a)){var $$v="+(r?"_n("+i+")":i)+",$$i=_i($$a,$$v);if($$el.checked){$$i<0&&("+Hr(t,"$$a.concat([$$v])")+")}else{$$i>-1&&("+Hr(t,"$$a.slice(0,$$i).concat($$a.slice($$i+1))")+")}}else{"+Hr(t,"$$c")+"}",null,!0)}(e,r,i);else if("input"===o&&"radio"===a)!function(e,t,n){var r=n&&n.number,i=Dr(e,"value")||"null";Rr(e,"checked","_q("+t+","+(i=r?"_n("+i+")":i)+")"),Mr(e,"change",Hr(t,i),null,!0)}(e,r,i);else if("input"===o||"textarea"===o)!function(e,t,n){var r=e.attrsMap.type;0;var i=n||{},o=i.lazy,a=i.number,s=i.trim,c=!o&&"range"!==r,u=o?"change":"range"===r?"__r":"input",l="$event.target.value";s&&(l="$event.target.value.trim()");a&&(l="_n("+l+")");var f=Hr(t,l);c&&(f="if($event.target.composing)return;"+f);Rr(e,"value","("+t+")"),Mr(e,u,f,null,!0),(s||a)&&Mr(e,"blur","$forceUpdate()")}(e,r,i);else{if(!U.isReservedTag(o))return Gr(e,r,i),!1}return!0},text:function(e,t){t.value&&Rr(e,"textContent","_s("+t.value+")",t)},html:function(e,t){t.value&&Rr(e,"innerHTML","_s("+t.value+")",t)}},isPreTag:function(e){return"pre"===e},isUnaryTag:yo,mustUseProp:jn,canBeLeftOpenTag:bo,isReservedTag:Jn,getTagNamespace:Zn,staticKeys:function(e){return e.reduce((function(e,t){return e.concat(t.staticKeys||[])}),[]).join(",")}(ma)},xa=w((function(e){return g("type,tag,attrsList,attrsMap,plain,parent,children,attrs,start,end,rawAttrsMap"+(e?","+e:""))}));function wa(e,t){e&&(ya=xa(t.staticKeys||""),ba=t.isReservedTag||N,function e(t){if(t.static=function(e){if(2===e.type)return!1;if(3===e.type)return!0;return!(!e.pre&&(e.hasBindings||e.if||e.for||m(e.tag)||!ba(e.tag)||function(e){for(;e.parent;){if("template"!==(e=e.parent).tag)return!1;if(e.for)return!0}return!1}(e)||!Object.keys(e).every(ya)))}(t),1===t.type){if(!ba(t.tag)&&"slot"!==t.tag&&null==t.attrsMap["inline-template"])return;for(var n=0,r=t.children.length;n<r;n++){var i=t.children[n];e(i),i.static||(t.static=!1)}if(t.ifConditions)for(var o=1,a=t.ifConditions.length;o<a;o++){var s=t.ifConditions[o].block;e(s),s.static||(t.static=!1)}}}(e),function e(t,n){if(1===t.type){if((t.static||t.once)&&(t.staticInFor=n),t.static&&t.children.length&&(1!==t.children.length||3!==t.children[0].type))return void(t.staticRoot=!0);if(t.staticRoot=!1,t.children)for(var r=0,i=t.children.length;r<i;r++)e(t.children[r],n||!!t.for);if(t.ifConditions)for(var o=1,a=t.ifConditions.length;o<a;o++)e(t.ifConditions[o].block,n)}}(e,!1))}var Ea=/^([\w$_]+|\([^)]*?\))\s*=>|^function(?:\s+[\w$]+)?\s*\(/,Oa=/\([^)]*?\);*$/,Aa=/^[A-Za-z_$][\w$]*(?:\.[A-Za-z_$][\w$]*|\['[^']*?']|\["[^"]*?"]|\[\d+]|\[[A-Za-z_$][\w$]*])*$/,Sa={esc:27,tab:9,enter:13,space:32,up:38,left:37,right:39,down:40,delete:[8,46]},Ca={esc:["Esc","Escape"],tab:"Tab",enter:"Enter",space:[" ","Spacebar"],up:["Up","ArrowUp"],left:["Left","ArrowLeft"],right:["Right","ArrowRight"],down:["Down","ArrowDown"],delete:["Backspace","Delete","Del"]},ka=function(e){return"if("+e+")return null;"},$a={stop:"$event.stopPropagation();",prevent:"$event.preventDefault();",self:ka("$event.target !== $event.currentTarget"),ctrl:ka("!$event.ctrlKey"),shift:ka("!$event.shiftKey"),alt:ka("!$event.altKey"),meta:ka("!$event.metaKey"),left:ka("'button' in $event && $event.button !== 0"),middle:ka("'button' in $event && $event.button !== 1"),right:ka("'button' in $event && $event.button !== 2")};function Ta(e,t){var n=t?"nativeOn:":"on:",r="",i="";for(var o in e){var a=Ia(e[o]);e[o]&&e[o].dynamic?i+=o+","+a+",":r+='"'+o+'":'+a+","}return r="{"+r.slice(0,-1)+"}",i?n+"_d("+r+",["+i.slice(0,-1)+"])":n+r}function Ia(e){if(!e)return"function(){}";if(Array.isArray(e))return"["+e.map((function(e){return Ia(e)})).join(",")+"]";var t=Aa.test(e.value),n=Ea.test(e.value),r=Aa.test(e.value.replace(Oa,""));if(e.modifiers){var i="",o="",a=[];for(var s in e.modifiers)if($a[s])o+=$a[s],Sa[s]&&a.push(s);else if("exact"===s){var c=e.modifiers;o+=ka(["ctrl","shift","alt","meta"].filter((function(e){return!c[e]})).map((function(e){return"$event."+e+"Key"})).join("||"))}else a.push(s);return a.length&&(i+=function(e){return"if(!$event.type.indexOf('key')&&"+e.map(Ra).join("&&")+")return null;"}(a)),o&&(i+=o),"function($event){"+i+(t?"return "+e.value+"($event)":n?"return ("+e.value+")($event)":r?"return "+e.value:e.value)+"}"}return t||n?e.value:"function($event){"+(r?"return "+e.value:e.value)+"}"}function Ra(e){var t=parseInt(e,10);if(t)return"$event.keyCode!=="+t;var n=Sa[e],r=Ca[e];return"_k($event.keyCode,"+JSON.stringify(e)+","+JSON.stringify(n)+",$event.key,"+JSON.stringify(r)+")"}var Na={on:function(e,t){e.wrapListeners=function(e){return"_g("+e+","+t.value+")"}},bind:function(e,t){e.wrapData=function(n){return"_b("+n+",'"+e.tag+"',"+t.value+","+(t.modifiers&&t.modifiers.prop?"true":"false")+(t.modifiers&&t.modifiers.sync?",true":"")+")"}},cloak:R},La=function(e){this.options=e,this.warn=e.warn||Tr,this.transforms=Ir(e.modules,"transformCode"),this.dataGenFns=Ir(e.modules,"genData"),this.directives=T(T({},Na),e.directives);var t=e.isReservedTag||N;this.maybeComponent=function(e){return!!e.component||!t(e.tag)},this.onceId=0,this.staticRenderFns=[],this.pre=!1};function ja(e,t){var n=new La(t);return{render:"with(this){return "+(e?Pa(e,n):'_c("div")')+"}",staticRenderFns:n.staticRenderFns}}function Pa(e,t){if(e.parent&&(e.pre=e.pre||e.parent.pre),e.staticRoot&&!e.staticProcessed)return Ma(e,t);if(e.once&&!e.onceProcessed)return Da(e,t);if(e.for&&!e.forProcessed)return Ua(e,t);if(e.if&&!e.ifProcessed)return Fa(e,t);if("template"!==e.tag||e.slotTarget||t.pre){if("slot"===e.tag)return function(e,t){var n=e.slotName||'"default"',r=qa(e,t),i="_t("+n+(r?","+r:""),o=e.attrs||e.dynamicAttrs?Wa((e.attrs||[]).concat(e.dynamicAttrs||[]).map((function(e){return{name:O(e.name),value:e.value,dynamic:e.dynamic}}))):null,a=e.attrsMap["v-bind"];!o&&!a||r||(i+=",null");o&&(i+=","+o);a&&(i+=(o?"":",null")+","+a);return i+")"}(e,t);var n;if(e.component)n=function(e,t,n){var r=t.inlineTemplate?null:qa(t,n,!0);return"_c("+e+","+Ba(t,n)+(r?","+r:"")+")"}(e.component,e,t);else{var r;(!e.plain||e.pre&&t.maybeComponent(e))&&(r=Ba(e,t));var i=e.inlineTemplate?null:qa(e,t,!0);n="_c('"+e.tag+"'"+(r?","+r:"")+(i?","+i:"")+")"}for(var o=0;o<t.transforms.length;o++)n=t.transforms[o](e,n);return n}return qa(e,t)||"void 0"}function Ma(e,t){e.staticProcessed=!0;var n=t.pre;return e.pre&&(t.pre=e.pre),t.staticRenderFns.push("with(this){return "+Pa(e,t)+"}"),t.pre=n,"_m("+(t.staticRenderFns.length-1)+(e.staticInFor?",true":"")+")"}function Da(e,t){if(e.onceProcessed=!0,e.if&&!e.ifProcessed)return Fa(e,t);if(e.staticInFor){for(var n="",r=e.parent;r;){if(r.for){n=r.key;break}r=r.parent}return n?"_o("+Pa(e,t)+","+t.onceId+++","+n+")":Pa(e,t)}return Ma(e,t)}function Fa(e,t,n,r){return e.ifProcessed=!0,function e(t,n,r,i){if(!t.length)return i||"_e()";var o=t.shift();return o.exp?"("+o.exp+")?"+a(o.block)+":"+e(t,n,r,i):""+a(o.block);function a(e){return r?r(e,n):e.once?Da(e,n):Pa(e,n)}}(e.ifConditions.slice(),t,n,r)}function Ua(e,t,n,r){var i=e.for,o=e.alias,a=e.iterator1?","+e.iterator1:"",s=e.iterator2?","+e.iterator2:"";return e.forProcessed=!0,(r||"_l")+"(("+i+"),function("+o+a+s+"){return "+(n||Pa)(e,t)+"})"}function Ba(e,t){var n="{",r=function(e,t){var n=e.directives;if(!n)return;var r,i,o,a,s="directives:[",c=!1;for(r=0,i=n.length;r<i;r++){o=n[r],a=!0;var u=t.directives[o.name];u&&(a=!!u(e,o,t.warn)),a&&(c=!0,s+='{name:"'+o.name+'",rawName:"'+o.rawName+'"'+(o.value?",value:("+o.value+"),expression:"+JSON.stringify(o.value):"")+(o.arg?",arg:"+(o.isDynamicArg?o.arg:'"'+o.arg+'"'):"")+(o.modifiers?",modifiers:"+JSON.stringify(o.modifiers):"")+"},")}if(c)return s.slice(0,-1)+"]"}(e,t);r&&(n+=r+","),e.key&&(n+="key:"+e.key+","),e.ref&&(n+="ref:"+e.ref+","),e.refInFor&&(n+="refInFor:true,"),e.pre&&(n+="pre:true,"),e.component&&(n+='tag:"'+e.tag+'",');for(var i=0;i<t.dataGenFns.length;i++)n+=t.dataGenFns[i](e);if(e.attrs&&(n+="attrs:"+Wa(e.attrs)+","),e.props&&(n+="domProps:"+Wa(e.props)+","),e.events&&(n+=Ta(e.events,!1)+","),e.nativeEvents&&(n+=Ta(e.nativeEvents,!0)+","),e.slotTarget&&!e.slotScope&&(n+="slot:"+e.slotTarget+","),e.scopedSlots&&(n+=function(e,t,n){var r=e.for||Object.keys(t).some((function(e){var n=t[e];return n.slotTargetDynamic||n.if||n.for||Ga(n)})),i=!!e.if;if(!r)for(var o=e.parent;o;){if(o.slotScope&&"_empty_"!==o.slotScope||o.for){r=!0;break}o.if&&(i=!0),o=o.parent}var a=Object.keys(t).map((function(e){return Ha(t[e],n)})).join(",");return"scopedSlots:_u(["+a+"]"+(r?",null,true":"")+(!r&&i?",null,false,"+function(e){var t=5381,n=e.length;for(;n;)t=33*t^e.charCodeAt(--n);return t>>>0}(a):"")+")"}(e,e.scopedSlots,t)+","),e.model&&(n+="model:{value:"+e.model.value+",callback:"+e.model.callback+",expression:"+e.model.expression+"},"),e.inlineTemplate){var o=function(e,t){var n=e.children[0];0;if(n&&1===n.type){var r=ja(n,t.options);return"inlineTemplate:{render:function(){"+r.render+"},staticRenderFns:["+r.staticRenderFns.map((function(e){return"function(){"+e+"}"})).join(",")+"]}"}}(e,t);o&&(n+=o+",")}return n=n.replace(/,$/,"")+"}",e.dynamicAttrs&&(n="_b("+n+',"'+e.tag+'",'+Wa(e.dynamicAttrs)+")"),e.wrapData&&(n=e.wrapData(n)),e.wrapListeners&&(n=e.wrapListeners(n)),n}function Ga(e){return 1===e.type&&("slot"===e.tag||e.children.some(Ga))}function Ha(e,t){var n=e.attrsMap["slot-scope"];if(e.if&&!e.ifProcessed&&!n)return Fa(e,t,Ha,"null");if(e.for&&!e.forProcessed)return Ua(e,t,Ha);var r="_empty_"===e.slotScope?"":String(e.slotScope),i="function("+r+"){return "+("template"===e.tag?e.if&&n?"("+e.if+")?"+(qa(e,t)||"undefined")+":undefined":qa(e,t)||"undefined":Pa(e,t))+"}",o=r?"":",proxy:true";return"{key:"+(e.slotTarget||'"default"')+",fn:"+i+o+"}"}function qa(e,t,n,r,i){var o=e.children;if(o.length){var a=o[0];if(1===o.length&&a.for&&"template"!==a.tag&&"slot"!==a.tag){var s=n?t.maybeComponent(a)?",1":",0":"";return""+(r||Pa)(a,t)+s}var c=n?function(e,t){for(var n=0,r=0;r<e.length;r++){var i=e[r];if(1===i.type){if(Va(i)||i.ifConditions&&i.ifConditions.some((function(e){return Va(e.block)}))){n=2;break}(t(i)||i.ifConditions&&i.ifConditions.some((function(e){return t(e.block)})))&&(n=1)}}return n}(o,t.maybeComponent):0,u=i||za;return"["+o.map((function(e){return u(e,t)})).join(",")+"]"+(c?","+c:"")}}function Va(e){return void 0!==e.for||"template"===e.tag||"slot"===e.tag}function za(e,t){return 1===e.type?Pa(e,t):3===e.type&&e.isComment?function(e){return"_e("+JSON.stringify(e.text)+")"}(e):function(e){return"_v("+(2===e.type?e.expression:Xa(JSON.stringify(e.text)))+")"}(e)}function Wa(e){for(var t="",n="",r=0;r<e.length;r++){var i=e[r],o=Xa(i.value);i.dynamic?n+=i.name+","+o+",":t+='"'+i.name+'":'+o+","}return t="{"+t.slice(0,-1)+"}",n?"_d("+t+",["+n.slice(0,-1)+"])":t}function Xa(e){return e.replace(/\u2028/g,"\\u2028").replace(/\u2029/g,"\\u2029")}new RegExp("\\b"+"do,if,for,let,new,try,var,case,else,with,await,break,catch,class,const,super,throw,while,yield,delete,export,import,return,switch,default,extends,finally,continue,debugger,function,arguments".split(",").join("\\b|\\b")+"\\b"),new RegExp("\\b"+"delete,typeof,void".split(",").join("\\s*\\([^\\)]*\\)|\\b")+"\\s*\\([^\\)]*\\)");function Ka(e,t){try{return new Function(e)}catch(n){return t.push({err:n,code:e}),R}}function Ja(e){var t=Object.create(null);return function(n,r,i){(r=T({},r)).warn;delete r.warn;var o=r.delimiters?String(r.delimiters)+n:n;if(t[o])return t[o];var a=e(n,r);var s={},c=[];return s.render=Ka(a.render,c),s.staticRenderFns=a.staticRenderFns.map((function(e){return Ka(e,c)})),t[o]=s}}var Za,Ya,Qa=(Za=function(e,t){var n=sa(e.trim(),t);!1!==t.optimize&&wa(n,t);var r=ja(n,t);return{ast:n,render:r.render,staticRenderFns:r.staticRenderFns}},function(e){function t(t,n){var r=Object.create(e),i=[],o=[];if(n)for(var a in n.modules&&(r.modules=(e.modules||[]).concat(n.modules)),n.directives&&(r.directives=T(Object.create(e.directives||null),n.directives)),n)"modules"!==a&&"directives"!==a&&(r[a]=n[a]);r.warn=function(e,t,n){(n?o:i).push(e)};var s=Za(t.trim(),r);return s.errors=i,s.tips=o,s}return{compile:t,compileToFunctions:Ja(t)}})(_a),es=(Qa.compile,Qa.compileToFunctions);function ts(e){return(Ya=Ya||document.createElement("div")).innerHTML=e?'<a href="\n"/>':'<div a="\n"/>',Ya.innerHTML.indexOf("&#10;")>0}var ns=!!W&&ts(!1),rs=!!W&&ts(!0),is=w((function(e){var t=er(e);return t&&t.innerHTML})),os=An.prototype.$mount;An.prototype.$mount=function(e,t){if((e=e&&er(e))===document.body||e===document.documentElement)return this;var n=this.$options;if(!n.render){var r=n.template;if(r)if("string"==typeof r)"#"===r.charAt(0)&&(r=is(r));else{if(!r.nodeType)return this;r=r.innerHTML}else e&&(r=function(e){if(e.outerHTML)return e.outerHTML;var t=document.createElement("div");return t.appendChild(e.cloneNode(!0)),t.innerHTML}(e));if(r){0;var i=es(r,{outputSourceRange:!1,shouldDecodeNewlines:ns,shouldDecodeNewlinesForHref:rs,delimiters:n.delimiters,comments:n.comments},this),o=i.render,a=i.staticRenderFns;n.render=o,n.staticRenderFns=a}}return os.call(this,e,t)},An.compile=es,t.default=An}.call(this,n(46),n(168).setImmediate)},function(e,t){var n;n=function(){return this}();try{n=n||new Function("return this")()}catch(e){"object"==typeof window&&(n=window)}e.exports=n},function(e,t){var n,r,i=e.exports={};function o(){throw new Error("setTimeout has not been defined")}function a(){throw new Error("clearTimeout has not been defined")}function s(e){if(n===setTimeout)return setTimeout(e,0);if((n===o||!n)&&setTimeout)return n=setTimeout,setTimeout(e,0);try{return n(e,0)}catch(t){try{return n.call(null,e,0)}catch(t){return n.call(this,e,0)}}}!function(){try{n="function"==typeof setTimeout?setTimeout:o}catch(e){n=o}try{r="function"==typeof clearTimeout?clearTimeout:a}catch(e){r=a}}();var c,u=[],l=!1,f=-1;function d(){l&&c&&(l=!1,c.length?u=c.concat(u):f=-1,u.length&&p())}function p(){if(!l){var e=s(d);l=!0;for(var t=u.length;t;){for(c=u,u=[];++f<t;)c&&c[f].run();f=-1,t=u.length}c=null,l=!1,function(e){if(r===clearTimeout)return clearTimeout(e);if((r===a||!r)&&clearTimeout)return r=clearTimeout,clearTimeout(e);try{r(e)}catch(t){try{return r.call(null,e)}catch(t){return r.call(this,e)}}}(e)}}function h(e,t){this.fun=e,this.array=t}function v(){}i.nextTick=function(e){var t=new Array(arguments.length-1);if(arguments.length>1)for(var n=1;n<arguments.length;n++)t[n-1]=arguments[n];u.push(new h(e,t)),1!==u.length||l||s(p)},h.prototype.run=function(){this.fun.apply(null,this.array)},i.title="browser",i.browser=!0,i.env={},i.argv=[],i.version="",i.versions={},i.on=v,i.addListener=v,i.once=v,i.off=v,i.removeListener=v,i.removeAllListeners=v,i.emit=v,i.prependListener=v,i.prependOnceListener=v,i.listeners=function(e){return[]},i.binding=function(e){throw new Error("process.binding is not supported")},i.cwd=function(){return"/"},i.chdir=function(e){throw new Error("process.chdir is not supported")},i.umask=function(){return 0}},function(e,t,n){"use strict";n(60),Object.defineProperty(t,"__esModule",{value:!0}),t.loadState=function(e,t){var n=document.querySelector("#initial-state-".concat(e,"-").concat(t));if(null===n)throw new Error("Could not find initial state ".concat(t," of ").concat(e));try{return JSON.parse(atob(n.value))}catch(n){throw new Error("Could not parse initial state ".concat(t," of ").concat(e))}}},function(e,t,n){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var n=function(e,t){var n=e[1]||"",r=e[3];if(!r)return n;if(t&&"function"==typeof btoa){var i=(a=r,s=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),c="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(s),"/*# ".concat(c," */")),o=r.sources.map((function(e){return"/*# sourceURL=".concat(r.sourceRoot||"").concat(e," */")}));return[n].concat(o).concat([i]).join("\n")}var a,s,c;return[n].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(n,"}"):n})).join("")},t.i=function(e,n,r){"string"==typeof e&&(e=[[null,e,""]]);var i={};if(r)for(var o=0;o<this.length;o++){var a=this[o][0];null!=a&&(i[a]=!0)}for(var s=0;s<e.length;s++){var c=[].concat(e[s]);r&&i[c[0]]||(n&&(c[2]?c[2]="".concat(n," and ").concat(c[2]):c[2]=n),t.push(c))}},t}},function(e,t,n){"use strict";function r(e,t){for(var n=[],r={},i=0;i<t.length;i++){var o=t[i],a=o[0],s={id:e+":"+i,css:o[1],media:o[2],sourceMap:o[3]};r[a]?r[a].parts.push(s):n.push(r[a]={id:a,parts:[s]})}return n}n.r(t),n.d(t,"default",(function(){return p}));var i="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!i)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var o={},a=i&&(document.head||document.getElementsByTagName("head")[0]),s=null,c=0,u=!1,l=function(){},f=null,d="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function p(e,t,n,i){u=n,f=i||{};var a=r(e,t);return h(a),function(t){for(var n=[],i=0;i<a.length;i++){var s=a[i];(c=o[s.id]).refs--,n.push(c)}t?h(a=r(e,t)):a=[];for(i=0;i<n.length;i++){var c;if(0===(c=n[i]).refs){for(var u=0;u<c.parts.length;u++)c.parts[u]();delete o[c.id]}}}}function h(e){for(var t=0;t<e.length;t++){var n=e[t],r=o[n.id];if(r){r.refs++;for(var i=0;i<r.parts.length;i++)r.parts[i](n.parts[i]);for(;i<n.parts.length;i++)r.parts.push(g(n.parts[i]));r.parts.length>n.parts.length&&(r.parts.length=n.parts.length)}else{var a=[];for(i=0;i<n.parts.length;i++)a.push(g(n.parts[i]));o[n.id]={id:n.id,refs:1,parts:a}}}}function v(){var e=document.createElement("style");return e.type="text/css",a.appendChild(e),e}function g(e){var t,n,r=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(r){if(u)return l;r.parentNode.removeChild(r)}if(d){var i=c++;r=s||(s=v()),t=b.bind(null,r,i,!1),n=b.bind(null,r,i,!0)}else r=v(),t=_.bind(null,r),n=function(){r.parentNode.removeChild(r)};return t(e),function(r){if(r){if(r.css===e.css&&r.media===e.media&&r.sourceMap===e.sourceMap)return;t(e=r)}else n()}}var m,y=(m=[],function(e,t){return m[e]=t,m.filter(Boolean).join("\n")});function b(e,t,n,r){var i=n?"":r.css;if(e.styleSheet)e.styleSheet.cssText=y(t,i);else{var o=document.createTextNode(i),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(o,a[t]):e.appendChild(o)}}function _(e,t){var n=t.css,r=t.media,i=t.sourceMap;if(r&&e.setAttribute("media",r),f.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),i&&(n+="\n/*# sourceURL="+i.sources[0]+" */",n+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+" */"),e.styleSheet)e.styleSheet.cssText=n;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(n))}}},function(e,t,n){var r=n(43),i=n(12),o=n(161);r||i(Object.prototype,"toString",o,{unsafe:!0})},function(e,t,n){"use strict";var r=n(25),i=n(154),o=n(17),a=n(20),s=n(42),c=a.set,u=a.getterFor("Array Iterator");e.exports=s(Array,"Array",(function(e,t){c(this,{type:"Array Iterator",target:r(e),index:0,kind:t})}),(function(){var e=u(this),t=e.target,n=e.kind,r=e.index++;return!t||r>=t.length?(e.target=void 0,{value:void 0,done:!0}):"keys"==n?{value:r,done:!1}:"values"==n?{value:t[r],done:!1}:{value:[r,t[r]],done:!1}}),"values"),o.Arguments=o.Array,i("keys"),i("values"),i("entries")},function(e,t,n){"use strict";var r=n(10),i=n(44);r({target:"RegExp",proto:!0,forced:/./.exec!==i},{exec:i})},function(e,t,n){var r=n(7),i=n(69),o=n(24),a=n(25),s=n(34),c=n(4),u=n(70),l=Object.getOwnPropertyDescriptor;t.f=r?l:function(e,t){if(e=a(e),t=s(t,!0),u)try{return l(e,t)}catch(e){}if(c(e,t))return o(!i.f.call(e,t),e[t])}},function(e,t,n){var r=n(0),i=/#|\.prototype\./,o=function(e,t){var n=s[a(e)];return n==u||n!=c&&("function"==typeof t?r(t):!!t)},a=o.normalize=function(e){return String(e).replace(i,".").toLowerCase()},s=o.data={},c=o.NATIVE="N",u=o.POLYFILL="P";e.exports=o},function(e,t,n){var r=n(0),i=n(1),o=n(65),a=i("species");e.exports=function(e){return o>=51||!r((function(){var t=[];return(t.constructor={})[a]=function(){return{foo:1}},1!==t[e](Boolean).foo}))}},function(e,t){e.exports=function(e,t,n){if(!(e instanceof t))throw TypeError("Incorrect "+(n?n+" ":"")+"invocation");return e}},function(e,t,n){var r=n(10),i=n(113);r({target:"Object",stat:!0,forced:Object.assign!==i},{assign:i})},function(e,t,n){var r=n(6),i=n(87),o=n(1)("species");e.exports=function(e,t){var n;return i(e)&&("function"!=typeof(n=e.constructor)||n!==Array&&!i(n.prototype)?r(n)&&null===(n=n[o])&&(n=void 0):n=void 0),new(void 0===n?Array:n)(0===t?0:t)}},function(e,t,n){"use strict";var r=n(10),i=n(0),o=n(87),a=n(6),s=n(16),c=n(15),u=n(105),l=n(59),f=n(56),d=n(1),p=n(65),h=d("isConcatSpreadable"),v=p>=51||!i((function(){var e=[];return e[h]=!1,e.concat()[0]!==e})),g=f("concat"),m=function(e){if(!a(e))return!1;var t=e[h];return void 0!==t?!!t:o(e)};r({target:"Array",proto:!0,forced:!v||!g},{concat:function(e){var t,n,r,i,o,a=s(this),f=l(a,0),d=0;for(t=-1,r=arguments.length;t<r;t++)if(m(o=-1===t?a:arguments[t])){if(d+(i=c(o.length))>9007199254740991)throw TypeError("Maximum allowed index exceeded");for(n=0;n<i;n++,d++)n in o&&u(f,d,o[n])}else{if(d>=9007199254740991)throw TypeError("Maximum allowed index exceeded");u(f,d++,o)}return f.length=d,f}})},function(e,t,n){var r=n(2),i=n(6),o=r.document,a=i(o)&&i(o.createElement);e.exports=function(e){return a?o.createElement(e):{}}},function(e,t,n){var r=n(71),i=Function.toString;"function"!=typeof r.inspectSource&&(r.inspectSource=function(e){return i.call(e)}),e.exports=r.inspectSource},function(e,t,n){var r=n(30),i=n(36),o=n(16),a=n(15),s=n(59),c=[].push,u=function(e){var t=1==e,n=2==e,u=3==e,l=4==e,f=6==e,d=5==e||f;return function(p,h,v,g){for(var m,y,b=o(p),_=i(b),x=r(h,v,3),w=a(_.length),E=0,O=g||s,A=t?O(p,w):n?O(p,0):void 0;w>E;E++)if((d||E in _)&&(y=x(m=_[E],E,b),e))if(t)A[E]=y;else if(y)switch(e){case 3:return!0;case 5:return m;case 6:return E;case 2:c.call(A,m)}else if(l)return!1;return f?-1:u||l?l:A}};e.exports={forEach:u(0),map:u(1),filter:u(2),some:u(3),every:u(4),find:u(5),findIndex:u(6)}},function(e,t,n){"use strict";var r=n(0);e.exports=function(e,t){var n=[][e];return!!n&&r((function(){n.call(null,t||function(){throw 1},1)}))}},function(e,t,n){var r,i,o=n(2),a=n(114),s=o.process,c=s&&s.versions,u=c&&c.v8;u?i=(r=u.split("."))[0]+r[1]:a&&(!(r=a.match(/Edge\/(\d+)/))||r[1]>=74)&&(r=a.match(/Chrome\/(\d+)/))&&(i=r[1]),e.exports=i&&+i},function(e,t,n){var r=n(5),i=n(118),o=n(15),a=n(30),s=n(106),c=n(119),u=function(e,t){this.stopped=e,this.result=t};(e.exports=function(e,t,n,l,f){var d,p,h,v,g,m,y,b=a(t,n,l?2:1);if(f)d=e;else{if("function"!=typeof(p=s(e)))throw TypeError("Target is not iterable");if(i(p)){for(h=0,v=o(e.length);v>h;h++)if((g=l?b(r(y=e[h])[0],y[1]):b(e[h]))&&g instanceof u)return g;return new u(!1)}d=p.call(e)}for(m=d.next;!(y=m.call(d)).done;)if("object"==typeof(g=c(d,b,y.value,l))&&g&&g instanceof u)return g;return new u(!1)}).stop=function(e){return new u(!0,e)}},function(e,t,n){var r=n(43),i=n(19),o=n(1)("toStringTag"),a="Arguments"==i(function(){return arguments}());e.exports=r?i:function(e){var t,n,r;return void 0===e?"Undefined":null===e?"Null":"string"==typeof(n=function(e,t){try{return e[t]}catch(e){}}(t=Object(e),o))?n:a?i(t):"Object"==(r=i(t))&&"function"==typeof t.callee?"Arguments":r}},function(e,t,n){var r=n(23),i=n(21),o=function(e){return function(t,n){var o,a,s=String(i(t)),c=r(n),u=s.length;return c<0||c>=u?e?"":void 0:(o=s.charCodeAt(c))<55296||o>56319||c+1===u||(a=s.charCodeAt(c+1))<56320||a>57343?e?s.charAt(c):o:e?s.slice(c,c+2):a-56320+(o-55296<<10)+65536}};e.exports={codeAt:o(!1),charAt:o(!0)}},function(e,t,n){"use strict";var r={}.propertyIsEnumerable,i=Object.getOwnPropertyDescriptor,o=i&&!r.call({1:2},1);t.f=o?function(e){var t=i(this,e);return!!t&&t.enumerable}:r},function(e,t,n){var r=n(7),i=n(0),o=n(61);e.exports=!r&&!i((function(){return 7!=Object.defineProperty(o("div"),"a",{get:function(){return 7}}).a}))},function(e,t,n){var r=n(2),i=n(37),o=r["__core-js_shared__"]||i("__core-js_shared__",{});e.exports=o},function(e,t,n){var r=n(35),i=n(71);(e.exports=function(e,t){return i[e]||(i[e]=void 0!==t?t:{})})("versions",[]).push({version:"3.6.5",mode:r?"pure":"global",copyright:"© 2020 Denis Pushkarev (zloirock.ru)"})},function(e,t,n){var r=n(4),i=n(25),o=n(74).indexOf,a=n(26);e.exports=function(e,t){var n,s=i(e),c=0,u=[];for(n in s)!r(a,n)&&r(s,n)&&u.push(n);for(;t.length>c;)r(s,n=t[c++])&&(~o(u,n)||u.push(n));return u}},function(e,t,n){var r=n(25),i=n(15),o=n(108),a=function(e){return function(t,n,a){var s,c=r(t),u=i(c.length),l=o(a,u);if(e&&n!=n){for(;u>l;)if((s=c[l++])!=s)return!0}else for(;u>l;l++)if((e||l in c)&&c[l]===n)return e||l||0;return!e&&-1}};e.exports={includes:a(!0),indexOf:a(!1)}},function(e,t){t.f=Object.getOwnPropertySymbols},function(e,t,n){var r=n(73),i=n(40);e.exports=Object.keys||function(e){return r(e,i)}},function(e,t,n){"use strict";e.exports=function(e,t){return function(){for(var n=new Array(arguments.length),r=0;r<n.length;r++)n[r]=arguments[r];return e.apply(t,n)}}},function(e,t,n){"use strict";var r=n(3);function i(e){return encodeURIComponent(e).replace(/%40/gi,"@").replace(/%3A/gi,":").replace(/%24/g,"$").replace(/%2C/gi,",").replace(/%20/g,"+").replace(/%5B/gi,"[").replace(/%5D/gi,"]")}e.exports=function(e,t,n){if(!t)return e;var o;if(n)o=n(t);else if(r.isURLSearchParams(t))o=t.toString();else{var a=[];r.forEach(t,(function(e,t){null!=e&&(r.isArray(e)?t+="[]":e=[e],r.forEach(e,(function(e){r.isDate(e)?e=e.toISOString():r.isObject(e)&&(e=JSON.stringify(e)),a.push(i(t)+"="+i(e))})))})),o=a.join("&")}if(o){var s=e.indexOf("#");-1!==s&&(e=e.slice(0,s)),e+=(-1===e.indexOf("?")?"?":"&")+o}return e}},function(e,t,n){"use strict";e.exports=function(e){return!(!e||!e.__CANCEL__)}},function(e,t,n){"use strict";(function(t){var r=n(3),i=n(135),o={"Content-Type":"application/x-www-form-urlencoded"};function a(e,t){!r.isUndefined(e)&&r.isUndefined(e["Content-Type"])&&(e["Content-Type"]=t)}var s,c={adapter:(("undefined"!=typeof XMLHttpRequest||void 0!==t&&"[object process]"===Object.prototype.toString.call(t))&&(s=n(81)),s),transformRequest:[function(e,t){return i(t,"Accept"),i(t,"Content-Type"),r.isFormData(e)||r.isArrayBuffer(e)||r.isBuffer(e)||r.isStream(e)||r.isFile(e)||r.isBlob(e)?e:r.isArrayBufferView(e)?e.buffer:r.isURLSearchParams(e)?(a(t,"application/x-www-form-urlencoded;charset=utf-8"),e.toString()):r.isObject(e)?(a(t,"application/json;charset=utf-8"),JSON.stringify(e)):e}],transformResponse:[function(e){if("string"==typeof e)try{e=JSON.parse(e)}catch(e){}return e}],timeout:0,xsrfCookieName:"XSRF-TOKEN",xsrfHeaderName:"X-XSRF-TOKEN",maxContentLength:-1,validateStatus:function(e){return e>=200&&e<300}};c.headers={common:{Accept:"application/json, text/plain, */*"}},r.forEach(["delete","get","head"],(function(e){c.headers[e]={}})),r.forEach(["post","put","patch"],(function(e){c.headers[e]=r.merge(o)})),e.exports=c}).call(this,n(47))},function(e,t,n){"use strict";var r=n(3),i=n(136),o=n(78),a=n(138),s=n(141),c=n(142),u=n(82);e.exports=function(e){return new Promise((function(t,l){var f=e.data,d=e.headers;r.isFormData(f)&&delete d["Content-Type"];var p=new XMLHttpRequest;if(e.auth){var h=e.auth.username||"",v=e.auth.password||"";d.Authorization="Basic "+btoa(h+":"+v)}var g=a(e.baseURL,e.url);if(p.open(e.method.toUpperCase(),o(g,e.params,e.paramsSerializer),!0),p.timeout=e.timeout,p.onreadystatechange=function(){if(p&&4===p.readyState&&(0!==p.status||p.responseURL&&0===p.responseURL.indexOf("file:"))){var n="getAllResponseHeaders"in p?s(p.getAllResponseHeaders()):null,r={data:e.responseType&&"text"!==e.responseType?p.response:p.responseText,status:p.status,statusText:p.statusText,headers:n,config:e,request:p};i(t,l,r),p=null}},p.onabort=function(){p&&(l(u("Request aborted",e,"ECONNABORTED",p)),p=null)},p.onerror=function(){l(u("Network Error",e,null,p)),p=null},p.ontimeout=function(){var t="timeout of "+e.timeout+"ms exceeded";e.timeoutErrorMessage&&(t=e.timeoutErrorMessage),l(u(t,e,"ECONNABORTED",p)),p=null},r.isStandardBrowserEnv()){var m=n(143),y=(e.withCredentials||c(g))&&e.xsrfCookieName?m.read(e.xsrfCookieName):void 0;y&&(d[e.xsrfHeaderName]=y)}if("setRequestHeader"in p&&r.forEach(d,(function(e,t){void 0===f&&"content-type"===t.toLowerCase()?delete d[t]:p.setRequestHeader(t,e)})),r.isUndefined(e.withCredentials)||(p.withCredentials=!!e.withCredentials),e.responseType)try{p.responseType=e.responseType}catch(t){if("json"!==e.responseType)throw t}"function"==typeof e.onDownloadProgress&&p.addEventListener("progress",e.onDownloadProgress),"function"==typeof e.onUploadProgress&&p.upload&&p.upload.addEventListener("progress",e.onUploadProgress),e.cancelToken&&e.cancelToken.promise.then((function(e){p&&(p.abort(),l(e),p=null)})),void 0===f&&(f=null),p.send(f)}))}},function(e,t,n){"use strict";var r=n(137);e.exports=function(e,t,n,i,o){var a=new Error(e);return r(a,t,n,i,o)}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){t=t||{};var n={},i=["url","method","params","data"],o=["headers","auth","proxy"],a=["baseURL","url","transformRequest","transformResponse","paramsSerializer","timeout","withCredentials","adapter","responseType","xsrfCookieName","xsrfHeaderName","onUploadProgress","onDownloadProgress","maxContentLength","validateStatus","maxRedirects","httpAgent","httpsAgent","cancelToken","socketPath"];r.forEach(i,(function(e){void 0!==t[e]&&(n[e]=t[e])})),r.forEach(o,(function(i){r.isObject(t[i])?n[i]=r.deepMerge(e[i],t[i]):void 0!==t[i]?n[i]=t[i]:r.isObject(e[i])?n[i]=r.deepMerge(e[i]):void 0!==e[i]&&(n[i]=e[i])})),r.forEach(a,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])}));var s=i.concat(o).concat(a),c=Object.keys(t).filter((function(e){return-1===s.indexOf(e)}));return r.forEach(c,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])})),n}},function(e,t,n){"use strict";function r(e){this.message=e}r.prototype.toString=function(){return"Cancel"+(this.message?": "+this.message:"")},r.prototype.__CANCEL__=!0,e.exports=r},function(e,t,n){"use strict";var r=n(10),i=n(86);r({target:"Array",proto:!0,forced:[].forEach!=i},{forEach:i})},function(e,t,n){"use strict";var r=n(63).forEach,i=n(64),o=n(31),a=i("forEach"),s=o("forEach");e.exports=a&&s?[].forEach:function(e){return r(this,e,arguments.length>1?arguments[1]:void 0)}},function(e,t,n){var r=n(19);e.exports=Array.isArray||function(e){return"Array"==r(e)}},function(e,t,n){var r=n(0);e.exports=!!Object.getOwnPropertySymbols&&!r((function(){return!String(Symbol())}))},function(e,t,n){const{MAX_SAFE_COMPONENT_LENGTH:r}=n(41),i=n(90),o=(t=e.exports={}).re=[],a=t.src=[],s=t.t={};let c=0;const u=(e,t,n)=>{const r=c++;i(r,t),s[e]=r,a[r]=t,o[r]=new RegExp(t,n?"g":void 0)};u("NUMERICIDENTIFIER","0|[1-9]\\d*"),u("NUMERICIDENTIFIERLOOSE","[0-9]+"),u("NONNUMERICIDENTIFIER","\\d*[a-zA-Z-][a-zA-Z0-9-]*"),u("MAINVERSION",`(${a[s.NUMERICIDENTIFIER]})\\.(${a[s.NUMERICIDENTIFIER]})\\.(${a[s.NUMERICIDENTIFIER]})`),u("MAINVERSIONLOOSE",`(${a[s.NUMERICIDENTIFIERLOOSE]})\\.(${a[s.NUMERICIDENTIFIERLOOSE]})\\.(${a[s.NUMERICIDENTIFIERLOOSE]})`),u("PRERELEASEIDENTIFIER",`(?:${a[s.NUMERICIDENTIFIER]}|${a[s.NONNUMERICIDENTIFIER]})`),u("PRERELEASEIDENTIFIERLOOSE",`(?:${a[s.NUMERICIDENTIFIERLOOSE]}|${a[s.NONNUMERICIDENTIFIER]})`),u("PRERELEASE",`(?:-(${a[s.PRERELEASEIDENTIFIER]}(?:\\.${a[s.PRERELEASEIDENTIFIER]})*))`),u("PRERELEASELOOSE",`(?:-?(${a[s.PRERELEASEIDENTIFIERLOOSE]}(?:\\.${a[s.PRERELEASEIDENTIFIERLOOSE]})*))`),u("BUILDIDENTIFIER","[0-9A-Za-z-]+"),u("BUILD",`(?:\\+(${a[s.BUILDIDENTIFIER]}(?:\\.${a[s.BUILDIDENTIFIER]})*))`),u("FULLPLAIN",`v?${a[s.MAINVERSION]}${a[s.PRERELEASE]}?${a[s.BUILD]}?`),u("FULL",`^${a[s.FULLPLAIN]}$`),u("LOOSEPLAIN",`[v=\\s]*${a[s.MAINVERSIONLOOSE]}${a[s.PRERELEASELOOSE]}?${a[s.BUILD]}?`),u("LOOSE",`^${a[s.LOOSEPLAIN]}$`),u("GTLT","((?:<|>)?=?)"),u("XRANGEIDENTIFIERLOOSE",a[s.NUMERICIDENTIFIERLOOSE]+"|x|X|\\*"),u("XRANGEIDENTIFIER",a[s.NUMERICIDENTIFIER]+"|x|X|\\*"),u("XRANGEPLAIN",`[v=\\s]*(${a[s.XRANGEIDENTIFIER]})(?:\\.(${a[s.XRANGEIDENTIFIER]})(?:\\.(${a[s.XRANGEIDENTIFIER]})(?:${a[s.PRERELEASE]})?${a[s.BUILD]}?)?)?`),u("XRANGEPLAINLOOSE",`[v=\\s]*(${a[s.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[s.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[s.XRANGEIDENTIFIERLOOSE]})(?:${a[s.PRERELEASELOOSE]})?${a[s.BUILD]}?)?)?`),u("XRANGE",`^${a[s.GTLT]}\\s*${a[s.XRANGEPLAIN]}$`),u("XRANGELOOSE",`^${a[s.GTLT]}\\s*${a[s.XRANGEPLAINLOOSE]}$`),u("COERCE",`(^|[^\\d])(\\d{1,${r}})(?:\\.(\\d{1,${r}}))?(?:\\.(\\d{1,${r}}))?(?:$|[^\\d])`),u("COERCERTL",a[s.COERCE],!0),u("LONETILDE","(?:~>?)"),u("TILDETRIM",`(\\s*)${a[s.LONETILDE]}\\s+`,!0),t.tildeTrimReplace="$1~",u("TILDE",`^${a[s.LONETILDE]}${a[s.XRANGEPLAIN]}$`),u("TILDELOOSE",`^${a[s.LONETILDE]}${a[s.XRANGEPLAINLOOSE]}$`),u("LONECARET","(?:\\^)"),u("CARETTRIM",`(\\s*)${a[s.LONECARET]}\\s+`,!0),t.caretTrimReplace="$1^",u("CARET",`^${a[s.LONECARET]}${a[s.XRANGEPLAIN]}$`),u("CARETLOOSE",`^${a[s.LONECARET]}${a[s.XRANGEPLAINLOOSE]}$`),u("COMPARATORLOOSE",`^${a[s.GTLT]}\\s*(${a[s.LOOSEPLAIN]})$|^$`),u("COMPARATOR",`^${a[s.GTLT]}\\s*(${a[s.FULLPLAIN]})$|^$`),u("COMPARATORTRIM",`(\\s*)${a[s.GTLT]}\\s*(${a[s.LOOSEPLAIN]}|${a[s.XRANGEPLAIN]})`,!0),t.comparatorTrimReplace="$1$2$3",u("HYPHENRANGE",`^\\s*(${a[s.XRANGEPLAIN]})\\s+-\\s+(${a[s.XRANGEPLAIN]})\\s*$`),u("HYPHENRANGELOOSE",`^\\s*(${a[s.XRANGEPLAINLOOSE]})\\s+-\\s+(${a[s.XRANGEPLAINLOOSE]})\\s*$`),u("STAR","(<|>)?=?\\s*\\*"),u("GTE0","^\\s*>=\\s*0.0.0\\s*$"),u("GTE0PRE","^\\s*>=\\s*0.0.0-0\\s*$")},function(e,t,n){(function(t){const n="object"==typeof t&&t.env&&t.env.NODE_DEBUG&&/\bsemver\b/i.test(t.env.NODE_DEBUG)?(...e)=>console.error("SEMVER",...e):()=>{};e.exports=n}).call(this,n(47))},function(e,t,n){const r=n(90),{MAX_LENGTH:i,MAX_SAFE_INTEGER:o}=n(41),{re:a,t:s}=n(89),{compareIdentifiers:c}=n(151);class u{constructor(e,t){if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof u){if(e.loose===!!t.loose&&e.includePrerelease===!!t.includePrerelease)return e;e=e.version}else if("string"!=typeof e)throw new TypeError("Invalid Version: "+e);if(e.length>i)throw new TypeError(`version is longer than ${i} characters`);r("SemVer",e,t),this.options=t,this.loose=!!t.loose,this.includePrerelease=!!t.includePrerelease;const n=e.trim().match(t.loose?a[s.LOOSE]:a[s.FULL]);if(!n)throw new TypeError("Invalid Version: "+e);if(this.raw=e,this.major=+n[1],this.minor=+n[2],this.patch=+n[3],this.major>o||this.major<0)throw new TypeError("Invalid major version");if(this.minor>o||this.minor<0)throw new TypeError("Invalid minor version");if(this.patch>o||this.patch<0)throw new TypeError("Invalid patch version");n[4]?this.prerelease=n[4].split(".").map(e=>{if(/^[0-9]+$/.test(e)){const t=+e;if(t>=0&&t<o)return t}return e}):this.prerelease=[],this.build=n[5]?n[5].split("."):[],this.format()}format(){return this.version=`${this.major}.${this.minor}.${this.patch}`,this.prerelease.length&&(this.version+="-"+this.prerelease.join(".")),this.version}toString(){return this.version}compare(e){if(r("SemVer.compare",this.version,this.options,e),!(e instanceof u)){if("string"==typeof e&&e===this.version)return 0;e=new u(e,this.options)}return e.version===this.version?0:this.compareMain(e)||this.comparePre(e)}compareMain(e){return e instanceof u||(e=new u(e,this.options)),c(this.major,e.major)||c(this.minor,e.minor)||c(this.patch,e.patch)}comparePre(e){if(e instanceof u||(e=new u(e,this.options)),this.prerelease.length&&!e.prerelease.length)return-1;if(!this.prerelease.length&&e.prerelease.length)return 1;if(!this.prerelease.length&&!e.prerelease.length)return 0;let t=0;do{const n=this.prerelease[t],i=e.prerelease[t];if(r("prerelease compare",t,n,i),void 0===n&&void 0===i)return 0;if(void 0===i)return 1;if(void 0===n)return-1;if(n!==i)return c(n,i)}while(++t)}compareBuild(e){e instanceof u||(e=new u(e,this.options));let t=0;do{const n=this.build[t],i=e.build[t];if(r("prerelease compare",t,n,i),void 0===n&&void 0===i)return 0;if(void 0===i)return 1;if(void 0===n)return-1;if(n!==i)return c(n,i)}while(++t)}inc(e,t){switch(e){case"premajor":this.prerelease.length=0,this.patch=0,this.minor=0,this.major++,this.inc("pre",t);break;case"preminor":this.prerelease.length=0,this.patch=0,this.minor++,this.inc("pre",t);break;case"prepatch":this.prerelease.length=0,this.inc("patch",t),this.inc("pre",t);break;case"prerelease":0===this.prerelease.length&&this.inc("patch",t),this.inc("pre",t);break;case"major":0===this.minor&&0===this.patch&&0!==this.prerelease.length||this.major++,this.minor=0,this.patch=0,this.prerelease=[];break;case"minor":0===this.patch&&0!==this.prerelease.length||this.minor++,this.patch=0,this.prerelease=[];break;case"patch":0===this.prerelease.length&&this.patch++,this.prerelease=[];break;case"pre":if(0===this.prerelease.length)this.prerelease=[0];else{let e=this.prerelease.length;for(;--e>=0;)"number"==typeof this.prerelease[e]&&(this.prerelease[e]++,e=-2);-1===e&&this.prerelease.push(0)}t&&(this.prerelease[0]===t?isNaN(this.prerelease[1])&&(this.prerelease=[t,0]):this.prerelease=[t,0]);break;default:throw new Error("invalid increment argument: "+e)}return this.format(),this.raw=this.version,this}}e.exports=u},function(e,t,n){"use strict";var r,i,o,a=n(93),s=n(9),c=n(4),u=n(1),l=n(35),f=u("iterator"),d=!1;[].keys&&("next"in(o=[].keys())?(i=a(a(o)))!==Object.prototype&&(r=i):d=!0),null==r&&(r={}),l||c(r,f)||s(r,f,(function(){return this})),e.exports={IteratorPrototype:r,BUGGY_SAFARI_ITERATORS:d}},function(e,t,n){var r=n(4),i=n(16),o=n(38),a=n(155),s=o("IE_PROTO"),c=Object.prototype;e.exports=a?Object.getPrototypeOf:function(e){return e=i(e),r(e,s)?e[s]:"function"==typeof e.constructor&&e instanceof e.constructor?e.constructor.prototype:e instanceof Object?c:null}},function(e,t,n){var r=n(5),i=n(156);e.exports=Object.setPrototypeOf||("__proto__"in{}?function(){var e,t=!1,n={};try{(e=Object.getOwnPropertyDescriptor(Object.prototype,"__proto__").set).call(n,[]),t=n instanceof Array}catch(e){}return function(n,o){return r(n),i(o),t?e.call(n,o):n.__proto__=o,n}}():void 0)},function(e,t,n){var r=n(26),i=n(6),o=n(4),a=n(8).f,s=n(39),c=n(159),u=s("meta"),l=0,f=Object.isExtensible||function(){return!0},d=function(e){a(e,u,{value:{objectID:"O"+ ++l,weakData:{}}})},p=e.exports={REQUIRED:!1,fastKey:function(e,t){if(!i(e))return"symbol"==typeof e?e:("string"==typeof e?"S":"P")+e;if(!o(e,u)){if(!f(e))return"F";if(!t)return"E";d(e)}return e[u].objectID},getWeakData:function(e,t){if(!o(e,u)){if(!f(e))return!0;if(!t)return!1;d(e)}return e[u].weakData},onFreeze:function(e){return c&&p.REQUIRED&&f(e)&&!o(e,u)&&d(e),e}};r[u]=!0},function(e,t){e.exports={CSSRuleList:0,CSSStyleDeclaration:0,CSSValueList:0,ClientRectList:0,DOMRectList:0,DOMStringList:0,DOMTokenList:1,DataTransferItemList:0,FileList:0,HTMLAllCollection:0,HTMLCollection:0,HTMLFormElement:0,HTMLSelectElement:0,MediaList:0,MimeTypeArray:0,NamedNodeMap:0,NodeList:1,PaintRequestList:0,Plugin:0,PluginArray:0,SVGLengthList:0,SVGNumberList:0,SVGPathSegList:0,SVGPointList:0,SVGStringList:0,SVGTransformList:0,SourceBufferList:0,StyleSheetList:0,TextTrackCueList:0,TextTrackList:0,TouchList:0}},function(e,t,n){"use strict";var r=n(5);e.exports=function(){var e=r(this),t="";return e.global&&(t+="g"),e.ignoreCase&&(t+="i"),e.multiline&&(t+="m"),e.dotAll&&(t+="s"),e.unicode&&(t+="u"),e.sticky&&(t+="y"),t}},,function(e,t,n){"use strict";var r=n(12),i=n(5),o=n(0),a=n(97),s=RegExp.prototype,c=s.toString,u=o((function(){return"/a/b"!=c.call({source:"a",flags:"b"})})),l="toString"!=c.name;(u||l)&&r(RegExp.prototype,"toString",(function(){var e=i(this),t=String(e.source),n=e.flags;return"/"+t+"/"+String(void 0===n&&e instanceof RegExp&&!("flags"in s)?a.call(e):n)}),{unsafe:!0})},function(e,t,n){"use strict";var r=n(68).charAt,i=n(20),o=n(42),a=i.set,s=i.getterFor("String Iterator");o(String,"String",(function(e){a(this,{type:"String Iterator",string:String(e),index:0})}),(function(){var e,t=s(this),n=t.string,i=t.index;return i>=n.length?{value:void 0,done:!0}:(e=r(n,i),t.index+=e.length,{value:e,done:!1})}))},function(e,t,n){"use strict";var r=n(122),i=n(5),o=n(16),a=n(15),s=n(23),c=n(21),u=n(123),l=n(124),f=Math.max,d=Math.min,p=Math.floor,h=/\$([$&'`]|\d\d?|<[^>]*>)/g,v=/\$([$&'`]|\d\d?)/g;r("replace",2,(function(e,t,n,r){var g=r.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE,m=r.REPLACE_KEEPS_$0,y=g?"$":"$0";return[function(n,r){var i=c(this),o=null==n?void 0:n[e];return void 0!==o?o.call(n,i,r):t.call(String(i),n,r)},function(e,r){if(!g&&m||"string"==typeof r&&-1===r.indexOf(y)){var o=n(t,e,this,r);if(o.done)return o.value}var c=i(e),p=String(this),h="function"==typeof r;h||(r=String(r));var v=c.global;if(v){var _=c.unicode;c.lastIndex=0}for(var x=[];;){var w=l(c,p);if(null===w)break;if(x.push(w),!v)break;""===String(w[0])&&(c.lastIndex=u(p,a(c.lastIndex),_))}for(var E,O="",A=0,S=0;S<x.length;S++){w=x[S];for(var C=String(w[0]),k=f(d(s(w.index),p.length),0),$=[],T=1;T<w.length;T++)$.push(void 0===(E=w[T])?E:String(E));var I=w.groups;if(h){var R=[C].concat($,k,p);void 0!==I&&R.push(I);var N=String(r.apply(void 0,R))}else N=b(C,p,k,$,I,r);k>=A&&(O+=p.slice(A,k)+N,A=k+C.length)}return O+p.slice(A)}];function b(e,n,r,i,a,s){var c=r+e.length,u=i.length,l=v;return void 0!==a&&(a=o(a),l=h),t.call(s,l,(function(t,o){var s;switch(o.charAt(0)){case"$":return"$";case"&":return e;case"`":return n.slice(0,r);case"'":return n.slice(c);case"<":s=a[o.slice(1,-1)];break;default:var l=+o;if(0===l)return t;if(l>u){var f=p(l/10);return 0===f?t:f<=u?void 0===i[f-1]?o.charAt(1):i[f-1]+o.charAt(1):t}s=i[l-1]}return void 0===s?"":s}))}}))},function(e,t,n){var r=n(2),i=n(96),o=n(52),a=n(9),s=n(1),c=s("iterator"),u=s("toStringTag"),l=o.values;for(var f in i){var d=r[f],p=d&&d.prototype;if(p){if(p[c]!==l)try{a(p,c,l)}catch(e){p[c]=l}if(p[u]||a(p,u,f),i[f])for(var h in o)if(p[h]!==o[h])try{a(p,h,o[h])}catch(e){p[h]=o[h]}}}},function(e,t,n){"use strict";var r=n(10),i=n(74).indexOf,o=n(64),a=n(31),s=[].indexOf,c=!!s&&1/[1].indexOf(1,-0)<0,u=o("indexOf"),l=a("indexOf",{ACCESSORS:!0,1:0});r({target:"Array",proto:!0,forced:c||!u||!l},{indexOf:function(e){return c?s.apply(this,arguments)||0:i(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t){e.exports=function(e){if("function"!=typeof e)throw TypeError(String(e)+" is not a function");return e}},function(e,t,n){"use strict";var r=n(34),i=n(8),o=n(24);e.exports=function(e,t,n){var a=r(t);a in e?i.f(e,a,o(0,n)):e[a]=n}},function(e,t,n){var r=n(67),i=n(17),o=n(1)("iterator");e.exports=function(e){if(null!=e)return e[o]||e["@@iterator"]||i[r(e)]}},function(e,t,n){var r=n(73),i=n(40).concat("length","prototype");t.f=Object.getOwnPropertyNames||function(e){return r(e,i)}},function(e,t,n){var r=n(23),i=Math.max,o=Math.min;e.exports=function(e,t){var n=r(e);return n<0?i(n+t,0):o(n,t)}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),Object.defineProperty(t,"getRequestToken",{enumerable:!0,get:function(){return r.getRequestToken}}),Object.defineProperty(t,"onRequestTokenUpdate",{enumerable:!0,get:function(){return r.onRequestTokenUpdate}}),Object.defineProperty(t,"getCurrentUser",{enumerable:!0,get:function(){return i.getCurrentUser}});var r=n(146),i=n(163)},function(e,t,n){"use strict";var r=n(10),i=n(63).filter,o=n(56),a=n(31),s=o("filter"),c=a("filter");r({target:"Array",proto:!0,forced:!s||!c},{filter:function(e){return i(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t,n){var r=n(6),i=n(94);e.exports=function(e,t,n){var o,a;return i&&"function"==typeof(o=t.constructor)&&o!==n&&r(a=o.prototype)&&a!==n.prototype&&i(e,a),e}},function(e,t,n){var r=n(12);e.exports=function(e,t,n){for(var i in t)r(e,i,t[i],n);return e}},function(e,t,n){"use strict";var r=n(7),i=n(0),o=n(76),a=n(75),s=n(69),c=n(16),u=n(36),l=Object.assign,f=Object.defineProperty;e.exports=!l||i((function(){if(r&&1!==l({b:1},l(f({},"a",{enumerable:!0,get:function(){f(this,"b",{value:3,enumerable:!1})}}),{b:2})).b)return!0;var e={},t={},n=Symbol();return e[n]=7,"abcdefghijklmnopqrst".split("").forEach((function(e){t[e]=e})),7!=l({},e)[n]||"abcdefghijklmnopqrst"!=o(l({},t)).join("")}))?function(e,t){for(var n=c(e),i=arguments.length,l=1,f=a.f,d=s.f;i>l;)for(var p,h=u(arguments[l++]),v=f?o(h).concat(f(h)):o(h),g=v.length,m=0;g>m;)p=v[m++],r&&!d.call(h,p)||(n[p]=h[p]);return n}:l},function(e,t,n){var r=n(22);e.exports=r("navigator","userAgent")||""},function(e,t,n){var r=n(7),i=n(8),o=n(5),a=n(76);e.exports=r?Object.defineProperties:function(e,t){o(e);for(var n,r=a(t),s=r.length,c=0;s>c;)i.f(e,n=r[c++],t[n]);return e}},function(e,t,n){var r=n(22);e.exports=r("document","documentElement")},function(e,t,n){"use strict";var r=n(92).IteratorPrototype,i=n(32),o=n(24),a=n(33),s=n(17),c=function(){return this};e.exports=function(e,t,n){var u=t+" Iterator";return e.prototype=i(r,{next:o(1,n)}),a(e,u,!1,!0),s[u]=c,e}},function(e,t,n){var r=n(1),i=n(17),o=r("iterator"),a=Array.prototype;e.exports=function(e){return void 0!==e&&(i.Array===e||a[o]===e)}},function(e,t,n){var r=n(5);e.exports=function(e,t,n,i){try{return i?t(r(n)[0],n[1]):t(n)}catch(t){var o=e.return;throw void 0!==o&&r(o.call(e)),t}}},function(e,t,n){var r=n(1)("iterator"),i=!1;try{var o=0,a={next:function(){return{done:!!o++}},return:function(){i=!0}};a[r]=function(){return this},Array.from(a,(function(){throw 2}))}catch(e){}e.exports=function(e,t){if(!t&&!i)return!1;var n=!1;try{var o={};o[r]=function(){return{next:function(){return{done:n=!0}}}},e(o)}catch(e){}return n}},function(e,t,n){"use strict";var r=n(22),i=n(8),o=n(1),a=n(7),s=o("species");e.exports=function(e){var t=r(e),n=i.f;a&&t&&!t[s]&&n(t,s,{configurable:!0,get:function(){return this}})}},function(e,t,n){"use strict";n(53);var r=n(12),i=n(0),o=n(1),a=n(44),s=n(9),c=o("species"),u=!i((function(){var e=/./;return e.exec=function(){var e=[];return e.groups={a:"7"},e},"7"!=="".replace(e,"$<a>")})),l="$0"==="a".replace(/./,"$0"),f=o("replace"),d=!!/./[f]&&""===/./[f]("a","$0"),p=!i((function(){var e=/(?:)/,t=e.exec;e.exec=function(){return t.apply(this,arguments)};var n="ab".split(e);return 2!==n.length||"a"!==n[0]||"b"!==n[1]}));e.exports=function(e,t,n,f){var h=o(e),v=!i((function(){var t={};return t[h]=function(){return 7},7!=""[e](t)})),g=v&&!i((function(){var t=!1,n=/a/;return"split"===e&&((n={}).constructor={},n.constructor[c]=function(){return n},n.flags="",n[h]=/./[h]),n.exec=function(){return t=!0,null},n[h](""),!t}));if(!v||!g||"replace"===e&&(!u||!l||d)||"split"===e&&!p){var m=/./[h],y=n(h,""[e],(function(e,t,n,r,i){return t.exec===a?v&&!i?{done:!0,value:m.call(t,n,r)}:{done:!0,value:e.call(n,t,r)}:{done:!1}}),{REPLACE_KEEPS_$0:l,REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE:d}),b=y[0],_=y[1];r(String.prototype,e,b),r(RegExp.prototype,h,2==t?function(e,t){return _.call(e,this,t)}:function(e){return _.call(e,this)})}f&&s(RegExp.prototype[h],"sham",!0)}},function(e,t,n){"use strict";var r=n(68).charAt;e.exports=function(e,t,n){return t+(n?r(e,t).length:1)}},function(e,t,n){var r=n(19),i=n(44);e.exports=function(e,t){var n=e.exec;if("function"==typeof n){var o=n.call(e,t);if("object"!=typeof o)throw TypeError("RegExp exec method returned something other than an Object or null");return o}if("RegExp"!==r(e))throw TypeError("RegExp#exec called on incompatible receiver");return i.call(e,t)}},function(e,t,n){var r=n(2),i=n(62),o=r.WeakMap;e.exports="function"==typeof o&&/native code/.test(i(o))},function(e,t,n){var r=n(4),i=n(127),o=n(54),a=n(8);e.exports=function(e,t){for(var n=i(t),s=a.f,c=o.f,u=0;u<n.length;u++){var l=n[u];r(e,l)||s(e,l,c(t,l))}}},function(e,t,n){var r=n(22),i=n(107),o=n(75),a=n(5);e.exports=r("Reflect","ownKeys")||function(e){var t=i.f(a(e)),n=o.f;return n?t.concat(n(e)):t}},function(e,t,n){var r=n(2);e.exports=r},function(e,t,n){e.exports=n(130)},function(e,t,n){"use strict";var r=n(3),i=n(77),o=n(131),a=n(83);function s(e){var t=new o(e),n=i(o.prototype.request,t);return r.extend(n,o.prototype,t),r.extend(n,t),n}var c=s(n(80));c.Axios=o,c.create=function(e){return s(a(c.defaults,e))},c.Cancel=n(84),c.CancelToken=n(144),c.isCancel=n(79),c.all=function(e){return Promise.all(e)},c.spread=n(145),e.exports=c,e.exports.default=c},function(e,t,n){"use strict";var r=n(3),i=n(78),o=n(132),a=n(133),s=n(83);function c(e){this.defaults=e,this.interceptors={request:new o,response:new o}}c.prototype.request=function(e){"string"==typeof e?(e=arguments[1]||{}).url=arguments[0]:e=e||{},(e=s(this.defaults,e)).method?e.method=e.method.toLowerCase():this.defaults.method?e.method=this.defaults.method.toLowerCase():e.method="get";var t=[a,void 0],n=Promise.resolve(e);for(this.interceptors.request.forEach((function(e){t.unshift(e.fulfilled,e.rejected)})),this.interceptors.response.forEach((function(e){t.push(e.fulfilled,e.rejected)}));t.length;)n=n.then(t.shift(),t.shift());return n},c.prototype.getUri=function(e){return e=s(this.defaults,e),i(e.url,e.params,e.paramsSerializer).replace(/^\?/,"")},r.forEach(["delete","get","head","options"],(function(e){c.prototype[e]=function(t,n){return this.request(r.merge(n||{},{method:e,url:t}))}})),r.forEach(["post","put","patch"],(function(e){c.prototype[e]=function(t,n,i){return this.request(r.merge(i||{},{method:e,url:t,data:n}))}})),e.exports=c},function(e,t,n){"use strict";var r=n(3);function i(){this.handlers=[]}i.prototype.use=function(e,t){return this.handlers.push({fulfilled:e,rejected:t}),this.handlers.length-1},i.prototype.eject=function(e){this.handlers[e]&&(this.handlers[e]=null)},i.prototype.forEach=function(e){r.forEach(this.handlers,(function(t){null!==t&&e(t)}))},e.exports=i},function(e,t,n){"use strict";var r=n(3),i=n(134),o=n(79),a=n(80);function s(e){e.cancelToken&&e.cancelToken.throwIfRequested()}e.exports=function(e){return s(e),e.headers=e.headers||{},e.data=i(e.data,e.headers,e.transformRequest),e.headers=r.merge(e.headers.common||{},e.headers[e.method]||{},e.headers),r.forEach(["delete","get","head","post","put","patch","common"],(function(t){delete e.headers[t]})),(e.adapter||a.adapter)(e).then((function(t){return s(e),t.data=i(t.data,t.headers,e.transformResponse),t}),(function(t){return o(t)||(s(e),t&&t.response&&(t.response.data=i(t.response.data,t.response.headers,e.transformResponse))),Promise.reject(t)}))}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t,n){return r.forEach(n,(function(n){e=n(e,t)})),e}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){r.forEach(e,(function(n,r){r!==t&&r.toUpperCase()===t.toUpperCase()&&(e[t]=n,delete e[r])}))}},function(e,t,n){"use strict";var r=n(82);e.exports=function(e,t,n){var i=n.config.validateStatus;!i||i(n.status)?e(n):t(r("Request failed with status code "+n.status,n.config,null,n.request,n))}},function(e,t,n){"use strict";e.exports=function(e,t,n,r,i){return e.config=t,n&&(e.code=n),e.request=r,e.response=i,e.isAxiosError=!0,e.toJSON=function(){return{message:this.message,name:this.name,description:this.description,number:this.number,fileName:this.fileName,lineNumber:this.lineNumber,columnNumber:this.columnNumber,stack:this.stack,config:this.config,code:this.code}},e}},function(e,t,n){"use strict";var r=n(139),i=n(140);e.exports=function(e,t){return e&&!r(t)?i(e,t):t}},function(e,t,n){"use strict";e.exports=function(e){return/^([a-z][a-z\d\+\-\.]*:)?\/\//i.test(e)}},function(e,t,n){"use strict";e.exports=function(e,t){return t?e.replace(/\/+$/,"")+"/"+t.replace(/^\/+/,""):e}},function(e,t,n){"use strict";var r=n(3),i=["age","authorization","content-length","content-type","etag","expires","from","host","if-modified-since","if-unmodified-since","last-modified","location","max-forwards","proxy-authorization","referer","retry-after","user-agent"];e.exports=function(e){var t,n,o,a={};return e?(r.forEach(e.split("\n"),(function(e){if(o=e.indexOf(":"),t=r.trim(e.substr(0,o)).toLowerCase(),n=r.trim(e.substr(o+1)),t){if(a[t]&&i.indexOf(t)>=0)return;a[t]="set-cookie"===t?(a[t]?a[t]:[]).concat([n]):a[t]?a[t]+", "+n:n}})),a):a}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?function(){var e,t=/(msie|trident)/i.test(navigator.userAgent),n=document.createElement("a");function i(e){var r=e;return t&&(n.setAttribute("href",r),r=n.href),n.setAttribute("href",r),{href:n.href,protocol:n.protocol?n.protocol.replace(/:$/,""):"",host:n.host,search:n.search?n.search.replace(/^\?/,""):"",hash:n.hash?n.hash.replace(/^#/,""):"",hostname:n.hostname,port:n.port,pathname:"/"===n.pathname.charAt(0)?n.pathname:"/"+n.pathname}}return e=i(window.location.href),function(t){var n=r.isString(t)?i(t):t;return n.protocol===e.protocol&&n.host===e.host}}():function(){return!0}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?{write:function(e,t,n,i,o,a){var s=[];s.push(e+"="+encodeURIComponent(t)),r.isNumber(n)&&s.push("expires="+new Date(n).toGMTString()),r.isString(i)&&s.push("path="+i),r.isString(o)&&s.push("domain="+o),!0===a&&s.push("secure"),document.cookie=s.join("; ")},read:function(e){var t=document.cookie.match(new RegExp("(^|;\\s*)("+e+")=([^;]*)"));return t?decodeURIComponent(t[3]):null},remove:function(e){this.write(e,"",Date.now()-864e5)}}:{write:function(){},read:function(){return null},remove:function(){}}},function(e,t,n){"use strict";var r=n(84);function i(e){if("function"!=typeof e)throw new TypeError("executor must be a function.");var t;this.promise=new Promise((function(e){t=e}));var n=this;e((function(e){n.reason||(n.reason=new r(e),t(n.reason))}))}i.prototype.throwIfRequested=function(){if(this.reason)throw this.reason},i.source=function(){var e;return{token:new i((function(t){e=t})),cancel:e}},e.exports=i},function(e,t,n){"use strict";e.exports=function(e){return function(t){return e.apply(null,t)}}},function(e,t,n){"use strict";n(85),Object.defineProperty(t,"__esModule",{value:!0}),t.getRequestToken=function(){return o},t.onRequestTokenUpdate=function(e){a.push(e)};var r=n(14),i=document.getElementsByTagName("head")[0],o=i?i.getAttribute("data-requesttoken"):null,a=[];(0,r.subscribe)("csrf-token-update",(function(e){o=e.token,a.forEach((function(t){try{t(e.token)}catch(e){console.error("error updating CSRF token observer",e)}}))}))},function(e,t,n){var r=n(88);e.exports=r&&!Symbol.sham&&"symbol"==typeof Symbol.iterator},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.ProxyBus=void 0;var r=o(n(149)),i=o(n(152));function o(e){return e&&e.__esModule?e:{default:e}}function a(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}var s="1.2.0",c=function(){function e(t){var n,o,a;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),a=void 0,(o="bus")in(n=this)?Object.defineProperty(n,o,{value:a,enumerable:!0,configurable:!0,writable:!0}):n[o]=a,"function"==typeof t.getVersion&&(0,r.default)(t.getVersion())?(0,i.default)(t.getVersion())!==(0,i.default)(this.getVersion())&&console.warn("Proxying an event bus of version "+t.getVersion()+" with "+this.getVersion()):console.warn("Proxying an event bus with an unknown or invalid version"),this.bus=t}var t,n,o;return t=e,(n=[{key:"getVersion",value:function(){return s}},{key:"subscribe",value:function(e,t){this.bus.subscribe(e,t)}},{key:"unsubscribe",value:function(e,t){this.bus.unsubscribe(e,t)}},{key:"emit",value:function(e,t){this.bus.emit(e,t)}}])&&a(t.prototype,n),o&&a(t,o),e}();t.ProxyBus=c},function(e,t,n){const r=n(150);e.exports=(e,t)=>{const n=r(e,t);return n?n.version:null}},function(e,t,n){const{MAX_LENGTH:r}=n(41),{re:i,t:o}=n(89),a=n(91);e.exports=(e,t)=>{if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof a)return e;if("string"!=typeof e)return null;if(e.length>r)return null;if(!(t.loose?i[o.LOOSE]:i[o.FULL]).test(e))return null;try{return new a(e,t)}catch(e){return null}}},function(e,t){const n=/^[0-9]+$/,r=(e,t)=>{const r=n.test(e),i=n.test(t);return r&&i&&(e=+e,t=+t),e===t?0:r&&!i?-1:i&&!r?1:e<t?-1:1};e.exports={compareIdentifiers:r,rcompareIdentifiers:(e,t)=>r(t,e)}},function(e,t,n){const r=n(91);e.exports=(e,t)=>new r(e,t).major},function(e,t,n){"use strict";function r(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}n(60),n(110),n(85),n(52),n(157),n(51),n(100),n(162),n(102),Object.defineProperty(t,"__esModule",{value:!0}),t.SimpleBus=void 0;var i="1.2.0",o=function(){function e(){var t,n,r;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),t=this,n="handlers",r=new Map,n in t?Object.defineProperty(t,n,{value:r,enumerable:!0,configurable:!0,writable:!0}):t[n]=r}var t,n,o;return t=e,(n=[{key:"getVersion",value:function(){return i}},{key:"subscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).concat(t))}},{key:"unsubscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).filter((function(e){return e!=t})))}},{key:"emit",value:function(e,t){(this.handlers.get(e)||[]).forEach((function(e){try{e(t)}catch(e){console.error("could not invoke event listener",e)}}))}}])&&r(t.prototype,n),o&&r(t,o),e}();t.SimpleBus=o},function(e,t,n){var r=n(1),i=n(32),o=n(8),a=r("unscopables"),s=Array.prototype;null==s[a]&&o.f(s,a,{configurable:!0,value:i(null)}),e.exports=function(e){s[a][e]=!0}},function(e,t,n){var r=n(0);e.exports=!r((function(){function e(){}return e.prototype.constructor=null,Object.getPrototypeOf(new e)!==e.prototype}))},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e)&&null!==e)throw TypeError("Can't set "+String(e)+" as a prototype");return e}},function(e,t,n){"use strict";var r=n(158),i=n(160);e.exports=r("Map",(function(e){return function(){return e(this,arguments.length?arguments[0]:void 0)}}),i)},function(e,t,n){"use strict";var r=n(10),i=n(2),o=n(55),a=n(12),s=n(95),c=n(66),u=n(57),l=n(6),f=n(0),d=n(120),p=n(33),h=n(111);e.exports=function(e,t,n){var v=-1!==e.indexOf("Map"),g=-1!==e.indexOf("Weak"),m=v?"set":"add",y=i[e],b=y&&y.prototype,_=y,x={},w=function(e){var t=b[e];a(b,e,"add"==e?function(e){return t.call(this,0===e?0:e),this}:"delete"==e?function(e){return!(g&&!l(e))&&t.call(this,0===e?0:e)}:"get"==e?function(e){return g&&!l(e)?void 0:t.call(this,0===e?0:e)}:"has"==e?function(e){return!(g&&!l(e))&&t.call(this,0===e?0:e)}:function(e,n){return t.call(this,0===e?0:e,n),this})};if(o(e,"function"!=typeof y||!(g||b.forEach&&!f((function(){(new y).entries().next()})))))_=n.getConstructor(t,e,v,m),s.REQUIRED=!0;else if(o(e,!0)){var E=new _,O=E[m](g?{}:-0,1)!=E,A=f((function(){E.has(1)})),S=d((function(e){new y(e)})),C=!g&&f((function(){for(var e=new y,t=5;t--;)e[m](t,t);return!e.has(-0)}));S||((_=t((function(t,n){u(t,_,e);var r=h(new y,t,_);return null!=n&&c(n,r[m],r,v),r}))).prototype=b,b.constructor=_),(A||C)&&(w("delete"),w("has"),v&&w("get")),(C||O)&&w(m),g&&b.clear&&delete b.clear}return x[e]=_,r({global:!0,forced:_!=y},x),p(_,e),g||n.setStrong(_,e,v),_}},function(e,t,n){var r=n(0);e.exports=!r((function(){return Object.isExtensible(Object.preventExtensions({}))}))},function(e,t,n){"use strict";var r=n(8).f,i=n(32),o=n(112),a=n(30),s=n(57),c=n(66),u=n(42),l=n(121),f=n(7),d=n(95).fastKey,p=n(20),h=p.set,v=p.getterFor;e.exports={getConstructor:function(e,t,n,u){var l=e((function(e,r){s(e,l,t),h(e,{type:t,index:i(null),first:void 0,last:void 0,size:0}),f||(e.size=0),null!=r&&c(r,e[u],e,n)})),p=v(t),g=function(e,t,n){var r,i,o=p(e),a=m(e,t);return a?a.value=n:(o.last=a={index:i=d(t,!0),key:t,value:n,previous:r=o.last,next:void 0,removed:!1},o.first||(o.first=a),r&&(r.next=a),f?o.size++:e.size++,"F"!==i&&(o.index[i]=a)),e},m=function(e,t){var n,r=p(e),i=d(t);if("F"!==i)return r.index[i];for(n=r.first;n;n=n.next)if(n.key==t)return n};return o(l.prototype,{clear:function(){for(var e=p(this),t=e.index,n=e.first;n;)n.removed=!0,n.previous&&(n.previous=n.previous.next=void 0),delete t[n.index],n=n.next;e.first=e.last=void 0,f?e.size=0:this.size=0},delete:function(e){var t=p(this),n=m(this,e);if(n){var r=n.next,i=n.previous;delete t.index[n.index],n.removed=!0,i&&(i.next=r),r&&(r.previous=i),t.first==n&&(t.first=r),t.last==n&&(t.last=i),f?t.size--:this.size--}return!!n},forEach:function(e){for(var t,n=p(this),r=a(e,arguments.length>1?arguments[1]:void 0,3);t=t?t.next:n.first;)for(r(t.value,t.key,this);t&&t.removed;)t=t.previous},has:function(e){return!!m(this,e)}}),o(l.prototype,n?{get:function(e){var t=m(this,e);return t&&t.value},set:function(e,t){return g(this,0===e?0:e,t)}}:{add:function(e){return g(this,e=0===e?0:e,e)}}),f&&r(l.prototype,"size",{get:function(){return p(this).size}}),l},setStrong:function(e,t,n){var r=t+" Iterator",i=v(t),o=v(r);u(e,t,(function(e,t){h(this,{type:r,target:e,state:i(e),kind:t,last:void 0})}),(function(){for(var e=o(this),t=e.kind,n=e.last;n&&n.removed;)n=n.previous;return e.target&&(e.last=n=n?n.next:e.state.first)?"keys"==t?{value:n.key,done:!1}:"values"==t?{value:n.value,done:!1}:{value:[n.key,n.value],done:!1}:(e.target=void 0,{value:void 0,done:!0})}),n?"entries":"values",!n,!0),l(t)}}},function(e,t,n){"use strict";var r=n(43),i=n(67);e.exports=r?{}.toString:function(){return"[object "+i(this)+"]"}},function(e,t,n){var r=n(2),i=n(96),o=n(86),a=n(9);for(var s in i){var c=r[s],u=c&&c.prototype;if(u&&u.forEach!==o)try{a(u,"forEach",o)}catch(e){u.forEach=o}}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.getCurrentUser=function(){if(null===i)return null;return{uid:i,displayName:a}};var r=document.getElementsByTagName("head")[0],i=r?r.getAttribute("data-user"):null,o=document.getElementsByTagName("head")[0],a=o?o.getAttribute("data-user-displayname"):null},function(e,t,n){"use strict";var r=n(0);function i(e,t){return RegExp(e,t)}t.UNSUPPORTED_Y=r((function(){var e=i("a","y");return e.lastIndex=2,null!=e.exec("abcd")})),t.BROKEN_CARET=r((function(){var e=i("^r","gy");return e.lastIndex=2,null!=e.exec("str")}))},function(e,t,n){"use strict";var r=n(27);n.n(r).a},function(e,t,n){(t=n(49)(!1)).push([e.i,"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\n",""]),e.exports=t},,function(e,t,n){(function(e){var r=void 0!==e&&e||"undefined"!=typeof self&&self||window,i=Function.prototype.apply;function o(e,t){this._id=e,this._clearFn=t}t.setTimeout=function(){return new o(i.call(setTimeout,r,arguments),clearTimeout)},t.setInterval=function(){return new o(i.call(setInterval,r,arguments),clearInterval)},t.clearTimeout=t.clearInterval=function(e){e&&e.close()},o.prototype.unref=o.prototype.ref=function(){},o.prototype.close=function(){this._clearFn.call(r,this._id)},t.enroll=function(e,t){clearTimeout(e._idleTimeoutId),e._idleTimeout=t},t.unenroll=function(e){clearTimeout(e._idleTimeoutId),e._idleTimeout=-1},t._unrefActive=t.active=function(e){clearTimeout(e._idleTimeoutId);var t=e._idleTimeout;t>=0&&(e._idleTimeoutId=setTimeout((function(){e._onTimeout&&e._onTimeout()}),t))},n(169),t.setImmediate="undefined"!=typeof self&&self.setImmediate||void 0!==e&&e.setImmediate||this&&this.setImmediate,t.clearImmediate="undefined"!=typeof self&&self.clearImmediate||void 0!==e&&e.clearImmediate||this&&this.clearImmediate}).call(this,n(46))},function(e,t,n){(function(e,t){!function(e,n){"use strict";if(!e.setImmediate){var r,i,o,a,s,c=1,u={},l=!1,f=e.document,d=Object.getPrototypeOf&&Object.getPrototypeOf(e);d=d&&d.setTimeout?d:e,"[object process]"==={}.toString.call(e.process)?r=function(e){t.nextTick((function(){h(e)}))}:!function(){if(e.postMessage&&!e.importScripts){var t=!0,n=e.onmessage;return e.onmessage=function(){t=!1},e.postMessage("","*"),e.onmessage=n,t}}()?e.MessageChannel?((o=new MessageChannel).port1.onmessage=function(e){h(e.data)},r=function(e){o.port2.postMessage(e)}):f&&"onreadystatechange"in f.createElement("script")?(i=f.documentElement,r=function(e){var t=f.createElement("script");t.onreadystatechange=function(){h(e),t.onreadystatechange=null,i.removeChild(t),t=null},i.appendChild(t)}):r=function(e){setTimeout(h,0,e)}:(a="setImmediate$"+Math.random()+"$",s=function(t){t.source===e&&"string"==typeof t.data&&0===t.data.indexOf(a)&&h(+t.data.slice(a.length))},e.addEventListener?e.addEventListener("message",s,!1):e.attachEvent("onmessage",s),r=function(t){e.postMessage(a+t,"*")}),d.setImmediate=function(e){"function"!=typeof e&&(e=new Function(""+e));for(var t=new Array(arguments.length-1),n=0;n<t.length;n++)t[n]=arguments[n+1];var i={callback:e,args:t};return u[c]=i,r(c),c++},d.clearImmediate=p}function p(e){delete u[e]}function h(e){if(l)setTimeout(h,0,e);else{var t=u[e];if(t){l=!0;try{!function(e){var t=e.callback,n=e.args;switch(n.length){case 0:t();break;case 1:t(n[0]);break;case 2:t(n[0],n[1]);break;case 3:t(n[0],n[1],n[2]);break;default:t.apply(void 0,n)}}(t)}finally{p(e),l=!1}}}}}("undefined"==typeof self?void 0===e?this:e:self)}).call(this,n(46),n(47))},,,,,function(e,t,n){"use strict";n.r(t);var r=n(45),i=n(28),o=n(14),a=n(29),s=n.n(a),c=n(11),u={name:"FilesSettings",data:function(){return{showWorkspace:OCA.Text.RichWorkspaceEnabled}},methods:{toggle:function(){this.showWorkspace?(Object(o.emit)("Text::showRichWorkspace"),s.a.post(Object(c.generateUrl)("/apps/text/settings"),{key:"workspace_enabled",value:"1"})):(Object(o.emit)("Text::hideRichWorkspace"),s.a.post(Object(c.generateUrl)("/apps/text/settings"),{key:"workspace_enabled",value:"0"}))}}},l=n(18),f=Object(l.a)(u,(function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{attrs:{id:"files-setting-richworkspace"}},[n("input",{directives:[{name:"model",rawName:"v-model",value:e.showWorkspace,expression:"showWorkspace"}],staticClass:"checkbox",attrs:{id:"showRichWorkspacesToggle",type:"checkbox"},domProps:{checked:Array.isArray(e.showWorkspace)?e._i(e.showWorkspace,null)>-1:e.showWorkspace},on:{change:[function(t){var n=e.showWorkspace,r=t.target,i=!!r.checked;if(Array.isArray(n)){var o=e._i(n,null);r.checked?o<0&&(e.showWorkspace=n.concat([null])):o>-1&&(e.showWorkspace=n.slice(0,o).concat(n.slice(o+1)))}else e.showWorkspace=i},e.toggle]}}),e._v(" "),n("label",{attrs:{for:"showRichWorkspacesToggle"}},[e._v(e._s(e.t("text","Show rich workspaces")))])])}),[],!1,null,null,null).exports,d=n(48);
+var r=Object.freeze({});function i(e){return null==e}function o(e){return null!=e}function a(e){return!0===e}function s(e){return"string"==typeof e||"number"==typeof e||"symbol"==typeof e||"boolean"==typeof e}function c(e){return null!==e&&"object"==typeof e}var u=Object.prototype.toString;function l(e){return"[object Object]"===u.call(e)}function f(e){return"[object RegExp]"===u.call(e)}function d(e){var t=parseFloat(String(e));return t>=0&&Math.floor(t)===t&&isFinite(e)}function p(e){return o(e)&&"function"==typeof e.then&&"function"==typeof e.catch}function h(e){return null==e?"":Array.isArray(e)||l(e)&&e.toString===u?JSON.stringify(e,null,2):String(e)}function v(e){var t=parseFloat(e);return isNaN(t)?e:t}function g(e,t){for(var n=Object.create(null),r=e.split(","),i=0;i<r.length;i++)n[r[i]]=!0;return t?function(e){return n[e.toLowerCase()]}:function(e){return n[e]}}var m=g("slot,component",!0),y=g("key,ref,slot,slot-scope,is");function b(e,t){if(e.length){var n=e.indexOf(t);if(n>-1)return e.splice(n,1)}}var _=Object.prototype.hasOwnProperty;function x(e,t){return _.call(e,t)}function w(e){var t=Object.create(null);return function(n){return t[n]||(t[n]=e(n))}}var E=/-(\w)/g,O=w((function(e){return e.replace(E,(function(e,t){return t?t.toUpperCase():""}))})),A=w((function(e){return e.charAt(0).toUpperCase()+e.slice(1)})),S=/\B([A-Z])/g,C=w((function(e){return e.replace(S,"-$1").toLowerCase()}));var k=Function.prototype.bind?function(e,t){return e.bind(t)}:function(e,t){function n(n){var r=arguments.length;return r?r>1?e.apply(t,arguments):e.call(t,n):e.call(t)}return n._length=e.length,n};function $(e,t){t=t||0;for(var n=e.length-t,r=new Array(n);n--;)r[n]=e[n+t];return r}function T(e,t){for(var n in t)e[n]=t[n];return e}function I(e){for(var t={},n=0;n<e.length;n++)e[n]&&T(t,e[n]);return t}function R(e,t,n){}var N=function(e,t,n){return!1},L=function(e){return e};function j(e,t){if(e===t)return!0;var n=c(e),r=c(t);if(!n||!r)return!n&&!r&&String(e)===String(t);try{var i=Array.isArray(e),o=Array.isArray(t);if(i&&o)return e.length===t.length&&e.every((function(e,n){return j(e,t[n])}));if(e instanceof Date&&t instanceof Date)return e.getTime()===t.getTime();if(i||o)return!1;var a=Object.keys(e),s=Object.keys(t);return a.length===s.length&&a.every((function(n){return j(e[n],t[n])}))}catch(e){return!1}}function P(e,t){for(var n=0;n<e.length;n++)if(j(e[n],t))return n;return-1}function M(e){var t=!1;return function(){t||(t=!0,e.apply(this,arguments))}}var D=["component","directive","filter"],F=["beforeCreate","created","beforeMount","mounted","beforeUpdate","updated","beforeDestroy","destroyed","activated","deactivated","errorCaptured","serverPrefetch"],U={optionMergeStrategies:Object.create(null),silent:!1,productionTip:!1,devtools:!1,performance:!1,errorHandler:null,warnHandler:null,ignoredElements:[],keyCodes:Object.create(null),isReservedTag:N,isReservedAttr:N,isUnknownElement:N,getTagNamespace:R,parsePlatformTagName:L,mustUseProp:N,async:!0,_lifecycleHooks:F},B=/a-zA-Z\u00B7\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u037D\u037F-\u1FFF\u200C-\u200D\u203F-\u2040\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD/;function G(e){var t=(e+"").charCodeAt(0);return 36===t||95===t}function H(e,t,n,r){Object.defineProperty(e,t,{value:n,enumerable:!!r,writable:!0,configurable:!0})}var q=new RegExp("[^"+B.source+".$_\\d]");var V,z="__proto__"in{},W="undefined"!=typeof window,X="undefined"!=typeof WXEnvironment&&!!WXEnvironment.platform,K=X&&WXEnvironment.platform.toLowerCase(),J=W&&window.navigator.userAgent.toLowerCase(),Z=J&&/msie|trident/.test(J),Y=J&&J.indexOf("msie 9.0")>0,Q=J&&J.indexOf("edge/")>0,ee=(J&&J.indexOf("android"),J&&/iphone|ipad|ipod|ios/.test(J)||"ios"===K),te=(J&&/chrome\/\d+/.test(J),J&&/phantomjs/.test(J),J&&J.match(/firefox\/(\d+)/)),ne={}.watch,re=!1;if(W)try{var ie={};Object.defineProperty(ie,"passive",{get:function(){re=!0}}),window.addEventListener("test-passive",null,ie)}catch(e){}var oe=function(){return void 0===V&&(V=!W&&!X&&void 0!==e&&(e.process&&"server"===e.process.env.VUE_ENV)),V},ae=W&&window.__VUE_DEVTOOLS_GLOBAL_HOOK__;function se(e){return"function"==typeof e&&/native code/.test(e.toString())}var ce,ue="undefined"!=typeof Symbol&&se(Symbol)&&"undefined"!=typeof Reflect&&se(Reflect.ownKeys);ce="undefined"!=typeof Set&&se(Set)?Set:function(){function e(){this.set=Object.create(null)}return e.prototype.has=function(e){return!0===this.set[e]},e.prototype.add=function(e){this.set[e]=!0},e.prototype.clear=function(){this.set=Object.create(null)},e}();var le=R,fe=0,de=function(){this.id=fe++,this.subs=[]};de.prototype.addSub=function(e){this.subs.push(e)},de.prototype.removeSub=function(e){b(this.subs,e)},de.prototype.depend=function(){de.target&&de.target.addDep(this)},de.prototype.notify=function(){var e=this.subs.slice();for(var t=0,n=e.length;t<n;t++)e[t].update()},de.target=null;var pe=[];function he(e){pe.push(e),de.target=e}function ve(){pe.pop(),de.target=pe[pe.length-1]}var ge=function(e,t,n,r,i,o,a,s){this.tag=e,this.data=t,this.children=n,this.text=r,this.elm=i,this.ns=void 0,this.context=o,this.fnContext=void 0,this.fnOptions=void 0,this.fnScopeId=void 0,this.key=t&&t.key,this.componentOptions=a,this.componentInstance=void 0,this.parent=void 0,this.raw=!1,this.isStatic=!1,this.isRootInsert=!0,this.isComment=!1,this.isCloned=!1,this.isOnce=!1,this.asyncFactory=s,this.asyncMeta=void 0,this.isAsyncPlaceholder=!1},me={child:{configurable:!0}};me.child.get=function(){return this.componentInstance},Object.defineProperties(ge.prototype,me);var ye=function(e){void 0===e&&(e="");var t=new ge;return t.text=e,t.isComment=!0,t};function be(e){return new ge(void 0,void 0,void 0,String(e))}function _e(e){var t=new ge(e.tag,e.data,e.children&&e.children.slice(),e.text,e.elm,e.context,e.componentOptions,e.asyncFactory);return t.ns=e.ns,t.isStatic=e.isStatic,t.key=e.key,t.isComment=e.isComment,t.fnContext=e.fnContext,t.fnOptions=e.fnOptions,t.fnScopeId=e.fnScopeId,t.asyncMeta=e.asyncMeta,t.isCloned=!0,t}var xe=Array.prototype,we=Object.create(xe);["push","pop","shift","unshift","splice","sort","reverse"].forEach((function(e){var t=xe[e];H(we,e,(function(){for(var n=[],r=arguments.length;r--;)n[r]=arguments[r];var i,o=t.apply(this,n),a=this.__ob__;switch(e){case"push":case"unshift":i=n;break;case"splice":i=n.slice(2)}return i&&a.observeArray(i),a.dep.notify(),o}))}));var Ee=Object.getOwnPropertyNames(we),Oe=!0;function Ae(e){Oe=e}var Se=function(e){this.value=e,this.dep=new de,this.vmCount=0,H(e,"__ob__",this),Array.isArray(e)?(z?function(e,t){e.__proto__=t}(e,we):function(e,t,n){for(var r=0,i=n.length;r<i;r++){var o=n[r];H(e,o,t[o])}}(e,we,Ee),this.observeArray(e)):this.walk(e)};function Ce(e,t){var n;if(c(e)&&!(e instanceof ge))return x(e,"__ob__")&&e.__ob__ instanceof Se?n=e.__ob__:Oe&&!oe()&&(Array.isArray(e)||l(e))&&Object.isExtensible(e)&&!e._isVue&&(n=new Se(e)),t&&n&&n.vmCount++,n}function ke(e,t,n,r,i){var o=new de,a=Object.getOwnPropertyDescriptor(e,t);if(!a||!1!==a.configurable){var s=a&&a.get,c=a&&a.set;s&&!c||2!==arguments.length||(n=e[t]);var u=!i&&Ce(n);Object.defineProperty(e,t,{enumerable:!0,configurable:!0,get:function(){var t=s?s.call(e):n;return de.target&&(o.depend(),u&&(u.dep.depend(),Array.isArray(t)&&Ie(t))),t},set:function(t){var r=s?s.call(e):n;t===r||t!=t&&r!=r||s&&!c||(c?c.call(e,t):n=t,u=!i&&Ce(t),o.notify())}})}}function $e(e,t,n){if(Array.isArray(e)&&d(t))return e.length=Math.max(e.length,t),e.splice(t,1,n),n;if(t in e&&!(t in Object.prototype))return e[t]=n,n;var r=e.__ob__;return e._isVue||r&&r.vmCount?n:r?(ke(r.value,t,n),r.dep.notify(),n):(e[t]=n,n)}function Te(e,t){if(Array.isArray(e)&&d(t))e.splice(t,1);else{var n=e.__ob__;e._isVue||n&&n.vmCount||x(e,t)&&(delete e[t],n&&n.dep.notify())}}function Ie(e){for(var t=void 0,n=0,r=e.length;n<r;n++)(t=e[n])&&t.__ob__&&t.__ob__.dep.depend(),Array.isArray(t)&&Ie(t)}Se.prototype.walk=function(e){for(var t=Object.keys(e),n=0;n<t.length;n++)ke(e,t[n])},Se.prototype.observeArray=function(e){for(var t=0,n=e.length;t<n;t++)Ce(e[t])};var Re=U.optionMergeStrategies;function Ne(e,t){if(!t)return e;for(var n,r,i,o=ue?Reflect.ownKeys(t):Object.keys(t),a=0;a<o.length;a++)"__ob__"!==(n=o[a])&&(r=e[n],i=t[n],x(e,n)?r!==i&&l(r)&&l(i)&&Ne(r,i):$e(e,n,i));return e}function Le(e,t,n){return n?function(){var r="function"==typeof t?t.call(n,n):t,i="function"==typeof e?e.call(n,n):e;return r?Ne(r,i):i}:t?e?function(){return Ne("function"==typeof t?t.call(this,this):t,"function"==typeof e?e.call(this,this):e)}:t:e}function je(e,t){var n=t?e?e.concat(t):Array.isArray(t)?t:[t]:e;return n?function(e){for(var t=[],n=0;n<e.length;n++)-1===t.indexOf(e[n])&&t.push(e[n]);return t}(n):n}function Pe(e,t,n,r){var i=Object.create(e||null);return t?T(i,t):i}Re.data=function(e,t,n){return n?Le(e,t,n):t&&"function"!=typeof t?e:Le(e,t)},F.forEach((function(e){Re[e]=je})),D.forEach((function(e){Re[e+"s"]=Pe})),Re.watch=function(e,t,n,r){if(e===ne&&(e=void 0),t===ne&&(t=void 0),!t)return Object.create(e||null);if(!e)return t;var i={};for(var o in T(i,e),t){var a=i[o],s=t[o];a&&!Array.isArray(a)&&(a=[a]),i[o]=a?a.concat(s):Array.isArray(s)?s:[s]}return i},Re.props=Re.methods=Re.inject=Re.computed=function(e,t,n,r){if(!e)return t;var i=Object.create(null);return T(i,e),t&&T(i,t),i},Re.provide=Le;var Me=function(e,t){return void 0===t?e:t};function De(e,t,n){if("function"==typeof t&&(t=t.options),function(e,t){var n=e.props;if(n){var r,i,o={};if(Array.isArray(n))for(r=n.length;r--;)"string"==typeof(i=n[r])&&(o[O(i)]={type:null});else if(l(n))for(var a in n)i=n[a],o[O(a)]=l(i)?i:{type:i};else 0;e.props=o}}(t),function(e,t){var n=e.inject;if(n){var r=e.inject={};if(Array.isArray(n))for(var i=0;i<n.length;i++)r[n[i]]={from:n[i]};else if(l(n))for(var o in n){var a=n[o];r[o]=l(a)?T({from:o},a):{from:a}}else 0}}(t),function(e){var t=e.directives;if(t)for(var n in t){var r=t[n];"function"==typeof r&&(t[n]={bind:r,update:r})}}(t),!t._base&&(t.extends&&(e=De(e,t.extends,n)),t.mixins))for(var r=0,i=t.mixins.length;r<i;r++)e=De(e,t.mixins[r],n);var o,a={};for(o in e)s(o);for(o in t)x(e,o)||s(o);function s(r){var i=Re[r]||Me;a[r]=i(e[r],t[r],n,r)}return a}function Fe(e,t,n,r){if("string"==typeof n){var i=e[t];if(x(i,n))return i[n];var o=O(n);if(x(i,o))return i[o];var a=A(o);return x(i,a)?i[a]:i[n]||i[o]||i[a]}}function Ue(e,t,n,r){var i=t[e],o=!x(n,e),a=n[e],s=He(Boolean,i.type);if(s>-1)if(o&&!x(i,"default"))a=!1;else if(""===a||a===C(e)){var c=He(String,i.type);(c<0||s<c)&&(a=!0)}if(void 0===a){a=function(e,t,n){if(!x(t,"default"))return;var r=t.default;0;if(e&&e.$options.propsData&&void 0===e.$options.propsData[n]&&void 0!==e._props[n])return e._props[n];return"function"==typeof r&&"Function"!==Be(t.type)?r.call(e):r}(r,i,e);var u=Oe;Ae(!0),Ce(a),Ae(u)}return a}function Be(e){var t=e&&e.toString().match(/^\s*function (\w+)/);return t?t[1]:""}function Ge(e,t){return Be(e)===Be(t)}function He(e,t){if(!Array.isArray(t))return Ge(t,e)?0:-1;for(var n=0,r=t.length;n<r;n++)if(Ge(t[n],e))return n;return-1}function qe(e,t,n){he();try{if(t)for(var r=t;r=r.$parent;){var i=r.$options.errorCaptured;if(i)for(var o=0;o<i.length;o++)try{if(!1===i[o].call(r,e,t,n))return}catch(e){ze(e,r,"errorCaptured hook")}}ze(e,t,n)}finally{ve()}}function Ve(e,t,n,r,i){var o;try{(o=n?e.apply(t,n):e.call(t))&&!o._isVue&&p(o)&&!o._handled&&(o.catch((function(e){return qe(e,r,i+" (Promise/async)")})),o._handled=!0)}catch(e){qe(e,r,i)}return o}function ze(e,t,n){if(U.errorHandler)try{return U.errorHandler.call(null,e,t,n)}catch(t){t!==e&&We(t,null,"config.errorHandler")}We(e,t,n)}function We(e,t,n){if(!W&&!X||"undefined"==typeof console)throw e;console.error(e)}var Xe,Ke=!1,Je=[],Ze=!1;function Ye(){Ze=!1;var e=Je.slice(0);Je.length=0;for(var t=0;t<e.length;t++)e[t]()}if("undefined"!=typeof Promise&&se(Promise)){var Qe=Promise.resolve();Xe=function(){Qe.then(Ye),ee&&setTimeout(R)},Ke=!0}else if(Z||"undefined"==typeof MutationObserver||!se(MutationObserver)&&"[object MutationObserverConstructor]"!==MutationObserver.toString())Xe=void 0!==n&&se(n)?function(){n(Ye)}:function(){setTimeout(Ye,0)};else{var et=1,tt=new MutationObserver(Ye),nt=document.createTextNode(String(et));tt.observe(nt,{characterData:!0}),Xe=function(){et=(et+1)%2,nt.data=String(et)},Ke=!0}function rt(e,t){var n;if(Je.push((function(){if(e)try{e.call(t)}catch(e){qe(e,t,"nextTick")}else n&&n(t)})),Ze||(Ze=!0,Xe()),!e&&"undefined"!=typeof Promise)return new Promise((function(e){n=e}))}var it=new ce;function ot(e){!function e(t,n){var r,i,o=Array.isArray(t);if(!o&&!c(t)||Object.isFrozen(t)||t instanceof ge)return;if(t.__ob__){var a=t.__ob__.dep.id;if(n.has(a))return;n.add(a)}if(o)for(r=t.length;r--;)e(t[r],n);else for(i=Object.keys(t),r=i.length;r--;)e(t[i[r]],n)}(e,it),it.clear()}var at=w((function(e){var t="&"===e.charAt(0),n="~"===(e=t?e.slice(1):e).charAt(0),r="!"===(e=n?e.slice(1):e).charAt(0);return{name:e=r?e.slice(1):e,once:n,capture:r,passive:t}}));function st(e,t){function n(){var e=arguments,r=n.fns;if(!Array.isArray(r))return Ve(r,null,arguments,t,"v-on handler");for(var i=r.slice(),o=0;o<i.length;o++)Ve(i[o],null,e,t,"v-on handler")}return n.fns=e,n}function ct(e,t,n,r,o,s){var c,u,l,f;for(c in e)u=e[c],l=t[c],f=at(c),i(u)||(i(l)?(i(u.fns)&&(u=e[c]=st(u,s)),a(f.once)&&(u=e[c]=o(f.name,u,f.capture)),n(f.name,u,f.capture,f.passive,f.params)):u!==l&&(l.fns=u,e[c]=l));for(c in t)i(e[c])&&r((f=at(c)).name,t[c],f.capture)}function ut(e,t,n){var r;e instanceof ge&&(e=e.data.hook||(e.data.hook={}));var s=e[t];function c(){n.apply(this,arguments),b(r.fns,c)}i(s)?r=st([c]):o(s.fns)&&a(s.merged)?(r=s).fns.push(c):r=st([s,c]),r.merged=!0,e[t]=r}function lt(e,t,n,r,i){if(o(t)){if(x(t,n))return e[n]=t[n],i||delete t[n],!0;if(x(t,r))return e[n]=t[r],i||delete t[r],!0}return!1}function ft(e){return s(e)?[be(e)]:Array.isArray(e)?function e(t,n){var r,c,u,l,f=[];for(r=0;r<t.length;r++)i(c=t[r])||"boolean"==typeof c||(u=f.length-1,l=f[u],Array.isArray(c)?c.length>0&&(dt((c=e(c,(n||"")+"_"+r))[0])&&dt(l)&&(f[u]=be(l.text+c[0].text),c.shift()),f.push.apply(f,c)):s(c)?dt(l)?f[u]=be(l.text+c):""!==c&&f.push(be(c)):dt(c)&&dt(l)?f[u]=be(l.text+c.text):(a(t._isVList)&&o(c.tag)&&i(c.key)&&o(n)&&(c.key="__vlist"+n+"_"+r+"__"),f.push(c)));return f}(e):void 0}function dt(e){return o(e)&&o(e.text)&&!1===e.isComment}function pt(e,t){if(e){for(var n=Object.create(null),r=ue?Reflect.ownKeys(e):Object.keys(e),i=0;i<r.length;i++){var o=r[i];if("__ob__"!==o){for(var a=e[o].from,s=t;s;){if(s._provided&&x(s._provided,a)){n[o]=s._provided[a];break}s=s.$parent}if(!s)if("default"in e[o]){var c=e[o].default;n[o]="function"==typeof c?c.call(t):c}else 0}}return n}}function ht(e,t){if(!e||!e.length)return{};for(var n={},r=0,i=e.length;r<i;r++){var o=e[r],a=o.data;if(a&&a.attrs&&a.attrs.slot&&delete a.attrs.slot,o.context!==t&&o.fnContext!==t||!a||null==a.slot)(n.default||(n.default=[])).push(o);else{var s=a.slot,c=n[s]||(n[s]=[]);"template"===o.tag?c.push.apply(c,o.children||[]):c.push(o)}}for(var u in n)n[u].every(vt)&&delete n[u];return n}function vt(e){return e.isComment&&!e.asyncFactory||" "===e.text}function gt(e,t,n){var i,o=Object.keys(t).length>0,a=e?!!e.$stable:!o,s=e&&e.$key;if(e){if(e._normalized)return e._normalized;if(a&&n&&n!==r&&s===n.$key&&!o&&!n.$hasNormal)return n;for(var c in i={},e)e[c]&&"$"!==c[0]&&(i[c]=mt(t,c,e[c]))}else i={};for(var u in t)u in i||(i[u]=yt(t,u));return e&&Object.isExtensible(e)&&(e._normalized=i),H(i,"$stable",a),H(i,"$key",s),H(i,"$hasNormal",o),i}function mt(e,t,n){var r=function(){var e=arguments.length?n.apply(null,arguments):n({});return(e=e&&"object"==typeof e&&!Array.isArray(e)?[e]:ft(e))&&(0===e.length||1===e.length&&e[0].isComment)?void 0:e};return n.proxy&&Object.defineProperty(e,t,{get:r,enumerable:!0,configurable:!0}),r}function yt(e,t){return function(){return e[t]}}function bt(e,t){var n,r,i,a,s;if(Array.isArray(e)||"string"==typeof e)for(n=new Array(e.length),r=0,i=e.length;r<i;r++)n[r]=t(e[r],r);else if("number"==typeof e)for(n=new Array(e),r=0;r<e;r++)n[r]=t(r+1,r);else if(c(e))if(ue&&e[Symbol.iterator]){n=[];for(var u=e[Symbol.iterator](),l=u.next();!l.done;)n.push(t(l.value,n.length)),l=u.next()}else for(a=Object.keys(e),n=new Array(a.length),r=0,i=a.length;r<i;r++)s=a[r],n[r]=t(e[s],s,r);return o(n)||(n=[]),n._isVList=!0,n}function _t(e,t,n,r){var i,o=this.$scopedSlots[e];o?(n=n||{},r&&(n=T(T({},r),n)),i=o(n)||t):i=this.$slots[e]||t;var a=n&&n.slot;return a?this.$createElement("template",{slot:a},i):i}function xt(e){return Fe(this.$options,"filters",e)||L}function wt(e,t){return Array.isArray(e)?-1===e.indexOf(t):e!==t}function Et(e,t,n,r,i){var o=U.keyCodes[t]||n;return i&&r&&!U.keyCodes[t]?wt(i,r):o?wt(o,e):r?C(r)!==t:void 0}function Ot(e,t,n,r,i){if(n)if(c(n)){var o;Array.isArray(n)&&(n=I(n));var a=function(a){if("class"===a||"style"===a||y(a))o=e;else{var s=e.attrs&&e.attrs.type;o=r||U.mustUseProp(t,s,a)?e.domProps||(e.domProps={}):e.attrs||(e.attrs={})}var c=O(a),u=C(a);c in o||u in o||(o[a]=n[a],i&&((e.on||(e.on={}))["update:"+a]=function(e){n[a]=e}))};for(var s in n)a(s)}else;return e}function At(e,t){var n=this._staticTrees||(this._staticTrees=[]),r=n[e];return r&&!t||Ct(r=n[e]=this.$options.staticRenderFns[e].call(this._renderProxy,null,this),"__static__"+e,!1),r}function St(e,t,n){return Ct(e,"__once__"+t+(n?"_"+n:""),!0),e}function Ct(e,t,n){if(Array.isArray(e))for(var r=0;r<e.length;r++)e[r]&&"string"!=typeof e[r]&&kt(e[r],t+"_"+r,n);else kt(e,t,n)}function kt(e,t,n){e.isStatic=!0,e.key=t,e.isOnce=n}function $t(e,t){if(t)if(l(t)){var n=e.on=e.on?T({},e.on):{};for(var r in t){var i=n[r],o=t[r];n[r]=i?[].concat(i,o):o}}else;return e}function Tt(e,t,n,r){t=t||{$stable:!n};for(var i=0;i<e.length;i++){var o=e[i];Array.isArray(o)?Tt(o,t,n):o&&(o.proxy&&(o.fn.proxy=!0),t[o.key]=o.fn)}return r&&(t.$key=r),t}function It(e,t){for(var n=0;n<t.length;n+=2){var r=t[n];"string"==typeof r&&r&&(e[t[n]]=t[n+1])}return e}function Rt(e,t){return"string"==typeof e?t+e:e}function Nt(e){e._o=St,e._n=v,e._s=h,e._l=bt,e._t=_t,e._q=j,e._i=P,e._m=At,e._f=xt,e._k=Et,e._b=Ot,e._v=be,e._e=ye,e._u=Tt,e._g=$t,e._d=It,e._p=Rt}function Lt(e,t,n,i,o){var s,c=this,u=o.options;x(i,"_uid")?(s=Object.create(i))._original=i:(s=i,i=i._original);var l=a(u._compiled),f=!l;this.data=e,this.props=t,this.children=n,this.parent=i,this.listeners=e.on||r,this.injections=pt(u.inject,i),this.slots=function(){return c.$slots||gt(e.scopedSlots,c.$slots=ht(n,i)),c.$slots},Object.defineProperty(this,"scopedSlots",{enumerable:!0,get:function(){return gt(e.scopedSlots,this.slots())}}),l&&(this.$options=u,this.$slots=this.slots(),this.$scopedSlots=gt(e.scopedSlots,this.$slots)),u._scopeId?this._c=function(e,t,n,r){var o=Bt(s,e,t,n,r,f);return o&&!Array.isArray(o)&&(o.fnScopeId=u._scopeId,o.fnContext=i),o}:this._c=function(e,t,n,r){return Bt(s,e,t,n,r,f)}}function jt(e,t,n,r,i){var o=_e(e);return o.fnContext=n,o.fnOptions=r,t.slot&&((o.data||(o.data={})).slot=t.slot),o}function Pt(e,t){for(var n in t)e[O(n)]=t[n]}Nt(Lt.prototype);var Mt={init:function(e,t){if(e.componentInstance&&!e.componentInstance._isDestroyed&&e.data.keepAlive){var n=e;Mt.prepatch(n,n)}else{(e.componentInstance=function(e,t){var n={_isComponent:!0,_parentVnode:e,parent:t},r=e.data.inlineTemplate;o(r)&&(n.render=r.render,n.staticRenderFns=r.staticRenderFns);return new e.componentOptions.Ctor(n)}(e,Zt)).$mount(t?e.elm:void 0,t)}},prepatch:function(e,t){var n=t.componentOptions;!function(e,t,n,i,o){0;var a=i.data.scopedSlots,s=e.$scopedSlots,c=!!(a&&!a.$stable||s!==r&&!s.$stable||a&&e.$scopedSlots.$key!==a.$key),u=!!(o||e.$options._renderChildren||c);e.$options._parentVnode=i,e.$vnode=i,e._vnode&&(e._vnode.parent=i);if(e.$options._renderChildren=o,e.$attrs=i.data.attrs||r,e.$listeners=n||r,t&&e.$options.props){Ae(!1);for(var l=e._props,f=e.$options._propKeys||[],d=0;d<f.length;d++){var p=f[d],h=e.$options.props;l[p]=Ue(p,h,t,e)}Ae(!0),e.$options.propsData=t}n=n||r;var v=e.$options._parentListeners;e.$options._parentListeners=n,Jt(e,n,v),u&&(e.$slots=ht(o,i.context),e.$forceUpdate());0}(t.componentInstance=e.componentInstance,n.propsData,n.listeners,t,n.children)},insert:function(e){var t,n=e.context,r=e.componentInstance;r._isMounted||(r._isMounted=!0,tn(r,"mounted")),e.data.keepAlive&&(n._isMounted?((t=r)._inactive=!1,rn.push(t)):en(r,!0))},destroy:function(e){var t=e.componentInstance;t._isDestroyed||(e.data.keepAlive?function e(t,n){if(n&&(t._directInactive=!0,Qt(t)))return;if(!t._inactive){t._inactive=!0;for(var r=0;r<t.$children.length;r++)e(t.$children[r]);tn(t,"deactivated")}}(t,!0):t.$destroy())}},Dt=Object.keys(Mt);function Ft(e,t,n,s,u){if(!i(e)){var l=n.$options._base;if(c(e)&&(e=l.extend(e)),"function"==typeof e){var f;if(i(e.cid)&&void 0===(e=function(e,t){if(a(e.error)&&o(e.errorComp))return e.errorComp;if(o(e.resolved))return e.resolved;var n=Ht;n&&o(e.owners)&&-1===e.owners.indexOf(n)&&e.owners.push(n);if(a(e.loading)&&o(e.loadingComp))return e.loadingComp;if(n&&!o(e.owners)){var r=e.owners=[n],s=!0,u=null,l=null;n.$on("hook:destroyed",(function(){return b(r,n)}));var f=function(e){for(var t=0,n=r.length;t<n;t++)r[t].$forceUpdate();e&&(r.length=0,null!==u&&(clearTimeout(u),u=null),null!==l&&(clearTimeout(l),l=null))},d=M((function(n){e.resolved=qt(n,t),s?r.length=0:f(!0)})),h=M((function(t){o(e.errorComp)&&(e.error=!0,f(!0))})),v=e(d,h);return c(v)&&(p(v)?i(e.resolved)&&v.then(d,h):p(v.component)&&(v.component.then(d,h),o(v.error)&&(e.errorComp=qt(v.error,t)),o(v.loading)&&(e.loadingComp=qt(v.loading,t),0===v.delay?e.loading=!0:u=setTimeout((function(){u=null,i(e.resolved)&&i(e.error)&&(e.loading=!0,f(!1))}),v.delay||200)),o(v.timeout)&&(l=setTimeout((function(){l=null,i(e.resolved)&&h(null)}),v.timeout)))),s=!1,e.loading?e.loadingComp:e.resolved}}(f=e,l)))return function(e,t,n,r,i){var o=ye();return o.asyncFactory=e,o.asyncMeta={data:t,context:n,children:r,tag:i},o}(f,t,n,s,u);t=t||{},On(e),o(t.model)&&function(e,t){var n=e.model&&e.model.prop||"value",r=e.model&&e.model.event||"input";(t.attrs||(t.attrs={}))[n]=t.model.value;var i=t.on||(t.on={}),a=i[r],s=t.model.callback;o(a)?(Array.isArray(a)?-1===a.indexOf(s):a!==s)&&(i[r]=[s].concat(a)):i[r]=s}(e.options,t);var d=function(e,t,n){var r=t.options.props;if(!i(r)){var a={},s=e.attrs,c=e.props;if(o(s)||o(c))for(var u in r){var l=C(u);lt(a,c,u,l,!0)||lt(a,s,u,l,!1)}return a}}(t,e);if(a(e.options.functional))return function(e,t,n,i,a){var s=e.options,c={},u=s.props;if(o(u))for(var l in u)c[l]=Ue(l,u,t||r);else o(n.attrs)&&Pt(c,n.attrs),o(n.props)&&Pt(c,n.props);var f=new Lt(n,c,a,i,e),d=s.render.call(null,f._c,f);if(d instanceof ge)return jt(d,n,f.parent,s,f);if(Array.isArray(d)){for(var p=ft(d)||[],h=new Array(p.length),v=0;v<p.length;v++)h[v]=jt(p[v],n,f.parent,s,f);return h}}(e,d,t,n,s);var h=t.on;if(t.on=t.nativeOn,a(e.options.abstract)){var v=t.slot;t={},v&&(t.slot=v)}!function(e){for(var t=e.hook||(e.hook={}),n=0;n<Dt.length;n++){var r=Dt[n],i=t[r],o=Mt[r];i===o||i&&i._merged||(t[r]=i?Ut(o,i):o)}}(t);var g=e.options.name||u;return new ge("vue-component-"+e.cid+(g?"-"+g:""),t,void 0,void 0,void 0,n,{Ctor:e,propsData:d,listeners:h,tag:u,children:s},f)}}}function Ut(e,t){var n=function(n,r){e(n,r),t(n,r)};return n._merged=!0,n}function Bt(e,t,n,r,u,l){return(Array.isArray(n)||s(n))&&(u=r,r=n,n=void 0),a(l)&&(u=2),function(e,t,n,r,s){if(o(n)&&o(n.__ob__))return ye();o(n)&&o(n.is)&&(t=n.is);if(!t)return ye();0;Array.isArray(r)&&"function"==typeof r[0]&&((n=n||{}).scopedSlots={default:r[0]},r.length=0);2===s?r=ft(r):1===s&&(r=function(e){for(var t=0;t<e.length;t++)if(Array.isArray(e[t]))return Array.prototype.concat.apply([],e);return e}(r));var u,l;if("string"==typeof t){var f;l=e.$vnode&&e.$vnode.ns||U.getTagNamespace(t),u=U.isReservedTag(t)?new ge(U.parsePlatformTagName(t),n,r,void 0,void 0,e):n&&n.pre||!o(f=Fe(e.$options,"components",t))?new ge(t,n,r,void 0,void 0,e):Ft(f,n,e,r,t)}else u=Ft(t,n,e,r);return Array.isArray(u)?u:o(u)?(o(l)&&function e(t,n,r){t.ns=n,"foreignObject"===t.tag&&(n=void 0,r=!0);if(o(t.children))for(var s=0,c=t.children.length;s<c;s++){var u=t.children[s];o(u.tag)&&(i(u.ns)||a(r)&&"svg"!==u.tag)&&e(u,n,r)}}(u,l),o(n)&&function(e){c(e.style)&&ot(e.style);c(e.class)&&ot(e.class)}(n),u):ye()}(e,t,n,r,u)}var Gt,Ht=null;function qt(e,t){return(e.__esModule||ue&&"Module"===e[Symbol.toStringTag])&&(e=e.default),c(e)?t.extend(e):e}function Vt(e){return e.isComment&&e.asyncFactory}function zt(e){if(Array.isArray(e))for(var t=0;t<e.length;t++){var n=e[t];if(o(n)&&(o(n.componentOptions)||Vt(n)))return n}}function Wt(e,t){Gt.$on(e,t)}function Xt(e,t){Gt.$off(e,t)}function Kt(e,t){var n=Gt;return function r(){var i=t.apply(null,arguments);null!==i&&n.$off(e,r)}}function Jt(e,t,n){Gt=e,ct(t,n||{},Wt,Xt,Kt,e),Gt=void 0}var Zt=null;function Yt(e){var t=Zt;return Zt=e,function(){Zt=t}}function Qt(e){for(;e&&(e=e.$parent);)if(e._inactive)return!0;return!1}function en(e,t){if(t){if(e._directInactive=!1,Qt(e))return}else if(e._directInactive)return;if(e._inactive||null===e._inactive){e._inactive=!1;for(var n=0;n<e.$children.length;n++)en(e.$children[n]);tn(e,"activated")}}function tn(e,t){he();var n=e.$options[t],r=t+" hook";if(n)for(var i=0,o=n.length;i<o;i++)Ve(n[i],e,null,e,r);e._hasHookEvent&&e.$emit("hook:"+t),ve()}var nn=[],rn=[],on={},an=!1,sn=!1,cn=0;var un=0,ln=Date.now;if(W&&!Z){var fn=window.performance;fn&&"function"==typeof fn.now&&ln()>document.createEvent("Event").timeStamp&&(ln=function(){return fn.now()})}function dn(){var e,t;for(un=ln(),sn=!0,nn.sort((function(e,t){return e.id-t.id})),cn=0;cn<nn.length;cn++)(e=nn[cn]).before&&e.before(),t=e.id,on[t]=null,e.run();var n=rn.slice(),r=nn.slice();cn=nn.length=rn.length=0,on={},an=sn=!1,function(e){for(var t=0;t<e.length;t++)e[t]._inactive=!0,en(e[t],!0)}(n),function(e){var t=e.length;for(;t--;){var n=e[t],r=n.vm;r._watcher===n&&r._isMounted&&!r._isDestroyed&&tn(r,"updated")}}(r),ae&&U.devtools&&ae.emit("flush")}var pn=0,hn=function(e,t,n,r,i){this.vm=e,i&&(e._watcher=this),e._watchers.push(this),r?(this.deep=!!r.deep,this.user=!!r.user,this.lazy=!!r.lazy,this.sync=!!r.sync,this.before=r.before):this.deep=this.user=this.lazy=this.sync=!1,this.cb=n,this.id=++pn,this.active=!0,this.dirty=this.lazy,this.deps=[],this.newDeps=[],this.depIds=new ce,this.newDepIds=new ce,this.expression="","function"==typeof t?this.getter=t:(this.getter=function(e){if(!q.test(e)){var t=e.split(".");return function(e){for(var n=0;n<t.length;n++){if(!e)return;e=e[t[n]]}return e}}}(t),this.getter||(this.getter=R)),this.value=this.lazy?void 0:this.get()};hn.prototype.get=function(){var e;he(this);var t=this.vm;try{e=this.getter.call(t,t)}catch(e){if(!this.user)throw e;qe(e,t,'getter for watcher "'+this.expression+'"')}finally{this.deep&&ot(e),ve(),this.cleanupDeps()}return e},hn.prototype.addDep=function(e){var t=e.id;this.newDepIds.has(t)||(this.newDepIds.add(t),this.newDeps.push(e),this.depIds.has(t)||e.addSub(this))},hn.prototype.cleanupDeps=function(){for(var e=this.deps.length;e--;){var t=this.deps[e];this.newDepIds.has(t.id)||t.removeSub(this)}var n=this.depIds;this.depIds=this.newDepIds,this.newDepIds=n,this.newDepIds.clear(),n=this.deps,this.deps=this.newDeps,this.newDeps=n,this.newDeps.length=0},hn.prototype.update=function(){this.lazy?this.dirty=!0:this.sync?this.run():function(e){var t=e.id;if(null==on[t]){if(on[t]=!0,sn){for(var n=nn.length-1;n>cn&&nn[n].id>e.id;)n--;nn.splice(n+1,0,e)}else nn.push(e);an||(an=!0,rt(dn))}}(this)},hn.prototype.run=function(){if(this.active){var e=this.get();if(e!==this.value||c(e)||this.deep){var t=this.value;if(this.value=e,this.user)try{this.cb.call(this.vm,e,t)}catch(e){qe(e,this.vm,'callback for watcher "'+this.expression+'"')}else this.cb.call(this.vm,e,t)}}},hn.prototype.evaluate=function(){this.value=this.get(),this.dirty=!1},hn.prototype.depend=function(){for(var e=this.deps.length;e--;)this.deps[e].depend()},hn.prototype.teardown=function(){if(this.active){this.vm._isBeingDestroyed||b(this.vm._watchers,this);for(var e=this.deps.length;e--;)this.deps[e].removeSub(this);this.active=!1}};var vn={enumerable:!0,configurable:!0,get:R,set:R};function gn(e,t,n){vn.get=function(){return this[t][n]},vn.set=function(e){this[t][n]=e},Object.defineProperty(e,n,vn)}function mn(e){e._watchers=[];var t=e.$options;t.props&&function(e,t){var n=e.$options.propsData||{},r=e._props={},i=e.$options._propKeys=[];e.$parent&&Ae(!1);var o=function(o){i.push(o);var a=Ue(o,t,n,e);ke(r,o,a),o in e||gn(e,"_props",o)};for(var a in t)o(a);Ae(!0)}(e,t.props),t.methods&&function(e,t){e.$options.props;for(var n in t)e[n]="function"!=typeof t[n]?R:k(t[n],e)}(e,t.methods),t.data?function(e){var t=e.$options.data;l(t=e._data="function"==typeof t?function(e,t){he();try{return e.call(t,t)}catch(e){return qe(e,t,"data()"),{}}finally{ve()}}(t,e):t||{})||(t={});var n=Object.keys(t),r=e.$options.props,i=(e.$options.methods,n.length);for(;i--;){var o=n[i];0,r&&x(r,o)||G(o)||gn(e,"_data",o)}Ce(t,!0)}(e):Ce(e._data={},!0),t.computed&&function(e,t){var n=e._computedWatchers=Object.create(null),r=oe();for(var i in t){var o=t[i],a="function"==typeof o?o:o.get;0,r||(n[i]=new hn(e,a||R,R,yn)),i in e||bn(e,i,o)}}(e,t.computed),t.watch&&t.watch!==ne&&function(e,t){for(var n in t){var r=t[n];if(Array.isArray(r))for(var i=0;i<r.length;i++)wn(e,n,r[i]);else wn(e,n,r)}}(e,t.watch)}var yn={lazy:!0};function bn(e,t,n){var r=!oe();"function"==typeof n?(vn.get=r?_n(t):xn(n),vn.set=R):(vn.get=n.get?r&&!1!==n.cache?_n(t):xn(n.get):R,vn.set=n.set||R),Object.defineProperty(e,t,vn)}function _n(e){return function(){var t=this._computedWatchers&&this._computedWatchers[e];if(t)return t.dirty&&t.evaluate(),de.target&&t.depend(),t.value}}function xn(e){return function(){return e.call(this,this)}}function wn(e,t,n,r){return l(n)&&(r=n,n=n.handler),"string"==typeof n&&(n=e[n]),e.$watch(t,n,r)}var En=0;function On(e){var t=e.options;if(e.super){var n=On(e.super);if(n!==e.superOptions){e.superOptions=n;var r=function(e){var t,n=e.options,r=e.sealedOptions;for(var i in n)n[i]!==r[i]&&(t||(t={}),t[i]=n[i]);return t}(e);r&&T(e.extendOptions,r),(t=e.options=De(n,e.extendOptions)).name&&(t.components[t.name]=e)}}return t}function An(e){this._init(e)}function Sn(e){e.cid=0;var t=1;e.extend=function(e){e=e||{};var n=this,r=n.cid,i=e._Ctor||(e._Ctor={});if(i[r])return i[r];var o=e.name||n.options.name;var a=function(e){this._init(e)};return(a.prototype=Object.create(n.prototype)).constructor=a,a.cid=t++,a.options=De(n.options,e),a.super=n,a.options.props&&function(e){var t=e.options.props;for(var n in t)gn(e.prototype,"_props",n)}(a),a.options.computed&&function(e){var t=e.options.computed;for(var n in t)bn(e.prototype,n,t[n])}(a),a.extend=n.extend,a.mixin=n.mixin,a.use=n.use,D.forEach((function(e){a[e]=n[e]})),o&&(a.options.components[o]=a),a.superOptions=n.options,a.extendOptions=e,a.sealedOptions=T({},a.options),i[r]=a,a}}function Cn(e){return e&&(e.Ctor.options.name||e.tag)}function kn(e,t){return Array.isArray(e)?e.indexOf(t)>-1:"string"==typeof e?e.split(",").indexOf(t)>-1:!!f(e)&&e.test(t)}function $n(e,t){var n=e.cache,r=e.keys,i=e._vnode;for(var o in n){var a=n[o];if(a){var s=Cn(a.componentOptions);s&&!t(s)&&Tn(n,o,r,i)}}}function Tn(e,t,n,r){var i=e[t];!i||r&&i.tag===r.tag||i.componentInstance.$destroy(),e[t]=null,b(n,t)}!function(e){e.prototype._init=function(e){var t=this;t._uid=En++,t._isVue=!0,e&&e._isComponent?function(e,t){var n=e.$options=Object.create(e.constructor.options),r=t._parentVnode;n.parent=t.parent,n._parentVnode=r;var i=r.componentOptions;n.propsData=i.propsData,n._parentListeners=i.listeners,n._renderChildren=i.children,n._componentTag=i.tag,t.render&&(n.render=t.render,n.staticRenderFns=t.staticRenderFns)}(t,e):t.$options=De(On(t.constructor),e||{},t),t._renderProxy=t,t._self=t,function(e){var t=e.$options,n=t.parent;if(n&&!t.abstract){for(;n.$options.abstract&&n.$parent;)n=n.$parent;n.$children.push(e)}e.$parent=n,e.$root=n?n.$root:e,e.$children=[],e.$refs={},e._watcher=null,e._inactive=null,e._directInactive=!1,e._isMounted=!1,e._isDestroyed=!1,e._isBeingDestroyed=!1}(t),function(e){e._events=Object.create(null),e._hasHookEvent=!1;var t=e.$options._parentListeners;t&&Jt(e,t)}(t),function(e){e._vnode=null,e._staticTrees=null;var t=e.$options,n=e.$vnode=t._parentVnode,i=n&&n.context;e.$slots=ht(t._renderChildren,i),e.$scopedSlots=r,e._c=function(t,n,r,i){return Bt(e,t,n,r,i,!1)},e.$createElement=function(t,n,r,i){return Bt(e,t,n,r,i,!0)};var o=n&&n.data;ke(e,"$attrs",o&&o.attrs||r,null,!0),ke(e,"$listeners",t._parentListeners||r,null,!0)}(t),tn(t,"beforeCreate"),function(e){var t=pt(e.$options.inject,e);t&&(Ae(!1),Object.keys(t).forEach((function(n){ke(e,n,t[n])})),Ae(!0))}(t),mn(t),function(e){var t=e.$options.provide;t&&(e._provided="function"==typeof t?t.call(e):t)}(t),tn(t,"created"),t.$options.el&&t.$mount(t.$options.el)}}(An),function(e){var t={get:function(){return this._data}},n={get:function(){return this._props}};Object.defineProperty(e.prototype,"$data",t),Object.defineProperty(e.prototype,"$props",n),e.prototype.$set=$e,e.prototype.$delete=Te,e.prototype.$watch=function(e,t,n){if(l(t))return wn(this,e,t,n);(n=n||{}).user=!0;var r=new hn(this,e,t,n);if(n.immediate)try{t.call(this,r.value)}catch(e){qe(e,this,'callback for immediate watcher "'+r.expression+'"')}return function(){r.teardown()}}}(An),function(e){var t=/^hook:/;e.prototype.$on=function(e,n){var r=this;if(Array.isArray(e))for(var i=0,o=e.length;i<o;i++)r.$on(e[i],n);else(r._events[e]||(r._events[e]=[])).push(n),t.test(e)&&(r._hasHookEvent=!0);return r},e.prototype.$once=function(e,t){var n=this;function r(){n.$off(e,r),t.apply(n,arguments)}return r.fn=t,n.$on(e,r),n},e.prototype.$off=function(e,t){var n=this;if(!arguments.length)return n._events=Object.create(null),n;if(Array.isArray(e)){for(var r=0,i=e.length;r<i;r++)n.$off(e[r],t);return n}var o,a=n._events[e];if(!a)return n;if(!t)return n._events[e]=null,n;for(var s=a.length;s--;)if((o=a[s])===t||o.fn===t){a.splice(s,1);break}return n},e.prototype.$emit=function(e){var t=this,n=t._events[e];if(n){n=n.length>1?$(n):n;for(var r=$(arguments,1),i='event handler for "'+e+'"',o=0,a=n.length;o<a;o++)Ve(n[o],t,r,t,i)}return t}}(An),function(e){e.prototype._update=function(e,t){var n=this,r=n.$el,i=n._vnode,o=Yt(n);n._vnode=e,n.$el=i?n.__patch__(i,e):n.__patch__(n.$el,e,t,!1),o(),r&&(r.__vue__=null),n.$el&&(n.$el.__vue__=n),n.$vnode&&n.$parent&&n.$vnode===n.$parent._vnode&&(n.$parent.$el=n.$el)},e.prototype.$forceUpdate=function(){this._watcher&&this._watcher.update()},e.prototype.$destroy=function(){var e=this;if(!e._isBeingDestroyed){tn(e,"beforeDestroy"),e._isBeingDestroyed=!0;var t=e.$parent;!t||t._isBeingDestroyed||e.$options.abstract||b(t.$children,e),e._watcher&&e._watcher.teardown();for(var n=e._watchers.length;n--;)e._watchers[n].teardown();e._data.__ob__&&e._data.__ob__.vmCount--,e._isDestroyed=!0,e.__patch__(e._vnode,null),tn(e,"destroyed"),e.$off(),e.$el&&(e.$el.__vue__=null),e.$vnode&&(e.$vnode.parent=null)}}}(An),function(e){Nt(e.prototype),e.prototype.$nextTick=function(e){return rt(e,this)},e.prototype._render=function(){var e,t=this,n=t.$options,r=n.render,i=n._parentVnode;i&&(t.$scopedSlots=gt(i.data.scopedSlots,t.$slots,t.$scopedSlots)),t.$vnode=i;try{Ht=t,e=r.call(t._renderProxy,t.$createElement)}catch(n){qe(n,t,"render"),e=t._vnode}finally{Ht=null}return Array.isArray(e)&&1===e.length&&(e=e[0]),e instanceof ge||(e=ye()),e.parent=i,e}}(An);var In=[String,RegExp,Array],Rn={KeepAlive:{name:"keep-alive",abstract:!0,props:{include:In,exclude:In,max:[String,Number]},created:function(){this.cache=Object.create(null),this.keys=[]},destroyed:function(){for(var e in this.cache)Tn(this.cache,e,this.keys)},mounted:function(){var e=this;this.$watch("include",(function(t){$n(e,(function(e){return kn(t,e)}))})),this.$watch("exclude",(function(t){$n(e,(function(e){return!kn(t,e)}))}))},render:function(){var e=this.$slots.default,t=zt(e),n=t&&t.componentOptions;if(n){var r=Cn(n),i=this.include,o=this.exclude;if(i&&(!r||!kn(i,r))||o&&r&&kn(o,r))return t;var a=this.cache,s=this.keys,c=null==t.key?n.Ctor.cid+(n.tag?"::"+n.tag:""):t.key;a[c]?(t.componentInstance=a[c].componentInstance,b(s,c),s.push(c)):(a[c]=t,s.push(c),this.max&&s.length>parseInt(this.max)&&Tn(a,s[0],s,this._vnode)),t.data.keepAlive=!0}return t||e&&e[0]}}};!function(e){var t={get:function(){return U}};Object.defineProperty(e,"config",t),e.util={warn:le,extend:T,mergeOptions:De,defineReactive:ke},e.set=$e,e.delete=Te,e.nextTick=rt,e.observable=function(e){return Ce(e),e},e.options=Object.create(null),D.forEach((function(t){e.options[t+"s"]=Object.create(null)})),e.options._base=e,T(e.options.components,Rn),function(e){e.use=function(e){var t=this._installedPlugins||(this._installedPlugins=[]);if(t.indexOf(e)>-1)return this;var n=$(arguments,1);return n.unshift(this),"function"==typeof e.install?e.install.apply(e,n):"function"==typeof e&&e.apply(null,n),t.push(e),this}}(e),function(e){e.mixin=function(e){return this.options=De(this.options,e),this}}(e),Sn(e),function(e){D.forEach((function(t){e[t]=function(e,n){return n?("component"===t&&l(n)&&(n.name=n.name||e,n=this.options._base.extend(n)),"directive"===t&&"function"==typeof n&&(n={bind:n,update:n}),this.options[t+"s"][e]=n,n):this.options[t+"s"][e]}}))}(e)}(An),Object.defineProperty(An.prototype,"$isServer",{get:oe}),Object.defineProperty(An.prototype,"$ssrContext",{get:function(){return this.$vnode&&this.$vnode.ssrContext}}),Object.defineProperty(An,"FunctionalRenderContext",{value:Lt}),An.version="2.6.11";var Nn=g("style,class"),Ln=g("input,textarea,option,select,progress"),jn=function(e,t,n){return"value"===n&&Ln(e)&&"button"!==t||"selected"===n&&"option"===e||"checked"===n&&"input"===e||"muted"===n&&"video"===e},Pn=g("contenteditable,draggable,spellcheck"),Mn=g("events,caret,typing,plaintext-only"),Dn=g("allowfullscreen,async,autofocus,autoplay,checked,compact,controls,declare,default,defaultchecked,defaultmuted,defaultselected,defer,disabled,enabled,formnovalidate,hidden,indeterminate,inert,ismap,itemscope,loop,multiple,muted,nohref,noresize,noshade,novalidate,nowrap,open,pauseonexit,readonly,required,reversed,scoped,seamless,selected,sortable,translate,truespeed,typemustmatch,visible"),Fn="http://www.w3.org/1999/xlink",Un=function(e){return":"===e.charAt(5)&&"xlink"===e.slice(0,5)},Bn=function(e){return Un(e)?e.slice(6,e.length):""},Gn=function(e){return null==e||!1===e};function Hn(e){for(var t=e.data,n=e,r=e;o(r.componentInstance);)(r=r.componentInstance._vnode)&&r.data&&(t=qn(r.data,t));for(;o(n=n.parent);)n&&n.data&&(t=qn(t,n.data));return function(e,t){if(o(e)||o(t))return Vn(e,zn(t));return""}(t.staticClass,t.class)}function qn(e,t){return{staticClass:Vn(e.staticClass,t.staticClass),class:o(e.class)?[e.class,t.class]:t.class}}function Vn(e,t){return e?t?e+" "+t:e:t||""}function zn(e){return Array.isArray(e)?function(e){for(var t,n="",r=0,i=e.length;r<i;r++)o(t=zn(e[r]))&&""!==t&&(n&&(n+=" "),n+=t);return n}(e):c(e)?function(e){var t="";for(var n in e)e[n]&&(t&&(t+=" "),t+=n);return t}(e):"string"==typeof e?e:""}var Wn={svg:"http://www.w3.org/2000/svg",math:"http://www.w3.org/1998/Math/MathML"},Xn=g("html,body,base,head,link,meta,style,title,address,article,aside,footer,header,h1,h2,h3,h4,h5,h6,hgroup,nav,section,div,dd,dl,dt,figcaption,figure,picture,hr,img,li,main,ol,p,pre,ul,a,b,abbr,bdi,bdo,br,cite,code,data,dfn,em,i,kbd,mark,q,rp,rt,rtc,ruby,s,samp,small,span,strong,sub,sup,time,u,var,wbr,area,audio,map,track,video,embed,object,param,source,canvas,script,noscript,del,ins,caption,col,colgroup,table,thead,tbody,td,th,tr,button,datalist,fieldset,form,input,label,legend,meter,optgroup,option,output,progress,select,textarea,details,dialog,menu,menuitem,summary,content,element,shadow,template,blockquote,iframe,tfoot"),Kn=g("svg,animate,circle,clippath,cursor,defs,desc,ellipse,filter,font-face,foreignObject,g,glyph,image,line,marker,mask,missing-glyph,path,pattern,polygon,polyline,rect,switch,symbol,text,textpath,tspan,use,view",!0),Jn=function(e){return Xn(e)||Kn(e)};function Zn(e){return Kn(e)?"svg":"math"===e?"math":void 0}var Yn=Object.create(null);var Qn=g("text,number,password,search,email,tel,url");function er(e){if("string"==typeof e){var t=document.querySelector(e);return t||document.createElement("div")}return e}var tr=Object.freeze({createElement:function(e,t){var n=document.createElement(e);return"select"!==e||t.data&&t.data.attrs&&void 0!==t.data.attrs.multiple&&n.setAttribute("multiple","multiple"),n},createElementNS:function(e,t){return document.createElementNS(Wn[e],t)},createTextNode:function(e){return document.createTextNode(e)},createComment:function(e){return document.createComment(e)},insertBefore:function(e,t,n){e.insertBefore(t,n)},removeChild:function(e,t){e.removeChild(t)},appendChild:function(e,t){e.appendChild(t)},parentNode:function(e){return e.parentNode},nextSibling:function(e){return e.nextSibling},tagName:function(e){return e.tagName},setTextContent:function(e,t){e.textContent=t},setStyleScope:function(e,t){e.setAttribute(t,"")}}),nr={create:function(e,t){rr(t)},update:function(e,t){e.data.ref!==t.data.ref&&(rr(e,!0),rr(t))},destroy:function(e){rr(e,!0)}};function rr(e,t){var n=e.data.ref;if(o(n)){var r=e.context,i=e.componentInstance||e.elm,a=r.$refs;t?Array.isArray(a[n])?b(a[n],i):a[n]===i&&(a[n]=void 0):e.data.refInFor?Array.isArray(a[n])?a[n].indexOf(i)<0&&a[n].push(i):a[n]=[i]:a[n]=i}}var ir=new ge("",{},[]),or=["create","activate","update","remove","destroy"];function ar(e,t){return e.key===t.key&&(e.tag===t.tag&&e.isComment===t.isComment&&o(e.data)===o(t.data)&&function(e,t){if("input"!==e.tag)return!0;var n,r=o(n=e.data)&&o(n=n.attrs)&&n.type,i=o(n=t.data)&&o(n=n.attrs)&&n.type;return r===i||Qn(r)&&Qn(i)}(e,t)||a(e.isAsyncPlaceholder)&&e.asyncFactory===t.asyncFactory&&i(t.asyncFactory.error))}function sr(e,t,n){var r,i,a={};for(r=t;r<=n;++r)o(i=e[r].key)&&(a[i]=r);return a}var cr={create:ur,update:ur,destroy:function(e){ur(e,ir)}};function ur(e,t){(e.data.directives||t.data.directives)&&function(e,t){var n,r,i,o=e===ir,a=t===ir,s=fr(e.data.directives,e.context),c=fr(t.data.directives,t.context),u=[],l=[];for(n in c)r=s[n],i=c[n],r?(i.oldValue=r.value,i.oldArg=r.arg,pr(i,"update",t,e),i.def&&i.def.componentUpdated&&l.push(i)):(pr(i,"bind",t,e),i.def&&i.def.inserted&&u.push(i));if(u.length){var f=function(){for(var n=0;n<u.length;n++)pr(u[n],"inserted",t,e)};o?ut(t,"insert",f):f()}l.length&&ut(t,"postpatch",(function(){for(var n=0;n<l.length;n++)pr(l[n],"componentUpdated",t,e)}));if(!o)for(n in s)c[n]||pr(s[n],"unbind",e,e,a)}(e,t)}var lr=Object.create(null);function fr(e,t){var n,r,i=Object.create(null);if(!e)return i;for(n=0;n<e.length;n++)(r=e[n]).modifiers||(r.modifiers=lr),i[dr(r)]=r,r.def=Fe(t.$options,"directives",r.name);return i}function dr(e){return e.rawName||e.name+"."+Object.keys(e.modifiers||{}).join(".")}function pr(e,t,n,r,i){var o=e.def&&e.def[t];if(o)try{o(n.elm,e,n,r,i)}catch(r){qe(r,n.context,"directive "+e.name+" "+t+" hook")}}var hr=[nr,cr];function vr(e,t){var n=t.componentOptions;if(!(o(n)&&!1===n.Ctor.options.inheritAttrs||i(e.data.attrs)&&i(t.data.attrs))){var r,a,s=t.elm,c=e.data.attrs||{},u=t.data.attrs||{};for(r in o(u.__ob__)&&(u=t.data.attrs=T({},u)),u)a=u[r],c[r]!==a&&gr(s,r,a);for(r in(Z||Q)&&u.value!==c.value&&gr(s,"value",u.value),c)i(u[r])&&(Un(r)?s.removeAttributeNS(Fn,Bn(r)):Pn(r)||s.removeAttribute(r))}}function gr(e,t,n){e.tagName.indexOf("-")>-1?mr(e,t,n):Dn(t)?Gn(n)?e.removeAttribute(t):(n="allowfullscreen"===t&&"EMBED"===e.tagName?"true":t,e.setAttribute(t,n)):Pn(t)?e.setAttribute(t,function(e,t){return Gn(t)||"false"===t?"false":"contenteditable"===e&&Mn(t)?t:"true"}(t,n)):Un(t)?Gn(n)?e.removeAttributeNS(Fn,Bn(t)):e.setAttributeNS(Fn,t,n):mr(e,t,n)}function mr(e,t,n){if(Gn(n))e.removeAttribute(t);else{if(Z&&!Y&&"TEXTAREA"===e.tagName&&"placeholder"===t&&""!==n&&!e.__ieph){var r=function(t){t.stopImmediatePropagation(),e.removeEventListener("input",r)};e.addEventListener("input",r),e.__ieph=!0}e.setAttribute(t,n)}}var yr={create:vr,update:vr};function br(e,t){var n=t.elm,r=t.data,a=e.data;if(!(i(r.staticClass)&&i(r.class)&&(i(a)||i(a.staticClass)&&i(a.class)))){var s=Hn(t),c=n._transitionClasses;o(c)&&(s=Vn(s,zn(c))),s!==n._prevClass&&(n.setAttribute("class",s),n._prevClass=s)}}var _r,xr,wr,Er,Or,Ar,Sr={create:br,update:br},Cr=/[\w).+\-_$\]]/;function kr(e){var t,n,r,i,o,a=!1,s=!1,c=!1,u=!1,l=0,f=0,d=0,p=0;for(r=0;r<e.length;r++)if(n=t,t=e.charCodeAt(r),a)39===t&&92!==n&&(a=!1);else if(s)34===t&&92!==n&&(s=!1);else if(c)96===t&&92!==n&&(c=!1);else if(u)47===t&&92!==n&&(u=!1);else if(124!==t||124===e.charCodeAt(r+1)||124===e.charCodeAt(r-1)||l||f||d){switch(t){case 34:s=!0;break;case 39:a=!0;break;case 96:c=!0;break;case 40:d++;break;case 41:d--;break;case 91:f++;break;case 93:f--;break;case 123:l++;break;case 125:l--}if(47===t){for(var h=r-1,v=void 0;h>=0&&" "===(v=e.charAt(h));h--);v&&Cr.test(v)||(u=!0)}}else void 0===i?(p=r+1,i=e.slice(0,r).trim()):g();function g(){(o||(o=[])).push(e.slice(p,r).trim()),p=r+1}if(void 0===i?i=e.slice(0,r).trim():0!==p&&g(),o)for(r=0;r<o.length;r++)i=$r(i,o[r]);return i}function $r(e,t){var n=t.indexOf("(");if(n<0)return'_f("'+t+'")('+e+")";var r=t.slice(0,n),i=t.slice(n+1);return'_f("'+r+'")('+e+(")"!==i?","+i:i)}function Tr(e,t){console.error("[Vue compiler]: "+e)}function Ir(e,t){return e?e.map((function(e){return e[t]})).filter((function(e){return e})):[]}function Rr(e,t,n,r,i){(e.props||(e.props=[])).push(Br({name:t,value:n,dynamic:i},r)),e.plain=!1}function Nr(e,t,n,r,i){(i?e.dynamicAttrs||(e.dynamicAttrs=[]):e.attrs||(e.attrs=[])).push(Br({name:t,value:n,dynamic:i},r)),e.plain=!1}function Lr(e,t,n,r){e.attrsMap[t]=n,e.attrsList.push(Br({name:t,value:n},r))}function jr(e,t,n,r,i,o,a,s){(e.directives||(e.directives=[])).push(Br({name:t,rawName:n,value:r,arg:i,isDynamicArg:o,modifiers:a},s)),e.plain=!1}function Pr(e,t,n){return n?"_p("+t+',"'+e+'")':e+t}function Mr(e,t,n,i,o,a,s,c){var u;(i=i||r).right?c?t="("+t+")==='click'?'contextmenu':("+t+")":"click"===t&&(t="contextmenu",delete i.right):i.middle&&(c?t="("+t+")==='click'?'mouseup':("+t+")":"click"===t&&(t="mouseup")),i.capture&&(delete i.capture,t=Pr("!",t,c)),i.once&&(delete i.once,t=Pr("~",t,c)),i.passive&&(delete i.passive,t=Pr("&",t,c)),i.native?(delete i.native,u=e.nativeEvents||(e.nativeEvents={})):u=e.events||(e.events={});var l=Br({value:n.trim(),dynamic:c},s);i!==r&&(l.modifiers=i);var f=u[t];Array.isArray(f)?o?f.unshift(l):f.push(l):u[t]=f?o?[l,f]:[f,l]:l,e.plain=!1}function Dr(e,t,n){var r=Fr(e,":"+t)||Fr(e,"v-bind:"+t);if(null!=r)return kr(r);if(!1!==n){var i=Fr(e,t);if(null!=i)return JSON.stringify(i)}}function Fr(e,t,n){var r;if(null!=(r=e.attrsMap[t]))for(var i=e.attrsList,o=0,a=i.length;o<a;o++)if(i[o].name===t){i.splice(o,1);break}return n&&delete e.attrsMap[t],r}function Ur(e,t){for(var n=e.attrsList,r=0,i=n.length;r<i;r++){var o=n[r];if(t.test(o.name))return n.splice(r,1),o}}function Br(e,t){return t&&(null!=t.start&&(e.start=t.start),null!=t.end&&(e.end=t.end)),e}function Gr(e,t,n){var r=n||{},i=r.number,o="$$v";r.trim&&(o="(typeof $$v === 'string'? $$v.trim(): $$v)"),i&&(o="_n("+o+")");var a=Hr(t,o);e.model={value:"("+t+")",expression:JSON.stringify(t),callback:"function ($$v) {"+a+"}"}}function Hr(e,t){var n=function(e){if(e=e.trim(),_r=e.length,e.indexOf("[")<0||e.lastIndexOf("]")<_r-1)return(Er=e.lastIndexOf("."))>-1?{exp:e.slice(0,Er),key:'"'+e.slice(Er+1)+'"'}:{exp:e,key:null};xr=e,Er=Or=Ar=0;for(;!Vr();)zr(wr=qr())?Xr(wr):91===wr&&Wr(wr);return{exp:e.slice(0,Or),key:e.slice(Or+1,Ar)}}(e);return null===n.key?e+"="+t:"$set("+n.exp+", "+n.key+", "+t+")"}function qr(){return xr.charCodeAt(++Er)}function Vr(){return Er>=_r}function zr(e){return 34===e||39===e}function Wr(e){var t=1;for(Or=Er;!Vr();)if(zr(e=qr()))Xr(e);else if(91===e&&t++,93===e&&t--,0===t){Ar=Er;break}}function Xr(e){for(var t=e;!Vr()&&(e=qr())!==t;);}var Kr;function Jr(e,t,n){var r=Kr;return function i(){var o=t.apply(null,arguments);null!==o&&Qr(e,i,n,r)}}var Zr=Ke&&!(te&&Number(te[1])<=53);function Yr(e,t,n,r){if(Zr){var i=un,o=t;t=o._wrapper=function(e){if(e.target===e.currentTarget||e.timeStamp>=i||e.timeStamp<=0||e.target.ownerDocument!==document)return o.apply(this,arguments)}}Kr.addEventListener(e,t,re?{capture:n,passive:r}:n)}function Qr(e,t,n,r){(r||Kr).removeEventListener(e,t._wrapper||t,n)}function ei(e,t){if(!i(e.data.on)||!i(t.data.on)){var n=t.data.on||{},r=e.data.on||{};Kr=t.elm,function(e){if(o(e.__r)){var t=Z?"change":"input";e[t]=[].concat(e.__r,e[t]||[]),delete e.__r}o(e.__c)&&(e.change=[].concat(e.__c,e.change||[]),delete e.__c)}(n),ct(n,r,Yr,Qr,Jr,t.context),Kr=void 0}}var ti,ni={create:ei,update:ei};function ri(e,t){if(!i(e.data.domProps)||!i(t.data.domProps)){var n,r,a=t.elm,s=e.data.domProps||{},c=t.data.domProps||{};for(n in o(c.__ob__)&&(c=t.data.domProps=T({},c)),s)n in c||(a[n]="");for(n in c){if(r=c[n],"textContent"===n||"innerHTML"===n){if(t.children&&(t.children.length=0),r===s[n])continue;1===a.childNodes.length&&a.removeChild(a.childNodes[0])}if("value"===n&&"PROGRESS"!==a.tagName){a._value=r;var u=i(r)?"":String(r);ii(a,u)&&(a.value=u)}else if("innerHTML"===n&&Kn(a.tagName)&&i(a.innerHTML)){(ti=ti||document.createElement("div")).innerHTML="<svg>"+r+"</svg>";for(var l=ti.firstChild;a.firstChild;)a.removeChild(a.firstChild);for(;l.firstChild;)a.appendChild(l.firstChild)}else if(r!==s[n])try{a[n]=r}catch(e){}}}}function ii(e,t){return!e.composing&&("OPTION"===e.tagName||function(e,t){var n=!0;try{n=document.activeElement!==e}catch(e){}return n&&e.value!==t}(e,t)||function(e,t){var n=e.value,r=e._vModifiers;if(o(r)){if(r.number)return v(n)!==v(t);if(r.trim)return n.trim()!==t.trim()}return n!==t}(e,t))}var oi={create:ri,update:ri},ai=w((function(e){var t={},n=/:(.+)/;return e.split(/;(?![^(]*\))/g).forEach((function(e){if(e){var r=e.split(n);r.length>1&&(t[r[0].trim()]=r[1].trim())}})),t}));function si(e){var t=ci(e.style);return e.staticStyle?T(e.staticStyle,t):t}function ci(e){return Array.isArray(e)?I(e):"string"==typeof e?ai(e):e}var ui,li=/^--/,fi=/\s*!important$/,di=function(e,t,n){if(li.test(t))e.style.setProperty(t,n);else if(fi.test(n))e.style.setProperty(C(t),n.replace(fi,""),"important");else{var r=hi(t);if(Array.isArray(n))for(var i=0,o=n.length;i<o;i++)e.style[r]=n[i];else e.style[r]=n}},pi=["Webkit","Moz","ms"],hi=w((function(e){if(ui=ui||document.createElement("div").style,"filter"!==(e=O(e))&&e in ui)return e;for(var t=e.charAt(0).toUpperCase()+e.slice(1),n=0;n<pi.length;n++){var r=pi[n]+t;if(r in ui)return r}}));function vi(e,t){var n=t.data,r=e.data;if(!(i(n.staticStyle)&&i(n.style)&&i(r.staticStyle)&&i(r.style))){var a,s,c=t.elm,u=r.staticStyle,l=r.normalizedStyle||r.style||{},f=u||l,d=ci(t.data.style)||{};t.data.normalizedStyle=o(d.__ob__)?T({},d):d;var p=function(e,t){var n,r={};if(t)for(var i=e;i.componentInstance;)(i=i.componentInstance._vnode)&&i.data&&(n=si(i.data))&&T(r,n);(n=si(e.data))&&T(r,n);for(var o=e;o=o.parent;)o.data&&(n=si(o.data))&&T(r,n);return r}(t,!0);for(s in f)i(p[s])&&di(c,s,"");for(s in p)(a=p[s])!==f[s]&&di(c,s,null==a?"":a)}}var gi={create:vi,update:vi},mi=/\s+/;function yi(e,t){if(t&&(t=t.trim()))if(e.classList)t.indexOf(" ")>-1?t.split(mi).forEach((function(t){return e.classList.add(t)})):e.classList.add(t);else{var n=" "+(e.getAttribute("class")||"")+" ";n.indexOf(" "+t+" ")<0&&e.setAttribute("class",(n+t).trim())}}function bi(e,t){if(t&&(t=t.trim()))if(e.classList)t.indexOf(" ")>-1?t.split(mi).forEach((function(t){return e.classList.remove(t)})):e.classList.remove(t),e.classList.length||e.removeAttribute("class");else{for(var n=" "+(e.getAttribute("class")||"")+" ",r=" "+t+" ";n.indexOf(r)>=0;)n=n.replace(r," ");(n=n.trim())?e.setAttribute("class",n):e.removeAttribute("class")}}function _i(e){if(e){if("object"==typeof e){var t={};return!1!==e.css&&T(t,xi(e.name||"v")),T(t,e),t}return"string"==typeof e?xi(e):void 0}}var xi=w((function(e){return{enterClass:e+"-enter",enterToClass:e+"-enter-to",enterActiveClass:e+"-enter-active",leaveClass:e+"-leave",leaveToClass:e+"-leave-to",leaveActiveClass:e+"-leave-active"}})),wi=W&&!Y,Ei="transition",Oi="transitionend",Ai="animation",Si="animationend";wi&&(void 0===window.ontransitionend&&void 0!==window.onwebkittransitionend&&(Ei="WebkitTransition",Oi="webkitTransitionEnd"),void 0===window.onanimationend&&void 0!==window.onwebkitanimationend&&(Ai="WebkitAnimation",Si="webkitAnimationEnd"));var Ci=W?window.requestAnimationFrame?window.requestAnimationFrame.bind(window):setTimeout:function(e){return e()};function ki(e){Ci((function(){Ci(e)}))}function $i(e,t){var n=e._transitionClasses||(e._transitionClasses=[]);n.indexOf(t)<0&&(n.push(t),yi(e,t))}function Ti(e,t){e._transitionClasses&&b(e._transitionClasses,t),bi(e,t)}function Ii(e,t,n){var r=Ni(e,t),i=r.type,o=r.timeout,a=r.propCount;if(!i)return n();var s="transition"===i?Oi:Si,c=0,u=function(){e.removeEventListener(s,l),n()},l=function(t){t.target===e&&++c>=a&&u()};setTimeout((function(){c<a&&u()}),o+1),e.addEventListener(s,l)}var Ri=/\b(transform|all)(,|$)/;function Ni(e,t){var n,r=window.getComputedStyle(e),i=(r[Ei+"Delay"]||"").split(", "),o=(r[Ei+"Duration"]||"").split(", "),a=Li(i,o),s=(r[Ai+"Delay"]||"").split(", "),c=(r[Ai+"Duration"]||"").split(", "),u=Li(s,c),l=0,f=0;return"transition"===t?a>0&&(n="transition",l=a,f=o.length):"animation"===t?u>0&&(n="animation",l=u,f=c.length):f=(n=(l=Math.max(a,u))>0?a>u?"transition":"animation":null)?"transition"===n?o.length:c.length:0,{type:n,timeout:l,propCount:f,hasTransform:"transition"===n&&Ri.test(r[Ei+"Property"])}}function Li(e,t){for(;e.length<t.length;)e=e.concat(e);return Math.max.apply(null,t.map((function(t,n){return ji(t)+ji(e[n])})))}function ji(e){return 1e3*Number(e.slice(0,-1).replace(",","."))}function Pi(e,t){var n=e.elm;o(n._leaveCb)&&(n._leaveCb.cancelled=!0,n._leaveCb());var r=_i(e.data.transition);if(!i(r)&&!o(n._enterCb)&&1===n.nodeType){for(var a=r.css,s=r.type,u=r.enterClass,l=r.enterToClass,f=r.enterActiveClass,d=r.appearClass,p=r.appearToClass,h=r.appearActiveClass,g=r.beforeEnter,m=r.enter,y=r.afterEnter,b=r.enterCancelled,_=r.beforeAppear,x=r.appear,w=r.afterAppear,E=r.appearCancelled,O=r.duration,A=Zt,S=Zt.$vnode;S&&S.parent;)A=S.context,S=S.parent;var C=!A._isMounted||!e.isRootInsert;if(!C||x||""===x){var k=C&&d?d:u,$=C&&h?h:f,T=C&&p?p:l,I=C&&_||g,R=C&&"function"==typeof x?x:m,N=C&&w||y,L=C&&E||b,j=v(c(O)?O.enter:O);0;var P=!1!==a&&!Y,D=Fi(R),F=n._enterCb=M((function(){P&&(Ti(n,T),Ti(n,$)),F.cancelled?(P&&Ti(n,k),L&&L(n)):N&&N(n),n._enterCb=null}));e.data.show||ut(e,"insert",(function(){var t=n.parentNode,r=t&&t._pending&&t._pending[e.key];r&&r.tag===e.tag&&r.elm._leaveCb&&r.elm._leaveCb(),R&&R(n,F)})),I&&I(n),P&&($i(n,k),$i(n,$),ki((function(){Ti(n,k),F.cancelled||($i(n,T),D||(Di(j)?setTimeout(F,j):Ii(n,s,F)))}))),e.data.show&&(t&&t(),R&&R(n,F)),P||D||F()}}}function Mi(e,t){var n=e.elm;o(n._enterCb)&&(n._enterCb.cancelled=!0,n._enterCb());var r=_i(e.data.transition);if(i(r)||1!==n.nodeType)return t();if(!o(n._leaveCb)){var a=r.css,s=r.type,u=r.leaveClass,l=r.leaveToClass,f=r.leaveActiveClass,d=r.beforeLeave,p=r.leave,h=r.afterLeave,g=r.leaveCancelled,m=r.delayLeave,y=r.duration,b=!1!==a&&!Y,_=Fi(p),x=v(c(y)?y.leave:y);0;var w=n._leaveCb=M((function(){n.parentNode&&n.parentNode._pending&&(n.parentNode._pending[e.key]=null),b&&(Ti(n,l),Ti(n,f)),w.cancelled?(b&&Ti(n,u),g&&g(n)):(t(),h&&h(n)),n._leaveCb=null}));m?m(E):E()}function E(){w.cancelled||(!e.data.show&&n.parentNode&&((n.parentNode._pending||(n.parentNode._pending={}))[e.key]=e),d&&d(n),b&&($i(n,u),$i(n,f),ki((function(){Ti(n,u),w.cancelled||($i(n,l),_||(Di(x)?setTimeout(w,x):Ii(n,s,w)))}))),p&&p(n,w),b||_||w())}}function Di(e){return"number"==typeof e&&!isNaN(e)}function Fi(e){if(i(e))return!1;var t=e.fns;return o(t)?Fi(Array.isArray(t)?t[0]:t):(e._length||e.length)>1}function Ui(e,t){!0!==t.data.show&&Pi(t)}var Bi=function(e){var t,n,r={},c=e.modules,u=e.nodeOps;for(t=0;t<or.length;++t)for(r[or[t]]=[],n=0;n<c.length;++n)o(c[n][or[t]])&&r[or[t]].push(c[n][or[t]]);function l(e){var t=u.parentNode(e);o(t)&&u.removeChild(t,e)}function f(e,t,n,i,s,c,l){if(o(e.elm)&&o(c)&&(e=c[l]=_e(e)),e.isRootInsert=!s,!function(e,t,n,i){var s=e.data;if(o(s)){var c=o(e.componentInstance)&&s.keepAlive;if(o(s=s.hook)&&o(s=s.init)&&s(e,!1),o(e.componentInstance))return d(e,t),p(n,e.elm,i),a(c)&&function(e,t,n,i){var a,s=e;for(;s.componentInstance;)if(s=s.componentInstance._vnode,o(a=s.data)&&o(a=a.transition)){for(a=0;a<r.activate.length;++a)r.activate[a](ir,s);t.push(s);break}p(n,e.elm,i)}(e,t,n,i),!0}}(e,t,n,i)){var f=e.data,v=e.children,g=e.tag;o(g)?(e.elm=e.ns?u.createElementNS(e.ns,g):u.createElement(g,e),y(e),h(e,v,t),o(f)&&m(e,t),p(n,e.elm,i)):a(e.isComment)?(e.elm=u.createComment(e.text),p(n,e.elm,i)):(e.elm=u.createTextNode(e.text),p(n,e.elm,i))}}function d(e,t){o(e.data.pendingInsert)&&(t.push.apply(t,e.data.pendingInsert),e.data.pendingInsert=null),e.elm=e.componentInstance.$el,v(e)?(m(e,t),y(e)):(rr(e),t.push(e))}function p(e,t,n){o(e)&&(o(n)?u.parentNode(n)===e&&u.insertBefore(e,t,n):u.appendChild(e,t))}function h(e,t,n){if(Array.isArray(t)){0;for(var r=0;r<t.length;++r)f(t[r],n,e.elm,null,!0,t,r)}else s(e.text)&&u.appendChild(e.elm,u.createTextNode(String(e.text)))}function v(e){for(;e.componentInstance;)e=e.componentInstance._vnode;return o(e.tag)}function m(e,n){for(var i=0;i<r.create.length;++i)r.create[i](ir,e);o(t=e.data.hook)&&(o(t.create)&&t.create(ir,e),o(t.insert)&&n.push(e))}function y(e){var t;if(o(t=e.fnScopeId))u.setStyleScope(e.elm,t);else for(var n=e;n;)o(t=n.context)&&o(t=t.$options._scopeId)&&u.setStyleScope(e.elm,t),n=n.parent;o(t=Zt)&&t!==e.context&&t!==e.fnContext&&o(t=t.$options._scopeId)&&u.setStyleScope(e.elm,t)}function b(e,t,n,r,i,o){for(;r<=i;++r)f(n[r],o,e,t,!1,n,r)}function _(e){var t,n,i=e.data;if(o(i))for(o(t=i.hook)&&o(t=t.destroy)&&t(e),t=0;t<r.destroy.length;++t)r.destroy[t](e);if(o(t=e.children))for(n=0;n<e.children.length;++n)_(e.children[n])}function x(e,t,n){for(;t<=n;++t){var r=e[t];o(r)&&(o(r.tag)?(w(r),_(r)):l(r.elm))}}function w(e,t){if(o(t)||o(e.data)){var n,i=r.remove.length+1;for(o(t)?t.listeners+=i:t=function(e,t){function n(){0==--n.listeners&&l(e)}return n.listeners=t,n}(e.elm,i),o(n=e.componentInstance)&&o(n=n._vnode)&&o(n.data)&&w(n,t),n=0;n<r.remove.length;++n)r.remove[n](e,t);o(n=e.data.hook)&&o(n=n.remove)?n(e,t):t()}else l(e.elm)}function E(e,t,n,r){for(var i=n;i<r;i++){var a=t[i];if(o(a)&&ar(e,a))return i}}function O(e,t,n,s,c,l){if(e!==t){o(t.elm)&&o(s)&&(t=s[c]=_e(t));var d=t.elm=e.elm;if(a(e.isAsyncPlaceholder))o(t.asyncFactory.resolved)?C(e.elm,t,n):t.isAsyncPlaceholder=!0;else if(a(t.isStatic)&&a(e.isStatic)&&t.key===e.key&&(a(t.isCloned)||a(t.isOnce)))t.componentInstance=e.componentInstance;else{var p,h=t.data;o(h)&&o(p=h.hook)&&o(p=p.prepatch)&&p(e,t);var g=e.children,m=t.children;if(o(h)&&v(t)){for(p=0;p<r.update.length;++p)r.update[p](e,t);o(p=h.hook)&&o(p=p.update)&&p(e,t)}i(t.text)?o(g)&&o(m)?g!==m&&function(e,t,n,r,a){var s,c,l,d=0,p=0,h=t.length-1,v=t[0],g=t[h],m=n.length-1,y=n[0],_=n[m],w=!a;for(0;d<=h&&p<=m;)i(v)?v=t[++d]:i(g)?g=t[--h]:ar(v,y)?(O(v,y,r,n,p),v=t[++d],y=n[++p]):ar(g,_)?(O(g,_,r,n,m),g=t[--h],_=n[--m]):ar(v,_)?(O(v,_,r,n,m),w&&u.insertBefore(e,v.elm,u.nextSibling(g.elm)),v=t[++d],_=n[--m]):ar(g,y)?(O(g,y,r,n,p),w&&u.insertBefore(e,g.elm,v.elm),g=t[--h],y=n[++p]):(i(s)&&(s=sr(t,d,h)),i(c=o(y.key)?s[y.key]:E(y,t,d,h))?f(y,r,e,v.elm,!1,n,p):ar(l=t[c],y)?(O(l,y,r,n,p),t[c]=void 0,w&&u.insertBefore(e,l.elm,v.elm)):f(y,r,e,v.elm,!1,n,p),y=n[++p]);d>h?b(e,i(n[m+1])?null:n[m+1].elm,n,p,m,r):p>m&&x(t,d,h)}(d,g,m,n,l):o(m)?(o(e.text)&&u.setTextContent(d,""),b(d,null,m,0,m.length-1,n)):o(g)?x(g,0,g.length-1):o(e.text)&&u.setTextContent(d,""):e.text!==t.text&&u.setTextContent(d,t.text),o(h)&&o(p=h.hook)&&o(p=p.postpatch)&&p(e,t)}}}function A(e,t,n){if(a(n)&&o(e.parent))e.parent.data.pendingInsert=t;else for(var r=0;r<t.length;++r)t[r].data.hook.insert(t[r])}var S=g("attrs,class,staticClass,staticStyle,key");function C(e,t,n,r){var i,s=t.tag,c=t.data,u=t.children;if(r=r||c&&c.pre,t.elm=e,a(t.isComment)&&o(t.asyncFactory))return t.isAsyncPlaceholder=!0,!0;if(o(c)&&(o(i=c.hook)&&o(i=i.init)&&i(t,!0),o(i=t.componentInstance)))return d(t,n),!0;if(o(s)){if(o(u))if(e.hasChildNodes())if(o(i=c)&&o(i=i.domProps)&&o(i=i.innerHTML)){if(i!==e.innerHTML)return!1}else{for(var l=!0,f=e.firstChild,p=0;p<u.length;p++){if(!f||!C(f,u[p],n,r)){l=!1;break}f=f.nextSibling}if(!l||f)return!1}else h(t,u,n);if(o(c)){var v=!1;for(var g in c)if(!S(g)){v=!0,m(t,n);break}!v&&c.class&&ot(c.class)}}else e.data!==t.text&&(e.data=t.text);return!0}return function(e,t,n,s){if(!i(t)){var c,l=!1,d=[];if(i(e))l=!0,f(t,d);else{var p=o(e.nodeType);if(!p&&ar(e,t))O(e,t,d,null,null,s);else{if(p){if(1===e.nodeType&&e.hasAttribute("data-server-rendered")&&(e.removeAttribute("data-server-rendered"),n=!0),a(n)&&C(e,t,d))return A(t,d,!0),e;c=e,e=new ge(u.tagName(c).toLowerCase(),{},[],void 0,c)}var h=e.elm,g=u.parentNode(h);if(f(t,d,h._leaveCb?null:g,u.nextSibling(h)),o(t.parent))for(var m=t.parent,y=v(t);m;){for(var b=0;b<r.destroy.length;++b)r.destroy[b](m);if(m.elm=t.elm,y){for(var w=0;w<r.create.length;++w)r.create[w](ir,m);var E=m.data.hook.insert;if(E.merged)for(var S=1;S<E.fns.length;S++)E.fns[S]()}else rr(m);m=m.parent}o(g)?x([e],0,0):o(e.tag)&&_(e)}}return A(t,d,l),t.elm}o(e)&&_(e)}}({nodeOps:tr,modules:[yr,Sr,ni,oi,gi,W?{create:Ui,activate:Ui,remove:function(e,t){!0!==e.data.show?Mi(e,t):t()}}:{}].concat(hr)});Y&&document.addEventListener("selectionchange",(function(){var e=document.activeElement;e&&e.vmodel&&Ki(e,"input")}));var Gi={inserted:function(e,t,n,r){"select"===n.tag?(r.elm&&!r.elm._vOptions?ut(n,"postpatch",(function(){Gi.componentUpdated(e,t,n)})):Hi(e,t,n.context),e._vOptions=[].map.call(e.options,zi)):("textarea"===n.tag||Qn(e.type))&&(e._vModifiers=t.modifiers,t.modifiers.lazy||(e.addEventListener("compositionstart",Wi),e.addEventListener("compositionend",Xi),e.addEventListener("change",Xi),Y&&(e.vmodel=!0)))},componentUpdated:function(e,t,n){if("select"===n.tag){Hi(e,t,n.context);var r=e._vOptions,i=e._vOptions=[].map.call(e.options,zi);if(i.some((function(e,t){return!j(e,r[t])})))(e.multiple?t.value.some((function(e){return Vi(e,i)})):t.value!==t.oldValue&&Vi(t.value,i))&&Ki(e,"change")}}};function Hi(e,t,n){qi(e,t,n),(Z||Q)&&setTimeout((function(){qi(e,t,n)}),0)}function qi(e,t,n){var r=t.value,i=e.multiple;if(!i||Array.isArray(r)){for(var o,a,s=0,c=e.options.length;s<c;s++)if(a=e.options[s],i)o=P(r,zi(a))>-1,a.selected!==o&&(a.selected=o);else if(j(zi(a),r))return void(e.selectedIndex!==s&&(e.selectedIndex=s));i||(e.selectedIndex=-1)}}function Vi(e,t){return t.every((function(t){return!j(t,e)}))}function zi(e){return"_value"in e?e._value:e.value}function Wi(e){e.target.composing=!0}function Xi(e){e.target.composing&&(e.target.composing=!1,Ki(e.target,"input"))}function Ki(e,t){var n=document.createEvent("HTMLEvents");n.initEvent(t,!0,!0),e.dispatchEvent(n)}function Ji(e){return!e.componentInstance||e.data&&e.data.transition?e:Ji(e.componentInstance._vnode)}var Zi={model:Gi,show:{bind:function(e,t,n){var r=t.value,i=(n=Ji(n)).data&&n.data.transition,o=e.__vOriginalDisplay="none"===e.style.display?"":e.style.display;r&&i?(n.data.show=!0,Pi(n,(function(){e.style.display=o}))):e.style.display=r?o:"none"},update:function(e,t,n){var r=t.value;!r!=!t.oldValue&&((n=Ji(n)).data&&n.data.transition?(n.data.show=!0,r?Pi(n,(function(){e.style.display=e.__vOriginalDisplay})):Mi(n,(function(){e.style.display="none"}))):e.style.display=r?e.__vOriginalDisplay:"none")},unbind:function(e,t,n,r,i){i||(e.style.display=e.__vOriginalDisplay)}}},Yi={name:String,appear:Boolean,css:Boolean,mode:String,type:String,enterClass:String,leaveClass:String,enterToClass:String,leaveToClass:String,enterActiveClass:String,leaveActiveClass:String,appearClass:String,appearActiveClass:String,appearToClass:String,duration:[Number,String,Object]};function Qi(e){var t=e&&e.componentOptions;return t&&t.Ctor.options.abstract?Qi(zt(t.children)):e}function eo(e){var t={},n=e.$options;for(var r in n.propsData)t[r]=e[r];var i=n._parentListeners;for(var o in i)t[O(o)]=i[o];return t}function to(e,t){if(/\d-keep-alive$/.test(t.tag))return e("keep-alive",{props:t.componentOptions.propsData})}var no=function(e){return e.tag||Vt(e)},ro=function(e){return"show"===e.name},io={name:"transition",props:Yi,abstract:!0,render:function(e){var t=this,n=this.$slots.default;if(n&&(n=n.filter(no)).length){0;var r=this.mode;0;var i=n[0];if(function(e){for(;e=e.parent;)if(e.data.transition)return!0}(this.$vnode))return i;var o=Qi(i);if(!o)return i;if(this._leaving)return to(e,i);var a="__transition-"+this._uid+"-";o.key=null==o.key?o.isComment?a+"comment":a+o.tag:s(o.key)?0===String(o.key).indexOf(a)?o.key:a+o.key:o.key;var c=(o.data||(o.data={})).transition=eo(this),u=this._vnode,l=Qi(u);if(o.data.directives&&o.data.directives.some(ro)&&(o.data.show=!0),l&&l.data&&!function(e,t){return t.key===e.key&&t.tag===e.tag}(o,l)&&!Vt(l)&&(!l.componentInstance||!l.componentInstance._vnode.isComment)){var f=l.data.transition=T({},c);if("out-in"===r)return this._leaving=!0,ut(f,"afterLeave",(function(){t._leaving=!1,t.$forceUpdate()})),to(e,i);if("in-out"===r){if(Vt(o))return u;var d,p=function(){d()};ut(c,"afterEnter",p),ut(c,"enterCancelled",p),ut(f,"delayLeave",(function(e){d=e}))}}return i}}},oo=T({tag:String,moveClass:String},Yi);function ao(e){e.elm._moveCb&&e.elm._moveCb(),e.elm._enterCb&&e.elm._enterCb()}function so(e){e.data.newPos=e.elm.getBoundingClientRect()}function co(e){var t=e.data.pos,n=e.data.newPos,r=t.left-n.left,i=t.top-n.top;if(r||i){e.data.moved=!0;var o=e.elm.style;o.transform=o.WebkitTransform="translate("+r+"px,"+i+"px)",o.transitionDuration="0s"}}delete oo.mode;var uo={Transition:io,TransitionGroup:{props:oo,beforeMount:function(){var e=this,t=this._update;this._update=function(n,r){var i=Yt(e);e.__patch__(e._vnode,e.kept,!1,!0),e._vnode=e.kept,i(),t.call(e,n,r)}},render:function(e){for(var t=this.tag||this.$vnode.data.tag||"span",n=Object.create(null),r=this.prevChildren=this.children,i=this.$slots.default||[],o=this.children=[],a=eo(this),s=0;s<i.length;s++){var c=i[s];if(c.tag)if(null!=c.key&&0!==String(c.key).indexOf("__vlist"))o.push(c),n[c.key]=c,(c.data||(c.data={})).transition=a;else;}if(r){for(var u=[],l=[],f=0;f<r.length;f++){var d=r[f];d.data.transition=a,d.data.pos=d.elm.getBoundingClientRect(),n[d.key]?u.push(d):l.push(d)}this.kept=e(t,null,u),this.removed=l}return e(t,null,o)},updated:function(){var e=this.prevChildren,t=this.moveClass||(this.name||"v")+"-move";e.length&&this.hasMove(e[0].elm,t)&&(e.forEach(ao),e.forEach(so),e.forEach(co),this._reflow=document.body.offsetHeight,e.forEach((function(e){if(e.data.moved){var n=e.elm,r=n.style;$i(n,t),r.transform=r.WebkitTransform=r.transitionDuration="",n.addEventListener(Oi,n._moveCb=function e(r){r&&r.target!==n||r&&!/transform$/.test(r.propertyName)||(n.removeEventListener(Oi,e),n._moveCb=null,Ti(n,t))})}})))},methods:{hasMove:function(e,t){if(!wi)return!1;if(this._hasMove)return this._hasMove;var n=e.cloneNode();e._transitionClasses&&e._transitionClasses.forEach((function(e){bi(n,e)})),yi(n,t),n.style.display="none",this.$el.appendChild(n);var r=Ni(n);return this.$el.removeChild(n),this._hasMove=r.hasTransform}}}};An.config.mustUseProp=jn,An.config.isReservedTag=Jn,An.config.isReservedAttr=Nn,An.config.getTagNamespace=Zn,An.config.isUnknownElement=function(e){if(!W)return!0;if(Jn(e))return!1;if(e=e.toLowerCase(),null!=Yn[e])return Yn[e];var t=document.createElement(e);return e.indexOf("-")>-1?Yn[e]=t.constructor===window.HTMLUnknownElement||t.constructor===window.HTMLElement:Yn[e]=/HTMLUnknownElement/.test(t.toString())},T(An.options.directives,Zi),T(An.options.components,uo),An.prototype.__patch__=W?Bi:R,An.prototype.$mount=function(e,t){return function(e,t,n){var r;return e.$el=t,e.$options.render||(e.$options.render=ye),tn(e,"beforeMount"),r=function(){e._update(e._render(),n)},new hn(e,r,R,{before:function(){e._isMounted&&!e._isDestroyed&&tn(e,"beforeUpdate")}},!0),n=!1,null==e.$vnode&&(e._isMounted=!0,tn(e,"mounted")),e}(this,e=e&&W?er(e):void 0,t)},W&&setTimeout((function(){U.devtools&&ae&&ae.emit("init",An)}),0);var lo=/\{\{((?:.|\r?\n)+?)\}\}/g,fo=/[-.*+?^${}()|[\]\/\\]/g,po=w((function(e){var t=e[0].replace(fo,"\\$&"),n=e[1].replace(fo,"\\$&");return new RegExp(t+"((?:.|\\n)+?)"+n,"g")}));var ho={staticKeys:["staticClass"],transformNode:function(e,t){t.warn;var n=Fr(e,"class");n&&(e.staticClass=JSON.stringify(n));var r=Dr(e,"class",!1);r&&(e.classBinding=r)},genData:function(e){var t="";return e.staticClass&&(t+="staticClass:"+e.staticClass+","),e.classBinding&&(t+="class:"+e.classBinding+","),t}};var vo,go={staticKeys:["staticStyle"],transformNode:function(e,t){t.warn;var n=Fr(e,"style");n&&(e.staticStyle=JSON.stringify(ai(n)));var r=Dr(e,"style",!1);r&&(e.styleBinding=r)},genData:function(e){var t="";return e.staticStyle&&(t+="staticStyle:"+e.staticStyle+","),e.styleBinding&&(t+="style:("+e.styleBinding+"),"),t}},mo=function(e){return(vo=vo||document.createElement("div")).innerHTML=e,vo.textContent},yo=g("area,base,br,col,embed,frame,hr,img,input,isindex,keygen,link,meta,param,source,track,wbr"),bo=g("colgroup,dd,dt,li,options,p,td,tfoot,th,thead,tr,source"),_o=g("address,article,aside,base,blockquote,body,caption,col,colgroup,dd,details,dialog,div,dl,dt,fieldset,figcaption,figure,footer,form,h1,h2,h3,h4,h5,h6,head,header,hgroup,hr,html,legend,li,menuitem,meta,optgroup,option,param,rp,rt,source,style,summary,tbody,td,tfoot,th,thead,title,tr,track"),xo=/^\s*([^\s"'<>\/=]+)(?:\s*(=)\s*(?:"([^"]*)"+|'([^']*)'+|([^\s"'=<>`]+)))?/,wo=/^\s*((?:v-[\w-]+:|@|:|#)\[[^=]+\][^\s"'<>\/=]*)(?:\s*(=)\s*(?:"([^"]*)"+|'([^']*)'+|([^\s"'=<>`]+)))?/,Eo="[a-zA-Z_][\\-\\.0-9_a-zA-Z"+B.source+"]*",Oo="((?:"+Eo+"\\:)?"+Eo+")",Ao=new RegExp("^<"+Oo),So=/^\s*(\/?)>/,Co=new RegExp("^<\\/"+Oo+"[^>]*>"),ko=/^<!DOCTYPE [^>]+>/i,$o=/^<!\--/,To=/^<!\[/,Io=g("script,style,textarea",!0),Ro={},No={"&lt;":"<","&gt;":">","&quot;":'"',"&amp;":"&","&#10;":"\n","&#9;":"\t","&#39;":"'"},Lo=/&(?:lt|gt|quot|amp|#39);/g,jo=/&(?:lt|gt|quot|amp|#39|#10|#9);/g,Po=g("pre,textarea",!0),Mo=function(e,t){return e&&Po(e)&&"\n"===t[0]};function Do(e,t){var n=t?jo:Lo;return e.replace(n,(function(e){return No[e]}))}var Fo,Uo,Bo,Go,Ho,qo,Vo,zo,Wo=/^@|^v-on:/,Xo=/^v-|^@|^:|^#/,Ko=/([\s\S]*?)\s+(?:in|of)\s+([\s\S]*)/,Jo=/,([^,\}\]]*)(?:,([^,\}\]]*))?$/,Zo=/^\(|\)$/g,Yo=/^\[.*\]$/,Qo=/:(.*)$/,ea=/^:|^\.|^v-bind:/,ta=/\.[^.\]]+(?=[^\]]*$)/g,na=/^v-slot(:|$)|^#/,ra=/[\r\n]/,ia=/\s+/g,oa=w(mo);function aa(e,t,n){return{type:1,tag:e,attrsList:t,attrsMap:pa(t),rawAttrsMap:{},parent:n,children:[]}}function sa(e,t){Fo=t.warn||Tr,qo=t.isPreTag||N,Vo=t.mustUseProp||N,zo=t.getTagNamespace||N;var n=t.isReservedTag||N;(function(e){return!!e.component||!n(e.tag)}),Bo=Ir(t.modules,"transformNode"),Go=Ir(t.modules,"preTransformNode"),Ho=Ir(t.modules,"postTransformNode"),Uo=t.delimiters;var r,i,o=[],a=!1!==t.preserveWhitespace,s=t.whitespace,c=!1,u=!1;function l(e){if(f(e),c||e.processed||(e=ca(e,t)),o.length||e===r||r.if&&(e.elseif||e.else)&&la(r,{exp:e.elseif,block:e}),i&&!e.forbidden)if(e.elseif||e.else)a=e,(s=function(e){for(var t=e.length;t--;){if(1===e[t].type)return e[t];e.pop()}}(i.children))&&s.if&&la(s,{exp:a.elseif,block:a});else{if(e.slotScope){var n=e.slotTarget||'"default"';(i.scopedSlots||(i.scopedSlots={}))[n]=e}i.children.push(e),e.parent=i}var a,s;e.children=e.children.filter((function(e){return!e.slotScope})),f(e),e.pre&&(c=!1),qo(e.tag)&&(u=!1);for(var l=0;l<Ho.length;l++)Ho[l](e,t)}function f(e){if(!u)for(var t;(t=e.children[e.children.length-1])&&3===t.type&&" "===t.text;)e.children.pop()}return function(e,t){for(var n,r,i=[],o=t.expectHTML,a=t.isUnaryTag||N,s=t.canBeLeftOpenTag||N,c=0;e;){if(n=e,r&&Io(r)){var u=0,l=r.toLowerCase(),f=Ro[l]||(Ro[l]=new RegExp("([\\s\\S]*?)(</"+l+"[^>]*>)","i")),d=e.replace(f,(function(e,n,r){return u=r.length,Io(l)||"noscript"===l||(n=n.replace(/<!\--([\s\S]*?)-->/g,"$1").replace(/<!\[CDATA\[([\s\S]*?)]]>/g,"$1")),Mo(l,n)&&(n=n.slice(1)),t.chars&&t.chars(n),""}));c+=e.length-d.length,e=d,S(l,c-u,c)}else{var p=e.indexOf("<");if(0===p){if($o.test(e)){var h=e.indexOf("--\x3e");if(h>=0){t.shouldKeepComment&&t.comment(e.substring(4,h),c,c+h+3),E(h+3);continue}}if(To.test(e)){var v=e.indexOf("]>");if(v>=0){E(v+2);continue}}var g=e.match(ko);if(g){E(g[0].length);continue}var m=e.match(Co);if(m){var y=c;E(m[0].length),S(m[1],y,c);continue}var b=O();if(b){A(b),Mo(b.tagName,e)&&E(1);continue}}var _=void 0,x=void 0,w=void 0;if(p>=0){for(x=e.slice(p);!(Co.test(x)||Ao.test(x)||$o.test(x)||To.test(x)||(w=x.indexOf("<",1))<0);)p+=w,x=e.slice(p);_=e.substring(0,p)}p<0&&(_=e),_&&E(_.length),t.chars&&_&&t.chars(_,c-_.length,c)}if(e===n){t.chars&&t.chars(e);break}}function E(t){c+=t,e=e.substring(t)}function O(){var t=e.match(Ao);if(t){var n,r,i={tagName:t[1],attrs:[],start:c};for(E(t[0].length);!(n=e.match(So))&&(r=e.match(wo)||e.match(xo));)r.start=c,E(r[0].length),r.end=c,i.attrs.push(r);if(n)return i.unarySlash=n[1],E(n[0].length),i.end=c,i}}function A(e){var n=e.tagName,c=e.unarySlash;o&&("p"===r&&_o(n)&&S(r),s(n)&&r===n&&S(n));for(var u=a(n)||!!c,l=e.attrs.length,f=new Array(l),d=0;d<l;d++){var p=e.attrs[d],h=p[3]||p[4]||p[5]||"",v="a"===n&&"href"===p[1]?t.shouldDecodeNewlinesForHref:t.shouldDecodeNewlines;f[d]={name:p[1],value:Do(h,v)}}u||(i.push({tag:n,lowerCasedTag:n.toLowerCase(),attrs:f,start:e.start,end:e.end}),r=n),t.start&&t.start(n,f,u,e.start,e.end)}function S(e,n,o){var a,s;if(null==n&&(n=c),null==o&&(o=c),e)for(s=e.toLowerCase(),a=i.length-1;a>=0&&i[a].lowerCasedTag!==s;a--);else a=0;if(a>=0){for(var u=i.length-1;u>=a;u--)t.end&&t.end(i[u].tag,n,o);i.length=a,r=a&&i[a-1].tag}else"br"===s?t.start&&t.start(e,[],!0,n,o):"p"===s&&(t.start&&t.start(e,[],!1,n,o),t.end&&t.end(e,n,o))}S()}(e,{warn:Fo,expectHTML:t.expectHTML,isUnaryTag:t.isUnaryTag,canBeLeftOpenTag:t.canBeLeftOpenTag,shouldDecodeNewlines:t.shouldDecodeNewlines,shouldDecodeNewlinesForHref:t.shouldDecodeNewlinesForHref,shouldKeepComment:t.comments,outputSourceRange:t.outputSourceRange,start:function(e,n,a,s,f){var d=i&&i.ns||zo(e);Z&&"svg"===d&&(n=function(e){for(var t=[],n=0;n<e.length;n++){var r=e[n];ha.test(r.name)||(r.name=r.name.replace(va,""),t.push(r))}return t}(n));var p,h=aa(e,n,i);d&&(h.ns=d),"style"!==(p=h).tag&&("script"!==p.tag||p.attrsMap.type&&"text/javascript"!==p.attrsMap.type)||oe()||(h.forbidden=!0);for(var v=0;v<Go.length;v++)h=Go[v](h,t)||h;c||(!function(e){null!=Fr(e,"v-pre")&&(e.pre=!0)}(h),h.pre&&(c=!0)),qo(h.tag)&&(u=!0),c?function(e){var t=e.attrsList,n=t.length;if(n)for(var r=e.attrs=new Array(n),i=0;i<n;i++)r[i]={name:t[i].name,value:JSON.stringify(t[i].value)},null!=t[i].start&&(r[i].start=t[i].start,r[i].end=t[i].end);else e.pre||(e.plain=!0)}(h):h.processed||(ua(h),function(e){var t=Fr(e,"v-if");if(t)e.if=t,la(e,{exp:t,block:e});else{null!=Fr(e,"v-else")&&(e.else=!0);var n=Fr(e,"v-else-if");n&&(e.elseif=n)}}(h),function(e){null!=Fr(e,"v-once")&&(e.once=!0)}(h)),r||(r=h),a?l(h):(i=h,o.push(h))},end:function(e,t,n){var r=o[o.length-1];o.length-=1,i=o[o.length-1],l(r)},chars:function(e,t,n){if(i&&(!Z||"textarea"!==i.tag||i.attrsMap.placeholder!==e)){var r,o,l,f=i.children;if(e=u||e.trim()?"script"===(r=i).tag||"style"===r.tag?e:oa(e):f.length?s?"condense"===s&&ra.test(e)?"":" ":a?" ":"":"")u||"condense"!==s||(e=e.replace(ia," ")),!c&&" "!==e&&(o=function(e,t){var n=t?po(t):lo;if(n.test(e)){for(var r,i,o,a=[],s=[],c=n.lastIndex=0;r=n.exec(e);){(i=r.index)>c&&(s.push(o=e.slice(c,i)),a.push(JSON.stringify(o)));var u=kr(r[1].trim());a.push("_s("+u+")"),s.push({"@binding":u}),c=i+r[0].length}return c<e.length&&(s.push(o=e.slice(c)),a.push(JSON.stringify(o))),{expression:a.join("+"),tokens:s}}}(e,Uo))?l={type:2,expression:o.expression,tokens:o.tokens,text:e}:" "===e&&f.length&&" "===f[f.length-1].text||(l={type:3,text:e}),l&&f.push(l)}},comment:function(e,t,n){if(i){var r={type:3,text:e,isComment:!0};0,i.children.push(r)}}}),r}function ca(e,t){var n;!function(e){var t=Dr(e,"key");if(t){e.key=t}}(e),e.plain=!e.key&&!e.scopedSlots&&!e.attrsList.length,function(e){var t=Dr(e,"ref");t&&(e.ref=t,e.refInFor=function(e){var t=e;for(;t;){if(void 0!==t.for)return!0;t=t.parent}return!1}(e))}(e),function(e){var t;"template"===e.tag?(t=Fr(e,"scope"),e.slotScope=t||Fr(e,"slot-scope")):(t=Fr(e,"slot-scope"))&&(e.slotScope=t);var n=Dr(e,"slot");n&&(e.slotTarget='""'===n?'"default"':n,e.slotTargetDynamic=!(!e.attrsMap[":slot"]&&!e.attrsMap["v-bind:slot"]),"template"===e.tag||e.slotScope||Nr(e,"slot",n,function(e,t){return e.rawAttrsMap[":"+t]||e.rawAttrsMap["v-bind:"+t]||e.rawAttrsMap[t]}(e,"slot")));if("template"===e.tag){var r=Ur(e,na);if(r){0;var i=fa(r),o=i.name,a=i.dynamic;e.slotTarget=o,e.slotTargetDynamic=a,e.slotScope=r.value||"_empty_"}}else{var s=Ur(e,na);if(s){0;var c=e.scopedSlots||(e.scopedSlots={}),u=fa(s),l=u.name,f=u.dynamic,d=c[l]=aa("template",[],e);d.slotTarget=l,d.slotTargetDynamic=f,d.children=e.children.filter((function(e){if(!e.slotScope)return e.parent=d,!0})),d.slotScope=s.value||"_empty_",e.children=[],e.plain=!1}}}(e),"slot"===(n=e).tag&&(n.slotName=Dr(n,"name")),function(e){var t;(t=Dr(e,"is"))&&(e.component=t);null!=Fr(e,"inline-template")&&(e.inlineTemplate=!0)}(e);for(var r=0;r<Bo.length;r++)e=Bo[r](e,t)||e;return function(e){var t,n,r,i,o,a,s,c,u=e.attrsList;for(t=0,n=u.length;t<n;t++){if(r=i=u[t].name,o=u[t].value,Xo.test(r))if(e.hasBindings=!0,(a=da(r.replace(Xo,"")))&&(r=r.replace(ta,"")),ea.test(r))r=r.replace(ea,""),o=kr(o),(c=Yo.test(r))&&(r=r.slice(1,-1)),a&&(a.prop&&!c&&"innerHtml"===(r=O(r))&&(r="innerHTML"),a.camel&&!c&&(r=O(r)),a.sync&&(s=Hr(o,"$event"),c?Mr(e,'"update:"+('+r+")",s,null,!1,0,u[t],!0):(Mr(e,"update:"+O(r),s,null,!1,0,u[t]),C(r)!==O(r)&&Mr(e,"update:"+C(r),s,null,!1,0,u[t])))),a&&a.prop||!e.component&&Vo(e.tag,e.attrsMap.type,r)?Rr(e,r,o,u[t],c):Nr(e,r,o,u[t],c);else if(Wo.test(r))r=r.replace(Wo,""),(c=Yo.test(r))&&(r=r.slice(1,-1)),Mr(e,r,o,a,!1,0,u[t],c);else{var l=(r=r.replace(Xo,"")).match(Qo),f=l&&l[1];c=!1,f&&(r=r.slice(0,-(f.length+1)),Yo.test(f)&&(f=f.slice(1,-1),c=!0)),jr(e,r,i,o,f,c,a,u[t])}else Nr(e,r,JSON.stringify(o),u[t]),!e.component&&"muted"===r&&Vo(e.tag,e.attrsMap.type,r)&&Rr(e,r,"true",u[t])}}(e),e}function ua(e){var t;if(t=Fr(e,"v-for")){var n=function(e){var t=e.match(Ko);if(!t)return;var n={};n.for=t[2].trim();var r=t[1].trim().replace(Zo,""),i=r.match(Jo);i?(n.alias=r.replace(Jo,"").trim(),n.iterator1=i[1].trim(),i[2]&&(n.iterator2=i[2].trim())):n.alias=r;return n}(t);n&&T(e,n)}}function la(e,t){e.ifConditions||(e.ifConditions=[]),e.ifConditions.push(t)}function fa(e){var t=e.name.replace(na,"");return t||"#"!==e.name[0]&&(t="default"),Yo.test(t)?{name:t.slice(1,-1),dynamic:!0}:{name:'"'+t+'"',dynamic:!1}}function da(e){var t=e.match(ta);if(t){var n={};return t.forEach((function(e){n[e.slice(1)]=!0})),n}}function pa(e){for(var t={},n=0,r=e.length;n<r;n++)t[e[n].name]=e[n].value;return t}var ha=/^xmlns:NS\d+/,va=/^NS\d+:/;function ga(e){return aa(e.tag,e.attrsList.slice(),e.parent)}var ma=[ho,go,{preTransformNode:function(e,t){if("input"===e.tag){var n,r=e.attrsMap;if(!r["v-model"])return;if((r[":type"]||r["v-bind:type"])&&(n=Dr(e,"type")),r.type||n||!r["v-bind"]||(n="("+r["v-bind"]+").type"),n){var i=Fr(e,"v-if",!0),o=i?"&&("+i+")":"",a=null!=Fr(e,"v-else",!0),s=Fr(e,"v-else-if",!0),c=ga(e);ua(c),Lr(c,"type","checkbox"),ca(c,t),c.processed=!0,c.if="("+n+")==='checkbox'"+o,la(c,{exp:c.if,block:c});var u=ga(e);Fr(u,"v-for",!0),Lr(u,"type","radio"),ca(u,t),la(c,{exp:"("+n+")==='radio'"+o,block:u});var l=ga(e);return Fr(l,"v-for",!0),Lr(l,":type",n),ca(l,t),la(c,{exp:i,block:l}),a?c.else=!0:s&&(c.elseif=s),c}}}}];var ya,ba,_a={expectHTML:!0,modules:ma,directives:{model:function(e,t,n){n;var r=t.value,i=t.modifiers,o=e.tag,a=e.attrsMap.type;if(e.component)return Gr(e,r,i),!1;if("select"===o)!function(e,t,n){var r='var $$selectedVal = Array.prototype.filter.call($event.target.options,function(o){return o.selected}).map(function(o){var val = "_value" in o ? o._value : o.value;return '+(n&&n.number?"_n(val)":"val")+"});";r=r+" "+Hr(t,"$event.target.multiple ? $$selectedVal : $$selectedVal[0]"),Mr(e,"change",r,null,!0)}(e,r,i);else if("input"===o&&"checkbox"===a)!function(e,t,n){var r=n&&n.number,i=Dr(e,"value")||"null",o=Dr(e,"true-value")||"true",a=Dr(e,"false-value")||"false";Rr(e,"checked","Array.isArray("+t+")?_i("+t+","+i+")>-1"+("true"===o?":("+t+")":":_q("+t+","+o+")")),Mr(e,"change","var $$a="+t+",$$el=$event.target,$$c=$$el.checked?("+o+"):("+a+");if(Array.isArray($$a)){var $$v="+(r?"_n("+i+")":i)+",$$i=_i($$a,$$v);if($$el.checked){$$i<0&&("+Hr(t,"$$a.concat([$$v])")+")}else{$$i>-1&&("+Hr(t,"$$a.slice(0,$$i).concat($$a.slice($$i+1))")+")}}else{"+Hr(t,"$$c")+"}",null,!0)}(e,r,i);else if("input"===o&&"radio"===a)!function(e,t,n){var r=n&&n.number,i=Dr(e,"value")||"null";Rr(e,"checked","_q("+t+","+(i=r?"_n("+i+")":i)+")"),Mr(e,"change",Hr(t,i),null,!0)}(e,r,i);else if("input"===o||"textarea"===o)!function(e,t,n){var r=e.attrsMap.type;0;var i=n||{},o=i.lazy,a=i.number,s=i.trim,c=!o&&"range"!==r,u=o?"change":"range"===r?"__r":"input",l="$event.target.value";s&&(l="$event.target.value.trim()");a&&(l="_n("+l+")");var f=Hr(t,l);c&&(f="if($event.target.composing)return;"+f);Rr(e,"value","("+t+")"),Mr(e,u,f,null,!0),(s||a)&&Mr(e,"blur","$forceUpdate()")}(e,r,i);else{if(!U.isReservedTag(o))return Gr(e,r,i),!1}return!0},text:function(e,t){t.value&&Rr(e,"textContent","_s("+t.value+")",t)},html:function(e,t){t.value&&Rr(e,"innerHTML","_s("+t.value+")",t)}},isPreTag:function(e){return"pre"===e},isUnaryTag:yo,mustUseProp:jn,canBeLeftOpenTag:bo,isReservedTag:Jn,getTagNamespace:Zn,staticKeys:function(e){return e.reduce((function(e,t){return e.concat(t.staticKeys||[])}),[]).join(",")}(ma)},xa=w((function(e){return g("type,tag,attrsList,attrsMap,plain,parent,children,attrs,start,end,rawAttrsMap"+(e?","+e:""))}));function wa(e,t){e&&(ya=xa(t.staticKeys||""),ba=t.isReservedTag||N,function e(t){if(t.static=function(e){if(2===e.type)return!1;if(3===e.type)return!0;return!(!e.pre&&(e.hasBindings||e.if||e.for||m(e.tag)||!ba(e.tag)||function(e){for(;e.parent;){if("template"!==(e=e.parent).tag)return!1;if(e.for)return!0}return!1}(e)||!Object.keys(e).every(ya)))}(t),1===t.type){if(!ba(t.tag)&&"slot"!==t.tag&&null==t.attrsMap["inline-template"])return;for(var n=0,r=t.children.length;n<r;n++){var i=t.children[n];e(i),i.static||(t.static=!1)}if(t.ifConditions)for(var o=1,a=t.ifConditions.length;o<a;o++){var s=t.ifConditions[o].block;e(s),s.static||(t.static=!1)}}}(e),function e(t,n){if(1===t.type){if((t.static||t.once)&&(t.staticInFor=n),t.static&&t.children.length&&(1!==t.children.length||3!==t.children[0].type))return void(t.staticRoot=!0);if(t.staticRoot=!1,t.children)for(var r=0,i=t.children.length;r<i;r++)e(t.children[r],n||!!t.for);if(t.ifConditions)for(var o=1,a=t.ifConditions.length;o<a;o++)e(t.ifConditions[o].block,n)}}(e,!1))}var Ea=/^([\w$_]+|\([^)]*?\))\s*=>|^function(?:\s+[\w$]+)?\s*\(/,Oa=/\([^)]*?\);*$/,Aa=/^[A-Za-z_$][\w$]*(?:\.[A-Za-z_$][\w$]*|\['[^']*?']|\["[^"]*?"]|\[\d+]|\[[A-Za-z_$][\w$]*])*$/,Sa={esc:27,tab:9,enter:13,space:32,up:38,left:37,right:39,down:40,delete:[8,46]},Ca={esc:["Esc","Escape"],tab:"Tab",enter:"Enter",space:[" ","Spacebar"],up:["Up","ArrowUp"],left:["Left","ArrowLeft"],right:["Right","ArrowRight"],down:["Down","ArrowDown"],delete:["Backspace","Delete","Del"]},ka=function(e){return"if("+e+")return null;"},$a={stop:"$event.stopPropagation();",prevent:"$event.preventDefault();",self:ka("$event.target !== $event.currentTarget"),ctrl:ka("!$event.ctrlKey"),shift:ka("!$event.shiftKey"),alt:ka("!$event.altKey"),meta:ka("!$event.metaKey"),left:ka("'button' in $event && $event.button !== 0"),middle:ka("'button' in $event && $event.button !== 1"),right:ka("'button' in $event && $event.button !== 2")};function Ta(e,t){var n=t?"nativeOn:":"on:",r="",i="";for(var o in e){var a=Ia(e[o]);e[o]&&e[o].dynamic?i+=o+","+a+",":r+='"'+o+'":'+a+","}return r="{"+r.slice(0,-1)+"}",i?n+"_d("+r+",["+i.slice(0,-1)+"])":n+r}function Ia(e){if(!e)return"function(){}";if(Array.isArray(e))return"["+e.map((function(e){return Ia(e)})).join(",")+"]";var t=Aa.test(e.value),n=Ea.test(e.value),r=Aa.test(e.value.replace(Oa,""));if(e.modifiers){var i="",o="",a=[];for(var s in e.modifiers)if($a[s])o+=$a[s],Sa[s]&&a.push(s);else if("exact"===s){var c=e.modifiers;o+=ka(["ctrl","shift","alt","meta"].filter((function(e){return!c[e]})).map((function(e){return"$event."+e+"Key"})).join("||"))}else a.push(s);return a.length&&(i+=function(e){return"if(!$event.type.indexOf('key')&&"+e.map(Ra).join("&&")+")return null;"}(a)),o&&(i+=o),"function($event){"+i+(t?"return "+e.value+"($event)":n?"return ("+e.value+")($event)":r?"return "+e.value:e.value)+"}"}return t||n?e.value:"function($event){"+(r?"return "+e.value:e.value)+"}"}function Ra(e){var t=parseInt(e,10);if(t)return"$event.keyCode!=="+t;var n=Sa[e],r=Ca[e];return"_k($event.keyCode,"+JSON.stringify(e)+","+JSON.stringify(n)+",$event.key,"+JSON.stringify(r)+")"}var Na={on:function(e,t){e.wrapListeners=function(e){return"_g("+e+","+t.value+")"}},bind:function(e,t){e.wrapData=function(n){return"_b("+n+",'"+e.tag+"',"+t.value+","+(t.modifiers&&t.modifiers.prop?"true":"false")+(t.modifiers&&t.modifiers.sync?",true":"")+")"}},cloak:R},La=function(e){this.options=e,this.warn=e.warn||Tr,this.transforms=Ir(e.modules,"transformCode"),this.dataGenFns=Ir(e.modules,"genData"),this.directives=T(T({},Na),e.directives);var t=e.isReservedTag||N;this.maybeComponent=function(e){return!!e.component||!t(e.tag)},this.onceId=0,this.staticRenderFns=[],this.pre=!1};function ja(e,t){var n=new La(t);return{render:"with(this){return "+(e?Pa(e,n):'_c("div")')+"}",staticRenderFns:n.staticRenderFns}}function Pa(e,t){if(e.parent&&(e.pre=e.pre||e.parent.pre),e.staticRoot&&!e.staticProcessed)return Ma(e,t);if(e.once&&!e.onceProcessed)return Da(e,t);if(e.for&&!e.forProcessed)return Ua(e,t);if(e.if&&!e.ifProcessed)return Fa(e,t);if("template"!==e.tag||e.slotTarget||t.pre){if("slot"===e.tag)return function(e,t){var n=e.slotName||'"default"',r=qa(e,t),i="_t("+n+(r?","+r:""),o=e.attrs||e.dynamicAttrs?Wa((e.attrs||[]).concat(e.dynamicAttrs||[]).map((function(e){return{name:O(e.name),value:e.value,dynamic:e.dynamic}}))):null,a=e.attrsMap["v-bind"];!o&&!a||r||(i+=",null");o&&(i+=","+o);a&&(i+=(o?"":",null")+","+a);return i+")"}(e,t);var n;if(e.component)n=function(e,t,n){var r=t.inlineTemplate?null:qa(t,n,!0);return"_c("+e+","+Ba(t,n)+(r?","+r:"")+")"}(e.component,e,t);else{var r;(!e.plain||e.pre&&t.maybeComponent(e))&&(r=Ba(e,t));var i=e.inlineTemplate?null:qa(e,t,!0);n="_c('"+e.tag+"'"+(r?","+r:"")+(i?","+i:"")+")"}for(var o=0;o<t.transforms.length;o++)n=t.transforms[o](e,n);return n}return qa(e,t)||"void 0"}function Ma(e,t){e.staticProcessed=!0;var n=t.pre;return e.pre&&(t.pre=e.pre),t.staticRenderFns.push("with(this){return "+Pa(e,t)+"}"),t.pre=n,"_m("+(t.staticRenderFns.length-1)+(e.staticInFor?",true":"")+")"}function Da(e,t){if(e.onceProcessed=!0,e.if&&!e.ifProcessed)return Fa(e,t);if(e.staticInFor){for(var n="",r=e.parent;r;){if(r.for){n=r.key;break}r=r.parent}return n?"_o("+Pa(e,t)+","+t.onceId+++","+n+")":Pa(e,t)}return Ma(e,t)}function Fa(e,t,n,r){return e.ifProcessed=!0,function e(t,n,r,i){if(!t.length)return i||"_e()";var o=t.shift();return o.exp?"("+o.exp+")?"+a(o.block)+":"+e(t,n,r,i):""+a(o.block);function a(e){return r?r(e,n):e.once?Da(e,n):Pa(e,n)}}(e.ifConditions.slice(),t,n,r)}function Ua(e,t,n,r){var i=e.for,o=e.alias,a=e.iterator1?","+e.iterator1:"",s=e.iterator2?","+e.iterator2:"";return e.forProcessed=!0,(r||"_l")+"(("+i+"),function("+o+a+s+"){return "+(n||Pa)(e,t)+"})"}function Ba(e,t){var n="{",r=function(e,t){var n=e.directives;if(!n)return;var r,i,o,a,s="directives:[",c=!1;for(r=0,i=n.length;r<i;r++){o=n[r],a=!0;var u=t.directives[o.name];u&&(a=!!u(e,o,t.warn)),a&&(c=!0,s+='{name:"'+o.name+'",rawName:"'+o.rawName+'"'+(o.value?",value:("+o.value+"),expression:"+JSON.stringify(o.value):"")+(o.arg?",arg:"+(o.isDynamicArg?o.arg:'"'+o.arg+'"'):"")+(o.modifiers?",modifiers:"+JSON.stringify(o.modifiers):"")+"},")}if(c)return s.slice(0,-1)+"]"}(e,t);r&&(n+=r+","),e.key&&(n+="key:"+e.key+","),e.ref&&(n+="ref:"+e.ref+","),e.refInFor&&(n+="refInFor:true,"),e.pre&&(n+="pre:true,"),e.component&&(n+='tag:"'+e.tag+'",');for(var i=0;i<t.dataGenFns.length;i++)n+=t.dataGenFns[i](e);if(e.attrs&&(n+="attrs:"+Wa(e.attrs)+","),e.props&&(n+="domProps:"+Wa(e.props)+","),e.events&&(n+=Ta(e.events,!1)+","),e.nativeEvents&&(n+=Ta(e.nativeEvents,!0)+","),e.slotTarget&&!e.slotScope&&(n+="slot:"+e.slotTarget+","),e.scopedSlots&&(n+=function(e,t,n){var r=e.for||Object.keys(t).some((function(e){var n=t[e];return n.slotTargetDynamic||n.if||n.for||Ga(n)})),i=!!e.if;if(!r)for(var o=e.parent;o;){if(o.slotScope&&"_empty_"!==o.slotScope||o.for){r=!0;break}o.if&&(i=!0),o=o.parent}var a=Object.keys(t).map((function(e){return Ha(t[e],n)})).join(",");return"scopedSlots:_u(["+a+"]"+(r?",null,true":"")+(!r&&i?",null,false,"+function(e){var t=5381,n=e.length;for(;n;)t=33*t^e.charCodeAt(--n);return t>>>0}(a):"")+")"}(e,e.scopedSlots,t)+","),e.model&&(n+="model:{value:"+e.model.value+",callback:"+e.model.callback+",expression:"+e.model.expression+"},"),e.inlineTemplate){var o=function(e,t){var n=e.children[0];0;if(n&&1===n.type){var r=ja(n,t.options);return"inlineTemplate:{render:function(){"+r.render+"},staticRenderFns:["+r.staticRenderFns.map((function(e){return"function(){"+e+"}"})).join(",")+"]}"}}(e,t);o&&(n+=o+",")}return n=n.replace(/,$/,"")+"}",e.dynamicAttrs&&(n="_b("+n+',"'+e.tag+'",'+Wa(e.dynamicAttrs)+")"),e.wrapData&&(n=e.wrapData(n)),e.wrapListeners&&(n=e.wrapListeners(n)),n}function Ga(e){return 1===e.type&&("slot"===e.tag||e.children.some(Ga))}function Ha(e,t){var n=e.attrsMap["slot-scope"];if(e.if&&!e.ifProcessed&&!n)return Fa(e,t,Ha,"null");if(e.for&&!e.forProcessed)return Ua(e,t,Ha);var r="_empty_"===e.slotScope?"":String(e.slotScope),i="function("+r+"){return "+("template"===e.tag?e.if&&n?"("+e.if+")?"+(qa(e,t)||"undefined")+":undefined":qa(e,t)||"undefined":Pa(e,t))+"}",o=r?"":",proxy:true";return"{key:"+(e.slotTarget||'"default"')+",fn:"+i+o+"}"}function qa(e,t,n,r,i){var o=e.children;if(o.length){var a=o[0];if(1===o.length&&a.for&&"template"!==a.tag&&"slot"!==a.tag){var s=n?t.maybeComponent(a)?",1":",0":"";return""+(r||Pa)(a,t)+s}var c=n?function(e,t){for(var n=0,r=0;r<e.length;r++){var i=e[r];if(1===i.type){if(Va(i)||i.ifConditions&&i.ifConditions.some((function(e){return Va(e.block)}))){n=2;break}(t(i)||i.ifConditions&&i.ifConditions.some((function(e){return t(e.block)})))&&(n=1)}}return n}(o,t.maybeComponent):0,u=i||za;return"["+o.map((function(e){return u(e,t)})).join(",")+"]"+(c?","+c:"")}}function Va(e){return void 0!==e.for||"template"===e.tag||"slot"===e.tag}function za(e,t){return 1===e.type?Pa(e,t):3===e.type&&e.isComment?function(e){return"_e("+JSON.stringify(e.text)+")"}(e):function(e){return"_v("+(2===e.type?e.expression:Xa(JSON.stringify(e.text)))+")"}(e)}function Wa(e){for(var t="",n="",r=0;r<e.length;r++){var i=e[r],o=Xa(i.value);i.dynamic?n+=i.name+","+o+",":t+='"'+i.name+'":'+o+","}return t="{"+t.slice(0,-1)+"}",n?"_d("+t+",["+n.slice(0,-1)+"])":t}function Xa(e){return e.replace(/\u2028/g,"\\u2028").replace(/\u2029/g,"\\u2029")}new RegExp("\\b"+"do,if,for,let,new,try,var,case,else,with,await,break,catch,class,const,super,throw,while,yield,delete,export,import,return,switch,default,extends,finally,continue,debugger,function,arguments".split(",").join("\\b|\\b")+"\\b"),new RegExp("\\b"+"delete,typeof,void".split(",").join("\\s*\\([^\\)]*\\)|\\b")+"\\s*\\([^\\)]*\\)");function Ka(e,t){try{return new Function(e)}catch(n){return t.push({err:n,code:e}),R}}function Ja(e){var t=Object.create(null);return function(n,r,i){(r=T({},r)).warn;delete r.warn;var o=r.delimiters?String(r.delimiters)+n:n;if(t[o])return t[o];var a=e(n,r);var s={},c=[];return s.render=Ka(a.render,c),s.staticRenderFns=a.staticRenderFns.map((function(e){return Ka(e,c)})),t[o]=s}}var Za,Ya,Qa=(Za=function(e,t){var n=sa(e.trim(),t);!1!==t.optimize&&wa(n,t);var r=ja(n,t);return{ast:n,render:r.render,staticRenderFns:r.staticRenderFns}},function(e){function t(t,n){var r=Object.create(e),i=[],o=[];if(n)for(var a in n.modules&&(r.modules=(e.modules||[]).concat(n.modules)),n.directives&&(r.directives=T(Object.create(e.directives||null),n.directives)),n)"modules"!==a&&"directives"!==a&&(r[a]=n[a]);r.warn=function(e,t,n){(n?o:i).push(e)};var s=Za(t.trim(),r);return s.errors=i,s.tips=o,s}return{compile:t,compileToFunctions:Ja(t)}})(_a),es=(Qa.compile,Qa.compileToFunctions);function ts(e){return(Ya=Ya||document.createElement("div")).innerHTML=e?'<a href="\n"/>':'<div a="\n"/>',Ya.innerHTML.indexOf("&#10;")>0}var ns=!!W&&ts(!1),rs=!!W&&ts(!0),is=w((function(e){var t=er(e);return t&&t.innerHTML})),os=An.prototype.$mount;An.prototype.$mount=function(e,t){if((e=e&&er(e))===document.body||e===document.documentElement)return this;var n=this.$options;if(!n.render){var r=n.template;if(r)if("string"==typeof r)"#"===r.charAt(0)&&(r=is(r));else{if(!r.nodeType)return this;r=r.innerHTML}else e&&(r=function(e){if(e.outerHTML)return e.outerHTML;var t=document.createElement("div");return t.appendChild(e.cloneNode(!0)),t.innerHTML}(e));if(r){0;var i=es(r,{outputSourceRange:!1,shouldDecodeNewlines:ns,shouldDecodeNewlinesForHref:rs,delimiters:n.delimiters,comments:n.comments},this),o=i.render,a=i.staticRenderFns;n.render=o,n.staticRenderFns=a}}return os.call(this,e,t)},An.compile=es,t.default=An}.call(this,n(46),n(168).setImmediate)},function(e,t){var n;n=function(){return this}();try{n=n||new Function("return this")()}catch(e){"object"==typeof window&&(n=window)}e.exports=n},function(e,t){var n,r,i=e.exports={};function o(){throw new Error("setTimeout has not been defined")}function a(){throw new Error("clearTimeout has not been defined")}function s(e){if(n===setTimeout)return setTimeout(e,0);if((n===o||!n)&&setTimeout)return n=setTimeout,setTimeout(e,0);try{return n(e,0)}catch(t){try{return n.call(null,e,0)}catch(t){return n.call(this,e,0)}}}!function(){try{n="function"==typeof setTimeout?setTimeout:o}catch(e){n=o}try{r="function"==typeof clearTimeout?clearTimeout:a}catch(e){r=a}}();var c,u=[],l=!1,f=-1;function d(){l&&c&&(l=!1,c.length?u=c.concat(u):f=-1,u.length&&p())}function p(){if(!l){var e=s(d);l=!0;for(var t=u.length;t;){for(c=u,u=[];++f<t;)c&&c[f].run();f=-1,t=u.length}c=null,l=!1,function(e){if(r===clearTimeout)return clearTimeout(e);if((r===a||!r)&&clearTimeout)return r=clearTimeout,clearTimeout(e);try{r(e)}catch(t){try{return r.call(null,e)}catch(t){return r.call(this,e)}}}(e)}}function h(e,t){this.fun=e,this.array=t}function v(){}i.nextTick=function(e){var t=new Array(arguments.length-1);if(arguments.length>1)for(var n=1;n<arguments.length;n++)t[n-1]=arguments[n];u.push(new h(e,t)),1!==u.length||l||s(p)},h.prototype.run=function(){this.fun.apply(null,this.array)},i.title="browser",i.browser=!0,i.env={},i.argv=[],i.version="",i.versions={},i.on=v,i.addListener=v,i.once=v,i.off=v,i.removeListener=v,i.removeAllListeners=v,i.emit=v,i.prependListener=v,i.prependOnceListener=v,i.listeners=function(e){return[]},i.binding=function(e){throw new Error("process.binding is not supported")},i.cwd=function(){return"/"},i.chdir=function(e){throw new Error("process.chdir is not supported")},i.umask=function(){return 0}},function(e,t,n){"use strict";n(60),Object.defineProperty(t,"__esModule",{value:!0}),t.loadState=function(e,t){var n=document.querySelector("#initial-state-".concat(e,"-").concat(t));if(null===n)throw new Error("Could not find initial state ".concat(t," of ").concat(e));try{return JSON.parse(atob(n.value))}catch(n){throw new Error("Could not parse initial state ".concat(t," of ").concat(e))}}},function(e,t,n){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var n=function(e,t){var n=e[1]||"",r=e[3];if(!r)return n;if(t&&"function"==typeof btoa){var i=(a=r,s=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),c="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(s),"/*# ".concat(c," */")),o=r.sources.map((function(e){return"/*# sourceURL=".concat(r.sourceRoot||"").concat(e," */")}));return[n].concat(o).concat([i]).join("\n")}var a,s,c;return[n].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(n,"}"):n})).join("")},t.i=function(e,n,r){"string"==typeof e&&(e=[[null,e,""]]);var i={};if(r)for(var o=0;o<this.length;o++){var a=this[o][0];null!=a&&(i[a]=!0)}for(var s=0;s<e.length;s++){var c=[].concat(e[s]);r&&i[c[0]]||(n&&(c[2]?c[2]="".concat(n," and ").concat(c[2]):c[2]=n),t.push(c))}},t}},function(e,t,n){"use strict";function r(e,t){for(var n=[],r={},i=0;i<t.length;i++){var o=t[i],a=o[0],s={id:e+":"+i,css:o[1],media:o[2],sourceMap:o[3]};r[a]?r[a].parts.push(s):n.push(r[a]={id:a,parts:[s]})}return n}n.r(t),n.d(t,"default",(function(){return p}));var i="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!i)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var o={},a=i&&(document.head||document.getElementsByTagName("head")[0]),s=null,c=0,u=!1,l=function(){},f=null,d="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function p(e,t,n,i){u=n,f=i||{};var a=r(e,t);return h(a),function(t){for(var n=[],i=0;i<a.length;i++){var s=a[i];(c=o[s.id]).refs--,n.push(c)}t?h(a=r(e,t)):a=[];for(i=0;i<n.length;i++){var c;if(0===(c=n[i]).refs){for(var u=0;u<c.parts.length;u++)c.parts[u]();delete o[c.id]}}}}function h(e){for(var t=0;t<e.length;t++){var n=e[t],r=o[n.id];if(r){r.refs++;for(var i=0;i<r.parts.length;i++)r.parts[i](n.parts[i]);for(;i<n.parts.length;i++)r.parts.push(g(n.parts[i]));r.parts.length>n.parts.length&&(r.parts.length=n.parts.length)}else{var a=[];for(i=0;i<n.parts.length;i++)a.push(g(n.parts[i]));o[n.id]={id:n.id,refs:1,parts:a}}}}function v(){var e=document.createElement("style");return e.type="text/css",a.appendChild(e),e}function g(e){var t,n,r=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(r){if(u)return l;r.parentNode.removeChild(r)}if(d){var i=c++;r=s||(s=v()),t=b.bind(null,r,i,!1),n=b.bind(null,r,i,!0)}else r=v(),t=_.bind(null,r),n=function(){r.parentNode.removeChild(r)};return t(e),function(r){if(r){if(r.css===e.css&&r.media===e.media&&r.sourceMap===e.sourceMap)return;t(e=r)}else n()}}var m,y=(m=[],function(e,t){return m[e]=t,m.filter(Boolean).join("\n")});function b(e,t,n,r){var i=n?"":r.css;if(e.styleSheet)e.styleSheet.cssText=y(t,i);else{var o=document.createTextNode(i),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(o,a[t]):e.appendChild(o)}}function _(e,t){var n=t.css,r=t.media,i=t.sourceMap;if(r&&e.setAttribute("media",r),f.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),i&&(n+="\n/*# sourceURL="+i.sources[0]+" */",n+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+" */"),e.styleSheet)e.styleSheet.cssText=n;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(n))}}},function(e,t,n){var r=n(43),i=n(12),o=n(161);r||i(Object.prototype,"toString",o,{unsafe:!0})},function(e,t,n){"use strict";var r=n(25),i=n(154),o=n(17),a=n(20),s=n(42),c=a.set,u=a.getterFor("Array Iterator");e.exports=s(Array,"Array",(function(e,t){c(this,{type:"Array Iterator",target:r(e),index:0,kind:t})}),(function(){var e=u(this),t=e.target,n=e.kind,r=e.index++;return!t||r>=t.length?(e.target=void 0,{value:void 0,done:!0}):"keys"==n?{value:r,done:!1}:"values"==n?{value:t[r],done:!1}:{value:[r,t[r]],done:!1}}),"values"),o.Arguments=o.Array,i("keys"),i("values"),i("entries")},function(e,t,n){"use strict";var r=n(10),i=n(44);r({target:"RegExp",proto:!0,forced:/./.exec!==i},{exec:i})},function(e,t,n){var r=n(7),i=n(69),o=n(24),a=n(25),s=n(34),c=n(4),u=n(70),l=Object.getOwnPropertyDescriptor;t.f=r?l:function(e,t){if(e=a(e),t=s(t,!0),u)try{return l(e,t)}catch(e){}if(c(e,t))return o(!i.f.call(e,t),e[t])}},function(e,t,n){var r=n(0),i=/#|\.prototype\./,o=function(e,t){var n=s[a(e)];return n==u||n!=c&&("function"==typeof t?r(t):!!t)},a=o.normalize=function(e){return String(e).replace(i,".").toLowerCase()},s=o.data={},c=o.NATIVE="N",u=o.POLYFILL="P";e.exports=o},function(e,t,n){var r=n(0),i=n(1),o=n(65),a=i("species");e.exports=function(e){return o>=51||!r((function(){var t=[];return(t.constructor={})[a]=function(){return{foo:1}},1!==t[e](Boolean).foo}))}},function(e,t){e.exports=function(e,t,n){if(!(e instanceof t))throw TypeError("Incorrect "+(n?n+" ":"")+"invocation");return e}},function(e,t,n){var r=n(10),i=n(113);r({target:"Object",stat:!0,forced:Object.assign!==i},{assign:i})},function(e,t,n){var r=n(6),i=n(87),o=n(1)("species");e.exports=function(e,t){var n;return i(e)&&("function"!=typeof(n=e.constructor)||n!==Array&&!i(n.prototype)?r(n)&&null===(n=n[o])&&(n=void 0):n=void 0),new(void 0===n?Array:n)(0===t?0:t)}},function(e,t,n){"use strict";var r=n(10),i=n(0),o=n(87),a=n(6),s=n(16),c=n(15),u=n(105),l=n(59),f=n(56),d=n(1),p=n(65),h=d("isConcatSpreadable"),v=p>=51||!i((function(){var e=[];return e[h]=!1,e.concat()[0]!==e})),g=f("concat"),m=function(e){if(!a(e))return!1;var t=e[h];return void 0!==t?!!t:o(e)};r({target:"Array",proto:!0,forced:!v||!g},{concat:function(e){var t,n,r,i,o,a=s(this),f=l(a,0),d=0;for(t=-1,r=arguments.length;t<r;t++)if(m(o=-1===t?a:arguments[t])){if(d+(i=c(o.length))>9007199254740991)throw TypeError("Maximum allowed index exceeded");for(n=0;n<i;n++,d++)n in o&&u(f,d,o[n])}else{if(d>=9007199254740991)throw TypeError("Maximum allowed index exceeded");u(f,d++,o)}return f.length=d,f}})},function(e,t,n){var r=n(2),i=n(6),o=r.document,a=i(o)&&i(o.createElement);e.exports=function(e){return a?o.createElement(e):{}}},function(e,t,n){var r=n(71),i=Function.toString;"function"!=typeof r.inspectSource&&(r.inspectSource=function(e){return i.call(e)}),e.exports=r.inspectSource},function(e,t,n){var r=n(30),i=n(36),o=n(16),a=n(15),s=n(59),c=[].push,u=function(e){var t=1==e,n=2==e,u=3==e,l=4==e,f=6==e,d=5==e||f;return function(p,h,v,g){for(var m,y,b=o(p),_=i(b),x=r(h,v,3),w=a(_.length),E=0,O=g||s,A=t?O(p,w):n?O(p,0):void 0;w>E;E++)if((d||E in _)&&(y=x(m=_[E],E,b),e))if(t)A[E]=y;else if(y)switch(e){case 3:return!0;case 5:return m;case 6:return E;case 2:c.call(A,m)}else if(l)return!1;return f?-1:u||l?l:A}};e.exports={forEach:u(0),map:u(1),filter:u(2),some:u(3),every:u(4),find:u(5),findIndex:u(6)}},function(e,t,n){"use strict";var r=n(0);e.exports=function(e,t){var n=[][e];return!!n&&r((function(){n.call(null,t||function(){throw 1},1)}))}},function(e,t,n){var r,i,o=n(2),a=n(114),s=o.process,c=s&&s.versions,u=c&&c.v8;u?i=(r=u.split("."))[0]+r[1]:a&&(!(r=a.match(/Edge\/(\d+)/))||r[1]>=74)&&(r=a.match(/Chrome\/(\d+)/))&&(i=r[1]),e.exports=i&&+i},function(e,t,n){var r=n(5),i=n(118),o=n(15),a=n(30),s=n(106),c=n(119),u=function(e,t){this.stopped=e,this.result=t};(e.exports=function(e,t,n,l,f){var d,p,h,v,g,m,y,b=a(t,n,l?2:1);if(f)d=e;else{if("function"!=typeof(p=s(e)))throw TypeError("Target is not iterable");if(i(p)){for(h=0,v=o(e.length);v>h;h++)if((g=l?b(r(y=e[h])[0],y[1]):b(e[h]))&&g instanceof u)return g;return new u(!1)}d=p.call(e)}for(m=d.next;!(y=m.call(d)).done;)if("object"==typeof(g=c(d,b,y.value,l))&&g&&g instanceof u)return g;return new u(!1)}).stop=function(e){return new u(!0,e)}},function(e,t,n){var r=n(43),i=n(19),o=n(1)("toStringTag"),a="Arguments"==i(function(){return arguments}());e.exports=r?i:function(e){var t,n,r;return void 0===e?"Undefined":null===e?"Null":"string"==typeof(n=function(e,t){try{return e[t]}catch(e){}}(t=Object(e),o))?n:a?i(t):"Object"==(r=i(t))&&"function"==typeof t.callee?"Arguments":r}},function(e,t,n){var r=n(23),i=n(21),o=function(e){return function(t,n){var o,a,s=String(i(t)),c=r(n),u=s.length;return c<0||c>=u?e?"":void 0:(o=s.charCodeAt(c))<55296||o>56319||c+1===u||(a=s.charCodeAt(c+1))<56320||a>57343?e?s.charAt(c):o:e?s.slice(c,c+2):a-56320+(o-55296<<10)+65536}};e.exports={codeAt:o(!1),charAt:o(!0)}},function(e,t,n){"use strict";var r={}.propertyIsEnumerable,i=Object.getOwnPropertyDescriptor,o=i&&!r.call({1:2},1);t.f=o?function(e){var t=i(this,e);return!!t&&t.enumerable}:r},function(e,t,n){var r=n(7),i=n(0),o=n(61);e.exports=!r&&!i((function(){return 7!=Object.defineProperty(o("div"),"a",{get:function(){return 7}}).a}))},function(e,t,n){var r=n(2),i=n(37),o=r["__core-js_shared__"]||i("__core-js_shared__",{});e.exports=o},function(e,t,n){var r=n(35),i=n(71);(e.exports=function(e,t){return i[e]||(i[e]=void 0!==t?t:{})})("versions",[]).push({version:"3.6.5",mode:r?"pure":"global",copyright:"© 2020 Denis Pushkarev (zloirock.ru)"})},function(e,t,n){var r=n(4),i=n(25),o=n(74).indexOf,a=n(26);e.exports=function(e,t){var n,s=i(e),c=0,u=[];for(n in s)!r(a,n)&&r(s,n)&&u.push(n);for(;t.length>c;)r(s,n=t[c++])&&(~o(u,n)||u.push(n));return u}},function(e,t,n){var r=n(25),i=n(15),o=n(108),a=function(e){return function(t,n,a){var s,c=r(t),u=i(c.length),l=o(a,u);if(e&&n!=n){for(;u>l;)if((s=c[l++])!=s)return!0}else for(;u>l;l++)if((e||l in c)&&c[l]===n)return e||l||0;return!e&&-1}};e.exports={includes:a(!0),indexOf:a(!1)}},function(e,t){t.f=Object.getOwnPropertySymbols},function(e,t,n){var r=n(73),i=n(40);e.exports=Object.keys||function(e){return r(e,i)}},function(e,t,n){"use strict";e.exports=function(e,t){return function(){for(var n=new Array(arguments.length),r=0;r<n.length;r++)n[r]=arguments[r];return e.apply(t,n)}}},function(e,t,n){"use strict";var r=n(3);function i(e){return encodeURIComponent(e).replace(/%40/gi,"@").replace(/%3A/gi,":").replace(/%24/g,"$").replace(/%2C/gi,",").replace(/%20/g,"+").replace(/%5B/gi,"[").replace(/%5D/gi,"]")}e.exports=function(e,t,n){if(!t)return e;var o;if(n)o=n(t);else if(r.isURLSearchParams(t))o=t.toString();else{var a=[];r.forEach(t,(function(e,t){null!=e&&(r.isArray(e)?t+="[]":e=[e],r.forEach(e,(function(e){r.isDate(e)?e=e.toISOString():r.isObject(e)&&(e=JSON.stringify(e)),a.push(i(t)+"="+i(e))})))})),o=a.join("&")}if(o){var s=e.indexOf("#");-1!==s&&(e=e.slice(0,s)),e+=(-1===e.indexOf("?")?"?":"&")+o}return e}},function(e,t,n){"use strict";e.exports=function(e){return!(!e||!e.__CANCEL__)}},function(e,t,n){"use strict";(function(t){var r=n(3),i=n(135),o={"Content-Type":"application/x-www-form-urlencoded"};function a(e,t){!r.isUndefined(e)&&r.isUndefined(e["Content-Type"])&&(e["Content-Type"]=t)}var s,c={adapter:(("undefined"!=typeof XMLHttpRequest||void 0!==t&&"[object process]"===Object.prototype.toString.call(t))&&(s=n(81)),s),transformRequest:[function(e,t){return i(t,"Accept"),i(t,"Content-Type"),r.isFormData(e)||r.isArrayBuffer(e)||r.isBuffer(e)||r.isStream(e)||r.isFile(e)||r.isBlob(e)?e:r.isArrayBufferView(e)?e.buffer:r.isURLSearchParams(e)?(a(t,"application/x-www-form-urlencoded;charset=utf-8"),e.toString()):r.isObject(e)?(a(t,"application/json;charset=utf-8"),JSON.stringify(e)):e}],transformResponse:[function(e){if("string"==typeof e)try{e=JSON.parse(e)}catch(e){}return e}],timeout:0,xsrfCookieName:"XSRF-TOKEN",xsrfHeaderName:"X-XSRF-TOKEN",maxContentLength:-1,validateStatus:function(e){return e>=200&&e<300}};c.headers={common:{Accept:"application/json, text/plain, */*"}},r.forEach(["delete","get","head"],(function(e){c.headers[e]={}})),r.forEach(["post","put","patch"],(function(e){c.headers[e]=r.merge(o)})),e.exports=c}).call(this,n(47))},function(e,t,n){"use strict";var r=n(3),i=n(136),o=n(78),a=n(138),s=n(141),c=n(142),u=n(82);e.exports=function(e){return new Promise((function(t,l){var f=e.data,d=e.headers;r.isFormData(f)&&delete d["Content-Type"];var p=new XMLHttpRequest;if(e.auth){var h=e.auth.username||"",v=e.auth.password||"";d.Authorization="Basic "+btoa(h+":"+v)}var g=a(e.baseURL,e.url);if(p.open(e.method.toUpperCase(),o(g,e.params,e.paramsSerializer),!0),p.timeout=e.timeout,p.onreadystatechange=function(){if(p&&4===p.readyState&&(0!==p.status||p.responseURL&&0===p.responseURL.indexOf("file:"))){var n="getAllResponseHeaders"in p?s(p.getAllResponseHeaders()):null,r={data:e.responseType&&"text"!==e.responseType?p.response:p.responseText,status:p.status,statusText:p.statusText,headers:n,config:e,request:p};i(t,l,r),p=null}},p.onabort=function(){p&&(l(u("Request aborted",e,"ECONNABORTED",p)),p=null)},p.onerror=function(){l(u("Network Error",e,null,p)),p=null},p.ontimeout=function(){var t="timeout of "+e.timeout+"ms exceeded";e.timeoutErrorMessage&&(t=e.timeoutErrorMessage),l(u(t,e,"ECONNABORTED",p)),p=null},r.isStandardBrowserEnv()){var m=n(143),y=(e.withCredentials||c(g))&&e.xsrfCookieName?m.read(e.xsrfCookieName):void 0;y&&(d[e.xsrfHeaderName]=y)}if("setRequestHeader"in p&&r.forEach(d,(function(e,t){void 0===f&&"content-type"===t.toLowerCase()?delete d[t]:p.setRequestHeader(t,e)})),r.isUndefined(e.withCredentials)||(p.withCredentials=!!e.withCredentials),e.responseType)try{p.responseType=e.responseType}catch(t){if("json"!==e.responseType)throw t}"function"==typeof e.onDownloadProgress&&p.addEventListener("progress",e.onDownloadProgress),"function"==typeof e.onUploadProgress&&p.upload&&p.upload.addEventListener("progress",e.onUploadProgress),e.cancelToken&&e.cancelToken.promise.then((function(e){p&&(p.abort(),l(e),p=null)})),void 0===f&&(f=null),p.send(f)}))}},function(e,t,n){"use strict";var r=n(137);e.exports=function(e,t,n,i,o){var a=new Error(e);return r(a,t,n,i,o)}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){t=t||{};var n={},i=["url","method","params","data"],o=["headers","auth","proxy"],a=["baseURL","url","transformRequest","transformResponse","paramsSerializer","timeout","withCredentials","adapter","responseType","xsrfCookieName","xsrfHeaderName","onUploadProgress","onDownloadProgress","maxContentLength","validateStatus","maxRedirects","httpAgent","httpsAgent","cancelToken","socketPath"];r.forEach(i,(function(e){void 0!==t[e]&&(n[e]=t[e])})),r.forEach(o,(function(i){r.isObject(t[i])?n[i]=r.deepMerge(e[i],t[i]):void 0!==t[i]?n[i]=t[i]:r.isObject(e[i])?n[i]=r.deepMerge(e[i]):void 0!==e[i]&&(n[i]=e[i])})),r.forEach(a,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])}));var s=i.concat(o).concat(a),c=Object.keys(t).filter((function(e){return-1===s.indexOf(e)}));return r.forEach(c,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])})),n}},function(e,t,n){"use strict";function r(e){this.message=e}r.prototype.toString=function(){return"Cancel"+(this.message?": "+this.message:"")},r.prototype.__CANCEL__=!0,e.exports=r},function(e,t,n){"use strict";var r=n(10),i=n(86);r({target:"Array",proto:!0,forced:[].forEach!=i},{forEach:i})},function(e,t,n){"use strict";var r=n(63).forEach,i=n(64),o=n(31),a=i("forEach"),s=o("forEach");e.exports=a&&s?[].forEach:function(e){return r(this,e,arguments.length>1?arguments[1]:void 0)}},function(e,t,n){var r=n(19);e.exports=Array.isArray||function(e){return"Array"==r(e)}},function(e,t,n){var r=n(0);e.exports=!!Object.getOwnPropertySymbols&&!r((function(){return!String(Symbol())}))},function(e,t,n){const{MAX_SAFE_COMPONENT_LENGTH:r}=n(41),i=n(90),o=(t=e.exports={}).re=[],a=t.src=[],s=t.t={};let c=0;const u=(e,t,n)=>{const r=c++;i(r,t),s[e]=r,a[r]=t,o[r]=new RegExp(t,n?"g":void 0)};u("NUMERICIDENTIFIER","0|[1-9]\\d*"),u("NUMERICIDENTIFIERLOOSE","[0-9]+"),u("NONNUMERICIDENTIFIER","\\d*[a-zA-Z-][a-zA-Z0-9-]*"),u("MAINVERSION",`(${a[s.NUMERICIDENTIFIER]})\\.(${a[s.NUMERICIDENTIFIER]})\\.(${a[s.NUMERICIDENTIFIER]})`),u("MAINVERSIONLOOSE",`(${a[s.NUMERICIDENTIFIERLOOSE]})\\.(${a[s.NUMERICIDENTIFIERLOOSE]})\\.(${a[s.NUMERICIDENTIFIERLOOSE]})`),u("PRERELEASEIDENTIFIER",`(?:${a[s.NUMERICIDENTIFIER]}|${a[s.NONNUMERICIDENTIFIER]})`),u("PRERELEASEIDENTIFIERLOOSE",`(?:${a[s.NUMERICIDENTIFIERLOOSE]}|${a[s.NONNUMERICIDENTIFIER]})`),u("PRERELEASE",`(?:-(${a[s.PRERELEASEIDENTIFIER]}(?:\\.${a[s.PRERELEASEIDENTIFIER]})*))`),u("PRERELEASELOOSE",`(?:-?(${a[s.PRERELEASEIDENTIFIERLOOSE]}(?:\\.${a[s.PRERELEASEIDENTIFIERLOOSE]})*))`),u("BUILDIDENTIFIER","[0-9A-Za-z-]+"),u("BUILD",`(?:\\+(${a[s.BUILDIDENTIFIER]}(?:\\.${a[s.BUILDIDENTIFIER]})*))`),u("FULLPLAIN",`v?${a[s.MAINVERSION]}${a[s.PRERELEASE]}?${a[s.BUILD]}?`),u("FULL",`^${a[s.FULLPLAIN]}$`),u("LOOSEPLAIN",`[v=\\s]*${a[s.MAINVERSIONLOOSE]}${a[s.PRERELEASELOOSE]}?${a[s.BUILD]}?`),u("LOOSE",`^${a[s.LOOSEPLAIN]}$`),u("GTLT","((?:<|>)?=?)"),u("XRANGEIDENTIFIERLOOSE",a[s.NUMERICIDENTIFIERLOOSE]+"|x|X|\\*"),u("XRANGEIDENTIFIER",a[s.NUMERICIDENTIFIER]+"|x|X|\\*"),u("XRANGEPLAIN",`[v=\\s]*(${a[s.XRANGEIDENTIFIER]})(?:\\.(${a[s.XRANGEIDENTIFIER]})(?:\\.(${a[s.XRANGEIDENTIFIER]})(?:${a[s.PRERELEASE]})?${a[s.BUILD]}?)?)?`),u("XRANGEPLAINLOOSE",`[v=\\s]*(${a[s.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[s.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[s.XRANGEIDENTIFIERLOOSE]})(?:${a[s.PRERELEASELOOSE]})?${a[s.BUILD]}?)?)?`),u("XRANGE",`^${a[s.GTLT]}\\s*${a[s.XRANGEPLAIN]}$`),u("XRANGELOOSE",`^${a[s.GTLT]}\\s*${a[s.XRANGEPLAINLOOSE]}$`),u("COERCE",`(^|[^\\d])(\\d{1,${r}})(?:\\.(\\d{1,${r}}))?(?:\\.(\\d{1,${r}}))?(?:$|[^\\d])`),u("COERCERTL",a[s.COERCE],!0),u("LONETILDE","(?:~>?)"),u("TILDETRIM",`(\\s*)${a[s.LONETILDE]}\\s+`,!0),t.tildeTrimReplace="$1~",u("TILDE",`^${a[s.LONETILDE]}${a[s.XRANGEPLAIN]}$`),u("TILDELOOSE",`^${a[s.LONETILDE]}${a[s.XRANGEPLAINLOOSE]}$`),u("LONECARET","(?:\\^)"),u("CARETTRIM",`(\\s*)${a[s.LONECARET]}\\s+`,!0),t.caretTrimReplace="$1^",u("CARET",`^${a[s.LONECARET]}${a[s.XRANGEPLAIN]}$`),u("CARETLOOSE",`^${a[s.LONECARET]}${a[s.XRANGEPLAINLOOSE]}$`),u("COMPARATORLOOSE",`^${a[s.GTLT]}\\s*(${a[s.LOOSEPLAIN]})$|^$`),u("COMPARATOR",`^${a[s.GTLT]}\\s*(${a[s.FULLPLAIN]})$|^$`),u("COMPARATORTRIM",`(\\s*)${a[s.GTLT]}\\s*(${a[s.LOOSEPLAIN]}|${a[s.XRANGEPLAIN]})`,!0),t.comparatorTrimReplace="$1$2$3",u("HYPHENRANGE",`^\\s*(${a[s.XRANGEPLAIN]})\\s+-\\s+(${a[s.XRANGEPLAIN]})\\s*$`),u("HYPHENRANGELOOSE",`^\\s*(${a[s.XRANGEPLAINLOOSE]})\\s+-\\s+(${a[s.XRANGEPLAINLOOSE]})\\s*$`),u("STAR","(<|>)?=?\\s*\\*"),u("GTE0","^\\s*>=\\s*0.0.0\\s*$"),u("GTE0PRE","^\\s*>=\\s*0.0.0-0\\s*$")},function(e,t,n){(function(t){const n="object"==typeof t&&t.env&&t.env.NODE_DEBUG&&/\bsemver\b/i.test(t.env.NODE_DEBUG)?(...e)=>console.error("SEMVER",...e):()=>{};e.exports=n}).call(this,n(47))},function(e,t,n){const r=n(90),{MAX_LENGTH:i,MAX_SAFE_INTEGER:o}=n(41),{re:a,t:s}=n(89),{compareIdentifiers:c}=n(151);class u{constructor(e,t){if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof u){if(e.loose===!!t.loose&&e.includePrerelease===!!t.includePrerelease)return e;e=e.version}else if("string"!=typeof e)throw new TypeError("Invalid Version: "+e);if(e.length>i)throw new TypeError(`version is longer than ${i} characters`);r("SemVer",e,t),this.options=t,this.loose=!!t.loose,this.includePrerelease=!!t.includePrerelease;const n=e.trim().match(t.loose?a[s.LOOSE]:a[s.FULL]);if(!n)throw new TypeError("Invalid Version: "+e);if(this.raw=e,this.major=+n[1],this.minor=+n[2],this.patch=+n[3],this.major>o||this.major<0)throw new TypeError("Invalid major version");if(this.minor>o||this.minor<0)throw new TypeError("Invalid minor version");if(this.patch>o||this.patch<0)throw new TypeError("Invalid patch version");n[4]?this.prerelease=n[4].split(".").map(e=>{if(/^[0-9]+$/.test(e)){const t=+e;if(t>=0&&t<o)return t}return e}):this.prerelease=[],this.build=n[5]?n[5].split("."):[],this.format()}format(){return this.version=`${this.major}.${this.minor}.${this.patch}`,this.prerelease.length&&(this.version+="-"+this.prerelease.join(".")),this.version}toString(){return this.version}compare(e){if(r("SemVer.compare",this.version,this.options,e),!(e instanceof u)){if("string"==typeof e&&e===this.version)return 0;e=new u(e,this.options)}return e.version===this.version?0:this.compareMain(e)||this.comparePre(e)}compareMain(e){return e instanceof u||(e=new u(e,this.options)),c(this.major,e.major)||c(this.minor,e.minor)||c(this.patch,e.patch)}comparePre(e){if(e instanceof u||(e=new u(e,this.options)),this.prerelease.length&&!e.prerelease.length)return-1;if(!this.prerelease.length&&e.prerelease.length)return 1;if(!this.prerelease.length&&!e.prerelease.length)return 0;let t=0;do{const n=this.prerelease[t],i=e.prerelease[t];if(r("prerelease compare",t,n,i),void 0===n&&void 0===i)return 0;if(void 0===i)return 1;if(void 0===n)return-1;if(n!==i)return c(n,i)}while(++t)}compareBuild(e){e instanceof u||(e=new u(e,this.options));let t=0;do{const n=this.build[t],i=e.build[t];if(r("prerelease compare",t,n,i),void 0===n&&void 0===i)return 0;if(void 0===i)return 1;if(void 0===n)return-1;if(n!==i)return c(n,i)}while(++t)}inc(e,t){switch(e){case"premajor":this.prerelease.length=0,this.patch=0,this.minor=0,this.major++,this.inc("pre",t);break;case"preminor":this.prerelease.length=0,this.patch=0,this.minor++,this.inc("pre",t);break;case"prepatch":this.prerelease.length=0,this.inc("patch",t),this.inc("pre",t);break;case"prerelease":0===this.prerelease.length&&this.inc("patch",t),this.inc("pre",t);break;case"major":0===this.minor&&0===this.patch&&0!==this.prerelease.length||this.major++,this.minor=0,this.patch=0,this.prerelease=[];break;case"minor":0===this.patch&&0!==this.prerelease.length||this.minor++,this.patch=0,this.prerelease=[];break;case"patch":0===this.prerelease.length&&this.patch++,this.prerelease=[];break;case"pre":if(0===this.prerelease.length)this.prerelease=[0];else{let e=this.prerelease.length;for(;--e>=0;)"number"==typeof this.prerelease[e]&&(this.prerelease[e]++,e=-2);-1===e&&this.prerelease.push(0)}t&&(this.prerelease[0]===t?isNaN(this.prerelease[1])&&(this.prerelease=[t,0]):this.prerelease=[t,0]);break;default:throw new Error("invalid increment argument: "+e)}return this.format(),this.raw=this.version,this}}e.exports=u},function(e,t,n){"use strict";var r,i,o,a=n(93),s=n(9),c=n(4),u=n(1),l=n(35),f=u("iterator"),d=!1;[].keys&&("next"in(o=[].keys())?(i=a(a(o)))!==Object.prototype&&(r=i):d=!0),null==r&&(r={}),l||c(r,f)||s(r,f,(function(){return this})),e.exports={IteratorPrototype:r,BUGGY_SAFARI_ITERATORS:d}},function(e,t,n){var r=n(4),i=n(16),o=n(38),a=n(155),s=o("IE_PROTO"),c=Object.prototype;e.exports=a?Object.getPrototypeOf:function(e){return e=i(e),r(e,s)?e[s]:"function"==typeof e.constructor&&e instanceof e.constructor?e.constructor.prototype:e instanceof Object?c:null}},function(e,t,n){var r=n(5),i=n(156);e.exports=Object.setPrototypeOf||("__proto__"in{}?function(){var e,t=!1,n={};try{(e=Object.getOwnPropertyDescriptor(Object.prototype,"__proto__").set).call(n,[]),t=n instanceof Array}catch(e){}return function(n,o){return r(n),i(o),t?e.call(n,o):n.__proto__=o,n}}():void 0)},function(e,t,n){var r=n(26),i=n(6),o=n(4),a=n(8).f,s=n(39),c=n(159),u=s("meta"),l=0,f=Object.isExtensible||function(){return!0},d=function(e){a(e,u,{value:{objectID:"O"+ ++l,weakData:{}}})},p=e.exports={REQUIRED:!1,fastKey:function(e,t){if(!i(e))return"symbol"==typeof e?e:("string"==typeof e?"S":"P")+e;if(!o(e,u)){if(!f(e))return"F";if(!t)return"E";d(e)}return e[u].objectID},getWeakData:function(e,t){if(!o(e,u)){if(!f(e))return!0;if(!t)return!1;d(e)}return e[u].weakData},onFreeze:function(e){return c&&p.REQUIRED&&f(e)&&!o(e,u)&&d(e),e}};r[u]=!0},function(e,t){e.exports={CSSRuleList:0,CSSStyleDeclaration:0,CSSValueList:0,ClientRectList:0,DOMRectList:0,DOMStringList:0,DOMTokenList:1,DataTransferItemList:0,FileList:0,HTMLAllCollection:0,HTMLCollection:0,HTMLFormElement:0,HTMLSelectElement:0,MediaList:0,MimeTypeArray:0,NamedNodeMap:0,NodeList:1,PaintRequestList:0,Plugin:0,PluginArray:0,SVGLengthList:0,SVGNumberList:0,SVGPathSegList:0,SVGPointList:0,SVGStringList:0,SVGTransformList:0,SourceBufferList:0,StyleSheetList:0,TextTrackCueList:0,TextTrackList:0,TouchList:0}},function(e,t,n){"use strict";var r=n(5);e.exports=function(){var e=r(this),t="";return e.global&&(t+="g"),e.ignoreCase&&(t+="i"),e.multiline&&(t+="m"),e.dotAll&&(t+="s"),e.unicode&&(t+="u"),e.sticky&&(t+="y"),t}},,function(e,t,n){"use strict";var r=n(12),i=n(5),o=n(0),a=n(97),s=RegExp.prototype,c=s.toString,u=o((function(){return"/a/b"!=c.call({source:"a",flags:"b"})})),l="toString"!=c.name;(u||l)&&r(RegExp.prototype,"toString",(function(){var e=i(this),t=String(e.source),n=e.flags;return"/"+t+"/"+String(void 0===n&&e instanceof RegExp&&!("flags"in s)?a.call(e):n)}),{unsafe:!0})},function(e,t,n){"use strict";var r=n(68).charAt,i=n(20),o=n(42),a=i.set,s=i.getterFor("String Iterator");o(String,"String",(function(e){a(this,{type:"String Iterator",string:String(e),index:0})}),(function(){var e,t=s(this),n=t.string,i=t.index;return i>=n.length?{value:void 0,done:!0}:(e=r(n,i),t.index+=e.length,{value:e,done:!1})}))},function(e,t,n){"use strict";var r=n(122),i=n(5),o=n(16),a=n(15),s=n(23),c=n(21),u=n(123),l=n(124),f=Math.max,d=Math.min,p=Math.floor,h=/\$([$&'`]|\d\d?|<[^>]*>)/g,v=/\$([$&'`]|\d\d?)/g;r("replace",2,(function(e,t,n,r){var g=r.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE,m=r.REPLACE_KEEPS_$0,y=g?"$":"$0";return[function(n,r){var i=c(this),o=null==n?void 0:n[e];return void 0!==o?o.call(n,i,r):t.call(String(i),n,r)},function(e,r){if(!g&&m||"string"==typeof r&&-1===r.indexOf(y)){var o=n(t,e,this,r);if(o.done)return o.value}var c=i(e),p=String(this),h="function"==typeof r;h||(r=String(r));var v=c.global;if(v){var _=c.unicode;c.lastIndex=0}for(var x=[];;){var w=l(c,p);if(null===w)break;if(x.push(w),!v)break;""===String(w[0])&&(c.lastIndex=u(p,a(c.lastIndex),_))}for(var E,O="",A=0,S=0;S<x.length;S++){w=x[S];for(var C=String(w[0]),k=f(d(s(w.index),p.length),0),$=[],T=1;T<w.length;T++)$.push(void 0===(E=w[T])?E:String(E));var I=w.groups;if(h){var R=[C].concat($,k,p);void 0!==I&&R.push(I);var N=String(r.apply(void 0,R))}else N=b(C,p,k,$,I,r);k>=A&&(O+=p.slice(A,k)+N,A=k+C.length)}return O+p.slice(A)}];function b(e,n,r,i,a,s){var c=r+e.length,u=i.length,l=v;return void 0!==a&&(a=o(a),l=h),t.call(s,l,(function(t,o){var s;switch(o.charAt(0)){case"$":return"$";case"&":return e;case"`":return n.slice(0,r);case"'":return n.slice(c);case"<":s=a[o.slice(1,-1)];break;default:var l=+o;if(0===l)return t;if(l>u){var f=p(l/10);return 0===f?t:f<=u?void 0===i[f-1]?o.charAt(1):i[f-1]+o.charAt(1):t}s=i[l-1]}return void 0===s?"":s}))}}))},function(e,t,n){var r=n(2),i=n(96),o=n(52),a=n(9),s=n(1),c=s("iterator"),u=s("toStringTag"),l=o.values;for(var f in i){var d=r[f],p=d&&d.prototype;if(p){if(p[c]!==l)try{a(p,c,l)}catch(e){p[c]=l}if(p[u]||a(p,u,f),i[f])for(var h in o)if(p[h]!==o[h])try{a(p,h,o[h])}catch(e){p[h]=o[h]}}}},function(e,t,n){"use strict";var r=n(10),i=n(74).indexOf,o=n(64),a=n(31),s=[].indexOf,c=!!s&&1/[1].indexOf(1,-0)<0,u=o("indexOf"),l=a("indexOf",{ACCESSORS:!0,1:0});r({target:"Array",proto:!0,forced:c||!u||!l},{indexOf:function(e){return c?s.apply(this,arguments)||0:i(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t){e.exports=function(e){if("function"!=typeof e)throw TypeError(String(e)+" is not a function");return e}},function(e,t,n){"use strict";var r=n(34),i=n(8),o=n(24);e.exports=function(e,t,n){var a=r(t);a in e?i.f(e,a,o(0,n)):e[a]=n}},function(e,t,n){var r=n(67),i=n(17),o=n(1)("iterator");e.exports=function(e){if(null!=e)return e[o]||e["@@iterator"]||i[r(e)]}},function(e,t,n){var r=n(73),i=n(40).concat("length","prototype");t.f=Object.getOwnPropertyNames||function(e){return r(e,i)}},function(e,t,n){var r=n(23),i=Math.max,o=Math.min;e.exports=function(e,t){var n=r(e);return n<0?i(n+t,0):o(n,t)}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),Object.defineProperty(t,"getRequestToken",{enumerable:!0,get:function(){return r.getRequestToken}}),Object.defineProperty(t,"onRequestTokenUpdate",{enumerable:!0,get:function(){return r.onRequestTokenUpdate}}),Object.defineProperty(t,"getCurrentUser",{enumerable:!0,get:function(){return i.getCurrentUser}});var r=n(146),i=n(163)},function(e,t,n){"use strict";var r=n(10),i=n(63).filter,o=n(56),a=n(31),s=o("filter"),c=a("filter");r({target:"Array",proto:!0,forced:!s||!c},{filter:function(e){return i(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t,n){var r=n(6),i=n(94);e.exports=function(e,t,n){var o,a;return i&&"function"==typeof(o=t.constructor)&&o!==n&&r(a=o.prototype)&&a!==n.prototype&&i(e,a),e}},function(e,t,n){var r=n(12);e.exports=function(e,t,n){for(var i in t)r(e,i,t[i],n);return e}},function(e,t,n){"use strict";var r=n(7),i=n(0),o=n(76),a=n(75),s=n(69),c=n(16),u=n(36),l=Object.assign,f=Object.defineProperty;e.exports=!l||i((function(){if(r&&1!==l({b:1},l(f({},"a",{enumerable:!0,get:function(){f(this,"b",{value:3,enumerable:!1})}}),{b:2})).b)return!0;var e={},t={},n=Symbol();return e[n]=7,"abcdefghijklmnopqrst".split("").forEach((function(e){t[e]=e})),7!=l({},e)[n]||"abcdefghijklmnopqrst"!=o(l({},t)).join("")}))?function(e,t){for(var n=c(e),i=arguments.length,l=1,f=a.f,d=s.f;i>l;)for(var p,h=u(arguments[l++]),v=f?o(h).concat(f(h)):o(h),g=v.length,m=0;g>m;)p=v[m++],r&&!d.call(h,p)||(n[p]=h[p]);return n}:l},function(e,t,n){var r=n(22);e.exports=r("navigator","userAgent")||""},function(e,t,n){var r=n(7),i=n(8),o=n(5),a=n(76);e.exports=r?Object.defineProperties:function(e,t){o(e);for(var n,r=a(t),s=r.length,c=0;s>c;)i.f(e,n=r[c++],t[n]);return e}},function(e,t,n){var r=n(22);e.exports=r("document","documentElement")},function(e,t,n){"use strict";var r=n(92).IteratorPrototype,i=n(32),o=n(24),a=n(33),s=n(17),c=function(){return this};e.exports=function(e,t,n){var u=t+" Iterator";return e.prototype=i(r,{next:o(1,n)}),a(e,u,!1,!0),s[u]=c,e}},function(e,t,n){var r=n(1),i=n(17),o=r("iterator"),a=Array.prototype;e.exports=function(e){return void 0!==e&&(i.Array===e||a[o]===e)}},function(e,t,n){var r=n(5);e.exports=function(e,t,n,i){try{return i?t(r(n)[0],n[1]):t(n)}catch(t){var o=e.return;throw void 0!==o&&r(o.call(e)),t}}},function(e,t,n){var r=n(1)("iterator"),i=!1;try{var o=0,a={next:function(){return{done:!!o++}},return:function(){i=!0}};a[r]=function(){return this},Array.from(a,(function(){throw 2}))}catch(e){}e.exports=function(e,t){if(!t&&!i)return!1;var n=!1;try{var o={};o[r]=function(){return{next:function(){return{done:n=!0}}}},e(o)}catch(e){}return n}},function(e,t,n){"use strict";var r=n(22),i=n(8),o=n(1),a=n(7),s=o("species");e.exports=function(e){var t=r(e),n=i.f;a&&t&&!t[s]&&n(t,s,{configurable:!0,get:function(){return this}})}},function(e,t,n){"use strict";n(53);var r=n(12),i=n(0),o=n(1),a=n(44),s=n(9),c=o("species"),u=!i((function(){var e=/./;return e.exec=function(){var e=[];return e.groups={a:"7"},e},"7"!=="".replace(e,"$<a>")})),l="$0"==="a".replace(/./,"$0"),f=o("replace"),d=!!/./[f]&&""===/./[f]("a","$0"),p=!i((function(){var e=/(?:)/,t=e.exec;e.exec=function(){return t.apply(this,arguments)};var n="ab".split(e);return 2!==n.length||"a"!==n[0]||"b"!==n[1]}));e.exports=function(e,t,n,f){var h=o(e),v=!i((function(){var t={};return t[h]=function(){return 7},7!=""[e](t)})),g=v&&!i((function(){var t=!1,n=/a/;return"split"===e&&((n={}).constructor={},n.constructor[c]=function(){return n},n.flags="",n[h]=/./[h]),n.exec=function(){return t=!0,null},n[h](""),!t}));if(!v||!g||"replace"===e&&(!u||!l||d)||"split"===e&&!p){var m=/./[h],y=n(h,""[e],(function(e,t,n,r,i){return t.exec===a?v&&!i?{done:!0,value:m.call(t,n,r)}:{done:!0,value:e.call(n,t,r)}:{done:!1}}),{REPLACE_KEEPS_$0:l,REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE:d}),b=y[0],_=y[1];r(String.prototype,e,b),r(RegExp.prototype,h,2==t?function(e,t){return _.call(e,this,t)}:function(e){return _.call(e,this)})}f&&s(RegExp.prototype[h],"sham",!0)}},function(e,t,n){"use strict";var r=n(68).charAt;e.exports=function(e,t,n){return t+(n?r(e,t).length:1)}},function(e,t,n){var r=n(19),i=n(44);e.exports=function(e,t){var n=e.exec;if("function"==typeof n){var o=n.call(e,t);if("object"!=typeof o)throw TypeError("RegExp exec method returned something other than an Object or null");return o}if("RegExp"!==r(e))throw TypeError("RegExp#exec called on incompatible receiver");return i.call(e,t)}},function(e,t,n){var r=n(2),i=n(62),o=r.WeakMap;e.exports="function"==typeof o&&/native code/.test(i(o))},function(e,t,n){var r=n(4),i=n(127),o=n(54),a=n(8);e.exports=function(e,t){for(var n=i(t),s=a.f,c=o.f,u=0;u<n.length;u++){var l=n[u];r(e,l)||s(e,l,c(t,l))}}},function(e,t,n){var r=n(22),i=n(107),o=n(75),a=n(5);e.exports=r("Reflect","ownKeys")||function(e){var t=i.f(a(e)),n=o.f;return n?t.concat(n(e)):t}},function(e,t,n){var r=n(2);e.exports=r},function(e,t,n){e.exports=n(130)},function(e,t,n){"use strict";var r=n(3),i=n(77),o=n(131),a=n(83);function s(e){var t=new o(e),n=i(o.prototype.request,t);return r.extend(n,o.prototype,t),r.extend(n,t),n}var c=s(n(80));c.Axios=o,c.create=function(e){return s(a(c.defaults,e))},c.Cancel=n(84),c.CancelToken=n(144),c.isCancel=n(79),c.all=function(e){return Promise.all(e)},c.spread=n(145),e.exports=c,e.exports.default=c},function(e,t,n){"use strict";var r=n(3),i=n(78),o=n(132),a=n(133),s=n(83);function c(e){this.defaults=e,this.interceptors={request:new o,response:new o}}c.prototype.request=function(e){"string"==typeof e?(e=arguments[1]||{}).url=arguments[0]:e=e||{},(e=s(this.defaults,e)).method?e.method=e.method.toLowerCase():this.defaults.method?e.method=this.defaults.method.toLowerCase():e.method="get";var t=[a,void 0],n=Promise.resolve(e);for(this.interceptors.request.forEach((function(e){t.unshift(e.fulfilled,e.rejected)})),this.interceptors.response.forEach((function(e){t.push(e.fulfilled,e.rejected)}));t.length;)n=n.then(t.shift(),t.shift());return n},c.prototype.getUri=function(e){return e=s(this.defaults,e),i(e.url,e.params,e.paramsSerializer).replace(/^\?/,"")},r.forEach(["delete","get","head","options"],(function(e){c.prototype[e]=function(t,n){return this.request(r.merge(n||{},{method:e,url:t}))}})),r.forEach(["post","put","patch"],(function(e){c.prototype[e]=function(t,n,i){return this.request(r.merge(i||{},{method:e,url:t,data:n}))}})),e.exports=c},function(e,t,n){"use strict";var r=n(3);function i(){this.handlers=[]}i.prototype.use=function(e,t){return this.handlers.push({fulfilled:e,rejected:t}),this.handlers.length-1},i.prototype.eject=function(e){this.handlers[e]&&(this.handlers[e]=null)},i.prototype.forEach=function(e){r.forEach(this.handlers,(function(t){null!==t&&e(t)}))},e.exports=i},function(e,t,n){"use strict";var r=n(3),i=n(134),o=n(79),a=n(80);function s(e){e.cancelToken&&e.cancelToken.throwIfRequested()}e.exports=function(e){return s(e),e.headers=e.headers||{},e.data=i(e.data,e.headers,e.transformRequest),e.headers=r.merge(e.headers.common||{},e.headers[e.method]||{},e.headers),r.forEach(["delete","get","head","post","put","patch","common"],(function(t){delete e.headers[t]})),(e.adapter||a.adapter)(e).then((function(t){return s(e),t.data=i(t.data,t.headers,e.transformResponse),t}),(function(t){return o(t)||(s(e),t&&t.response&&(t.response.data=i(t.response.data,t.response.headers,e.transformResponse))),Promise.reject(t)}))}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t,n){return r.forEach(n,(function(n){e=n(e,t)})),e}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){r.forEach(e,(function(n,r){r!==t&&r.toUpperCase()===t.toUpperCase()&&(e[t]=n,delete e[r])}))}},function(e,t,n){"use strict";var r=n(82);e.exports=function(e,t,n){var i=n.config.validateStatus;!i||i(n.status)?e(n):t(r("Request failed with status code "+n.status,n.config,null,n.request,n))}},function(e,t,n){"use strict";e.exports=function(e,t,n,r,i){return e.config=t,n&&(e.code=n),e.request=r,e.response=i,e.isAxiosError=!0,e.toJSON=function(){return{message:this.message,name:this.name,description:this.description,number:this.number,fileName:this.fileName,lineNumber:this.lineNumber,columnNumber:this.columnNumber,stack:this.stack,config:this.config,code:this.code}},e}},function(e,t,n){"use strict";var r=n(139),i=n(140);e.exports=function(e,t){return e&&!r(t)?i(e,t):t}},function(e,t,n){"use strict";e.exports=function(e){return/^([a-z][a-z\d\+\-\.]*:)?\/\//i.test(e)}},function(e,t,n){"use strict";e.exports=function(e,t){return t?e.replace(/\/+$/,"")+"/"+t.replace(/^\/+/,""):e}},function(e,t,n){"use strict";var r=n(3),i=["age","authorization","content-length","content-type","etag","expires","from","host","if-modified-since","if-unmodified-since","last-modified","location","max-forwards","proxy-authorization","referer","retry-after","user-agent"];e.exports=function(e){var t,n,o,a={};return e?(r.forEach(e.split("\n"),(function(e){if(o=e.indexOf(":"),t=r.trim(e.substr(0,o)).toLowerCase(),n=r.trim(e.substr(o+1)),t){if(a[t]&&i.indexOf(t)>=0)return;a[t]="set-cookie"===t?(a[t]?a[t]:[]).concat([n]):a[t]?a[t]+", "+n:n}})),a):a}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?function(){var e,t=/(msie|trident)/i.test(navigator.userAgent),n=document.createElement("a");function i(e){var r=e;return t&&(n.setAttribute("href",r),r=n.href),n.setAttribute("href",r),{href:n.href,protocol:n.protocol?n.protocol.replace(/:$/,""):"",host:n.host,search:n.search?n.search.replace(/^\?/,""):"",hash:n.hash?n.hash.replace(/^#/,""):"",hostname:n.hostname,port:n.port,pathname:"/"===n.pathname.charAt(0)?n.pathname:"/"+n.pathname}}return e=i(window.location.href),function(t){var n=r.isString(t)?i(t):t;return n.protocol===e.protocol&&n.host===e.host}}():function(){return!0}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?{write:function(e,t,n,i,o,a){var s=[];s.push(e+"="+encodeURIComponent(t)),r.isNumber(n)&&s.push("expires="+new Date(n).toGMTString()),r.isString(i)&&s.push("path="+i),r.isString(o)&&s.push("domain="+o),!0===a&&s.push("secure"),document.cookie=s.join("; ")},read:function(e){var t=document.cookie.match(new RegExp("(^|;\\s*)("+e+")=([^;]*)"));return t?decodeURIComponent(t[3]):null},remove:function(e){this.write(e,"",Date.now()-864e5)}}:{write:function(){},read:function(){return null},remove:function(){}}},function(e,t,n){"use strict";var r=n(84);function i(e){if("function"!=typeof e)throw new TypeError("executor must be a function.");var t;this.promise=new Promise((function(e){t=e}));var n=this;e((function(e){n.reason||(n.reason=new r(e),t(n.reason))}))}i.prototype.throwIfRequested=function(){if(this.reason)throw this.reason},i.source=function(){var e;return{token:new i((function(t){e=t})),cancel:e}},e.exports=i},function(e,t,n){"use strict";e.exports=function(e){return function(t){return e.apply(null,t)}}},function(e,t,n){"use strict";n(85),Object.defineProperty(t,"__esModule",{value:!0}),t.getRequestToken=function(){return o},t.onRequestTokenUpdate=function(e){a.push(e)};var r=n(14),i=document.getElementsByTagName("head")[0],o=i?i.getAttribute("data-requesttoken"):null,a=[];(0,r.subscribe)("csrf-token-update",(function(e){o=e.token,a.forEach((function(t){try{t(e.token)}catch(e){console.error("error updating CSRF token observer",e)}}))}))},function(e,t,n){var r=n(88);e.exports=r&&!Symbol.sham&&"symbol"==typeof Symbol.iterator},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.ProxyBus=void 0;var r=o(n(149)),i=o(n(152));function o(e){return e&&e.__esModule?e:{default:e}}function a(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}var s="1.2.0",c=function(){function e(t){var n,o,a;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),a=void 0,(o="bus")in(n=this)?Object.defineProperty(n,o,{value:a,enumerable:!0,configurable:!0,writable:!0}):n[o]=a,"function"==typeof t.getVersion&&(0,r.default)(t.getVersion())?(0,i.default)(t.getVersion())!==(0,i.default)(this.getVersion())&&console.warn("Proxying an event bus of version "+t.getVersion()+" with "+this.getVersion()):console.warn("Proxying an event bus with an unknown or invalid version"),this.bus=t}var t,n,o;return t=e,(n=[{key:"getVersion",value:function(){return s}},{key:"subscribe",value:function(e,t){this.bus.subscribe(e,t)}},{key:"unsubscribe",value:function(e,t){this.bus.unsubscribe(e,t)}},{key:"emit",value:function(e,t){this.bus.emit(e,t)}}])&&a(t.prototype,n),o&&a(t,o),e}();t.ProxyBus=c},function(e,t,n){const r=n(150);e.exports=(e,t)=>{const n=r(e,t);return n?n.version:null}},function(e,t,n){const{MAX_LENGTH:r}=n(41),{re:i,t:o}=n(89),a=n(91);e.exports=(e,t)=>{if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof a)return e;if("string"!=typeof e)return null;if(e.length>r)return null;if(!(t.loose?i[o.LOOSE]:i[o.FULL]).test(e))return null;try{return new a(e,t)}catch(e){return null}}},function(e,t){const n=/^[0-9]+$/,r=(e,t)=>{const r=n.test(e),i=n.test(t);return r&&i&&(e=+e,t=+t),e===t?0:r&&!i?-1:i&&!r?1:e<t?-1:1};e.exports={compareIdentifiers:r,rcompareIdentifiers:(e,t)=>r(t,e)}},function(e,t,n){const r=n(91);e.exports=(e,t)=>new r(e,t).major},function(e,t,n){"use strict";function r(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}n(60),n(110),n(85),n(52),n(157),n(51),n(100),n(162),n(102),Object.defineProperty(t,"__esModule",{value:!0}),t.SimpleBus=void 0;var i="1.2.0",o=function(){function e(){var t,n,r;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),t=this,n="handlers",r=new Map,n in t?Object.defineProperty(t,n,{value:r,enumerable:!0,configurable:!0,writable:!0}):t[n]=r}var t,n,o;return t=e,(n=[{key:"getVersion",value:function(){return i}},{key:"subscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).concat(t))}},{key:"unsubscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).filter((function(e){return e!=t})))}},{key:"emit",value:function(e,t){(this.handlers.get(e)||[]).forEach((function(e){try{e(t)}catch(e){console.error("could not invoke event listener",e)}}))}}])&&r(t.prototype,n),o&&r(t,o),e}();t.SimpleBus=o},function(e,t,n){var r=n(1),i=n(32),o=n(8),a=r("unscopables"),s=Array.prototype;null==s[a]&&o.f(s,a,{configurable:!0,value:i(null)}),e.exports=function(e){s[a][e]=!0}},function(e,t,n){var r=n(0);e.exports=!r((function(){function e(){}return e.prototype.constructor=null,Object.getPrototypeOf(new e)!==e.prototype}))},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e)&&null!==e)throw TypeError("Can't set "+String(e)+" as a prototype");return e}},function(e,t,n){"use strict";var r=n(158),i=n(160);e.exports=r("Map",(function(e){return function(){return e(this,arguments.length?arguments[0]:void 0)}}),i)},function(e,t,n){"use strict";var r=n(10),i=n(2),o=n(55),a=n(12),s=n(95),c=n(66),u=n(57),l=n(6),f=n(0),d=n(120),p=n(33),h=n(111);e.exports=function(e,t,n){var v=-1!==e.indexOf("Map"),g=-1!==e.indexOf("Weak"),m=v?"set":"add",y=i[e],b=y&&y.prototype,_=y,x={},w=function(e){var t=b[e];a(b,e,"add"==e?function(e){return t.call(this,0===e?0:e),this}:"delete"==e?function(e){return!(g&&!l(e))&&t.call(this,0===e?0:e)}:"get"==e?function(e){return g&&!l(e)?void 0:t.call(this,0===e?0:e)}:"has"==e?function(e){return!(g&&!l(e))&&t.call(this,0===e?0:e)}:function(e,n){return t.call(this,0===e?0:e,n),this})};if(o(e,"function"!=typeof y||!(g||b.forEach&&!f((function(){(new y).entries().next()})))))_=n.getConstructor(t,e,v,m),s.REQUIRED=!0;else if(o(e,!0)){var E=new _,O=E[m](g?{}:-0,1)!=E,A=f((function(){E.has(1)})),S=d((function(e){new y(e)})),C=!g&&f((function(){for(var e=new y,t=5;t--;)e[m](t,t);return!e.has(-0)}));S||((_=t((function(t,n){u(t,_,e);var r=h(new y,t,_);return null!=n&&c(n,r[m],r,v),r}))).prototype=b,b.constructor=_),(A||C)&&(w("delete"),w("has"),v&&w("get")),(C||O)&&w(m),g&&b.clear&&delete b.clear}return x[e]=_,r({global:!0,forced:_!=y},x),p(_,e),g||n.setStrong(_,e,v),_}},function(e,t,n){var r=n(0);e.exports=!r((function(){return Object.isExtensible(Object.preventExtensions({}))}))},function(e,t,n){"use strict";var r=n(8).f,i=n(32),o=n(112),a=n(30),s=n(57),c=n(66),u=n(42),l=n(121),f=n(7),d=n(95).fastKey,p=n(20),h=p.set,v=p.getterFor;e.exports={getConstructor:function(e,t,n,u){var l=e((function(e,r){s(e,l,t),h(e,{type:t,index:i(null),first:void 0,last:void 0,size:0}),f||(e.size=0),null!=r&&c(r,e[u],e,n)})),p=v(t),g=function(e,t,n){var r,i,o=p(e),a=m(e,t);return a?a.value=n:(o.last=a={index:i=d(t,!0),key:t,value:n,previous:r=o.last,next:void 0,removed:!1},o.first||(o.first=a),r&&(r.next=a),f?o.size++:e.size++,"F"!==i&&(o.index[i]=a)),e},m=function(e,t){var n,r=p(e),i=d(t);if("F"!==i)return r.index[i];for(n=r.first;n;n=n.next)if(n.key==t)return n};return o(l.prototype,{clear:function(){for(var e=p(this),t=e.index,n=e.first;n;)n.removed=!0,n.previous&&(n.previous=n.previous.next=void 0),delete t[n.index],n=n.next;e.first=e.last=void 0,f?e.size=0:this.size=0},delete:function(e){var t=p(this),n=m(this,e);if(n){var r=n.next,i=n.previous;delete t.index[n.index],n.removed=!0,i&&(i.next=r),r&&(r.previous=i),t.first==n&&(t.first=r),t.last==n&&(t.last=i),f?t.size--:this.size--}return!!n},forEach:function(e){for(var t,n=p(this),r=a(e,arguments.length>1?arguments[1]:void 0,3);t=t?t.next:n.first;)for(r(t.value,t.key,this);t&&t.removed;)t=t.previous},has:function(e){return!!m(this,e)}}),o(l.prototype,n?{get:function(e){var t=m(this,e);return t&&t.value},set:function(e,t){return g(this,0===e?0:e,t)}}:{add:function(e){return g(this,e=0===e?0:e,e)}}),f&&r(l.prototype,"size",{get:function(){return p(this).size}}),l},setStrong:function(e,t,n){var r=t+" Iterator",i=v(t),o=v(r);u(e,t,(function(e,t){h(this,{type:r,target:e,state:i(e),kind:t,last:void 0})}),(function(){for(var e=o(this),t=e.kind,n=e.last;n&&n.removed;)n=n.previous;return e.target&&(e.last=n=n?n.next:e.state.first)?"keys"==t?{value:n.key,done:!1}:"values"==t?{value:n.value,done:!1}:{value:[n.key,n.value],done:!1}:(e.target=void 0,{value:void 0,done:!0})}),n?"entries":"values",!n,!0),l(t)}}},function(e,t,n){"use strict";var r=n(43),i=n(67);e.exports=r?{}.toString:function(){return"[object "+i(this)+"]"}},function(e,t,n){var r=n(2),i=n(96),o=n(86),a=n(9);for(var s in i){var c=r[s],u=c&&c.prototype;if(u&&u.forEach!==o)try{a(u,"forEach",o)}catch(e){u.forEach=o}}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.getCurrentUser=function(){if(null===i)return null;return{uid:i,displayName:a,isAdmin:s}};var r=document.getElementsByTagName("head")[0],i=r?r.getAttribute("data-user"):null,o=document.getElementsByTagName("head")[0],a=o?o.getAttribute("data-user-displayname"):null,s="undefined"!=typeof OC&&OC.isUserAdmin()},function(e,t,n){"use strict";var r=n(0);function i(e,t){return RegExp(e,t)}t.UNSUPPORTED_Y=r((function(){var e=i("a","y");return e.lastIndex=2,null!=e.exec("abcd")})),t.BROKEN_CARET=r((function(){var e=i("^r","gy");return e.lastIndex=2,null!=e.exec("str")}))},function(e,t,n){"use strict";var r=n(27);n.n(r).a},function(e,t,n){(t=n(49)(!1)).push([e.i,"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\n",""]),e.exports=t},,function(e,t,n){(function(e){var r=void 0!==e&&e||"undefined"!=typeof self&&self||window,i=Function.prototype.apply;function o(e,t){this._id=e,this._clearFn=t}t.setTimeout=function(){return new o(i.call(setTimeout,r,arguments),clearTimeout)},t.setInterval=function(){return new o(i.call(setInterval,r,arguments),clearInterval)},t.clearTimeout=t.clearInterval=function(e){e&&e.close()},o.prototype.unref=o.prototype.ref=function(){},o.prototype.close=function(){this._clearFn.call(r,this._id)},t.enroll=function(e,t){clearTimeout(e._idleTimeoutId),e._idleTimeout=t},t.unenroll=function(e){clearTimeout(e._idleTimeoutId),e._idleTimeout=-1},t._unrefActive=t.active=function(e){clearTimeout(e._idleTimeoutId);var t=e._idleTimeout;t>=0&&(e._idleTimeoutId=setTimeout((function(){e._onTimeout&&e._onTimeout()}),t))},n(169),t.setImmediate="undefined"!=typeof self&&self.setImmediate||void 0!==e&&e.setImmediate||this&&this.setImmediate,t.clearImmediate="undefined"!=typeof self&&self.clearImmediate||void 0!==e&&e.clearImmediate||this&&this.clearImmediate}).call(this,n(46))},function(e,t,n){(function(e,t){!function(e,n){"use strict";if(!e.setImmediate){var r,i,o,a,s,c=1,u={},l=!1,f=e.document,d=Object.getPrototypeOf&&Object.getPrototypeOf(e);d=d&&d.setTimeout?d:e,"[object process]"==={}.toString.call(e.process)?r=function(e){t.nextTick((function(){h(e)}))}:!function(){if(e.postMessage&&!e.importScripts){var t=!0,n=e.onmessage;return e.onmessage=function(){t=!1},e.postMessage("","*"),e.onmessage=n,t}}()?e.MessageChannel?((o=new MessageChannel).port1.onmessage=function(e){h(e.data)},r=function(e){o.port2.postMessage(e)}):f&&"onreadystatechange"in f.createElement("script")?(i=f.documentElement,r=function(e){var t=f.createElement("script");t.onreadystatechange=function(){h(e),t.onreadystatechange=null,i.removeChild(t),t=null},i.appendChild(t)}):r=function(e){setTimeout(h,0,e)}:(a="setImmediate$"+Math.random()+"$",s=function(t){t.source===e&&"string"==typeof t.data&&0===t.data.indexOf(a)&&h(+t.data.slice(a.length))},e.addEventListener?e.addEventListener("message",s,!1):e.attachEvent("onmessage",s),r=function(t){e.postMessage(a+t,"*")}),d.setImmediate=function(e){"function"!=typeof e&&(e=new Function(""+e));for(var t=new Array(arguments.length-1),n=0;n<t.length;n++)t[n]=arguments[n+1];var i={callback:e,args:t};return u[c]=i,r(c),c++},d.clearImmediate=p}function p(e){delete u[e]}function h(e){if(l)setTimeout(h,0,e);else{var t=u[e];if(t){l=!0;try{!function(e){var t=e.callback,n=e.args;switch(n.length){case 0:t();break;case 1:t(n[0]);break;case 2:t(n[0],n[1]);break;case 3:t(n[0],n[1],n[2]);break;default:t.apply(void 0,n)}}(t)}finally{p(e),l=!1}}}}}("undefined"==typeof self?void 0===e?this:e:self)}).call(this,n(46),n(47))},,,,,function(e,t,n){"use strict";n.r(t);var r=n(45),i=n(28),o=n(14),a=n(29),s=n.n(a),c=n(11),u={name:"FilesSettings",data:function(){return{showWorkspace:OCA.Text.RichWorkspaceEnabled}},methods:{toggle:function(){this.showWorkspace?(Object(o.emit)("Text::showRichWorkspace"),s.a.post(Object(c.generateUrl)("/apps/text/settings"),{key:"workspace_enabled",value:"1"})):(Object(o.emit)("Text::hideRichWorkspace"),s.a.post(Object(c.generateUrl)("/apps/text/settings"),{key:"workspace_enabled",value:"0"}))}}},l=n(18),f=Object(l.a)(u,(function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{attrs:{id:"files-setting-richworkspace"}},[n("input",{directives:[{name:"model",rawName:"v-model",value:e.showWorkspace,expression:"showWorkspace"}],staticClass:"checkbox",attrs:{id:"showRichWorkspacesToggle",type:"checkbox"},domProps:{checked:Array.isArray(e.showWorkspace)?e._i(e.showWorkspace,null)>-1:e.showWorkspace},on:{change:[function(t){var n=e.showWorkspace,r=t.target,i=!!r.checked;if(Array.isArray(n)){var o=e._i(n,null);r.checked?o<0&&(e.showWorkspace=n.concat([null])):o>-1&&(e.showWorkspace=n.slice(0,o).concat(n.slice(o+1)))}else e.showWorkspace=i},e.toggle]}}),e._v(" "),n("label",{attrs:{for:"showRichWorkspacesToggle"}},[e._v(e._s(e.t("text","Show rich workspaces")))])])}),[],!1,null,null,null).exports,d=n(48);
/*
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
diff --git a/js/files.js.map b/js/files.js.map
index c7ffc2ee2..8939c7def 100644
--- a/js/files.js.map
+++ b/js/files.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///webpack/bootstrap","webpack:///./node_modules/core-js/internals/fails.js","webpack:///./node_modules/core-js/internals/well-known-symbol.js","webpack:///./node_modules/core-js/internals/global.js","webpack:///./node_modules/axios/lib/utils.js","webpack:///./node_modules/core-js/internals/has.js","webpack:///./node_modules/core-js/internals/an-object.js","webpack:///./node_modules/core-js/internals/is-object.js","webpack:///./node_modules/core-js/internals/descriptors.js","webpack:///./node_modules/core-js/internals/object-define-property.js","webpack:///./node_modules/core-js/internals/create-non-enumerable-property.js","webpack:///./node_modules/core-js/internals/export.js","webpack:///./node_modules/@nextcloud/router/dist/index.js","webpack:///./node_modules/core-js/internals/redefine.js","webpack:///./src/helpers/mime.js","webpack:///./node_modules/@nextcloud/event-bus/dist/index.js","webpack:///./node_modules/core-js/internals/to-length.js","webpack:///./node_modules/core-js/internals/to-object.js","webpack:///./node_modules/core-js/internals/iterators.js","webpack:///./node_modules/vue-loader/lib/runtime/componentNormalizer.js","webpack:///./node_modules/core-js/internals/classof-raw.js","webpack:///./node_modules/core-js/internals/internal-state.js","webpack:///./node_modules/core-js/internals/require-object-coercible.js","webpack:///./node_modules/core-js/internals/get-built-in.js","webpack:///./node_modules/core-js/internals/to-integer.js","webpack:///./node_modules/core-js/internals/create-property-descriptor.js","webpack:///./node_modules/core-js/internals/to-indexed-object.js","webpack:///./node_modules/core-js/internals/hidden-keys.js","webpack:///./src/views/RichWorkspace.vue?c293","webpack:///src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?d0bc","webpack:///./src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?97cd","webpack:///./src/helpers/files.js","webpack:///./node_modules/@nextcloud/axios/dist/index.js","webpack:///./node_modules/core-js/internals/function-bind-context.js","webpack:///./node_modules/core-js/internals/array-method-uses-to-length.js","webpack:///./node_modules/core-js/internals/object-create.js","webpack:///./node_modules/core-js/internals/set-to-string-tag.js","webpack:///./node_modules/core-js/internals/to-primitive.js","webpack:///./node_modules/core-js/internals/is-pure.js","webpack:///./node_modules/core-js/internals/indexed-object.js","webpack:///./node_modules/core-js/internals/set-global.js","webpack:///./node_modules/core-js/internals/shared-key.js","webpack:///./node_modules/core-js/internals/uid.js","webpack:///./node_modules/core-js/internals/enum-bug-keys.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/constants.js","webpack:///./node_modules/core-js/internals/define-iterator.js","webpack:///./node_modules/core-js/internals/to-string-tag-support.js","webpack:///./node_modules/core-js/internals/regexp-exec.js","webpack:///./node_modules/vue/dist/vue.esm.js","webpack:///(webpack)/buildin/global.js","webpack:///./node_modules/process/browser.js","webpack:///./node_modules/@nextcloud/initial-state/dist/index.js","webpack:///./node_modules/css-loader/dist/runtime/api.js","webpack:///./node_modules/vue-style-loader/lib/listToStyles.js","webpack:///./node_modules/vue-style-loader/lib/addStylesClient.js","webpack:///./node_modules/core-js/modules/es.object.to-string.js","webpack:///./node_modules/core-js/modules/es.array.iterator.js","webpack:///./node_modules/core-js/modules/es.regexp.exec.js","webpack:///./node_modules/core-js/internals/object-get-own-property-descriptor.js","webpack:///./node_modules/core-js/internals/is-forced.js","webpack:///./node_modules/core-js/internals/array-method-has-species-support.js","webpack:///./node_modules/core-js/internals/an-instance.js","webpack:///./node_modules/core-js/modules/es.object.assign.js","webpack:///./node_modules/core-js/internals/array-species-create.js","webpack:///./node_modules/core-js/modules/es.array.concat.js","webpack:///./node_modules/core-js/internals/document-create-element.js","webpack:///./node_modules/core-js/internals/inspect-source.js","webpack:///./node_modules/core-js/internals/array-iteration.js","webpack:///./node_modules/core-js/internals/array-method-is-strict.js","webpack:///./node_modules/core-js/internals/engine-v8-version.js","webpack:///./node_modules/core-js/internals/iterate.js","webpack:///./node_modules/core-js/internals/classof.js","webpack:///./node_modules/core-js/internals/string-multibyte.js","webpack:///./node_modules/core-js/internals/object-property-is-enumerable.js","webpack:///./node_modules/core-js/internals/ie8-dom-define.js","webpack:///./node_modules/core-js/internals/shared-store.js","webpack:///./node_modules/core-js/internals/shared.js","webpack:///./node_modules/core-js/internals/object-keys-internal.js","webpack:///./node_modules/core-js/internals/array-includes.js","webpack:///./node_modules/core-js/internals/object-get-own-property-symbols.js","webpack:///./node_modules/core-js/internals/object-keys.js","webpack:///./node_modules/axios/lib/helpers/bind.js","webpack:///./node_modules/axios/lib/helpers/buildURL.js","webpack:///./node_modules/axios/lib/cancel/isCancel.js","webpack:///./node_modules/axios/lib/defaults.js","webpack:///./node_modules/axios/lib/adapters/xhr.js","webpack:///./node_modules/axios/lib/core/createError.js","webpack:///./node_modules/axios/lib/core/mergeConfig.js","webpack:///./node_modules/axios/lib/cancel/Cancel.js","webpack:///./node_modules/core-js/modules/es.array.for-each.js","webpack:///./node_modules/core-js/internals/array-for-each.js","webpack:///./node_modules/core-js/internals/is-array.js","webpack:///./node_modules/core-js/internals/native-symbol.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/re.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/debug.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/classes/semver.js","webpack:///./node_modules/core-js/internals/iterators-core.js","webpack:///./node_modules/core-js/internals/object-get-prototype-of.js","webpack:///./node_modules/core-js/internals/object-set-prototype-of.js","webpack:///./node_modules/core-js/internals/internal-metadata.js","webpack:///./node_modules/core-js/internals/dom-iterables.js","webpack:///./node_modules/core-js/internals/regexp-flags.js","webpack:///./node_modules/core-js/modules/es.regexp.to-string.js","webpack:///./node_modules/core-js/modules/es.string.iterator.js","webpack:///./node_modules/core-js/modules/es.string.replace.js","webpack:///./node_modules/core-js/modules/web.dom-collections.iterator.js","webpack:///./node_modules/core-js/modules/es.array.index-of.js","webpack:///./node_modules/core-js/internals/a-function.js","webpack:///./node_modules/core-js/internals/create-property.js","webpack:///./node_modules/core-js/internals/get-iterator-method.js","webpack:///./node_modules/core-js/internals/object-get-own-property-names.js","webpack:///./node_modules/core-js/internals/to-absolute-index.js","webpack:///./node_modules/@nextcloud/auth/dist/index.js","webpack:///./node_modules/core-js/modules/es.array.filter.js","webpack:///./node_modules/core-js/internals/inherit-if-required.js","webpack:///./node_modules/core-js/internals/redefine-all.js","webpack:///./node_modules/core-js/internals/object-assign.js","webpack:///./node_modules/core-js/internals/engine-user-agent.js","webpack:///./node_modules/core-js/internals/object-define-properties.js","webpack:///./node_modules/core-js/internals/html.js","webpack:///./node_modules/core-js/internals/create-iterator-constructor.js","webpack:///./node_modules/core-js/internals/is-array-iterator-method.js","webpack:///./node_modules/core-js/internals/call-with-safe-iteration-closing.js","webpack:///./node_modules/core-js/internals/check-correctness-of-iteration.js","webpack:///./node_modules/core-js/internals/set-species.js","webpack:///./node_modules/core-js/internals/fix-regexp-well-known-symbol-logic.js","webpack:///./node_modules/core-js/internals/advance-string-index.js","webpack:///./node_modules/core-js/internals/regexp-exec-abstract.js","webpack:///./node_modules/core-js/internals/native-weak-map.js","webpack:///./node_modules/core-js/internals/copy-constructor-properties.js","webpack:///./node_modules/core-js/internals/own-keys.js","webpack:///./node_modules/core-js/internals/path.js","webpack:///./node_modules/axios/index.js","webpack:///./node_modules/axios/lib/axios.js","webpack:///./node_modules/axios/lib/core/Axios.js","webpack:///./node_modules/axios/lib/core/InterceptorManager.js","webpack:///./node_modules/axios/lib/core/dispatchRequest.js","webpack:///./node_modules/axios/lib/core/transformData.js","webpack:///./node_modules/axios/lib/helpers/normalizeHeaderName.js","webpack:///./node_modules/axios/lib/core/settle.js","webpack:///./node_modules/axios/lib/core/enhanceError.js","webpack:///./node_modules/axios/lib/core/buildFullPath.js","webpack:///./node_modules/axios/lib/helpers/isAbsoluteURL.js","webpack:///./node_modules/axios/lib/helpers/combineURLs.js","webpack:///./node_modules/axios/lib/helpers/parseHeaders.js","webpack:///./node_modules/axios/lib/helpers/isURLSameOrigin.js","webpack:///./node_modules/axios/lib/helpers/cookies.js","webpack:///./node_modules/axios/lib/cancel/CancelToken.js","webpack:///./node_modules/axios/lib/helpers/spread.js","webpack:///./node_modules/@nextcloud/auth/dist/requesttoken.js","webpack:///./node_modules/core-js/internals/use-symbol-as-uid.js","webpack:///./node_modules/@nextcloud/event-bus/dist/ProxyBus.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/valid.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/parse.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/identifiers.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/major.js","webpack:///./node_modules/@nextcloud/event-bus/dist/SimpleBus.js","webpack:///./node_modules/core-js/internals/add-to-unscopables.js","webpack:///./node_modules/core-js/internals/correct-prototype-getter.js","webpack:///./node_modules/core-js/internals/a-possible-prototype.js","webpack:///./node_modules/core-js/modules/es.map.js","webpack:///./node_modules/core-js/internals/collection.js","webpack:///./node_modules/core-js/internals/freezing.js","webpack:///./node_modules/core-js/internals/collection-strong.js","webpack:///./node_modules/core-js/internals/object-to-string.js","webpack:///./node_modules/core-js/modules/web.dom-collections.for-each.js","webpack:///./node_modules/@nextcloud/auth/dist/user.js","webpack:///./node_modules/core-js/internals/regexp-sticky-helpers.js","webpack:///./src/views/RichWorkspace.vue?f1c0","webpack:///./src/views/RichWorkspace.vue?8915","webpack:///./node_modules/node-libs-browser/node_modules/timers-browserify/main.js","webpack:///./node_modules/setimmediate/setImmediate.js","webpack:///./src/views/FilesSettings.vue?ff73","webpack:///src/views/FilesSettings.vue","webpack:///./src/views/FilesSettings.vue","webpack:///./src/views/FilesSettings.vue?a9ba","webpack:///./src/files.js"],"names":["webpackJsonpCallback","data","moduleId","chunkId","chunkIds","moreModules","i","resolves","length","Object","prototype","hasOwnProperty","call","installedChunks","push","modules","parentJsonpFunction","shift","installedModules","193","0","__webpack_require__","exports","module","l","e","promises","installedChunkData","promise","Promise","resolve","reject","onScriptComplete","script","document","createElement","charset","timeout","nc","setAttribute","src","p","jsonpScriptSrc","error","Error","event","onerror","onload","clearTimeout","chunk","errorType","type","realSrc","target","message","name","request","undefined","setTimeout","head","appendChild","all","m","c","d","getter","o","defineProperty","enumerable","get","r","Symbol","toStringTag","value","t","mode","__esModule","ns","create","key","bind","n","object","property","oe","err","console","jsonpArray","window","oldJsonpFunction","slice","s","exec","global","shared","has","uid","NATIVE_SYMBOL","USE_SYMBOL_AS_UID","WellKnownSymbolsStore","createWellKnownSymbol","withoutSetter","it","Math","check","globalThis","self","Function","toString","isArray","val","isUndefined","isObject","isFunction","forEach","obj","fn","isArrayBuffer","isBuffer","constructor","isFormData","FormData","isArrayBufferView","ArrayBuffer","isView","buffer","isString","isNumber","isDate","isFile","isBlob","isStream","pipe","isURLSearchParams","URLSearchParams","isStandardBrowserEnv","navigator","product","merge","result","assignValue","arguments","deepMerge","extend","a","b","thisArg","trim","str","replace","TypeError","String","fails","DESCRIPTORS","IE8_DOM_DEFINE","anObject","toPrimitive","nativeDefineProperty","f","O","P","Attributes","definePropertyModule","createPropertyDescriptor","getOwnPropertyDescriptor","createNonEnumerableProperty","redefine","setGlobal","copyConstructorProperties","isForced","options","source","targetProperty","sourceProperty","descriptor","TARGET","GLOBAL","STATIC","stat","noTargetGet","forced","sham","getRootUrl","generateFilePath","imagePath","generateUrl","generateOcsUrl","generateRemoteUrl","linkTo","app","file","service","location","protocol","host","linkToRemoteBase","version","url","params","allOptions","assign","escape","noRewrite","_build","text","vars","encodeURIComponent","charAt","OC","config","modRewriteWorking","indexOf","isCore","coreApps","link","substring","appswebroots","encodeURI","webroot","inspectSource","InternalStateModule","getInternalState","enforceInternalState","enforce","TEMPLATE","split","unsafe","simple","join","this","openMimetypesMarkdown","openMimetypesPlainText","openMimetypes","subscribe","handler","bus","unsubscribe","emit","_ProxyBus","_SimpleBus","_eventBus","_nc_event_bus","warn","ProxyBus","SimpleBus","toInteger","min","argument","requireObjectCoercible","normalizeComponent","scriptExports","render","staticRenderFns","functionalTemplate","injectStyles","scopeId","moduleIdentifier","shadowMode","hook","_compiled","functional","_scopeId","context","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","originalRender","h","existing","beforeCreate","concat","set","NATIVE_WEAK_MAP","objectHas","sharedKey","hiddenKeys","WeakMap","store","wmget","wmhas","wmset","metadata","STATE","getterFor","TYPE","state","path","aFunction","variable","namespace","method","ceil","floor","isNaN","bitmap","configurable","writable","IndexedObject","content","locals","default","_vm","_h","$createElement","_c","_self","class","loaded","ready","focus","darkTheme","canCreate","attrs","staticClass","on","createNew","_v","_s","_e","directives","rawName","expression","id","shareToken","mimetype","autofocus","$event","unfocus","reset","optimalPath","from","to","current","pop","relativePath","fill","absolutePath","registerFileCreate","newFileMenuPlugin","attach","menu","fileList","addMenuEntry","displayName","templateName","iconClass","fileType","actionHandler","createFile","then","status","fileInfoModel","OCA","Files","FileInfoModel","Viewer","fileActions","triggerAction","Plugins","register","registerFileActionFallback","mime","sharingToken","getElementById","dir","ViewerRoot","body","PERMISSION_UPDATE","PERMISSION_READ","filename","FileList","findFile","imports","getCurrentDirectory","Vue","Editor","props","fileId","active","mimeType","$mount","setDefault","FilesWorkspacePlugin","el","registerHeader","priority","vm","RichWorkspace","propsData","$el","_axios","_auth","client","headers","requesttoken","getRequestToken","cancelableClient","CancelToken","isCancel","onRequestTokenUpdate","token","defaults","_default","that","apply","cache","thrower","METHOD_NAME","ACCESSORS","argument0","argument1","activeXDocument","defineProperties","enumBugKeys","html","documentCreateElement","IE_PROTO","EmptyConstructor","scriptTag","LT","NullProtoObject","domain","ActiveXObject","iframeDocument","iframe","write","close","temp","parentWindow","NullProtoObjectViaActiveX","style","display","contentWindow","open","F","Properties","TO_STRING_TAG","wellKnownSymbol","TAG","input","PREFERRED_STRING","valueOf","classof","propertyIsEnumerable","keys","postfix","random","MAX_SAFE_INTEGER","Number","SEMVER_SPEC_VERSION","MAX_LENGTH","MAX_SAFE_COMPONENT_LENGTH","$","createIteratorConstructor","getPrototypeOf","setPrototypeOf","setToStringTag","IS_PURE","Iterators","IteratorsCore","IteratorPrototype","BUGGY_SAFARI_ITERATORS","ITERATOR","returnThis","Iterable","NAME","IteratorConstructor","next","DEFAULT","IS_SET","FORCED","CurrentIteratorPrototype","methods","KEY","getIterationMethod","KIND","defaultIterator","IterablePrototype","INCORRECT_VALUES_NAME","nativeIterator","anyNativeIterator","entries","values","proto","test","re1","re2","regexpFlags","stickyHelpers","nativeExec","RegExp","nativeReplace","patchedExec","UPDATES_LAST_INDEX_WRONG","lastIndex","UNSUPPORTED_Y","BROKEN_CARET","NPCG_INCLUDED","reCopy","match","re","sticky","flags","charsAdded","strCopy","multiline","index","emptyObject","freeze","isUndef","v","isDef","isTrue","isPrimitive","_toString","isPlainObject","isRegExp","isValidArrayIndex","parseFloat","isFinite","isPromise","catch","Array","JSON","stringify","toNumber","makeMap","expectsLowerCase","map","list","toLowerCase","isBuiltInTag","isReservedAttribute","remove","arr","item","splice","hasOwn","cached","camelizeRE","camelize","_","toUpperCase","capitalize","hyphenateRE","hyphenate","ctx","boundFn","_length","toArray","start","ret","_from","toObject","res","noop","no","identity","looseEqual","isObjectA","isObjectB","isArrayA","isArrayB","every","Date","getTime","keysA","keysB","looseIndexOf","once","called","ASSET_TYPES","LIFECYCLE_HOOKS","optionMergeStrategies","silent","productionTip","devtools","performance","errorHandler","warnHandler","ignoredElements","keyCodes","isReservedTag","isReservedAttr","isUnknownElement","getTagNamespace","parsePlatformTagName","mustUseProp","async","_lifecycleHooks","unicodeRegExp","isReserved","charCodeAt","def","bailRE","_isServer","hasProto","inBrowser","inWeex","WXEnvironment","platform","weexPlatform","UA","userAgent","isIE","isIE9","isEdge","isIOS","isFF","nativeWatch","watch","supportsPassive","opts","addEventListener","isServerRendering","env","VUE_ENV","__VUE_DEVTOOLS_GLOBAL_HOOK__","isNative","Ctor","_Set","hasSymbol","Reflect","ownKeys","Set","clear","Dep","subs","addSub","sub","removeSub","depend","addDep","notify","update","targetStack","pushTarget","popTarget","VNode","tag","children","elm","componentOptions","asyncFactory","fnContext","fnOptions","fnScopeId","componentInstance","raw","isStatic","isRootInsert","isComment","isCloned","isOnce","asyncMeta","isAsyncPlaceholder","prototypeAccessors","child","createEmptyVNode","node","createTextVNode","cloneVNode","vnode","cloned","arrayProto","arrayMethods","original","args","len","inserted","ob","__ob__","observeArray","dep","arrayKeys","getOwnPropertyNames","shouldObserve","toggleObserving","Observer","vmCount","__proto__","protoAugment","copyAugment","walk","observe","asRootData","isExtensible","_isVue","defineReactive$$1","customSetter","shallow","setter","childOb","dependArray","newVal","max","del","items","strats","mergeData","toVal","fromVal","mergeDataOrFn","parentVal","childVal","instanceData","defaultData","mergeHook","hooks","dedupeHooks","mergeAssets","key$1","inject","computed","provide","defaultStrat","mergeOptions","normalizeProps","normalized","normalizeInject","dirs","def$$1","normalizeDirectives","_base","extends","mixins","mergeField","strat","resolveAsset","warnMissing","assets","camelizedId","PascalCaseId","validateProp","propOptions","prop","absent","booleanIndex","getTypeIndex","Boolean","stringIndex","_props","getType","getPropDefaultValue","prevShouldObserve","isSameType","expectedTypes","handleError","info","cur","$parent","errorCaptured","globalHandleError","invokeWithErrorHandling","_handled","logError","timerFunc","isUsingMicroTask","callbacks","pending","flushCallbacks","copies","MutationObserver","setImmediate","counter","observer","textNode","createTextNode","characterData","nextTick","cb","_resolve","seenObjects","traverse","_traverse","seen","isA","isFrozen","depId","normalizeEvent","passive","once$$1","capture","createFnInvoker","fns","invoker","arguments$1","updateListeners","oldOn","remove$$1","createOnceHandler","old","mergeVNodeHook","hookKey","oldHook","wrappedHook","merged","checkProp","hash","altKey","preserve","normalizeChildren","normalizeArrayChildren","nestedIndex","last","isTextNode","_isVList","resolveInject","provideKey","_provided","provideDefault","resolveSlots","slots","slot","name$1","isWhitespace","normalizeScopedSlots","normalSlots","prevSlots","hasNormalSlots","isStable","$stable","$key","_normalized","$hasNormal","normalizeScopedSlot","key$2","proxyNormalSlot","proxy","renderList","iterator","done","renderSlot","fallback","bindObject","nodes","scopedSlotFn","$scopedSlots","$slots","resolveFilter","isKeyNotMatch","expect","actual","checkKeyCodes","eventKeyCode","builtInKeyCode","eventKeyName","builtInKeyName","mappedKeyCode","bindObjectProps","asProp","isSync","loop","domProps","camelizedKey","hyphenatedKey","renderStatic","isInFor","_staticTrees","tree","markStatic","_renderProxy","markOnce","markStaticNode","bindObjectListeners","ours","resolveScopedSlots","hasDynamicKeys","contentHashKey","bindDynamicKeys","baseObj","prependModifier","symbol","installRenderHelpers","_o","_n","_l","_t","_q","_i","_m","_f","_k","_b","_u","_g","_d","_p","FunctionalRenderContext","contextVm","this$1","_original","isCompiled","needNormalization","listeners","injections","scopedSlots","cloneAndMarkFunctionalResult","renderContext","clone","mergeProps","componentVNodeHooks","init","hydrating","_isDestroyed","keepAlive","mountedNode","prepatch","_isComponent","_parentVnode","inlineTemplate","createComponentInstanceForVnode","activeInstance","oldVnode","parentVnode","renderChildren","newScopedSlots","oldScopedSlots","hasDynamicScopedSlot","needsForceUpdate","_renderChildren","_vnode","$attrs","$listeners","propKeys","_propKeys","oldListeners","_parentListeners","updateComponentListeners","$forceUpdate","updateChildComponent","insert","_isMounted","callHook","_inactive","activatedChildren","activateChildComponent","destroy","deactivateChildComponent","direct","_directInactive","isInInactiveTree","$children","$destroy","hooksToMerge","createComponent","baseCtor","cid","factory","errorComp","resolved","owner","currentRenderingInstance","owners","loading","loadingComp","sync","timerLoading","timerTimeout","$on","forceRender","renderCompleted","ensureCtor","reason","component","delay","resolveAsyncComponent","createAsyncPlaceholder","resolveConstructorOptions","model","callback","transformModel","extractPropsFromVNodeData","vnodes","createFunctionalComponent","nativeOn","abstract","toMerge","_merged","mergeHook$1","installComponentHooks","f1","f2","normalizationType","alwaysNormalize","is","simpleNormalizeChildren","pre","applyNS","force","registerDeepBindings","_createElement","comp","base","getFirstComponentChild","remove$1","$off","_target","onceHandler","setActiveInstance","prevActiveInstance","handlers","j","_hasHookEvent","$emit","queue","waiting","flushing","currentFlushTimestamp","getNow","now","createEvent","timeStamp","flushSchedulerQueue","watcher","sort","before","run","activatedQueue","updatedQueue","callActivatedHooks","_watcher","callUpdatedHooks","uid$2","Watcher","expOrFn","isRenderWatcher","_watchers","deep","user","lazy","dirty","deps","newDeps","depIds","newDepIds","segments","parsePath","cleanupDeps","tmp","queueWatcher","oldValue","evaluate","teardown","_isBeingDestroyed","sharedPropertyDefinition","sourceKey","initState","propsOptions","initProps","initMethods","_data","getData","initData","watchers","_computedWatchers","isSSR","userDef","computedWatcherOptions","defineComputed","initComputed","createWatcher","initWatch","shouldCache","createComputedGetter","createGetterInvoker","$watch","uid$3","super","superOptions","modifiedOptions","modified","latest","sealed","sealedOptions","resolveModifiedOptions","extendOptions","components","_init","initExtend","Super","SuperId","cachedCtors","_Ctor","Sub","Comp","initProps$1","initComputed$1","mixin","use","getComponentName","matches","pattern","pruneCache","keepAliveInstance","filter","cachedNode","pruneCacheEntry","cached$$1","_uid","vnodeComponentOptions","_componentTag","initInternalComponent","$refs","initLifecycle","_events","initEvents","parentData","initRender","initInjections","initProvide","initMixin","dataDef","propsDef","$set","$delete","immediate","stateMixin","hookRE","$once","i$1","cbs","eventsMixin","_update","prevEl","prevVnode","restoreActiveInstance","__patch__","__vue__","lifecycleMixin","$nextTick","_render","ref","renderMixin","patternTypes","builtInComponents","KeepAlive","include","exclude","created","destroyed","mounted","parseInt","configDef","util","defineReactive","delete","observable","plugin","installedPlugins","_installedPlugins","unshift","install","initUse","initMixin$1","definition","initAssetRegisters","initGlobalAPI","acceptValue","attr","isEnumeratedAttr","isValidContentEditableValue","isBooleanAttr","xlinkNS","isXlink","getXlinkProp","isFalsyAttrValue","genClassForVnode","parentNode","childNode","mergeClassData","dynamicClass","stringifyClass","renderClass","stringified","stringifyArray","stringifyObject","namespaceMap","svg","math","isHTMLTag","isSVG","unknownElementCache","isTextInputType","query","selected","querySelector","nodeOps","tagName","multiple","createElementNS","createComment","insertBefore","newNode","referenceNode","removeChild","nextSibling","setTextContent","textContent","setStyleScope","registerRef","isRemoval","refs","refInFor","emptyNode","sameVnode","typeA","typeB","sameInputType","createKeyToOldIdx","beginIdx","endIdx","updateDirectives","oldDir","isCreate","isDestroy","oldDirs","normalizeDirectives$1","newDirs","dirsWithInsert","dirsWithPostpatch","oldArg","arg","callHook$1","componentUpdated","callInsert","emptyModifiers","modifiers","getRawDirName","baseModules","updateAttrs","inheritAttrs","oldAttrs","setAttr","removeAttributeNS","removeAttribute","baseSetAttr","convertEnumeratedValue","setAttributeNS","__ieph","blocker","stopImmediatePropagation","removeEventListener","updateClass","oldData","cls","transitionClass","_transitionClasses","_prevClass","chr","index$1","expressionPos","expressionEndPos","klass","validDivisionCharRE","parseFilters","exp","prev","filters","inSingle","inDouble","inTemplateString","inRegex","curly","square","paren","lastFilterIndex","pushFilter","wrapFilter","baseWarn","msg","range","pluckModuleFunction","addProp","dynamic","rangeSetItem","plain","addAttr","dynamicAttrs","addRawAttr","attrsMap","attrsList","addDirective","isDynamicArg","prependModifierMarker","addHandler","important","events","right","middle","native","nativeEvents","newHandler","getBindingAttr","getStatic","dynamicValue","getAndRemoveAttr","staticValue","removeFromMap","getAndRemoveAttrByRegex","end","genComponentModel","number","valueExpression","assignment","genAssignmentCode","lastIndexOf","eof","isStringStart","parseString","parseBracket","parseModel","inBracket","stringQuote","target$1","createOnceHandler$1","remove$2","useMicrotaskFix","add$1","attachedTimestamp","_wrapper","currentTarget","ownerDocument","updateDOMListeners","change","normalizeEvents","svgContainer","updateDOMProps","oldProps","childNodes","_value","strCur","shouldUpdateValue","innerHTML","firstChild","checkVal","composing","notInFocus","activeElement","isNotInFocusAndDirty","_vModifiers","isDirtyWithModifiers","parseStyleText","cssText","propertyDelimiter","normalizeStyleData","normalizeStyleBinding","staticStyle","bindingStyle","emptyStyle","cssVarRE","importantRE","setProp","setProperty","normalizedName","normalize","vendorNames","capName","updateStyle","oldStaticStyle","oldStyleBinding","normalizedStyle","oldStyle","newStyle","checkChild","styleData","getStyle","whitespaceRE","addClass","classList","getAttribute","removeClass","tar","resolveTransition","css","autoCssTransition","enterClass","enterToClass","enterActiveClass","leaveClass","leaveToClass","leaveActiveClass","hasTransition","transitionProp","transitionEndEvent","animationProp","animationEndEvent","ontransitionend","onwebkittransitionend","onanimationend","onwebkitanimationend","raf","requestAnimationFrame","nextFrame","addTransitionClass","transitionClasses","removeTransitionClass","whenTransitionEnds","expectedType","getTransitionInfo","propCount","ended","onEnd","transformRE","styles","getComputedStyle","transitionDelays","transitionDurations","transitionTimeout","getTimeout","animationDelays","animationDurations","animationTimeout","hasTransform","delays","durations","toMs","enter","toggleDisplay","_leaveCb","cancelled","transition","_enterCb","nodeType","appearClass","appearToClass","appearActiveClass","beforeEnter","afterEnter","enterCancelled","beforeAppear","appear","afterAppear","appearCancelled","duration","transitionNode","isAppear","startClass","activeClass","toClass","beforeEnterHook","enterHook","afterEnterHook","enterCancelledHook","explicitEnterDuration","expectsCSS","userWantsControl","getHookArgumentsLength","show","pendingNode","_pending","isValidDuration","leave","rm","beforeLeave","afterLeave","leaveCancelled","delayLeave","explicitLeaveDuration","performLeave","invokerFns","_enter","patch","backend","removeNode","createElm","insertedVnodeQueue","parentElm","refElm","nested","ownerArray","isReactivated","initComponent","innerNode","activate","reactivateComponent","setScope","createChildren","invokeCreateHooks","pendingInsert","isPatchable","ref$$1","ancestor","addVnodes","startIdx","invokeDestroyHook","removeVnodes","ch","removeAndInvokeRemoveHook","childElm","createRmCb","findIdxInOld","oldCh","patchVnode","removeOnly","hydrate","newCh","oldKeyToIdx","idxInOld","vnodeToMove","oldStartIdx","newStartIdx","oldEndIdx","oldStartVnode","oldEndVnode","newEndIdx","newStartVnode","newEndVnode","canMove","updateChildren","postpatch","invokeInsertHook","initial","isRenderedModule","inVPre","hasChildNodes","childrenMatch","fullInvoke","isInitialPatch","isRealElement","hasAttribute","oldElm","patchable","i$2","createPatchFunction","vmodel","trigger","directive","binding","_vOptions","setSelected","getValue","onCompositionStart","onCompositionEnd","prevOptions","curOptions","some","hasNoMatchingOption","actuallySetSelected","isMultiple","option","selectedIndex","initEvent","dispatchEvent","locateNode","platformDirectives","transition$$1","originalDisplay","__vOriginalDisplay","unbind","transitionProps","getRealChild","compOptions","extractTransitionData","placeholder","rawChild","isNotTextNode","isVShowDirective","Transition","hasParentTransition","_leaving","oldRawChild","oldChild","isSameChild","delayedLeave","moveClass","callPendingCbs","_moveCb","recordPosition","newPos","getBoundingClientRect","applyTranslation","oldPos","pos","dx","left","dy","top","moved","transform","WebkitTransform","transitionDuration","platformComponents","TransitionGroup","beforeMount","kept","prevChildren","rawChildren","transitionData","removed","c$1","updated","hasMove","_reflow","offsetHeight","propertyName","_hasMove","cloneNode","HTMLUnknownElement","HTMLElement","updateComponent","mountComponent","defaultTagRE","regexEscapeRE","buildRegex","delimiters","klass$1","staticKeys","transformNode","classBinding","genData","decoder","style$1","styleBinding","he","isUnaryTag","canBeLeftOpenTag","isNonPhrasingTag","attribute","dynamicArgAttribute","ncname","qnameCapture","startTagOpen","startTagClose","endTag","doctype","comment","conditionalComment","isPlainTextElement","reCache","decodingMap","encodedAttr","encodedAttrWithNewLines","isIgnoreNewlineTag","shouldIgnoreFirstNewline","decodeAttr","shouldDecodeNewlines","warn$2","transforms","preTransforms","postTransforms","platformIsPreTag","platformMustUseProp","platformGetTagNamespace","onRE","dirRE","forAliasRE","forIteratorRE","stripParensRE","dynamicArgRE","argRE","bindRE","modifierRE","slotRE","lineBreakRE","whitespaceRE$1","decodeHTMLCached","createASTElement","makeAttrsMap","rawAttrsMap","parse","template","isPreTag","root","currentParent","stack","preserveWhitespace","whitespaceOption","whitespace","inPre","closeElement","element","trimEndingWhitespace","processed","processElement","if","elseif","else","addIfCondition","block","forbidden","findPrevElement","slotScope","slotTarget","lastNode","lastTag","expectHTML","isUnaryTag$$1","canBeLeftOpenTag$$1","endTagLength","stackedTag","reStackedTag","rest$1","chars","parseEndTag","textEnd","commentEnd","shouldKeepComment","advance","conditionalEnd","doctypeMatch","endTagMatch","curIndex","startTagMatch","parseStartTag","handleStartTag","rest","unarySlash","unary","shouldDecodeNewlinesForHref","lowerCasedTag","lowerCasedTagName","parseHTML","comments","outputSourceRange","start$1","ieNSBug","ieNSPrefix","guardIESVGBug","processPre","processRawAttrs","processFor","processIf","processOnce","end$1","tagRE","tokenValue","tokens","rawTokens","parseText","processKey","for","checkInFor","processRef","slotTargetDynamic","getRawBindingAttr","slotBinding","getSlotName","slotBinding$1","ref$1","dynamic$1","slotContainer","processSlotContent","slotName","processComponent","syncGen","isDynamic","hasBindings","parseModifiers","camel","argMatch","processAttrs","inMatch","alias","iteratorMatch","iterator1","iterator2","parseFor","condition","ifConditions","cloneASTElement","modules$1","preTransformNode","typeBinding","ifCondition","ifConditionExtra","hasElse","elseIfCondition","branch0","branch1","branch2","isStaticKey","isPlatformReservedTag","baseOptions","_warn","code","genSelect","valueBinding","trueValueBinding","falseValueBinding","genCheckboxModel","genRadioModel","needCompositionGuard","genDefaultModel","reduce","genStaticKeys","genStaticKeysCached","optimize","markStatic$1","static","isDirectChildOfTemplateFor","l$1","markStaticRoots","staticInFor","staticRoot","fnExpRE","fnInvokeRE","simplePathRE","esc","tab","space","up","down","keyNames","genGuard","modifierCode","stop","prevent","ctrl","alt","meta","genHandlers","prefix","staticHandlers","dynamicHandlers","handlerCode","genHandler","isMethodPath","isFunctionExpression","isFunctionInvocation","genModifierCode","keyModifier","genFilterCode","genKeyFilter","keyVal","keyCode","keyName","baseDirectives","wrapListeners","wrapData","cloak","CodegenState","dataGenFns","maybeComponent","onceId","generate","ast","genElement","staticProcessed","genStatic","onceProcessed","genOnce","forProcessed","genFor","ifProcessed","genIf","genChildren","genProps","bind$$1","genSlot","componentName","genData$2","genComponent","originalPreState","altGen","altEmpty","genIfConditions","conditions","genTernaryExp","altHelper","needRuntime","hasRuntime","gen","genDirectives","containsSlotChild","needsKey","generatedSlots","genScopedSlot","inlineRenderFns","genInlineTemplate","isLegacySyntax","reverseProxy","checkSkip","altGenElement","altGenNode","el$1","normalizationType$1","needsNormalization","getNormalizationType","genNode","genComment","transformSpecialNewlines","genText","staticProps","dynamicProps","createFunction","errors","createCompileToFunctionFn","compile","compiled","fnGenErrors","baseCompile","div","finalOptions","tips","tip","compileToFunctions","getShouldDecode","href","idToTemplate","mount","documentElement","outerHTML","container","getOuterHTML","g","cachedSetTimeout","cachedClearTimeout","process","defaultSetTimout","defaultClearTimeout","runTimeout","fun","currentQueue","draining","queueIndex","cleanUpNextTick","drainQueue","marker","runClearTimeout","Item","array","title","browser","argv","versions","addListener","off","removeListener","removeAllListeners","prependListener","prependOnceListener","cwd","chdir","umask","loadState","elem","atob","useSourceMap","cssMapping","btoa","sourceMapping","sourceMap","base64","unescape","sourceURLs","sources","sourceRoot","cssWithMappingToString","mediaQuery","dedupe","alreadyImportedModules","listToStyles","parentId","newStyles","part","media","parts","hasDocument","DEBUG","stylesInDom","getElementsByTagName","singletonElement","singletonCounter","isProduction","isOldIE","addStylesClient","_isProduction","_options","addStylesToDom","newList","mayRemove","domStyle","addStyle","createStyleElement","styleElement","styleIndex","applyToSingletonTag","applyToTag","newObj","textStore","replaceText","replacement","styleSheet","cssNode","ssrId","TO_STRING_TAG_SUPPORT","toIndexedObject","addToUnscopables","defineIterator","setInternalState","iterated","kind","Arguments","propertyIsEnumerableModule","nativeGetOwnPropertyDescriptor","feature","detection","POLYFILL","NATIVE","string","V8_VERSION","SPECIES","foo","Constructor","originalArray","C","toLength","createProperty","arraySpeciesCreate","arrayMethodHasSpeciesSupport","IS_CONCAT_SPREADABLE","IS_CONCAT_SPREADABLE_SUPPORT","SPECIES_SUPPORT","isConcatSpreadable","spreadable","k","E","A","EXISTS","functionToString","createMethod","IS_MAP","IS_FILTER","IS_SOME","IS_EVERY","IS_FIND_INDEX","NO_HOLES","$this","callbackfn","specificCreate","boundFunction","find","findIndex","v8","isArrayIteratorMethod","getIteratorMethod","callWithSafeIterationClosing","Result","stopped","iterable","AS_ENTRIES","IS_ITERATOR","iterFn","step","classofRaw","CORRECT_ARGUMENTS","tryGet","callee","CONVERT_TO_STRING","first","second","S","position","size","codeAt","nativePropertyIsEnumerable","NASHORN_BUG","1","V","copyright","names","toAbsoluteIndex","IS_INCLUDES","fromIndex","includes","getOwnPropertySymbols","internalObjectKeys","utils","encode","paramsSerializer","serializedParams","toISOString","hashmarkIndex","__CANCEL__","normalizeHeaderName","DEFAULT_CONTENT_TYPE","setContentTypeIfUnset","adapter","XMLHttpRequest","transformRequest","transformResponse","xsrfCookieName","xsrfHeaderName","maxContentLength","validateStatus","common","settle","buildURL","buildFullPath","parseHeaders","isURLSameOrigin","createError","requestData","requestHeaders","auth","username","password","Authorization","fullPath","baseURL","onreadystatechange","readyState","responseURL","responseHeaders","getAllResponseHeaders","response","responseType","responseText","statusText","onabort","ontimeout","timeoutErrorMessage","cookies","xsrfValue","withCredentials","read","setRequestHeader","onDownloadProgress","onUploadProgress","upload","cancelToken","cancel","abort","send","enhanceError","config1","config2","valueFromConfig2Keys","mergeDeepPropertiesKeys","defaultToConfig2Keys","axiosKeys","otherKeys","Cancel","$forEach","arrayMethodIsStrict","arrayMethodUsesToLength","STRICT_METHOD","USES_TO_LENGTH","debug","R","createToken","isGlobal","NUMERICIDENTIFIER","NUMERICIDENTIFIERLOOSE","NONNUMERICIDENTIFIER","PRERELEASEIDENTIFIER","PRERELEASEIDENTIFIERLOOSE","BUILDIDENTIFIER","MAINVERSION","PRERELEASE","BUILD","FULLPLAIN","MAINVERSIONLOOSE","PRERELEASELOOSE","LOOSEPLAIN","XRANGEIDENTIFIER","XRANGEIDENTIFIERLOOSE","GTLT","XRANGEPLAIN","XRANGEPLAINLOOSE","COERCE","LONETILDE","tildeTrimReplace","LONECARET","caretTrimReplace","comparatorTrimReplace","NODE_DEBUG","compareIdentifiers","SemVer","loose","includePrerelease","LOOSE","FULL","major","minor","prerelease","num","build","format","other","compareMain","comparePre","release","identifier","inc","PrototypeOfArrayIteratorPrototype","arrayIterator","CORRECT_PROTOTYPE_GETTER","ObjectPrototype","aPossiblePrototype","CORRECT_SETTER","FREEZING","METADATA","setMetadata","objectID","weakData","REQUIRED","fastKey","getWeakData","onFreeze","CSSRuleList","CSSStyleDeclaration","CSSValueList","ClientRectList","DOMRectList","DOMStringList","DOMTokenList","DataTransferItemList","HTMLAllCollection","HTMLCollection","HTMLFormElement","HTMLSelectElement","MediaList","MimeTypeArray","NamedNodeMap","NodeList","PaintRequestList","Plugin","PluginArray","SVGLengthList","SVGNumberList","SVGPathSegList","SVGPointList","SVGStringList","SVGTransformList","SourceBufferList","StyleSheetList","TextTrackCueList","TextTrackList","TouchList","ignoreCase","dotAll","unicode","RegExpPrototype","nativeToString","NOT_GENERIC","INCORRECT_NAME","rf","point","fixRegExpWellKnownSymbolLogic","advanceStringIndex","regExpExec","SUBSTITUTION_SYMBOLS","SUBSTITUTION_SYMBOLS_NO_NAMED","REPLACE","maybeCallNative","REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE","REPLACE_KEEPS_$0","UNSAFE_SUBSTITUTE","searchValue","replaceValue","replacer","regexp","rx","functionalReplace","fullUnicode","results","accumulatedResult","nextSourcePosition","matched","captures","namedCaptures","groups","replacerArgs","getSubstitution","tailPos","symbols","DOMIterables","ArrayIteratorMethods","ArrayValues","COLLECTION_NAME","Collection","CollectionPrototype","$indexOf","nativeIndexOf","NEGATIVE_ZERO","searchElement","propertyKey","integer","_requesttoken","_user","getCurrentUser","$filter","HAS_SPECIES_SUPPORT","dummy","Wrapper","NewTarget","NewTargetPrototype","objectKeys","getOwnPropertySymbolsModule","nativeAssign","B","T","argumentsLength","getBuiltIn","ArrayPrototype","ENTRIES","returnMethod","SAFE_CLOSING","iteratorWithReturn","SKIP_CLOSING","ITERATION_SUPPORT","CONSTRUCTOR_NAME","regexpExec","REPLACE_SUPPORTS_NAMED_GROUPS","SPLIT_WORKS_WITH_OVERWRITTEN_EXEC","originalExec","SYMBOL","DELEGATES_TO_SYMBOL","DELEGATES_TO_EXEC","execCalled","nativeRegExpMethod","nativeMethod","arg2","forceStringMethod","stringMethod","regexMethod","getOwnPropertyDescriptorModule","getOwnPropertyNamesModule","Axios","mergeConfig","createInstance","defaultConfig","instance","axios","instanceConfig","spread","InterceptorManager","dispatchRequest","interceptors","chain","interceptor","fulfilled","rejected","getUri","eject","transformData","throwIfCancellationRequested","throwIfRequested","isAxiosError","toJSON","description","fileName","lineNumber","columnNumber","isAbsoluteURL","combineURLs","requestedURL","relativeURL","ignoreDuplicateOf","parsed","line","substr","originURL","msie","urlParsingNode","resolveURL","search","hostname","port","pathname","requestURL","expires","secure","cookie","toGMTString","decodeURIComponent","executor","resolvePromise","observers","tokenElement","_valid","_interopRequireDefault","_major","_defineProperties","packageJson","_classCallCheck","getVersion","protoProps","er","numeric","anum","bnum","rcompareIdentifiers","Map","UNSCOPABLES","collection","collectionStrong","InternalMetadataModule","iterate","anInstance","checkCorrectnessOfIteration","inheritIfRequired","wrapper","IS_WEAK","ADDER","NativeConstructor","NativePrototype","exported","fixMethod","getConstructor","HASNT_CHAINING","THROWS_ON_PRIMITIVES","ACCEPT_ITERABLES","BUGGY_ZERO","$instance","setStrong","preventExtensions","redefineAll","setSpecies","internalStateGetterFor","define","previous","entry","getEntry","ITERATOR_NAME","getInternalCollectionState","getInternalIteratorState","uidElement","displayNameElement","RE","___CSS_LOADER_API_IMPORT___","Timeout","clearFn","_id","_clearFn","scope","setInterval","clearInterval","unref","enroll","msecs","_idleTimeoutId","_idleTimeout","unenroll","_unrefActive","_onTimeout","clearImmediate","registerImmediate","channel","messagePrefix","onGlobalMessage","nextHandle","tasksByHandle","currentlyRunningATask","doc","attachTo","handle","runIfPresent","postMessage","importScripts","postMessageIsAsynchronous","oldOnMessage","onmessage","canUsePostMessage","MessageChannel","port1","port2","attachEvent","task","showWorkspace","$$a","$$el","$$c","checked","$$i","toggle","__webpack_nonce__","requestToken","__webpack_public_path__","workspaceAvailable","workspaceEnabled","Settings","FilesSettings","Setting","Text","RichWorkspaceEnabled"],"mappings":"aACE,SAASA,EAAqBC,GAQ7B,IAPA,IAMIC,EAAUC,EANVC,EAAWH,EAAK,GAChBI,EAAcJ,EAAK,GAKAK,EAAI,EAAGC,EAAW,GACpCD,EAAIF,EAASI,OAAQF,IACzBH,EAAUC,EAASE,GAChBG,OAAOC,UAAUC,eAAeC,KAAKC,EAAiBV,IAAYU,EAAgBV,IACpFI,EAASO,KAAKD,EAAgBV,GAAS,IAExCU,EAAgBV,GAAW,EAE5B,IAAID,KAAYG,EACZI,OAAOC,UAAUC,eAAeC,KAAKP,EAAaH,KACpDa,EAAQb,GAAYG,EAAYH,IAKlC,IAFGc,GAAqBA,EAAoBf,GAEtCM,EAASC,QACdD,EAASU,OAATV,GAOF,IAAIW,EAAmB,GAKnBL,EAAkB,CACrBM,IAAK,EACLC,EAAG,GAWJ,SAASC,EAAoBnB,GAG5B,GAAGgB,EAAiBhB,GACnB,OAAOgB,EAAiBhB,GAAUoB,QAGnC,IAAIC,EAASL,EAAiBhB,GAAY,CACzCI,EAAGJ,EACHsB,GAAG,EACHF,QAAS,IAUV,OANAP,EAAQb,GAAUU,KAAKW,EAAOD,QAASC,EAAQA,EAAOD,QAASD,GAG/DE,EAAOC,GAAI,EAGJD,EAAOD,QAKfD,EAAoBI,EAAI,SAAuBtB,GAC9C,IAAIuB,EAAW,GAKXC,EAAqBd,EAAgBV,GACzC,GAA0B,IAAvBwB,EAGF,GAAGA,EACFD,EAASZ,KAAKa,EAAmB,QAC3B,CAEN,IAAIC,EAAU,IAAIC,SAAQ,SAASC,EAASC,GAC3CJ,EAAqBd,EAAgBV,GAAW,CAAC2B,EAASC,MAE3DL,EAASZ,KAAKa,EAAmB,GAAKC,GAGtC,IACII,EADAC,EAASC,SAASC,cAAc,UAGpCF,EAAOG,QAAU,QACjBH,EAAOI,QAAU,IACbhB,EAAoBiB,IACvBL,EAAOM,aAAa,QAASlB,EAAoBiB,IAElDL,EAAOO,IA1DV,SAAwBrC,GACvB,OAAOkB,EAAoBoB,EAAI,IAAM,CAAC,EAAI,SAAS,EAAI,6DAA6D,EAAI,eAAe,EAAI,iBAAiB,EAAI,sBAAsB,EAAI,yBAAyB,EAAI,gBAAgB,EAAI,wBAAwB,EAAI,mBAAmB,EAAI,wBAAwB,GAAK,mBAAmB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,qBAAqB,GAAK,oBAAoB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,yBAAyB,GAAK,kBAAkB,GAAK,yBAAyB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,eAAe,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,cAAc,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,uBAAuB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,wBAAwB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,eAAe,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,iBAAiB,GAAK,eAAe,GAAK,oBAAoB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,sBAAsB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,2BAA2B,GAAK,uBAAuB,GAAK,iBAAiB,GAAK,gBAAgB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,eAAe,IAAM,kBAAkB,IAAM,gBAAgB,IAAM,sBAAsB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,oBAAoB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,qBAAqB,IAAM,mBAAmB,IAAM,sBAAsB,IAAM,mBAAmB,IAAM,cAAc,IAAM,gBAAgB,IAAM,cAAc,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,0BAA0B,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,kBAAkB,IAAM,sBAAsB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,yBAAyB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,0BAA0B,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,mBAAmB,IAAM,qCAAqC,IAAM,6BAA6B,IAAM,SAAS,IAAM,gBAAgB,IAAM,eAAe,IAAM,cAAc,IAAM,cAAc,IAAM,iBAAiB,IAAM,sBAAsB,IAAM,uBAAuBtC,IAAUA,GAAW,SAAW,CAAC,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,wBAAwBA,GAyDztUuC,CAAevC,GAG5B,IAAIwC,EAAQ,IAAIC,MAChBZ,EAAmB,SAAUa,GAE5BZ,EAAOa,QAAUb,EAAOc,OAAS,KACjCC,aAAaX,GACb,IAAIY,EAAQpC,EAAgBV,GAC5B,GAAa,IAAV8C,EAAa,CACf,GAAGA,EAAO,CACT,IAAIC,EAAYL,IAAyB,SAAfA,EAAMM,KAAkB,UAAYN,EAAMM,MAChEC,EAAUP,GAASA,EAAMQ,QAAUR,EAAMQ,OAAOb,IACpDG,EAAMW,QAAU,iBAAmBnD,EAAU,cAAgB+C,EAAY,KAAOE,EAAU,IAC1FT,EAAMY,KAAO,iBACbZ,EAAMQ,KAAOD,EACbP,EAAMa,QAAUJ,EAChBH,EAAM,GAAGN,GAEV9B,EAAgBV,QAAWsD,IAG7B,IAAIpB,EAAUqB,YAAW,WACxB1B,EAAiB,CAAEmB,KAAM,UAAWE,OAAQpB,MAC1C,MACHA,EAAOa,QAAUb,EAAOc,OAASf,EACjCE,SAASyB,KAAKC,YAAY3B,GAG5B,OAAOJ,QAAQgC,IAAInC,IAIpBL,EAAoByC,EAAI/C,EAGxBM,EAAoB0C,EAAI7C,EAGxBG,EAAoB2C,EAAI,SAAS1C,EAASiC,EAAMU,GAC3C5C,EAAoB6C,EAAE5C,EAASiC,IAClC9C,OAAO0D,eAAe7C,EAASiC,EAAM,CAAEa,YAAY,EAAMC,IAAKJ,KAKhE5C,EAAoBiD,EAAI,SAAShD,GACX,oBAAXiD,QAA0BA,OAAOC,aAC1C/D,OAAO0D,eAAe7C,EAASiD,OAAOC,YAAa,CAAEC,MAAO,WAE7DhE,OAAO0D,eAAe7C,EAAS,aAAc,CAAEmD,OAAO,KAQvDpD,EAAoBqD,EAAI,SAASD,EAAOE,GAEvC,GADU,EAAPA,IAAUF,EAAQpD,EAAoBoD,IAC/B,EAAPE,EAAU,OAAOF,EACpB,GAAW,EAAPE,GAA8B,iBAAVF,GAAsBA,GAASA,EAAMG,WAAY,OAAOH,EAChF,IAAII,EAAKpE,OAAOqE,OAAO,MAGvB,GAFAzD,EAAoBiD,EAAEO,GACtBpE,OAAO0D,eAAeU,EAAI,UAAW,CAAET,YAAY,EAAMK,MAAOA,IACtD,EAAPE,GAA4B,iBAATF,EAAmB,IAAI,IAAIM,KAAON,EAAOpD,EAAoB2C,EAAEa,EAAIE,EAAK,SAASA,GAAO,OAAON,EAAMM,IAAQC,KAAK,KAAMD,IAC9I,OAAOF,GAIRxD,EAAoB4D,EAAI,SAAS1D,GAChC,IAAI0C,EAAS1C,GAAUA,EAAOqD,WAC7B,WAAwB,OAAOrD,EAAgB,SAC/C,WAA8B,OAAOA,GAEtC,OADAF,EAAoB2C,EAAEC,EAAQ,IAAKA,GAC5BA,GAIR5C,EAAoB6C,EAAI,SAASgB,EAAQC,GAAY,OAAO1E,OAAOC,UAAUC,eAAeC,KAAKsE,EAAQC,IAGzG9D,EAAoBoB,EAAI,OAGxBpB,EAAoB+D,GAAK,SAASC,GAA2B,MAApBC,QAAQ3C,MAAM0C,GAAYA,GAEnE,IAAIE,EAAaC,OAAyB,iBAAIA,OAAyB,kBAAK,GACxEC,EAAmBF,EAAWzE,KAAKkE,KAAKO,GAC5CA,EAAWzE,KAAOd,EAClBuF,EAAaA,EAAWG,QACxB,IAAI,IAAIpF,EAAI,EAAGA,EAAIiF,EAAW/E,OAAQF,IAAKN,EAAqBuF,EAAWjF,IAC3E,IAAIU,EAAsByE,EAInBpE,EAAoBA,EAAoBsE,EAAI,K,gBCtMrDpE,EAAOD,QAAU,SAAUsE,GACzB,IACE,QAASA,IACT,MAAOjD,GACP,OAAO,K,gBCJX,IAAIkD,EAAS,EAAQ,GACjBC,EAAS,EAAQ,IACjBC,EAAM,EAAQ,GACdC,EAAM,EAAQ,IACdC,EAAgB,EAAQ,IACxBC,EAAoB,EAAQ,KAE5BC,EAAwBL,EAAO,OAC/BvB,EAASsB,EAAOtB,OAChB6B,EAAwBF,EAAoB3B,EAASA,GAAUA,EAAO8B,eAAiBL,EAE3FzE,EAAOD,QAAU,SAAUiC,GAIvB,OAHGwC,EAAII,EAAuB5C,KAC1B0C,GAAiBF,EAAIxB,EAAQhB,GAAO4C,EAAsB5C,GAAQgB,EAAOhB,GACxE4C,EAAsB5C,GAAQ6C,EAAsB,UAAY7C,IAC9D4C,EAAsB5C,K,iBCfjC,8BACE,OAAO+C,GAAMA,EAAGC,MAAQA,MAAQD,GAIlC/E,EAAOD,QAELkF,EAA2B,iBAAdC,YAA0BA,aACvCD,EAAuB,iBAAVhB,QAAsBA,SACnCgB,EAAqB,iBAARE,MAAoBA,OACjCF,EAAuB,iBAAVX,GAAsBA,IAEnCc,SAAS,cAATA,K,+CCVF,IAAI3B,EAAO,EAAQ,IAMf4B,EAAWnG,OAAOC,UAAUkG,SAQhC,SAASC,EAAQC,GACf,MAA8B,mBAAvBF,EAAShG,KAAKkG,GASvB,SAASC,EAAYD,GACnB,YAAsB,IAARA,EA4EhB,SAASE,EAASF,GAChB,OAAe,OAARA,GAA+B,iBAARA,EAuChC,SAASG,EAAWH,GAClB,MAA8B,sBAAvBF,EAAShG,KAAKkG,GAwEvB,SAASI,EAAQC,EAAKC,GAEpB,GAAID,QAUJ,GALmB,iBAARA,IAETA,EAAM,CAACA,IAGLN,EAAQM,GAEV,IAAK,IAAI7G,EAAI,EAAGkB,EAAI2F,EAAI3G,OAAQF,EAAIkB,EAAGlB,IACrC8G,EAAGxG,KAAK,KAAMuG,EAAI7G,GAAIA,EAAG6G,QAI3B,IAAK,IAAIpC,KAAOoC,EACV1G,OAAOC,UAAUC,eAAeC,KAAKuG,EAAKpC,IAC5CqC,EAAGxG,KAAK,KAAMuG,EAAIpC,GAAMA,EAAKoC,GAoFrC5F,EAAOD,QAAU,CACfuF,QAASA,EACTQ,cApRF,SAAuBP,GACrB,MAA8B,yBAAvBF,EAAShG,KAAKkG,IAoRrBQ,SAhSF,SAAkBR,GAChB,OAAe,OAARA,IAAiBC,EAAYD,IAA4B,OAApBA,EAAIS,cAAyBR,EAAYD,EAAIS,cAChD,mBAA7BT,EAAIS,YAAYD,UAA2BR,EAAIS,YAAYD,SAASR,IA+RhFU,WA5QF,SAAoBV,GAClB,MAA4B,oBAAbW,UAA8BX,aAAeW,UA4Q5DC,kBAnQF,SAA2BZ,GAOzB,MAL4B,oBAAhBa,aAAiCA,YAAkB,OACpDA,YAAYC,OAAOd,GAEnB,GAAUA,EAAU,QAAMA,EAAIe,kBAAkBF,aA+P3DG,SApPF,SAAkBhB,GAChB,MAAsB,iBAARA,GAoPdiB,SA3OF,SAAkBjB,GAChB,MAAsB,iBAARA,GA2OdE,SAAUA,EACVD,YAAaA,EACbiB,OA1NF,SAAgBlB,GACd,MAA8B,kBAAvBF,EAAShG,KAAKkG,IA0NrBmB,OAjNF,SAAgBnB,GACd,MAA8B,kBAAvBF,EAAShG,KAAKkG,IAiNrBoB,OAxMF,SAAgBpB,GACd,MAA8B,kBAAvBF,EAAShG,KAAKkG,IAwMrBG,WAAYA,EACZkB,SAtLF,SAAkBrB,GAChB,OAAOE,EAASF,IAAQG,EAAWH,EAAIsB,OAsLvCC,kBA7KF,SAA2BvB,GACzB,MAAkC,oBAApBwB,iBAAmCxB,aAAewB,iBA6KhEC,qBAjJF,WACE,OAAyB,oBAAdC,WAAoD,gBAAtBA,UAAUC,SACY,iBAAtBD,UAAUC,SACY,OAAtBD,UAAUC,WAI/B,oBAAXjD,QACa,oBAAbtD,WA0ITgF,QAASA,EACTwB,MA/EF,SAASA,IACP,IAAIC,EAAS,GACb,SAASC,EAAY9B,EAAK/B,GACG,iBAAhB4D,EAAO5D,IAAoC,iBAAR+B,EAC5C6B,EAAO5D,GAAO2D,EAAMC,EAAO5D,GAAM+B,GAEjC6B,EAAO5D,GAAO+B,EAIlB,IAAK,IAAIxG,EAAI,EAAGkB,EAAIqH,UAAUrI,OAAQF,EAAIkB,EAAGlB,IAC3C4G,EAAQ2B,UAAUvI,GAAIsI,GAExB,OAAOD,GAmEPG,UAxDF,SAASA,IACP,IAAIH,EAAS,GACb,SAASC,EAAY9B,EAAK/B,GACG,iBAAhB4D,EAAO5D,IAAoC,iBAAR+B,EAC5C6B,EAAO5D,GAAO+D,EAAUH,EAAO5D,GAAM+B,GAErC6B,EAAO5D,GADiB,iBAAR+B,EACFgC,EAAU,GAAIhC,GAEdA,EAIlB,IAAK,IAAIxG,EAAI,EAAGkB,EAAIqH,UAAUrI,OAAQF,EAAIkB,EAAGlB,IAC3C4G,EAAQ2B,UAAUvI,GAAIsI,GAExB,OAAOD,GA0CPI,OA/BF,SAAgBC,EAAGC,EAAGC,GAQpB,OAPAhC,EAAQ+B,GAAG,SAAqBnC,EAAK/B,GAEjCiE,EAAEjE,GADAmE,GAA0B,mBAARpC,EACX9B,EAAK8B,EAAKoC,GAEVpC,KAGNkC,GAwBPG,KAzKF,SAAcC,GACZ,OAAOA,EAAIC,QAAQ,OAAQ,IAAIA,QAAQ,OAAQ,O,cC9KjD,IAAI1I,EAAiB,GAAGA,eAExBY,EAAOD,QAAU,SAAUgF,EAAIvB,GAC7B,OAAOpE,EAAeC,KAAK0F,EAAIvB,K,gBCHjC,IAAIiC,EAAW,EAAQ,GAEvBzF,EAAOD,QAAU,SAAUgF,GACzB,IAAKU,EAASV,GACZ,MAAMgD,UAAUC,OAAOjD,GAAM,qBAC7B,OAAOA,I,cCLX/E,EAAOD,QAAU,SAAUgF,GACzB,MAAqB,iBAAPA,EAAyB,OAAPA,EAA4B,mBAAPA,I,gBCDvD,IAAIkD,EAAQ,EAAQ,GAGpBjI,EAAOD,SAAWkI,GAAM,WACtB,OAA8E,GAAvE/I,OAAO0D,eAAe,GAAI,EAAG,CAAEE,IAAK,WAAc,OAAO,KAAQ,O,gBCJ1E,IAAIoF,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,IACzBC,EAAW,EAAQ,GACnBC,EAAc,EAAQ,IAEtBC,EAAuBpJ,OAAO0D,eAIlC7C,EAAQwI,EAAIL,EAAcI,EAAuB,SAAwBE,EAAGC,EAAGC,GAI7E,GAHAN,EAASI,GACTC,EAAIJ,EAAYI,GAAG,GACnBL,EAASM,GACLP,EAAgB,IAClB,OAAOG,EAAqBE,EAAGC,EAAGC,GAClC,MAAOtH,IACT,GAAI,QAASsH,GAAc,QAASA,EAAY,MAAMX,UAAU,2BAEhE,MADI,UAAWW,IAAYF,EAAEC,GAAKC,EAAWxF,OACtCsF,I,gBClBT,IAAIN,EAAc,EAAQ,GACtBS,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC5I,EAAOD,QAAUmI,EAAc,SAAUvE,EAAQH,EAAKN,GACpD,OAAOyF,EAAqBJ,EAAE5E,EAAQH,EAAKoF,EAAyB,EAAG1F,KACrE,SAAUS,EAAQH,EAAKN,GAEzB,OADAS,EAAOH,GAAON,EACPS,I,gBCRT,IAAIW,EAAS,EAAQ,GACjBuE,EAA2B,EAAQ,IAAmDN,EACtFO,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBC,EAAY,EAAQ,IACpBC,EAA4B,EAAQ,KACpCC,EAAW,EAAQ,IAgBvBlJ,EAAOD,QAAU,SAAUoJ,EAASC,GAClC,IAGYtH,EAAQ0B,EAAK6F,EAAgBC,EAAgBC,EAHrDC,EAASL,EAAQrH,OACjB2H,EAASN,EAAQ7E,OACjBoF,EAASP,EAAQQ,KASrB,GANE7H,EADE2H,EACOnF,EACAoF,EACApF,EAAOkF,IAAWR,EAAUQ,EAAQ,KAEnClF,EAAOkF,IAAW,IAAIrK,UAEtB,IAAKqE,KAAO4F,EAAQ,CAQ9B,GAPAE,EAAiBF,EAAO5F,GAGtB6F,EAFEF,EAAQS,aACVL,EAAaV,EAAyB/G,EAAQ0B,KACf+F,EAAWrG,MACpBpB,EAAO0B,IACtB0F,EAASO,EAASjG,EAAMgG,GAAUE,EAAS,IAAM,KAAOlG,EAAK2F,EAAQU,cAE5C3H,IAAnBmH,EAA8B,CAC3C,UAAWC,UAA0BD,EAAgB,SACrDJ,EAA0BK,EAAgBD,IAGxCF,EAAQW,MAAST,GAAkBA,EAAeS,OACpDhB,EAA4BQ,EAAgB,QAAQ,GAGtDP,EAASjH,EAAQ0B,EAAK8F,EAAgBH,M,6BCjD1C,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAERjK,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQgK,WAAahK,EAAQiK,iBAAmBjK,EAAQkK,UAAYlK,EAAQmK,YAAcnK,EAAQoK,eAAiBpK,EAAQqK,kBAAoBrK,EAAQsK,YAAS,EAsBhKtK,EAAQsK,OAXK,SAAgBC,EAAKC,GAChC,OAAOP,EAAiBM,EAAK,GAAIC,IAkCnCxK,EAAQqK,kBAZgB,SAA2BI,GACjD,OAAOvG,OAAOwG,SAASC,SAAW,KAAOzG,OAAOwG,SAASE,KAXpC,SAA0BH,GAC/C,OAAOT,IAAe,eAAiBS,EAUyBI,CAAiBJ,IAkBnFzK,EAAQoK,eALa,SAAwBK,EAASK,GAEpD,OADAA,EAAsB,IAAZA,EAAgB,EAAI,EACvB5G,OAAOwG,SAASC,SAAW,KAAOzG,OAAOwG,SAASE,KAAOZ,IAAe,SAAWc,EAAU,QAAUL,EAAU,KAoD1HzK,EAAQmK,YAxCU,SAAqBY,EAAKC,EAAQ5B,GAClD,IAAI6B,EAAa9L,OAAO+L,OAAO,CAC7BC,QAAQ,EACRC,WAAW,GACVhC,GAAW,IAEViC,EAAS,SAAgBC,EAAMC,GAEjC,OADAA,EAAOA,GAAQ,GACRD,EAAKvD,QAAQ,eAAe,SAAUL,EAAGC,GAC9C,IAAI3E,EAAIuI,EAAK5D,GAEb,OAAIsD,EAAWE,OACO,iBAANnI,GAA+B,iBAANA,EAAiBwI,mBAAmBxI,EAAEsC,YAAckG,mBAAmB9D,GAE1F,iBAAN1E,GAA+B,iBAANA,EAAiBA,EAAEsC,WAAaoC,MAS7E,MAJsB,MAAlBqD,EAAIU,OAAO,KACbV,EAAM,IAAMA,IAGsB,IAAhCW,GAAGC,OAAOC,mBAA+BX,EAAWG,UAIjDpB,IAAe,aAAeqB,EAAON,EAAKC,GAAU,IAHlDhB,IAAeqB,EAAON,EAAKC,GAAU,KAoChDhL,EAAQkK,UAlBQ,SAAmBK,EAAKC,GACtC,OAA2B,IAAvBA,EAAKqB,QAAQ,KAER5B,EAAiBM,EAAK,MAAOC,EAAO,QAGtCP,EAAiBM,EAAK,MAAOC,IActC,IAAIP,EAAmB,SAA0BM,EAAK1I,EAAM2I,GAC1D,IAAIsB,GAAuC,IAA9BJ,GAAGK,SAASF,QAAQtB,GAC7ByB,EAAOhC,IAiDX,MA/CwC,QAApCQ,EAAKyB,UAAUzB,EAAKtL,OAAS,IAAiB4M,EAYH,QAApCtB,EAAKyB,UAAUzB,EAAKtL,OAAS,IAAiB4M,GAgBrDE,GAHW,aAARzB,GAA8B,SAARA,GAA0B,WAARA,GAA8B,SAAT1I,EAGxD,IAFA,cAKLiK,IACHE,GAAQ,SAGE,KAARzB,IAEFyB,GADAzB,GAAO,KAIL1I,IACFmK,GAAQnK,EAAO,KAGjBmK,GAAQxB,IA/BRwB,EAAON,GAAGQ,aAAa3B,GAEnB1I,IACFmK,GAAQ,IAAMnK,EAAO,KAGiB,MAApCmK,EAAKC,UAAUD,EAAK9M,OAAS,KAC/B8M,GAAQ,KAGVA,GAAQxB,IAtBRwB,GAAQ,mBAAqBzB,EAEhB,cAATC,IACFwB,GAAQ,IAEJnK,IACFmK,GAAQG,UAAUtK,EAAO,MAG3BmK,GAAQxB,IAqCLwB,GAWThM,EAAQiK,iBAAmBA,EAE3B,IAAID,EAAa,WACf,OAAO0B,GAAGU,SAGZpM,EAAQgK,WAAaA,G,gBCjNrB,IAAIzF,EAAS,EAAQ,GACjBwE,EAA8B,EAAQ,GACtCtE,EAAM,EAAQ,GACdwE,EAAY,EAAQ,IACpBoD,EAAgB,EAAQ,IACxBC,EAAsB,EAAQ,IAE9BC,EAAmBD,EAAoBvJ,IACvCyJ,EAAuBF,EAAoBG,QAC3CC,EAAWzE,OAAOA,QAAQ0E,MAAM,WAEnC1M,EAAOD,QAAU,SAAUyI,EAAGhF,EAAKN,EAAOiG,GACzC,IAAIwD,IAASxD,KAAYA,EAAQwD,OAC7BC,IAASzD,KAAYA,EAAQtG,WAC7B+G,IAAcT,KAAYA,EAAQS,YAClB,mBAAT1G,IACS,iBAAPM,GAAoBgB,EAAItB,EAAO,SAAS4F,EAA4B5F,EAAO,OAAQM,GAC9F+I,EAAqBrJ,GAAOkG,OAASqD,EAASI,KAAmB,iBAAPrJ,EAAkBA,EAAM,KAEhFgF,IAAMlE,GAIEqI,GAEA/C,GAAepB,EAAEhF,KAC3BoJ,GAAS,UAFFpE,EAAEhF,GAIPoJ,EAAQpE,EAAEhF,GAAON,EAChB4F,EAA4BN,EAAGhF,EAAKN,IATnC0J,EAAQpE,EAAEhF,GAAON,EAChB8F,EAAUxF,EAAKN,KAUrBkC,SAASjG,UAAW,YAAY,WACjC,MAAsB,mBAAR2N,MAAsBR,EAAiBQ,MAAM1D,QAAUgD,EAAcU,U,6BChCrF;;;;;;;;;;;;;;;;;;;;;;AAsBA,IAAMC,EAAwB,CAC7B,iBAGKC,EAAyB,CAC9B,aACA,kBACA,sBACA,8BACA,uBACA,yBACA,mBACA,qBACA,oBACA,oBACA,kBACA,mBACA,WACA,WACA,YACA,WACA,gBACA,WACA,qBACA,cACA,gBACA,sBAGKC,EAAgB,GAAH,OAAOF,EAA0BC,I,6BCjDpD9N,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQmN,UA8BR,SAAmBlL,EAAMmL,GACvBC,EAAIF,UAAUlL,EAAMmL,IA9BtBpN,EAAQsN,YA0CR,SAAqBrL,EAAMmL,GACzBC,EAAIC,YAAYrL,EAAMmL,IA1CxBpN,EAAQuN,KAoDR,SAActL,EAAMV,GAClB8L,EAAIE,KAAKtL,EAAMV,IAnDjB,IAAIiM,EAAY,EAAQ,KAEpBC,EAAa,EAAQ,KAgBzB,IAAIJ,QAbuB,IAAdnJ,OAAOwH,IAAsBxH,OAAOwH,GAAGgC,gBAA6C,IAAzBxJ,OAAOyJ,gBAC3E3J,QAAQ4J,KAAK,sEACb1J,OAAOyJ,cAAgBzJ,OAAOwH,GAAGgC,gBAIC,IAAzBxJ,OAAOyJ,cACT,IAAIH,EAAUK,SAAS3J,OAAOyJ,eAE9BzJ,OAAOyJ,cAAgB,IAAIF,EAAWK,Y,gBCvBjD,IAAIC,EAAY,EAAQ,IAEpBC,EAAM/I,KAAK+I,IAIf/N,EAAOD,QAAU,SAAUiO,GACzB,OAAOA,EAAW,EAAID,EAAID,EAAUE,GAAW,kBAAoB,I,gBCPrE,IAAIC,EAAyB,EAAQ,IAIrCjO,EAAOD,QAAU,SAAUiO,GACzB,OAAO9O,OAAO+O,EAAuBD,M,cCLvChO,EAAOD,QAAU,I,6BCMF,SAASmO,EACtBC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,GAGA,IAqBIC,EArBAxF,EAAmC,mBAAlBgF,EACjBA,EAAchF,QACdgF,EAsDJ,GAnDIC,IACFjF,EAAQiF,OAASA,EACjBjF,EAAQkF,gBAAkBA,EAC1BlF,EAAQyF,WAAY,GAIlBN,IACFnF,EAAQ0F,YAAa,GAInBL,IACFrF,EAAQ2F,SAAW,UAAYN,GAI7BC,GACFE,EAAO,SAAUI,IAEfA,EACEA,GACCjC,KAAKkC,QAAUlC,KAAKkC,OAAOC,YAC3BnC,KAAKoC,QAAUpC,KAAKoC,OAAOF,QAAUlC,KAAKoC,OAAOF,OAAOC,aAEZ,oBAAxBE,sBACrBJ,EAAUI,qBAGRZ,GACFA,EAAalP,KAAKyN,KAAMiC,GAGtBA,GAAWA,EAAQK,uBACrBL,EAAQK,sBAAsBC,IAAIZ,IAKtCtF,EAAQmG,aAAeX,GACdJ,IACTI,EAAOD,EACH,WACAH,EAAalP,KACXyN,MACC3D,EAAQ0F,WAAa/B,KAAKoC,OAASpC,MAAMyC,MAAMC,SAASC,aAG3DlB,GAGFI,EACF,GAAIxF,EAAQ0F,WAAY,CAGtB1F,EAAQuG,cAAgBf,EAExB,IAAIgB,EAAiBxG,EAAQiF,OAC7BjF,EAAQiF,OAAS,SAAmCwB,EAAGb,GAErD,OADAJ,EAAKtP,KAAK0P,GACHY,EAAeC,EAAGb,QAEtB,CAEL,IAAIc,EAAW1G,EAAQ2G,aACvB3G,EAAQ2G,aAAeD,EACnB,GAAGE,OAAOF,EAAUlB,GACpB,CAACA,GAIT,MAAO,CACL5O,QAASoO,EACThF,QAASA,GA/Fb,mC,cCAA,IAAI9D,EAAW,GAAGA,SAElBrF,EAAOD,QAAU,SAAUgF,GACzB,OAAOM,EAAShG,KAAK0F,GAAIZ,MAAM,GAAI,K,gBCHrC,IASI6L,EAAKlN,EAAK0B,EATVyL,EAAkB,EAAQ,KAC1B3L,EAAS,EAAQ,GACjBmB,EAAW,EAAQ,GACnBqD,EAA8B,EAAQ,GACtCoH,EAAY,EAAQ,GACpBC,EAAY,EAAQ,IACpBC,EAAa,EAAQ,IAErBC,EAAU/L,EAAO+L,QAgBrB,GAAIJ,EAAiB,CACnB,IAAIK,EAAQ,IAAID,EACZE,EAAQD,EAAMxN,IACd0N,EAAQF,EAAM9L,IACdiM,EAAQH,EAAMN,IAClBA,EAAM,SAAUjL,EAAI2L,GAElB,OADAD,EAAMpR,KAAKiR,EAAOvL,EAAI2L,GACfA,GAET5N,EAAM,SAAUiC,GACd,OAAOwL,EAAMlR,KAAKiR,EAAOvL,IAAO,IAElCP,EAAM,SAAUO,GACd,OAAOyL,EAAMnR,KAAKiR,EAAOvL,QAEtB,CACL,IAAI4L,EAAQR,EAAU,SACtBC,EAAWO,IAAS,EACpBX,EAAM,SAAUjL,EAAI2L,GAElB,OADA5H,EAA4B/D,EAAI4L,EAAOD,GAChCA,GAET5N,EAAM,SAAUiC,GACd,OAAOmL,EAAUnL,EAAI4L,GAAS5L,EAAG4L,GAAS,IAE5CnM,EAAM,SAAUO,GACd,OAAOmL,EAAUnL,EAAI4L,IAIzB3Q,EAAOD,QAAU,CACfiQ,IAAKA,EACLlN,IAAKA,EACL0B,IAAKA,EACLgI,QA/CY,SAAUzH,GACtB,OAAOP,EAAIO,GAAMjC,EAAIiC,GAAMiL,EAAIjL,EAAI,KA+CnC6L,UA5Cc,SAAUC,GACxB,OAAO,SAAU9L,GACf,IAAI+L,EACJ,IAAKrL,EAASV,KAAQ+L,EAAQhO,EAAIiC,IAAKnD,OAASiP,EAC9C,MAAM9I,UAAU,0BAA4B8I,EAAO,aACnD,OAAOC,M,cClBb9Q,EAAOD,QAAU,SAAUgF,GACzB,GAAU7C,MAAN6C,EAAiB,MAAMgD,UAAU,wBAA0BhD,GAC/D,OAAOA,I,gBCJT,IAAIgM,EAAO,EAAQ,KACfzM,EAAS,EAAQ,GAEjB0M,EAAY,SAAUC,GACxB,MAA0B,mBAAZA,EAAyBA,OAAW/O,GAGpDlC,EAAOD,QAAU,SAAUmR,EAAWC,GACpC,OAAO7J,UAAUrI,OAAS,EAAI+R,EAAUD,EAAKG,KAAeF,EAAU1M,EAAO4M,IACzEH,EAAKG,IAAcH,EAAKG,GAAWC,IAAW7M,EAAO4M,IAAc5M,EAAO4M,GAAWC,K,cCT3F,IAAIC,EAAOpM,KAAKoM,KACZC,EAAQrM,KAAKqM,MAIjBrR,EAAOD,QAAU,SAAUiO,GACzB,OAAOsD,MAAMtD,GAAYA,GAAY,GAAKA,EAAW,EAAIqD,EAAQD,GAAMpD,K,cCNzEhO,EAAOD,QAAU,SAAUwR,EAAQrO,GACjC,MAAO,CACLL,aAAuB,EAAT0O,GACdC,eAAyB,EAATD,GAChBE,WAAqB,EAATF,GACZrO,MAAOA,K,gBCJX,IAAIwO,EAAgB,EAAQ,IACxBzD,EAAyB,EAAQ,IAErCjO,EAAOD,QAAU,SAAUgF,GACzB,OAAO2M,EAAczD,EAAuBlJ,M,cCL9C/E,EAAOD,QAAU,I,gBCGjB,IAAI4R,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAAC3R,EAAOjB,EAAI4S,EAAS,MAC7DA,EAAQC,SAAQ5R,EAAOD,QAAU4R,EAAQC,SAG/BvC,EADH,EAAQ,IAA+DwC,SAChE,WAAYF,GAAS,EAAM,K,2UC4C5C,4CACA,uECrD6L,EDuD7L,CACA,qBACA,YACA,0GAEA,OACA,MACA,YACA,cAGA,KAXA,WAYA,OACA,SACA,YACA,UACA,UACA,SACA,aACA,8DACA,wCAGA,UACA,WADA,WAEA,mGAEA,UAJA,WAKA,qEAEA,mBAPA,WAQA,kEAGA,OACA,KADA,WAEA,oBAEA,MAJA,SAIA,GACA,GACA,kDAIA,QA5CA,WA4CA,I,EAAA,c,EAAA,sHACA,WACA,gBAEA,0DACA,aACA,mBAEA,0DACA,gBATA,0C,kLAYA,SACA,QADA,aAIA,MAJA,WAIA,WACA,eACA,cACA,2BACA,cACA,oBAGA,YAZA,WAYA,WACA,eACA,kBACA,cACA,uBAIA,OAHA,IACA,8BAEA,wCACA,sBAKA,OAJA,wBACA,cACA,aACA,aACA,KACA,mBACA,+BAMA,OALA,wBACA,YACA,YACA,WACA,eACA,MAGA,UArCA,WAqCA,WACA,gBAGA,iBACA,qCACA,eACA,GACA,qFACA,0B,iBE1Ie,EAXC,YACd,GCTW,WAAa,IAAIG,EAAIhF,KAASiF,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAQD,EAAW,QAAEG,EAAG,MAAM,CAACE,MAAM,CAAC,gBAAiBL,EAAIM,SAAWN,EAAIO,MAAO,MAASP,EAAIQ,MAAO,KAAQR,EAAIS,UAAW,UAAaT,EAAIU,WAAWC,MAAM,CAAC,GAAK,mBAAmB,CAAEX,EAAsB,mBAAEG,EAAG,MAAM,CAACS,YAAY,kBAAkBC,GAAG,CAAC,MAAQb,EAAIc,YAAY,CAACX,EAAG,IAAI,CAACS,YAAY,eAAe,CAACZ,EAAIe,GAAG,WAAWf,EAAIgB,GAAGhB,EAAI3O,EAAE,OAAQ,gCAAgC,cAAc2O,EAAIiB,KAAKjB,EAAIe,GAAG,KAAMf,EAAQ,KAAEG,EAAG,gBAAgB,CAACe,WAAW,CAAC,CAAChR,KAAK,OAAOiR,QAAQ,SAAS/P,MAAO4O,EAAS,MAAEoB,WAAW,UAAU1P,IAAIsO,EAAIvH,KAAK4I,GAAGV,MAAM,CAAC,UAAUX,EAAIvH,KAAK4I,GAAG,gBAAgBrB,EAAIvH,KAAKwG,KAAK,cAAce,EAAIsB,WAAW,QAAS,EAAK,UAAW,EAAK,KAAOtB,EAAIvH,KAAK8I,SAAS,UAAYvB,EAAIwB,WAAWX,GAAG,CAAC,MAAQ,SAASY,GAAQzB,EAAIO,OAAM,GAAM,MAAQ,SAASkB,GAAQzB,EAAIQ,OAAM,GAAM,KAAOR,EAAI0B,QAAQ,MAAQ1B,EAAI2B,SAAS3B,EAAIiB,MAAM,GAAGjB,EAAIiB,OACh6B,IDWpB,EACA,KACA,WACA,M,QEaIW,EAAc,SAASC,EAAMC,GAClC,IAAMC,EAAUF,EAAKjH,MAAM,KACrB5K,EAAS8R,EAAGlH,MAAM,KAExB,IADAmH,EAAQC,MACDD,EAAQ,KAAO/R,EAAO,IAC5B+R,EAAQnU,QACRoC,EAAOpC,QAER,IAAMqU,EAAeF,EAAQG,KAAK,MAAMjE,OAAOjO,GACzCmS,EAAeL,EAAGlH,MAAM,KAC9B,OAAOqH,EAAa9U,OAASgV,EAAahV,OACvC8U,EAAalH,KAAK,KAClB+G,GAGEM,EAAqB,WAC1B,IAAMC,EAAoB,CACzBC,OADyB,SAClBC,GACN,IAAMC,EAAWD,EAAKC,SAGF,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,IAKxCkB,EAAKE,aAAa,CACjBpB,GAAI,OACJqB,YAAarR,EAAE,OAAQ,qBACvBsR,aAActR,EAAE,OAAQ,qBAAuB,MAC/CuR,UAAW,qBACXC,SAAU,OACVC,cANiB,SAMH5S,GACbsS,EAASO,WAAW7S,GAAM8S,MAAK,SAASC,EAAQrW,GAC/C,IAAMsW,EAAgB,IAAIC,IAAIC,MAAMC,cAAczW,QACxB,IAAfuW,IAAIG,OACdH,IAAIC,MAAMG,YAAYC,cAAc,OAAQN,EAAeV,QAC3B,IAAfW,IAAIG,QACrBH,IAAIC,MAAMG,YAAYC,cAxCE,qBAwCoCN,EAAeV,WAOjF7I,GAAG8J,QAAQC,SAAS,wBAAyBrB,IAGxCsB,EAA6B,WAClC,IAOyBC,EAPnBC,EAAehV,SAASiV,eAAe,gBAAkBjV,SAASiV,eAAe,gBAAgB1S,MAAQ,KACzG2S,EAAMlV,SAASiV,eAAe,OAAO1S,MAE3C,IAAKyS,GAAwB,KAARE,EAAY,CAChC,IAAMC,EAAanV,SAASC,cAAc,OAC1CkV,EAAW3C,GAAK,uBAChBxS,SAASoV,KAAK1T,YAAYyT,GAmC1B,IAlCA,IAkCS/W,EAAI,EAAGA,EAAIkO,IAAchO,OAAQF,IAlClB2W,EAmCRzI,IAAclO,GAnCGkW,IAAIC,MAAMG,YAAYG,SACtDE,EA3D4B,qBA6D5BjK,GAAGuK,kBAAoBvK,GAAGwK,gBAC1BhM,oBAAU,OAAQ,mBAClB,SAACiM,GACA,IAAM3L,EAAOtG,OAAOkS,SAASC,SAASF,GACtC5V,QAAQgC,IAAI,CACX,6BACA,iFACEwS,MAAK,SAACuB,GACR,IAAMtF,EAAO9M,OAAOkS,SAASG,sBAAwB,IAAMJ,EACrDK,EAAMF,EAAQ,GAAGxE,QACvB0E,EAAIpX,UAAUgE,EAAIc,OAAOd,EACzBoT,EAAIpX,UAAUuE,EAAIO,OAAOP,EACzB6S,EAAIpX,UAAU8V,IAAMhR,OAAOgR,IAC3B,IAAMuB,EAASH,EAAQ,GAAGxE,QACf,IAAI0E,EAAI,CAClBnI,OAAQ,SAAAwB,GAAC,OAAIA,EAAE4G,EAAQ,CACtBC,MAAO,CACNC,OAAQnM,EAAOA,EAAK4I,GAAK,KACzBwD,QAAQ,EACRvD,WAAYuC,EACZ5B,aAAchD,EACd6F,SAAUrM,EAAK8I,eAIfwD,OAAOf,QAGZ3S,EAAE,OAAQ,SAKV8R,IAAIC,MAAMG,YAAYyB,WAAW7J,IAAclO,GA9FnB,wBAoGzBgY,EAAuB,CAE5BC,GAAI,KAEJ5C,OAJ4B,SAIrBE,GACc,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,KAIxCrG,KAAKkK,GAAKrW,SAASC,cAAc,OACjC0T,EAAS2C,eAAe,CACvB9D,GAAI,YACJ6D,GAAIlK,KAAKkK,GACT5I,OAAQtB,KAAKsB,OAAO3K,KAAKqJ,MACzBoK,SAAU,OAIZ9I,OAlB4B,SAkBrBkG,GAAU,WACI,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,IAIxC,6BAAc2B,MAAK,SAAC9U,GACnB,IAAMuW,EAAMvW,EAAO6R,QACnB,EAAKmF,GAAG7D,GAAK,0BACboD,EAAIpX,UAAUgE,EAAIc,OAAOd,EACzBoT,EAAIpX,UAAUuE,EAAIO,OAAOP,EACzB6S,EAAIpX,UAAU8V,IAAMhR,OAAOgR,IAC3B,IACMkC,EAAK,IADEZ,EAAI/O,OAAO4P,GACb,CAAS,CACnBC,UAAW,CACVtG,KAAMuD,EAASgC,yBAEdO,OAAO,EAAKG,IAEf1C,EAASgD,IAAI3E,GAAG,mBAAmB,SAAAjU,GAClCyY,EAAGpG,KAAOrS,EAAKmX,IAAIxQ,oB,6BCjKvB,EAAQ,IAERnG,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ8R,aAAU,EAElB,IAIgCjM,EAJ5B2R,GAI4B3R,EAJI,EAAQ,OAISA,EAAIvC,WAAauC,EAAM,CAAEiM,QAASjM,GAFnF4R,EAAQ,EAAQ,KAIpB,IAAIC,EAASF,EAAO1F,QAAQtO,OAAO,CACjCmU,QAAS,CACPC,cAAc,EAAIH,EAAMI,sBAIxBC,EAAmB3Y,OAAO+L,OAAOwM,EAAQ,CAC3CK,YAAaP,EAAO1F,QAAQiG,YAC5BC,SAAUR,EAAO1F,QAAQkG,YAE3B,EAAIP,EAAMQ,uBAAsB,SAAUC,GACxC,OAAOR,EAAOS,SAASR,QAAQC,aAAeM,KAEhD,IAAIE,EAAWN,EACf9X,EAAQ8R,QAAUsG,G,gBC7BlB,IAAInH,EAAY,EAAQ,KAGxBhR,EAAOD,QAAU,SAAU8F,EAAIuS,EAAMnZ,GAEnC,GADA+R,EAAUnL,QACG3D,IAATkW,EAAoB,OAAOvS,EAC/B,OAAQ5G,GACN,KAAK,EAAG,OAAO,WACb,OAAO4G,EAAGxG,KAAK+Y,IAEjB,KAAK,EAAG,OAAO,SAAU3Q,GACvB,OAAO5B,EAAGxG,KAAK+Y,EAAM3Q,IAEvB,KAAK,EAAG,OAAO,SAAUA,EAAGC,GAC1B,OAAO7B,EAAGxG,KAAK+Y,EAAM3Q,EAAGC,IAE1B,KAAK,EAAG,OAAO,SAAUD,EAAGC,EAAGlF,GAC7B,OAAOqD,EAAGxG,KAAK+Y,EAAM3Q,EAAGC,EAAGlF,IAG/B,OAAO,WACL,OAAOqD,EAAGwS,MAAMD,EAAM9Q,c,gBCrB1B,IAAIY,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChBzD,EAAM,EAAQ,GAEd5B,EAAiB1D,OAAO0D,eACxB0V,EAAQ,GAERC,EAAU,SAAUxT,GAAM,MAAMA,GAEpC/E,EAAOD,QAAU,SAAUyY,EAAarP,GACtC,GAAI3E,EAAI8T,EAAOE,GAAc,OAAOF,EAAME,GACrCrP,IAASA,EAAU,IACxB,IAAIgI,EAAS,GAAGqH,GACZC,IAAYjU,EAAI2E,EAAS,cAAeA,EAAQsP,UAChDC,EAAYlU,EAAI2E,EAAS,GAAKA,EAAQ,GAAKoP,EAC3CI,EAAYnU,EAAI2E,EAAS,GAAKA,EAAQ,QAAKjH,EAE/C,OAAOoW,EAAME,KAAiBrH,IAAWlJ,GAAM,WAC7C,GAAIwQ,IAAcvQ,EAAa,OAAO,EACtC,IAAIM,EAAI,CAAEvJ,QAAS,GAEfwZ,EAAW7V,EAAe4F,EAAG,EAAG,CAAE3F,YAAY,EAAMC,IAAKyV,IACxD/P,EAAE,GAAK,EAEZ2I,EAAO9R,KAAKmJ,EAAGkQ,EAAWC,Q,gBCxB9B,IAmDIC,EAnDAxQ,EAAW,EAAQ,GACnByQ,EAAmB,EAAQ,KAC3BC,EAAc,EAAQ,IACtB1I,EAAa,EAAQ,IACrB2I,EAAO,EAAQ,KACfC,EAAwB,EAAQ,IAChC7I,EAAY,EAAQ,IAMpB8I,EAAW9I,EAAU,YAErB+I,EAAmB,aAEnBC,EAAY,SAAUxH,GACxB,MAAOyH,WAAmBzH,EAAnByH,cAmCLC,EAAkB,WACpB,IAEET,EAAkBjY,SAAS2Y,QAAU,IAAIC,cAAc,YACvD,MAAOnY,IA1BoB,IAIzBoY,EAFAC,EAyBJJ,EAAkBT,EApCY,SAAUA,GACxCA,EAAgBc,MAAMP,EAAU,KAChCP,EAAgBe,QAChB,IAAIC,EAAOhB,EAAgBiB,aAAa3a,OAExC,OADA0Z,EAAkB,KACXgB,EA+B6BE,CAA0BlB,KAzB1Da,EAAST,EAAsB,WAG5Be,MAAMC,QAAU,OACvBjB,EAAK1W,YAAYoX,GAEjBA,EAAOxY,IAAM+G,OALJ,gBAMTwR,EAAiBC,EAAOQ,cAActZ,UACvBuZ,OACfV,EAAeE,MAAMP,EAAU,sBAC/BK,EAAeG,QACRH,EAAeW,GAgBtB,IADA,IAAIlb,EAAS6Z,EAAY7Z,OAClBA,YAAiBoa,EAAyB,UAAEP,EAAY7Z,IAC/D,OAAOoa,KAGTjJ,EAAW6I,IAAY,EAIvBjZ,EAAOD,QAAUb,OAAOqE,QAAU,SAAgBiF,EAAG4R,GACnD,IAAIhT,EAQJ,OAPU,OAANoB,GACF0Q,EAA0B,UAAI9Q,EAASI,GACvCpB,EAAS,IAAI8R,EACbA,EAA0B,UAAI,KAE9B9R,EAAO6R,GAAYzQ,GACdpB,EAASiS,SACMnX,IAAfkY,EAA2BhT,EAASyR,EAAiBzR,EAAQgT,K,gBC5EtE,IAAIxX,EAAiB,EAAQ,GAAuC2F,EAChE/D,EAAM,EAAQ,GAGd6V,EAFkB,EAAQ,EAEVC,CAAgB,eAEpCta,EAAOD,QAAU,SAAUgF,EAAIwV,EAAK7Q,GAC9B3E,IAAOP,EAAIO,EAAK2E,EAAS3E,EAAKA,EAAG5F,UAAWkb,IAC9CzX,EAAemC,EAAIsV,EAAe,CAAE7I,cAAc,EAAMtO,MAAOqX,M,gBCRnE,IAAI9U,EAAW,EAAQ,GAMvBzF,EAAOD,QAAU,SAAUya,EAAOC,GAChC,IAAKhV,EAAS+U,GAAQ,OAAOA,EAC7B,IAAI3U,EAAIN,EACR,GAAIkV,GAAoD,mBAAxB5U,EAAK2U,EAAMnV,YAA4BI,EAASF,EAAMM,EAAGxG,KAAKmb,IAAS,OAAOjV,EAC9G,GAAmC,mBAAvBM,EAAK2U,EAAME,WAA2BjV,EAASF,EAAMM,EAAGxG,KAAKmb,IAAS,OAAOjV,EACzF,IAAKkV,GAAoD,mBAAxB5U,EAAK2U,EAAMnV,YAA4BI,EAASF,EAAMM,EAAGxG,KAAKmb,IAAS,OAAOjV,EAC/G,MAAMwC,UAAU,6C,cCZlB/H,EAAOD,SAAU,G,gBCAjB,IAAIkI,EAAQ,EAAQ,GAChB0S,EAAU,EAAQ,IAElBjO,EAAQ,GAAGA,MAGf1M,EAAOD,QAAUkI,GAAM,WAGrB,OAAQ/I,OAAO,KAAK0b,qBAAqB,MACtC,SAAU7V,GACb,MAAsB,UAAf4V,EAAQ5V,GAAkB2H,EAAMrN,KAAK0F,EAAI,IAAM7F,OAAO6F,IAC3D7F,Q,gBCZJ,IAAIoF,EAAS,EAAQ,GACjBwE,EAA8B,EAAQ,GAE1C9I,EAAOD,QAAU,SAAUyD,EAAKN,GAC9B,IACE4F,EAA4BxE,EAAQd,EAAKN,GACzC,MAAO9B,GACPkD,EAAOd,GAAON,EACd,OAAOA,I,gBCRX,IAAIqB,EAAS,EAAQ,IACjBE,EAAM,EAAQ,IAEdoW,EAAOtW,EAAO,QAElBvE,EAAOD,QAAU,SAAUyD,GACzB,OAAOqX,EAAKrX,KAASqX,EAAKrX,GAAOiB,EAAIjB,M,cCNvC,IAAI2P,EAAK,EACL2H,EAAU9V,KAAK+V,SAEnB/a,EAAOD,QAAU,SAAUyD,GACzB,MAAO,UAAYwE,YAAe9F,IAARsB,EAAoB,GAAKA,GAAO,QAAU2P,EAAK2H,GAASzV,SAAS,M,cCH7FrF,EAAOD,QAAU,CACf,cACA,iBACA,gBACA,uBACA,iBACA,WACA,Y,cCNF,MAGMib,EAAmBC,OAAOD,kBACH,iBAK7Bhb,EAAOD,QAAU,CACfmb,oBAV0B,QAW1BC,WATiB,IAUjBH,mBACAI,0BANgC,K,6BCRlC,IAAIC,EAAI,EAAQ,IACZC,EAA4B,EAAQ,KACpCC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzB3S,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBuR,EAAkB,EAAQ,GAC1BoB,EAAU,EAAQ,IAClBC,EAAY,EAAQ,IACpBC,EAAgB,EAAQ,IAExBC,EAAoBD,EAAcC,kBAClCC,EAAyBF,EAAcE,uBACvCC,EAAWzB,EAAgB,YAK3B0B,EAAa,WAAc,OAAOlP,MAEtC9M,EAAOD,QAAU,SAAUkc,EAAUC,EAAMC,EAAqBC,EAAMC,EAASC,EAAQC,GACrFjB,EAA0Ba,EAAqBD,EAAME,GAErD,IAkBII,EAA0BC,EAASC,EAlBnCC,EAAqB,SAAUC,GACjC,GAAIA,IAASP,GAAWQ,EAAiB,OAAOA,EAChD,IAAKf,GAA0Bc,KAAQE,EAAmB,OAAOA,EAAkBF,GACnF,OAAQA,GACN,IAbK,OAcL,IAbO,SAcP,IAbQ,UAaM,OAAO,WAAqB,OAAO,IAAIT,EAAoBrP,KAAM8P,IAC/E,OAAO,WAAc,OAAO,IAAIT,EAAoBrP,QAGpDuN,EAAgB6B,EAAO,YACvBa,GAAwB,EACxBD,EAAoBb,EAAS9c,UAC7B6d,EAAiBF,EAAkBf,IAClCe,EAAkB,eAClBT,GAAWS,EAAkBT,GAC9BQ,GAAmBf,GAA0BkB,GAAkBL,EAAmBN,GAClFY,EAA4B,SAARf,GAAkBY,EAAkBI,SAA4BF,EAiCxF,GA7BIC,IACFT,EAA2BjB,EAAe0B,EAAkB5d,KAAK,IAAI4c,IACjEJ,IAAsB3c,OAAOC,WAAaqd,EAAyBJ,OAChEV,GAAWH,EAAeiB,KAA8BX,IACvDL,EACFA,EAAegB,EAA0BX,GACa,mBAAtCW,EAAyBT,IACzCjT,EAA4B0T,EAA0BT,EAAUC,IAIpEP,EAAee,EAA0BnC,GAAe,GAAM,GAC1DqB,IAASC,EAAUtB,GAAiB2B,KAzCjC,UA8CPK,GAAqBW,GA9Cd,WA8CgCA,EAAehb,OACxD+a,GAAwB,EACxBF,EAAkB,WAAoB,OAAOG,EAAe3d,KAAKyN,QAI7D4O,IAAWa,GAAWO,EAAkBf,KAAcc,GAC1D/T,EAA4BgU,EAAmBf,EAAUc,GAE3DlB,EAAUO,GAAQW,EAGdR,EAMF,GALAI,EAAU,CACRU,OAAQR,EA5DD,UA6DP9B,KAAMyB,EAASO,EAAkBF,EA9D5B,QA+DLO,QAASP,EA7DD,YA+DNJ,EAAQ,IAAKG,KAAOD,GAClBX,GAA0BiB,KAA2BL,KAAOI,KAC9D/T,EAAS+T,EAAmBJ,EAAKD,EAAQC,SAEtCrB,EAAE,CAAEvZ,OAAQoa,EAAMkB,OAAO,EAAMvT,OAAQiS,GAA0BiB,GAAyBN,GAGnG,OAAOA,I,gBCxFT,IAGIY,EAAO,GAEXA,EALsB,EAAQ,EAEV/C,CAAgB,gBAGd,IAEtBta,EAAOD,QAA2B,eAAjBiI,OAAOqV,I,6BCNxB,IAYMC,EACAC,EAbFC,EAAc,EAAQ,IACtBC,EAAgB,EAAQ,KAExBC,EAAaC,OAAOxe,UAAUkF,KAI9BuZ,EAAgB5V,OAAO7I,UAAU2I,QAEjC+V,EAAcH,EAEdI,GACER,EAAM,IACNC,EAAM,MACVG,EAAWre,KAAKie,EAAK,KACrBI,EAAWre,KAAKke,EAAK,KACI,IAAlBD,EAAIS,WAAqC,IAAlBR,EAAIQ,WAGhCC,EAAgBP,EAAcO,eAAiBP,EAAcQ,aAG7DC,OAAuChc,IAAvB,OAAOmC,KAAK,IAAI,IAExByZ,GAA4BI,GAAiBF,KAGvDH,EAAc,SAAchW,GAC1B,IACIkW,EAAWI,EAAQC,EAAOrf,EAD1Bsf,EAAKvR,KAELwR,EAASN,GAAiBK,EAAGC,OAC7BC,EAAQf,EAAYne,KAAKgf,GACzBjV,EAASiV,EAAGjV,OACZoV,EAAa,EACbC,EAAU5W,EA+Cd,OA7CIyW,KAE0B,KAD5BC,EAAQA,EAAMzW,QAAQ,IAAK,KACjB8D,QAAQ,OAChB2S,GAAS,KAGXE,EAAUzW,OAAOH,GAAK1D,MAAMka,EAAGN,WAE3BM,EAAGN,UAAY,KAAOM,EAAGK,WAAaL,EAAGK,WAAuC,OAA1B7W,EAAIwW,EAAGN,UAAY,MAC3E3U,EAAS,OAASA,EAAS,IAC3BqV,EAAU,IAAMA,EAChBD,KAIFL,EAAS,IAAIR,OAAO,OAASvU,EAAS,IAAKmV,IAGzCL,IACFC,EAAS,IAAIR,OAAO,IAAMvU,EAAS,WAAYmV,IAE7CT,IAA0BC,EAAYM,EAAGN,WAE7CK,EAAQV,EAAWre,KAAKif,EAASH,EAASE,EAAII,GAE1CH,EACEF,GACFA,EAAM5D,MAAQ4D,EAAM5D,MAAMrW,MAAMqa,GAChCJ,EAAM,GAAKA,EAAM,GAAGja,MAAMqa,GAC1BJ,EAAMO,MAAQN,EAAGN,UACjBM,EAAGN,WAAaK,EAAM,GAAGnf,QACpBof,EAAGN,UAAY,EACbD,GAA4BM,IACrCC,EAAGN,UAAYM,EAAG/Z,OAAS8Z,EAAMO,MAAQP,EAAM,GAAGnf,OAAS8e,GAEzDG,GAAiBE,GAASA,EAAMnf,OAAS,GAG3C2e,EAAcve,KAAK+e,EAAM,GAAID,GAAQ,WACnC,IAAKpf,EAAI,EAAGA,EAAIuI,UAAUrI,OAAS,EAAGF,SACfmD,IAAjBoF,UAAUvI,KAAkBqf,EAAMrf,QAAKmD,MAK1Ckc,IAIXpe,EAAOD,QAAU8d,G,6BCtFjB;;;;;;AAOA,IAAIe,EAAc1f,OAAO2f,OAAO,IAIhC,SAASC,EAASC,GAChB,OAAOA,QAGT,SAASC,EAAOD,GACd,OAAOA,QAGT,SAASE,EAAQF,GACf,OAAa,IAANA,EAUT,SAASG,EAAahc,GACpB,MACmB,iBAAVA,GACU,iBAAVA,GAEU,iBAAVA,GACU,kBAAVA,EASX,SAASuC,EAAUG,GACjB,OAAe,OAARA,GAA+B,iBAARA,EAMhC,IAAIuZ,EAAYjgB,OAAOC,UAAUkG,SAUjC,SAAS+Z,EAAexZ,GACtB,MAA+B,oBAAxBuZ,EAAU9f,KAAKuG,GAGxB,SAASyZ,EAAUN,GACjB,MAA6B,oBAAtBI,EAAU9f,KAAK0f,GAMxB,SAASO,EAAmB/Z,GAC1B,IAAI7B,EAAI6b,WAAWvX,OAAOzC,IAC1B,OAAO7B,GAAK,GAAKsB,KAAKqM,MAAM3N,KAAOA,GAAK8b,SAASja,GAGnD,SAASka,EAAWla,GAClB,OACEyZ,EAAMzZ,IACc,mBAAbA,EAAIuP,MACU,mBAAdvP,EAAIma,MAOf,SAASra,EAAUE,GACjB,OAAc,MAAPA,EACH,GACAoa,MAAMra,QAAQC,IAAS6Z,EAAc7Z,IAAQA,EAAIF,WAAa8Z,EAC5DS,KAAKC,UAAUta,EAAK,KAAM,GAC1ByC,OAAOzC,GAOf,SAASua,EAAUva,GACjB,IAAI7B,EAAI6b,WAAWha,GACnB,OAAO+L,MAAM5N,GAAK6B,EAAM7B,EAO1B,SAASqc,EACPlY,EACAmY,GAIA,IAFA,IAAIC,EAAM/gB,OAAOqE,OAAO,MACpB2c,EAAOrY,EAAI6E,MAAM,KACZ3N,EAAI,EAAGA,EAAImhB,EAAKjhB,OAAQF,IAC/BkhB,EAAIC,EAAKnhB,KAAM,EAEjB,OAAOihB,EACH,SAAUza,GAAO,OAAO0a,EAAI1a,EAAI4a,gBAChC,SAAU5a,GAAO,OAAO0a,EAAI1a,IAMlC,IAAI6a,EAAeL,EAAQ,kBAAkB,GAKzCM,EAAsBN,EAAQ,8BAKlC,SAASO,EAAQC,EAAKC,GACpB,GAAID,EAAIthB,OAAQ,CACd,IAAI0f,EAAQ4B,EAAI3U,QAAQ4U,GACxB,GAAI7B,GAAS,EACX,OAAO4B,EAAIE,OAAO9B,EAAO,IAQ/B,IAAIvf,EAAiBF,OAAOC,UAAUC,eACtC,SAASshB,EAAQ9a,EAAKpC,GACpB,OAAOpE,EAAeC,KAAKuG,EAAKpC,GAMlC,SAASmd,EAAQ9a,GACf,IAAIyS,EAAQpZ,OAAOqE,OAAO,MAC1B,OAAO,SAAoBsE,GAEzB,OADUyQ,EAAMzQ,KACDyQ,EAAMzQ,GAAOhC,EAAGgC,KAOnC,IAAI+Y,EAAa,SACbC,EAAWF,GAAO,SAAU9Y,GAC9B,OAAOA,EAAIC,QAAQ8Y,GAAY,SAAUE,EAAGte,GAAK,OAAOA,EAAIA,EAAEue,cAAgB,SAM5EC,EAAaL,GAAO,SAAU9Y,GAChC,OAAOA,EAAI2D,OAAO,GAAGuV,cAAgBlZ,EAAI1D,MAAM,MAM7C8c,EAAc,aACdC,EAAYP,GAAO,SAAU9Y,GAC/B,OAAOA,EAAIC,QAAQmZ,EAAa,OAAOd,iBA8BzC,IAAI1c,EAAO2B,SAASjG,UAAUsE,KAJ9B,SAAqBoC,EAAIsb,GACvB,OAAOtb,EAAGpC,KAAK0d,IAfjB,SAAuBtb,EAAIsb,GACzB,SAASC,EAAS3Z,GAChB,IAAIxH,EAAIqH,UAAUrI,OAClB,OAAOgB,EACHA,EAAI,EACF4F,EAAGwS,MAAM8I,EAAK7Z,WACdzB,EAAGxG,KAAK8hB,EAAK1Z,GACf5B,EAAGxG,KAAK8hB,GAId,OADAC,EAAQC,QAAUxb,EAAG5G,OACdmiB,GAcT,SAASE,EAASpB,EAAMqB,GACtBA,EAAQA,GAAS,EAGjB,IAFA,IAAIxiB,EAAImhB,EAAKjhB,OAASsiB,EAClBC,EAAM,IAAI7B,MAAM5gB,GACbA,KACLyiB,EAAIziB,GAAKmhB,EAAKnhB,EAAIwiB,GAEpB,OAAOC,EAMT,SAASha,EAAQoM,EAAI6N,GACnB,IAAK,IAAIje,KAAOie,EACd7N,EAAGpQ,GAAOie,EAAMje,GAElB,OAAOoQ,EAMT,SAAS8N,EAAUnB,GAEjB,IADA,IAAIoB,EAAM,GACD5iB,EAAI,EAAGA,EAAIwhB,EAAIthB,OAAQF,IAC1BwhB,EAAIxhB,IACNyI,EAAOma,EAAKpB,EAAIxhB,IAGpB,OAAO4iB,EAUT,SAASC,EAAMna,EAAGC,EAAGlF,IAKrB,IAAIqf,EAAK,SAAUpa,EAAGC,EAAGlF,GAAK,OAAO,GAOjCsf,EAAW,SAAUhB,GAAK,OAAOA,GAerC,SAASiB,EAAYta,EAAGC,GACtB,GAAID,IAAMC,EAAK,OAAO,EACtB,IAAIsa,EAAYvc,EAASgC,GACrBwa,EAAYxc,EAASiC,GACzB,IAAIsa,IAAaC,EAwBV,OAAKD,IAAcC,GACjBja,OAAOP,KAAOO,OAAON,GAxB5B,IACE,IAAIwa,EAAWvC,MAAMra,QAAQmC,GACzB0a,EAAWxC,MAAMra,QAAQoC,GAC7B,GAAIwa,GAAYC,EACd,OAAO1a,EAAExI,SAAWyI,EAAEzI,QAAUwI,EAAE2a,OAAM,SAAUliB,EAAGnB,GACnD,OAAOgjB,EAAW7hB,EAAGwH,EAAE3I,OAEpB,GAAI0I,aAAa4a,MAAQ3a,aAAa2a,KAC3C,OAAO5a,EAAE6a,YAAc5a,EAAE4a,UACpB,GAAKJ,GAAaC,EAQvB,OAAO,EAPP,IAAII,EAAQrjB,OAAO2b,KAAKpT,GACpB+a,EAAQtjB,OAAO2b,KAAKnT,GACxB,OAAO6a,EAAMtjB,SAAWujB,EAAMvjB,QAAUsjB,EAAMH,OAAM,SAAU5e,GAC5D,OAAOue,EAAWta,EAAEjE,GAAMkE,EAAElE,OAMhC,MAAOtD,GAEP,OAAO,GAcb,SAASuiB,EAAclC,EAAKhb,GAC1B,IAAK,IAAIxG,EAAI,EAAGA,EAAIwhB,EAAIthB,OAAQF,IAC9B,GAAIgjB,EAAWxB,EAAIxhB,GAAIwG,GAAQ,OAAOxG,EAExC,OAAQ,EAMV,SAAS2jB,EAAM7c,GACb,IAAI8c,GAAS,EACb,OAAO,WACAA,IACHA,GAAS,EACT9c,EAAGwS,MAAMvL,KAAMxF,aAKrB,IAEIsb,EAAc,CAChB,YACA,YACA,UAGEC,EAAkB,CACpB,eACA,UACA,cACA,UACA,eACA,UACA,gBACA,YACA,YACA,cACA,gBACA,kBAOEnX,EAAS,CAKXoX,sBAAuB5jB,OAAOqE,OAAO,MAKrCwf,QAAQ,EAKRC,eAAe,EAKfC,UAAU,EAKVC,aAAa,EAKbC,aAAc,KAKdC,YAAa,KAKbC,gBAAiB,GAMjBC,SAAUpkB,OAAOqE,OAAO,MAMxBggB,cAAe1B,EAMf2B,eAAgB3B,EAMhB4B,iBAAkB5B,EAKlB6B,gBAAiB9B,EAKjB+B,qBAAsB7B,EAMtB8B,YAAa/B,EAMbgC,OAAO,EAKPC,gBAAiBjB,GAUfkB,EAAgB,8JAKpB,SAASC,EAAYnc,GACnB,IAAIrF,GAAKqF,EAAM,IAAIoc,WAAW,GAC9B,OAAa,KAANzhB,GAAoB,KAANA,EAMvB,SAAS0hB,EAAKte,EAAKpC,EAAK+B,EAAK1C,GAC3B3D,OAAO0D,eAAegD,EAAKpC,EAAK,CAC9BN,MAAOqC,EACP1C,aAAcA,EACd4O,UAAU,EACVD,cAAc,IAOlB,IAAI2S,EAAS,IAAIxG,OAAQ,KAAQoG,EAAoB,OAAI,WAkBzD,IAmCIK,EAnCAC,EAAW,aAAe,GAG1BC,EAA8B,oBAAXrgB,OACnBsgB,EAAkC,oBAAlBC,iBAAmCA,cAAcC,SACjEC,EAAeH,GAAUC,cAAcC,SAAStE,cAChDwE,EAAKL,GAAargB,OAAOgD,UAAU2d,UAAUzE,cAC7C0E,EAAOF,GAAM,eAAetH,KAAKsH,GACjCG,EAAQH,GAAMA,EAAG/Y,QAAQ,YAAc,EACvCmZ,EAASJ,GAAMA,EAAG/Y,QAAQ,SAAW,EAErCoZ,IADaL,GAAMA,EAAG/Y,QAAQ,WACrB+Y,GAAM,uBAAuBtH,KAAKsH,IAA0B,QAAjBD,GAGpDO,IAFWN,GAAM,cAActH,KAAKsH,GACtBA,GAAM,YAAYtH,KAAKsH,GAC9BA,GAAMA,EAAGvG,MAAM,mBAGtB8G,GAAc,GAAKC,MAEnBC,IAAkB,EACtB,GAAId,EACF,IACE,IAAIe,GAAO,GACXnmB,OAAO0D,eAAeyiB,GAAM,UAAW,CACrCviB,IAAK,WAEHsiB,IAAkB,KAGtBnhB,OAAOqhB,iBAAiB,eAAgB,KAAMD,IAC9C,MAAOnlB,IAMX,IAAIqlB,GAAoB,WAWtB,YAVkBrjB,IAAdkiB,IAOAA,GALGE,IAAcC,QAA4B,IAAXjgB,IAGtBA,EAAgB,SAAuC,WAAlCA,EAAgB,QAAEkhB,IAAIC,UAKpDrB,GAILnB,GAAWqB,GAAargB,OAAOyhB,6BAGnC,SAASC,GAAUC,GACjB,MAAuB,mBAATA,GAAuB,cAAcvI,KAAKuI,EAAKvgB,YAG/D,IAIIwgB,GAJAC,GACgB,oBAAX9iB,QAA0B2iB,GAAS3iB,SACvB,oBAAZ+iB,SAA2BJ,GAASI,QAAQC,SAMnDH,GAFiB,oBAARI,KAAuBN,GAASM,KAElCA,IAGc,WACnB,SAASA,IACPnZ,KAAKkD,IAAM9Q,OAAOqE,OAAO,MAY3B,OAVA0iB,EAAI9mB,UAAUqF,IAAM,SAAchB,GAChC,OAAyB,IAAlBsJ,KAAKkD,IAAIxM,IAElByiB,EAAI9mB,UAAUkQ,IAAM,SAAc7L,GAChCsJ,KAAKkD,IAAIxM,IAAO,GAElByiB,EAAI9mB,UAAU+mB,MAAQ,WACpBpZ,KAAKkD,IAAM9Q,OAAOqE,OAAO,OAGpB0iB,EAdW,GAoBtB,IAAItY,GAAOiU,EA8FPnd,GAAM,EAMN0hB,GAAM,WACRrZ,KAAKqG,GAAK1O,KACVqI,KAAKsZ,KAAO,IAGdD,GAAIhnB,UAAUknB,OAAS,SAAiBC,GACtCxZ,KAAKsZ,KAAK7mB,KAAK+mB,IAGjBH,GAAIhnB,UAAUonB,UAAY,SAAoBD,GAC5ChG,EAAOxT,KAAKsZ,KAAME,IAGpBH,GAAIhnB,UAAUqnB,OAAS,WACjBL,GAAIrkB,QACNqkB,GAAIrkB,OAAO2kB,OAAO3Z,OAItBqZ,GAAIhnB,UAAUunB,OAAS,WAErB,IAAIN,EAAOtZ,KAAKsZ,KAAKjiB,QAOrB,IAAK,IAAIpF,EAAI,EAAGkB,EAAImmB,EAAKnnB,OAAQF,EAAIkB,EAAGlB,IACtCqnB,EAAKrnB,GAAG4nB,UAOZR,GAAIrkB,OAAS,KACb,IAAI8kB,GAAc,GAElB,SAASC,GAAY/kB,GACnB8kB,GAAYrnB,KAAKuC,GACjBqkB,GAAIrkB,OAASA,EAGf,SAASglB,KACPF,GAAY9S,MACZqS,GAAIrkB,OAAS8kB,GAAYA,GAAY3nB,OAAS,GAKhD,IAAI8nB,GAAQ,SACVC,EACAtoB,EACAuoB,EACA5b,EACA6b,EACAnY,EACAoY,EACAC,GAEAta,KAAKka,IAAMA,EACXla,KAAKpO,KAAOA,EACZoO,KAAKma,SAAWA,EAChBna,KAAKzB,KAAOA,EACZyB,KAAKoa,IAAMA,EACXpa,KAAKxJ,QAAKpB,EACV4K,KAAKiC,QAAUA,EACfjC,KAAKua,eAAYnlB,EACjB4K,KAAKwa,eAAYplB,EACjB4K,KAAKya,eAAYrlB,EACjB4K,KAAKtJ,IAAM9E,GAAQA,EAAK8E,IACxBsJ,KAAKqa,iBAAmBA,EACxBra,KAAK0a,uBAAoBtlB,EACzB4K,KAAKoC,YAAShN,EACd4K,KAAK2a,KAAM,EACX3a,KAAK4a,UAAW,EAChB5a,KAAK6a,cAAe,EACpB7a,KAAK8a,WAAY,EACjB9a,KAAK+a,UAAW,EAChB/a,KAAKgb,QAAS,EACdhb,KAAKsa,aAAeA,EACpBta,KAAKib,eAAY7lB,EACjB4K,KAAKkb,oBAAqB,GAGxBC,GAAqB,CAAEC,MAAO,CAAE1W,cAAc,IAIlDyW,GAAmBC,MAAMplB,IAAM,WAC7B,OAAOgK,KAAK0a,mBAGdtoB,OAAO2Z,iBAAkBkO,GAAM5nB,UAAW8oB,IAE1C,IAAIE,GAAmB,SAAU9c,QACjB,IAATA,IAAkBA,EAAO,IAE9B,IAAI+c,EAAO,IAAIrB,GAGf,OAFAqB,EAAK/c,KAAOA,EACZ+c,EAAKR,WAAY,EACVQ,GAGT,SAASC,GAAiB9iB,GACxB,OAAO,IAAIwhB,QAAM7kB,OAAWA,OAAWA,EAAW8F,OAAOzC,IAO3D,SAAS+iB,GAAYC,GACnB,IAAIC,EAAS,IAAIzB,GACfwB,EAAMvB,IACNuB,EAAM7pB,KAIN6pB,EAAMtB,UAAYsB,EAAMtB,SAAS9iB,QACjCokB,EAAMld,KACNkd,EAAMrB,IACNqB,EAAMxZ,QACNwZ,EAAMpB,iBACNoB,EAAMnB,cAWR,OATAoB,EAAOllB,GAAKilB,EAAMjlB,GAClBklB,EAAOd,SAAWa,EAAMb,SACxBc,EAAOhlB,IAAM+kB,EAAM/kB,IACnBglB,EAAOZ,UAAYW,EAAMX,UACzBY,EAAOnB,UAAYkB,EAAMlB,UACzBmB,EAAOlB,UAAYiB,EAAMjB,UACzBkB,EAAOjB,UAAYgB,EAAMhB,UACzBiB,EAAOT,UAAYQ,EAAMR,UACzBS,EAAOX,UAAW,EACXW,EAQT,IAAIC,GAAa9I,MAAMxgB,UACnBupB,GAAexpB,OAAOqE,OAAOklB,IAEZ,CACnB,OACA,MACA,QACA,UACA,SACA,OACA,WAMa9iB,SAAQ,SAAUwL,GAE/B,IAAIwX,EAAWF,GAAWtX,GAC1B+S,EAAIwE,GAAcvX,GAAQ,WAExB,IADA,IAAIyX,EAAO,GAAIC,EAAMvhB,UAAUrI,OACvB4pB,KAAQD,EAAMC,GAAQvhB,UAAWuhB,GAEzC,IAEIC,EAFA1hB,EAASuhB,EAAStQ,MAAMvL,KAAM8b,GAC9BG,EAAKjc,KAAKkc,OAEd,OAAQ7X,GACN,IAAK,OACL,IAAK,UACH2X,EAAWF,EACX,MACF,IAAK,SACHE,EAAWF,EAAKzkB,MAAM,GAM1B,OAHI2kB,GAAYC,EAAGE,aAAaH,GAEhCC,EAAGG,IAAIxC,SACAtf,QAMX,IAAI+hB,GAAYjqB,OAAOkqB,oBAAoBV,IAMvCW,IAAgB,EAEpB,SAASC,GAAiBpmB,GACxBmmB,GAAgBnmB,EASlB,IAAIqmB,GAAW,SAAmBrmB,GAChC4J,KAAK5J,MAAQA,EACb4J,KAAKoc,IAAM,IAAI/C,GACfrZ,KAAK0c,QAAU,EACftF,EAAIhhB,EAAO,SAAU4J,MACjB6S,MAAMra,QAAQpC,IACZmhB,EAsCR,SAAuBviB,EAAQb,GAE7Ba,EAAO2nB,UAAYxoB,EAvCfyoB,CAAaxmB,EAAOwlB,IAgD1B,SAAsB5mB,EAAQb,EAAK4Z,GACjC,IAAK,IAAI9b,EAAI,EAAGkB,EAAI4a,EAAK5b,OAAQF,EAAIkB,EAAGlB,IAAK,CAC3C,IAAIyE,EAAMqX,EAAK9b,GACfmlB,EAAIpiB,EAAQ0B,EAAKvC,EAAIuC,KAjDnBmmB,CAAYzmB,EAAOwlB,GAAcS,IAEnCrc,KAAKmc,aAAa/lB,IAElB4J,KAAK8c,KAAK1mB,IAsDd,SAAS2mB,GAAS3mB,EAAO4mB,GAIvB,IAAIf,EAHJ,GAAKtjB,EAASvC,MAAUA,aAAiB6jB,IAkBzC,OAdIrG,EAAOxd,EAAO,WAAaA,EAAM8lB,kBAAkBO,GACrDR,EAAK7lB,EAAM8lB,OAEXK,KACC9D,OACA5F,MAAMra,QAAQpC,IAAUkc,EAAclc,KACvChE,OAAO6qB,aAAa7mB,KACnBA,EAAM8mB,SAEPjB,EAAK,IAAIQ,GAASrmB,IAEhB4mB,GAAcf,GAChBA,EAAGS,UAEET,EAMT,SAASkB,GACPrkB,EACApC,EACA+B,EACA2kB,EACAC,GAEA,IAAIjB,EAAM,IAAI/C,GAEVviB,EAAW1E,OAAO2J,yBAAyBjD,EAAKpC,GACpD,IAAII,IAAsC,IAA1BA,EAAS4N,aAAzB,CAKA,IAAI9O,EAASkB,GAAYA,EAASd,IAC9BsnB,EAASxmB,GAAYA,EAASoM,IAC5BtN,IAAU0nB,GAAgC,IAArB9iB,UAAUrI,SACnCsG,EAAMK,EAAIpC,IAGZ,IAAI6mB,GAAWF,GAAWN,GAAQtkB,GAClCrG,OAAO0D,eAAegD,EAAKpC,EAAK,CAC9BX,YAAY,EACZ2O,cAAc,EACd1O,IAAK,WACH,IAAII,EAAQR,EAASA,EAAOrD,KAAKuG,GAAOL,EAUxC,OATI4gB,GAAIrkB,SACNonB,EAAI1C,SACA6D,IACFA,EAAQnB,IAAI1C,SACR7G,MAAMra,QAAQpC,IAChBonB,GAAYpnB,KAIXA,GAET8M,IAAK,SAAyBua,GAC5B,IAAIrnB,EAAQR,EAASA,EAAOrD,KAAKuG,GAAOL,EAEpCglB,IAAWrnB,GAAUqnB,GAAWA,GAAUrnB,GAAUA,GAQpDR,IAAW0nB,IACXA,EACFA,EAAO/qB,KAAKuG,EAAK2kB,GAEjBhlB,EAAMglB,EAERF,GAAWF,GAAWN,GAAQU,GAC9BrB,EAAIxC,cAUV,SAAS1W,GAAKlO,EAAQ0B,EAAK+B,GAMzB,GAAIoa,MAAMra,QAAQxD,IAAWwd,EAAkB9b,GAG7C,OAFA1B,EAAO7C,OAAS+F,KAAKwlB,IAAI1oB,EAAO7C,OAAQuE,GACxC1B,EAAO2e,OAAOjd,EAAK,EAAG+B,GACfA,EAET,GAAI/B,KAAO1B,KAAY0B,KAAOtE,OAAOC,WAEnC,OADA2C,EAAO0B,GAAO+B,EACPA,EAET,IAAIwjB,EAAK,EAASC,OAClB,OAAIlnB,EAAOkoB,QAAWjB,GAAMA,EAAGS,QAKtBjkB,EAEJwjB,GAILkB,GAAkBlB,EAAG7lB,MAAOM,EAAK+B,GACjCwjB,EAAGG,IAAIxC,SACAnhB,IALLzD,EAAO0B,GAAO+B,EACPA,GAUX,SAASklB,GAAK3oB,EAAQ0B,GAMpB,GAAImc,MAAMra,QAAQxD,IAAWwd,EAAkB9b,GAC7C1B,EAAO2e,OAAOjd,EAAK,OADrB,CAIA,IAAIulB,EAAK,EAASC,OACdlnB,EAAOkoB,QAAWjB,GAAMA,EAAGS,SAO1B9I,EAAO5e,EAAQ0B,YAGb1B,EAAO0B,GACTulB,GAGLA,EAAGG,IAAIxC,WAOT,SAAS4D,GAAapnB,GACpB,IAAK,IAAIhD,OAAI,EAAUnB,EAAI,EAAGkB,EAAIiD,EAAMjE,OAAQF,EAAIkB,EAAGlB,KACrDmB,EAAIgD,EAAMnE,KACLmB,EAAE8oB,QAAU9oB,EAAE8oB,OAAOE,IAAI1C,SAC1B7G,MAAMra,QAAQpF,IAChBoqB,GAAYpqB,GAhNlBqpB,GAASpqB,UAAUyqB,KAAO,SAAehkB,GAEvC,IADA,IAAIiV,EAAO3b,OAAO2b,KAAKjV,GACd7G,EAAI,EAAGA,EAAI8b,EAAK5b,OAAQF,IAC/BkrB,GAAkBrkB,EAAKiV,EAAK9b,KAOhCwqB,GAASpqB,UAAU8pB,aAAe,SAAuByB,GACvD,IAAK,IAAI3rB,EAAI,EAAGkB,EAAIyqB,EAAMzrB,OAAQF,EAAIkB,EAAGlB,IACvC8qB,GAAQa,EAAM3rB,KAgNlB,IAAI4rB,GAASjf,EAAOoX,sBAoBpB,SAAS8H,GAAWhX,EAAID,GACtB,IAAKA,EAAQ,OAAOC,EAOpB,IANA,IAAIpQ,EAAKqnB,EAAOC,EAEZjQ,EAAOiL,GACPC,QAAQC,QAAQrS,GAChBzU,OAAO2b,KAAKlH,GAEP5U,EAAI,EAAGA,EAAI8b,EAAK5b,OAAQF,IAGnB,YAFZyE,EAAMqX,EAAK9b,MAGX8rB,EAAQjX,EAAGpQ,GACXsnB,EAAUnX,EAAKnQ,GACVkd,EAAO9M,EAAIpQ,GAGdqnB,IAAUC,GACV1L,EAAcyL,IACdzL,EAAc0L,IAEdF,GAAUC,EAAOC,GANjB9a,GAAI4D,EAAIpQ,EAAKsnB,IASjB,OAAOlX,EAMT,SAASmX,GACPC,EACAC,EACA9T,GAEA,OAAKA,EAoBI,WAEL,IAAI+T,EAAmC,mBAAbD,EACtBA,EAAS5rB,KAAK8X,EAAIA,GAClB8T,EACAE,EAAmC,mBAAdH,EACrBA,EAAU3rB,KAAK8X,EAAIA,GACnB6T,EACJ,OAAIE,EACKN,GAAUM,EAAcC,GAExBA,GA7BNF,EAGAD,EAQE,WACL,OAAOJ,GACe,mBAAbK,EAA0BA,EAAS5rB,KAAKyN,KAAMA,MAAQme,EACxC,mBAAdD,EAA2BA,EAAU3rB,KAAKyN,KAAMA,MAAQke,IAV1DC,EAHAD,EA2Db,SAASI,GACPJ,EACAC,GAEA,IAAItJ,EAAMsJ,EACND,EACEA,EAAUjb,OAAOkb,GACjBtL,MAAMra,QAAQ2lB,GACZA,EACA,CAACA,GACLD,EACJ,OAAOrJ,EAKT,SAAsB0J,GAEpB,IADA,IAAI1J,EAAM,GACD5iB,EAAI,EAAGA,EAAIssB,EAAMpsB,OAAQF,KACD,IAA3B4iB,EAAI/V,QAAQyf,EAAMtsB,KACpB4iB,EAAIpiB,KAAK8rB,EAAMtsB,IAGnB,OAAO4iB,EAXH2J,CAAY3J,GACZA,EAwBN,SAAS4J,GACPP,EACAC,EACA9T,EACA3T,GAEA,IAAIme,EAAMziB,OAAOqE,OAAOynB,GAAa,MACrC,OAAIC,EAEKzjB,EAAOma,EAAKsJ,GAEZtJ,EAzEXgJ,GAAOjsB,KAAO,SACZssB,EACAC,EACA9T,GAEA,OAAKA,EAcE4T,GAAcC,EAAWC,EAAU9T,GAbpC8T,GAAgC,mBAAbA,EAQdD,EAEFD,GAAcC,EAAWC,IAmCpCpI,EAAgBld,SAAQ,SAAUgJ,GAChCgc,GAAOhc,GAAQyc,MAyBjBxI,EAAYjd,SAAQ,SAAU/D,GAC5B+oB,GAAO/oB,EAAO,KAAO2pB,MASvBZ,GAAOxF,MAAQ,SACb6F,EACAC,EACA9T,EACA3T,GAMA,GAHIwnB,IAAc9F,KAAe8F,OAAY9oB,GACzC+oB,IAAa/F,KAAe+F,OAAW/oB,IAEtC+oB,EAAY,OAAO/rB,OAAOqE,OAAOynB,GAAa,MAInD,IAAKA,EAAa,OAAOC,EACzB,IAAIzJ,EAAM,GAEV,IAAK,IAAIgK,KADThkB,EAAOga,EAAKwJ,GACMC,EAAU,CAC1B,IAAI/b,EAASsS,EAAIgK,GACbtD,EAAQ+C,EAASO,GACjBtc,IAAWyQ,MAAMra,QAAQ4J,KAC3BA,EAAS,CAACA,IAEZsS,EAAIgK,GAAStc,EACTA,EAAOa,OAAOmY,GACdvI,MAAMra,QAAQ4iB,GAASA,EAAQ,CAACA,GAEtC,OAAO1G,GAMTmJ,GAAOlU,MACPkU,GAAOlO,QACPkO,GAAOc,OACPd,GAAOe,SAAW,SAChBV,EACAC,EACA9T,EACA3T,GAKA,IAAKwnB,EAAa,OAAOC,EACzB,IAAIzJ,EAAMtiB,OAAOqE,OAAO,MAGxB,OAFAiE,EAAOga,EAAKwJ,GACRC,GAAYzjB,EAAOga,EAAKyJ,GACrBzJ,GAETmJ,GAAOgB,QAAUZ,GAKjB,IAAIa,GAAe,SAAUZ,EAAWC,GACtC,YAAoB/oB,IAAb+oB,EACHD,EACAC,GAyHN,SAASY,GACP3c,EACAgZ,EACA/Q,GAkBA,GAZqB,mBAAV+Q,IACTA,EAAQA,EAAM/e,SApGlB,SAAyBA,EAASgO,GAChC,IAAIV,EAAQtN,EAAQsN,MACpB,GAAKA,EAAL,CACA,IACI1X,EAAGwG,EADHoc,EAAM,GAEV,GAAIhC,MAAMra,QAAQmR,GAEhB,IADA1X,EAAI0X,EAAMxX,OACHF,KAEc,iBADnBwG,EAAMkR,EAAM1X,MAGV4iB,EADOd,EAAStb,IACJ,CAAE3D,KAAM,YAKnB,GAAIwd,EAAc3I,GACvB,IAAK,IAAIjT,KAAOiT,EACdlR,EAAMkR,EAAMjT,GAEZme,EADOd,EAASrd,IACJ4b,EAAc7Z,GACtBA,EACA,CAAE3D,KAAM2D,QAEL,EAOX4D,EAAQsN,MAAQkL,GAwEhBmK,CAAe5D,GAlEjB,SAA0B/e,EAASgO,GACjC,IAAIsU,EAAStiB,EAAQsiB,OACrB,GAAKA,EAAL,CACA,IAAIM,EAAa5iB,EAAQsiB,OAAS,GAClC,GAAI9L,MAAMra,QAAQmmB,GAChB,IAAK,IAAI1sB,EAAI,EAAGA,EAAI0sB,EAAOxsB,OAAQF,IACjCgtB,EAAWN,EAAO1sB,IAAM,CAAE4U,KAAM8X,EAAO1sB,SAEpC,GAAIqgB,EAAcqM,GACvB,IAAK,IAAIjoB,KAAOioB,EAAQ,CACtB,IAAIlmB,EAAMkmB,EAAOjoB,GACjBuoB,EAAWvoB,GAAO4b,EAAc7Z,GAC5BiC,EAAO,CAAEmM,KAAMnQ,GAAO+B,GACtB,CAAEoO,KAAMpO,QAEL,GAoDXymB,CAAgB9D,GAxClB,SAA8B/e,GAC5B,IAAI8iB,EAAO9iB,EAAQ6J,WACnB,GAAIiZ,EACF,IAAK,IAAIzoB,KAAOyoB,EAAM,CACpB,IAAIC,EAASD,EAAKzoB,GACI,mBAAX0oB,IACTD,EAAKzoB,GAAO,CAAEC,KAAMyoB,EAAQvF,OAAQuF,KAmC1CC,CAAoBjE,IAMfA,EAAMkE,QACLlE,EAAMmE,UACRnd,EAAS2c,GAAa3c,EAAQgZ,EAAMmE,QAASlV,IAE3C+Q,EAAMoE,QACR,IAAK,IAAIvtB,EAAI,EAAGkB,EAAIioB,EAAMoE,OAAOrtB,OAAQF,EAAIkB,EAAGlB,IAC9CmQ,EAAS2c,GAAa3c,EAAQgZ,EAAMoE,OAAOvtB,GAAIoY,GAKrD,IACI3T,EADA2F,EAAU,GAEd,IAAK3F,KAAO0L,EACVqd,EAAW/oB,GAEb,IAAKA,KAAO0kB,EACLxH,EAAOxR,EAAQ1L,IAClB+oB,EAAW/oB,GAGf,SAAS+oB,EAAY/oB,GACnB,IAAIgpB,EAAQ7B,GAAOnnB,IAAQooB,GAC3BziB,EAAQ3F,GAAOgpB,EAAMtd,EAAO1L,GAAM0kB,EAAM1kB,GAAM2T,EAAI3T,GAEpD,OAAO2F,EAQT,SAASsjB,GACPtjB,EACAvH,EACAuR,EACAuZ,GAGA,GAAkB,iBAAPvZ,EAAX,CAGA,IAAIwZ,EAASxjB,EAAQvH,GAErB,GAAI8e,EAAOiM,EAAQxZ,GAAO,OAAOwZ,EAAOxZ,GACxC,IAAIyZ,EAAc/L,EAAS1N,GAC3B,GAAIuN,EAAOiM,EAAQC,GAAgB,OAAOD,EAAOC,GACjD,IAAIC,EAAe7L,EAAW4L,GAC9B,OAAIlM,EAAOiM,EAAQE,GAAwBF,EAAOE,GAExCF,EAAOxZ,IAAOwZ,EAAOC,IAAgBD,EAAOE,IAcxD,SAASC,GACPtpB,EACAupB,EACA1V,EACAF,GAEA,IAAI6V,EAAOD,EAAYvpB,GACnBypB,GAAUvM,EAAOrJ,EAAW7T,GAC5BN,EAAQmU,EAAU7T,GAElB0pB,EAAeC,GAAaC,QAASJ,EAAKprB,MAC9C,GAAIsrB,GAAgB,EAClB,GAAID,IAAWvM,EAAOsM,EAAM,WAC1B9pB,GAAQ,OACH,GAAc,KAAVA,GAAgBA,IAAUge,EAAU1d,GAAM,CAGnD,IAAI6pB,EAAcF,GAAanlB,OAAQglB,EAAKprB,OACxCyrB,EAAc,GAAKH,EAAeG,KACpCnqB,GAAQ,GAKd,QAAchB,IAAVgB,EAAqB,CACvBA,EAqBJ,SAA8BiU,EAAI6V,EAAMxpB,GAEtC,IAAKkd,EAAOsM,EAAM,WAChB,OAEF,IAAI9I,EAAM8I,EAAKnb,QAEX,EAUJ,GAAIsF,GAAMA,EAAG3H,SAAS6H,gBACWnV,IAA/BiV,EAAG3H,SAAS6H,UAAU7T,SACHtB,IAAnBiV,EAAGmW,OAAO9pB,GAEV,OAAO2T,EAAGmW,OAAO9pB,GAInB,MAAsB,mBAAR0gB,GAA6C,aAAvBqJ,GAAQP,EAAKprB,MAC7CsiB,EAAI7kB,KAAK8X,GACT+M,EAhDMsJ,CAAoBrW,EAAI6V,EAAMxpB,GAGtC,IAAIiqB,EAAoBpE,GACxBC,IAAgB,GAChBO,GAAQ3mB,GACRomB,GAAgBmE,GASlB,OAAOvqB,EAsHT,SAASqqB,GAAS1nB,GAChB,IAAIuY,EAAQvY,GAAMA,EAAGR,WAAW+Y,MAAM,sBACtC,OAAOA,EAAQA,EAAM,GAAK,GAG5B,SAASsP,GAAYjmB,EAAGC,GACtB,OAAO6lB,GAAQ9lB,KAAO8lB,GAAQ7lB,GAGhC,SAASylB,GAAcvrB,EAAM+rB,GAC3B,IAAKhO,MAAMra,QAAQqoB,GACjB,OAAOD,GAAWC,EAAe/rB,GAAQ,GAAK,EAEhD,IAAK,IAAI7C,EAAI,EAAG8pB,EAAM8E,EAAc1uB,OAAQF,EAAI8pB,EAAK9pB,IACnD,GAAI2uB,GAAWC,EAAc5uB,GAAI6C,GAC/B,OAAO7C,EAGX,OAAQ,EAgDV,SAAS6uB,GAAa9pB,EAAKqT,EAAI0W,GAG7BhH,KACA,IACE,GAAI1P,EAEF,IADA,IAAI2W,EAAM3W,EACF2W,EAAMA,EAAIC,SAAU,CAC1B,IAAI1C,EAAQyC,EAAIte,SAASwe,cACzB,GAAI3C,EACF,IAAK,IAAItsB,EAAI,EAAGA,EAAIssB,EAAMpsB,OAAQF,IAChC,IAEE,IADoD,IAAtCssB,EAAMtsB,GAAGM,KAAKyuB,EAAKhqB,EAAKqT,EAAI0W,GAC3B,OACf,MAAO3tB,GACP+tB,GAAkB/tB,EAAG4tB,EAAK,uBAMpCG,GAAkBnqB,EAAKqT,EAAI0W,GAC3B,QACA/G,MAIJ,SAASoH,GACP/gB,EACA4B,EACA6Z,EACAzR,EACA0W,GAEA,IAAIlM,EACJ,KACEA,EAAMiH,EAAOzb,EAAQkL,MAAMtJ,EAAS6Z,GAAQzb,EAAQ9N,KAAK0P,MAC7C4S,EAAIqI,QAAUvK,EAAUkC,KAASA,EAAIwM,WAC/CxM,EAAIjC,OAAM,SAAUxf,GAAK,OAAO0tB,GAAY1tB,EAAGiX,EAAI0W,EAAO,uBAG1DlM,EAAIwM,UAAW,GAEjB,MAAOjuB,GACP0tB,GAAY1tB,EAAGiX,EAAI0W,GAErB,OAAOlM,EAGT,SAASsM,GAAmBnqB,EAAKqT,EAAI0W,GACnC,GAAIniB,EAAOyX,aACT,IACE,OAAOzX,EAAOyX,aAAa9jB,KAAK,KAAMyE,EAAKqT,EAAI0W,GAC/C,MAAO3tB,GAGHA,IAAM4D,GACRsqB,GAASluB,EAAG,KAAM,uBAIxBkuB,GAAStqB,EAAKqT,EAAI0W,GAGpB,SAASO,GAAUtqB,EAAKqT,EAAI0W,GAK1B,IAAKvJ,IAAaC,GAA8B,oBAAZxgB,QAGlC,MAAMD,EAFNC,QAAQ3C,MAAM0C,GAQlB,IAyBIuqB,GAzBAC,IAAmB,EAEnBC,GAAY,GACZC,IAAU,EAEd,SAASC,KACPD,IAAU,EACV,IAAIE,EAASH,GAAUpqB,MAAM,GAC7BoqB,GAAUtvB,OAAS,EACnB,IAAK,IAAIF,EAAI,EAAGA,EAAI2vB,EAAOzvB,OAAQF,IACjC2vB,EAAO3vB,KAwBX,GAAuB,oBAAZuB,SAA2BqlB,GAASrlB,SAAU,CACvD,IAAIY,GAAIZ,QAAQC,UAChB8tB,GAAY,WACVntB,GAAE4T,KAAK2Z,IAMHzJ,IAAS7iB,WAAWyf,IAE1B0M,IAAmB,OACd,GAAKzJ,GAAoC,oBAArB8J,mBACzBhJ,GAASgJ,mBAEuB,yCAAhCA,iBAAiBtpB,WAoBjBgpB,QAJiC,IAAjBO,GAAgCjJ,GAASiJ,GAI7C,WACVA,EAAaH,KAIH,WACVtsB,WAAWssB,GAAgB,QAzB5B,CAID,IAAII,GAAU,EACVC,GAAW,IAAIH,iBAAiBF,IAChCM,GAAWpuB,SAASquB,eAAehnB,OAAO6mB,KAC9CC,GAASjF,QAAQkF,GAAU,CACzBE,eAAe,IAEjBZ,GAAY,WACVQ,IAAWA,GAAU,GAAK,EAC1BE,GAASrwB,KAAOsJ,OAAO6mB,KAEzBP,IAAmB,EAerB,SAASY,GAAUC,EAAIhO,GACrB,IAAIiO,EAiBJ,GAhBAb,GAAUhvB,MAAK,WACb,GAAI4vB,EACF,IACEA,EAAG9vB,KAAK8hB,GACR,MAAOjhB,GACP0tB,GAAY1tB,EAAGihB,EAAK,iBAEbiO,GACTA,EAASjO,MAGRqN,KACHA,IAAU,EACVH,OAGGc,GAAyB,oBAAZ7uB,QAChB,OAAO,IAAIA,SAAQ,SAAUC,GAC3B6uB,EAAW7uB,KAwHjB,IAAI8uB,GAAc,IAAIxJ,GAOtB,SAASyJ,GAAU/pB,IAKnB,SAASgqB,EAAWhqB,EAAKiqB,GACvB,IAAIzwB,EAAG8b,EACH4U,EAAM9P,MAAMra,QAAQC,GACxB,IAAMkqB,IAAQhqB,EAASF,IAASrG,OAAOwwB,SAASnqB,IAAQA,aAAewhB,GACrE,OAEF,GAAIxhB,EAAIyjB,OAAQ,CACd,IAAI2G,EAAQpqB,EAAIyjB,OAAOE,IAAI/V,GAC3B,GAAIqc,EAAKhrB,IAAImrB,GACX,OAEFH,EAAKngB,IAAIsgB,GAEX,GAAIF,EAEF,IADA1wB,EAAIwG,EAAItG,OACDF,KAAOwwB,EAAUhqB,EAAIxG,GAAIywB,QAIhC,IAFA3U,EAAO3b,OAAO2b,KAAKtV,GACnBxG,EAAI8b,EAAK5b,OACFF,KAAOwwB,EAAUhqB,EAAIsV,EAAK9b,IAAKywB,GAvBxCD,CAAUhqB,EAAK8pB,IACfA,GAAYnJ,QA4Bd,IAAI0J,GAAiBjP,GAAO,SAAU3e,GACpC,IAAI6tB,EAA6B,MAAnB7tB,EAAKwJ,OAAO,GAEtBskB,EAA6B,OADjC9tB,EAAO6tB,EAAU7tB,EAAKmC,MAAM,GAAKnC,GACdwJ,OAAO,GAEtBukB,EAA6B,OADjC/tB,EAAO8tB,EAAU9tB,EAAKmC,MAAM,GAAKnC,GACdwJ,OAAO,GAE1B,MAAO,CACLxJ,KAFFA,EAAO+tB,EAAU/tB,EAAKmC,MAAM,GAAKnC,EAG/B0gB,KAAMoN,EACNC,QAASA,EACTF,QAASA,MAIb,SAASG,GAAiBC,EAAK9Y,GAC7B,SAAS+Y,IACP,IAAIC,EAAc7oB,UAEd2oB,EAAMC,EAAQD,IAClB,IAAItQ,MAAMra,QAAQ2qB,GAOhB,OAAO/B,GAAwB+B,EAAK,KAAM3oB,UAAW6P,EAAI,gBALzD,IADA,IAAIqR,EAASyH,EAAI9rB,QACRpF,EAAI,EAAGA,EAAIypB,EAAOvpB,OAAQF,IACjCmvB,GAAwB1F,EAAOzpB,GAAI,KAAMoxB,EAAahZ,EAAI,gBAQhE,OADA+Y,EAAQD,IAAMA,EACPC,EAGT,SAASE,GACPzd,EACA0d,EACAhhB,EACAihB,EACAC,EACApZ,GAEA,IAAInV,EAAc8rB,EAAK0C,EAAKlvB,EAC5B,IAAKU,KAAQ2Q,EACFmb,EAAMnb,EAAG3Q,GAClBwuB,EAAMH,EAAMruB,GACZV,EAAQsuB,GAAe5tB,GACnB8c,EAAQgP,KAKDhP,EAAQ0R,IACb1R,EAAQgP,EAAImC,OACdnC,EAAMnb,EAAG3Q,GAAQguB,GAAgBlC,EAAK3W,IAEpC8H,EAAO3d,EAAMohB,QACfoL,EAAMnb,EAAG3Q,GAAQuuB,EAAkBjvB,EAAMU,KAAM8rB,EAAKxsB,EAAMyuB,UAE5D1gB,EAAI/N,EAAMU,KAAM8rB,EAAKxsB,EAAMyuB,QAASzuB,EAAMuuB,QAASvuB,EAAMyJ,SAChD+iB,IAAQ0C,IACjBA,EAAIP,IAAMnC,EACVnb,EAAG3Q,GAAQwuB,IAGf,IAAKxuB,KAAQquB,EACPvR,EAAQnM,EAAG3Q,KAEbsuB,GADAhvB,EAAQsuB,GAAe5tB,IACPA,KAAMquB,EAAMruB,GAAOV,EAAMyuB,SAO/C,SAASU,GAAgBvM,EAAKwM,EAAS/hB,GAIrC,IAAIuhB,EAHAhM,aAAe6C,KACjB7C,EAAMA,EAAIxlB,KAAKiQ,OAASuV,EAAIxlB,KAAKiQ,KAAO,KAG1C,IAAIgiB,EAAUzM,EAAIwM,GAElB,SAASE,IACPjiB,EAAK0J,MAAMvL,KAAMxF,WAGjBgZ,EAAO4P,EAAQD,IAAKW,GAGlB9R,EAAQ6R,GAEVT,EAAUF,GAAgB,CAACY,IAGvB5R,EAAM2R,EAAQV,MAAQhR,EAAO0R,EAAQE,SAEvCX,EAAUS,GACFV,IAAI1wB,KAAKqxB,GAGjBV,EAAUF,GAAgB,CAACW,EAASC,IAIxCV,EAAQW,QAAS,EACjB3M,EAAIwM,GAAWR,EA8CjB,SAASY,GACPnP,EACAoP,EACAvtB,EACAwtB,EACAC,GAEA,GAAIjS,EAAM+R,GAAO,CACf,GAAIrQ,EAAOqQ,EAAMvtB,GAKf,OAJAme,EAAIne,GAAOutB,EAAKvtB,GACXytB,UACIF,EAAKvtB,IAEP,EACF,GAAIkd,EAAOqQ,EAAMC,GAKtB,OAJArP,EAAIne,GAAOutB,EAAKC,GACXC,UACIF,EAAKC,IAEP,EAGX,OAAO,EA8BT,SAASE,GAAmBjK,GAC1B,OAAO/H,EAAY+H,GACf,CAACoB,GAAgBpB,IACjBtH,MAAMra,QAAQ2hB,GASpB,SAASkK,EAAwBlK,EAAUmK,GACzC,IACIryB,EAAGyD,EAAGub,EAAWsT,EADjB1P,EAAM,GAEV,IAAK5iB,EAAI,EAAGA,EAAIkoB,EAAShoB,OAAQF,IAE3B+f,EADJtc,EAAIykB,EAASloB,KACkB,kBAANyD,IACzBub,EAAY4D,EAAI1iB,OAAS,EACzBoyB,EAAO1P,EAAI5D,GAEP4B,MAAMra,QAAQ9C,GACZA,EAAEvD,OAAS,IAGTqyB,IAFJ9uB,EAAI2uB,EAAuB3uB,GAAK4uB,GAAe,IAAM,IAAMryB,IAE1C,KAAOuyB,GAAWD,KACjC1P,EAAI5D,GAAasK,GAAgBgJ,EAAKhmB,KAAQ7I,EAAE,GAAI6I,MACpD7I,EAAE9C,SAEJiiB,EAAIpiB,KAAK8Y,MAAMsJ,EAAKnf,IAEb0c,EAAY1c,GACjB8uB,GAAWD,GAIb1P,EAAI5D,GAAasK,GAAgBgJ,EAAKhmB,KAAO7I,GAC9B,KAANA,GAETmf,EAAIpiB,KAAK8oB,GAAgB7lB,IAGvB8uB,GAAW9uB,IAAM8uB,GAAWD,GAE9B1P,EAAI5D,GAAasK,GAAgBgJ,EAAKhmB,KAAO7I,EAAE6I,OAG3C4T,EAAOgI,EAASsK,WAClBvS,EAAMxc,EAAEwkB,MACRlI,EAAQtc,EAAEgB,MACVwb,EAAMoS,KACN5uB,EAAEgB,IAAM,UAAY4tB,EAAc,IAAMryB,EAAI,MAE9C4iB,EAAIpiB,KAAKiD,KAIf,OAAOmf,EArDDwP,CAAuBlK,QACvB/kB,EAGR,SAASovB,GAAYlJ,GACnB,OAAOpJ,EAAMoJ,IAASpJ,EAAMoJ,EAAK/c,QA5yEpB,IA4yEqC+c,EAAKR,UAqFzD,SAAS4J,GAAe/F,EAAQtU,GAC9B,GAAIsU,EAAQ,CAOV,IALA,IAAIrkB,EAASlI,OAAOqE,OAAO,MACvBsX,EAAOiL,GACPC,QAAQC,QAAQyF,GAChBvsB,OAAO2b,KAAK4Q,GAEP1sB,EAAI,EAAGA,EAAI8b,EAAK5b,OAAQF,IAAK,CACpC,IAAIyE,EAAMqX,EAAK9b,GAEf,GAAY,WAARyE,EAAJ,CAGA,IAFA,IAAIiuB,EAAahG,EAAOjoB,GAAKmQ,KACzBvK,EAAS+N,EACN/N,GAAQ,CACb,GAAIA,EAAOsoB,WAAahR,EAAOtX,EAAOsoB,UAAWD,GAAa,CAC5DrqB,EAAO5D,GAAO4F,EAAOsoB,UAAUD,GAC/B,MAEFroB,EAASA,EAAO2kB,QAElB,IAAK3kB,EACH,GAAI,YAAaqiB,EAAOjoB,GAAM,CAC5B,IAAImuB,EAAiBlG,EAAOjoB,GAAKqO,QACjCzK,EAAO5D,GAAiC,mBAAnBmuB,EACjBA,EAAetyB,KAAK8X,GACpBwa,OACK,GAKf,OAAOvqB,GAWX,SAASwqB,GACP3K,EACAlY,GAEA,IAAKkY,IAAaA,EAAShoB,OACzB,MAAO,GAGT,IADA,IAAI4yB,EAAQ,GACH9yB,EAAI,EAAGkB,EAAIgnB,EAAShoB,OAAQF,EAAIkB,EAAGlB,IAAK,CAC/C,IAAImpB,EAAQjB,EAASloB,GACjBL,EAAOwpB,EAAMxpB,KAOjB,GALIA,GAAQA,EAAK+T,OAAS/T,EAAK+T,MAAMqf,aAC5BpzB,EAAK+T,MAAMqf,KAIf5J,EAAMnZ,UAAYA,GAAWmZ,EAAMb,YAActY,IACpDrQ,GAAqB,MAAbA,EAAKozB,MAUZD,EAAMhgB,UAAYggB,EAAMhgB,QAAU,KAAKtS,KAAK2oB,OAT7C,CACA,IAAIlmB,EAAOtD,EAAKozB,KACZA,EAAQD,EAAM7vB,KAAU6vB,EAAM7vB,GAAQ,IACxB,aAAdkmB,EAAMlB,IACR8K,EAAKvyB,KAAK8Y,MAAMyZ,EAAM5J,EAAMjB,UAAY,IAExC6K,EAAKvyB,KAAK2oB,IAOhB,IAAK,IAAI6J,KAAUF,EACbA,EAAME,GAAQ3P,MAAM4P,YACfH,EAAME,GAGjB,OAAOF,EAGT,SAASG,GAAc5J,GACrB,OAAQA,EAAKR,YAAcQ,EAAKhB,cAA+B,MAAdgB,EAAK/c,KAKxD,SAAS4mB,GACPJ,EACAK,EACAC,GAEA,IAAIxQ,EACAyQ,EAAiBlzB,OAAO2b,KAAKqX,GAAajzB,OAAS,EACnDozB,EAAWR,IAAUA,EAAMS,SAAWF,EACtC5uB,EAAMquB,GAASA,EAAMU,KACzB,GAAKV,EAEE,IAAIA,EAAMW,YAEf,OAAOX,EAAMW,YACR,GACLH,GACAF,GACAA,IAAcvT,GACdpb,IAAQ2uB,EAAUI,OACjBH,IACAD,EAAUM,WAIX,OAAON,EAGP,IAAK,IAAI3G,KADT7J,EAAM,GACYkQ,EACZA,EAAMrG,IAAuB,MAAbA,EAAM,KACxB7J,EAAI6J,GAASkH,GAAoBR,EAAa1G,EAAOqG,EAAMrG,UAnB/D7J,EAAM,GAwBR,IAAK,IAAIgR,KAAST,EACVS,KAAShR,IACbA,EAAIgR,GAASC,GAAgBV,EAAaS,IAW9C,OANId,GAAS3yB,OAAO6qB,aAAa8H,KAC/B,EAAQW,YAAc7Q,GAExBuC,EAAIvC,EAAK,UAAW0Q,GACpBnO,EAAIvC,EAAK,OAAQne,GACjB0gB,EAAIvC,EAAK,aAAcyQ,GAChBzQ,EAGT,SAAS+Q,GAAoBR,EAAa1uB,EAAKqC,GAC7C,IAAIkmB,EAAa,WACf,IAAIpK,EAAMra,UAAUrI,OAAS4G,EAAGwS,MAAM,KAAM/Q,WAAazB,EAAG,IAI5D,OAHA8b,EAAMA,GAAsB,iBAARA,IAAqBhC,MAAMra,QAAQqc,GACnD,CAACA,GACDuP,GAAkBvP,MAEL,IAAfA,EAAI1iB,QACY,IAAf0iB,EAAI1iB,QAAgB0iB,EAAI,GAAGiG,gBAC1B1lB,EACAyf,GAYN,OAPI9b,EAAGgtB,OACL3zB,OAAO0D,eAAesvB,EAAa1uB,EAAK,CACtCV,IAAKipB,EACLlpB,YAAY,EACZ2O,cAAc,IAGXua,EAGT,SAAS6G,GAAgBf,EAAOruB,GAC9B,OAAO,WAAc,OAAOquB,EAAMruB,IAQpC,SAASsvB,GACPvtB,EACA6I,GAEA,IAAIoT,EAAKziB,EAAGkB,EAAG4a,EAAMrX,EACrB,GAAImc,MAAMra,QAAQC,IAAuB,iBAARA,EAE/B,IADAic,EAAM,IAAI7B,MAAMpa,EAAItG,QACfF,EAAI,EAAGkB,EAAIsF,EAAItG,OAAQF,EAAIkB,EAAGlB,IACjCyiB,EAAIziB,GAAKqP,EAAO7I,EAAIxG,GAAIA,QAErB,GAAmB,iBAARwG,EAEhB,IADAic,EAAM,IAAI7B,MAAMpa,GACXxG,EAAI,EAAGA,EAAIwG,EAAKxG,IACnByiB,EAAIziB,GAAKqP,EAAOrP,EAAI,EAAGA,QAEpB,GAAI0G,EAASF,GAClB,GAAIugB,IAAavgB,EAAIvC,OAAO+vB,UAAW,CACrCvR,EAAM,GAGN,IAFA,IAAIuR,EAAWxtB,EAAIvC,OAAO+vB,YACtB3rB,EAAS2rB,EAAS3W,QACdhV,EAAO4rB,MACbxR,EAAIjiB,KAAK6O,EAAOhH,EAAOlE,MAAOse,EAAIviB,SAClCmI,EAAS2rB,EAAS3W,YAKpB,IAFAvB,EAAO3b,OAAO2b,KAAKtV,GACnBic,EAAM,IAAI7B,MAAM9E,EAAK5b,QAChBF,EAAI,EAAGkB,EAAI4a,EAAK5b,OAAQF,EAAIkB,EAAGlB,IAClCyE,EAAMqX,EAAK9b,GACXyiB,EAAIziB,GAAKqP,EAAO7I,EAAI/B,GAAMA,EAAKzE,GAQrC,OAJKigB,EAAMwC,KACTA,EAAM,IAER,EAAM+P,UAAW,EACV/P,EAQT,SAASyR,GACPjxB,EACAkxB,EACAzc,EACA0c,GAEA,IACIC,EADAC,EAAevmB,KAAKwmB,aAAatxB,GAEjCqxB,GACF5c,EAAQA,GAAS,GACb0c,IAOF1c,EAAQjP,EAAOA,EAAO,GAAI2rB,GAAa1c,IAEzC2c,EAAQC,EAAa5c,IAAUyc,GAE/BE,EAAQtmB,KAAKymB,OAAOvxB,IAASkxB,EAG/B,IAAIpxB,EAAS2U,GAASA,EAAMqb,KAC5B,OAAIhwB,EACKgL,KAAKkF,eAAe,WAAY,CAAE8f,KAAMhwB,GAAUsxB,GAElDA,EASX,SAASI,GAAergB,GACtB,OAAOsZ,GAAa3f,KAAK0C,SAAU,UAAW2D,IAAa2O,EAK7D,SAAS2R,GAAeC,EAAQC,GAC9B,OAAIhU,MAAMra,QAAQouB,IACmB,IAA5BA,EAAO9nB,QAAQ+nB,GAEfD,IAAWC,EAStB,SAASC,GACPC,EACArwB,EACAswB,EACAC,EACAC,GAEA,IAAIC,EAAgBvoB,EAAO4X,SAAS9f,IAAQswB,EAC5C,OAAIE,GAAkBD,IAAiBroB,EAAO4X,SAAS9f,GAC9CiwB,GAAcO,EAAgBD,GAC5BE,EACFR,GAAcQ,EAAeJ,GAC3BE,EACF7S,EAAU6S,KAAkBvwB,OAD9B,EAUT,SAAS0wB,GACPx1B,EACAsoB,EACA9jB,EACAixB,EACAC,GAEA,GAAIlxB,EACF,GAAKuC,EAASvC,GAKP,CAIL,IAAI6tB,EAHApR,MAAMra,QAAQpC,KAChBA,EAAQwe,EAASxe,IAGnB,IAAImxB,EAAO,SAAW7wB,GACpB,GACU,UAARA,GACQ,UAARA,GACA6c,EAAoB7c,GAEpButB,EAAOryB,MACF,CACL,IAAIkD,EAAOlD,EAAK+T,OAAS/T,EAAK+T,MAAM7Q,KACpCmvB,EAAOoD,GAAUzoB,EAAOkY,YAAYoD,EAAKplB,EAAM4B,GAC3C9E,EAAK41B,WAAa51B,EAAK41B,SAAW,IAClC51B,EAAK+T,QAAU/T,EAAK+T,MAAQ,IAElC,IAAI8hB,EAAe1T,EAASrd,GACxBgxB,EAAgBtT,EAAU1d,GACxB+wB,KAAgBxD,GAAWyD,KAAiBzD,IAChDA,EAAKvtB,GAAON,EAAMM,GAEd4wB,KACO11B,EAAKiU,KAAOjU,EAAKiU,GAAK,KAC3B,UAAYnP,GAAQ,SAAU+P,GAChCrQ,EAAMM,GAAO+P,MAMrB,IAAK,IAAI/P,KAAON,EAAOmxB,EAAM7wB,QAGjC,OAAO9E,EAQT,SAAS+1B,GACP9V,EACA+V,GAEA,IAAI/T,EAAS7T,KAAK6nB,eAAiB7nB,KAAK6nB,aAAe,IACnDC,EAAOjU,EAAOhC,GAGlB,OAAIiW,IAASF,GASbG,GALAD,EAAOjU,EAAOhC,GAAS7R,KAAK0C,SAASnB,gBAAgBsQ,GAAOtf,KAC1DyN,KAAKgoB,aACL,KACAhoB,MAEgB,aAAe6R,GAAQ,GARhCiW,EAgBX,SAASG,GACPH,EACAjW,EACAnb,GAGA,OADAqxB,GAAWD,EAAO,WAAajW,GAASnb,EAAO,IAAMA,EAAO,KAAM,GAC3DoxB,EAGT,SAASC,GACPD,EACApxB,EACAskB,GAEA,GAAInI,MAAMra,QAAQsvB,GAChB,IAAK,IAAI71B,EAAI,EAAGA,EAAI61B,EAAK31B,OAAQF,IAC3B61B,EAAK71B,IAAyB,iBAAZ61B,EAAK71B,IACzBi2B,GAAeJ,EAAK71B,GAAKyE,EAAM,IAAMzE,EAAI+oB,QAI7CkN,GAAeJ,EAAMpxB,EAAKskB,GAI9B,SAASkN,GAAgB5M,EAAM5kB,EAAKskB,GAClCM,EAAKV,UAAW,EAChBU,EAAK5kB,IAAMA,EACX4kB,EAAKN,OAASA,EAKhB,SAASmN,GAAqBv2B,EAAMwE,GAClC,GAAIA,EACF,GAAKkc,EAAclc,GAKZ,CACL,IAAIyP,EAAKjU,EAAKiU,GAAKjU,EAAKiU,GAAKnL,EAAO,GAAI9I,EAAKiU,IAAM,GACnD,IAAK,IAAInP,KAAON,EAAO,CACrB,IAAI2M,EAAW8C,EAAGnP,GACd0xB,EAAOhyB,EAAMM,GACjBmP,EAAGnP,GAAOqM,EAAW,GAAGE,OAAOF,EAAUqlB,GAAQA,QAIvD,OAAOx2B,EAKT,SAASy2B,GACPlF,EACAtO,EAEAyT,EACAC,GAEA1T,EAAMA,GAAO,CAAE2Q,SAAU8C,GACzB,IAAK,IAAIr2B,EAAI,EAAGA,EAAIkxB,EAAIhxB,OAAQF,IAAK,CACnC,IAAI+yB,EAAO7B,EAAIlxB,GACX4gB,MAAMra,QAAQwsB,GAChBqD,GAAmBrD,EAAMnQ,EAAKyT,GACrBtD,IAELA,EAAKe,QACPf,EAAKjsB,GAAGgtB,OAAQ,GAElBlR,EAAImQ,EAAKtuB,KAAOsuB,EAAKjsB,IAMzB,OAHIwvB,IACF,EAAM9C,KAAO8C,GAER1T,EAKT,SAAS2T,GAAiBC,EAASpY,GACjC,IAAK,IAAIpe,EAAI,EAAGA,EAAIoe,EAAOle,OAAQF,GAAK,EAAG,CACzC,IAAIyE,EAAM2Z,EAAOpe,GACE,iBAARyE,GAAoBA,IAC7B+xB,EAAQpY,EAAOpe,IAAMoe,EAAOpe,EAAI,IASpC,OAAOw2B,EAMT,SAASC,GAAiBtyB,EAAOuyB,GAC/B,MAAwB,iBAAVvyB,EAAqBuyB,EAASvyB,EAAQA,EAKtD,SAASwyB,GAAsB5zB,GAC7BA,EAAO6zB,GAAKZ,GACZjzB,EAAO8zB,GAAK9V,EACZhe,EAAOgR,GAAKzN,EACZvD,EAAO+zB,GAAK/C,GACZhxB,EAAOg0B,GAAK7C,GACZnxB,EAAOi0B,GAAKhU,EACZjgB,EAAOk0B,GAAKvT,EACZ3gB,EAAOm0B,GAAKxB,GACZ3yB,EAAOo0B,GAAK1C,GACZ1xB,EAAOq0B,GAAKvC,GACZ9xB,EAAOs0B,GAAKlC,GACZpyB,EAAO+Q,GAAKwV,GACZvmB,EAAOiR,GAAKoV,GACZrmB,EAAOu0B,GAAKlB,GACZrzB,EAAOw0B,GAAKrB,GACZnzB,EAAOy0B,GAAKjB,GACZxzB,EAAO00B,GAAKhB,GAKd,SAASiB,GACP/3B,EACA+X,EACAwQ,EACA/X,EACA0W,GAEA,IAKI8Q,EALAC,EAAS7pB,KAET3D,EAAUyc,EAAKzc,QAIfuX,EAAOxR,EAAQ,SACjBwnB,EAAYx3B,OAAOqE,OAAO2L,IAEhB0nB,UAAY1nB,GAKtBwnB,EAAYxnB,EAEZA,EAASA,EAAO0nB,WAElB,IAAIC,EAAa5X,EAAO9V,EAAQyF,WAC5BkoB,GAAqBD,EAEzB/pB,KAAKpO,KAAOA,EACZoO,KAAK2J,MAAQA,EACb3J,KAAKma,SAAWA,EAChBna,KAAKoC,OAASA,EACdpC,KAAKiqB,UAAYr4B,EAAKiU,IAAMiM,EAC5B9R,KAAKkqB,WAAaxF,GAAcroB,EAAQsiB,OAAQvc,GAChDpC,KAAK+kB,MAAQ,WAOX,OANK8E,EAAOpD,QACVtB,GACEvzB,EAAKu4B,YACLN,EAAOpD,OAAS3B,GAAa3K,EAAU/X,IAGpCynB,EAAOpD,QAGhBr0B,OAAO0D,eAAekK,KAAM,cAAe,CACzCjK,YAAY,EACZC,IAAK,WACH,OAAOmvB,GAAqBvzB,EAAKu4B,YAAanqB,KAAK+kB,YAKnDgF,IAEF/pB,KAAK0C,SAAWrG,EAEhB2D,KAAKymB,OAASzmB,KAAK+kB,QACnB/kB,KAAKwmB,aAAerB,GAAqBvzB,EAAKu4B,YAAanqB,KAAKymB,SAG9DpqB,EAAQ2F,SACVhC,KAAKmF,GAAK,SAAUxK,EAAGC,EAAGlF,EAAGC,GAC3B,IAAI8lB,EAAQ3nB,GAAc81B,EAAWjvB,EAAGC,EAAGlF,EAAGC,EAAGq0B,GAKjD,OAJIvO,IAAU5I,MAAMra,QAAQijB,KAC1BA,EAAMhB,UAAYpe,EAAQ2F,SAC1ByZ,EAAMlB,UAAYnY,GAEbqZ,GAGTzb,KAAKmF,GAAK,SAAUxK,EAAGC,EAAGlF,EAAGC,GAAK,OAAO7B,GAAc81B,EAAWjvB,EAAGC,EAAGlF,EAAGC,EAAGq0B,IA+ClF,SAASI,GAA8B3O,EAAO7pB,EAAMg4B,EAAWvtB,EAASguB,GAItE,IAAIC,EAAQ9O,GAAWC,GASvB,OARA6O,EAAM/P,UAAYqP,EAClBU,EAAM9P,UAAYne,EAIdzK,EAAKozB,QACNsF,EAAM14B,OAAS04B,EAAM14B,KAAO,KAAKozB,KAAOpzB,EAAKozB,MAEzCsF,EAGT,SAASC,GAAYzjB,EAAID,GACvB,IAAK,IAAInQ,KAAOmQ,EACdC,EAAGiN,EAASrd,IAAQmQ,EAAKnQ,GA7D7BkyB,GAAqBe,GAAwBt3B,WA0E7C,IAAIm4B,GAAsB,CACxBC,KAAM,SAAehP,EAAOiP,GAC1B,GACEjP,EAAMf,oBACLe,EAAMf,kBAAkBiQ,cACzBlP,EAAM7pB,KAAKg5B,UACX,CAEA,IAAIC,EAAcpP,EAClB+O,GAAoBM,SAASD,EAAaA,OACrC,EACOpP,EAAMf,kBA0JxB,SACEe,EACArZ,GAEA,IAAI/F,EAAU,CACZ0uB,cAAc,EACdC,aAAcvP,EACdrZ,OAAQA,GAGN6oB,EAAiBxP,EAAM7pB,KAAKq5B,eAC5B/Y,EAAM+Y,KACR5uB,EAAQiF,OAAS2pB,EAAe3pB,OAChCjF,EAAQkF,gBAAkB0pB,EAAe1pB,iBAE3C,OAAO,IAAIka,EAAMpB,iBAAiBvB,KAAKzc,GAzKG6uB,CACpCzP,EACA0P,KAEIphB,OAAO2gB,EAAYjP,EAAMrB,SAAMhlB,EAAWs1B,KAIpDI,SAAU,SAAmBM,EAAU3P,GACrC,IAAIpf,EAAUof,EAAMpB,kBAw8BxB,SACEhQ,EACAE,EACA0f,EACAoB,EACAC,GAEI,EAUJ,IAAIC,EAAiBF,EAAYz5B,KAAKu4B,YAClCqB,EAAiBnhB,EAAGmc,aACpBiF,KACDF,IAAmBA,EAAe/F,SAClCgG,IAAmB1Z,IAAgB0Z,EAAehG,SAClD+F,GAAkBlhB,EAAGmc,aAAaf,OAAS8F,EAAe9F,MAMzDiG,KACFJ,GACAjhB,EAAG3H,SAASipB,iBACZF,GAGFphB,EAAG3H,SAASsoB,aAAeK,EAC3BhhB,EAAGnI,OAASmpB,EAERhhB,EAAGuhB,SACLvhB,EAAGuhB,OAAOxpB,OAASipB,GAWrB,GATAhhB,EAAG3H,SAASipB,gBAAkBL,EAK9BjhB,EAAGwhB,OAASR,EAAYz5B,KAAK+T,OAASmM,EACtCzH,EAAGyhB,WAAa7B,GAAanY,EAGzBvH,GAAaF,EAAG3H,SAASiH,MAAO,CAClC6S,IAAgB,GAGhB,IAFA,IAAI7S,EAAQU,EAAGmW,OACXuL,EAAW1hB,EAAG3H,SAASspB,WAAa,GAC/B/5B,EAAI,EAAGA,EAAI85B,EAAS55B,OAAQF,IAAK,CACxC,IAAIyE,EAAMq1B,EAAS95B,GACfguB,EAAc5V,EAAG3H,SAASiH,MAC9BA,EAAMjT,GAAOspB,GAAatpB,EAAKupB,EAAa1V,EAAWF,GAEzDmS,IAAgB,GAEhBnS,EAAG3H,SAAS6H,UAAYA,EAI1B0f,EAAYA,GAAanY,EACzB,IAAIma,EAAe5hB,EAAG3H,SAASwpB,iBAC/B7hB,EAAG3H,SAASwpB,iBAAmBjC,EAC/BkC,GAAyB9hB,EAAI4f,EAAWgC,GAGpCP,IACFrhB,EAAGoc,OAAS3B,GAAawG,EAAgBD,EAAYppB,SACrDoI,EAAG+hB,gBAGD,EAjhCFC,CADY5Q,EAAMf,kBAAoB0Q,EAAS1Q,kBAG7Cre,EAAQkO,UACRlO,EAAQ4tB,UACRxO,EACApf,EAAQ8d,WAIZmS,OAAQ,SAAiB7Q,GACvB,IAysC8BpR,EAzsC1BpI,EAAUwZ,EAAMxZ,QAChByY,EAAoBe,EAAMf,kBACzBA,EAAkB6R,aACrB7R,EAAkB6R,YAAa,EAC/BC,GAAS9R,EAAmB,YAE1Be,EAAM7pB,KAAKg5B,YACT3oB,EAAQsqB,aAksCgBliB,EA5rCFqQ,GA+rC3B+R,WAAY,EACfC,GAAkBj6B,KAAK4X,IA9rCjBsiB,GAAuBjS,GAAmB,KAKhDkS,QAAS,SAAkBnR,GACzB,IAAIf,EAAoBe,EAAMf,kBACzBA,EAAkBiQ,eAChBlP,EAAM7pB,KAAKg5B,UA8gCtB,SAASiC,EAA0BxiB,EAAIyiB,GACrC,GAAIA,IACFziB,EAAG0iB,iBAAkB,EACjBC,GAAiB3iB,IACnB,OAGJ,IAAKA,EAAGoiB,UAAW,CACjBpiB,EAAGoiB,WAAY,EACf,IAAK,IAAIx6B,EAAI,EAAGA,EAAIoY,EAAG4iB,UAAU96B,OAAQF,IACvC46B,EAAyBxiB,EAAG4iB,UAAUh7B,IAExCu6B,GAASniB,EAAI,gBAvhCTwiB,CAAyBnS,GAAmB,GAF5CA,EAAkBwS,cAQtBC,GAAe/6B,OAAO2b,KAAKyc,IAE/B,SAAS4C,GACPtU,EACAlnB,EACAqQ,EACAkY,EACAD,GAEA,IAAIlI,EAAQ8G,GAAZ,CAIA,IAAIuU,EAAWprB,EAAQS,SAAS4c,MAShC,GANI3mB,EAASmgB,KACXA,EAAOuU,EAAS3yB,OAAOoe,IAKL,mBAATA,EAAX,CAQA,IAAIwB,EACJ,GAAItI,EAAQ8G,EAAKwU,WAGFl4B,KADb0jB,EA+ZJ,SACEyU,EACAF,GAEA,GAAIlb,EAAOob,EAAQj5B,QAAU4d,EAAMqb,EAAQC,WACzC,OAAOD,EAAQC,UAGjB,GAAItb,EAAMqb,EAAQE,UAChB,OAAOF,EAAQE,SAGjB,IAAIC,EAAQC,GACRD,GAASxb,EAAMqb,EAAQK,UAA8C,IAAnCL,EAAQK,OAAO9uB,QAAQ4uB,IAE3DH,EAAQK,OAAOn7B,KAAKi7B,GAGtB,GAAIvb,EAAOob,EAAQM,UAAY3b,EAAMqb,EAAQO,aAC3C,OAAOP,EAAQO,YAGjB,GAAIJ,IAAUxb,EAAMqb,EAAQK,QAAS,CACnC,IAAIA,EAASL,EAAQK,OAAS,CAACF,GAC3BK,GAAO,EACPC,EAAe,KACfC,EAAe,KAElB,EAAQC,IAAI,kBAAkB,WAAc,OAAO1a,EAAOoa,EAAQF,MAEnE,IAAIS,EAAc,SAAUC,GAC1B,IAAK,IAAIn8B,EAAI,EAAGkB,EAAIy6B,EAAOz7B,OAAQF,EAAIkB,EAAGlB,IACvC27B,EAAO37B,GAAIm6B,eAGVgC,IACFR,EAAOz7B,OAAS,EACK,OAAjB67B,IACFr5B,aAAaq5B,GACbA,EAAe,MAEI,OAAjBC,IACFt5B,aAAas5B,GACbA,EAAe,QAKjBx6B,EAAUmiB,GAAK,SAAUf,GAE3B0Y,EAAQE,SAAWY,GAAWxZ,EAAKwY,GAG9BU,EAGHH,EAAOz7B,OAAS,EAFhBg8B,GAAY,MAMZz6B,EAASkiB,GAAK,SAAU0Y,GAKtBpc,EAAMqb,EAAQC,aAChBD,EAAQj5B,OAAQ,EAChB65B,GAAY,OAIZtZ,EAAM0Y,EAAQ95B,EAASC,GA+C3B,OA7CIiF,EAASkc,KACPlC,EAAUkC,GAER7C,EAAQub,EAAQE,WAClB5Y,EAAI7M,KAAKvU,EAASC,GAEXif,EAAUkC,EAAI0Z,aACvB1Z,EAAI0Z,UAAUvmB,KAAKvU,EAASC,GAExBwe,EAAM2C,EAAIvgB,SACZi5B,EAAQC,UAAYa,GAAWxZ,EAAIvgB,MAAO+4B,IAGxCnb,EAAM2C,EAAIgZ,WACZN,EAAQO,YAAcO,GAAWxZ,EAAIgZ,QAASR,GAC5B,IAAdxY,EAAI2Z,MACNjB,EAAQM,SAAU,EAElBG,EAAe34B,YAAW,WACxB24B,EAAe,KACXhc,EAAQub,EAAQE,WAAazb,EAAQub,EAAQj5B,SAC/Ci5B,EAAQM,SAAU,EAClBM,GAAY,MAEbtZ,EAAI2Z,OAAS,MAIhBtc,EAAM2C,EAAI7gB,WACZi6B,EAAe54B,YAAW,WACxB44B,EAAe,KACXjc,EAAQub,EAAQE,WAClB/5B,EAGM,QAGPmhB,EAAI7gB,YAKb+5B,GAAO,EAEAR,EAAQM,QACXN,EAAQO,YACRP,EAAQE,UAvhBLgB,CADPnU,EAAexB,EAC4BuU,IAKzC,OA6YN,SACEE,EACA37B,EACAqQ,EACAkY,EACAD,GAEA,IAAIoB,EAAOD,KAGX,OAFAC,EAAKhB,aAAeiT,EACpBjS,EAAKL,UAAY,CAAErpB,KAAMA,EAAMqQ,QAASA,EAASkY,SAAUA,EAAUD,IAAKA,GACnEoB,EAvZIoT,CACLpU,EACA1oB,EACAqQ,EACAkY,EACAD,GAKNtoB,EAAOA,GAAQ,GAIf+8B,GAA0B7V,GAGtB5G,EAAMtgB,EAAKg9B,QAwFjB,SAAyBvyB,EAASzK,GAChC,IAAIsuB,EAAQ7jB,EAAQuyB,OAASvyB,EAAQuyB,MAAM1O,MAAS,QAChD1rB,EAAS6H,EAAQuyB,OAASvyB,EAAQuyB,MAAMp6B,OAAU,SACpD5C,EAAK+T,QAAU/T,EAAK+T,MAAQ,KAAKua,GAAQtuB,EAAKg9B,MAAMx4B,MACtD,IAAIyP,EAAKjU,EAAKiU,KAAOjU,EAAKiU,GAAK,IAC3B9C,EAAW8C,EAAGrR,GACdq6B,EAAWj9B,EAAKg9B,MAAMC,SACtB3c,EAAMnP,IAEN8P,MAAMra,QAAQuK,IACsB,IAAhCA,EAASjE,QAAQ+vB,GACjB9rB,IAAa8rB,KAEjBhpB,EAAGrR,GAAS,CAACq6B,GAAU5rB,OAAOF,IAGhC8C,EAAGrR,GAASq6B,EAvGZC,CAAehW,EAAKzc,QAASzK,GAI/B,IAAI2Y,EAr8BN,SACE3Y,EACAknB,EACAoB,GAKA,IAAI+F,EAAcnH,EAAKzc,QAAQsN,MAC/B,IAAIqI,EAAQiO,GAAZ,CAGA,IAAIpL,EAAM,GACNlP,EAAQ/T,EAAK+T,MACbgE,EAAQ/X,EAAK+X,MACjB,GAAIuI,EAAMvM,IAAUuM,EAAMvI,GACxB,IAAK,IAAIjT,KAAOupB,EAAa,CAC3B,IAAIiE,EAAS9P,EAAU1d,GAiBvBstB,GAAUnP,EAAKlL,EAAOjT,EAAKwtB,GAAQ,IACnCF,GAAUnP,EAAKlP,EAAOjP,EAAKwtB,GAAQ,GAGvC,OAAOrP,GA+5BSka,CAA0Bn9B,EAAMknB,GAGhD,GAAI3G,EAAO2G,EAAKzc,QAAQ0F,YACtB,OAxMJ,SACE+W,EACAvO,EACA3Y,EACAg4B,EACAzP,GAEA,IAAI9d,EAAUyc,EAAKzc,QACfsN,EAAQ,GACRsW,EAAc5jB,EAAQsN,MAC1B,GAAIuI,EAAM+N,GACR,IAAK,IAAIvpB,KAAOupB,EACdtW,EAAMjT,GAAOspB,GAAatpB,EAAKupB,EAAa1V,GAAauH,QAGvDI,EAAMtgB,EAAK+T,QAAU4kB,GAAW5gB,EAAO/X,EAAK+T,OAC5CuM,EAAMtgB,EAAK+X,QAAU4gB,GAAW5gB,EAAO/X,EAAK+X,OAGlD,IAAI0gB,EAAgB,IAAIV,GACtB/3B,EACA+X,EACAwQ,EACAyP,EACA9Q,GAGE2C,EAAQpf,EAAQiF,OAAO/O,KAAK,KAAM83B,EAAcllB,GAAIklB,GAExD,GAAI5O,aAAiBxB,GACnB,OAAOmQ,GAA6B3O,EAAO7pB,EAAMy4B,EAAcjoB,OAAQ/F,EAASguB,GAC3E,GAAIxX,MAAMra,QAAQijB,GAAQ,CAG/B,IAFA,IAAIuT,EAAS5K,GAAkB3I,IAAU,GACrC5G,EAAM,IAAIhC,MAAMmc,EAAO78B,QAClBF,EAAI,EAAGA,EAAI+8B,EAAO78B,OAAQF,IACjC4iB,EAAI5iB,GAAKm4B,GAA6B4E,EAAO/8B,GAAIL,EAAMy4B,EAAcjoB,OAAQ/F,EAASguB,GAExF,OAAOxV,GAmKAoa,CAA0BnW,EAAMvO,EAAW3Y,EAAMqQ,EAASkY,GAKnE,IAAI8P,EAAYr4B,EAAKiU,GAKrB,GAFAjU,EAAKiU,GAAKjU,EAAKs9B,SAEX/c,EAAO2G,EAAKzc,QAAQ8yB,UAAW,CAKjC,IAAInK,EAAOpzB,EAAKozB,KAChBpzB,EAAO,GACHozB,IACFpzB,EAAKozB,KAAOA,IAqClB,SAAgCpzB,GAE9B,IADA,IAAI2sB,EAAQ3sB,EAAKiQ,OAASjQ,EAAKiQ,KAAO,IAC7B5P,EAAI,EAAGA,EAAIk7B,GAAah7B,OAAQF,IAAK,CAC5C,IAAIyE,EAAMy2B,GAAal7B,GACnB8Q,EAAWwb,EAAM7nB,GACjB04B,EAAU5E,GAAoB9zB,GAC9BqM,IAAaqsB,GAAarsB,GAAYA,EAASssB,UACjD9Q,EAAM7nB,GAAOqM,EAAWusB,GAAYF,EAASrsB,GAAYqsB,IAvC7DG,CAAsB39B,GAGtB,IAAIsD,EAAO4jB,EAAKzc,QAAQnH,MAAQglB,EAQhC,OAPY,IAAID,GACb,iBAAoBnB,EAAQ,KAAK5jB,EAAQ,IAAMA,EAAQ,IACxDtD,OAAMwD,OAAWA,OAAWA,EAAW6M,EACvC,CAAE6W,KAAMA,EAAMvO,UAAWA,EAAW0f,UAAWA,EAAW/P,IAAKA,EAAKC,SAAUA,GAC9EG,KAoCJ,SAASgV,GAAaE,EAAIC,GACxB,IAAI1L,EAAS,SAAUppB,EAAGC,GAExB40B,EAAG70B,EAAGC,GACN60B,EAAG90B,EAAGC,IAGR,OADAmpB,EAAOsL,SAAU,EACVtL,EAgCT,SAASjwB,GACPmO,EACAiY,EACAtoB,EACAuoB,EACAuV,EACAC,GAUA,OARI9c,MAAMra,QAAQ5G,IAASwgB,EAAYxgB,MACrC89B,EAAoBvV,EACpBA,EAAWvoB,EACXA,OAAOwD,GAEL+c,EAAOwd,KACTD,EAlBmB,GAuBvB,SACEztB,EACAiY,EACAtoB,EACAuoB,EACAuV,GAEA,GAAIxd,EAAMtgB,IAASsgB,EAAM,EAAOgK,QAM9B,OAAOb,KAGLnJ,EAAMtgB,IAASsgB,EAAMtgB,EAAKg+B,MAC5B1V,EAAMtoB,EAAKg+B,IAEb,IAAK1V,EAEH,OAAOmB,KAGL,EAYAxI,MAAMra,QAAQ2hB,IACO,mBAAhBA,EAAS,MAEhBvoB,EAAOA,GAAQ,IACVu4B,YAAc,CAAEplB,QAASoV,EAAS,IACvCA,EAAShoB,OAAS,GAhEC,IAkEjBu9B,EACFvV,EAAWiK,GAAkBjK,GApEV,IAqEVuV,IACTvV,EApiCJ,SAAkCA,GAChC,IAAK,IAAIloB,EAAI,EAAGA,EAAIkoB,EAAShoB,OAAQF,IACnC,GAAI4gB,MAAMra,QAAQ2hB,EAASloB,IACzB,OAAO4gB,MAAMxgB,UAAU4Q,OAAOsI,MAAM,GAAI4O,GAG5C,OAAOA,EA8hCM0V,CAAwB1V,IAErC,IAAIsB,EAAOjlB,EACX,GAAmB,iBAAR0jB,EAAkB,CAC3B,IAAIpB,EACJtiB,EAAMyL,EAAQC,QAAUD,EAAQC,OAAO1L,IAAOoI,EAAOgY,gBAAgBsD,GASnEuB,EARE7c,EAAO6X,cAAcyD,GAQf,IAAID,GACVrb,EAAOiY,qBAAqBqD,GAAMtoB,EAAMuoB,OACxC/kB,OAAWA,EAAW6M,GAEbrQ,GAASA,EAAKk+B,MAAQ5d,EAAM4G,EAAO6G,GAAa1d,EAAQS,SAAU,aAAcwX,IAOnF,IAAID,GACVC,EAAKtoB,EAAMuoB,OACX/kB,OAAWA,EAAW6M,GAPhBmrB,GAAgBtU,EAAMlnB,EAAMqQ,EAASkY,EAAUD,QAYzDuB,EAAQ2R,GAAgBlT,EAAKtoB,EAAMqQ,EAASkY,GAE9C,OAAItH,MAAMra,QAAQijB,GACTA,EACEvJ,EAAMuJ,IACXvJ,EAAM1b,IAQd,SAASu5B,EAAStU,EAAOjlB,EAAIw5B,GAC3BvU,EAAMjlB,GAAKA,EACO,kBAAdilB,EAAMvB,MAER1jB,OAAKpB,EACL46B,GAAQ,GAEV,GAAI9d,EAAMuJ,EAAMtB,UACd,IAAK,IAAIloB,EAAI,EAAGkB,EAAIsoB,EAAMtB,SAAShoB,OAAQF,EAAIkB,EAAGlB,IAAK,CACrD,IAAImpB,EAAQK,EAAMtB,SAASloB,GACvBigB,EAAMkJ,EAAMlB,OACdlI,EAAQoJ,EAAM5kB,KAAQ2b,EAAO6d,IAAwB,QAAd5U,EAAMlB,MAC7C6V,EAAQ3U,EAAO5kB,EAAIw5B,IApBND,CAAQtU,EAAOjlB,GAC5B0b,EAAMtgB,IA4Bd,SAA+BA,GACzB+G,EAAS/G,EAAKqb,QAChBuV,GAAS5wB,EAAKqb,OAEZtU,EAAS/G,EAAKyT,QAChBmd,GAAS5wB,EAAKyT,OAjCK4qB,CAAqBr+B,GACjC6pB,GAEAJ,KA1FF6U,CAAejuB,EAASiY,EAAKtoB,EAAMuoB,EAAUuV,GAiKtD,IAkQI16B,GAlQA24B,GAA2B,KA4E/B,SAASU,GAAY8B,EAAMC,GAOzB,OALED,EAAK55B,YACJyiB,IAA0C,WAA7BmX,EAAKj6B,OAAOC,gBAE1Bg6B,EAAOA,EAAKprB,SAEPpM,EAASw3B,GACZC,EAAK11B,OAAOy1B,GACZA,EA8IN,SAASjV,GAAoBI,GAC3B,OAAOA,EAAKR,WAAaQ,EAAKhB,aAKhC,SAAS+V,GAAwBlW,GAC/B,GAAItH,MAAMra,QAAQ2hB,GAChB,IAAK,IAAIloB,EAAI,EAAGA,EAAIkoB,EAAShoB,OAAQF,IAAK,CACxC,IAAIyD,EAAIykB,EAASloB,GACjB,GAAIigB,EAAMxc,KAAOwc,EAAMxc,EAAE2kB,mBAAqBa,GAAmBxlB,IAC/D,OAAOA,GAsBf,SAAS6M,GAAK/N,EAAOuE,GACnB/D,GAAOk5B,IAAI15B,EAAOuE,GAGpB,SAASu3B,GAAU97B,EAAOuE,GACxB/D,GAAOu7B,KAAK/7B,EAAOuE,GAGrB,SAAS0qB,GAAmBjvB,EAAOuE,GACjC,IAAIy3B,EAAUx7B,GACd,OAAO,SAASy7B,IACd,IAAI5b,EAAM9b,EAAGwS,MAAM,KAAM/Q,WACb,OAARqa,GACF2b,EAAQD,KAAK/7B,EAAOi8B,IAK1B,SAAStE,GACP9hB,EACA4f,EACAgC,GAEAj3B,GAASqV,EACTiZ,GAAgB2G,EAAWgC,GAAgB,GAAI1pB,GAAK+tB,GAAU7M,GAAmBpZ,GACjFrV,QAASI,EAkGX,IAAI+1B,GAAiB,KAGrB,SAASuF,GAAkBrmB,GACzB,IAAIsmB,EAAqBxF,GAEzB,OADAA,GAAiB9gB,EACV,WACL8gB,GAAiBwF,GA2QrB,SAAS3D,GAAkB3iB,GACzB,KAAOA,IAAOA,EAAKA,EAAG4W,UACpB,GAAI5W,EAAGoiB,UAAa,OAAO,EAE7B,OAAO,EAGT,SAASE,GAAwBtiB,EAAIyiB,GACnC,GAAIA,GAEF,GADAziB,EAAG0iB,iBAAkB,EACjBC,GAAiB3iB,GACnB,YAEG,GAAIA,EAAG0iB,gBACZ,OAEF,GAAI1iB,EAAGoiB,WAA8B,OAAjBpiB,EAAGoiB,UAAoB,CACzCpiB,EAAGoiB,WAAY,EACf,IAAK,IAAIx6B,EAAI,EAAGA,EAAIoY,EAAG4iB,UAAU96B,OAAQF,IACvC06B,GAAuBtiB,EAAG4iB,UAAUh7B,IAEtCu6B,GAASniB,EAAI,cAoBjB,SAASmiB,GAAUniB,EAAIxI,GAErBkY,KACA,IAAI6W,EAAWvmB,EAAG3H,SAASb,GACvBkf,EAAOlf,EAAO,QAClB,GAAI+uB,EACF,IAAK,IAAI3+B,EAAI,EAAG4+B,EAAID,EAASz+B,OAAQF,EAAI4+B,EAAG5+B,IAC1CmvB,GAAwBwP,EAAS3+B,GAAIoY,EAAI,KAAMA,EAAI0W,GAGnD1W,EAAGymB,eACLzmB,EAAG0mB,MAAM,QAAUlvB,GAErBmY,KAKF,IAEIgX,GAAQ,GACRtE,GAAoB,GACpBh1B,GAAM,GAENu5B,IAAU,EACVC,IAAW,EACXrf,GAAQ,EAmBZ,IAAIsf,GAAwB,EAGxBC,GAAS7b,KAAK8b,IAQlB,GAAI7Z,IAAcO,EAAM,CACtB,IAAI3B,GAAcjf,OAAOif,YAEvBA,IAC2B,mBAApBA,GAAYib,KACnBD,KAAWv9B,SAASy9B,YAAY,SAASC,YAMzCH,GAAS,WAAc,OAAOhb,GAAYib,QAO9C,SAASG,KAGP,IAAIC,EAASprB,EAcb,IAhBA8qB,GAAwBC,KACxBF,IAAW,EAWXF,GAAMU,MAAK,SAAU/2B,EAAGC,GAAK,OAAOD,EAAE0L,GAAKzL,EAAEyL,MAIxCwL,GAAQ,EAAGA,GAAQmf,GAAM7+B,OAAQ0f,MACpC4f,EAAUT,GAAMnf,KACJ8f,QACVF,EAAQE,SAEVtrB,EAAKorB,EAAQprB,GACb3O,GAAI2O,GAAM,KACVorB,EAAQG,MAmBV,IAAIC,EAAiBnF,GAAkBr1B,QACnCy6B,EAAed,GAAM35B,QAtFzBwa,GAAQmf,GAAM7+B,OAASu6B,GAAkBv6B,OAAS,EAClDuF,GAAM,GAINu5B,GAAUC,IAAW,EAsHvB,SAA6BF,GAC3B,IAAK,IAAI/+B,EAAI,EAAGA,EAAI++B,EAAM7+B,OAAQF,IAChC++B,EAAM/+B,GAAGw6B,WAAY,EACrBE,GAAuBqE,EAAM/+B,IAAI,GAnCnC8/B,CAAmBF,GAUrB,SAA2Bb,GACzB,IAAI/+B,EAAI++B,EAAM7+B,OACd,KAAOF,KAAK,CACV,IAAIw/B,EAAUT,EAAM/+B,GAChBoY,EAAKonB,EAAQpnB,GACbA,EAAG2nB,WAAaP,GAAWpnB,EAAGkiB,aAAeliB,EAAGsgB,cAClD6B,GAASniB,EAAI,YAfjB4nB,CAAiBH,GAIb3b,IAAYvX,EAAOuX,UACrBA,GAAS3V,KAAK,SAsElB,IAAI0xB,GAAQ,EAORC,GAAU,SACZ9nB,EACA+nB,EACA/P,EACAhmB,EACAg2B,GAEAryB,KAAKqK,GAAKA,EACNgoB,IACFhoB,EAAG2nB,SAAWhyB,MAEhBqK,EAAGioB,UAAU7/B,KAAKuN,MAEd3D,GACF2D,KAAKuyB,OAASl2B,EAAQk2B,KACtBvyB,KAAKwyB,OAASn2B,EAAQm2B,KACtBxyB,KAAKyyB,OAASp2B,EAAQo2B,KACtBzyB,KAAK+tB,OAAS1xB,EAAQ0xB,KACtB/tB,KAAK2xB,OAASt1B,EAAQs1B,QAEtB3xB,KAAKuyB,KAAOvyB,KAAKwyB,KAAOxyB,KAAKyyB,KAAOzyB,KAAK+tB,MAAO,EAElD/tB,KAAKqiB,GAAKA,EACVriB,KAAKqG,KAAO6rB,GACZlyB,KAAK6J,QAAS,EACd7J,KAAK0yB,MAAQ1yB,KAAKyyB,KAClBzyB,KAAK2yB,KAAO,GACZ3yB,KAAK4yB,QAAU,GACf5yB,KAAK6yB,OAAS,IAAI9Z,GAClB/Y,KAAK8yB,UAAY,IAAI/Z,GACrB/Y,KAAKoG,WAED,GAEmB,mBAAZgsB,EACTpyB,KAAKpK,OAASw8B,GAEdpyB,KAAKpK,OAx3HT,SAAoBqO,GAClB,IAAIoT,EAAO9G,KAAKtM,GAAhB,CAGA,IAAI8uB,EAAW9uB,EAAKrE,MAAM,KAC1B,OAAO,SAAU9G,GACf,IAAK,IAAI7G,EAAI,EAAGA,EAAI8gC,EAAS5gC,OAAQF,IAAK,CACxC,IAAK6G,EAAO,OACZA,EAAMA,EAAIi6B,EAAS9gC,IAErB,OAAO6G,IA82HOk6B,CAAUZ,GACnBpyB,KAAKpK,SACRoK,KAAKpK,OAASkf,IASlB9U,KAAK5J,MAAQ4J,KAAKyyB,UACdr9B,EACA4K,KAAKhK,OAMXm8B,GAAQ9/B,UAAU2D,IAAM,WAEtB,IAAII,EADJ2jB,GAAW/Z,MAEX,IAAIqK,EAAKrK,KAAKqK,GACd,IACEjU,EAAQ4J,KAAKpK,OAAOrD,KAAK8X,EAAIA,GAC7B,MAAOjX,GACP,IAAI4M,KAAKwyB,KAGP,MAAMp/B,EAFN0tB,GAAY1tB,EAAGiX,EAAK,uBAA2BrK,KAAe,WAAI,KAIpE,QAGIA,KAAKuyB,MACP/P,GAASpsB,GAEX4jB,KACAha,KAAKizB,cAEP,OAAO78B,GAMT+7B,GAAQ9/B,UAAUsnB,OAAS,SAAiByC,GAC1C,IAAI/V,EAAK+V,EAAI/V,GACRrG,KAAK8yB,UAAUp7B,IAAI2O,KACtBrG,KAAK8yB,UAAUvwB,IAAI8D,GACnBrG,KAAK4yB,QAAQngC,KAAK2pB,GACbpc,KAAK6yB,OAAOn7B,IAAI2O,IACnB+V,EAAI7C,OAAOvZ,QAQjBmyB,GAAQ9/B,UAAU4gC,YAAc,WAE9B,IADA,IAAIhhC,EAAI+N,KAAK2yB,KAAKxgC,OACXF,KAAK,CACV,IAAImqB,EAAMpc,KAAK2yB,KAAK1gC,GACf+N,KAAK8yB,UAAUp7B,IAAI0kB,EAAI/V,KAC1B+V,EAAI3C,UAAUzZ,MAGlB,IAAIkzB,EAAMlzB,KAAK6yB,OACf7yB,KAAK6yB,OAAS7yB,KAAK8yB,UACnB9yB,KAAK8yB,UAAYI,EACjBlzB,KAAK8yB,UAAU1Z,QACf8Z,EAAMlzB,KAAK2yB,KACX3yB,KAAK2yB,KAAO3yB,KAAK4yB,QACjB5yB,KAAK4yB,QAAUM,EACflzB,KAAK4yB,QAAQzgC,OAAS,GAOxBggC,GAAQ9/B,UAAUwnB,OAAS,WAErB7Z,KAAKyyB,KACPzyB,KAAK0yB,OAAQ,EACJ1yB,KAAK+tB,KACd/tB,KAAK4xB,MAnKT,SAAuBH,GACrB,IAAIprB,EAAKorB,EAAQprB,GACjB,GAAe,MAAX3O,GAAI2O,GAAa,CAEnB,GADA3O,GAAI2O,IAAM,EACL6qB,GAEE,CAIL,IADA,IAAIj/B,EAAI++B,GAAM7+B,OAAS,EAChBF,EAAI4f,IAASmf,GAAM/+B,GAAGoU,GAAKorB,EAAQprB,IACxCpU,IAEF++B,GAAMrd,OAAO1hB,EAAI,EAAG,EAAGw/B,QARvBT,GAAMv+B,KAAKg/B,GAWRR,KACHA,IAAU,EAMV7O,GAASoP,MA8IX2B,CAAanzB,OAQjBmyB,GAAQ9/B,UAAUu/B,IAAM,WACtB,GAAI5xB,KAAK6J,OAAQ,CACf,IAAIzT,EAAQ4J,KAAKhK,MACjB,GACEI,IAAU4J,KAAK5J,OAIfuC,EAASvC,IACT4J,KAAKuyB,KACL,CAEA,IAAIa,EAAWpzB,KAAK5J,MAEpB,GADA4J,KAAK5J,MAAQA,EACT4J,KAAKwyB,KACP,IACExyB,KAAKqiB,GAAG9vB,KAAKyN,KAAKqK,GAAIjU,EAAOg9B,GAC7B,MAAOhgC,GACP0tB,GAAY1tB,EAAG4M,KAAKqK,GAAK,yBAA6BrK,KAAe,WAAI,UAG3EA,KAAKqiB,GAAG9vB,KAAKyN,KAAKqK,GAAIjU,EAAOg9B,MAUrCjB,GAAQ9/B,UAAUghC,SAAW,WAC3BrzB,KAAK5J,MAAQ4J,KAAKhK,MAClBgK,KAAK0yB,OAAQ,GAMfP,GAAQ9/B,UAAUqnB,OAAS,WAEzB,IADA,IAAIznB,EAAI+N,KAAK2yB,KAAKxgC,OACXF,KACL+N,KAAK2yB,KAAK1gC,GAAGynB,UAOjByY,GAAQ9/B,UAAUihC,SAAW,WAC3B,GAAItzB,KAAK6J,OAAQ,CAIV7J,KAAKqK,GAAGkpB,mBACX/f,EAAOxT,KAAKqK,GAAGioB,UAAWtyB,MAG5B,IADA,IAAI/N,EAAI+N,KAAK2yB,KAAKxgC,OACXF,KACL+N,KAAK2yB,KAAK1gC,GAAGwnB,UAAUzZ,MAEzBA,KAAK6J,QAAS,IAMlB,IAAI2pB,GAA2B,CAC7Bz9B,YAAY,EACZ2O,cAAc,EACd1O,IAAK8e,EACL5R,IAAK4R,GAGP,SAASiR,GAAO/wB,EAAQy+B,EAAW/8B,GACjC88B,GAAyBx9B,IAAM,WAC7B,OAAOgK,KAAKyzB,GAAW/8B,IAEzB88B,GAAyBtwB,IAAM,SAAsBzK,GACnDuH,KAAKyzB,GAAW/8B,GAAO+B,GAEzBrG,OAAO0D,eAAed,EAAQ0B,EAAK88B,IAGrC,SAASE,GAAWrpB,GAClBA,EAAGioB,UAAY,GACf,IAAI/Z,EAAOlO,EAAG3H,SACV6V,EAAK5O,OAaX,SAAoBU,EAAIspB,GACtB,IAAIppB,EAAYF,EAAG3H,SAAS6H,WAAa,GACrCZ,EAAQU,EAAGmW,OAAS,GAGpBzS,EAAO1D,EAAG3H,SAASspB,UAAY,GACrB3hB,EAAG4W,SAGfzE,IAAgB,GAElB,IAAI+K,EAAO,SAAW7wB,GACpBqX,EAAKtb,KAAKiE,GACV,IAAIN,EAAQ4pB,GAAatpB,EAAKi9B,EAAcppB,EAAWF,GAuBrD8S,GAAkBxT,EAAOjT,EAAKN,GAK1BM,KAAO2T,GACX0b,GAAM1b,EAAI,SAAU3T,IAIxB,IAAK,IAAIA,KAAOi9B,EAAcpM,EAAM7wB,GACpC8lB,IAAgB,GA5DEoX,CAAUvpB,EAAIkO,EAAK5O,OACjC4O,EAAK5I,SAoNX,SAAsBtF,EAAIsF,GACZtF,EAAG3H,SAASiH,MACxB,IAAK,IAAIjT,KAAOiZ,EAsBdtF,EAAG3T,GAA+B,mBAAjBiZ,EAAQjZ,GAAsBoe,EAAOne,EAAKgZ,EAAQjZ,GAAM2T,GA5OvDwpB,CAAYxpB,EAAIkO,EAAK5I,SACrC4I,EAAK3mB,KA6DX,SAAmByY,GACjB,IAAIzY,EAAOyY,EAAG3H,SAAS9Q,KAIlB0gB,EAHL1gB,EAAOyY,EAAGypB,MAAwB,mBAATliC,EAwC3B,SAAkBA,EAAMyY,GAEtB0P,KACA,IACE,OAAOnoB,EAAKW,KAAK8X,EAAIA,GACrB,MAAOjX,GAEP,OADA0tB,GAAY1tB,EAAGiX,EAAI,UACZ,GACP,QACA2P,MAhDE+Z,CAAQniC,EAAMyY,GACdzY,GAAQ,MAEVA,EAAO,IAQT,IAAImc,EAAO3b,OAAO2b,KAAKnc,GACnB+X,EAAQU,EAAG3H,SAASiH,MAEpB1X,GADUoY,EAAG3H,SAASiN,QAClB5B,EAAK5b,QACb,KAAOF,KAAK,CACV,IAAIyE,EAAMqX,EAAK9b,GACX,EAQA0X,GAASiK,EAAOjK,EAAOjT,IAMfwgB,EAAWxgB,IACrBqvB,GAAM1b,EAAI,QAAS3T,GAIvBqmB,GAAQnrB,GAAM,GAnGZoiC,CAAS3pB,GAET0S,GAAQ1S,EAAGypB,MAAQ,IAAI,GAErBvb,EAAKqG,UAiHX,SAAuBvU,EAAIuU,GAEzB,IAAIqV,EAAW5pB,EAAG6pB,kBAAoB9hC,OAAOqE,OAAO,MAEhD09B,EAAQ1b,KAEZ,IAAK,IAAI/hB,KAAOkoB,EAAU,CACxB,IAAIwV,EAAUxV,EAASloB,GACnBd,EAA4B,mBAAZw+B,EAAyBA,EAAUA,EAAQp+B,IAC3D,EAOCm+B,IAEHF,EAASv9B,GAAO,IAAIy7B,GAClB9nB,EACAzU,GAAUkf,EACVA,EACAuf,KAOE39B,KAAO2T,GACXiqB,GAAejqB,EAAI3T,EAAK09B,IA/IPG,CAAalqB,EAAIkO,EAAKqG,UACvCrG,EAAKF,OAASE,EAAKF,QAAUD,IAyOnC,SAAoB/N,EAAIgO,GACtB,IAAK,IAAI3hB,KAAO2hB,EAAO,CACrB,IAAIhY,EAAUgY,EAAM3hB,GACpB,GAAImc,MAAMra,QAAQ6H,GAChB,IAAK,IAAIpO,EAAI,EAAGA,EAAIoO,EAAQlO,OAAQF,IAClCuiC,GAAcnqB,EAAI3T,EAAK2J,EAAQpO,SAGjCuiC,GAAcnqB,EAAI3T,EAAK2J,IAhPzBo0B,CAAUpqB,EAAIkO,EAAKF,OA6GvB,IAAIgc,GAAyB,CAAE5B,MAAM,GA2CrC,SAAS6B,GACPt/B,EACA0B,EACA09B,GAEA,IAAIM,GAAejc,KACI,mBAAZ2b,GACTZ,GAAyBx9B,IAAM0+B,EAC3BC,GAAqBj+B,GACrBk+B,GAAoBR,GACxBZ,GAAyBtwB,IAAM4R,IAE/B0e,GAAyBx9B,IAAMo+B,EAAQp+B,IACnC0+B,IAAiC,IAAlBN,EAAQ5oB,MACrBmpB,GAAqBj+B,GACrBk+B,GAAoBR,EAAQp+B,KAC9B8e,EACJ0e,GAAyBtwB,IAAMkxB,EAAQlxB,KAAO4R,GAWhD1iB,OAAO0D,eAAed,EAAQ0B,EAAK88B,IAGrC,SAASmB,GAAsBj+B,GAC7B,OAAO,WACL,IAAI+6B,EAAUzxB,KAAKk0B,mBAAqBl0B,KAAKk0B,kBAAkBx9B,GAC/D,GAAI+6B,EAOF,OANIA,EAAQiB,OACVjB,EAAQ4B,WAENha,GAAIrkB,QACNy8B,EAAQ/X,SAEH+X,EAAQr7B,OAKrB,SAASw+B,GAAoB77B,GAC3B,OAAO,WACL,OAAOA,EAAGxG,KAAKyN,KAAMA,OA6CzB,SAASw0B,GACPnqB,EACA+nB,EACA/xB,EACAhE,GASA,OAPIiW,EAAcjS,KAChBhE,EAAUgE,EACVA,EAAUA,EAAQA,SAEG,iBAAZA,IACTA,EAAUgK,EAAGhK,IAERgK,EAAGwqB,OAAOzC,EAAS/xB,EAAShE,GAwDrC,IAAIy4B,GAAQ,EAgFZ,SAASnG,GAA2B7V,GAClC,IAAIzc,EAAUyc,EAAKzc,QACnB,GAAIyc,EAAKic,MAAO,CACd,IAAIC,EAAerG,GAA0B7V,EAAKic,OAElD,GAAIC,IADqBlc,EAAKkc,aACW,CAGvClc,EAAKkc,aAAeA,EAEpB,IAAIC,EAcV,SAAiCnc,GAC/B,IAAIoc,EACAC,EAASrc,EAAKzc,QACd+4B,EAAStc,EAAKuc,cAClB,IAAK,IAAI3+B,KAAOy+B,EACVA,EAAOz+B,KAAS0+B,EAAO1+B,KACpBw+B,IAAYA,EAAW,IAC5BA,EAASx+B,GAAOy+B,EAAOz+B,IAG3B,OAAOw+B,EAxBmBI,CAAuBxc,GAEzCmc,GACFv6B,EAAOoe,EAAKyc,cAAeN,IAE7B54B,EAAUyc,EAAKzc,QAAU0iB,GAAaiW,EAAclc,EAAKyc,gBAC7CrgC,OACVmH,EAAQm5B,WAAWn5B,EAAQnH,MAAQ4jB,IAIzC,OAAOzc,EAgBT,SAASoN,GAAKpN,GAMZ2D,KAAKy1B,MAAMp5B,GA0Cb,SAASq5B,GAAYjsB,GAMnBA,EAAI6jB,IAAM,EACV,IAAIA,EAAM,EAKV7jB,EAAI/O,OAAS,SAAU66B,GACrBA,EAAgBA,GAAiB,GACjC,IAAII,EAAQ31B,KACR41B,EAAUD,EAAMrI,IAChBuI,EAAcN,EAAcO,QAAUP,EAAcO,MAAQ,IAChE,GAAID,EAAYD,GACd,OAAOC,EAAYD,GAGrB,IAAI1gC,EAAOqgC,EAAcrgC,MAAQygC,EAAMt5B,QAAQnH,KAK/C,IAAI6gC,EAAM,SAAuB15B,GAC/B2D,KAAKy1B,MAAMp5B,IA6Cb,OA3CA05B,EAAI1jC,UAAYD,OAAOqE,OAAOk/B,EAAMtjC,YACtB6G,YAAc68B,EAC5BA,EAAIzI,IAAMA,IACVyI,EAAI15B,QAAU0iB,GACZ4W,EAAMt5B,QACNk5B,GAEFQ,EAAW,MAAIJ,EAKXI,EAAI15B,QAAQsN,OAmCpB,SAAsBqsB,GACpB,IAAIrsB,EAAQqsB,EAAK35B,QAAQsN,MACzB,IAAK,IAAIjT,KAAOiT,EACdoc,GAAMiQ,EAAK3jC,UAAW,SAAUqE,GArC9Bu/B,CAAYF,GAEVA,EAAI15B,QAAQuiB,UAuCpB,SAAyBoX,GACvB,IAAIpX,EAAWoX,EAAK35B,QAAQuiB,SAC5B,IAAK,IAAIloB,KAAOkoB,EACd0V,GAAe0B,EAAK3jC,UAAWqE,EAAKkoB,EAASloB,IAzC3Cw/B,CAAeH,GAIjBA,EAAIr7B,OAASi7B,EAAMj7B,OACnBq7B,EAAII,MAAQR,EAAMQ,MAClBJ,EAAIK,IAAMT,EAAMS,IAIhBtgB,EAAYjd,SAAQ,SAAU/D,GAC5BihC,EAAIjhC,GAAQ6gC,EAAM7gC,MAGhBI,IACF6gC,EAAI15B,QAAQm5B,WAAWtgC,GAAQ6gC,GAMjCA,EAAIf,aAAeW,EAAMt5B,QACzB05B,EAAIR,cAAgBA,EACpBQ,EAAIV,cAAgB36B,EAAO,GAAIq7B,EAAI15B,SAGnCw5B,EAAYD,GAAWG,EAChBA,GAsDX,SAASM,GAAkB9d,GACzB,OAAOA,IAASA,EAAKO,KAAKzc,QAAQnH,MAAQqjB,EAAK2B,KAGjD,SAASoc,GAASC,EAASrhC,GACzB,OAAI2d,MAAMra,QAAQ+9B,GACTA,EAAQz3B,QAAQ5J,IAAS,EACJ,iBAAZqhC,EACTA,EAAQ32B,MAAM,KAAKd,QAAQ5J,IAAS,IAClCqd,EAASgkB,IACXA,EAAQhmB,KAAKrb,GAMxB,SAASshC,GAAYC,EAAmBC,GACtC,IAAIlrB,EAAQirB,EAAkBjrB,MAC1BuC,EAAO0oB,EAAkB1oB,KACzB6d,EAAS6K,EAAkB7K,OAC/B,IAAK,IAAIl1B,KAAO8U,EAAO,CACrB,IAAImrB,EAAanrB,EAAM9U,GACvB,GAAIigC,EAAY,CACd,IAAIzhC,EAAOmhC,GAAiBM,EAAWtc,kBACnCnlB,IAASwhC,EAAOxhC,IAClB0hC,GAAgBprB,EAAO9U,EAAKqX,EAAM6d,KAM1C,SAASgL,GACPprB,EACA9U,EACAqX,EACAhH,GAEA,IAAI8vB,EAAYrrB,EAAM9U,IAClBmgC,GAAe9vB,GAAW8vB,EAAU3c,MAAQnT,EAAQmT,KACtD2c,EAAUnc,kBAAkBwS,WAE9B1hB,EAAM9U,GAAO,KACb8c,EAAOzF,EAAMrX,IA3Uf,SAAoB+S,GAClBA,EAAIpX,UAAUojC,MAAQ,SAAUp5B,GAC9B,IAAIgO,EAAKrK,KAETqK,EAAGysB,KAAOhC,KAWVzqB,EAAG6S,QAAS,EAER7gB,GAAWA,EAAQ0uB,aA0C3B,SAAgC1gB,EAAIhO,GAClC,IAAIkc,EAAOlO,EAAG3H,SAAWtQ,OAAOqE,OAAO4T,EAAGnR,YAAYmD,SAElDgvB,EAAchvB,EAAQ2uB,aAC1BzS,EAAKnW,OAAS/F,EAAQ+F,OACtBmW,EAAKyS,aAAeK,EAEpB,IAAI0L,EAAwB1L,EAAYhR,iBACxC9B,EAAKhO,UAAYwsB,EAAsBxsB,UACvCgO,EAAK2T,iBAAmB6K,EAAsB9M,UAC9C1R,EAAKoT,gBAAkBoL,EAAsB5c,SAC7C5B,EAAKye,cAAgBD,EAAsB7c,IAEvC7d,EAAQiF,SACViX,EAAKjX,OAASjF,EAAQiF,OACtBiX,EAAKhX,gBAAkBlF,EAAQkF,iBArD7B01B,CAAsB5sB,EAAIhO,GAE1BgO,EAAG3H,SAAWqc,GACZ4P,GAA0BtkB,EAAGnR,aAC7BmD,GAAW,GACXgO,GAOFA,EAAG2d,aAAe3d,EAGpBA,EAAGjF,MAAQiF,EApkCf,SAAwBA,GACtB,IAAIhO,EAAUgO,EAAG3H,SAGbN,EAAS/F,EAAQ+F,OACrB,GAAIA,IAAW/F,EAAQ8yB,SAAU,CAC/B,KAAO/sB,EAAOM,SAASysB,UAAY/sB,EAAO6e,SACxC7e,EAASA,EAAO6e,QAElB7e,EAAO6qB,UAAUx6B,KAAK4X,GAGxBA,EAAG4W,QAAU7e,EACbiI,EAAG5H,MAAQL,EAASA,EAAOK,MAAQ4H,EAEnCA,EAAG4iB,UAAY,GACf5iB,EAAG6sB,MAAQ,GAEX7sB,EAAG2nB,SAAW,KACd3nB,EAAGoiB,UAAY,KACfpiB,EAAG0iB,iBAAkB,EACrB1iB,EAAGkiB,YAAa,EAChBliB,EAAGsgB,cAAe,EAClBtgB,EAAGkpB,mBAAoB,EA8iCrB4D,CAAc9sB,GAvtClB,SAAqBA,GACnBA,EAAG+sB,QAAUhlC,OAAOqE,OAAO,MAC3B4T,EAAGymB,eAAgB,EAEnB,IAAI7G,EAAY5f,EAAG3H,SAASwpB,iBACxBjC,GACFkC,GAAyB9hB,EAAI4f,GAktC7BoN,CAAWhtB,GAn/Cf,SAAqBA,GACnBA,EAAGuhB,OAAS,KACZvhB,EAAGwd,aAAe,KAClB,IAAIxrB,EAAUgO,EAAG3H,SACb2oB,EAAchhB,EAAGnI,OAAS7F,EAAQ2uB,aAClCX,EAAgBgB,GAAeA,EAAYppB,QAC/CoI,EAAGoc,OAAS3B,GAAazoB,EAAQsvB,gBAAiBtB,GAClDhgB,EAAGmc,aAAe1U,EAKlBzH,EAAGlF,GAAK,SAAUxK,EAAGC,EAAGlF,EAAGC,GAAK,OAAO7B,GAAcuW,EAAI1P,EAAGC,EAAGlF,EAAGC,GAAG,IAGrE0U,EAAGnF,eAAiB,SAAUvK,EAAGC,EAAGlF,EAAGC,GAAK,OAAO7B,GAAcuW,EAAI1P,EAAGC,EAAGlF,EAAGC,GAAG,IAIjF,IAAI2hC,EAAajM,GAAeA,EAAYz5B,KAW1CurB,GAAkB9S,EAAI,SAAUitB,GAAcA,EAAW3xB,OAASmM,EAAa,MAAM,GACrFqL,GAAkB9S,EAAI,aAAchO,EAAQ6vB,kBAAoBpa,EAAa,MAAM,GAq9CnFylB,CAAWltB,GACXmiB,GAASniB,EAAI,gBAlhFjB,SAAyBA,GACvB,IAAI/P,EAASoqB,GAAcra,EAAG3H,SAASic,OAAQtU,GAC3C/P,IACFkiB,IAAgB,GAChBpqB,OAAO2b,KAAKzT,GAAQzB,SAAQ,SAAUnC,GAYlCymB,GAAkB9S,EAAI3T,EAAK4D,EAAO5D,OAGtC8lB,IAAgB,IAggFhBgb,CAAentB,GACfqpB,GAAUrpB,GA7hFd,SAAsBA,GACpB,IAAIwU,EAAUxU,EAAG3H,SAASmc,QACtBA,IACFxU,EAAGua,UAA+B,mBAAZ/F,EAClBA,EAAQtsB,KAAK8X,GACbwU,GAyhFJ4Y,CAAYptB,GACZmiB,GAASniB,EAAI,WASTA,EAAG3H,SAASwH,IACdG,EAAGN,OAAOM,EAAG3H,SAASwH,KAsE5BwtB,CAAUjuB,IAnLV,SAAqBA,GAInB,IAAIkuB,EAAU,CACd,IAAc,WAAc,OAAO33B,KAAK8zB,QACpC8D,EAAW,CACf,IAAe,WAAc,OAAO53B,KAAKwgB,SAazCpuB,OAAO0D,eAAe2T,EAAIpX,UAAW,QAASslC,GAC9CvlC,OAAO0D,eAAe2T,EAAIpX,UAAW,SAAUulC,GAE/CnuB,EAAIpX,UAAUwlC,KAAO30B,GACrBuG,EAAIpX,UAAUylC,QAAUna,GAExBlU,EAAIpX,UAAUwiC,OAAS,SACrBzC,EACA/P,EACAhmB,GAGA,GAAIiW,EAAc+P,GAChB,OAAOmS,GAFAx0B,KAEkBoyB,EAAS/P,EAAIhmB,IAExCA,EAAUA,GAAW,IACbm2B,MAAO,EACf,IAAIf,EAAU,IAAIU,GANTnyB,KAMqBoyB,EAAS/P,EAAIhmB,GAC3C,GAAIA,EAAQ07B,UACV,IACE1V,EAAG9vB,KATEyN,KASOyxB,EAAQr7B,OACpB,MAAO9B,GACPwsB,GAAYxsB,EAXP0L,KAWmB,mCAAuCyxB,EAAkB,WAAI,KAGzF,OAAO,WACLA,EAAQ6B,aAsId0E,CAAWvuB,IAvwCX,SAAsBA,GACpB,IAAIwuB,EAAS,SACbxuB,EAAIpX,UAAU67B,IAAM,SAAU15B,EAAOuE,GACnC,IAAIsR,EAAKrK,KACT,GAAI6S,MAAMra,QAAQhE,GAChB,IAAK,IAAIvC,EAAI,EAAGkB,EAAIqB,EAAMrC,OAAQF,EAAIkB,EAAGlB,IACvCoY,EAAG6jB,IAAI15B,EAAMvC,GAAI8G,QAGlBsR,EAAG+sB,QAAQ5iC,KAAW6V,EAAG+sB,QAAQ5iC,GAAS,KAAK/B,KAAKsG,GAGjDk/B,EAAO1nB,KAAK/b,KACd6V,EAAGymB,eAAgB,GAGvB,OAAOzmB,GAGTZ,EAAIpX,UAAU6lC,MAAQ,SAAU1jC,EAAOuE,GACrC,IAAIsR,EAAKrK,KACT,SAAS6F,IACPwE,EAAGkmB,KAAK/7B,EAAOqR,GACf9M,EAAGwS,MAAMlB,EAAI7P,WAIf,OAFAqL,EAAG9M,GAAKA,EACRsR,EAAG6jB,IAAI15B,EAAOqR,GACPwE,GAGTZ,EAAIpX,UAAUk+B,KAAO,SAAU/7B,EAAOuE,GACpC,IAAIsR,EAAKrK,KAET,IAAKxF,UAAUrI,OAEb,OADAkY,EAAG+sB,QAAUhlC,OAAOqE,OAAO,MACpB4T,EAGT,GAAIwI,MAAMra,QAAQhE,GAAQ,CACxB,IAAK,IAAI2jC,EAAM,EAAGhlC,EAAIqB,EAAMrC,OAAQgmC,EAAMhlC,EAAGglC,IAC3C9tB,EAAGkmB,KAAK/7B,EAAM2jC,GAAMp/B,GAEtB,OAAOsR,EAGT,IASIgY,EATA+V,EAAM/tB,EAAG+sB,QAAQ5iC,GACrB,IAAK4jC,EACH,OAAO/tB,EAET,IAAKtR,EAEH,OADAsR,EAAG+sB,QAAQ5iC,GAAS,KACb6V,EAKT,IADA,IAAIpY,EAAImmC,EAAIjmC,OACLF,KAEL,IADAowB,EAAK+V,EAAInmC,MACE8G,GAAMspB,EAAGtpB,KAAOA,EAAI,CAC7Bq/B,EAAIzkB,OAAO1hB,EAAG,GACd,MAGJ,OAAOoY,GAGTZ,EAAIpX,UAAU0+B,MAAQ,SAAUv8B,GAC9B,IAAI6V,EAAKrK,KAaLo4B,EAAM/tB,EAAG+sB,QAAQ5iC,GACrB,GAAI4jC,EAAK,CACPA,EAAMA,EAAIjmC,OAAS,EAAIqiB,EAAQ4jB,GAAOA,EAGtC,IAFA,IAAItc,EAAOtH,EAAQha,UAAW,GAC1BumB,EAAO,sBAAyBvsB,EAAQ,IACnCvC,EAAI,EAAGkB,EAAIilC,EAAIjmC,OAAQF,EAAIkB,EAAGlB,IACrCmvB,GAAwBgX,EAAInmC,GAAIoY,EAAIyR,EAAMzR,EAAI0W,GAGlD,OAAO1W,GA+qCXguB,CAAY5uB,IApoCZ,SAAyBA,GACvBA,EAAIpX,UAAUimC,QAAU,SAAU7c,EAAOiP,GACvC,IAAIrgB,EAAKrK,KACLu4B,EAASluB,EAAGG,IACZguB,EAAYnuB,EAAGuhB,OACf6M,EAAwB/H,GAAkBrmB,GAC9CA,EAAGuhB,OAASnQ,EAQVpR,EAAGG,IALAguB,EAKMnuB,EAAGquB,UAAUF,EAAW/c,GAHxBpR,EAAGquB,UAAUruB,EAAGG,IAAKiR,EAAOiP,GAAW,GAKlD+N,IAEIF,IACFA,EAAOI,QAAU,MAEftuB,EAAGG,MACLH,EAAGG,IAAImuB,QAAUtuB,GAGfA,EAAGnI,QAAUmI,EAAG4W,SAAW5W,EAAGnI,SAAWmI,EAAG4W,QAAQ2K,SACtDvhB,EAAG4W,QAAQzW,IAAMH,EAAGG,MAMxBf,EAAIpX,UAAU+5B,aAAe,WAClBpsB,KACFgyB,UADEhyB,KAEJgyB,SAASnY,UAIhBpQ,EAAIpX,UAAU66B,SAAW,WACvB,IAAI7iB,EAAKrK,KACT,IAAIqK,EAAGkpB,kBAAP,CAGA/G,GAASniB,EAAI,iBACbA,EAAGkpB,mBAAoB,EAEvB,IAAInxB,EAASiI,EAAG4W,SACZ7e,GAAWA,EAAOmxB,mBAAsBlpB,EAAG3H,SAASysB,UACtD3b,EAAOpR,EAAO6qB,UAAW5iB,GAGvBA,EAAG2nB,UACL3nB,EAAG2nB,SAASsB,WAGd,IADA,IAAIrhC,EAAIoY,EAAGioB,UAAUngC,OACdF,KACLoY,EAAGioB,UAAUrgC,GAAGqhC,WAIdjpB,EAAGypB,MAAM5X,QACX7R,EAAGypB,MAAM5X,OAAOQ,UAGlBrS,EAAGsgB,cAAe,EAElBtgB,EAAGquB,UAAUruB,EAAGuhB,OAAQ,MAExBY,GAASniB,EAAI,aAEbA,EAAGkmB,OAEClmB,EAAGG,MACLH,EAAGG,IAAImuB,QAAU,MAGftuB,EAAGnI,SACLmI,EAAGnI,OAAOE,OAAS,QAujCzBw2B,CAAenvB,IAviDf,SAAsBA,GAEpBmf,GAAqBnf,EAAIpX,WAEzBoX,EAAIpX,UAAUwmC,UAAY,SAAU9/B,GAClC,OAAOqpB,GAASrpB,EAAIiH,OAGtByJ,EAAIpX,UAAUymC,QAAU,WACtB,IAiBIrd,EAjBApR,EAAKrK,KACL+4B,EAAM1uB,EAAG3H,SACTpB,EAASy3B,EAAIz3B,OACb0pB,EAAe+N,EAAI/N,aAEnBA,IACF3gB,EAAGmc,aAAerB,GAChB6F,EAAap5B,KAAKu4B,YAClB9f,EAAGoc,OACHpc,EAAGmc,eAMPnc,EAAGnI,OAAS8oB,EAGZ,IAIE2C,GAA2BtjB,EAC3BoR,EAAQna,EAAO/O,KAAK8X,EAAG2d,aAAc3d,EAAGnF,gBACxC,MAAO9R,GACP0tB,GAAY1tB,EAAGiX,EAAI,UAYjBoR,EAAQpR,EAAGuhB,OAEb,QACA+B,GAA2B,KAmB7B,OAhBI9a,MAAMra,QAAQijB,IAA2B,IAAjBA,EAAMtpB,SAChCspB,EAAQA,EAAM,IAGVA,aAAiBxB,KAQrBwB,EAAQJ,MAGVI,EAAMrZ,OAAS4oB,EACRvP,GAo+CXud,CAAYvvB,IA8MZ,IAAIwvB,GAAe,CAAC/9B,OAAQ2V,OAAQgC,OAiFhCqmB,GAAoB,CACtBC,UAhFc,CACdjkC,KAAM,aACNi6B,UAAU,EAEVxlB,MAAO,CACLyvB,QAASH,GACTI,QAASJ,GACTvb,IAAK,CAACxiB,OAAQiT,SAGhBmrB,QAAS,WACPt5B,KAAKwL,MAAQpZ,OAAOqE,OAAO,MAC3BuJ,KAAK+N,KAAO,IAGdwrB,UAAW,WACT,IAAK,IAAI7iC,KAAOsJ,KAAKwL,MACnBorB,GAAgB52B,KAAKwL,MAAO9U,EAAKsJ,KAAK+N,OAI1CyrB,QAAS,WACP,IAAI3P,EAAS7pB,KAEbA,KAAK60B,OAAO,WAAW,SAAUp8B,GAC/B+9B,GAAW3M,GAAQ,SAAU30B,GAAQ,OAAOohC,GAAQ79B,EAAKvD,SAE3D8K,KAAK60B,OAAO,WAAW,SAAUp8B,GAC/B+9B,GAAW3M,GAAQ,SAAU30B,GAAQ,OAAQohC,GAAQ79B,EAAKvD,UAI9DoM,OAAQ,WACN,IAAI0jB,EAAOhlB,KAAKymB,OAAO1hB,QACnB0W,EAAQ4U,GAAuBrL,GAC/B3K,EAAmBoB,GAASA,EAAMpB,iBACtC,GAAIA,EAAkB,CAEpB,IAAInlB,EAAOmhC,GAAiBhc,GAExB+e,EADMp5B,KACQo5B,QACdC,EAFMr5B,KAEQq5B,QAClB,GAEGD,KAAalkC,IAASohC,GAAQ8C,EAASlkC,KAEvCmkC,GAAWnkC,GAAQohC,GAAQ+C,EAASnkC,GAErC,OAAOumB,EAGT,IACIjQ,EADQxL,KACMwL,MACduC,EAFQ/N,KAEK+N,KACbrX,EAAmB,MAAb+kB,EAAM/kB,IAGZ2jB,EAAiBvB,KAAKwU,KAAOjT,EAAiBH,IAAO,KAAQG,EAAoB,IAAK,IACtFoB,EAAM/kB,IACN8U,EAAM9U,IACR+kB,EAAMf,kBAAoBlP,EAAM9U,GAAKgkB,kBAErClH,EAAOzF,EAAMrX,GACbqX,EAAKtb,KAAKiE,KAEV8U,EAAM9U,GAAO+kB,EACb1N,EAAKtb,KAAKiE,GAENsJ,KAAK0d,KAAO3P,EAAK5b,OAASsnC,SAASz5B,KAAK0d,MAC1CkZ,GAAgBprB,EAAOuC,EAAK,GAAIA,EAAM/N,KAAK4rB,SAI/CnQ,EAAM7pB,KAAKg5B,WAAY,EAEzB,OAAOnP,GAAUuJ,GAAQA,EAAK,OAUlC,SAAwBvb,GAEtB,IAAIiwB,EAAY,CAChB,IAAgB,WAAc,OAAO96B,IAQrCxM,OAAO0D,eAAe2T,EAAK,SAAUiwB,GAKrCjwB,EAAIkwB,KAAO,CACT94B,KAAMA,GACNnG,OAAQA,EACRqkB,aAAcA,GACd6a,eAAgBzc,IAGlB1T,EAAIvG,IAAMA,GACVuG,EAAIowB,OAASlc,GACblU,EAAI2Y,SAAWA,GAGf3Y,EAAIqwB,WAAa,SAAUhhC,GAEzB,OADAikB,GAAQjkB,GACDA,GAGT2Q,EAAIpN,QAAUjK,OAAOqE,OAAO,MAC5Bqf,EAAYjd,SAAQ,SAAU/D,GAC5B2U,EAAIpN,QAAQvH,EAAO,KAAO1C,OAAOqE,OAAO,SAK1CgT,EAAIpN,QAAQijB,MAAQ7V,EAEpB/O,EAAO+O,EAAIpN,QAAQm5B,WAAY0D,IA3UjC,SAAkBzvB,GAChBA,EAAI2sB,IAAM,SAAU2D,GAClB,IAAIC,EAAoBh6B,KAAKi6B,oBAAsBj6B,KAAKi6B,kBAAoB,IAC5E,GAAID,EAAiBl7B,QAAQi7B,IAAW,EACtC,OAAO/5B,KAIT,IAAI8b,EAAOtH,EAAQha,UAAW,GAQ9B,OAPAshB,EAAKoe,QAAQl6B,MACiB,mBAAnB+5B,EAAOI,QAChBJ,EAAOI,QAAQ5uB,MAAMwuB,EAAQje,GACF,mBAAXie,GAChBA,EAAOxuB,MAAM,KAAMuQ,GAErBke,EAAiBvnC,KAAKsnC,GACf/5B,MA6TTo6B,CAAQ3wB,GAvTV,SAAsBA,GACpBA,EAAI0sB,MAAQ,SAAUA,GAEpB,OADAn2B,KAAK3D,QAAU0iB,GAAa/e,KAAK3D,QAAS85B,GACnCn2B,MAqTTq6B,CAAY5wB,GACZisB,GAAWjsB,GApNb,SAA6BA,GAI3BqM,EAAYjd,SAAQ,SAAU/D,GAC5B2U,EAAI3U,GAAQ,SACVuR,EACAi0B,GAEA,OAAKA,GAOU,cAATxlC,GAAwBwd,EAAcgoB,KACxCA,EAAWplC,KAAOolC,EAAWplC,MAAQmR,EACrCi0B,EAAat6B,KAAK3D,QAAQijB,MAAM5kB,OAAO4/B,IAE5B,cAATxlC,GAA8C,mBAAfwlC,IACjCA,EAAa,CAAE3jC,KAAM2jC,EAAYzgB,OAAQygB,IAE3Ct6B,KAAK3D,QAAQvH,EAAO,KAAKuR,GAAMi0B,EACxBA,GAdAt6B,KAAK3D,QAAQvH,EAAO,KAAKuR,OA2MtCk0B,CAAmB9wB,GAGrB+wB,CAAc/wB,IAEdrX,OAAO0D,eAAe2T,GAAIpX,UAAW,YAAa,CAChD2D,IAAKyiB,KAGPrmB,OAAO0D,eAAe2T,GAAIpX,UAAW,cAAe,CAClD2D,IAAK,WAEH,OAAOgK,KAAKkC,QAAUlC,KAAKkC,OAAOC,cAKtC/P,OAAO0D,eAAe2T,GAAK,0BAA2B,CACpDrT,MAAOuzB,KAGTlgB,GAAI1L,QAAU,SAMd,IAAI2Y,GAAiBzD,EAAQ,eAGzBwnB,GAAcxnB,EAAQ,yCACtB6D,GAAc,SAAUoD,EAAKplB,EAAM4lC,GACrC,MACY,UAATA,GAAoBD,GAAYvgB,IAAkB,WAATplB,GAChC,aAAT4lC,GAA+B,WAARxgB,GACd,YAATwgB,GAA8B,UAARxgB,GACb,UAATwgB,GAA4B,UAARxgB,GAIrBygB,GAAmB1nB,EAAQ,wCAE3B2nB,GAA8B3nB,EAAQ,sCAWtC4nB,GAAgB5nB,EAClB,wYAQE6nB,GAAU,+BAEVC,GAAU,SAAU7lC,GACtB,MAA0B,MAAnBA,EAAKwJ,OAAO,IAAmC,UAArBxJ,EAAKmC,MAAM,EAAG,IAG7C2jC,GAAe,SAAU9lC,GAC3B,OAAO6lC,GAAQ7lC,GAAQA,EAAKmC,MAAM,EAAGnC,EAAK/C,QAAU,IAGlD8oC,GAAmB,SAAUxiC,GAC/B,OAAc,MAAPA,IAAuB,IAARA,GAKxB,SAASyiC,GAAkBzf,GAIzB,IAHA,IAAI7pB,EAAO6pB,EAAM7pB,KACbupC,EAAa1f,EACb2f,EAAY3f,EACTvJ,EAAMkpB,EAAU1gB,qBACrB0gB,EAAYA,EAAU1gB,kBAAkBkR,SACvBwP,EAAUxpC,OACzBA,EAAOypC,GAAeD,EAAUxpC,KAAMA,IAG1C,KAAOsgB,EAAMipB,EAAaA,EAAW/4B,SAC/B+4B,GAAcA,EAAWvpC,OAC3BA,EAAOypC,GAAezpC,EAAMupC,EAAWvpC,OAG3C,OAYF,SACEgU,EACA01B,GAEA,GAAIppB,EAAMtM,IAAgBsM,EAAMopB,GAC9B,OAAOr4B,GAAO2C,EAAa21B,GAAeD,IAG5C,MAAO,GApBAE,CAAY5pC,EAAKgU,YAAahU,EAAKyT,OAG5C,SAASg2B,GAAgBjgB,EAAOhZ,GAC9B,MAAO,CACLwD,YAAa3C,GAAOmY,EAAMxV,YAAaxD,EAAOwD,aAC9CP,MAAO6M,EAAMkJ,EAAM/V,OACf,CAAC+V,EAAM/V,MAAOjD,EAAOiD,OACrBjD,EAAOiD,OAef,SAASpC,GAAQtI,EAAGC,GAClB,OAAOD,EAAIC,EAAKD,EAAI,IAAMC,EAAKD,EAAKC,GAAK,GAG3C,SAAS2gC,GAAgBnlC,GACvB,OAAIyc,MAAMra,QAAQpC,GAapB,SAAyBA,GAGvB,IAFA,IACIqlC,EADA5mB,EAAM,GAED5iB,EAAI,EAAGkB,EAAIiD,EAAMjE,OAAQF,EAAIkB,EAAGlB,IACnCigB,EAAMupB,EAAcF,GAAenlC,EAAMnE,MAAwB,KAAhBwpC,IAC/C5mB,IAAOA,GAAO,KAClBA,GAAO4mB,GAGX,OAAO5mB,EArBE6mB,CAAetlC,GAEpBuC,EAASvC,GAsBf,SAA0BA,GACxB,IAAIye,EAAM,GACV,IAAK,IAAIne,KAAON,EACVA,EAAMM,KACJme,IAAOA,GAAO,KAClBA,GAAOne,GAGX,OAAOme,EA7BE8mB,CAAgBvlC,GAEJ,iBAAVA,EACFA,EAGF,GA4BT,IAAIwlC,GAAe,CACjBC,IAAK,6BACLC,KAAM,sCAGJC,GAAY9oB,EACd,snBAeE+oB,GAAQ/oB,EACV,kNAGA,GAKEwD,GAAgB,SAAUyD,GAC5B,OAAO6hB,GAAU7hB,IAAQ8hB,GAAM9hB,IAGjC,SAAStD,GAAiBsD,GACxB,OAAI8hB,GAAM9hB,GACD,MAIG,SAARA,EACK,YADT,EAKF,IAAI+hB,GAAsB7pC,OAAOqE,OAAO,MA0BxC,IAAIylC,GAAkBjpB,EAAQ,6CAO9B,SAASkpB,GAAOjyB,GACd,GAAkB,iBAAPA,EAAiB,CAC1B,IAAIkyB,EAAWvoC,SAASwoC,cAAcnyB,GACtC,OAAKkyB,GAIIvoC,SAASC,cAAc,OAIhC,OAAOoW,EA8DX,IAAIoyB,GAAuBlqC,OAAO2f,OAAO,CACvCje,cAzDF,SAA0ByoC,EAAS9gB,GACjC,IAAIrB,EAAMvmB,SAASC,cAAcyoC,GACjC,MAAgB,WAAZA,GAIA9gB,EAAM7pB,MAAQ6pB,EAAM7pB,KAAK+T,YAAuCvQ,IAA9BqmB,EAAM7pB,KAAK+T,MAAM62B,UACrDpiB,EAAIlmB,aAAa,WAAY,YAJtBkmB,GAuDTqiB,gBA9CF,SAA0Br4B,EAAWm4B,GACnC,OAAO1oC,SAAS4oC,gBAAgBb,GAAax3B,GAAYm4B,IA8CzDra,eA3CF,SAAyB3jB,GACvB,OAAO1K,SAASquB,eAAe3jB,IA2C/Bm+B,cAxCF,SAAwBn+B,GACtB,OAAO1K,SAAS6oC,cAAcn+B,IAwC9Bo+B,aArCF,SAAuBxB,EAAYyB,EAASC,GAC1C1B,EAAWwB,aAAaC,EAASC,IAqCjCC,YAlCF,SAAsBxhB,EAAMF,GAC1BE,EAAKwhB,YAAY1hB,IAkCjB7lB,YA/BF,SAAsB+lB,EAAMF,GAC1BE,EAAK/lB,YAAY6lB,IA+BjB+f,WA5BF,SAAqB7f,GACnB,OAAOA,EAAK6f,YA4BZ4B,YAzBF,SAAsBzhB,GACpB,OAAOA,EAAKyhB,aAyBZR,QAtBF,SAAkBjhB,GAChB,OAAOA,EAAKihB,SAsBZS,eAnBF,SAAyB1hB,EAAM/c,GAC7B+c,EAAK2hB,YAAc1+B,GAmBnB2+B,cAhBF,SAAwB5hB,EAAM5Z,GAC5B4Z,EAAKpnB,aAAawN,EAAS,OAoBzBq3B,GAAM,CACRtiC,OAAQ,SAAiBud,EAAGyH,GAC1B0hB,GAAY1hB,IAEd5B,OAAQ,SAAiBuR,EAAU3P,GAC7B2P,EAASx5B,KAAKmnC,MAAQtd,EAAM7pB,KAAKmnC,MACnCoE,GAAY/R,GAAU,GACtB+R,GAAY1hB,KAGhBmR,QAAS,SAAkBnR,GACzB0hB,GAAY1hB,GAAO,KAIvB,SAAS0hB,GAAa1hB,EAAO2hB,GAC3B,IAAI1mC,EAAM+kB,EAAM7pB,KAAKmnC,IACrB,GAAK7mB,EAAMxb,GAAX,CAEA,IAAI2T,EAAKoR,EAAMxZ,QACX82B,EAAMtd,EAAMf,mBAAqBe,EAAMrB,IACvCijB,EAAOhzB,EAAG6sB,MACVkG,EACEvqB,MAAMra,QAAQ6kC,EAAK3mC,IACrB8c,EAAO6pB,EAAK3mC,GAAMqiC,GACTsE,EAAK3mC,KAASqiC,IACvBsE,EAAK3mC,QAAOtB,GAGVqmB,EAAM7pB,KAAK0rC,SACRzqB,MAAMra,QAAQ6kC,EAAK3mC,IAEb2mC,EAAK3mC,GAAKoI,QAAQi6B,GAAO,GAElCsE,EAAK3mC,GAAKjE,KAAKsmC,GAHfsE,EAAK3mC,GAAO,CAACqiC,GAMfsE,EAAK3mC,GAAOqiC,GAiBlB,IAAIwE,GAAY,IAAItjB,GAAM,GAAI,GAAI,IAE9BsE,GAAQ,CAAC,SAAU,WAAY,SAAU,SAAU,WAEvD,SAASif,GAAW7iC,EAAGC,GACrB,OACED,EAAEjE,MAAQkE,EAAElE,MAERiE,EAAEuf,MAAQtf,EAAEsf,KACZvf,EAAEmgB,YAAclgB,EAAEkgB,WAClB5I,EAAMvX,EAAE/I,QAAUsgB,EAAMtX,EAAEhJ,OAWlC,SAAwB+I,EAAGC,GACzB,GAAc,UAAVD,EAAEuf,IAAmB,OAAO,EAChC,IAAIjoB,EACAwrC,EAAQvrB,EAAMjgB,EAAI0I,EAAE/I,OAASsgB,EAAMjgB,EAAIA,EAAE0T,QAAU1T,EAAE6C,KACrD4oC,EAAQxrB,EAAMjgB,EAAI2I,EAAEhJ,OAASsgB,EAAMjgB,EAAIA,EAAE0T,QAAU1T,EAAE6C,KACzD,OAAO2oC,IAAUC,GAASxB,GAAgBuB,IAAUvB,GAAgBwB,GAf9DC,CAAchjC,EAAGC,IAEjBuX,EAAOxX,EAAEugB,qBACTvgB,EAAE2f,eAAiB1f,EAAE0f,cACrBtI,EAAQpX,EAAE0f,aAAahmB,QAc/B,SAASspC,GAAmBzjB,EAAU0jB,EAAUC,GAC9C,IAAI7rC,EAAGyE,EACHyc,EAAM,GACV,IAAKlhB,EAAI4rC,EAAU5rC,GAAK6rC,IAAU7rC,EAE5BigB,EADJxb,EAAMyjB,EAASloB,GAAGyE,OACAyc,EAAIzc,GAAOzE,GAE/B,OAAOkhB,EAqtBT,IAAIjN,GAAa,CACfzP,OAAQsnC,GACRlkB,OAAQkkB,GACRnR,QAAS,SAA2BnR,GAClCsiB,GAAiBtiB,EAAO8hB,MAI5B,SAASQ,GAAkB3S,EAAU3P,IAC/B2P,EAASx5B,KAAKsU,YAAcuV,EAAM7pB,KAAKsU,aAK7C,SAAkBklB,EAAU3P,GAC1B,IAQI/kB,EAAKsnC,EAAQj1B,EARbk1B,EAAW7S,IAAamS,GACxBW,EAAYziB,IAAU8hB,GACtBY,EAAUC,GAAsBhT,EAASx5B,KAAKsU,WAAYklB,EAASnpB,SACnEo8B,EAAUD,GAAsB3iB,EAAM7pB,KAAKsU,WAAYuV,EAAMxZ,SAE7Dq8B,EAAiB,GACjBC,EAAoB,GAGxB,IAAK7nC,KAAO2nC,EACVL,EAASG,EAAQznC,GACjBqS,EAAMs1B,EAAQ3nC,GACTsnC,GAQHj1B,EAAIqqB,SAAW4K,EAAO5nC,MACtB2S,EAAIy1B,OAASR,EAAOS,IACpBC,GAAW31B,EAAK,SAAU0S,EAAO2P,GAC7BriB,EAAIqO,KAAOrO,EAAIqO,IAAIunB,kBACrBJ,EAAkB9rC,KAAKsW,KAVzB21B,GAAW31B,EAAK,OAAQ0S,EAAO2P,GAC3BriB,EAAIqO,KAAOrO,EAAIqO,IAAI4E,UACrBsiB,EAAe7rC,KAAKsW,IAa1B,GAAIu1B,EAAensC,OAAQ,CACzB,IAAIysC,EAAa,WACf,IAAK,IAAI3sC,EAAI,EAAGA,EAAIqsC,EAAensC,OAAQF,IACzCysC,GAAWJ,EAAersC,GAAI,WAAYwpB,EAAO2P,IAGjD6S,EACFta,GAAelI,EAAO,SAAUmjB,GAEhCA,IAIAL,EAAkBpsC,QACpBwxB,GAAelI,EAAO,aAAa,WACjC,IAAK,IAAIxpB,EAAI,EAAGA,EAAIssC,EAAkBpsC,OAAQF,IAC5CysC,GAAWH,EAAkBtsC,GAAI,mBAAoBwpB,EAAO2P,MAKlE,IAAK6S,EACH,IAAKvnC,KAAOynC,EACLE,EAAQ3nC,IAEXgoC,GAAWP,EAAQznC,GAAM,SAAU00B,EAAUA,EAAU8S,GA3D3D5F,CAAQlN,EAAU3P,GAiEtB,IAAIojB,GAAiBzsC,OAAOqE,OAAO,MAEnC,SAAS2nC,GACPjf,EACA9U,GAEA,IAKIpY,EAAG8W,EALH8L,EAAMziB,OAAOqE,OAAO,MACxB,IAAK0oB,EAEH,OAAOtK,EAGT,IAAK5iB,EAAI,EAAGA,EAAIktB,EAAKhtB,OAAQF,KAC3B8W,EAAMoW,EAAKltB,IACF6sC,YAEP/1B,EAAI+1B,UAAYD,IAElBhqB,EAAIkqB,GAAch2B,IAAQA,EAC1BA,EAAIqO,IAAMuI,GAAatV,EAAG3H,SAAU,aAAcqG,EAAI7T,MAGxD,OAAO2f,EAGT,SAASkqB,GAAeh2B,GACtB,OAAOA,EAAI5C,SAAa4C,EAAQ,KAAI,IAAO3W,OAAO2b,KAAKhF,EAAI+1B,WAAa,IAAI/+B,KAAK,KAGnF,SAAS2+B,GAAY31B,EAAKlH,EAAM4Z,EAAO2P,EAAU8S,GAC/C,IAAInlC,EAAKgQ,EAAIqO,KAAOrO,EAAIqO,IAAIvV,GAC5B,GAAI9I,EACF,IACEA,EAAG0iB,EAAMrB,IAAKrR,EAAK0S,EAAO2P,EAAU8S,GACpC,MAAO9qC,GACP0tB,GAAY1tB,EAAGqoB,EAAMxZ,QAAU,aAAgB8G,EAAQ,KAAI,IAAMlH,EAAO,UAK9E,IAAIm9B,GAAc,CAChBjG,GACA7yB,IAKF,SAAS+4B,GAAa7T,EAAU3P,GAC9B,IAAIlD,EAAOkD,EAAMpB,iBACjB,KAAInI,EAAMqG,KAA4C,IAAnCA,EAAKO,KAAKzc,QAAQ6iC,cAGjCltB,EAAQoZ,EAASx5B,KAAK+T,QAAUqM,EAAQyJ,EAAM7pB,KAAK+T,QAAvD,CAGA,IAAIjP,EAAKsqB,EACL5G,EAAMqB,EAAMrB,IACZ+kB,EAAW/T,EAASx5B,KAAK+T,OAAS,GAClCA,EAAQ8V,EAAM7pB,KAAK+T,OAAS,GAMhC,IAAKjP,KAJDwb,EAAMvM,EAAMuW,UACdvW,EAAQ8V,EAAM7pB,KAAK+T,MAAQjL,EAAO,GAAIiL,IAG5BA,EACVqb,EAAMrb,EAAMjP,GACNyoC,EAASzoC,KACHsqB,GACVoe,GAAQhlB,EAAK1jB,EAAKsqB,GAStB,IAAKtqB,KAHAqhB,GAAQE,IAAWtS,EAAMvP,QAAU+oC,EAAS/oC,OAC/CgpC,GAAQhlB,EAAK,QAASzU,EAAMvP,OAElB+oC,EACNntB,EAAQrM,EAAMjP,MACZqkC,GAAQrkC,GACV0jB,EAAIilB,kBAAkBvE,GAASE,GAAatkC,IAClCikC,GAAiBjkC,IAC3B0jB,EAAIklB,gBAAgB5oC,KAM5B,SAAS0oC,GAASl1B,EAAIxT,EAAKN,GACrB8T,EAAGqyB,QAAQz9B,QAAQ,MAAQ,EAC7BygC,GAAYr1B,EAAIxT,EAAKN,GACZykC,GAAcnkC,GAGnBukC,GAAiB7kC,GACnB8T,EAAGo1B,gBAAgB5oC,IAInBN,EAAgB,oBAARM,GAA4C,UAAfwT,EAAGqyB,QACpC,OACA7lC,EACJwT,EAAGhW,aAAawC,EAAKN,IAEdukC,GAAiBjkC,GAC1BwT,EAAGhW,aAAawC,EA9vCS,SAAUA,EAAKN,GAC1C,OAAO6kC,GAAiB7kC,IAAoB,UAAVA,EAC9B,QAEQ,oBAARM,GAA6BkkC,GAA4BxkC,GACvDA,EACA,OAwvCiBopC,CAAuB9oC,EAAKN,IACxC2kC,GAAQrkC,GACbukC,GAAiB7kC,GACnB8T,EAAGm1B,kBAAkBvE,GAASE,GAAatkC,IAE3CwT,EAAGu1B,eAAe3E,GAASpkC,EAAKN,GAGlCmpC,GAAYr1B,EAAIxT,EAAKN,GAIzB,SAASmpC,GAAar1B,EAAIxT,EAAKN,GAC7B,GAAI6kC,GAAiB7kC,GACnB8T,EAAGo1B,gBAAgB5oC,OACd,CAKL,GACEqhB,IAASC,GACM,aAAf9N,EAAGqyB,SACK,gBAAR7lC,GAAmC,KAAVN,IAAiB8T,EAAGw1B,OAC7C,CACA,IAAIC,EAAU,SAAUvsC,GACtBA,EAAEwsC,2BACF11B,EAAG21B,oBAAoB,QAASF,IAElCz1B,EAAGsO,iBAAiB,QAASmnB,GAE7Bz1B,EAAGw1B,QAAS,EAEdx1B,EAAGhW,aAAawC,EAAKN,IAIzB,IAAIuP,GAAQ,CACVlP,OAAQwoC,GACRplB,OAAQolB,IAKV,SAASa,GAAa1U,EAAU3P,GAC9B,IAAIvR,EAAKuR,EAAMrB,IACXxoB,EAAO6pB,EAAM7pB,KACbmuC,EAAU3U,EAASx5B,KACvB,KACEogB,EAAQpgB,EAAKgU,cACboM,EAAQpgB,EAAKyT,SACX2M,EAAQ+tB,IACN/tB,EAAQ+tB,EAAQn6B,cAChBoM,EAAQ+tB,EAAQ16B,SALtB,CAYA,IAAI26B,EAAM9E,GAAiBzf,GAGvBwkB,EAAkB/1B,EAAGg2B,mBACrBhuB,EAAM+tB,KACRD,EAAM/8B,GAAO+8B,EAAKzE,GAAe0E,KAI/BD,IAAQ91B,EAAGi2B,aACbj2B,EAAGhW,aAAa,QAAS8rC,GACzB91B,EAAGi2B,WAAaH,IAIpB,IA4YIjkB,GAAKhhB,GAAKqlC,GAAKC,GAASC,GAAeC,GA5YvCC,GAAQ,CACV/pC,OAAQqpC,GACRjmB,OAAQimB,IAKNW,GAAsB,gBAE1B,SAASC,GAAcC,GACrB,IAQIjrC,EAAGkrC,EAAM3uC,EAAGmU,EAAYy6B,EARxBC,GAAW,EACXC,GAAW,EACXC,GAAmB,EACnBC,GAAU,EACVC,EAAQ,EACRC,EAAS,EACTC,EAAQ,EACRC,EAAkB,EAGtB,IAAKpvC,EAAI,EAAGA,EAAI0uC,EAAIxuC,OAAQF,IAG1B,GAFA2uC,EAAOlrC,EACPA,EAAIirC,EAAIxpB,WAAWllB,GACf6uC,EACQ,KAANprC,GAAuB,KAATkrC,IAAiBE,GAAW,QACzC,GAAIC,EACC,KAANrrC,GAAuB,KAATkrC,IAAiBG,GAAW,QACzC,GAAIC,EACC,KAANtrC,GAAuB,KAATkrC,IAAiBI,GAAmB,QACjD,GAAIC,EACC,KAANvrC,GAAuB,KAATkrC,IAAiBK,GAAU,QACxC,GACC,MAANvrC,GAC0B,MAA1BirC,EAAIxpB,WAAWllB,EAAI,IACO,MAA1B0uC,EAAIxpB,WAAWllB,EAAI,IAClBivC,GAAUC,GAAWC,EASjB,CACL,OAAQ1rC,GACN,KAAK,GAAMqrC,GAAW,EAAM,MAC5B,KAAK,GAAMD,GAAW,EAAM,MAC5B,KAAK,GAAME,GAAmB,EAAM,MACpC,KAAK,GAAMI,IAAS,MACpB,KAAK,GAAMA,IAAS,MACpB,KAAK,GAAMD,IAAU,MACrB,KAAK,GAAMA,IAAU,MACrB,KAAK,IAAMD,IAAS,MACpB,KAAK,IAAMA,IAEb,GAAU,KAANxrC,EAAY,CAId,IAHA,IAAIm7B,EAAI5+B,EAAI,EACRmC,OAAI,EAEDy8B,GAAK,GAEA,OADVz8B,EAAIusC,EAAIjiC,OAAOmyB,IADFA,KAIVz8B,GAAMqsC,GAAoBlwB,KAAKnc,KAClC6sC,GAAU,cA5BK7rC,IAAfgR,GAEFi7B,EAAkBpvC,EAAI,EACtBmU,EAAau6B,EAAItpC,MAAM,EAAGpF,GAAG6I,QAE7BwmC,IAmCN,SAASA,KACNT,IAAYA,EAAU,KAAKpuC,KAAKkuC,EAAItpC,MAAMgqC,EAAiBpvC,GAAG6I,QAC/DumC,EAAkBpvC,EAAI,EAGxB,QAXmBmD,IAAfgR,EACFA,EAAau6B,EAAItpC,MAAM,EAAGpF,GAAG6I,OACA,IAApBumC,GACTC,IAQET,EACF,IAAK5uC,EAAI,EAAGA,EAAI4uC,EAAQ1uC,OAAQF,IAC9BmU,EAAam7B,GAAWn7B,EAAYy6B,EAAQ5uC,IAIhD,OAAOmU,EAGT,SAASm7B,GAAYZ,EAAKjK,GACxB,IAAIzkC,EAAIykC,EAAO53B,QAAQ,KACvB,GAAI7M,EAAI,EAEN,MAAQ,OAAUykC,EAAS,MAASiK,EAAM,IAE1C,IAAIzrC,EAAOwhC,EAAOr/B,MAAM,EAAGpF,GACvB6pB,EAAO4a,EAAOr/B,MAAMpF,EAAI,GAC5B,MAAQ,OAAUiD,EAAO,MAASyrC,GAAgB,MAAT7kB,EAAe,IAAMA,EAAOA,GASzE,SAAS0lB,GAAUC,EAAKC,GACtBzqC,QAAQ3C,MAAO,mBAAqBmtC,GAItC,SAASE,GACPjvC,EACAgE,GAEA,OAAOhE,EACHA,EAAQygB,KAAI,SAAU1d,GAAK,OAAOA,EAAEiB,MAASggC,QAAO,SAAU1iB,GAAK,OAAOA,KAC1E,GAGN,SAAS4tB,GAAS13B,EAAIhV,EAAMkB,EAAOsrC,EAAOG,IACvC33B,EAAGP,QAAUO,EAAGP,MAAQ,KAAKlX,KAAKqvC,GAAa,CAAE5sC,KAAMA,EAAMkB,MAAOA,EAAOyrC,QAASA,GAAWH,IAChGx3B,EAAG63B,OAAQ,EAGb,SAASC,GAAS93B,EAAIhV,EAAMkB,EAAOsrC,EAAOG,IAC5BA,EACP33B,EAAG+3B,eAAiB/3B,EAAG+3B,aAAe,IACtC/3B,EAAGvE,QAAUuE,EAAGvE,MAAQ,KACvBlT,KAAKqvC,GAAa,CAAE5sC,KAAMA,EAAMkB,MAAOA,EAAOyrC,QAASA,GAAWH,IACxEx3B,EAAG63B,OAAQ,EAIb,SAASG,GAAYh4B,EAAIhV,EAAMkB,EAAOsrC,GACpCx3B,EAAGi4B,SAASjtC,GAAQkB,EACpB8T,EAAGk4B,UAAU3vC,KAAKqvC,GAAa,CAAE5sC,KAAMA,EAAMkB,MAAOA,GAASsrC,IAG/D,SAASW,GACPn4B,EACAhV,EACAiR,EACA/P,EACAqoC,EACA6D,EACAxD,EACA4C,IAECx3B,EAAGhE,aAAegE,EAAGhE,WAAa,KAAKzT,KAAKqvC,GAAa,CACxD5sC,KAAMA,EACNiR,QAASA,EACT/P,MAAOA,EACPqoC,IAAKA,EACL6D,aAAcA,EACdxD,UAAWA,GACV4C,IACHx3B,EAAG63B,OAAQ,EAGb,SAASQ,GAAuB5Z,EAAQzzB,EAAM2sC,GAC5C,OAAOA,EACF,MAAQ3sC,EAAO,KAAQyzB,EAAS,KACjCA,EAASzzB,EAGf,SAASstC,GACPt4B,EACAhV,EACAkB,EACA0oC,EACA2D,EACA5hC,EACA6gC,EACAG,GAiDA,IAAIa,GA/CJ5D,EAAYA,GAAahtB,GAiBX6wB,MACRd,EACF3sC,EAAO,IAAMA,EAAO,8BAAgCA,EAAO,IACzC,UAATA,IACTA,EAAO,qBACA4pC,EAAU6D,OAEV7D,EAAU8D,SACff,EACF3sC,EAAO,IAAMA,EAAO,0BAA4BA,EAAO,IACrC,UAATA,IACTA,EAAO,YAKP4pC,EAAU7b,iBACL6b,EAAU7b,QACjB/tB,EAAOqtC,GAAsB,IAAKrtC,EAAM2sC,IAEtC/C,EAAUlpB,cACLkpB,EAAUlpB,KACjB1gB,EAAOqtC,GAAsB,IAAKrtC,EAAM2sC,IAGtC/C,EAAU/b,iBACL+b,EAAU/b,QACjB7tB,EAAOqtC,GAAsB,IAAKrtC,EAAM2sC,IAItC/C,EAAU+D,eACL/D,EAAU+D,OACjBH,EAASx4B,EAAG44B,eAAiB54B,EAAG44B,aAAe,KAE/CJ,EAASx4B,EAAGw4B,SAAWx4B,EAAGw4B,OAAS,IAGrC,IAAIK,EAAajB,GAAa,CAAE1rC,MAAOA,EAAM0E,OAAQ+mC,QAASA,GAAWH,GACrE5C,IAAchtB,IAChBixB,EAAWjE,UAAYA,GAGzB,IAAIlO,EAAW8R,EAAOxtC,GAElB2d,MAAMra,QAAQo4B,GAChB6R,EAAY7R,EAASsJ,QAAQ6I,GAAcnS,EAASn+B,KAAKswC,GAEzDL,EAAOxtC,GADE07B,EACM6R,EAAY,CAACM,EAAYnS,GAAY,CAACA,EAAUmS,GAEhDA,EAGjB74B,EAAG63B,OAAQ,EAYb,SAASiB,GACP94B,EACAhV,EACA+tC,GAEA,IAAIC,EACFC,GAAiBj5B,EAAI,IAAMhV,IAC3BiuC,GAAiBj5B,EAAI,UAAYhV,GACnC,GAAoB,MAAhBguC,EACF,OAAOxC,GAAawC,GACf,IAAkB,IAAdD,EAAqB,CAC9B,IAAIG,EAAcD,GAAiBj5B,EAAIhV,GACvC,GAAmB,MAAfkuC,EACF,OAAOtwB,KAAKC,UAAUqwB,IAS5B,SAASD,GACPj5B,EACAhV,EACAmuC,GAEA,IAAI5qC,EACJ,GAAiC,OAA5BA,EAAMyR,EAAGi4B,SAASjtC,IAErB,IADA,IAAIke,EAAOlJ,EAAGk4B,UACLnwC,EAAI,EAAGkB,EAAIigB,EAAKjhB,OAAQF,EAAIkB,EAAGlB,IACtC,GAAImhB,EAAKnhB,GAAGiD,OAASA,EAAM,CACzBke,EAAKO,OAAO1hB,EAAG,GACf,MAON,OAHIoxC,UACKn5B,EAAGi4B,SAASjtC,GAEduD,EAGT,SAAS6qC,GACPp5B,EACAhV,GAGA,IADA,IAAIke,EAAOlJ,EAAGk4B,UACLnwC,EAAI,EAAGkB,EAAIigB,EAAKjhB,OAAQF,EAAIkB,EAAGlB,IAAK,CAC3C,IAAIyoC,EAAOtnB,EAAKnhB,GAChB,GAAIiD,EAAKqb,KAAKmqB,EAAKxlC,MAEjB,OADAke,EAAKO,OAAO1hB,EAAG,GACRyoC,GAKb,SAASoH,GACPpuB,EACAguB,GAUA,OARIA,IACiB,MAAfA,EAAMjtB,QACRf,EAAKe,MAAQitB,EAAMjtB,OAEJ,MAAbitB,EAAM6B,MACR7vB,EAAK6vB,IAAM7B,EAAM6B,MAGd7vB,EAQT,SAAS8vB,GACPt5B,EACA9T,EACA0oC,GAEA,IAAI/F,EAAM+F,GAAa,GACnB2E,EAAS1K,EAAI0K,OAIbC,EADsB,MAFf3K,EAAIj+B,OAKb4oC,EACE,8CAIAD,IACFC,EAAkB,MAAQA,EAAkB,KAE9C,IAAIC,EAAaC,GAAkBxtC,EAAOstC,GAE1Cx5B,EAAG0kB,MAAQ,CACTx4B,MAAQ,IAAMA,EAAQ,IACtBgQ,WAAY0M,KAAKC,UAAU3c,GAC3By4B,SAAW,mBAA6C8U,EAAa,KAOzE,SAASC,GACPxtC,EACAutC,GAEA,IAAI9uB,EA2BN,SAAqBpc,GAMnB,GAHAA,EAAMA,EAAIqC,OACVihB,GAAMtjB,EAAItG,OAENsG,EAAIqG,QAAQ,KAAO,GAAKrG,EAAIorC,YAAY,KAAO9nB,GAAM,EAEvD,OADAskB,GAAU5nC,EAAIorC,YAAY,OACX,EACN,CACLlD,IAAKloC,EAAIpB,MAAM,EAAGgpC,IAClB3pC,IAAK,IAAM+B,EAAIpB,MAAMgpC,GAAU,GAAK,KAG/B,CACLM,IAAKloC,EACL/B,IAAK,MAKXqE,GAAMtC,EACN4nC,GAAUC,GAAgBC,GAAmB,EAE7C,MAAQuD,MAGFC,GAFJ3D,GAAM9wB,MAGJ00B,GAAY5D,IACK,KAARA,IACT6D,GAAa7D,IAIjB,MAAO,CACLO,IAAKloC,EAAIpB,MAAM,EAAGipC,IAClB5pC,IAAK+B,EAAIpB,MAAMipC,GAAgB,EAAGC,KA/D1B2D,CAAW9tC,GACrB,OAAgB,OAAZye,EAAIne,IACEN,EAAQ,IAAMutC,EAEd,QAAW9uB,EAAO,IAAI,KAAQA,EAAO,IAAI,KAAO8uB,EAAa,IA+DzE,SAASr0B,KACP,OAAOvU,GAAIoc,aAAakpB,IAG1B,SAASyD,KACP,OAAOzD,IAAWtkB,GAGpB,SAASgoB,GAAe3D,GACtB,OAAe,KAARA,GAAwB,KAARA,EAGzB,SAAS6D,GAAc7D,GACrB,IAAI+D,EAAY,EAEhB,IADA7D,GAAgBD,IACRyD,MAEN,GAAIC,GADJ3D,EAAM9wB,MAEJ00B,GAAY5D,QAKd,GAFY,KAARA,GAAgB+D,IACR,KAAR/D,GAAgB+D,IACF,IAAdA,EAAiB,CACnB5D,GAAmBF,GACnB,OAKN,SAAS2D,GAAa5D,GAEpB,IADA,IAAIgE,EAAchE,GACV0D,OACN1D,EAAM9wB,QACM80B,KAYhB,IAgMIC,GAEJ,SAASC,GAAqB9vC,EAAO6L,EAAS4iB,GAC5C,IAAIuN,EAAU6T,GACd,OAAO,SAAS5T,IACd,IAAI5b,EAAMxU,EAAQkL,MAAM,KAAM/Q,WAClB,OAARqa,GACF0vB,GAAS/vC,EAAOi8B,EAAaxN,EAASuN,IAQ5C,IAAIgU,GAAkBhjB,MAAsBrJ,IAAQhK,OAAOgK,GAAK,KAAO,IAEvE,SAASssB,GACPvvC,EACAmL,EACA4iB,EACAF,GAQA,GAAIyhB,GAAiB,CACnB,IAAIE,EAAoBvT,GACpBtV,EAAWxb,EACfA,EAAUwb,EAAS8oB,SAAW,SAAUvxC,GACtC,GAIEA,EAAE4B,SAAW5B,EAAEwxC,eAEfxxC,EAAEm+B,WAAamT,GAIftxC,EAAEm+B,WAAa,GAIfn+B,EAAE4B,OAAO6vC,gBAAkBhxC,SAE3B,OAAOgoB,EAAStQ,MAAMvL,KAAMxF,YAIlC6pC,GAAS7rB,iBACPtjB,EACAmL,EACAiY,GACI,CAAE2K,QAASA,EAASF,QAASA,GAC7BE,GAIR,SAASshB,GACPrvC,EACAmL,EACA4iB,EACAuN,IAECA,GAAW6T,IAAUxE,oBACpB3qC,EACAmL,EAAQskC,UAAYtkC,EACpB4iB,GAIJ,SAAS6hB,GAAoB1Z,EAAU3P,GACrC,IAAIzJ,EAAQoZ,EAASx5B,KAAKiU,MAAOmM,EAAQyJ,EAAM7pB,KAAKiU,IAApD,CAGA,IAAIA,EAAK4V,EAAM7pB,KAAKiU,IAAM,GACtB0d,EAAQ6H,EAASx5B,KAAKiU,IAAM,GAChCw+B,GAAW5oB,EAAMrB,IAlGnB,SAA0BvU,GAExB,GAAIqM,EAAMrM,EAAc,KAAI,CAE1B,IAAIrR,EAAQujB,EAAO,SAAW,QAC9BlS,EAAGrR,GAAS,GAAGyO,OAAO4C,EAAc,IAAGA,EAAGrR,IAAU,WAC7CqR,EAAc,IAKnBqM,EAAMrM,EAAuB,OAC/BA,EAAGk/B,OAAS,GAAG9hC,OAAO4C,EAAuB,IAAGA,EAAGk/B,QAAU,WACtDl/B,EAAuB,KAsFhCm/B,CAAgBn/B,GAChByd,GAAgBzd,EAAI0d,EAAOkhB,GAAOF,GAAUD,GAAqB7oB,EAAMxZ,SACvEoiC,QAAWjvC,GAGb,IAOI6vC,GAPAvC,GAAS,CACXjsC,OAAQquC,GACRjrB,OAAQirB,IAOV,SAASI,GAAgB9Z,EAAU3P,GACjC,IAAIzJ,EAAQoZ,EAASx5B,KAAK41B,YAAaxV,EAAQyJ,EAAM7pB,KAAK41B,UAA1D,CAGA,IAAI9wB,EAAKsqB,EACL5G,EAAMqB,EAAMrB,IACZ+qB,EAAW/Z,EAASx5B,KAAK41B,UAAY,GACrC7d,EAAQ8R,EAAM7pB,KAAK41B,UAAY,GAMnC,IAAK9wB,KAJDwb,EAAMvI,EAAMuS,UACdvS,EAAQ8R,EAAM7pB,KAAK41B,SAAW9sB,EAAO,GAAIiP,IAG/Bw7B,EACJzuC,KAAOiT,IACXyQ,EAAI1jB,GAAO,IAIf,IAAKA,KAAOiT,EAAO,CAKjB,GAJAqX,EAAMrX,EAAMjT,GAIA,gBAARA,GAAiC,cAARA,EAAqB,CAEhD,GADI+kB,EAAMtB,WAAYsB,EAAMtB,SAAShoB,OAAS,GAC1C6uB,IAAQmkB,EAASzuC,GAAQ,SAGC,IAA1B0jB,EAAIgrB,WAAWjzC,QACjBioB,EAAI0iB,YAAY1iB,EAAIgrB,WAAW,IAInC,GAAY,UAAR1uC,GAAmC,aAAhB0jB,EAAImiB,QAAwB,CAGjDniB,EAAIirB,OAASrkB,EAEb,IAAIskB,EAAStzB,EAAQgP,GAAO,GAAK9lB,OAAO8lB,GACpCukB,GAAkBnrB,EAAKkrB,KACzBlrB,EAAIhkB,MAAQkvC,QAET,GAAY,cAAR5uC,GAAuBslC,GAAM5hB,EAAImiB,UAAYvqB,EAAQoI,EAAIorB,WAAY,EAE9EP,GAAeA,IAAgBpxC,SAASC,cAAc,QACzC0xC,UAAY,QAAUxkB,EAAM,SAEzC,IADA,IAAI6a,EAAMoJ,GAAaQ,WAChBrrB,EAAIqrB,YACTrrB,EAAI0iB,YAAY1iB,EAAIqrB,YAEtB,KAAO5J,EAAI4J,YACTrrB,EAAI7kB,YAAYsmC,EAAI4J,iBAEjB,GAKLzkB,IAAQmkB,EAASzuC,GAIjB,IACE0jB,EAAI1jB,GAAOsqB,EACX,MAAO5tB,OAQf,SAASmyC,GAAmBnrB,EAAKsrB,GAC/B,OAAStrB,EAAIurB,YACK,WAAhBvrB,EAAImiB,SAMR,SAA+BniB,EAAKsrB,GAGlC,IAAIE,GAAa,EAGjB,IAAMA,EAAa/xC,SAASgyC,gBAAkBzrB,EAAO,MAAOhnB,IAC5D,OAAOwyC,GAAcxrB,EAAIhkB,QAAUsvC,EAZjCI,CAAqB1rB,EAAKsrB,IAe9B,SAA+BtrB,EAAKqD,GAClC,IAAIrnB,EAAQgkB,EAAIhkB,MACZ0oC,EAAY1kB,EAAI2rB,YACpB,GAAI7zB,EAAM4sB,GAAY,CACpB,GAAIA,EAAU2E,OACZ,OAAOzwB,EAAS5c,KAAW4c,EAASyK,GAEtC,GAAIqhB,EAAUhkC,KACZ,OAAO1E,EAAM0E,SAAW2iB,EAAO3iB,OAGnC,OAAO1E,IAAUqnB,EAzBfuoB,CAAqB5rB,EAAKsrB,IA4B9B,IAAIle,GAAW,CACb/wB,OAAQyuC,GACRrrB,OAAQqrB,IAKNe,GAAiBpyB,GAAO,SAAUqyB,GACpC,IAAIrxB,EAAM,GAENsxB,EAAoB,QAOxB,OANAD,EAAQtmC,MAFY,iBAES/G,SAAQ,SAAU6a,GAC7C,GAAIA,EAAM,CACR,IAAIwf,EAAMxf,EAAK9T,MAAMumC,GACrBjT,EAAI/gC,OAAS,IAAM0iB,EAAIqe,EAAI,GAAGp4B,QAAUo4B,EAAI,GAAGp4B,YAG5C+Z,KAIT,SAASuxB,GAAoBx0C,GAC3B,IAAIqb,EAAQo5B,GAAsBz0C,EAAKqb,OAGvC,OAAOrb,EAAK00C,YACR5rC,EAAO9I,EAAK00C,YAAar5B,GACzBA,EAIN,SAASo5B,GAAuBE,GAC9B,OAAI1zB,MAAMra,QAAQ+tC,GACT3xB,EAAS2xB,GAEU,iBAAjBA,EACFN,GAAeM,GAEjBA,EAuCT,IAyBIC,GAzBAC,GAAW,MACXC,GAAc,iBACdC,GAAU,SAAUz8B,EAAIhV,EAAMuD,GAEhC,GAAIguC,GAASl2B,KAAKrb,GAChBgV,EAAG+C,MAAM25B,YAAY1xC,EAAMuD,QACtB,GAAIiuC,GAAYn2B,KAAK9X,GAC1ByR,EAAG+C,MAAM25B,YAAYxyB,EAAUlf,GAAOuD,EAAIuC,QAAQ0rC,GAAa,IAAK,iBAC/D,CACL,IAAIG,EAAiBC,GAAU5xC,GAC/B,GAAI2d,MAAMra,QAAQC,GAIhB,IAAK,IAAIxG,EAAI,EAAG8pB,EAAMtjB,EAAItG,OAAQF,EAAI8pB,EAAK9pB,IACzCiY,EAAG+C,MAAM45B,GAAkBpuC,EAAIxG,QAGjCiY,EAAG+C,MAAM45B,GAAkBpuC,IAK7BsuC,GAAc,CAAC,SAAU,MAAO,MAGhCD,GAAYjzB,GAAO,SAAUqM,GAG/B,GAFAsmB,GAAaA,IAAc3yC,SAASC,cAAc,OAAOmZ,MAE5C,YADbiT,EAAOnM,EAASmM,KACUA,KAAQsmB,GAChC,OAAOtmB,EAGT,IADA,IAAI8mB,EAAU9mB,EAAKxhB,OAAO,GAAGuV,cAAgBiM,EAAK7oB,MAAM,GAC/CpF,EAAI,EAAGA,EAAI80C,GAAY50C,OAAQF,IAAK,CAC3C,IAAIiD,EAAO6xC,GAAY90C,GAAK+0C,EAC5B,GAAI9xC,KAAQsxC,GACV,OAAOtxC,MAKb,SAAS+xC,GAAa7b,EAAU3P,GAC9B,IAAI7pB,EAAO6pB,EAAM7pB,KACbmuC,EAAU3U,EAASx5B,KAEvB,KAAIogB,EAAQpgB,EAAK00C,cAAgBt0B,EAAQpgB,EAAKqb,QAC5C+E,EAAQ+tB,EAAQuG,cAAgBt0B,EAAQ+tB,EAAQ9yB,QADlD,CAMA,IAAI+T,EAAK9rB,EACLgV,EAAKuR,EAAMrB,IACX8sB,EAAiBnH,EAAQuG,YACzBa,EAAkBpH,EAAQqH,iBAAmBrH,EAAQ9yB,OAAS,GAG9Do6B,EAAWH,GAAkBC,EAE7Bl6B,EAAQo5B,GAAsB5qB,EAAM7pB,KAAKqb,QAAU,GAKvDwO,EAAM7pB,KAAKw1C,gBAAkBl1B,EAAMjF,EAAMiP,QACrCxhB,EAAO,GAAIuS,GACXA,EAEJ,IAAIq6B,EApGN,SAAmB7rB,EAAO8rB,GACxB,IACIC,EADA3yB,EAAM,GAGV,GAAI0yB,EAEF,IADA,IAAInM,EAAY3f,EACT2f,EAAU1gB,oBACf0gB,EAAYA,EAAU1gB,kBAAkBkR,SAEzBwP,EAAUxpC,OACtB41C,EAAYpB,GAAmBhL,EAAUxpC,QAE1C8I,EAAOma,EAAK2yB,IAKbA,EAAYpB,GAAmB3qB,EAAM7pB,QACxC8I,EAAOma,EAAK2yB,GAId,IADA,IAAIrM,EAAa1f,EACT0f,EAAaA,EAAW/4B,QAC1B+4B,EAAWvpC,OAAS41C,EAAYpB,GAAmBjL,EAAWvpC,QAChE8I,EAAOma,EAAK2yB,GAGhB,OAAO3yB,EAyEQ4yB,CAAShsB,GAAO,GAE/B,IAAKvmB,KAAQmyC,EACPr1B,EAAQs1B,EAASpyC,KACnByxC,GAAQz8B,EAAIhV,EAAM,IAGtB,IAAKA,KAAQoyC,GACXtmB,EAAMsmB,EAASpyC,MACHmyC,EAASnyC,IAEnByxC,GAAQz8B,EAAIhV,EAAa,MAAP8rB,EAAc,GAAKA,IAK3C,IAAI/T,GAAQ,CACVxW,OAAQwwC,GACRptB,OAAQotB,IAKNS,GAAe,MAMnB,SAASC,GAAUz9B,EAAI81B,GAErB,GAAKA,IAASA,EAAMA,EAAIllC,QAKxB,GAAIoP,EAAG09B,UACD5H,EAAIlhC,QAAQ,MAAQ,EACtBkhC,EAAIpgC,MAAM8nC,IAAc7uC,SAAQ,SAAUnD,GAAK,OAAOwU,EAAG09B,UAAUrlC,IAAI7M,MAEvEwU,EAAG09B,UAAUrlC,IAAIy9B,OAEd,CACL,IAAIhf,EAAM,KAAO9W,EAAG29B,aAAa,UAAY,IAAM,IAC/C7mB,EAAIliB,QAAQ,IAAMkhC,EAAM,KAAO,GACjC91B,EAAGhW,aAAa,SAAU8sB,EAAMgf,GAAKllC,SAS3C,SAASgtC,GAAa59B,EAAI81B,GAExB,GAAKA,IAASA,EAAMA,EAAIllC,QAKxB,GAAIoP,EAAG09B,UACD5H,EAAIlhC,QAAQ,MAAQ,EACtBkhC,EAAIpgC,MAAM8nC,IAAc7uC,SAAQ,SAAUnD,GAAK,OAAOwU,EAAG09B,UAAUp0B,OAAO9d,MAE1EwU,EAAG09B,UAAUp0B,OAAOwsB,GAEjB91B,EAAG09B,UAAUz1C,QAChB+X,EAAGo1B,gBAAgB,aAEhB,CAGL,IAFA,IAAIte,EAAM,KAAO9W,EAAG29B,aAAa,UAAY,IAAM,IAC/CE,EAAM,IAAM/H,EAAM,IACfhf,EAAIliB,QAAQipC,IAAQ,GACzB/mB,EAAMA,EAAIhmB,QAAQ+sC,EAAK,MAEzB/mB,EAAMA,EAAIlmB,QAERoP,EAAGhW,aAAa,QAAS8sB,GAEzB9W,EAAGo1B,gBAAgB,UAOzB,SAAS0I,GAAmB5oB,GAC1B,GAAKA,EAAL,CAIA,GAAsB,iBAAXA,EAAqB,CAC9B,IAAIvK,EAAM,GAKV,OAJmB,IAAfuK,EAAO6oB,KACTvtC,EAAOma,EAAKqzB,GAAkB9oB,EAAOlqB,MAAQ,MAE/CwF,EAAOma,EAAKuK,GACLvK,EACF,MAAsB,iBAAXuK,EACT8oB,GAAkB9oB,QADpB,GAKT,IAAI8oB,GAAoBr0B,GAAO,SAAU3e,GACvC,MAAO,CACLizC,WAAajzC,EAAO,SACpBkzC,aAAelzC,EAAO,YACtBmzC,iBAAmBnzC,EAAO,gBAC1BozC,WAAapzC,EAAO,SACpBqzC,aAAerzC,EAAO,YACtBszC,iBAAmBtzC,EAAO,oBAI1BuzC,GAAgBjxB,IAAcQ,EAK9B0wB,GAAiB,aACjBC,GAAqB,gBACrBC,GAAgB,YAChBC,GAAoB,eACpBJ,UAE6BrzC,IAA3B+B,OAAO2xC,sBACwB1zC,IAAjC+B,OAAO4xC,wBAEPL,GAAiB,mBACjBC,GAAqB,4BAEOvzC,IAA1B+B,OAAO6xC,qBACuB5zC,IAAhC+B,OAAO8xC,uBAEPL,GAAgB,kBAChBC,GAAoB,uBAKxB,IAAIK,GAAM1xB,EACNrgB,OAAOgyC,sBACLhyC,OAAOgyC,sBAAsBxyC,KAAKQ,QAClC9B,WACyB,SAAU0D,GAAM,OAAOA,KAEtD,SAASqwC,GAAWrwC,GAClBmwC,IAAI,WACFA,GAAInwC,MAIR,SAASswC,GAAoBn/B,EAAI81B,GAC/B,IAAIsJ,EAAoBp/B,EAAGg2B,qBAAuBh2B,EAAGg2B,mBAAqB,IACtEoJ,EAAkBxqC,QAAQkhC,GAAO,IACnCsJ,EAAkB72C,KAAKutC,GACvB2H,GAASz9B,EAAI81B,IAIjB,SAASuJ,GAAuBr/B,EAAI81B,GAC9B91B,EAAGg2B,oBACL1sB,EAAOtJ,EAAGg2B,mBAAoBF,GAEhC8H,GAAY59B,EAAI81B,GAGlB,SAASwJ,GACPt/B,EACAu/B,EACApnB,GAEA,IAAI0W,EAAM2Q,GAAkBx/B,EAAIu/B,GAC5B30C,EAAOikC,EAAIjkC,KACXd,EAAU+kC,EAAI/kC,QACd21C,EAAY5Q,EAAI4Q,UACpB,IAAK70C,EAAQ,OAAOutB,IACpB,IAAI7tB,EA9DW,eA8DHM,EAAsB6zC,GAAqBE,GACnDe,EAAQ,EACRrG,EAAM,WACRr5B,EAAG21B,oBAAoBrrC,EAAOq1C,GAC9BxnB,KAEEwnB,EAAQ,SAAUz2C,GAChBA,EAAE4B,SAAWkV,KACT0/B,GAASD,GACbpG,KAINluC,YAAW,WACLu0C,EAAQD,GACVpG,MAEDvvC,EAAU,GACbkW,EAAGsO,iBAAiBhkB,EAAOq1C,GAG7B,IAAIC,GAAc,yBAElB,SAASJ,GAAmBx/B,EAAIu/B,GAC9B,IASI30C,EATAi1C,EAAS5yC,OAAO6yC,iBAAiB9/B,GAEjC+/B,GAAoBF,EAAOrB,GAAiB,UAAY,IAAI9oC,MAAM,MAClEsqC,GAAuBH,EAAOrB,GAAiB,aAAe,IAAI9oC,MAAM,MACxEuqC,EAAoBC,GAAWH,EAAkBC,GACjDG,GAAmBN,EAAOnB,GAAgB,UAAY,IAAIhpC,MAAM,MAChE0qC,GAAsBP,EAAOnB,GAAgB,aAAe,IAAIhpC,MAAM,MACtE2qC,EAAmBH,GAAWC,EAAiBC,GAG/Ct2C,EAAU,EACV21C,EAAY,EA8BhB,MA/He,eAmGXF,EACEU,EAAoB,IACtBr1C,EArGW,aAsGXd,EAAUm2C,EACVR,EAAYO,EAAoB/3C,QAtGtB,cAwGHs3C,EACLc,EAAmB,IACrBz1C,EA1GU,YA2GVd,EAAUu2C,EACVZ,EAAYW,EAAmBn4C,QASjCw3C,GALA70C,GADAd,EAAUkE,KAAKwlB,IAAIysB,EAAmBI,IACrB,EACbJ,EAAoBI,EAlHX,aACD,YAoHR,MArHS,eAuHTz1C,EACEo1C,EAAoB/3C,OACpBm4C,EAAmBn4C,OACrB,EAKC,CACL2C,KAAMA,EACNd,QAASA,EACT21C,UAAWA,EACXa,aAnIa,eA6Hb11C,GACAg1C,GAAYv5B,KAAKw5B,EAAOrB,GAAiB,cAS7C,SAAS0B,GAAYK,EAAQC,GAE3B,KAAOD,EAAOt4C,OAASu4C,EAAUv4C,QAC/Bs4C,EAASA,EAAOxnC,OAAOwnC,GAGzB,OAAOvyC,KAAKwlB,IAAInS,MAAM,KAAMm/B,EAAUv3B,KAAI,SAAUxd,EAAG1D,GACrD,OAAO04C,GAAKh1C,GAAKg1C,GAAKF,EAAOx4C,QAQjC,SAAS04C,GAAMrzC,GACb,OAAkD,IAA3C6W,OAAO7W,EAAED,MAAM,GAAI,GAAG2D,QAAQ,IAAK,MAK5C,SAAS4vC,GAAOnvB,EAAOovB,GACrB,IAAI3gC,EAAKuR,EAAMrB,IAGXlI,EAAMhI,EAAG4gC,YACX5gC,EAAG4gC,SAASC,WAAY,EACxB7gC,EAAG4gC,YAGL,IAAIl5C,EAAOo2C,GAAkBvsB,EAAM7pB,KAAKo5C,YACxC,IAAIh5B,EAAQpgB,KAKRsgB,EAAMhI,EAAG+gC,WAA6B,IAAhB/gC,EAAGghC,SAA7B,CA4BA,IAxBA,IAAIjD,EAAMr2C,EAAKq2C,IACXnzC,EAAOlD,EAAKkD,KACZqzC,EAAav2C,EAAKu2C,WAClBC,EAAex2C,EAAKw2C,aACpBC,EAAmBz2C,EAAKy2C,iBACxB8C,EAAcv5C,EAAKu5C,YACnBC,EAAgBx5C,EAAKw5C,cACrBC,EAAoBz5C,EAAKy5C,kBACzBC,EAAc15C,EAAK05C,YACnBV,EAAQh5C,EAAKg5C,MACbW,EAAa35C,EAAK25C,WAClBC,EAAiB55C,EAAK45C,eACtBC,EAAe75C,EAAK65C,aACpBC,EAAS95C,EAAK85C,OACdC,EAAc/5C,EAAK+5C,YACnBC,EAAkBh6C,EAAKg6C,gBACvBC,EAAWj6C,EAAKi6C,SAMhB5pC,EAAUkpB,GACV2gB,EAAiB3gB,GAAejpB,OAC7B4pC,GAAkBA,EAAe1pC,QACtCH,EAAU6pC,EAAe7pC,QACzB6pC,EAAiBA,EAAe1pC,OAGlC,IAAI2pC,GAAY9pC,EAAQsqB,aAAe9Q,EAAMZ,aAE7C,IAAIkxB,GAAaL,GAAqB,KAAXA,EAA3B,CAIA,IAAIM,EAAaD,GAAYZ,EACzBA,EACAhD,EACA8D,EAAcF,GAAYV,EAC1BA,EACAhD,EACA6D,EAAUH,GAAYX,EACtBA,EACAhD,EAEA+D,EAAkBJ,GACjBN,GACDH,EACAc,EAAYL,GACO,mBAAXL,EAAwBA,EAChCd,EACAyB,EAAiBN,GAChBJ,GACDJ,EACAe,EAAqBP,GACpBH,GACDJ,EAEAe,EAAwBv5B,EAC1Bra,EAASkzC,GACLA,EAASjB,MACTiB,GAGF,EAIJ,IAAIW,GAAqB,IAARvE,IAAkBjwB,EAC/By0B,EAAmBC,GAAuBN,GAE1C/pB,EAAKnY,EAAG+gC,SAAWr1B,GAAK,WACtB42B,IACFjD,GAAsBr/B,EAAIgiC,GAC1B3C,GAAsBr/B,EAAI+hC,IAExB5pB,EAAG0oB,WACDyB,GACFjD,GAAsBr/B,EAAI8hC,GAE5BM,GAAsBA,EAAmBpiC,IAEzCmiC,GAAkBA,EAAeniC,GAEnCA,EAAG+gC,SAAW,QAGXxvB,EAAM7pB,KAAK+6C,MAEdhpB,GAAelI,EAAO,UAAU,WAC9B,IAAIrZ,EAAS8H,EAAGixB,WACZyR,EAAcxqC,GAAUA,EAAOyqC,UAAYzqC,EAAOyqC,SAASpxB,EAAM/kB,KACjEk2C,GACFA,EAAY1yB,MAAQuB,EAAMvB,KAC1B0yB,EAAYxyB,IAAI0wB,UAEhB8B,EAAYxyB,IAAI0wB,WAElBsB,GAAaA,EAAUliC,EAAImY,MAK/B8pB,GAAmBA,EAAgBjiC,GAC/BsiC,IACFnD,GAAmBn/B,EAAI8hC,GACvB3C,GAAmBn/B,EAAI+hC,GACvB7C,IAAU,WACRG,GAAsBr/B,EAAI8hC,GACrB3pB,EAAG0oB,YACN1B,GAAmBn/B,EAAIgiC,GAClBO,IACCK,GAAgBP,GAClBl3C,WAAWgtB,EAAIkqB,GAEf/C,GAAmBt/B,EAAIpV,EAAMutB,SAOnC5G,EAAM7pB,KAAK+6C,OACb9B,GAAiBA,IACjBuB,GAAaA,EAAUliC,EAAImY,IAGxBmqB,GAAeC,GAClBpqB,MAIJ,SAAS0qB,GAAOtxB,EAAOuxB,GACrB,IAAI9iC,EAAKuR,EAAMrB,IAGXlI,EAAMhI,EAAG+gC,YACX/gC,EAAG+gC,SAASF,WAAY,EACxB7gC,EAAG+gC,YAGL,IAAIr5C,EAAOo2C,GAAkBvsB,EAAM7pB,KAAKo5C,YACxC,GAAIh5B,EAAQpgB,IAAyB,IAAhBsY,EAAGghC,SACtB,OAAO8B,IAIT,IAAI96B,EAAMhI,EAAG4gC,UAAb,CAIA,IAAI7C,EAAMr2C,EAAKq2C,IACXnzC,EAAOlD,EAAKkD,KACZwzC,EAAa12C,EAAK02C,WAClBC,EAAe32C,EAAK22C,aACpBC,EAAmB52C,EAAK42C,iBACxByE,EAAcr7C,EAAKq7C,YACnBF,EAAQn7C,EAAKm7C,MACbG,EAAat7C,EAAKs7C,WAClBC,EAAiBv7C,EAAKu7C,eACtBC,EAAax7C,EAAKw7C,WAClBvB,EAAWj6C,EAAKi6C,SAEhBW,GAAqB,IAARvE,IAAkBjwB,EAC/By0B,EAAmBC,GAAuBK,GAE1CM,EAAwBr6B,EAC1Bra,EAASkzC,GACLA,EAASkB,MACTlB,GAGF,EAIJ,IAAIxpB,EAAKnY,EAAG4gC,SAAWl1B,GAAK,WACtB1L,EAAGixB,YAAcjxB,EAAGixB,WAAW0R,WACjC3iC,EAAGixB,WAAW0R,SAASpxB,EAAM/kB,KAAO,MAElC81C,IACFjD,GAAsBr/B,EAAIq+B,GAC1BgB,GAAsBr/B,EAAIs+B,IAExBnmB,EAAG0oB,WACDyB,GACFjD,GAAsBr/B,EAAIo+B,GAE5B6E,GAAkBA,EAAejjC,KAEjC8iC,IACAE,GAAcA,EAAWhjC,IAE3BA,EAAG4gC,SAAW,QAGZsC,EACFA,EAAWE,GAEXA,IAGF,SAASA,IAEHjrB,EAAG0oB,aAIFtvB,EAAM7pB,KAAK+6C,MAAQziC,EAAGixB,cACxBjxB,EAAGixB,WAAW0R,WAAa3iC,EAAGixB,WAAW0R,SAAW,KAAMpxB,EAAS,KAAKA,GAE3EwxB,GAAeA,EAAY/iC,GACvBsiC,IACFnD,GAAmBn/B,EAAIo+B,GACvBe,GAAmBn/B,EAAIs+B,GACvBY,IAAU,WACRG,GAAsBr/B,EAAIo+B,GACrBjmB,EAAG0oB,YACN1B,GAAmBn/B,EAAIq+B,GAClBkE,IACCK,GAAgBO,GAClBh4C,WAAWgtB,EAAIgrB,GAEf7D,GAAmBt/B,EAAIpV,EAAMutB,SAMvC0qB,GAASA,EAAM7iC,EAAImY,GACdmqB,GAAeC,GAClBpqB,MAsBN,SAASyqB,GAAiBr0C,GACxB,MAAsB,iBAARA,IAAqB+L,MAAM/L,GAS3C,SAASi0C,GAAwB3zC,GAC/B,GAAIiZ,EAAQjZ,GACV,OAAO,EAET,IAAIw0C,EAAax0C,EAAGoqB,IACpB,OAAIjR,EAAMq7B,GAEDb,GACL75B,MAAMra,QAAQ+0C,GACVA,EAAW,GACXA,IAGEx0C,EAAGwb,SAAWxb,EAAG5G,QAAU,EAIvC,SAASq7C,GAAQx5B,EAAGyH,IACM,IAApBA,EAAM7pB,KAAK+6C,MACb/B,GAAMnvB,GAIV,IA4BIgyB,GA9iFJ,SAA8BC,GAC5B,IAAIz7C,EAAG4+B,EACHuH,EAAM,GAEN1lC,EAAUg7C,EAAQh7C,QAClB4pC,EAAUoR,EAAQpR,QAEtB,IAAKrqC,EAAI,EAAGA,EAAIssB,GAAMpsB,SAAUF,EAE9B,IADAmmC,EAAI7Z,GAAMtsB,IAAM,GACX4+B,EAAI,EAAGA,EAAIn+B,EAAQP,SAAU0+B,EAC5B3e,EAAMxf,EAAQm+B,GAAGtS,GAAMtsB,MACzBmmC,EAAI7Z,GAAMtsB,IAAIQ,KAAKC,EAAQm+B,GAAGtS,GAAMtsB,KAmB1C,SAAS07C,EAAYzjC,GACnB,IAAI9H,EAASk6B,EAAQnB,WAAWjxB,GAE5BgI,EAAM9P,IACRk6B,EAAQQ,YAAY16B,EAAQ8H,GAsBhC,SAAS0jC,EACPnyB,EACAoyB,EACAC,EACAC,EACAC,EACAC,EACAp8B,GAYA,GAVIK,EAAMuJ,EAAMrB,MAAQlI,EAAM+7B,KAM5BxyB,EAAQwyB,EAAWp8B,GAAS2J,GAAWC,IAGzCA,EAAMZ,cAAgBmzB,GAiDxB,SAA0BvyB,EAAOoyB,EAAoBC,EAAWC,GAC9D,IAAI97C,EAAIwpB,EAAM7pB,KACd,GAAIsgB,EAAMjgB,GAAI,CACZ,IAAIi8C,EAAgBh8B,EAAMuJ,EAAMf,oBAAsBzoB,EAAE24B,UAQxD,GAPI1Y,EAAMjgB,EAAIA,EAAE4P,OAASqQ,EAAMjgB,EAAIA,EAAEw4B,OACnCx4B,EAAEwpB,GAAO,GAMPvJ,EAAMuJ,EAAMf,mBAMd,OALAyzB,EAAc1yB,EAAOoyB,GACrBvhB,EAAOwhB,EAAWryB,EAAMrB,IAAK2zB,GACzB57B,EAAO+7B,IA0BjB,SAA8BzyB,EAAOoyB,EAAoBC,EAAWC,GAClE,IAAI97C,EAKAm8C,EAAY3yB,EAChB,KAAO2yB,EAAU1zB,mBAEf,GADA0zB,EAAYA,EAAU1zB,kBAAkBkR,OACpC1Z,EAAMjgB,EAAIm8C,EAAUx8C,OAASsgB,EAAMjgB,EAAIA,EAAE+4C,YAAa,CACxD,IAAK/4C,EAAI,EAAGA,EAAImmC,EAAIiW,SAASl8C,SAAUF,EACrCmmC,EAAIiW,SAASp8C,GAAGsrC,GAAW6Q,GAE7BP,EAAmBp7C,KAAK27C,GACxB,MAKJ9hB,EAAOwhB,EAAWryB,EAAMrB,IAAK2zB,GA5CvBO,CAAoB7yB,EAAOoyB,EAAoBC,EAAWC,IAErD,GAjEP3gB,CAAgB3R,EAAOoyB,EAAoBC,EAAWC,GAA1D,CAIA,IAAIn8C,EAAO6pB,EAAM7pB,KACbuoB,EAAWsB,EAAMtB,SACjBD,EAAMuB,EAAMvB,IACZhI,EAAMgI,IAeRuB,EAAMrB,IAAMqB,EAAMjlB,GACd8lC,EAAQG,gBAAgBhhB,EAAMjlB,GAAI0jB,GAClCoiB,EAAQxoC,cAAcomB,EAAKuB,GAC/B8yB,EAAS9yB,GAIP+yB,EAAe/yB,EAAOtB,EAAU0zB,GAC5B37B,EAAMtgB,IACR68C,EAAkBhzB,EAAOoyB,GAE3BvhB,EAAOwhB,EAAWryB,EAAMrB,IAAK2zB,IAMtB57B,EAAOsJ,EAAMX,YACtBW,EAAMrB,IAAMkiB,EAAQI,cAAcjhB,EAAMld,MACxC+tB,EAAOwhB,EAAWryB,EAAMrB,IAAK2zB,KAE7BtyB,EAAMrB,IAAMkiB,EAAQpa,eAAezG,EAAMld,MACzC+tB,EAAOwhB,EAAWryB,EAAMrB,IAAK2zB,KA0BjC,SAASI,EAAe1yB,EAAOoyB,GACzB37B,EAAMuJ,EAAM7pB,KAAK88C,iBACnBb,EAAmBp7C,KAAK8Y,MAAMsiC,EAAoBpyB,EAAM7pB,KAAK88C,eAC7DjzB,EAAM7pB,KAAK88C,cAAgB,MAE7BjzB,EAAMrB,IAAMqB,EAAMf,kBAAkBlQ,IAChCmkC,EAAYlzB,IACdgzB,EAAkBhzB,EAAOoyB,GACzBU,EAAS9yB,KAIT0hB,GAAY1hB,GAEZoyB,EAAmBp7C,KAAKgpB,IA0B5B,SAAS6Q,EAAQlqB,EAAQgY,EAAKw0B,GACxB18B,EAAM9P,KACJ8P,EAAM08B,GACJtS,EAAQnB,WAAWyT,KAAYxsC,GACjCk6B,EAAQK,aAAav6B,EAAQgY,EAAKw0B,GAGpCtS,EAAQ/mC,YAAY6M,EAAQgY,IAKlC,SAASo0B,EAAgB/yB,EAAOtB,EAAU0zB,GACxC,GAAIh7B,MAAMra,QAAQ2hB,GAAW,CACvB,EAGJ,IAAK,IAAIloB,EAAI,EAAGA,EAAIkoB,EAAShoB,SAAUF,EACrC27C,EAAUzzB,EAASloB,GAAI47C,EAAoBpyB,EAAMrB,IAAK,MAAM,EAAMD,EAAUloB,QAErEmgB,EAAYqJ,EAAMld,OAC3B+9B,EAAQ/mC,YAAYkmB,EAAMrB,IAAKkiB,EAAQpa,eAAehnB,OAAOugB,EAAMld,QAIvE,SAASowC,EAAalzB,GACpB,KAAOA,EAAMf,mBACXe,EAAQA,EAAMf,kBAAkBkR,OAElC,OAAO1Z,EAAMuJ,EAAMvB,KAGrB,SAASu0B,EAAmBhzB,EAAOoyB,GACjC,IAAK,IAAI1V,EAAM,EAAGA,EAAMC,EAAI3hC,OAAOtE,SAAUgmC,EAC3CC,EAAI3hC,OAAO0hC,GAAKoF,GAAW9hB,GAGzBvJ,EADJjgB,EAAIwpB,EAAM7pB,KAAKiQ,QAETqQ,EAAMjgB,EAAEwE,SAAWxE,EAAEwE,OAAO8mC,GAAW9hB,GACvCvJ,EAAMjgB,EAAEq6B,SAAWuhB,EAAmBp7C,KAAKgpB,IAOnD,SAAS8yB,EAAU9yB,GACjB,IAAIxpB,EACJ,GAAIigB,EAAMjgB,EAAIwpB,EAAMhB,WAClB6hB,EAAQY,cAAczhB,EAAMrB,IAAKnoB,QAGjC,IADA,IAAI48C,EAAWpzB,EACRozB,GACD38B,EAAMjgB,EAAI48C,EAAS5sC,UAAYiQ,EAAMjgB,EAAIA,EAAEyQ,SAASV,WACtDs6B,EAAQY,cAAczhB,EAAMrB,IAAKnoB,GAEnC48C,EAAWA,EAASzsC,OAIpB8P,EAAMjgB,EAAIk5B,KACZl5B,IAAMwpB,EAAMxZ,SACZhQ,IAAMwpB,EAAMlB,WACZrI,EAAMjgB,EAAIA,EAAEyQ,SAASV,WAErBs6B,EAAQY,cAAczhB,EAAMrB,IAAKnoB,GAIrC,SAAS68C,EAAWhB,EAAWC,EAAQ/e,EAAQ+f,EAAUjR,EAAQ+P,GAC/D,KAAOkB,GAAYjR,IAAUiR,EAC3BnB,EAAU5e,EAAO+f,GAAWlB,EAAoBC,EAAWC,GAAQ,EAAO/e,EAAQ+f,GAItF,SAASC,EAAmBvzB,GAC1B,IAAIxpB,EAAG4+B,EACHj/B,EAAO6pB,EAAM7pB,KACjB,GAAIsgB,EAAMtgB,GAER,IADIsgB,EAAMjgB,EAAIL,EAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAE26B,UAAY36B,EAAEwpB,GACjDxpB,EAAI,EAAGA,EAAImmC,EAAIxL,QAAQz6B,SAAUF,EAAKmmC,EAAIxL,QAAQ36B,GAAGwpB,GAE5D,GAAIvJ,EAAMjgB,EAAIwpB,EAAMtB,UAClB,IAAK0W,EAAI,EAAGA,EAAIpV,EAAMtB,SAAShoB,SAAU0+B,EACvCme,EAAkBvzB,EAAMtB,SAAS0W,IAKvC,SAASoe,EAAcjgB,EAAQ+f,EAAUjR,GACvC,KAAOiR,GAAYjR,IAAUiR,EAAU,CACrC,IAAIG,EAAKlgB,EAAO+f,GACZ78B,EAAMg9B,KACJh9B,EAAMg9B,EAAGh1B,MACXi1B,EAA0BD,GAC1BF,EAAkBE,IAElBvB,EAAWuB,EAAG90B,OAMtB,SAAS+0B,EAA2B1zB,EAAOuxB,GACzC,GAAI96B,EAAM86B,IAAO96B,EAAMuJ,EAAM7pB,MAAO,CAClC,IAAIK,EACAg4B,EAAYmO,EAAI5kB,OAAOrhB,OAAS,EAapC,IAZI+f,EAAM86B,GAGRA,EAAG/iB,WAAaA,EAGhB+iB,EAtRN,SAAqBoC,EAAUnlB,GAC7B,SAASzG,IACuB,KAAxBA,EAAUyG,WACd0jB,EAAWyB,GAIf,OADA5rB,EAAUyG,UAAYA,EACfzG,EA+QE6rB,CAAW5zB,EAAMrB,IAAK6P,GAGzB/X,EAAMjgB,EAAIwpB,EAAMf,oBAAsBxI,EAAMjgB,EAAIA,EAAE25B,SAAW1Z,EAAMjgB,EAAEL,OACvEu9C,EAA0Bl9C,EAAG+6C,GAE1B/6C,EAAI,EAAGA,EAAImmC,EAAI5kB,OAAOrhB,SAAUF,EACnCmmC,EAAI5kB,OAAOvhB,GAAGwpB,EAAOuxB,GAEnB96B,EAAMjgB,EAAIwpB,EAAM7pB,KAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAEuhB,QAC5CvhB,EAAEwpB,EAAOuxB,GAETA,SAGFW,EAAWlyB,EAAMrB,KA8FrB,SAASk1B,EAAch0B,EAAMi0B,EAAO96B,EAAO8uB,GACzC,IAAK,IAAItxC,EAAIwiB,EAAOxiB,EAAIsxC,EAAKtxC,IAAK,CAChC,IAAIyD,EAAI65C,EAAMt9C,GACd,GAAIigB,EAAMxc,IAAM8nC,GAAUliB,EAAM5lB,GAAM,OAAOzD,GAIjD,SAASu9C,EACPpkB,EACA3P,EACAoyB,EACAI,EACAp8B,EACA49B,GAEA,GAAIrkB,IAAa3P,EAAjB,CAIIvJ,EAAMuJ,EAAMrB,MAAQlI,EAAM+7B,KAE5BxyB,EAAQwyB,EAAWp8B,GAAS2J,GAAWC,IAGzC,IAAIrB,EAAMqB,EAAMrB,IAAMgR,EAAShR,IAE/B,GAAIjI,EAAOiZ,EAASlQ,oBACdhJ,EAAMuJ,EAAMnB,aAAamT,UAC3BiiB,EAAQtkB,EAAShR,IAAKqB,EAAOoyB,GAE7BpyB,EAAMP,oBAAqB,OAS/B,GAAI/I,EAAOsJ,EAAMb,WACfzI,EAAOiZ,EAASxQ,WAChBa,EAAM/kB,MAAQ00B,EAAS10B,MACtByb,EAAOsJ,EAAMV,WAAa5I,EAAOsJ,EAAMT,SAExCS,EAAMf,kBAAoB0Q,EAAS1Q,sBALrC,CASA,IAAIzoB,EACAL,EAAO6pB,EAAM7pB,KACbsgB,EAAMtgB,IAASsgB,EAAMjgB,EAAIL,EAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAE64B,WACrD74B,EAAEm5B,EAAU3P,GAGd,IAAI8zB,EAAQnkB,EAASjR,SACjB+0B,EAAKzzB,EAAMtB,SACf,GAAIjI,EAAMtgB,IAAS+8C,EAAYlzB,GAAQ,CACrC,IAAKxpB,EAAI,EAAGA,EAAImmC,EAAIve,OAAO1nB,SAAUF,EAAKmmC,EAAIve,OAAO5nB,GAAGm5B,EAAU3P,GAC9DvJ,EAAMjgB,EAAIL,EAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAE4nB,SAAW5nB,EAAEm5B,EAAU3P,GAE7DzJ,EAAQyJ,EAAMld,MACZ2T,EAAMq9B,IAAUr9B,EAAMg9B,GACpBK,IAAUL,GAxJpB,SAAyBpB,EAAWyB,EAAOI,EAAO9B,EAAoB4B,GACpE,IAQIG,EAAaC,EAAUC,EARvBC,EAAc,EACdC,EAAc,EACdC,EAAYV,EAAMp9C,OAAS,EAC3B+9C,EAAgBX,EAAM,GACtBY,EAAcZ,EAAMU,GACpBG,EAAYT,EAAMx9C,OAAS,EAC3Bk+C,EAAgBV,EAAM,GACtBW,EAAcX,EAAMS,GAMpBG,GAAWd,EAMf,IAJI,EAIGM,GAAeE,GAAaD,GAAeI,GAC5Cp+B,EAAQk+B,GACVA,EAAgBX,IAAQQ,GACf/9B,EAAQm+B,GACjBA,EAAcZ,IAAQU,GACbzS,GAAU0S,EAAeG,IAClCb,EAAWU,EAAeG,EAAexC,EAAoB8B,EAAOK,GACpEE,EAAgBX,IAAQQ,GACxBM,EAAgBV,IAAQK,IACfxS,GAAU2S,EAAaG,IAChCd,EAAWW,EAAaG,EAAazC,EAAoB8B,EAAOS,GAChED,EAAcZ,IAAQU,GACtBK,EAAcX,IAAQS,IACb5S,GAAU0S,EAAeI,IAClCd,EAAWU,EAAeI,EAAazC,EAAoB8B,EAAOS,GAClEG,GAAWjU,EAAQK,aAAamR,EAAWoC,EAAc91B,IAAKkiB,EAAQS,YAAYoT,EAAY/1B,MAC9F81B,EAAgBX,IAAQQ,GACxBO,EAAcX,IAAQS,IACb5S,GAAU2S,EAAaE,IAChCb,EAAWW,EAAaE,EAAexC,EAAoB8B,EAAOK,GAClEO,GAAWjU,EAAQK,aAAamR,EAAWqC,EAAY/1B,IAAK81B,EAAc91B,KAC1E+1B,EAAcZ,IAAQU,GACtBI,EAAgBV,IAAQK,KAEpBh+B,EAAQ49B,KAAgBA,EAAchS,GAAkB2R,EAAOQ,EAAaE,IAI5Ej+B,EAHJ69B,EAAW39B,EAAMm+B,EAAc35C,KAC3Bk5C,EAAYS,EAAc35C,KAC1B44C,EAAae,EAAed,EAAOQ,EAAaE,IAElDrC,EAAUyC,EAAexC,EAAoBC,EAAWoC,EAAc91B,KAAK,EAAOu1B,EAAOK,GAGrFxS,GADJsS,EAAcP,EAAMM,GACOQ,IACzBb,EAAWM,EAAaO,EAAexC,EAAoB8B,EAAOK,GAClET,EAAMM,QAAYz6C,EAClBm7C,GAAWjU,EAAQK,aAAamR,EAAWgC,EAAY11B,IAAK81B,EAAc91B,MAG1EwzB,EAAUyC,EAAexC,EAAoBC,EAAWoC,EAAc91B,KAAK,EAAOu1B,EAAOK,GAG7FK,EAAgBV,IAAQK,IAGxBD,EAAcE,EAEhBnB,EAAUhB,EADD97B,EAAQ29B,EAAMS,EAAY,IAAM,KAAOT,EAAMS,EAAY,GAAGh2B,IACxCu1B,EAAOK,EAAaI,EAAWvC,GACnDmC,EAAcI,GACvBnB,EAAaM,EAAOQ,EAAaE,GAoFXO,CAAep2B,EAAKm1B,EAAOL,EAAIrB,EAAoB4B,GAC9Dv9B,EAAMg9B,IAIXh9B,EAAMkZ,EAAS7sB,OAAS+9B,EAAQU,eAAe5iB,EAAK,IACxD00B,EAAU10B,EAAK,KAAM80B,EAAI,EAAGA,EAAG/8C,OAAS,EAAG07C,IAClC37B,EAAMq9B,GACfN,EAAaM,EAAO,EAAGA,EAAMp9C,OAAS,GAC7B+f,EAAMkZ,EAAS7sB,OACxB+9B,EAAQU,eAAe5iB,EAAK,IAErBgR,EAAS7sB,OAASkd,EAAMld,MACjC+9B,EAAQU,eAAe5iB,EAAKqB,EAAMld,MAEhC2T,EAAMtgB,IACJsgB,EAAMjgB,EAAIL,EAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAEw+C,YAAcx+C,EAAEm5B,EAAU3P,KAItE,SAASi1B,EAAkBj1B,EAAOuV,EAAO2f,GAGvC,GAAIx+B,EAAOw+B,IAAYz+B,EAAMuJ,EAAMrZ,QACjCqZ,EAAMrZ,OAAOxQ,KAAK88C,cAAgB1d,OAElC,IAAK,IAAI/+B,EAAI,EAAGA,EAAI++B,EAAM7+B,SAAUF,EAClC++B,EAAM/+B,GAAGL,KAAKiQ,KAAKyqB,OAAO0E,EAAM/+B,IAKtC,IAKI2+C,EAAmB39B,EAAQ,2CAG/B,SAASy8B,EAASt1B,EAAKqB,EAAOoyB,EAAoBgD,GAChD,IAAI5+C,EACAioB,EAAMuB,EAAMvB,IACZtoB,EAAO6pB,EAAM7pB,KACbuoB,EAAWsB,EAAMtB,SAIrB,GAHA02B,EAASA,GAAWj/C,GAAQA,EAAKk+B,IACjCrU,EAAMrB,IAAMA,EAERjI,EAAOsJ,EAAMX,YAAc5I,EAAMuJ,EAAMnB,cAEzC,OADAmB,EAAMP,oBAAqB,GACpB,EAQT,GAAIhJ,EAAMtgB,KACJsgB,EAAMjgB,EAAIL,EAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAEw4B,OAASx4B,EAAEwpB,GAAO,GACtDvJ,EAAMjgB,EAAIwpB,EAAMf,oBAGlB,OADAyzB,EAAc1yB,EAAOoyB,IACd,EAGX,GAAI37B,EAAMgI,GAAM,CACd,GAAIhI,EAAMiI,GAER,GAAKC,EAAI02B,gBAIP,GAAI5+B,EAAMjgB,EAAIL,IAASsgB,EAAMjgB,EAAIA,EAAEu1B,WAAatV,EAAMjgB,EAAIA,EAAEuzC,YAC1D,GAAIvzC,IAAMmoB,EAAIorB,UAWZ,OAAO,MAEJ,CAIL,IAFA,IAAIuL,GAAgB,EAChB3V,EAAYhhB,EAAIqrB,WACXtN,EAAM,EAAGA,EAAMhe,EAAShoB,OAAQgmC,IAAO,CAC9C,IAAKiD,IAAcsU,EAAQtU,EAAWjhB,EAASge,GAAM0V,EAAoBgD,GAAS,CAChFE,GAAgB,EAChB,MAEF3V,EAAYA,EAAU2B,YAIxB,IAAKgU,GAAiB3V,EAUpB,OAAO,OAxCXoT,EAAe/yB,EAAOtB,EAAU0zB,GA6CpC,GAAI37B,EAAMtgB,GAAO,CACf,IAAIo/C,GAAa,EACjB,IAAK,IAAIt6C,KAAO9E,EACd,IAAKg/C,EAAiBl6C,GAAM,CAC1Bs6C,GAAa,EACbvC,EAAkBhzB,EAAOoyB,GACzB,OAGCmD,GAAcp/C,EAAY,OAE7B4wB,GAAS5wB,EAAY,aAGhBwoB,EAAIxoB,OAAS6pB,EAAMld,OAC5B6b,EAAIxoB,KAAO6pB,EAAMld,MAEnB,OAAO,EAcT,OAAO,SAAgB6sB,EAAU3P,EAAOiP,EAAW+kB,GACjD,IAAIz9B,EAAQyJ,GAAZ,CAKA,IA7lBoBrB,EA6lBhB62B,GAAiB,EACjBpD,EAAqB,GAEzB,GAAI77B,EAAQoZ,GAEV6lB,GAAiB,EACjBrD,EAAUnyB,EAAOoyB,OACZ,CACL,IAAIqD,EAAgBh/B,EAAMkZ,EAAS8f,UACnC,IAAKgG,GAAiB1T,GAAUpS,EAAU3P,GAExC+zB,EAAWpkB,EAAU3P,EAAOoyB,EAAoB,KAAM,KAAM4B,OACvD,CACL,GAAIyB,EAAe,CAQjB,GAJ0B,IAAtB9lB,EAAS8f,UAAkB9f,EAAS+lB,aArgMnC,0BAsgMH/lB,EAASkU,gBAtgMN,wBAugMH5U,GAAY,GAEVvY,EAAOuY,IACLglB,EAAQtkB,EAAU3P,EAAOoyB,GAE3B,OADA6C,EAAiBj1B,EAAOoyB,GAAoB,GACrCziB,EArnBGhR,EAkoBSgR,EAAvBA,EAjoBC,IAAInR,GAAMqiB,EAAQC,QAAQniB,GAAK/G,cAAe,GAAI,QAAIje,EAAWglB,GAqoBpE,IAAIg3B,EAAShmB,EAAShR,IAClB0zB,EAAYxR,EAAQnB,WAAWiW,GAcnC,GAXAxD,EACEnyB,EACAoyB,EAIAuD,EAAOtG,SAAW,KAAOgD,EACzBxR,EAAQS,YAAYqU,IAIlBl/B,EAAMuJ,EAAMrZ,QAGd,IAFA,IAAIysC,EAAWpzB,EAAMrZ,OACjBivC,EAAY1C,EAAYlzB,GACrBozB,GAAU,CACf,IAAK,IAAI58C,EAAI,EAAGA,EAAImmC,EAAIxL,QAAQz6B,SAAUF,EACxCmmC,EAAIxL,QAAQ36B,GAAG48C,GAGjB,GADAA,EAASz0B,IAAMqB,EAAMrB,IACjBi3B,EAAW,CACb,IAAK,IAAIlZ,EAAM,EAAGA,EAAMC,EAAI3hC,OAAOtE,SAAUgmC,EAC3CC,EAAI3hC,OAAO0hC,GAAKoF,GAAWsR,GAK7B,IAAIviB,EAASuiB,EAASj9C,KAAKiQ,KAAKyqB,OAChC,GAAIA,EAAOvI,OAET,IAAK,IAAIutB,EAAM,EAAGA,EAAMhlB,EAAOnJ,IAAIhxB,OAAQm/C,IACzChlB,EAAOnJ,IAAImuB,UAIfnU,GAAY0R,GAEdA,EAAWA,EAASzsC,OAKpB8P,EAAM47B,GACRmB,EAAa,CAAC7jB,GAAW,EAAG,GACnBlZ,EAAMkZ,EAASlR,MACxB80B,EAAkB5jB,IAMxB,OADAslB,EAAiBj1B,EAAOoyB,EAAoBoD,GACrCx1B,EAAMrB,IAnGPlI,EAAMkZ,IAAa4jB,EAAkB5jB,IAq8DnCmmB,CAAoB,CAAEjV,QAASA,GAAS5pC,QAf9B,CACpBiT,GACA66B,GACAkC,GACAlb,GACAva,GAlBeuK,EAAY,CAC3B/gB,OAAQ+2C,GACRa,SAAUb,GACVh6B,OAAQ,SAAoBiI,EAAOuxB,IAET,IAApBvxB,EAAM7pB,KAAK+6C,KACbI,GAAMtxB,EAAOuxB,GAEbA,MAGF,IAe0B/pC,OAAO+7B,MAUjChnB,GAEFnkB,SAAS2kB,iBAAiB,mBAAmB,WAC3C,IAAItO,EAAKrW,SAASgyC,cACd37B,GAAMA,EAAGsnC,QACXC,GAAQvnC,EAAI,YAKlB,IAAIwnC,GAAY,CACd11B,SAAU,SAAmB9R,EAAIynC,EAASl2B,EAAO2P,GAC7B,WAAd3P,EAAMvB,KAEJkR,EAAShR,MAAQgR,EAAShR,IAAIw3B,UAChCjuB,GAAelI,EAAO,aAAa,WACjCi2B,GAAU/S,iBAAiBz0B,EAAIynC,EAASl2B,MAG1Co2B,GAAY3nC,EAAIynC,EAASl2B,EAAMxZ,SAEjCiI,EAAG0nC,UAAY,GAAGz+B,IAAI5gB,KAAK2X,EAAG7N,QAASy1C,MAChB,aAAdr2B,EAAMvB,KAAsBgiB,GAAgBhyB,EAAGpV,SACxDoV,EAAG67B,YAAc4L,EAAQ7S,UACpB6S,EAAQ7S,UAAUrM,OACrBvoB,EAAGsO,iBAAiB,mBAAoBu5B,IACxC7nC,EAAGsO,iBAAiB,iBAAkBw5B,IAKtC9nC,EAAGsO,iBAAiB,SAAUw5B,IAE1Bh6B,IACF9N,EAAGsnC,QAAS,MAMpB7S,iBAAkB,SAA2Bz0B,EAAIynC,EAASl2B,GACxD,GAAkB,WAAdA,EAAMvB,IAAkB,CAC1B23B,GAAY3nC,EAAIynC,EAASl2B,EAAMxZ,SAK/B,IAAIgwC,EAAc/nC,EAAG0nC,UACjBM,EAAahoC,EAAG0nC,UAAY,GAAGz+B,IAAI5gB,KAAK2X,EAAG7N,QAASy1C,IACxD,GAAII,EAAWC,MAAK,SAAUt8C,EAAG5D,GAAK,OAAQgjB,EAAWpf,EAAGo8C,EAAYhgD,QAGtDiY,EAAGsyB,SACfmV,EAAQv7C,MAAM+7C,MAAK,SAAUlgC,GAAK,OAAOmgC,GAAoBngC,EAAGigC,MAChEP,EAAQv7C,QAAUu7C,EAAQve,UAAYgf,GAAoBT,EAAQv7C,MAAO87C,KAE3ET,GAAQvnC,EAAI,aAOtB,SAAS2nC,GAAa3nC,EAAIynC,EAAStnC,GACjCgoC,GAAoBnoC,EAAIynC,EAAStnC,IAE7B0N,GAAQE,IACV5iB,YAAW,WACTg9C,GAAoBnoC,EAAIynC,EAAStnC,KAChC,GAIP,SAASgoC,GAAqBnoC,EAAIynC,EAAStnC,GACzC,IAAIjU,EAAQu7C,EAAQv7C,MAChBk8C,EAAapoC,EAAGsyB,SACpB,IAAI8V,GAAez/B,MAAMra,QAAQpC,GAAjC,CASA,IADA,IAAIgmC,EAAUmW,EACLtgD,EAAI,EAAGkB,EAAI+W,EAAG7N,QAAQlK,OAAQF,EAAIkB,EAAGlB,IAE5C,GADAsgD,EAASroC,EAAG7N,QAAQpK,GAChBqgD,EACFlW,EAAWzmB,EAAavf,EAAO07C,GAASS,KAAY,EAChDA,EAAOnW,WAAaA,IACtBmW,EAAOnW,SAAWA,QAGpB,GAAInnB,EAAW68B,GAASS,GAASn8C,GAI/B,YAHI8T,EAAGsoC,gBAAkBvgD,IACvBiY,EAAGsoC,cAAgBvgD,IAMtBqgD,IACHpoC,EAAGsoC,eAAiB,IAIxB,SAASJ,GAAqBh8C,EAAOiG,GACnC,OAAOA,EAAQiZ,OAAM,SAAUzf,GAAK,OAAQof,EAAWpf,EAAGO,MAG5D,SAAS07C,GAAUS,GACjB,MAAO,WAAYA,EACfA,EAAOlN,OACPkN,EAAOn8C,MAGb,SAAS27C,GAAoB3+C,GAC3BA,EAAE4B,OAAO2wC,WAAY,EAGvB,SAASqM,GAAkB5+C,GAEpBA,EAAE4B,OAAO2wC,YACdvyC,EAAE4B,OAAO2wC,WAAY,EACrB8L,GAAQr+C,EAAE4B,OAAQ,UAGpB,SAASy8C,GAASvnC,EAAIpV,GACpB,IAAI1B,EAAIS,SAASy9B,YAAY,cAC7Bl+B,EAAEq/C,UAAU39C,GAAM,GAAM,GACxBoV,EAAGwoC,cAAct/C,GAMnB,SAASu/C,GAAYl3B,GACnB,OAAOA,EAAMf,mBAAuBe,EAAM7pB,MAAS6pB,EAAM7pB,KAAKo5C,WAE1DvvB,EADAk3B,GAAWl3B,EAAMf,kBAAkBkR,QAIzC,IAuDIgnB,GAAqB,CACvBhkB,MAAO8iB,GACP/E,KAzDS,CACTh2C,KAAM,SAAeuT,EAAI6uB,EAAKtd,GAC5B,IAAIrlB,EAAQ2iC,EAAI3iC,MAGZy8C,GADJp3B,EAAQk3B,GAAWl3B,IACO7pB,MAAQ6pB,EAAM7pB,KAAKo5C,WACzC8H,EAAkB5oC,EAAG6oC,mBACF,SAArB7oC,EAAG+C,MAAMC,QAAqB,GAAKhD,EAAG+C,MAAMC,QAC1C9W,GAASy8C,GACXp3B,EAAM7pB,KAAK+6C,MAAO,EAClB/B,GAAMnvB,GAAO,WACXvR,EAAG+C,MAAMC,QAAU4lC,MAGrB5oC,EAAG+C,MAAMC,QAAU9W,EAAQ08C,EAAkB,QAIjDj5B,OAAQ,SAAiB3P,EAAI6uB,EAAKtd,GAChC,IAAIrlB,EAAQ2iC,EAAI3iC,OAIXA,IAHU2iC,EAAI3F,YAInB3X,EAAQk3B,GAAWl3B,IACO7pB,MAAQ6pB,EAAM7pB,KAAKo5C,YAE3CvvB,EAAM7pB,KAAK+6C,MAAO,EACdv2C,EACFw0C,GAAMnvB,GAAO,WACXvR,EAAG+C,MAAMC,QAAUhD,EAAG6oC,sBAGxBhG,GAAMtxB,GAAO,WACXvR,EAAG+C,MAAMC,QAAU,WAIvBhD,EAAG+C,MAAMC,QAAU9W,EAAQ8T,EAAG6oC,mBAAqB,SAIvDC,OAAQ,SACN9oC,EACAynC,EACAl2B,EACA2P,EACA8S,GAEKA,IACHh0B,EAAG+C,MAAMC,QAAUhD,EAAG6oC,uBAYxBE,GAAkB,CACpB/9C,KAAMgG,OACNwwC,OAAQprB,QACR2nB,IAAK3nB,QACLhqB,KAAM4E,OACNpG,KAAMoG,OACNitC,WAAYjtC,OACZotC,WAAYptC,OACZktC,aAAcltC,OACdqtC,aAAcrtC,OACdmtC,iBAAkBntC,OAClBstC,iBAAkBttC,OAClBiwC,YAAajwC,OACbmwC,kBAAmBnwC,OACnBkwC,cAAelwC,OACf2wC,SAAU,CAAC19B,OAAQjT,OAAQ9I,SAK7B,SAAS8gD,GAAcz3B,GACrB,IAAI03B,EAAc13B,GAASA,EAAMpB,iBACjC,OAAI84B,GAAeA,EAAYr6B,KAAKzc,QAAQ8yB,SACnC+jB,GAAa7iB,GAAuB8iB,EAAYh5B,WAEhDsB,EAIX,SAAS23B,GAAuBjjB,GAC9B,IAAIv+B,EAAO,GACPyK,EAAU8zB,EAAKztB,SAEnB,IAAK,IAAIhM,KAAO2F,EAAQkO,UACtB3Y,EAAK8E,GAAOy5B,EAAKz5B,GAInB,IAAIuzB,EAAY5tB,EAAQ6vB,iBACxB,IAAK,IAAIxN,KAASuL,EAChBr4B,EAAKmiB,EAAS2K,IAAUuL,EAAUvL,GAEpC,OAAO9sB,EAGT,SAASyhD,GAAavwC,EAAGwwC,GACvB,GAAI,iBAAiB/iC,KAAK+iC,EAASp5B,KACjC,OAAOpX,EAAE,aAAc,CACrB6G,MAAO2pC,EAASj5B,iBAAiB9P,YAiBvC,IAAIgpC,GAAgB,SAAU79C,GAAK,OAAOA,EAAEwkB,KAAOgB,GAAmBxlB,IAElE89C,GAAmB,SAAU79C,GAAK,MAAkB,SAAXA,EAAET,MAE3Cu+C,GAAa,CACfv+C,KAAM,aACNyU,MAAOspC,GACP9jB,UAAU,EAEV7tB,OAAQ,SAAiBwB,GACvB,IAAI+mB,EAAS7pB,KAETma,EAAWna,KAAKymB,OAAO1hB,QAC3B,GAAKoV,IAKLA,EAAWA,EAASuc,OAAO6c,KAEbphD,OAAd,CAKI,EAQJ,IAAImE,EAAO0J,KAAK1J,KAGZ,EASJ,IAAIg9C,EAAWn5B,EAAS,GAIxB,GA7DJ,SAA8BsB,GAC5B,KAAQA,EAAQA,EAAMrZ,QACpB,GAAIqZ,EAAM7pB,KAAKo5C,WACb,OAAO,EA0DL0I,CAAoB1zC,KAAKkC,QAC3B,OAAOoxC,EAKT,IAAIl4B,EAAQ83B,GAAaI,GAEzB,IAAKl4B,EACH,OAAOk4B,EAGT,GAAItzC,KAAK2zC,SACP,OAAON,GAAYvwC,EAAGwwC,GAMxB,IAAIjtC,EAAK,gBAAmBrG,KAAS,KAAI,IACzCob,EAAM1kB,IAAmB,MAAb0kB,EAAM1kB,IACd0kB,EAAMN,UACJzU,EAAK,UACLA,EAAK+U,EAAMlB,IACb9H,EAAYgJ,EAAM1kB,KACmB,IAAlCwE,OAAOkgB,EAAM1kB,KAAKoI,QAAQuH,GAAY+U,EAAM1kB,IAAM2P,EAAK+U,EAAM1kB,IAC9D0kB,EAAM1kB,IAEZ,IAAI9E,GAAQwpB,EAAMxpB,OAASwpB,EAAMxpB,KAAO,KAAKo5C,WAAaoI,GAAsBpzC,MAC5E4zC,EAAc5zC,KAAK4rB,OACnBioB,EAAWX,GAAaU,GAQ5B,GAJIx4B,EAAMxpB,KAAKsU,YAAckV,EAAMxpB,KAAKsU,WAAWisC,KAAKqB,MACtDp4B,EAAMxpB,KAAK+6C,MAAO,GAIlBkH,GACAA,EAASjiD,OA7Ff,SAAsBwpB,EAAOy4B,GAC3B,OAAOA,EAASn9C,MAAQ0kB,EAAM1kB,KAAOm9C,EAAS35B,MAAQkB,EAAMlB,IA6FvD45B,CAAY14B,EAAOy4B,KACnB34B,GAAmB24B,MAElBA,EAASn5B,oBAAqBm5B,EAASn5B,kBAAkBkR,OAAO9Q,WAClE,CAGA,IAAIilB,EAAU8T,EAASjiD,KAAKo5C,WAAatwC,EAAO,GAAI9I,GAEpD,GAAa,WAAT0E,EAOF,OALA0J,KAAK2zC,UAAW,EAChBhwB,GAAeoc,EAAS,cAAc,WACpClW,EAAO8pB,UAAW,EAClB9pB,EAAOuC,kBAEFinB,GAAYvwC,EAAGwwC,GACjB,GAAa,WAATh9C,EAAmB,CAC5B,GAAI4kB,GAAmBE,GACrB,OAAOw4B,EAET,IAAIG,EACAzG,EAAe,WAAcyG,KACjCpwB,GAAe/xB,EAAM,aAAc07C,GACnC3pB,GAAe/xB,EAAM,iBAAkB07C,GACvC3pB,GAAeoc,EAAS,cAAc,SAAUgN,GAASgH,EAAehH,MAI5E,OAAOuG,KAMP3pC,GAAQjP,EAAO,CACjBwf,IAAKhf,OACL84C,UAAW94C,QACV+3C,IAwIH,SAASgB,GAAgBv+C,GAEnBA,EAAE0kB,IAAI85B,SACRx+C,EAAE0kB,IAAI85B,UAGJx+C,EAAE0kB,IAAI6wB,UACRv1C,EAAE0kB,IAAI6wB,WAIV,SAASkJ,GAAgBz+C,GACvBA,EAAE9D,KAAKwiD,OAAS1+C,EAAE0kB,IAAIi6B,wBAGxB,SAASC,GAAkB5+C,GACzB,IAAI6+C,EAAS7+C,EAAE9D,KAAK4iD,IAChBJ,EAAS1+C,EAAE9D,KAAKwiD,OAChBK,EAAKF,EAAOG,KAAON,EAAOM,KAC1BC,EAAKJ,EAAOK,IAAMR,EAAOQ,IAC7B,GAAIH,GAAME,EAAI,CACZj/C,EAAE9D,KAAKijD,OAAQ,EACf,IAAIv9C,EAAI5B,EAAE0kB,IAAInN,MACd3V,EAAEw9C,UAAYx9C,EAAEy9C,gBAAkB,aAAeN,EAAK,MAAQE,EAAK,MACnEr9C,EAAE09C,mBAAqB,aA9JpBrrC,GAAMrT,KAkKb,IAAI2+C,GAAqB,CACvBxB,WAAYA,GACZyB,gBAlKoB,CACpBvrC,MAAOA,GAEPwrC,YAAa,WACX,IAAItrB,EAAS7pB,KAET6Z,EAAS7Z,KAAKs4B,QAClBt4B,KAAKs4B,QAAU,SAAU7c,EAAOiP,GAC9B,IAAI+N,EAAwB/H,GAAkB7G,GAE9CA,EAAO6O,UACL7O,EAAO+B,OACP/B,EAAOurB,MACP,GACA,GAEFvrB,EAAO+B,OAAS/B,EAAOurB,KACvB3c,IACA5e,EAAOtnB,KAAKs3B,EAAQpO,EAAOiP,KAI/BppB,OAAQ,SAAiBwB,GAQvB,IAPA,IAAIoX,EAAMla,KAAKka,KAAOla,KAAKkC,OAAOtQ,KAAKsoB,KAAO,OAC1C/G,EAAM/gB,OAAOqE,OAAO,MACpB4+C,EAAer1C,KAAKq1C,aAAer1C,KAAKma,SACxCm7B,EAAct1C,KAAKymB,OAAO1hB,SAAW,GACrCoV,EAAWna,KAAKma,SAAW,GAC3Bo7B,EAAiBnC,GAAsBpzC,MAElC/N,EAAI,EAAGA,EAAIqjD,EAAYnjD,OAAQF,IAAK,CAC3C,IAAIyD,EAAI4/C,EAAYrjD,GACpB,GAAIyD,EAAEwkB,IACJ,GAAa,MAATxkB,EAAEgB,KAAoD,IAArCwE,OAAOxF,EAAEgB,KAAKoI,QAAQ,WACzCqb,EAAS1nB,KAAKiD,GACdyd,EAAIzd,EAAEgB,KAAOhB,GACXA,EAAE9D,OAAS8D,EAAE9D,KAAO,KAAKo5C,WAAauK,QAS9C,GAAIF,EAAc,CAGhB,IAFA,IAAID,EAAO,GACPI,EAAU,GACLrd,EAAM,EAAGA,EAAMkd,EAAaljD,OAAQgmC,IAAO,CAClD,IAAIsd,EAAMJ,EAAald,GACvBsd,EAAI7jD,KAAKo5C,WAAauK,EACtBE,EAAI7jD,KAAK4iD,IAAMiB,EAAIr7B,IAAIi6B,wBACnBlhC,EAAIsiC,EAAI/+C,KACV0+C,EAAK3iD,KAAKgjD,GAEVD,EAAQ/iD,KAAKgjD,GAGjBz1C,KAAKo1C,KAAOtyC,EAAEoX,EAAK,KAAMk7B,GACzBp1C,KAAKw1C,QAAUA,EAGjB,OAAO1yC,EAAEoX,EAAK,KAAMC,IAGtBu7B,QAAS,WACP,IAAIv7B,EAAWna,KAAKq1C,aAChBrB,EAAYh0C,KAAKg0C,YAAeh0C,KAAK9K,MAAQ,KAAO,QACnDilB,EAAShoB,QAAW6N,KAAK21C,QAAQx7B,EAAS,GAAGC,IAAK45B,KAMvD75B,EAASthB,QAAQo7C,IACjB95B,EAASthB,QAAQs7C,IACjBh6B,EAASthB,QAAQy7C,IAKjBt0C,KAAK41C,QAAU/hD,SAASoV,KAAK4sC,aAE7B17B,EAASthB,SAAQ,SAAUnD,GACzB,GAAIA,EAAE9D,KAAKijD,MAAO,CAChB,IAAI3qC,EAAKxU,EAAE0kB,IACP9iB,EAAI4S,EAAG+C,MACXo8B,GAAmBn/B,EAAI8pC,GACvB18C,EAAEw9C,UAAYx9C,EAAEy9C,gBAAkBz9C,EAAE09C,mBAAqB,GACzD9qC,EAAGsO,iBAAiBmwB,GAAoBz+B,EAAGgqC,QAAU,SAAS7xB,EAAIjvB,GAC5DA,GAAKA,EAAE4B,SAAWkV,GAGjB9W,IAAK,aAAamd,KAAKnd,EAAE0iD,gBAC5B5rC,EAAG21B,oBAAoB8I,GAAoBtmB,GAC3CnY,EAAGgqC,QAAU,KACb3K,GAAsBr/B,EAAI8pC,YAOpCrkC,QAAS,CACPgmC,QAAS,SAAkBzrC,EAAI8pC,GAE7B,IAAKvL,GACH,OAAO,EAGT,GAAIzoC,KAAK+1C,SACP,OAAO/1C,KAAK+1C,SAOd,IAAIzrB,EAAQpgB,EAAG8rC,YACX9rC,EAAGg2B,oBACLh2B,EAAGg2B,mBAAmBrnC,SAAQ,SAAUmnC,GAAO8H,GAAYxd,EAAO0V,MAEpE2H,GAASrd,EAAO0pB,GAChB1pB,EAAMrd,MAAMC,QAAU,OACtBlN,KAAKwK,IAAIjV,YAAY+0B,GACrB,IAAIvJ,EAAO2oB,GAAkBpf,GAE7B,OADAtqB,KAAKwK,IAAIsyB,YAAYxS,GACbtqB,KAAK+1C,SAAWh1B,EAAKypB,iBAyCnC/gC,GAAI7K,OAAOkY,YAAcA,GACzBrN,GAAI7K,OAAO6X,cAAgBA,GAC3BhN,GAAI7K,OAAO8X,eAAiBA,GAC5BjN,GAAI7K,OAAOgY,gBAAkBA,GAC7BnN,GAAI7K,OAAO+X,iBA10GX,SAA2BuD,GAEzB,IAAK1C,EACH,OAAO,EAET,GAAIf,GAAcyD,GAChB,OAAO,EAIT,GAFAA,EAAMA,EAAI7G,cAEsB,MAA5B4oB,GAAoB/hB,GACtB,OAAO+hB,GAAoB/hB,GAE7B,IAAIhQ,EAAKrW,SAASC,cAAcomB,GAChC,OAAIA,EAAIpb,QAAQ,MAAQ,EAEdm9B,GAAoB/hB,GAC1BhQ,EAAGhR,cAAgB/B,OAAO8+C,oBAC1B/rC,EAAGhR,cAAgB/B,OAAO++C,YAGpBja,GAAoB/hB,GAAO,qBAAqB3J,KAAKrG,EAAG3R,aAwzGpEmC,EAAO+O,GAAIpN,QAAQ6J,WAAY0sC,IAC/Bl4C,EAAO+O,GAAIpN,QAAQm5B,WAAYyf,IAG/BxrC,GAAIpX,UAAUqmC,UAAYlhB,EAAYi2B,GAAQ34B,EAG9CrL,GAAIpX,UAAU0X,OAAS,SACrBG,EACAwgB,GAGA,OA76JF,SACErgB,EACAH,EACAwgB,GAyBA,IAAIyrB,EA2CJ,OAlEA9rC,EAAGG,IAAMN,EACJG,EAAG3H,SAASpB,SACf+I,EAAG3H,SAASpB,OAAS+Z,IAmBvBmR,GAASniB,EAAI,eAsBX8rC,EAAkB,WAChB9rC,EAAGiuB,QAAQjuB,EAAGyuB,UAAWpO,IAO7B,IAAIyH,GAAQ9nB,EAAI8rC,EAAiBrhC,EAAM,CACrC6c,OAAQ,WACFtnB,EAAGkiB,aAAeliB,EAAGsgB,cACvB6B,GAASniB,EAAI,mBAGhB,GACHqgB,GAAY,EAIK,MAAbrgB,EAAGnI,SACLmI,EAAGkiB,YAAa,EAChBC,GAASniB,EAAI,YAERA,EAs2JA+rC,CAAep2C,KADtBkK,EAAKA,GAAMsN,EAAY2kB,GAAMjyB,QAAM9U,EACHs1B,IAK9BlT,GACFniB,YAAW,WACLuJ,EAAOuX,UACLA,IACFA,GAAS3V,KAAK,OAAQiJ,MAsBzB,GAKL,IAAI4sC,GAAe,2BACfC,GAAgB,yBAEhBC,GAAa1iC,GAAO,SAAU2iC,GAChC,IAAIppC,EAAOopC,EAAW,GAAGx7C,QAAQs7C,GAAe,QAC5CzpC,EAAQ2pC,EAAW,GAAGx7C,QAAQs7C,GAAe,QACjD,OAAO,IAAIzlC,OAAOzD,EAAO,gBAAkBP,EAAO,QA6EpD,IAAI4pC,GAAU,CACZC,WAAY,CAAC,eACbC,cArCF,SAAwBzsC,EAAI7N,GACfA,EAAQwE,KAAnB,IACI+E,EAAcu9B,GAAiBj5B,EAAI,SAanCtE,IACFsE,EAAGtE,YAAckN,KAAKC,UAAUnN,IAElC,IAAIgxC,EAAe5T,GAAe94B,EAAI,SAAS,GAC3C0sC,IACF1sC,EAAG0sC,aAAeA,IAkBpBC,QAdF,SAAkB3sC,GAChB,IAAItY,EAAO,GAOX,OANIsY,EAAGtE,cACLhU,GAAQ,eAAkBsY,EAAc,YAAI,KAE1CA,EAAG0sC,eACLhlD,GAAQ,SAAYsY,EAAe,aAAI,KAElCtY,IAgDT,IAQIklD,GARAC,GAAU,CACZL,WAAY,CAAC,eACbC,cAvCF,SAA0BzsC,EAAI7N,GACjBA,EAAQwE,KAAnB,IACIylC,EAAcnD,GAAiBj5B,EAAI,SACnCo8B,IAcFp8B,EAAGo8B,YAAcxzB,KAAKC,UAAUkzB,GAAeK,KAGjD,IAAI0Q,EAAehU,GAAe94B,EAAI,SAAS,GAC3C8sC,IACF9sC,EAAG8sC,aAAeA,IAkBpBH,QAdF,SAAoB3sC,GAClB,IAAItY,EAAO,GAOX,OANIsY,EAAGo8B,cACL10C,GAAQ,eAAkBsY,EAAc,YAAI,KAE1CA,EAAG8sC,eACLplD,GAAQ,UAAasY,EAAe,aAAI,MAEnCtY,IAaLqlD,GACM,SAAiBhrC,GAGvB,OAFA6qC,GAAUA,IAAWjjD,SAASC,cAAc,QACpC0xC,UAAYv5B,EACb6qC,GAAQ7Z,aAMfia,GAAajkC,EACf,6FAMEkkC,GAAmBlkC,EACrB,2DAKEmkC,GAAmBnkC,EACrB,mSAYEokC,GAAY,4EACZC,GAAsB,wGACtBC,GAAS,6BAAgCtgC,EAAoB,OAAI,KACjEugC,GAAe,OAASD,GAAS,QAAUA,GAAS,IACpDE,GAAe,IAAI5mC,OAAQ,KAAO2mC,IAClCE,GAAgB,aAChBC,GAAS,IAAI9mC,OAAQ,QAAU2mC,GAAe,UAC9CI,GAAU,qBAEVC,GAAU,SACVC,GAAqB,QAGrBC,GAAqB9kC,EAAQ,yBAAyB,GACtD+kC,GAAU,GAEVC,GAAc,CAChB,OAAQ,IACR,OAAQ,IACR,SAAU,IACV,QAAS,IACT,QAAS,KACT,OAAQ,KACR,QAAS,KAEPC,GAAc,4BACdC,GAA0B,mCAG1BC,GAAqBnlC,EAAQ,gBAAgB,GAC7ColC,GAA2B,SAAUn+B,EAAKjO,GAAQ,OAAOiO,GAAOk+B,GAAmBl+B,IAAoB,OAAZjO,EAAK,IAEpG,SAASqsC,GAAYliD,EAAOmiD,GAC1B,IAAIhnC,EAAKgnC,EAAuBJ,GAA0BD,GAC1D,OAAO9hD,EAAM4E,QAAQuW,GAAI,SAAUD,GAAS,OAAO2mC,GAAY3mC,MAmQjE,IAuBIknC,GACAhC,GACAiC,GACAC,GACAC,GACAC,GACAC,GACAC,GA9BAC,GAAO,YACPC,GAAQ,eACRC,GAAa,qCACbC,GAAgB,iCAChBC,GAAgB,WAChBC,GAAe,WAEfC,GAAQ,SACRC,GAAS,kBACTC,GAAa,wBAEbC,GAAS,kBAETC,GAAc,SACdC,GAAiB,OAIjBC,GAAmB9lC,EAAOojC,IAe9B,SAAS2C,GACP1/B,EACAvU,EACAvD,GAEA,MAAO,CACLtN,KAAM,EACNolB,IAAKA,EACLkoB,UAAWz8B,EACXw8B,SAAU0X,GAAal0C,GACvBm0C,YAAa,GACb13C,OAAQA,EACR+X,SAAU,IAOd,SAAS4/B,GACPC,EACA39C,GAEAm8C,GAASn8C,EAAQwE,MAAQ2gC,GAEzBoX,GAAmBv8C,EAAQ49C,UAAYllC,EACvC8jC,GAAsBx8C,EAAQya,aAAe/B,EAC7C+jC,GAA0Bz8C,EAAQua,iBAAmB7B,EACrD,IAAI0B,EAAgBpa,EAAQoa,eAAiB1B,GAC5B,SAAU7K,GAAM,QAASA,EAAGqkB,YAAc9X,EAAcvM,EAAGgQ,OAE5Eu+B,GAAa9W,GAAoBtlC,EAAQ3J,QAAS,iBAClDgmD,GAAgB/W,GAAoBtlC,EAAQ3J,QAAS,oBACrDimD,GAAiBhX,GAAoBtlC,EAAQ3J,QAAS,qBAEtD8jD,GAAan6C,EAAQm6C,WAErB,IAGI0D,EACAC,EAJAC,EAAQ,GACRC,GAAoD,IAA/Bh+C,EAAQg+C,mBAC7BC,EAAmBj+C,EAAQk+C,WAG3B1J,GAAS,EACT2J,GAAQ,EAUZ,SAASC,EAAcC,GAyBrB,GAxBAC,EAAqBD,GAChB7J,GAAW6J,EAAQE,YACtBF,EAAUG,GAAeH,EAASr+C,IAG/B+9C,EAAMjoD,QAAUuoD,IAAYR,GAE3BA,EAAKY,KAAOJ,EAAQK,QAAUL,EAAQM,OAIxCC,GAAef,EAAM,CACnBvZ,IAAK+Z,EAAQK,OACbG,MAAOR,IAWTP,IAAkBO,EAAQS,UAC5B,GAAIT,EAAQK,QAAUL,EAAQM,KAqZN9wC,EApZFwwC,GAqZtB9Z,EAeN,SAA0BzmB,GAExB,IADA,IAAIloB,EAAIkoB,EAAShoB,OACVF,KAAK,CACV,GAAyB,IAArBkoB,EAASloB,GAAG6C,KACd,OAAOqlB,EAASloB,GAShBkoB,EAASnT,OA5BFo0C,CArZwBjB,EAqZDhgC,YACtBymB,EAAKka,IACfG,GAAera,EAAM,CACnBD,IAAKz2B,EAAG6wC,OACRG,MAAOhxC,QAxZA,CACL,GAAIwwC,EAAQW,UAAW,CAIrB,IAAInmD,EAAOwlD,EAAQY,YAAc,aAC/BnB,EAAchwB,cAAgBgwB,EAAchwB,YAAc,KAAKj1B,GAAQwlD,EAE3EP,EAAchgC,SAAS1nB,KAAKioD,GAC5BA,EAAQt4C,OAAS+3C,EA0YzB,IAA8BjwC,EACxB02B,EArYF8Z,EAAQvgC,SAAWugC,EAAQvgC,SAASuc,QAAO,SAAUhhC,GAAK,OAAQ,EAAI2lD,aAEtEV,EAAqBD,GAGjBA,EAAQ5qB,MACV+gB,GAAS,GAEP+H,GAAiB8B,EAAQxgC,OAC3BsgC,GAAQ,GAGV,IAAK,IAAIvoD,EAAI,EAAGA,EAAI0mD,GAAexmD,OAAQF,IACzC0mD,GAAe1mD,GAAGyoD,EAASr+C,GAI/B,SAASs+C,EAAsBzwC,GAE7B,IAAKswC,EAEH,IADA,IAAIe,GAEDA,EAAWrxC,EAAGiQ,SAASjQ,EAAGiQ,SAAShoB,OAAS,KAC3B,IAAlBopD,EAASzmD,MACS,MAAlBymD,EAASh9C,MAET2L,EAAGiQ,SAASnT,MAyNlB,OApnBF,SAAoBiF,EAAM5P,GAOxB,IANA,IAKIkoB,EAAMi3B,EALNpB,EAAQ,GACRqB,EAAap/C,EAAQo/C,WACrBC,EAAgBr/C,EAAQ66C,YAAcniC,EACtC4mC,EAAsBt/C,EAAQ86C,kBAAoBpiC,EAClDlD,EAAQ,EAEL5F,GAAM,CAGX,GAFAsY,EAAOtY,EAEFuvC,GAAYzD,GAAmByD,GAkF7B,CACL,IAAII,EAAe,EACfC,EAAaL,EAAQnoC,cACrByoC,EAAe9D,GAAQ6D,KAAgB7D,GAAQ6D,GAAc,IAAIhrC,OAAO,kBAAoBgrC,EAAa,UAAW,MACpHE,EAAS9vC,EAAKjR,QAAQ8gD,GAAc,SAAUtmD,EAAK+I,EAAMo5C,GAa3D,OAZAiE,EAAejE,EAAOxlD,OACjB4lD,GAAmB8D,IAA8B,aAAfA,IACrCt9C,EAAOA,EACJvD,QAAQ,sBAAuB,MAC/BA,QAAQ,4BAA6B,OAEtCq9C,GAAyBwD,EAAYt9C,KACvCA,EAAOA,EAAKlH,MAAM,IAEhBgF,EAAQ2/C,OACV3/C,EAAQ2/C,MAAMz9C,GAET,MAETsT,GAAS5F,EAAK9Z,OAAS4pD,EAAO5pD,OAC9B8Z,EAAO8vC,EACPE,EAAYJ,EAAYhqC,EAAQ+pC,EAAc/pC,OAvGF,CAC5C,IAAIqqC,EAAUjwC,EAAKnN,QAAQ,KAC3B,GAAgB,IAAZo9C,EAAe,CAEjB,GAAIrE,GAAQtnC,KAAKtE,GAAO,CACtB,IAAIkwC,EAAalwC,EAAKnN,QAAQ,UAE9B,GAAIq9C,GAAc,EAAG,CACf9/C,EAAQ+/C,mBACV//C,EAAQw7C,QAAQ5rC,EAAK/M,UAAU,EAAGi9C,GAAatqC,EAAOA,EAAQsqC,EAAa,GAE7EE,EAAQF,EAAa,GACrB,UAKJ,GAAIrE,GAAmBvnC,KAAKtE,GAAO,CACjC,IAAIqwC,EAAiBrwC,EAAKnN,QAAQ,MAElC,GAAIw9C,GAAkB,EAAG,CACvBD,EAAQC,EAAiB,GACzB,UAKJ,IAAIC,EAAetwC,EAAKqF,MAAMsmC,IAC9B,GAAI2E,EAAc,CAChBF,EAAQE,EAAa,GAAGpqD,QACxB,SAIF,IAAIqqD,EAAcvwC,EAAKqF,MAAMqmC,IAC7B,GAAI6E,EAAa,CACf,IAAIC,EAAW5qC,EACfwqC,EAAQG,EAAY,GAAGrqD,QACvB8pD,EAAYO,EAAY,GAAIC,EAAU5qC,GACtC,SAIF,IAAI6qC,EAAgBC,IACpB,GAAID,EAAe,CACjBE,EAAeF,GACXrE,GAAyBqE,EAAcngB,QAAStwB,IAClDowC,EAAQ,GAEV,UAIJ,IAAI99C,OAAO,EAAUs+C,OAAO,EAAUvtC,OAAO,EAC7C,GAAI4sC,GAAW,EAAG,CAEhB,IADAW,EAAO5wC,EAAK5U,MAAM6kD,KAEfvE,GAAOpnC,KAAKssC,IACZpF,GAAalnC,KAAKssC,IAClBhF,GAAQtnC,KAAKssC,IACb/E,GAAmBvnC,KAAKssC,KAGzBvtC,EAAOutC,EAAK/9C,QAAQ,IAAK,IACd,IACXo9C,GAAW5sC,EACXutC,EAAO5wC,EAAK5U,MAAM6kD,GAEpB39C,EAAO0N,EAAK/M,UAAU,EAAGg9C,GAGvBA,EAAU,IACZ39C,EAAO0N,GAGL1N,GACF89C,EAAQ99C,EAAKpM,QAGXkK,EAAQ2/C,OAASz9C,GACnBlC,EAAQ2/C,MAAMz9C,EAAMsT,EAAQtT,EAAKpM,OAAQ0f,GA0B7C,GAAI5F,IAASsY,EAAM,CACjBloB,EAAQ2/C,OAAS3/C,EAAQ2/C,MAAM/vC,GAI/B,OAOJ,SAASowC,EAASzlD,GAChBib,GAASjb,EACTqV,EAAOA,EAAK/M,UAAUtI,GAGxB,SAAS+lD,IACP,IAAIloC,EAAQxI,EAAKqF,MAAMmmC,IACvB,GAAIhjC,EAAO,CACT,IAMI8uB,EAAK7I,EANLppB,EAAQ,CACVirB,QAAS9nB,EAAM,GACf9O,MAAO,GACP8O,MAAO5C,GAIT,IAFAwqC,EAAQ5nC,EAAM,GAAGtiB,UAERoxC,EAAMt3B,EAAKqF,MAAMomC,OAAoBhd,EAAOzuB,EAAKqF,MAAMgmC,KAAwBrrC,EAAKqF,MAAM+lC,MACjG3c,EAAKjmB,MAAQ5C,EACbwqC,EAAQ3hB,EAAK,GAAGvoC,QAChBuoC,EAAK6I,IAAM1xB,EACXP,EAAM3L,MAAMlT,KAAKioC,GAEnB,GAAI6I,EAIF,OAHAjyB,EAAMwrC,WAAavZ,EAAI,GACvB8Y,EAAQ9Y,EAAI,GAAGpxC,QACfmf,EAAMiyB,IAAM1xB,EACLP,GAKb,SAASsrC,EAAgBtrC,GACvB,IAAIirB,EAAUjrB,EAAMirB,QAChBugB,EAAaxrC,EAAMwrC,WAEnBrB,IACc,MAAZD,GAAmBpE,GAAiB7a,IACtC0f,EAAYT,GAEVG,EAAoBpf,IAAYif,IAAYjf,GAC9C0f,EAAY1f,IAQhB,IAJA,IAAIwgB,EAAQrB,EAAcnf,MAAcugB,EAEpC3pD,EAAIme,EAAM3L,MAAMxT,OAChBwT,EAAQ,IAAIkN,MAAM1f,GACblB,EAAI,EAAGA,EAAIkB,EAAGlB,IAAK,CAC1B,IAAI6pB,EAAOxK,EAAM3L,MAAM1T,GACnBmE,EAAQ0lB,EAAK,IAAMA,EAAK,IAAMA,EAAK,IAAM,GACzCy8B,EAAmC,MAAZhc,GAA+B,SAAZzgB,EAAK,GAC/Czf,EAAQ2gD,4BACR3gD,EAAQk8C,qBACZ5yC,EAAM1T,GAAK,CACTiD,KAAM4mB,EAAK,GACX1lB,MAAOkiD,GAAWliD,EAAOmiD,IAQxBwE,IACH3C,EAAM3nD,KAAK,CAAEynB,IAAKqiB,EAAS0gB,cAAe1gB,EAAQlpB,cAAe1N,MAAOA,EAAO8O,MAAOnD,EAAMmD,MAAO8uB,IAAKjyB,EAAMiyB,MAC9GiY,EAAUjf,GAGRlgC,EAAQoY,OACVpY,EAAQoY,MAAM8nB,EAAS52B,EAAOo3C,EAAOzrC,EAAMmD,MAAOnD,EAAMiyB,KAI5D,SAAS0Y,EAAa1f,EAAS9nB,EAAO8uB,GACpC,IAAIiR,EAAK0I,EAKT,GAJa,MAATzoC,IAAiBA,EAAQ5C,GAClB,MAAP0xB,IAAeA,EAAM1xB,GAGrB0qB,EAEF,IADA2gB,EAAoB3gB,EAAQlpB,cACvBmhC,EAAM4F,EAAMjoD,OAAS,EAAGqiD,GAAO,GAC9B4F,EAAM5F,GAAKyI,gBAAkBC,EADI1I,UAOvCA,EAAM,EAGR,GAAIA,GAAO,EAAG,CAEZ,IAAK,IAAIviD,EAAImoD,EAAMjoD,OAAS,EAAGF,GAAKuiD,EAAKviD,IAUnCoK,EAAQknC,KACVlnC,EAAQknC,IAAI6W,EAAMnoD,GAAGioB,IAAKzF,EAAO8uB,GAKrC6W,EAAMjoD,OAASqiD,EACfgH,EAAUhH,GAAO4F,EAAM5F,EAAM,GAAGt6B,QACD,OAAtBgjC,EACL7gD,EAAQoY,OACVpY,EAAQoY,MAAM8nB,EAAS,IAAI,EAAM9nB,EAAO8uB,GAEX,MAAtB2Z,IACL7gD,EAAQoY,OACVpY,EAAQoY,MAAM8nB,EAAS,IAAI,EAAO9nB,EAAO8uB,GAEvClnC,EAAQknC,KACVlnC,EAAQknC,IAAIhH,EAAS9nB,EAAO8uB,IA1HlC0Y,IAmTAkB,CAAUnD,EAAU,CAClBn5C,KAAM23C,GACNiD,WAAYp/C,EAAQo/C,WACpBvE,WAAY76C,EAAQ66C,WACpBC,iBAAkB96C,EAAQ86C,iBAC1BoB,qBAAsBl8C,EAAQk8C,qBAC9ByE,4BAA6B3gD,EAAQ2gD,4BACrCZ,kBAAmB//C,EAAQ+gD,SAC3BC,kBAAmBhhD,EAAQghD,kBAC3B5oC,MAAO,SAAgByF,EAAKvU,EAAOo3C,EAAOO,EAAS/Z,GAGjD,IAAI/sC,EAAM2jD,GAAiBA,EAAc3jD,IAAOsiD,GAAwB5+B,GAIpEnC,GAAe,QAAPvhB,IACVmP,EAmtBR,SAAwBA,GAEtB,IADA,IAAIkP,EAAM,GACD5iB,EAAI,EAAGA,EAAI0T,EAAMxT,OAAQF,IAAK,CACrC,IAAIyoC,EAAO/0B,EAAM1T,GACZsrD,GAAQhtC,KAAKmqB,EAAKxlC,QACrBwlC,EAAKxlC,KAAOwlC,EAAKxlC,KAAK8F,QAAQwiD,GAAY,IAC1C3oC,EAAIpiB,KAAKioC,IAGb,OAAO7lB,EA5tBO4oC,CAAc93C,IAGxB,IAksBmBuE,EAlsBfwwC,EAAUd,GAAiB1/B,EAAKvU,EAAOw0C,GACvC3jD,IACFkkD,EAAQlkD,GAAKA,GAksBN,WAFU0T,EAtqBAwwC,GAwqBlBxgC,MACS,WAAXhQ,EAAGgQ,KACDhQ,EAAGi4B,SAASrtC,MACQ,oBAArBoV,EAAGi4B,SAASrtC,OA3qBoB2jB,OAC9BiiC,EAAQS,WAAY,GAUtB,IAAK,IAAIlpD,EAAI,EAAGA,EAAIymD,GAAcvmD,OAAQF,IACxCyoD,EAAUhC,GAAczmD,GAAGyoD,EAASr+C,IAAYq+C,EAG7C7J,KAuIX,SAAqB3mC,GACkB,MAAjCi5B,GAAiBj5B,EAAI,WACvBA,EAAG4lB,KAAM,GAxIL4tB,CAAWhD,GACPA,EAAQ5qB,MACV+gB,GAAS,IAGT+H,GAAiB8B,EAAQxgC,OAC3BsgC,GAAQ,GAEN3J,EAoIV,SAA0B3mC,GACxB,IAAIkJ,EAAOlJ,EAAGk4B,UACVrmB,EAAM3I,EAAKjhB,OACf,GAAI4pB,EAEF,IADA,IAAIpW,EAAQuE,EAAGvE,MAAQ,IAAIkN,MAAMkJ,GACxB9pB,EAAI,EAAGA,EAAI8pB,EAAK9pB,IACvB0T,EAAM1T,GAAK,CACTiD,KAAMke,EAAKnhB,GAAGiD,KACdkB,MAAO0c,KAAKC,UAAUK,EAAKnhB,GAAGmE,QAEX,MAAjBgd,EAAKnhB,GAAGwiB,QACV9O,EAAM1T,GAAGwiB,MAAQrB,EAAKnhB,GAAGwiB,MACzB9O,EAAM1T,GAAGsxC,IAAMnwB,EAAKnhB,GAAGsxC,UAGjBr5B,EAAG4lB,MAEb5lB,EAAG63B,OAAQ,GApJP4b,CAAgBjD,GACNA,EAAQE,YAElBgD,GAAWlD,GAqPnB,SAAoBxwC,GAClB,IAAIy2B,EAAMwC,GAAiBj5B,EAAI,QAC/B,GAAIy2B,EACFz2B,EAAG4wC,GAAKna,EACRsa,GAAe/wC,EAAI,CACjBy2B,IAAKA,EACLua,MAAOhxC,QAEJ,CACiC,MAAlCi5B,GAAiBj5B,EAAI,YACvBA,EAAG8wC,MAAO,GAEZ,IAAID,EAAS5X,GAAiBj5B,EAAI,aAC9B6wC,IACF7wC,EAAG6wC,OAASA,IAlQV8C,CAAUnD,GAgTlB,SAAsBxwC,GAEL,MADDi5B,GAAiBj5B,EAAI,YAEjCA,EAAG0L,MAAO,GAlTNkoC,CAAYpD,IAGTR,IACHA,EAAOQ,GAMJqC,EAIHtC,EAAaC,IAHbP,EAAgBO,EAChBN,EAAM3nD,KAAKioD,KAMfnX,IAAK,SAAcrpB,EAAKzF,EAAOspC,GAC7B,IAAIrD,EAAUN,EAAMA,EAAMjoD,OAAS,GAEnCioD,EAAMjoD,QAAU,EAChBgoD,EAAgBC,EAAMA,EAAMjoD,OAAS,GAIrCsoD,EAAaC,IAGfsB,MAAO,SAAgBz9C,EAAMkW,EAAO8uB,GAClC,GAAK4W,KAkBDpiC,GACoB,aAAtBoiC,EAAcjgC,KACdigC,EAAchY,SAASkR,cAAgB90C,GAFzC,CAMA,IA8kBc2L,EAxjBR2K,EACAuG,EAvBFjB,EAAWggC,EAAchgC,SAiB7B,GAfE5b,EADEi8C,GAASj8C,EAAKzD,OA8kBJ,YADAoP,EA5kBKiwC,GA6kBbjgC,KAA+B,UAAXhQ,EAAGgQ,IA7kBO3b,EAAOo7C,GAAiBp7C,GAChD4b,EAAShoB,OAGVmoD,EACgB,aAArBA,GAGKb,GAAYlpC,KAAKhS,GAAQ,GAEzB,IAGF87C,EAAqB,IAAM,GAV3B,GAaFG,GAA8B,aAArBF,IAEZ/7C,EAAOA,EAAKvD,QAAQ0+C,GAAgB,OAIjC7I,GAAmB,MAATtyC,IAAiBsW,EArxBxC,SACEtW,EACAi4C,GAEA,IAAIwH,EAAQxH,EAAaD,GAAWC,GAAcH,GAClD,GAAK2H,EAAMztC,KAAKhS,GAAhB,CAOA,IAJA,IAGI+S,EAAOO,EAAOosC,EAHdC,EAAS,GACTC,EAAY,GACZltC,EAAY+sC,EAAM/sC,UAAY,EAE1BK,EAAQ0sC,EAAMzmD,KAAKgH,IAAQ,EACjCsT,EAAQP,EAAMO,OAEFZ,IACVktC,EAAU1rD,KAAKwrD,EAAa1/C,EAAKlH,MAAM4Z,EAAWY,IAClDqsC,EAAOzrD,KAAKqgB,KAAKC,UAAUkrC,KAG7B,IAAItd,EAAMD,GAAapvB,EAAM,GAAGxW,QAChCojD,EAAOzrD,KAAM,MAAQkuC,EAAM,KAC3Bwd,EAAU1rD,KAAK,CAAE,WAAYkuC,IAC7B1vB,EAAYY,EAAQP,EAAM,GAAGnf,OAM/B,OAJI8e,EAAY1S,EAAKpM,SACnBgsD,EAAU1rD,KAAKwrD,EAAa1/C,EAAKlH,MAAM4Z,IACvCitC,EAAOzrD,KAAKqgB,KAAKC,UAAUkrC,KAEtB,CACL73C,WAAY83C,EAAOn+C,KAAK,KACxBm+C,OAAQC,IAsvBkCC,CAAU7/C,EAAMi4C,KACpDp7B,EAAQ,CACNtmB,KAAM,EACNsR,WAAYyO,EAAIzO,WAChB83C,OAAQrpC,EAAIqpC,OACZ3/C,KAAMA,GAEU,MAATA,GAAiB4b,EAAShoB,QAAiD,MAAvCgoB,EAASA,EAAShoB,OAAS,GAAGoM,OAC3E6c,EAAQ,CACNtmB,KAAM,EACNyJ,KAAMA,IAGN6c,GAKFjB,EAAS1nB,KAAK2oB,KAIpBy8B,QAAS,SAAkBt5C,EAAMkW,EAAO8uB,GAGtC,GAAI4W,EAAe,CACjB,IAAI/+B,EAAQ,CACVtmB,KAAM,EACNyJ,KAAMA,EACNuc,WAAW,GAET,EAIJq/B,EAAchgC,SAAS1nB,KAAK2oB,OAI3B8+B,EA8BT,SAASW,GACPH,EACAr+C,GA8SF,IAA4B6N,GAvR5B,SAAqBA,GACnB,IAAIy2B,EAAMqC,GAAe94B,EAAI,OAC7B,GAAIy2B,EAAK,CAqBPz2B,EAAGxT,IAAMiqC,GA5CX0d,CAAW3D,GAIXA,EAAQ3Y,OACL2Y,EAAQhkD,MACRgkD,EAAQvwB,cACRuwB,EAAQtY,UAAUjwC,OAyCvB,SAAqB+X,GACnB,IAAI6uB,EAAMiK,GAAe94B,EAAI,OACzB6uB,IACF7uB,EAAG6uB,IAAMA,EACT7uB,EAAGozB,SAsZP,SAAqBpzB,GACnB,IAAI9H,EAAS8H,EACb,KAAO9H,GAAQ,CACb,QAAmBhN,IAAfgN,EAAOk8C,IACT,OAAO,EAETl8C,EAASA,EAAOA,OAElB,OAAO,EA9ZSm8C,CAAWr0C,IA1C3Bs0C,CAAW9D,GAuJb,SAA6BxwC,GAC3B,IAAImxC,EACW,aAAXnxC,EAAGgQ,KACLmhC,EAAYlY,GAAiBj5B,EAAI,SAYjCA,EAAGmxC,UAAYA,GAAalY,GAAiBj5B,EAAI,gBACvCmxC,EAAYlY,GAAiBj5B,EAAI,iBAW3CA,EAAGmxC,UAAYA,GAIjB,IAAIC,EAAatY,GAAe94B,EAAI,QAChCoxC,IACFpxC,EAAGoxC,WAA4B,OAAfA,EAAsB,YAAcA,EACpDpxC,EAAGu0C,qBAAuBv0C,EAAGi4B,SAAS,WAAYj4B,EAAGi4B,SAAS,gBAG/C,aAAXj4B,EAAGgQ,KAAuBhQ,EAAGmxC,WAC/BrZ,GAAQ93B,EAAI,OAAQoxC,EA1gG1B,SACEpxC,EACAhV,GAEA,OAAOgV,EAAG4vC,YAAY,IAAM5kD,IAC1BgV,EAAG4vC,YAAY,UAAY5kD,IAC3BgV,EAAG4vC,YAAY5kD,GAogGmBwpD,CAAkBx0C,EAAI,UAMxD,GAAe,aAAXA,EAAGgQ,IAAoB,CAEzB,IAAIykC,EAAcrb,GAAwBp5B,EAAIsvC,IAC9C,GAAImF,EAAa,CACX,EAeJ,IAAI5lB,EAAM6lB,GAAYD,GAClBzpD,EAAO6jC,EAAI7jC,KACX2sC,EAAU9I,EAAI8I,QAClB33B,EAAGoxC,WAAapmD,EAChBgV,EAAGu0C,kBAAoB5c,EACvB33B,EAAGmxC,UAAYsD,EAAYvoD,OAvmBT,eAymBf,CAEL,IAAIyoD,EAAgBvb,GAAwBp5B,EAAIsvC,IAChD,GAAIqF,EAAe,CACb,EAsBJ,IAAI95B,EAAQ7a,EAAGigB,cAAgBjgB,EAAGigB,YAAc,IAC5C20B,EAAQF,GAAYC,GACpB55B,EAAS65B,EAAM5pD,KACf6pD,EAAYD,EAAMjd,QAClBmd,EAAgBj6B,EAAME,GAAU20B,GAAiB,WAAY,GAAI1vC,GACrE80C,EAAc1D,WAAar2B,EAC3B+5B,EAAcP,kBAAoBM,EAClCC,EAAc7kC,SAAWjQ,EAAGiQ,SAASuc,QAAO,SAAUhhC,GACpD,IAAKA,EAAE2lD,UAEL,OADA3lD,EAAE0M,OAAS48C,GACJ,KAGXA,EAAc3D,UAAYwD,EAAczoD,OAhpBtB,UAkpBlB8T,EAAGiQ,SAAW,GAEdjQ,EAAG63B,OAAQ,IAvQjBkd,CAAmBvE,GAkSJ,UADWxwC,EAhSRwwC,GAiSXxgC,MACLhQ,EAAGg1C,SAAWlc,GAAe94B,EAAI,SAYrC,SAA2BA,GACzB,IAAIynC,GACCA,EAAU3O,GAAe94B,EAAI,SAChCA,EAAGqkB,UAAYojB,GAE8B,MAA3CxO,GAAiBj5B,EAAI,qBACvBA,EAAG+gB,gBAAiB,GAnTtBk0B,CAAiBzE,GACjB,IAAK,IAAIzoD,EAAI,EAAGA,EAAIwmD,GAAWtmD,OAAQF,IACrCyoD,EAAUjC,GAAWxmD,GAAGyoD,EAASr+C,IAAYq+C,EAG/C,OAkTF,SAAuBxwC,GACrB,IACIjY,EAAGkB,EAAG+B,EAAMiR,EAAS/P,EAAO0oC,EAAWsgB,EAASC,EADhDjsC,EAAOlJ,EAAGk4B,UAEd,IAAKnwC,EAAI,EAAGkB,EAAIigB,EAAKjhB,OAAQF,EAAIkB,EAAGlB,IAAK,CAGvC,GAFAiD,EAAOiR,EAAUiN,EAAKnhB,GAAGiD,KACzBkB,EAAQgd,EAAKnhB,GAAGmE,MACZ4iD,GAAMzoC,KAAKrb,GASb,GAPAgV,EAAGo1C,aAAc,GAEjBxgB,EAAYygB,GAAerqD,EAAK8F,QAAQg+C,GAAO,QAG7C9jD,EAAOA,EAAK8F,QAAQu+C,GAAY,KAE9BD,GAAO/oC,KAAKrb,GACdA,EAAOA,EAAK8F,QAAQs+C,GAAQ,IAC5BljD,EAAQsqC,GAAatqC,IACrBipD,EAAYjG,GAAa7oC,KAAKrb,MAE5BA,EAAOA,EAAKmC,MAAM,GAAI,IAUpBynC,IACEA,EAAU5e,OAASm/B,GAER,eADbnqD,EAAO6e,EAAS7e,MACYA,EAAO,aAEjC4pC,EAAU0gB,QAAUH,IACtBnqD,EAAO6e,EAAS7e,IAEd4pC,EAAU/Q,OACZqxB,EAAUxb,GAAkBxtC,EAAO,UAC9BipD,EAuBH7c,GACEt4B,EACC,cAAkBhV,EAAO,IAC1BkqD,EACA,MACA,EACA5G,EACAplC,EAAKnhB,IACL,IA9BFuwC,GACEt4B,EACC,UAAa6J,EAAS7e,GACvBkqD,EACA,MACA,EACA5G,EACAplC,EAAKnhB,IAEHmiB,EAAUlf,KAAU6e,EAAS7e,IAC/BstC,GACEt4B,EACC,UAAakK,EAAUlf,GACxBkqD,EACA,MACA,EACA5G,EACAplC,EAAKnhB,OAkBV6sC,GAAaA,EAAU5e,OACzBhW,EAAGqkB,WAAasqB,GAAoB3uC,EAAGgQ,IAAKhQ,EAAGi4B,SAASrtC,KAAMI,GAE/D0sC,GAAQ13B,EAAIhV,EAAMkB,EAAOgd,EAAKnhB,GAAIotD,GAElCrd,GAAQ93B,EAAIhV,EAAMkB,EAAOgd,EAAKnhB,GAAIotD,QAE/B,GAAItG,GAAKxoC,KAAKrb,GACnBA,EAAOA,EAAK8F,QAAQ+9C,GAAM,KAC1BsG,EAAYjG,GAAa7oC,KAAKrb,MAE5BA,EAAOA,EAAKmC,MAAM,GAAI,IAExBmrC,GAAWt4B,EAAIhV,EAAMkB,EAAO0oC,GAAW,EAAO0Z,EAAQplC,EAAKnhB,GAAIotD,OAC1D,CAGL,IAAII,GAFJvqD,EAAOA,EAAK8F,QAAQg+C,GAAO,KAEP1nC,MAAM+nC,IACtB5a,EAAMghB,GAAYA,EAAS,GAC/BJ,GAAY,EACR5gB,IACFvpC,EAAOA,EAAKmC,MAAM,IAAKonC,EAAItsC,OAAS,IAChCinD,GAAa7oC,KAAKkuB,KACpBA,EAAMA,EAAIpnC,MAAM,GAAI,GACpBgoD,GAAY,IAGhBhd,GAAan4B,EAAIhV,EAAMiR,EAAS/P,EAAOqoC,EAAK4gB,EAAWvgB,EAAW1rB,EAAKnhB,SAmBzE+vC,GAAQ93B,EAAIhV,EAAM4d,KAAKC,UAAU3c,GAAQgd,EAAKnhB,KAGzCiY,EAAGqkB,WACK,UAATr5B,GACA2jD,GAAoB3uC,EAAGgQ,IAAKhQ,EAAGi4B,SAASrtC,KAAMI,IAChD0sC,GAAQ13B,EAAIhV,EAAM,OAAQke,EAAKnhB,KAnbrCytD,CAAahF,GACNA,EAsCT,SAASkD,GAAY1zC,GACnB,IAAIy2B,EACJ,GAAKA,EAAMwC,GAAiBj5B,EAAI,SAAW,CACzC,IAAI2K,EAcR,SAAmB8rB,GACjB,IAAIgf,EAAUhf,EAAIrvB,MAAM2nC,IACxB,IAAK0G,EAAW,OAChB,IAAI9qC,EAAM,GACVA,EAAIypC,IAAMqB,EAAQ,GAAG7kD,OACrB,IAAI8kD,EAAQD,EAAQ,GAAG7kD,OAAOE,QAAQm+C,GAAe,IACjD0G,EAAgBD,EAAMtuC,MAAM4nC,IAC5B2G,GACFhrC,EAAI+qC,MAAQA,EAAM5kD,QAAQk+C,GAAe,IAAIp+C,OAC7C+Z,EAAIirC,UAAYD,EAAc,GAAG/kD,OAC7B+kD,EAAc,KAChBhrC,EAAIkrC,UAAYF,EAAc,GAAG/kD,SAGnC+Z,EAAI+qC,MAAQA,EAEd,OAAO/qC,EA9BKmrC,CAASrf,GACf9rB,GACFna,EAAOwP,EAAI2K,IAoFjB,SAASomC,GAAgB/wC,EAAI+1C,GACtB/1C,EAAGg2C,eACNh2C,EAAGg2C,aAAe,IAEpBh2C,EAAGg2C,aAAaztD,KAAKwtD,GAmIvB,SAASrB,GAAajN,GACpB,IAAIz8C,EAAOy8C,EAAQz8C,KAAK8F,QAAQw+C,GAAQ,IAWxC,OAVKtkD,GACqB,MAApBy8C,EAAQz8C,KAAK,KACfA,EAAO,WAQJkkD,GAAa7oC,KAAKrb,GAErB,CAAEA,KAAMA,EAAKmC,MAAM,GAAI,GAAIwqC,SAAS,GAEpC,CAAE3sC,KAAO,IAAOA,EAAO,IAAO2sC,SAAS,GA6K7C,SAAS0d,GAAgBrqD,GACvB,IAAIoc,EAAQpc,EAAKoc,MAAMioC,IACvB,GAAIjoC,EAAO,CACT,IAAIoD,EAAM,GAEV,OADApD,EAAMzY,SAAQ,SAAUpD,GAAKif,EAAIjf,EAAE4B,MAAM,KAAM,KACxCqd,GAIX,SAASmlC,GAAcl0C,GAErB,IADA,IAAIwN,EAAM,GACDlhB,EAAI,EAAGkB,EAAIwS,EAAMxT,OAAQF,EAAIkB,EAAGlB,IAOvCkhB,EAAIxN,EAAM1T,GAAGiD,MAAQyQ,EAAM1T,GAAGmE,MAEhC,OAAO+c,EAkBT,IAAIoqC,GAAU,eACVC,GAAa,UAgGjB,SAAS2C,GAAiBj2C,GACxB,OAAO0vC,GAAiB1vC,EAAGgQ,IAAKhQ,EAAGk4B,UAAU/qC,QAAS6S,EAAG9H,QAG3D,IAIIg+C,GAAY,CACd3J,GACAM,GANY,CACZsJ,iBAnEF,SAA2Bn2C,EAAI7N,GAC7B,GAAe,UAAX6N,EAAGgQ,IAAiB,CACtB,IAKIomC,EALAntC,EAAMjJ,EAAGi4B,SACb,IAAKhvB,EAAI,WACP,OAWF,IAPIA,EAAI,UAAYA,EAAI,kBACtBmtC,EAActd,GAAe94B,EAAI,SAE9BiJ,EAAIre,MAASwrD,IAAentC,EAAI,YACnCmtC,EAAc,IAAOntC,EAAI,UAAa,UAGpCmtC,EAAa,CACf,IAAIC,EAAcpd,GAAiBj5B,EAAI,QAAQ,GAC3Cs2C,EAAmBD,EAAe,MAAQA,EAAc,IAAO,GAC/DE,EAAkD,MAAxCtd,GAAiBj5B,EAAI,UAAU,GACzCw2C,EAAkBvd,GAAiBj5B,EAAI,aAAa,GAEpDy2C,EAAUR,GAAgBj2C,GAE9B0zC,GAAW+C,GACXze,GAAWye,EAAS,OAAQ,YAC5B9F,GAAe8F,EAAStkD,GACxBskD,EAAQ/F,WAAY,EACpB+F,EAAQ7F,GAAK,IAAMwF,EAAc,iBAAmBE,EACpDvF,GAAe0F,EAAS,CACtBhgB,IAAKggB,EAAQ7F,GACbI,MAAOyF,IAGT,IAAIC,EAAUT,GAAgBj2C,GAC9Bi5B,GAAiByd,EAAS,SAAS,GACnC1e,GAAW0e,EAAS,OAAQ,SAC5B/F,GAAe+F,EAASvkD,GACxB4+C,GAAe0F,EAAS,CACtBhgB,IAAK,IAAM2f,EAAc,cAAgBE,EACzCtF,MAAO0F,IAGT,IAAIC,EAAUV,GAAgBj2C,GAe9B,OAdAi5B,GAAiB0d,EAAS,SAAS,GACnC3e,GAAW2e,EAAS,QAASP,GAC7BzF,GAAegG,EAASxkD,GACxB4+C,GAAe0F,EAAS,CACtBhgB,IAAK4f,EACLrF,MAAO2F,IAGLJ,EACFE,EAAQ3F,MAAO,EACN0F,IACTC,EAAQ5F,OAAS2F,GAGZC,OAmCb,IAuBIG,GACAC,GAhBAC,GAAc,CAChBvF,YAAY,EACZ/oD,QAAS0tD,GACTl6C,WAXiB,CACjB0oB,MAhtGF,SACE1kB,EACAnB,EACAk4C,GAESA,EACT,IAAI7qD,EAAQ2S,EAAI3S,MACZ0oC,EAAY/1B,EAAI+1B,UAChB5kB,EAAMhQ,EAAGgQ,IACTplB,EAAOoV,EAAGi4B,SAASrtC,KAcvB,GAAIoV,EAAGqkB,UAGL,OAFAiV,GAAkBt5B,EAAI9T,EAAO0oC,IAEtB,EACF,GAAY,WAAR5kB,GAqEb,SACEhQ,EACA9T,EACA0oC,GAEA,IAOIoiB,EAAO,8KAPEpiB,GAAaA,EAAU2E,OAIZ,UAAY,OAGzB,MACXyd,EAAOA,EAAO,IAAOtd,GAAkBxtC,EAFtB,6DAGjBosC,GAAWt4B,EAAI,SAAUg3C,EAAM,MAAM,GAlFnCC,CAAUj3C,EAAI9T,EAAO0oC,QAChB,GAAY,UAAR5kB,GAA4B,aAATplB,GAwBhC,SACEoV,EACA9T,EACA0oC,GAEA,IAAI2E,EAAS3E,GAAaA,EAAU2E,OAChC2d,EAAepe,GAAe94B,EAAI,UAAY,OAC9Cm3C,EAAmBre,GAAe94B,EAAI,eAAiB,OACvDo3C,EAAoBte,GAAe94B,EAAI,gBAAkB,QAC7D03B,GAAQ13B,EAAI,UACV,iBAAmB9T,EAAnB,QACSA,EAAQ,IAAMgrD,EAAe,QACf,SAArBC,EACK,KAAOjrD,EAAQ,IACf,OAASA,EAAQ,IAAMirD,EAAmB,MAGnD7e,GAAWt4B,EAAI,SACb,WAAa9T,EAAb,yCAE2BirD,EAAmB,MAAQC,EAFtD,qCAIgB7d,EAAS,MAAQ2d,EAAe,IAAMA,GAJtD,6CAMiCxd,GAAkBxtC,EAAO,qBAN1D,mBAOsBwtC,GAAkBxtC,EAAO,6CAP/C,WAQYwtC,GAAkBxtC,EAAO,OAAU,IAC/C,MAAM,GAlDNmrD,CAAiBr3C,EAAI9T,EAAO0oC,QACvB,GAAY,UAAR5kB,GAA4B,UAATplB,GAqDhC,SACEoV,EACA9T,EACA0oC,GAEA,IAAI2E,EAAS3E,GAAaA,EAAU2E,OAChC2d,EAAepe,GAAe94B,EAAI,UAAY,OAElD03B,GAAQ13B,EAAI,UAAY,MAAQ9T,EAAQ,KADxCgrD,EAAe3d,EAAU,MAAQ2d,EAAe,IAAOA,GACM,KAC7D5e,GAAWt4B,EAAI,SAAU05B,GAAkBxtC,EAAOgrD,GAAe,MAAM,GA7DrEI,CAAct3C,EAAI9T,EAAO0oC,QACpB,GAAY,UAAR5kB,GAA2B,aAARA,GAgFhC,SACEhQ,EACA9T,EACA0oC,GAEA,IAAIhqC,EAAOoV,EAAGi4B,SAASrtC,KAInB,EAaJ,IAAIikC,EAAM+F,GAAa,GACnBrM,EAAOsG,EAAItG,KACXgR,EAAS1K,EAAI0K,OACb3oC,EAAOi+B,EAAIj+B,KACX2mD,GAAwBhvB,GAAiB,UAAT39B,EAChCN,EAAQi+B,EACR,SACS,UAAT39B,EAjJY,MAmJV,QAEF4uC,EAAkB,sBAClB5oC,IACF4oC,EAAkB,8BAEhBD,IACFC,EAAkB,MAAQA,EAAkB,KAG9C,IAAIwd,EAAOtd,GAAkBxtC,EAAOstC,GAChC+d,IACFP,EAAO,qCAAuCA,GAGhDtf,GAAQ13B,EAAI,QAAU,IAAM9T,EAAQ,KACpCosC,GAAWt4B,EAAI1V,EAAO0sD,EAAM,MAAM,IAC9BpmD,GAAQ2oC,IACVjB,GAAWt4B,EAAI,OAAQ,kBAhIvBw3C,CAAgBx3C,EAAI9T,EAAO0oC,OACtB,KAAKlgC,EAAO6X,cAAcyD,GAG/B,OAFAspB,GAAkBt5B,EAAI9T,EAAO0oC,IAEtB,EAYT,OAAO,GA+pGPvgC,KAhBF,SAAe2L,EAAInB,GACbA,EAAI3S,OACNwrC,GAAQ13B,EAAI,cAAgB,MAASnB,EAAS,MAAI,IAAMA,IAe1DkD,KATF,SAAe/B,EAAInB,GACbA,EAAI3S,OACNwrC,GAAQ13B,EAAI,YAAc,MAASnB,EAAS,MAAI,IAAMA,KAgBxDkxC,SA93Ja,SAAU//B,GAAO,MAAe,QAARA,GA+3JrCg9B,WAAYA,GACZpgC,YAAaA,GACbqgC,iBAAkBA,GAClB1gC,cAAeA,GACfG,gBAAiBA,GACjB8/B,WAxmUF,SAAwBhkD,GACtB,OAAOA,EAAQivD,QAAO,SAAU5zC,EAAMtY,GACpC,OAAOsY,EAAK9K,OAAOxN,EAAEihD,YAAc,MAClC,IAAI32C,KAAK,KAqmUA6hD,CAAcxB,KAQxByB,GAAsBhuC,GAuB1B,SAA0B9F,GACxB,OAAOkF,EACL,iFACClF,EAAO,IAAMA,EAAO,QAbzB,SAAS+zC,GAAU5H,EAAM79C,GAClB69C,IACL4G,GAAce,GAAoBxlD,EAAQq6C,YAAc,IACxDqK,GAAwB1kD,EAAQoa,eAAiB1B,EAcnD,SAASgtC,EAAczmC,GAErB,GADAA,EAAK0mC,OA6DP,SAAmB1mC,GACjB,GAAkB,IAAdA,EAAKxmB,KACP,OAAO,EAET,GAAkB,IAAdwmB,EAAKxmB,KACP,OAAO,EAET,SAAUwmB,EAAKwU,MACZxU,EAAKgkC,aACLhkC,EAAKw/B,IAAOx/B,EAAKgjC,KACjBhrC,EAAagI,EAAKpB,OACnB6mC,GAAsBzlC,EAAKpB,MAM/B,SAAqCoB,GACnC,KAAOA,EAAKlZ,QAAQ,CAElB,GAAiB,cADjBkZ,EAAOA,EAAKlZ,QACH8X,IACP,OAAO,EAET,GAAIoB,EAAKgjC,IACP,OAAO,EAGX,OAAO,EAfJ2D,CAA2B3mC,KAC5BlpB,OAAO2b,KAAKuN,GAAMhG,MAAMwrC,MA1EZlmC,CAASU,GACL,IAAdA,EAAKxmB,KAAY,CAInB,IACGisD,GAAsBzlC,EAAKpB,MACf,SAAboB,EAAKpB,KAC+B,MAApCoB,EAAK6mB,SAAS,mBAEd,OAEF,IAAK,IAAIlwC,EAAI,EAAGkB,EAAImoB,EAAKnB,SAAShoB,OAAQF,EAAIkB,EAAGlB,IAAK,CACpD,IAAImpB,EAAQE,EAAKnB,SAASloB,GAC1B8vD,EAAa3mC,GACRA,EAAM4mC,SACT1mC,EAAK0mC,QAAS,GAGlB,GAAI1mC,EAAK4kC,aACP,IAAK,IAAI/nB,EAAM,EAAG+pB,EAAM5mC,EAAK4kC,aAAa/tD,OAAQgmC,EAAM+pB,EAAK/pB,IAAO,CAClE,IAAI+iB,EAAQ5/B,EAAK4kC,aAAa/nB,GAAK+iB,MACnC6G,EAAa7G,GACRA,EAAM8G,SACT1mC,EAAK0mC,QAAS,KArCtBD,CAAa7H,GA4Cf,SAASiI,EAAiB7mC,EAAMsM,GAC9B,GAAkB,IAAdtM,EAAKxmB,KAAY,CAOnB,IANIwmB,EAAK0mC,QAAU1mC,EAAK1F,QACtB0F,EAAK8mC,YAAcx6B,GAKjBtM,EAAK0mC,QAAU1mC,EAAKnB,SAAShoB,SACN,IAAzBmpB,EAAKnB,SAAShoB,QACY,IAA1BmpB,EAAKnB,SAAS,GAAGrlB,MAGjB,YADAwmB,EAAK+mC,YAAa,GAKpB,GAFE/mC,EAAK+mC,YAAa,EAEhB/mC,EAAKnB,SACP,IAAK,IAAIloB,EAAI,EAAGkB,EAAImoB,EAAKnB,SAAShoB,OAAQF,EAAIkB,EAAGlB,IAC/CkwD,EAAgB7mC,EAAKnB,SAASloB,GAAI21B,KAAatM,EAAKgjC,KAGxD,GAAIhjC,EAAK4kC,aACP,IAAK,IAAI/nB,EAAM,EAAG+pB,EAAM5mC,EAAK4kC,aAAa/tD,OAAQgmC,EAAM+pB,EAAK/pB,IAC3DgqB,EAAgB7mC,EAAK4kC,aAAa/nB,GAAK+iB,MAAOtzB,IAlEpDu6B,CAAgBjI,GAAM,IAwGxB,IAAIoI,GAAU,0DACVC,GAAa,gBACbC,GAAe,+FAGfhsC,GAAW,CACbisC,IAAK,GACLC,IAAK,EACL9X,MAAO,GACP+X,MAAO,GACPC,GAAI,GACJlO,KAAM,GACN/R,MAAO,GACPkgB,KAAM,GACN,OAAU,CAAC,EAAG,KAIZC,GAAW,CAEbL,IAAK,CAAC,MAAO,UACbC,IAAK,MACL9X,MAAO,QAEP+X,MAAO,CAAC,IAAK,YAEbC,GAAI,CAAC,KAAM,WACXlO,KAAM,CAAC,OAAQ,aACf/R,MAAO,CAAC,QAAS,cACjBkgB,KAAM,CAAC,OAAQ,aAEf,OAAU,CAAC,YAAa,SAAU,QAMhCE,GAAW,SAAU9C,GAAa,MAAQ,MAAQA,EAAY,iBAE9D+C,GAAe,CACjBC,KAAM,4BACNC,QAAS,2BACT7qD,KAAM0qD,GAAS,0CACfI,KAAMJ,GAAS,mBACfnwD,MAAOmwD,GAAS,oBAChBK,IAAKL,GAAS,kBACdM,KAAMN,GAAS,mBACfrO,KAAMqO,GAAS,6CACfngB,OAAQmgB,GAAS,6CACjBpgB,MAAOogB,GAAS,8CAGlB,SAASO,GACP5gB,EACA7pB,GAEA,IAAI0qC,EAAS1qC,EAAW,YAAc,MAClC2qC,EAAiB,GACjBC,EAAkB,GACtB,IAAK,IAAIvuD,KAAQwtC,EAAQ,CACvB,IAAIghB,EAAcC,GAAWjhB,EAAOxtC,IAChCwtC,EAAOxtC,IAASwtC,EAAOxtC,GAAM2sC,QAC/B4hB,GAAmBvuD,EAAO,IAAMwuD,EAAc,IAE9CF,GAAkB,IAAOtuD,EAAO,KAAQwuD,EAAc,IAI1D,OADAF,EAAiB,IAAOA,EAAensD,MAAM,GAAI,GAAM,IACnDosD,EACKF,EAAS,MAAQC,EAAiB,KAAQC,EAAgBpsD,MAAM,GAAI,GAAM,KAE1EksD,EAASC,EAIpB,SAASG,GAAYtjD,GACnB,IAAKA,EACH,MAAO,eAGT,GAAIwS,MAAMra,QAAQ6H,GAChB,MAAQ,IAAOA,EAAQ8S,KAAI,SAAU9S,GAAW,OAAOsjD,GAAWtjD,MAAaN,KAAK,KAAQ,IAG9F,IAAI6jD,EAAepB,GAAajyC,KAAKlQ,EAAQjK,OACzCytD,EAAuBvB,GAAQ/xC,KAAKlQ,EAAQjK,OAC5C0tD,EAAuBtB,GAAajyC,KAAKlQ,EAAQjK,MAAM4E,QAAQunD,GAAY,KAE/E,GAAKliD,EAAQy+B,UAKN,CACL,IAAIoiB,EAAO,GACP6C,EAAkB,GAClBh2C,EAAO,GACX,IAAK,IAAIrX,KAAO2J,EAAQy+B,UACtB,GAAIkkB,GAAatsD,GACfqtD,GAAmBf,GAAatsD,GAE5B8f,GAAS9f,IACXqX,EAAKtb,KAAKiE,QAEP,GAAY,UAARA,EAAiB,CAC1B,IAAIooC,EAAaz+B,EAAiB,UAClC0jD,GAAmBhB,GACjB,CAAC,OAAQ,QAAS,MAAO,QACtBrsB,QAAO,SAAUstB,GAAe,OAAQllB,EAAUklB,MAClD7wC,KAAI,SAAU6wC,GAAe,MAAQ,UAAYA,EAAc,SAC/DjkD,KAAK,YAGVgO,EAAKtb,KAAKiE,GAiBd,OAdIqX,EAAK5b,SACP+uD,GAiBN,SAAuBnzC,GACrB,MAIE,mCACCA,EAAKoF,IAAI8wC,IAAelkD,KAAK,MAAS,gBAvB7BmkD,CAAan2C,IAGnBg2C,IACF7C,GAAQ6C,GASF,oBAAsB7C,GAPZ0C,EACb,UAAavjD,EAAa,MAAI,WAC/BwjD,EACG,WAAcxjD,EAAa,MAAI,YAChCyjD,EACG,UAAazjD,EAAa,MAC3BA,EAAQjK,OACmC,IAzCnD,OAAIwtD,GAAgBC,EACXxjD,EAAQjK,MAET,qBAAuB0tD,EAAwB,UAAazjD,EAAa,MAAKA,EAAQjK,OAAS,IAoD3G,SAAS6tD,GAAevtD,GACtB,IAAIytD,EAAS1qB,SAAS/iC,EAAK,IAC3B,GAAIytD,EACF,MAAQ,oBAAsBA,EAEhC,IAAIC,EAAU5tC,GAAS9f,GACnB2tD,EAAUvB,GAASpsD,GACvB,MACE,qBACCoc,KAAKC,UAAUrc,GAAQ,IACvBoc,KAAKC,UAAUqxC,GAFhB,eAIMtxC,KAAKC,UAAUsxC,GACrB,IAuBJ,IAAIC,GAAiB,CACnBz+C,GAlBF,SAAaqE,EAAInB,GAIfmB,EAAGq6C,cAAgB,SAAUrD,GAAQ,MAAQ,MAAQA,EAAO,IAAOn4C,EAAS,MAAI,MAehFpS,KAVF,SAAiBuT,EAAInB,GACnBmB,EAAGs6C,SAAW,SAAUtD,GACtB,MAAQ,MAAQA,EAAO,KAAQh3C,EAAM,IAAI,KAAQnB,EAAS,MAAI,KAAOA,EAAI+1B,WAAa/1B,EAAI+1B,UAAU5e,KAAO,OAAS,UAAYnX,EAAI+1B,WAAa/1B,EAAI+1B,UAAU/Q,KAAO,QAAU,IAAM,MASxL02B,MAAO3vC,GASL4vC,GAAe,SAAuBroD,GACxC2D,KAAK3D,QAAUA,EACf2D,KAAKa,KAAOxE,EAAQwE,MAAQ2gC,GAC5BxhC,KAAKy4C,WAAa9W,GAAoBtlC,EAAQ3J,QAAS,iBACvDsN,KAAK2kD,WAAahjB,GAAoBtlC,EAAQ3J,QAAS,WACvDsN,KAAKkG,WAAaxL,EAAOA,EAAO,GAAI4pD,IAAiBjoD,EAAQ6J,YAC7D,IAAIuQ,EAAgBpa,EAAQoa,eAAiB1B,EAC7C/U,KAAK4kD,eAAiB,SAAU16C,GAAM,QAASA,EAAGqkB,YAAc9X,EAAcvM,EAAGgQ,MACjFla,KAAK6kD,OAAS,EACd7kD,KAAKuB,gBAAkB,GACvBvB,KAAK8vB,KAAM,GAKb,SAASg1B,GACPC,EACA1oD,GAEA,IAAI2H,EAAQ,IAAI0gD,GAAaroD,GAE7B,MAAO,CACLiF,OAAS,sBAFAyjD,EAAMC,GAAWD,EAAK/gD,GAAS,aAED,IACvCzC,gBAAiByC,EAAMzC,iBAI3B,SAASyjD,GAAY96C,EAAIlG,GAKvB,GAJIkG,EAAG9H,SACL8H,EAAG4lB,IAAM5lB,EAAG4lB,KAAO5lB,EAAG9H,OAAO0tB,KAG3B5lB,EAAGm4C,aAAen4C,EAAG+6C,gBACvB,OAAOC,GAAUh7C,EAAIlG,GAChB,GAAIkG,EAAG0L,OAAS1L,EAAGi7C,cACxB,OAAOC,GAAQl7C,EAAIlG,GACd,GAAIkG,EAAGo0C,MAAQp0C,EAAGm7C,aACvB,OAAOC,GAAOp7C,EAAIlG,GACb,GAAIkG,EAAG4wC,KAAO5wC,EAAGq7C,YACtB,OAAOC,GAAMt7C,EAAIlG,GACZ,GAAe,aAAXkG,EAAGgQ,KAAuBhQ,EAAGoxC,YAAet3C,EAAM8rB,IAEtD,IAAe,SAAX5lB,EAAGgQ,IACZ,OAubJ,SAAkBhQ,EAAIlG,GACpB,IAAIk7C,EAAWh1C,EAAGg1C,UAAY,YAC1B/kC,EAAWsrC,GAAYv7C,EAAIlG,GAC3B6Q,EAAM,MAAQqqC,GAAY/kC,EAAY,IAAMA,EAAY,IACxDxU,EAAQuE,EAAGvE,OAASuE,EAAG+3B,aACvByjB,IAAUx7C,EAAGvE,OAAS,IAAI1C,OAAOiH,EAAG+3B,cAAgB,IAAI9uB,KAAI,SAAUunB,GAAQ,MAAO,CAEnFxlC,KAAM6e,EAAS2mB,EAAKxlC,MACpBkB,MAAOskC,EAAKtkC,MACZyrC,QAASnH,EAAKmH,aAEhB,KACA8jB,EAAUz7C,EAAGi4B,SAAS,WACrBx8B,IAASggD,GAAaxrC,IACzBtF,GAAO,SAELlP,IACFkP,GAAO,IAAMlP,GAEXggD,IACF9wC,IAAQlP,EAAQ,GAAK,SAAW,IAAMggD,GAExC,OAAO9wC,EAAM,IA7cJ+wC,CAAQ17C,EAAIlG,GAGnB,IAAIk9C,EACJ,GAAIh3C,EAAGqkB,UACL2yB,EA4cN,SACE2E,EACA37C,EACAlG,GAEA,IAAImW,EAAWjQ,EAAG+gB,eAAiB,KAAOw6B,GAAYv7C,EAAIlG,GAAO,GACjE,MAAQ,MAAQ6hD,EAAgB,IAAOC,GAAU57C,EAAIlG,IAAWmW,EAAY,IAAMA,EAAY,IAAM,IAldzF4rC,CAAa77C,EAAGqkB,UAAWrkB,EAAIlG,OACjC,CACL,IAAIpS,IACCsY,EAAG63B,OAAU73B,EAAG4lB,KAAO9rB,EAAM4gD,eAAe16C,MAC/CtY,EAAOk0D,GAAU57C,EAAIlG,IAGvB,IAAImW,EAAWjQ,EAAG+gB,eAAiB,KAAOw6B,GAAYv7C,EAAIlG,GAAO,GACjEk9C,EAAO,OAAUh3C,EAAM,IAAI,KAAOtY,EAAQ,IAAMA,EAAQ,KAAOuoB,EAAY,IAAMA,EAAY,IAAM,IAGrG,IAAK,IAAIloB,EAAI,EAAGA,EAAI+R,EAAMy0C,WAAWtmD,OAAQF,IAC3CivD,EAAOl9C,EAAMy0C,WAAWxmD,GAAGiY,EAAIg3C,GAEjC,OAAOA,EArBP,OAAOuE,GAAYv7C,EAAIlG,IAAU,SA0BrC,SAASkhD,GAAWh7C,EAAIlG,GACtBkG,EAAG+6C,iBAAkB,EAIrB,IAAIe,EAAmBhiD,EAAM8rB,IAM7B,OALI5lB,EAAG4lB,MACL9rB,EAAM8rB,IAAM5lB,EAAG4lB,KAEjB9rB,EAAMzC,gBAAgB9O,KAAM,qBAAwBuyD,GAAW96C,EAAIlG,GAAU,KAC7EA,EAAM8rB,IAAMk2B,EACJ,OAAShiD,EAAMzC,gBAAgBpP,OAAS,IAAM+X,EAAGk4C,YAAc,QAAU,IAAM,IAIzF,SAASgD,GAASl7C,EAAIlG,GAEpB,GADAkG,EAAGi7C,eAAgB,EACfj7C,EAAG4wC,KAAO5wC,EAAGq7C,YACf,OAAOC,GAAMt7C,EAAIlG,GACZ,GAAIkG,EAAGk4C,YAAa,CAGzB,IAFA,IAAI1rD,EAAM,GACN0L,EAAS8H,EAAG9H,OACTA,GAAQ,CACb,GAAIA,EAAOk8C,IAAK,CACd5nD,EAAM0L,EAAO1L,IACb,MAEF0L,EAASA,EAAOA,OAElB,OAAK1L,EAOG,MAASsuD,GAAW96C,EAAIlG,GAAU,IAAOA,EAAM6gD,SAAY,IAAMnuD,EAAM,IAFtEsuD,GAAW96C,EAAIlG,GAIxB,OAAOkhD,GAAUh7C,EAAIlG,GAIzB,SAASwhD,GACPt7C,EACAlG,EACAiiD,EACAC,GAGA,OADAh8C,EAAGq7C,aAAc,EAInB,SAASY,EACPC,EACApiD,EACAiiD,EACAC,GAEA,IAAKE,EAAWj0D,OACd,OAAO+zD,GAAY,OAGrB,IAAIjG,EAAYmG,EAAWxzD,QAC3B,OAAIqtD,EAAUtf,IACJ,IAAOsf,EAAa,IAAI,KAAQoG,EAAcpG,EAAU/E,OAAU,IAAOiL,EAAgBC,EAAYpiD,EAAOiiD,EAAQC,GAEpH,GAAMG,EAAcpG,EAAU/E,OAIxC,SAASmL,EAAen8C,GACtB,OAAO+7C,EACHA,EAAO/7C,EAAIlG,GACXkG,EAAG0L,KACDwvC,GAAQl7C,EAAIlG,GACZghD,GAAW96C,EAAIlG,IA1BhBmiD,CAAgBj8C,EAAGg2C,aAAa7oD,QAAS2M,EAAOiiD,EAAQC,GA8BjE,SAASZ,GACPp7C,EACAlG,EACAiiD,EACAK,GAEA,IAAI3lB,EAAMz2B,EAAGo0C,IACTsB,EAAQ11C,EAAG01C,MACXE,EAAY51C,EAAG41C,UAAa,IAAO51C,EAAY,UAAK,GACpD61C,EAAY71C,EAAG61C,UAAa,IAAO71C,EAAY,UAAK,GAkBxD,OADAA,EAAGm7C,cAAe,GACViB,GAAa,MAAQ,KAAO3lB,EAA7B,cACSif,EAAQE,EAAYC,EAD7B,aAEWkG,GAAUjB,IAAY96C,EAAIlG,GAC1C,KAGJ,SAAS8hD,GAAW57C,EAAIlG,GACtB,IAAIpS,EAAO,IAIPutB,EA+EN,SAAwBjV,EAAIlG,GAC1B,IAAImb,EAAOjV,EAAGhE,WACd,IAAKiZ,EAAQ,OACb,IAEIltB,EAAGkB,EAAG4V,EAAKw9C,EAFX1xC,EAAM,eACN2xC,GAAa,EAEjB,IAAKv0D,EAAI,EAAGkB,EAAIgsB,EAAKhtB,OAAQF,EAAIkB,EAAGlB,IAAK,CACvC8W,EAAMoW,EAAKltB,GACXs0D,GAAc,EACd,IAAIE,EAAMziD,EAAMkC,WAAW6C,EAAI7T,MAC3BuxD,IAGFF,IAAgBE,EAAIv8C,EAAInB,EAAK/E,EAAMnD,OAEjC0lD,IACFC,GAAa,EACb3xC,GAAO,UAAc9L,EAAQ,KAAI,cAAmBA,EAAW,QAAI,KAAQA,EAAI3S,MAAS,WAAc2S,EAAS,MAAI,gBAAmB+J,KAAKC,UAAUhK,EAAI3S,OAAW,KAAO2S,EAAI01B,IAAO,SAAW11B,EAAIu5B,aAAev5B,EAAI01B,IAAO,IAAQ11B,EAAO,IAAI,KAAU,KAAOA,EAAI+1B,UAAa,cAAiBhsB,KAAKC,UAAUhK,EAAI+1B,WAAe,IAAM,MAGjV,GAAI0nB,EACF,OAAO3xC,EAAIxd,MAAM,GAAI,GAAK,IApGjBqvD,CAAcx8C,EAAIlG,GACzBmb,IAAQvtB,GAAQutB,EAAO,KAGvBjV,EAAGxT,MACL9E,GAAQ,OAAUsY,EAAM,IAAI,KAG1BA,EAAG6uB,MACLnnC,GAAQ,OAAUsY,EAAM,IAAI,KAE1BA,EAAGozB,WACL1rC,GAAQ,kBAGNsY,EAAG4lB,MACLl+B,GAAQ,aAGNsY,EAAGqkB,YACL38B,GAAQ,QAAYsY,EAAM,IAAI,MAGhC,IAAK,IAAIjY,EAAI,EAAGA,EAAI+R,EAAM2gD,WAAWxyD,OAAQF,IAC3CL,GAAQoS,EAAM2gD,WAAW1yD,GAAGiY,GA+B9B,GA5BIA,EAAGvE,QACL/T,GAAQ,SAAY8zD,GAASx7C,EAAGvE,OAAU,KAGxCuE,EAAGP,QACL/X,GAAQ,YAAe8zD,GAASx7C,EAAGP,OAAU,KAG3CO,EAAGw4B,SACL9wC,GAAS0xD,GAAYp5C,EAAGw4B,QAAQ,GAAU,KAExCx4B,EAAG44B,eACLlxC,GAAS0xD,GAAYp5C,EAAG44B,cAAc,GAAS,KAI7C54B,EAAGoxC,aAAepxC,EAAGmxC,YACvBzpD,GAAQ,QAAWsY,EAAa,WAAI,KAGlCA,EAAGigB,cACLv4B,GAwEJ,SACEsY,EACA6a,EACA/gB,GAMA,IAAI0nB,EAAmBxhB,EAAGo0C,KAAOlsD,OAAO2b,KAAKgX,GAAOotB,MAAK,SAAUz7C,GACjE,IAAIsuB,EAAOD,EAAMruB,GACjB,OACEsuB,EAAKy5B,mBACLz5B,EAAK81B,IACL91B,EAAKs5B,KACLqI,GAAkB3hC,MAQlB4hC,IAAa18C,EAAG4wC,GAOpB,IAAKpvB,EAEH,IADA,IAAItpB,EAAS8H,EAAG9H,OACTA,GAAQ,CACb,GACGA,EAAOi5C,WApqDU,YAoqDGj5C,EAAOi5C,WAC5Bj5C,EAAOk8C,IACP,CACA5yB,GAAmB,EACnB,MAEEtpB,EAAO04C,KACT8L,GAAW,GAEbxkD,EAASA,EAAOA,OAIpB,IAAIykD,EAAiBz0D,OAAO2b,KAAKgX,GAC9B5R,KAAI,SAAUzc,GAAO,OAAOowD,GAAc/hC,EAAMruB,GAAMsN,MACtDjE,KAAK,KAER,MAAQ,mBAAqB8mD,EAAiB,KAAOn7B,EAAmB,aAAe,MAAQA,GAAoBk7B,EAAY,eAGjI,SAAc7rD,GACZ,IAAIkpB,EAAO,KACPhyB,EAAI8I,EAAI5I,OACZ,KAAMF,GACJgyB,EAAe,GAAPA,EAAalpB,EAAIoc,aAAallB,GAExC,OAAOgyB,IAAS,EATgI,CAAM4iC,GAAoB,IAAM,IA3HtK,CAAgB38C,EAAIA,EAAGigB,YAAanmB,GAAU,KAGpDkG,EAAG0kB,QACLh9B,GAAQ,gBAAmBsY,EAAG0kB,MAAW,MAAI,aAAgB1kB,EAAG0kB,MAAc,SAAI,eAAkB1kB,EAAG0kB,MAAgB,WAAI,MAGzH1kB,EAAG+gB,eAAgB,CACrB,IAAIA,EAgDR,SAA4B/gB,EAAIlG,GAC9B,IAAI+gD,EAAM76C,EAAGiQ,SAAS,GAClB,EAQJ,GAAI4qC,GAAoB,IAAbA,EAAIjwD,KAAY,CACzB,IAAIiyD,EAAkBjC,GAASC,EAAK/gD,EAAM3H,SAC1C,MAAQ,qCAAwC0qD,EAAsB,OAAI,sBAAyBA,EAAgBxlD,gBAAgB4R,KAAI,SAAU+tC,GAAQ,MAAQ,cAAgBA,EAAO,OAASnhD,KAAK,KAAQ,MA5DzLinD,CAAkB98C,EAAIlG,GACvCinB,IACFr5B,GAAQq5B,EAAiB,KAkB7B,OAfAr5B,EAAOA,EAAKoJ,QAAQ,KAAM,IAAM,IAI5BkP,EAAG+3B,eACLrwC,EAAO,MAAQA,EAAO,KAASsY,EAAM,IAAI,KAASw7C,GAASx7C,EAAG+3B,cAAiB,KAG7E/3B,EAAGs6C,WACL5yD,EAAOsY,EAAGs6C,SAAS5yD,IAGjBsY,EAAGq6C,gBACL3yD,EAAOsY,EAAGq6C,cAAc3yD,IAEnBA,EA2GT,SAAS+0D,GAAmBz8C,GAC1B,OAAgB,IAAZA,EAAGpV,OACU,SAAXoV,EAAGgQ,KAGAhQ,EAAGiQ,SAASg4B,KAAKwU,KAK5B,SAASG,GACP58C,EACAlG,GAEA,IAAIijD,EAAiB/8C,EAAGi4B,SAAS,cACjC,GAAIj4B,EAAG4wC,KAAO5wC,EAAGq7C,cAAgB0B,EAC/B,OAAOzB,GAAMt7C,EAAIlG,EAAO8iD,GAAe,QAEzC,GAAI58C,EAAGo0C,MAAQp0C,EAAGm7C,aAChB,OAAOC,GAAOp7C,EAAIlG,EAAO8iD,IAE3B,IAAIzL,EAttDoB,YAstDRnxC,EAAGmxC,UACf,GACAngD,OAAOgP,EAAGmxC,WACVtiD,EAAK,YAAcsiD,EAAd,aACiB,aAAXnxC,EAAGgQ,IACZhQ,EAAG4wC,IAAMmM,EACN,IAAO/8C,EAAK,GAAI,MAAQu7C,GAAYv7C,EAAIlG,IAAU,aAAe,aAClEyhD,GAAYv7C,EAAIlG,IAAU,YAC5BghD,GAAW96C,EAAIlG,IAAU,IAE3BkjD,EAAe7L,EAAY,GAAK,cACpC,MAAQ,SAAWnxC,EAAGoxC,YAAc,aAAiB,OAASviD,EAAKmuD,EAAe,IAGpF,SAASzB,GACPv7C,EACAlG,EACAmjD,EACAC,EACAC,GAEA,IAAIltC,EAAWjQ,EAAGiQ,SAClB,GAAIA,EAAShoB,OAAQ,CACnB,IAAIm1D,EAAOntC,EAAS,GAEpB,GAAwB,IAApBA,EAAShoB,QACXm1D,EAAKhJ,KACQ,aAAbgJ,EAAKptC,KACQ,SAAbotC,EAAKptC,IACL,CACA,IAAIwV,EAAoBy3B,EACpBnjD,EAAM4gD,eAAe0C,GAAQ,KAAO,KACpC,GACJ,MAAQ,IAAOF,GAAiBpC,IAAYsC,EAAMtjD,GAAU0rB,EAE9D,IAAI63B,EAAsBJ,EAY9B,SACEhtC,EACAyqC,GAGA,IADA,IAAI/vC,EAAM,EACD5iB,EAAI,EAAGA,EAAIkoB,EAAShoB,OAAQF,IAAK,CACxC,IAAIiY,EAAKiQ,EAASloB,GAClB,GAAgB,IAAZiY,EAAGpV,KAAP,CAGA,GAAI0yD,GAAmBt9C,IAClBA,EAAGg2C,cAAgBh2C,EAAGg2C,aAAa/N,MAAK,SAAUz8C,GAAK,OAAO8xD,GAAmB9xD,EAAEwlD,UAAa,CACnGrmC,EAAM,EACN,OAEE+vC,EAAe16C,IACdA,EAAGg2C,cAAgBh2C,EAAGg2C,aAAa/N,MAAK,SAAUz8C,GAAK,OAAOkvD,EAAelvD,EAAEwlD,aAClFrmC,EAAM,IAGV,OAAOA,EA/BD4yC,CAAqBttC,EAAUnW,EAAM4gD,gBACrC,EACA6B,EAAMY,GAAcK,GACxB,MAAQ,IAAOvtC,EAAShH,KAAI,SAAUzd,GAAK,OAAO+wD,EAAI/wD,EAAGsO,MAAWjE,KAAK,KAAQ,KAAOwnD,EAAuB,IAAMA,EAAuB,KA+BhJ,SAASC,GAAoBt9C,GAC3B,YAAkB9U,IAAX8U,EAAGo0C,KAAgC,aAAXp0C,EAAGgQ,KAAiC,SAAXhQ,EAAGgQ,IAG7D,SAASwtC,GAASpsC,EAAMtX,GACtB,OAAkB,IAAdsX,EAAKxmB,KACAkwD,GAAW1pC,EAAMtX,GACD,IAAdsX,EAAKxmB,MAAcwmB,EAAKR,UAarC,SAAqB+8B,GACnB,MAAQ,MAAS/kC,KAAKC,UAAU8kC,EAAQt5C,MAAS,IAbxCopD,CAAWrsC,GAMtB,SAAkB/c,GAChB,MAAQ,OAAuB,IAAdA,EAAKzJ,KAClByJ,EAAK6H,WACLwhD,GAAyB90C,KAAKC,UAAUxU,EAAKA,QAAU,IAPlDspD,CAAQvsC,GAiDnB,SAASoqC,GAAU/7C,GAGjB,IAFA,IAAIm+C,EAAc,GACdC,EAAe,GACV91D,EAAI,EAAGA,EAAI0X,EAAMxX,OAAQF,IAAK,CACrC,IAAIiuB,EAAOvW,EAAM1X,GACbmE,EAAQwxD,GAAyB1nC,EAAK9pB,OACtC8pB,EAAK2hB,QACPkmB,GAAiB7nC,EAAS,KAAI,IAAM9pB,EAAQ,IAE5C0xD,GAAe,IAAQ5nC,EAAS,KAAI,KAAQ9pB,EAAQ,IAIxD,OADA0xD,EAAc,IAAOA,EAAYzwD,MAAM,GAAI,GAAM,IAC7C0wD,EACM,MAAQD,EAAc,KAAQC,EAAa1wD,MAAM,GAAI,GAAM,KAE5DywD,EAKX,SAASF,GAA0BrpD,GACjC,OAAOA,EACJvD,QAAQ,UAAW,WACnBA,QAAQ,UAAW,WASE,IAAI6V,OAAO,MAAQ,iMAI3CjR,MAAM,KAAKG,KAAK,WAAa,OAGR,IAAI8Q,OAAO,MAAQ,qBAExCjR,MAAM,KAAKG,KAAK,yBAA2B,qBA0K7C,SAASioD,GAAgB9G,EAAM+G,GAC7B,IACE,OAAO,IAAI3vD,SAAS4oD,GACpB,MAAOlqD,GAEP,OADAixD,EAAOx1D,KAAK,CAAEuE,IAAKA,EAAKkqD,KAAMA,IACvBpsC,GAIX,SAASozC,GAA2BC,GAClC,IAAI38C,EAAQpZ,OAAOqE,OAAO,MAE1B,OAAO,SACLujD,EACA39C,EACAgO,IAEAhO,EAAU3B,EAAO,GAAI2B,IACCwE,YACfxE,EAAQwE,KAqBf,IAAInK,EAAM2F,EAAQm6C,WACdt7C,OAAOmB,EAAQm6C,YAAcwD,EAC7BA,EACJ,GAAIxuC,EAAM9U,GACR,OAAO8U,EAAM9U,GAIf,IAAI0xD,EAAWD,EAAQnO,EAAU39C,GA+BjC,IAAIwY,EAAM,GACNwzC,EAAc,GAyBlB,OAxBAxzC,EAAIvT,OAAS0mD,GAAeI,EAAS9mD,OAAQ+mD,GAC7CxzC,EAAItT,gBAAkB6mD,EAAS7mD,gBAAgB4R,KAAI,SAAU+tC,GAC3D,OAAO8G,GAAe9G,EAAMmH,MAsBtB78C,EAAM9U,GAAOme,GAiFzB,IA3EgCyzC,GAoG5BC,GAPAzJ,IA7F4BwJ,GA2EW,SACzCtO,EACA39C,GAEA,IAAI0oD,EAAMhL,GAAMC,EAASl/C,OAAQuB,IACR,IAArBA,EAAQylD,UACVA,GAASiD,EAAK1oD,GAEhB,IAAI6kD,EAAO4D,GAASC,EAAK1oD,GACzB,MAAO,CACL0oD,IAAKA,EACLzjD,OAAQ4/C,EAAK5/C,OACbC,gBAAiB2/C,EAAK3/C,kBAtFjB,SAAyBy/C,GAC9B,SAASmH,EACPnO,EACA39C,GAEA,IAAImsD,EAAep2D,OAAOqE,OAAOuqD,GAC7BiH,EAAS,GACTQ,EAAO,GAMX,GAAIpsD,EA+BF,IAAK,IAAI3F,KAZL2F,EAAQ3J,UACV81D,EAAa91D,SACVsuD,EAAYtuD,SAAW,IAAIuQ,OAAO5G,EAAQ3J,UAG3C2J,EAAQ6J,aACVsiD,EAAatiD,WAAaxL,EACxBtI,OAAOqE,OAAOuqD,EAAY96C,YAAc,MACxC7J,EAAQ6J,aAII7J,EACF,YAAR3F,GAA6B,eAARA,IACvB8xD,EAAa9xD,GAAO2F,EAAQ3F,IAKlC8xD,EAAa3nD,KA1CF,SAAU4gC,EAAKC,EAAOgnB,IAC9BA,EAAMD,EAAOR,GAAQx1D,KAAKgvC,IA2C7B,IAAI2mB,EAAWE,GAAYtO,EAASl/C,OAAQ0tD,GAM5C,OAFAJ,EAASH,OAASA,EAClBG,EAASK,KAAOA,EACTL,EAGT,MAAO,CACLD,QAASA,EACTQ,mBAAoBT,GAA0BC,MA4BzBnH,IAEvB2H,IADU7J,GAAMqJ,QACKrJ,GAAM6J,oBAM/B,SAASC,GAAiBC,GAGxB,OAFAN,GAAMA,IAAO10D,SAASC,cAAc,QAChC0xC,UAAYqjB,EAAO,iBAAqB,gBACrCN,GAAI/iB,UAAU1mC,QAAQ,SAAW,EAI1C,IAAIy5C,KAAuB/gC,GAAYoxC,IAAgB,GAEnD5L,KAA8BxlC,GAAYoxC,IAAgB,GAI1DE,GAAej1C,GAAO,SAAUxN,GAClC,IAAI6D,EAAKiyB,GAAM91B,GACf,OAAO6D,GAAMA,EAAGs7B,aAGdujB,GAAQt/C,GAAIpX,UAAU0X,OAC1BN,GAAIpX,UAAU0X,OAAS,SACrBG,EACAwgB,GAKA,IAHAxgB,EAAKA,GAAMiyB,GAAMjyB,MAGNrW,SAASoV,MAAQiB,IAAOrW,SAASm1D,gBAI1C,OAAOhpD,KAGT,IAAI3D,EAAU2D,KAAK0C,SAEnB,IAAKrG,EAAQiF,OAAQ,CACnB,IAAI04C,EAAW39C,EAAQ29C,SACvB,GAAIA,EACF,GAAwB,iBAAbA,EACkB,MAAvBA,EAASt7C,OAAO,KAClBs7C,EAAW8O,GAAa9O,QASrB,KAAIA,EAAS9O,SAMlB,OAAOlrC,KALPg6C,EAAWA,EAASxU,eAObt7B,IACT8vC,EAkCN,SAAuB9vC,GACrB,GAAIA,EAAG++C,UACL,OAAO/+C,EAAG++C,UAEV,IAAIC,EAAYr1D,SAASC,cAAc,OAEvC,OADAo1D,EAAU3zD,YAAY2U,EAAG8rC,WAAU,IAC5BkT,EAAU1jB,UAxCJ2jB,CAAaj/C,IAE1B,GAAI8vC,EAAU,CAER,EAIJ,IAAIjhB,EAAM4vB,GAAmB3O,EAAU,CACrCqD,mBAAmB,EACnB9E,qBAAsBA,GACtByE,4BAA6BA,GAC7BxG,WAAYn6C,EAAQm6C,WACpB4G,SAAU/gD,EAAQ+gD,UACjBp9C,MACCsB,EAASy3B,EAAIz3B,OACbC,EAAkBw3B,EAAIx3B,gBAC1BlF,EAAQiF,OAASA,EACjBjF,EAAQkF,gBAAkBA,GAS9B,OAAOwnD,GAAMx2D,KAAKyN,KAAMkK,EAAIwgB,IAiB9BjhB,GAAI0+C,QAAUQ,GAEC,c,oDCxtXf,IAAIS,EAGJA,EAAI,WACH,OAAOppD,KADJ,GAIJ,IAECopD,EAAIA,GAAK,IAAI9wD,SAAS,cAAb,GACR,MAAOlF,GAEc,iBAAX+D,SAAqBiyD,EAAIjyD,QAOrCjE,EAAOD,QAAUm2D,G,cClBjB,IAOIC,EACAC,EARAC,EAAUr2D,EAAOD,QAAU,GAU/B,SAASu2D,IACL,MAAM,IAAIj1D,MAAM,mCAEpB,SAASk1D,IACL,MAAM,IAAIl1D,MAAM,qCAsBpB,SAASm1D,EAAWC,GAChB,GAAIN,IAAqBh0D,WAErB,OAAOA,WAAWs0D,EAAK,GAG3B,IAAKN,IAAqBG,IAAqBH,IAAqBh0D,WAEhE,OADAg0D,EAAmBh0D,WACZA,WAAWs0D,EAAK,GAE3B,IAEI,OAAON,EAAiBM,EAAK,GAC/B,MAAMv2D,GACJ,IAEI,OAAOi2D,EAAiB92D,KAAK,KAAMo3D,EAAK,GAC1C,MAAMv2D,GAEJ,OAAOi2D,EAAiB92D,KAAKyN,KAAM2pD,EAAK,MAvCnD,WACG,IAEQN,EADsB,mBAAfh0D,WACYA,WAEAm0D,EAEzB,MAAOp2D,GACLi2D,EAAmBG,EAEvB,IAEQF,EADwB,mBAAjB30D,aACcA,aAEA80D,EAE3B,MAAOr2D,GACLk2D,EAAqBG,GAjB7B,GAwEA,IAEIG,EAFA54B,EAAQ,GACR64B,GAAW,EAEXC,GAAc,EAElB,SAASC,IACAF,GAAaD,IAGlBC,GAAW,EACPD,EAAaz3D,OACb6+B,EAAQ44B,EAAa3mD,OAAO+tB,GAE5B84B,GAAc,EAEd94B,EAAM7+B,QACN63D,KAIR,SAASA,IACL,IAAIH,EAAJ,CAGA,IAAI71D,EAAU01D,EAAWK,GACzBF,GAAW,EAGX,IADA,IAAI9tC,EAAMiV,EAAM7+B,OACV4pB,GAAK,CAGP,IAFA6tC,EAAe54B,EACfA,EAAQ,KACC84B,EAAa/tC,GACd6tC,GACAA,EAAaE,GAAYl4B,MAGjCk4B,GAAc,EACd/tC,EAAMiV,EAAM7+B,OAEhBy3D,EAAe,KACfC,GAAW,EAnEf,SAAyBI,GACrB,GAAIX,IAAuB30D,aAEvB,OAAOA,aAAas1D,GAGxB,IAAKX,IAAuBG,IAAwBH,IAAuB30D,aAEvE,OADA20D,EAAqB30D,aACdA,aAAas1D,GAExB,IAEWX,EAAmBW,GAC5B,MAAO72D,GACL,IAEI,OAAOk2D,EAAmB/2D,KAAK,KAAM03D,GACvC,MAAO72D,GAGL,OAAOk2D,EAAmB/2D,KAAKyN,KAAMiqD,KAgD7CC,CAAgBl2D,IAiBpB,SAASm2D,EAAKR,EAAKS,GACfpqD,KAAK2pD,IAAMA,EACX3pD,KAAKoqD,MAAQA,EAYjB,SAASt1C,KA5BTy0C,EAAQnnC,SAAW,SAAUunC,GACzB,IAAI7tC,EAAO,IAAIjJ,MAAMrY,UAAUrI,OAAS,GACxC,GAAIqI,UAAUrI,OAAS,EACnB,IAAK,IAAIF,EAAI,EAAGA,EAAIuI,UAAUrI,OAAQF,IAClC6pB,EAAK7pB,EAAI,GAAKuI,UAAUvI,GAGhC++B,EAAMv+B,KAAK,IAAI03D,EAAKR,EAAK7tC,IACJ,IAAjBkV,EAAM7+B,QAAiB03D,GACvBH,EAAWM,IASnBG,EAAK93D,UAAUu/B,IAAM,WACjB5xB,KAAK2pD,IAAIp+C,MAAM,KAAMvL,KAAKoqD,QAE9Bb,EAAQc,MAAQ,UAChBd,EAAQe,SAAU,EAClBf,EAAQ7wC,IAAM,GACd6wC,EAAQgB,KAAO,GACfhB,EAAQxrD,QAAU,GAClBwrD,EAAQiB,SAAW,GAInBjB,EAAQ1jD,GAAKiP,EACby0C,EAAQkB,YAAc31C,EACtBy0C,EAAQ3zC,KAAOd,EACfy0C,EAAQmB,IAAM51C,EACdy0C,EAAQoB,eAAiB71C,EACzBy0C,EAAQqB,mBAAqB91C,EAC7By0C,EAAQ/oD,KAAOsU,EACfy0C,EAAQsB,gBAAkB/1C,EAC1By0C,EAAQuB,oBAAsBh2C,EAE9By0C,EAAQt/B,UAAY,SAAU/0B,GAAQ,MAAO,IAE7Cq0D,EAAQ5X,QAAU,SAAUz8C,GACxB,MAAM,IAAIX,MAAM,qCAGpBg1D,EAAQwB,IAAM,WAAc,MAAO,KACnCxB,EAAQyB,MAAQ,SAAUjiD,GACtB,MAAM,IAAIxU,MAAM,mCAEpBg1D,EAAQ0B,MAAQ,WAAa,OAAO,I,6BCrLpC,EAAQ,IAER74D,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQi4D,UAOR,SAAmB1tD,EAAK9G,GACtB,IAAIy0D,EAAOt3D,SAASwoC,cAAc,kBAAkBp5B,OAAOzF,EAAK,KAAKyF,OAAOvM,IAE5E,GAAa,OAATy0D,EACF,MAAM,IAAI52D,MAAM,gCAAgC0O,OAAOvM,EAAK,QAAQuM,OAAOzF,IAG7E,IACE,OAAOsV,KAAKinC,MAAMqR,KAAKD,EAAK/0D,QAC5B,MAAOhD,GACP,MAAM,IAAImB,MAAM,iCAAiC0O,OAAOvM,EAAK,QAAQuM,OAAOzF,O,6BChBhFtK,EAAOD,QAAU,SAAUo4D,GACzB,IAAIj4C,EAAO,GAuDX,OArDAA,EAAK7a,SAAW,WACd,OAAOyH,KAAKmT,KAAI,SAAUO,GACxB,IAAI7O,EAsDV,SAAgC6O,EAAM23C,GACpC,IAAIxmD,EAAU6O,EAAK,IAAM,GAErB43C,EAAa53C,EAAK,GAEtB,IAAK43C,EACH,OAAOzmD,EAGT,GAAIwmD,GAAgC,mBAATE,KAAqB,CAC9C,IAAIC,GAWWC,EAXeH,EAa5BI,EAASH,KAAKI,SAASltD,mBAAmBqU,KAAKC,UAAU04C,MACzD75D,EAAO,+DAA+DqR,OAAOyoD,GAC1E,OAAOzoD,OAAOrR,EAAM,QAdrBg6D,EAAaN,EAAWO,QAAQ14C,KAAI,SAAU7W,GAChD,MAAO,iBAAiB2G,OAAOqoD,EAAWQ,YAAc,IAAI7oD,OAAO3G,EAAQ,UAE7E,MAAO,CAACuI,GAAS5B,OAAO2oD,GAAY3oD,OAAO,CAACuoD,IAAgBzrD,KAAK,MAOrE,IAAmB0rD,EAEbC,EACA95D,EAPJ,MAAO,CAACiT,GAAS9E,KAAK,MAvEJgsD,CAAuBr4C,EAAM23C,GAE3C,OAAI33C,EAAK,GACA,UAAUzQ,OAAOyQ,EAAK,GAAI,MAAMzQ,OAAO4B,EAAS,KAGlDA,KACN9E,KAAK,KAKVqT,EAAKnhB,EAAI,SAAUS,EAASs5D,EAAYC,GACf,iBAAZv5D,IAETA,EAAU,CAAC,CAAC,KAAMA,EAAS,MAG7B,IAAIw5D,EAAyB,GAE7B,GAAID,EACF,IAAK,IAAIh6D,EAAI,EAAGA,EAAI+N,KAAK7N,OAAQF,IAAK,CAEpC,IAAIoU,EAAKrG,KAAK/N,GAAG,GAEP,MAANoU,IACF6lD,EAAuB7lD,IAAM,GAKnC,IAAK,IAAI6iB,EAAK,EAAGA,EAAKx2B,EAAQP,OAAQ+2B,IAAM,CAC1C,IAAIxV,EAAO,GAAGzQ,OAAOvQ,EAAQw2B,IAEzB+iC,GAAUC,EAAuBx4C,EAAK,MAKtCs4C,IACGt4C,EAAK,GAGRA,EAAK,GAAK,GAAGzQ,OAAO+oD,EAAY,SAAS/oD,OAAOyQ,EAAK,IAFrDA,EAAK,GAAKs4C,GAMd54C,EAAK3gB,KAAKihB,MAIPN,I,6BC5DM,SAAS+4C,EAAcC,EAAUh5C,GAG9C,IAFA,IAAI22B,EAAS,GACTsiB,EAAY,GACPp6D,EAAI,EAAGA,EAAImhB,EAAKjhB,OAAQF,IAAK,CACpC,IAAIyhB,EAAON,EAAKnhB,GACZoU,EAAKqN,EAAK,GAIV44C,EAAO,CACTjmD,GAAI+lD,EAAW,IAAMn6D,EACrBg2C,IALQv0B,EAAK,GAMb64C,MALU74C,EAAK,GAMf+3C,UALc/3C,EAAK,IAOhB24C,EAAUhmD,GAGbgmD,EAAUhmD,GAAImmD,MAAM/5D,KAAK65D,GAFzBviB,EAAOt3C,KAAK45D,EAAUhmD,GAAM,CAAEA,GAAIA,EAAImmD,MAAO,CAACF,KAKlD,OAAOviB,E,+CCjBT,IAAI0iB,EAAkC,oBAAb54D,SAEzB,GAAqB,oBAAV64D,OAAyBA,QAC7BD,EACH,MAAM,IAAIl4D,MACV,2JAkBJ,IAAIo4D,EAAc,GAQdr3D,EAAOm3D,IAAgB54D,SAASyB,MAAQzB,SAAS+4D,qBAAqB,QAAQ,IAC9EC,EAAmB,KACnBC,EAAmB,EACnBC,GAAe,EACfj4C,EAAO,aACPzY,EAAU,KAKV2wD,EAA+B,oBAAd7yD,WAA6B,eAAeoW,KAAKpW,UAAU2d,UAAUzE,eAE3E,SAAS45C,EAAiBb,EAAUh5C,EAAM85C,EAAeC,GACtEJ,EAAeG,EAEf7wD,EAAU8wD,GAAY,GAEtB,IAAIpjB,EAASoiB,EAAaC,EAAUh5C,GAGpC,OAFAg6C,EAAerjB,GAER,SAAiBsjB,GAEtB,IADA,IAAIC,EAAY,GACPr7D,EAAI,EAAGA,EAAI83C,EAAO53C,OAAQF,IAAK,CACtC,IAAIyhB,EAAOq2B,EAAO93C,IACds7D,EAAWZ,EAAYj5C,EAAKrN,KACvBg3B,OACTiwB,EAAU76D,KAAK86D,GAEbF,EAEFD,EADArjB,EAASoiB,EAAaC,EAAUiB,IAGhCtjB,EAAS,GAEX,IAAS93C,EAAI,EAAGA,EAAIq7D,EAAUn7D,OAAQF,IAAK,CACzC,IAAIs7D,EACJ,GAAsB,KADlBA,EAAWD,EAAUr7D,IACZorC,KAAY,CACvB,IAAK,IAAIxM,EAAI,EAAGA,EAAI08B,EAASf,MAAMr6D,OAAQ0+B,IACzC08B,EAASf,MAAM37B,YAEV87B,EAAYY,EAASlnD,OAMpC,SAAS+mD,EAAgBrjB,GACvB,IAAK,IAAI93C,EAAI,EAAGA,EAAI83C,EAAO53C,OAAQF,IAAK,CACtC,IAAIyhB,EAAOq2B,EAAO93C,GACds7D,EAAWZ,EAAYj5C,EAAKrN,IAChC,GAAIknD,EAAU,CACZA,EAASlwB,OACT,IAAK,IAAIxM,EAAI,EAAGA,EAAI08B,EAASf,MAAMr6D,OAAQ0+B,IACzC08B,EAASf,MAAM37B,GAAGnd,EAAK84C,MAAM37B,IAE/B,KAAOA,EAAInd,EAAK84C,MAAMr6D,OAAQ0+B,IAC5B08B,EAASf,MAAM/5D,KAAK+6D,EAAS95C,EAAK84C,MAAM37B,KAEtC08B,EAASf,MAAMr6D,OAASuhB,EAAK84C,MAAMr6D,SACrCo7D,EAASf,MAAMr6D,OAASuhB,EAAK84C,MAAMr6D,YAEhC,CACL,IAAIq6D,EAAQ,GACZ,IAAS37B,EAAI,EAAGA,EAAInd,EAAK84C,MAAMr6D,OAAQ0+B,IACrC27B,EAAM/5D,KAAK+6D,EAAS95C,EAAK84C,MAAM37B,KAEjC87B,EAAYj5C,EAAKrN,IAAM,CAAEA,GAAIqN,EAAKrN,GAAIg3B,KAAM,EAAGmvB,MAAOA,KAK5D,SAASiB,IACP,IAAIC,EAAe75D,SAASC,cAAc,SAG1C,OAFA45D,EAAa54D,KAAO,WACpBQ,EAAKC,YAAYm4D,GACVA,EAGT,SAASF,EAAU10D,GACjB,IAAI+gB,EAAQrG,EACRk6C,EAAe75D,SAASwoC,cAAc,2BAA8BvjC,EAAIuN,GAAK,MAEjF,GAAIqnD,EAAc,CAChB,GAAIX,EAGF,OAAOj4C,EAOP44C,EAAavyB,WAAW2B,YAAY4wB,GAIxC,GAAIV,EAAS,CAEX,IAAIW,EAAab,IACjBY,EAAeb,IAAqBA,EAAmBY,KACvD5zC,EAAS+zC,EAAoBj3D,KAAK,KAAM+2D,EAAcC,GAAY,GAClEn6C,EAASo6C,EAAoBj3D,KAAK,KAAM+2D,EAAcC,GAAY,QAGlED,EAAeD,IACf5zC,EAASg0C,EAAWl3D,KAAK,KAAM+2D,GAC/Bl6C,EAAS,WACPk6C,EAAavyB,WAAW2B,YAAY4wB,IAMxC,OAFA7zC,EAAO/gB,GAEA,SAAsBg1D,GAC3B,GAAIA,EAAQ,CACV,GAAIA,EAAO7lB,MAAQnvC,EAAImvC,KACnB6lB,EAAOvB,QAAUzzD,EAAIyzD,OACrBuB,EAAOrC,YAAc3yD,EAAI2yD,UAC3B,OAEF5xC,EAAO/gB,EAAMg1D,QAEbt6C,KAKN,IACMu6C,EADFC,GACED,EAAY,GAET,SAAUl8C,EAAOo8C,GAEtB,OADAF,EAAUl8C,GAASo8C,EACZF,EAAUr3B,OAAOpW,SAASvgB,KAAK,QAI1C,SAAS6tD,EAAqBF,EAAc77C,EAAO2B,EAAQ1a,GACzD,IAAImvC,EAAMz0B,EAAS,GAAK1a,EAAImvC,IAE5B,GAAIylB,EAAaQ,WACfR,EAAaQ,WAAWhoB,QAAU8nB,EAAYn8C,EAAOo2B,OAChD,CACL,IAAIkmB,EAAUt6D,SAASquB,eAAe+lB,GAClC7C,EAAasoB,EAAatoB,WAC1BA,EAAWvzB,IAAQ67C,EAAa5wB,YAAYsI,EAAWvzB,IACvDuzB,EAAWjzC,OACbu7D,EAAa/wB,aAAawxB,EAAS/oB,EAAWvzB,IAE9C67C,EAAan4D,YAAY44D,IAK/B,SAASN,EAAYH,EAAc50D,GACjC,IAAImvC,EAAMnvC,EAAImvC,IACVskB,EAAQzzD,EAAIyzD,MACZd,EAAY3yD,EAAI2yD,UAiBpB,GAfIc,GACFmB,EAAax5D,aAAa,QAASq4D,GAEjClwD,EAAQ+xD,OACVV,EAAax5D,aA7JF,kBA6JyB4E,EAAIuN,IAGtColD,IAGFxjB,GAAO,mBAAqBwjB,EAAUI,QAAQ,GAAK,MAEnD5jB,GAAO,uDAAyDsjB,KAAKI,SAASltD,mBAAmBqU,KAAKC,UAAU04C,MAAgB,OAG9HiC,EAAaQ,WACfR,EAAaQ,WAAWhoB,QAAU+B,MAC7B,CACL,KAAOylB,EAAajoB,YAClBioB,EAAa5wB,YAAY4wB,EAAajoB,YAExCioB,EAAan4D,YAAY1B,SAASquB,eAAe+lB,O,gBC3NrD,IAAIomB,EAAwB,EAAQ,IAChCpyD,EAAW,EAAQ,IACnB1D,EAAW,EAAQ,KAIlB81D,GACHpyD,EAAS7J,OAAOC,UAAW,WAAYkG,EAAU,CAAEsH,QAAQ,K,6BCN7D,IAAIyuD,EAAkB,EAAQ,IAC1BC,EAAmB,EAAQ,KAC3B1/C,EAAY,EAAQ,IACpBtP,EAAsB,EAAQ,IAC9BivD,EAAiB,EAAQ,IAGzBC,EAAmBlvD,EAAoB2D,IACvC1D,EAAmBD,EAAoBuE,UAFtB,kBAcrB5Q,EAAOD,QAAUu7D,EAAe37C,MAAO,SAAS,SAAU67C,EAAUC,GAClEF,EAAiBzuD,KAAM,CACrBlL,KAhBiB,iBAiBjBE,OAAQs5D,EAAgBI,GACxB78C,MAAO,EACP88C,KAAMA,OAIP,WACD,IAAI3qD,EAAQxE,EAAiBQ,MACzBhL,EAASgP,EAAMhP,OACf25D,EAAO3qD,EAAM2qD,KACb98C,EAAQ7N,EAAM6N,QAClB,OAAK7c,GAAU6c,GAAS7c,EAAO7C,QAC7B6R,EAAMhP,YAASI,EACR,CAAEgB,WAAOhB,EAAW8wB,MAAM,IAEvB,QAARyoC,EAAuB,CAAEv4D,MAAOyb,EAAOqU,MAAM,GACrC,UAARyoC,EAAyB,CAAEv4D,MAAOpB,EAAO6c,GAAQqU,MAAM,GACpD,CAAE9vB,MAAO,CAACyb,EAAO7c,EAAO6c,IAASqU,MAAM,KAC7C,UAKHrX,EAAU+/C,UAAY//C,EAAUgE,MAGhC07C,EAAiB,QACjBA,EAAiB,UACjBA,EAAiB,Y,6BCnDjB,IAAIhgD,EAAI,EAAQ,IACZhX,EAAO,EAAQ,IAEnBgX,EAAE,CAAEvZ,OAAQ,SAAUsb,OAAO,EAAMvT,OAAQ,IAAIxF,OAASA,GAAQ,CAC9DA,KAAMA,K,gBCLR,IAAI6D,EAAc,EAAQ,GACtByzD,EAA6B,EAAQ,IACrC/yD,EAA2B,EAAQ,IACnCwyD,EAAkB,EAAQ,IAC1B/yD,EAAc,EAAQ,IACtB7D,EAAM,EAAQ,GACd2D,EAAiB,EAAQ,IAEzByzD,EAAiC18D,OAAO2J,yBAI5C9I,EAAQwI,EAAIL,EAAc0zD,EAAiC,SAAkCpzD,EAAGC,GAG9F,GAFAD,EAAI4yD,EAAgB5yD,GACpBC,EAAIJ,EAAYI,GAAG,GACfN,EAAgB,IAClB,OAAOyzD,EAA+BpzD,EAAGC,GACzC,MAAOrH,IACT,GAAIoD,EAAIgE,EAAGC,GAAI,OAAOG,GAA0B+yD,EAA2BpzD,EAAElJ,KAAKmJ,EAAGC,GAAID,EAAEC,M,gBClB7F,IAAIR,EAAQ,EAAQ,GAEhB8yD,EAAc,kBAEd7xD,EAAW,SAAU2yD,EAASC,GAChC,IAAI54D,EAAQxE,EAAKk1C,EAAUioB,IAC3B,OAAO34D,GAAS64D,GACZ74D,GAAS84D,IACW,mBAAbF,EAA0B7zD,EAAM6zD,KACrCA,IAGJloB,EAAY1qC,EAAS0qC,UAAY,SAAUqoB,GAC7C,OAAOj0D,OAAOi0D,GAAQn0D,QAAQizD,EAAa,KAAK56C,eAG9CzhB,EAAOwK,EAASxK,KAAO,GACvBs9D,EAAS9yD,EAAS8yD,OAAS,IAC3BD,EAAW7yD,EAAS6yD,SAAW,IAEnC/7D,EAAOD,QAAUmJ,G,gBCpBjB,IAAIjB,EAAQ,EAAQ,GAChBqS,EAAkB,EAAQ,GAC1B4hD,EAAa,EAAQ,IAErBC,EAAU7hD,EAAgB,WAE9Bta,EAAOD,QAAU,SAAUyY,GAIzB,OAAO0jD,GAAc,KAAOj0D,GAAM,WAChC,IAAIivD,EAAQ,GAKZ,OAJkBA,EAAMlxD,YAAc,IAC1Bm2D,GAAW,WACrB,MAAO,CAAEC,IAAK,IAE2B,IAApClF,EAAM1+C,GAAa4U,SAASgvC,S,cChBvCp8D,EAAOD,QAAU,SAAUgF,EAAIs3D,EAAar6D,GAC1C,KAAM+C,aAAcs3D,GAClB,MAAMt0D,UAAU,cAAgB/F,EAAOA,EAAO,IAAM,IAAM,cAC1D,OAAO+C,I,gBCHX,IAAIsW,EAAI,EAAQ,IACZpQ,EAAS,EAAQ,KAIrBoQ,EAAE,CAAEvZ,OAAQ,SAAU6H,MAAM,EAAME,OAAQ3K,OAAO+L,SAAWA,GAAU,CACpEA,OAAQA,K,gBCNV,IAAIxF,EAAW,EAAQ,GACnBH,EAAU,EAAQ,IAGlB62D,EAFkB,EAAQ,EAEhB7hD,CAAgB,WAI9Bta,EAAOD,QAAU,SAAUu8D,EAAer9D,GACxC,IAAIs9D,EASF,OAREj3D,EAAQg3D,KAGM,mBAFhBC,EAAID,EAAct2D,cAEau2D,IAAM58C,QAASra,EAAQi3D,EAAEp9D,WAC/CsG,EAAS82D,IAEN,QADVA,EAAIA,EAAEJ,MACUI,OAAIr6D,GAH+Cq6D,OAAIr6D,GAKlE,SAAWA,IAANq6D,EAAkB58C,MAAQ48C,GAAc,IAAXt9D,EAAe,EAAIA,K,6BCjBhE,IAAIoc,EAAI,EAAQ,IACZpT,EAAQ,EAAQ,GAChB3C,EAAU,EAAQ,IAClBG,EAAW,EAAQ,GACnBic,EAAW,EAAQ,IACnB86C,EAAW,EAAQ,IACnBC,EAAiB,EAAQ,KACzBC,EAAqB,EAAQ,IAC7BC,EAA+B,EAAQ,IACvCriD,EAAkB,EAAQ,GAC1B4hD,EAAa,EAAQ,IAErBU,EAAuBtiD,EAAgB,sBAOvCuiD,EAA+BX,GAAc,KAAOj0D,GAAM,WAC5D,IAAIivD,EAAQ,GAEZ,OADAA,EAAM0F,IAAwB,EACvB1F,EAAMnnD,SAAS,KAAOmnD,KAG3B4F,EAAkBH,EAA6B,UAE/CI,EAAqB,SAAUv0D,GACjC,IAAK/C,EAAS+C,GAAI,OAAO,EACzB,IAAIw0D,EAAax0D,EAAEo0D,GACnB,YAAsB16D,IAAf86D,IAA6BA,EAAa13D,EAAQkD,IAQ3D6S,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,QALpBgzD,IAAiCC,GAKK,CAClD/sD,OAAQ,SAAgBw7B,GACtB,IAGIxsC,EAAGk+D,EAAGh+D,EAAQ4pB,EAAKq0C,EAHnB10D,EAAIkZ,EAAS5U,MACbqwD,EAAIT,EAAmBl0D,EAAG,GAC1B9E,EAAI,EAER,IAAK3E,GAAK,EAAGE,EAASqI,UAAUrI,OAAQF,EAAIE,EAAQF,IAElD,GAAIg+D,EADJG,GAAW,IAAPn+D,EAAWyJ,EAAIlB,UAAUvI,IACF,CAEzB,GAAI2E,GADJmlB,EAAM2zC,EAASU,EAAEj+D,SAlCF,iBAmCiB,MAAM8I,UAlCT,kCAmC7B,IAAKk1D,EAAI,EAAGA,EAAIp0C,EAAKo0C,IAAKv5D,IAASu5D,KAAKC,GAAGT,EAAeU,EAAGz5D,EAAGw5D,EAAED,QAC7D,CACL,GAAIv5D,GAtCW,iBAsCY,MAAMqE,UArCJ,kCAsC7B00D,EAAeU,EAAGz5D,IAAKw5D,GAI3B,OADAC,EAAEl+D,OAASyE,EACJy5D,M,gBCzDX,IAAI74D,EAAS,EAAQ,GACjBmB,EAAW,EAAQ,GAEnB9E,EAAW2D,EAAO3D,SAElBy8D,EAAS33D,EAAS9E,IAAa8E,EAAS9E,EAASC,eAErDZ,EAAOD,QAAU,SAAUgF,GACzB,OAAOq4D,EAASz8D,EAASC,cAAcmE,GAAM,K,gBCR/C,IAAIuL,EAAQ,EAAQ,IAEhB+sD,EAAmBj4D,SAASC,SAGE,mBAAvBiL,EAAMlE,gBACfkE,EAAMlE,cAAgB,SAAUrH,GAC9B,OAAOs4D,EAAiBh+D,KAAK0F,KAIjC/E,EAAOD,QAAUuQ,EAAMlE,e,gBCXvB,IAAI3I,EAAO,EAAQ,IACfiO,EAAgB,EAAQ,IACxBgQ,EAAW,EAAQ,IACnB86C,EAAW,EAAQ,IACnBE,EAAqB,EAAQ,IAE7Bn9D,EAAO,GAAGA,KAGV+9D,EAAe,SAAUzsD,GAC3B,IAAI0sD,EAAiB,GAAR1sD,EACT2sD,EAAoB,GAAR3sD,EACZ4sD,EAAkB,GAAR5sD,EACV6sD,EAAmB,GAAR7sD,EACX8sD,EAAwB,GAAR9sD,EAChB+sD,EAAmB,GAAR/sD,GAAa8sD,EAC5B,OAAO,SAAUE,EAAOC,EAAY1lD,EAAM2lD,GASxC,IARA,IAOI76D,EAAOkE,EAPPoB,EAAIkZ,EAASm8C,GACb14D,EAAOuM,EAAclJ,GACrBw1D,EAAgBv6D,EAAKq6D,EAAY1lD,EAAM,GACvCnZ,EAASu9D,EAASr3D,EAAKlG,QACvB0f,EAAQ,EACRpb,EAASw6D,GAAkBrB,EAC3B56D,EAASy7D,EAASh6D,EAAOs6D,EAAO5+D,GAAUu+D,EAAYj6D,EAAOs6D,EAAO,QAAK37D,EAEvEjD,EAAS0f,EAAOA,IAAS,IAAIi/C,GAAYj/C,KAASxZ,KAEtDiC,EAAS42D,EADT96D,EAAQiC,EAAKwZ,GACiBA,EAAOnW,GACjCqI,GACF,GAAI0sD,EAAQz7D,EAAO6c,GAASvX,OACvB,GAAIA,EAAQ,OAAQyJ,GACvB,KAAK,EAAG,OAAO,EACf,KAAK,EAAG,OAAO3N,EACf,KAAK,EAAG,OAAOyb,EACf,KAAK,EAAGpf,EAAKF,KAAKyC,EAAQoB,QACrB,GAAIw6D,EAAU,OAAO,EAGhC,OAAOC,GAAiB,EAAIF,GAAWC,EAAWA,EAAW57D,IAIjE9B,EAAOD,QAAU,CAGf4F,QAAS23D,EAAa,GAGtBr9C,IAAKq9C,EAAa,GAGlB95B,OAAQ85B,EAAa,GAGrBre,KAAMqe,EAAa,GAGnBl7C,MAAOk7C,EAAa,GAGpBW,KAAMX,EAAa,GAGnBY,UAAWZ,EAAa,K,6BC9D1B,IAAIr1D,EAAQ,EAAQ,GAEpBjI,EAAOD,QAAU,SAAUyY,EAAaxK,GACtC,IAAImD,EAAS,GAAGqH,GAChB,QAASrH,GAAUlJ,GAAM,WAEvBkJ,EAAO9R,KAAK,KAAM2O,GAAY,WAAc,MAAM,GAAM,Q,gBCP5D,IAMIoQ,EAAOvT,EANPvG,EAAS,EAAQ,GACjBsgB,EAAY,EAAQ,KAEpByxC,EAAU/xD,EAAO+xD,QACjBiB,EAAWjB,GAAWA,EAAQiB,SAC9B6G,EAAK7G,GAAYA,EAAS6G,GAG1BA,EAEFtzD,GADAuT,EAAQ+/C,EAAGzxD,MAAM,MACD,GAAK0R,EAAM,GAClBwG,MACTxG,EAAQwG,EAAUxG,MAAM,iBACVA,EAAM,IAAM,MACxBA,EAAQwG,EAAUxG,MAAM,oBACbvT,EAAUuT,EAAM,IAI/Bpe,EAAOD,QAAU8K,IAAYA,G,gBCnB7B,IAAIzC,EAAW,EAAQ,GACnBg2D,EAAwB,EAAQ,KAChC5B,EAAW,EAAQ,IACnB/4D,EAAO,EAAQ,IACf46D,EAAoB,EAAQ,KAC5BC,EAA+B,EAAQ,KAEvCC,EAAS,SAAUC,EAASp3D,GAC9B0F,KAAK0xD,QAAUA,EACf1xD,KAAK1F,OAASA,IAGFpH,EAAOD,QAAU,SAAU0+D,EAAU54D,EAAIuS,EAAMsmD,EAAYC,GACvE,IACI5rC,EAAU6rC,EAAQjgD,EAAO1f,EAAQmI,EAAQgV,EAAMyiD,EAD/Cb,EAAgBv6D,EAAKoC,EAAIuS,EAAMsmD,EAAa,EAAI,GAGpD,GAAIC,EACF5rC,EAAW0rC,MACN,CAEL,GAAqB,mBADrBG,EAASP,EAAkBI,IACM,MAAM12D,UAAU,0BAEjD,GAAIq2D,EAAsBQ,GAAS,CACjC,IAAKjgD,EAAQ,EAAG1f,EAASu9D,EAASiC,EAASx/D,QAASA,EAAS0f,EAAOA,IAIlE,IAHAvX,EAASs3D,EACLV,EAAc51D,EAASy2D,EAAOJ,EAAS9/C,IAAQ,GAAIkgD,EAAK,IACxDb,EAAcS,EAAS9/C,MACbvX,aAAkBm3D,EAAQ,OAAOn3D,EAC/C,OAAO,IAAIm3D,GAAO,GAEtBxrC,EAAW6rC,EAAOv/D,KAAKo/D,GAIzB,IADAriD,EAAO2W,EAAS3W,OACPyiD,EAAOziD,EAAK/c,KAAK0zB,IAAWC,MAEnC,GAAqB,iBADrB5rB,EAASk3D,EAA6BvrC,EAAUirC,EAAea,EAAK37D,MAAOw7D,KAC1Ct3D,GAAUA,aAAkBm3D,EAAQ,OAAOn3D,EAC5E,OAAO,IAAIm3D,GAAO,KAGdxO,KAAO,SAAU3oD,GACvB,OAAO,IAAIm3D,GAAO,EAAMn3D,K,gBCzC1B,IAAI+zD,EAAwB,EAAQ,IAChC2D,EAAa,EAAQ,IAGrBzkD,EAFkB,EAAQ,EAEVC,CAAgB,eAEhCykD,EAAuE,aAAnDD,EAAW,WAAc,OAAOx3D,UAArB,IAUnCtH,EAAOD,QAAUo7D,EAAwB2D,EAAa,SAAU/5D,GAC9D,IAAIyD,EAAGwe,EAAK5f,EACZ,YAAclF,IAAP6C,EAAmB,YAAqB,OAAPA,EAAc,OAEM,iBAAhDiiB,EAXD,SAAUjiB,EAAIvB,GACzB,IACE,OAAOuB,EAAGvB,GACV,MAAOpC,KAQS49D,CAAOx2D,EAAItJ,OAAO6F,GAAKsV,IAA8B2M,EAEnE+3C,EAAoBD,EAAWt2D,GAEH,WAA3BpB,EAAS03D,EAAWt2D,KAAsC,mBAAZA,EAAEy2D,OAAuB,YAAc73D,I,gBCxB5F,IAAI0G,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IAGjCqvD,EAAe,SAAU4B,GAC3B,OAAO,SAAUrB,EAAOvc,GACtB,IAGI6d,EAAOC,EAHPC,EAAIr3D,OAAOiG,EAAuB4vD,IAClCyB,EAAWxxD,EAAUwzC,GACrBie,EAAOF,EAAEpgE,OAEb,OAAIqgE,EAAW,GAAKA,GAAYC,EAAaL,EAAoB,QAAKh9D,GACtEi9D,EAAQE,EAAEp7C,WAAWq7C,IACN,OAAUH,EAAQ,OAAUG,EAAW,IAAMC,IACtDH,EAASC,EAAEp7C,WAAWq7C,EAAW,IAAM,OAAUF,EAAS,MAC1DF,EAAoBG,EAAE7zD,OAAO8zD,GAAYH,EACzCD,EAAoBG,EAAEl7D,MAAMm7D,EAAUA,EAAW,GAA+BF,EAAS,OAAlCD,EAAQ,OAAU,IAA0B,QAI7Gn/D,EAAOD,QAAU,CAGfy/D,OAAQlC,GAAa,GAGrB9xD,OAAQ8xD,GAAa,K,6BCxBvB,IAAImC,EAA6B,GAAG7kD,qBAChC/R,EAA2B3J,OAAO2J,yBAGlC62D,EAAc72D,IAA6B42D,EAA2BpgE,KAAK,CAAEsgE,EAAG,GAAK,GAIzF5/D,EAAQwI,EAAIm3D,EAAc,SAA8BE,GACtD,IAAIr2D,EAAaV,EAAyBiE,KAAM8yD,GAChD,QAASr2D,GAAcA,EAAW1G,YAChC48D,G,gBCZJ,IAAIv3D,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChBrH,EAAgB,EAAQ,IAG5BZ,EAAOD,SAAWmI,IAAgBD,GAAM,WACtC,OAEQ,GAFD/I,OAAO0D,eAAehC,EAAc,OAAQ,IAAK,CACtDkC,IAAK,WAAc,OAAO,KACzB2E,M,gBCRL,IAAInD,EAAS,EAAQ,GACjB0E,EAAY,EAAQ,IAGpBsH,EAAQhM,EADC,uBACiB0E,EADjB,qBACmC,IAEhDhJ,EAAOD,QAAUuQ,G,gBCNjB,IAAIoL,EAAU,EAAQ,IAClBpL,EAAQ,EAAQ,KAEnBtQ,EAAOD,QAAU,SAAUyD,EAAKN,GAC/B,OAAOoN,EAAM9M,KAAS8M,EAAM9M,QAAiBtB,IAAVgB,EAAsBA,EAAQ,MAChE,WAAY,IAAI3D,KAAK,CACtBsL,QAAS,QACTzH,KAAMsY,EAAU,OAAS,SACzBmkD,UAAW,0C,gBCRb,IAAIr7D,EAAM,EAAQ,GACd42D,EAAkB,EAAQ,IAC1BxvD,EAAU,EAAQ,IAA+BA,QACjDwE,EAAa,EAAQ,IAEzBpQ,EAAOD,QAAU,SAAU4D,EAAQm8D,GACjC,IAGIt8D,EAHAgF,EAAI4yD,EAAgBz3D,GACpB5E,EAAI,EACJqI,EAAS,GAEb,IAAK5D,KAAOgF,GAAIhE,EAAI4L,EAAY5M,IAAQgB,EAAIgE,EAAGhF,IAAQ4D,EAAO7H,KAAKiE,GAEnE,KAAOs8D,EAAM7gE,OAASF,GAAOyF,EAAIgE,EAAGhF,EAAMs8D,EAAM/gE,SAC7C6M,EAAQxE,EAAQ5D,IAAQ4D,EAAO7H,KAAKiE,IAEvC,OAAO4D,I,gBCfT,IAAIg0D,EAAkB,EAAQ,IAC1BoB,EAAW,EAAQ,IACnBuD,EAAkB,EAAQ,KAG1BzC,EAAe,SAAU0C,GAC3B,OAAO,SAAUnC,EAAO7mD,EAAIipD,GAC1B,IAGI/8D,EAHAsF,EAAI4yD,EAAgByC,GACpB5+D,EAASu9D,EAASh0D,EAAEvJ,QACpB0f,EAAQohD,EAAgBE,EAAWhhE,GAIvC,GAAI+gE,GAAehpD,GAAMA,GAAI,KAAO/X,EAAS0f,GAG3C,IAFAzb,EAAQsF,EAAEmW,OAEGzb,EAAO,OAAO,OAEtB,KAAMjE,EAAS0f,EAAOA,IAC3B,IAAKqhD,GAAerhD,KAASnW,IAAMA,EAAEmW,KAAW3H,EAAI,OAAOgpD,GAAerhD,GAAS,EACnF,OAAQqhD,IAAgB,IAI9BhgE,EAAOD,QAAU,CAGfmgE,SAAU5C,GAAa,GAGvB1xD,QAAS0xD,GAAa,K,cC9BxBv9D,EAAQwI,EAAIrJ,OAAOihE,uB,gBCAnB,IAAIC,EAAqB,EAAQ,IAC7BtnD,EAAc,EAAQ,IAI1B9Y,EAAOD,QAAUb,OAAO2b,MAAQ,SAAcrS,GAC5C,OAAO43D,EAAmB53D,EAAGsQ,K,6BCJ/B9Y,EAAOD,QAAU,SAAc8F,EAAI8B,GACjC,OAAO,WAEL,IADA,IAAIihB,EAAO,IAAIjJ,MAAMrY,UAAUrI,QACtBF,EAAI,EAAGA,EAAI6pB,EAAK3pB,OAAQF,IAC/B6pB,EAAK7pB,GAAKuI,UAAUvI,GAEtB,OAAO8G,EAAGwS,MAAM1Q,EAASihB,M,6BCN7B,IAAIy3C,EAAQ,EAAQ,GAEpB,SAASC,EAAO/6D,GACd,OAAOgG,mBAAmBhG,GACxBuC,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KAUrB9H,EAAOD,QAAU,SAAkB+K,EAAKC,EAAQw1D,GAE9C,IAAKx1D,EACH,OAAOD,EAGT,IAAI01D,EACJ,GAAID,EACFC,EAAmBD,EAAiBx1D,QAC/B,GAAIs1D,EAAMv5D,kBAAkBiE,GACjCy1D,EAAmBz1D,EAAO1F,eACrB,CACL,IAAIi0D,EAAQ,GAEZ+G,EAAM16D,QAAQoF,GAAQ,SAAmBxF,EAAK/B,GACxC+B,UAIA86D,EAAM/6D,QAAQC,GAChB/B,GAAY,KAEZ+B,EAAM,CAACA,GAGT86D,EAAM16D,QAAQJ,GAAK,SAAoBwZ,GACjCshD,EAAM55D,OAAOsY,GACfA,EAAIA,EAAE0hD,cACGJ,EAAM56D,SAASsZ,KACxBA,EAAIa,KAAKC,UAAUd,IAErBu6C,EAAM/5D,KAAK+gE,EAAO98D,GAAO,IAAM88D,EAAOvhD,WAI1CyhD,EAAmBlH,EAAMzsD,KAAK,KAGhC,GAAI2zD,EAAkB,CACpB,IAAIE,EAAgB51D,EAAIc,QAAQ,MACT,IAAnB80D,IACF51D,EAAMA,EAAI3G,MAAM,EAAGu8D,IAGrB51D,KAA8B,IAAtBA,EAAIc,QAAQ,KAAc,IAAM,KAAO40D,EAGjD,OAAO11D,I,6BCnET9K,EAAOD,QAAU,SAAkBmD,GACjC,SAAUA,IAASA,EAAMy9D,c,8BCH3B,YAEA,IAAIN,EAAQ,EAAQ,GAChBO,EAAsB,EAAQ,KAE9BC,EAAuB,CACzB,eAAgB,qCAGlB,SAASC,EAAsBppD,EAASxU,IACjCm9D,EAAM76D,YAAYkS,IAAY2oD,EAAM76D,YAAYkS,EAAQ,mBAC3DA,EAAQ,gBAAkBxU,GAgB9B,IAXM69D,EAWF7oD,EAAW,CACb6oD,UAX8B,oBAAnBC,qBAGmB,IAAZ3K,GAAuE,qBAA5Cn3D,OAAOC,UAAUkG,SAAShG,KAAKg3D,MAD1E0K,EAAU,EAAQ,KAKbA,GAMPE,iBAAkB,CAAC,SAA0BviE,EAAMgZ,GAGjD,OAFAkpD,EAAoBlpD,EAAS,UAC7BkpD,EAAoBlpD,EAAS,gBACzB2oD,EAAMp6D,WAAWvH,IACnB2hE,EAAMv6D,cAAcpH,IACpB2hE,EAAMt6D,SAASrH,IACf2hE,EAAMz5D,SAASlI,IACf2hE,EAAM35D,OAAOhI,IACb2hE,EAAM15D,OAAOjI,GAENA,EAEL2hE,EAAMl6D,kBAAkBzH,GACnBA,EAAK4H,OAEV+5D,EAAMv5D,kBAAkBpI,IAC1BoiE,EAAsBppD,EAAS,mDACxBhZ,EAAK2G,YAEVg7D,EAAM56D,SAAS/G,IACjBoiE,EAAsBppD,EAAS,kCACxBkI,KAAKC,UAAUnhB,IAEjBA,IAGTwiE,kBAAmB,CAAC,SAA2BxiE,GAE7C,GAAoB,iBAATA,EACT,IACEA,EAAOkhB,KAAKinC,MAAMnoD,GAClB,MAAOwB,IAEX,OAAOxB,IAOToC,QAAS,EAETqgE,eAAgB,aAChBC,eAAgB,eAEhBC,kBAAmB,EAEnBC,eAAgB,SAAwBvsD,GACtC,OAAOA,GAAU,KAAOA,EAAS,MAIrCmD,EAASR,QAAU,CACjB6pD,OAAQ,CACN,OAAU,sCAIdlB,EAAM16D,QAAQ,CAAC,SAAU,MAAO,SAAS,SAA6BwL,GACpE+G,EAASR,QAAQvG,GAAU,MAG7BkvD,EAAM16D,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BwL,GACrE+G,EAASR,QAAQvG,GAAUkvD,EAAMl5D,MAAM05D,MAGzC7gE,EAAOD,QAAUmY,I,+CC9FjB,IAAImoD,EAAQ,EAAQ,GAChBmB,EAAS,EAAQ,KACjBC,EAAW,EAAQ,IACnBC,EAAgB,EAAQ,KACxBC,EAAe,EAAQ,KACvBC,EAAkB,EAAQ,KAC1BC,EAAc,EAAQ,IAE1B7hE,EAAOD,QAAU,SAAoB2L,GACnC,OAAO,IAAIpL,SAAQ,SAA4BC,EAASC,GACtD,IAAIshE,EAAcp2D,EAAOhN,KACrBqjE,EAAiBr2D,EAAOgM,QAExB2oD,EAAMp6D,WAAW67D,WACZC,EAAe,gBAGxB,IAAI9/D,EAAU,IAAI++D,eAGlB,GAAIt1D,EAAOs2D,KAAM,CACf,IAAIC,EAAWv2D,EAAOs2D,KAAKC,UAAY,GACnCC,EAAWx2D,EAAOs2D,KAAKE,UAAY,GACvCH,EAAeI,cAAgB,SAAW9J,KAAK4J,EAAW,IAAMC,GAGlE,IAAIE,EAAWV,EAAch2D,EAAO22D,QAAS32D,EAAOZ,KA4EpD,GA3EA7I,EAAQiY,KAAKxO,EAAOyF,OAAO4P,cAAe0gD,EAASW,EAAU12D,EAAOX,OAAQW,EAAO60D,mBAAmB,GAGtGt+D,EAAQnB,QAAU4K,EAAO5K,QAGzBmB,EAAQqgE,mBAAqB,WAC3B,GAAKrgE,GAAkC,IAAvBA,EAAQsgE,aAQD,IAAnBtgE,EAAQ8S,QAAkB9S,EAAQugE,aAAwD,IAAzCvgE,EAAQugE,YAAY52D,QAAQ,UAAjF,CAKA,IAAI62D,EAAkB,0BAA2BxgE,EAAU0/D,EAAa1/D,EAAQygE,yBAA2B,KAEvGC,EAAW,CACbjkE,KAFkBgN,EAAOk3D,cAAwC,SAAxBl3D,EAAOk3D,aAAiD3gE,EAAQ0gE,SAA/B1gE,EAAQ4gE,aAGlF9tD,OAAQ9S,EAAQ8S,OAChB+tD,WAAY7gE,EAAQ6gE,WACpBprD,QAAS+qD,EACT/2D,OAAQA,EACRzJ,QAASA,GAGXu/D,EAAOjhE,EAASC,EAAQmiE,GAGxB1gE,EAAU,OAIZA,EAAQ8gE,QAAU,WACX9gE,IAILzB,EAAOqhE,EAAY,kBAAmBn2D,EAAQ,eAAgBzJ,IAG9DA,EAAU,OAIZA,EAAQV,QAAU,WAGhBf,EAAOqhE,EAAY,gBAAiBn2D,EAAQ,KAAMzJ,IAGlDA,EAAU,MAIZA,EAAQ+gE,UAAY,WAClB,IAAIC,EAAsB,cAAgBv3D,EAAO5K,QAAU,cACvD4K,EAAOu3D,sBACTA,EAAsBv3D,EAAOu3D,qBAE/BziE,EAAOqhE,EAAYoB,EAAqBv3D,EAAQ,eAC9CzJ,IAGFA,EAAU,MAMRo+D,EAAMr5D,uBAAwB,CAChC,IAAIk8D,EAAU,EAAQ,KAGlBC,GAAaz3D,EAAO03D,iBAAmBxB,EAAgBQ,KAAc12D,EAAOy1D,eAC9E+B,EAAQG,KAAK33D,EAAOy1D,qBACpBj/D,EAEEihE,IACFpB,EAAer2D,EAAO01D,gBAAkB+B,GAuB5C,GAlBI,qBAAsBlhE,GACxBo+D,EAAM16D,QAAQo8D,GAAgB,SAA0Bx8D,EAAK/B,QAChC,IAAhBs+D,GAAqD,iBAAtBt+D,EAAI2c,qBAErC4hD,EAAev+D,GAGtBvB,EAAQqhE,iBAAiB9/D,EAAK+B,MAM/B86D,EAAM76D,YAAYkG,EAAO03D,mBAC5BnhE,EAAQmhE,kBAAoB13D,EAAO03D,iBAIjC13D,EAAOk3D,aACT,IACE3gE,EAAQ2gE,aAAel3D,EAAOk3D,aAC9B,MAAO1iE,GAGP,GAA4B,SAAxBwL,EAAOk3D,aACT,MAAM1iE,EAM6B,mBAA9BwL,EAAO63D,oBAChBthE,EAAQqjB,iBAAiB,WAAY5Z,EAAO63D,oBAIP,mBAA5B73D,EAAO83D,kBAAmCvhE,EAAQwhE,QAC3DxhE,EAAQwhE,OAAOn+C,iBAAiB,WAAY5Z,EAAO83D,kBAGjD93D,EAAOg4D,aAETh4D,EAAOg4D,YAAYrjE,QAAQyU,MAAK,SAAoB6uD,GAC7C1hE,IAILA,EAAQ2hE,QACRpjE,EAAOmjE,GAEP1hE,EAAU,cAIMC,IAAhB4/D,IACFA,EAAc,MAIhB7/D,EAAQ4hE,KAAK/B,Q,6BC/KjB,IAAIgC,EAAe,EAAQ,KAY3B9jE,EAAOD,QAAU,SAAqBgC,EAAS2J,EAAQsiD,EAAM/rD,EAAS0gE,GACpE,IAAIvhE,EAAQ,IAAIC,MAAMU,GACtB,OAAO+hE,EAAa1iE,EAAOsK,EAAQsiD,EAAM/rD,EAAS0gE,K,6BCdpD,IAAItC,EAAQ,EAAQ,GAUpBrgE,EAAOD,QAAU,SAAqBgkE,EAASC,GAE7CA,EAAUA,GAAW,GACrB,IAAIt4D,EAAS,GAETu4D,EAAuB,CAAC,MAAO,SAAU,SAAU,QACnDC,EAA0B,CAAC,UAAW,OAAQ,SAC9CC,EAAuB,CACzB,UAAW,MAAO,mBAAoB,oBAAqB,mBAC3D,UAAW,kBAAmB,UAAW,eAAgB,iBACzD,iBAAkB,mBAAoB,qBACtC,mBAAoB,iBAAkB,eAAgB,YACtD,aAAc,cAAe,cAG/B9D,EAAM16D,QAAQs+D,GAAsB,SAA0Bj3C,QAC/B,IAAlBg3C,EAAQh3C,KACjBthB,EAAOshB,GAAQg3C,EAAQh3C,OAI3BqzC,EAAM16D,QAAQu+D,GAAyB,SAA6Bl3C,GAC9DqzC,EAAM56D,SAASu+D,EAAQh3C,IACzBthB,EAAOshB,GAAQqzC,EAAM94D,UAAUw8D,EAAQ/2C,GAAOg3C,EAAQh3C,SACpB,IAAlBg3C,EAAQh3C,GACxBthB,EAAOshB,GAAQg3C,EAAQh3C,GACdqzC,EAAM56D,SAASs+D,EAAQ/2C,IAChCthB,EAAOshB,GAAQqzC,EAAM94D,UAAUw8D,EAAQ/2C,SACL,IAAlB+2C,EAAQ/2C,KACxBthB,EAAOshB,GAAQ+2C,EAAQ/2C,OAI3BqzC,EAAM16D,QAAQw+D,GAAsB,SAA0Bn3C,QAC/B,IAAlBg3C,EAAQh3C,GACjBthB,EAAOshB,GAAQg3C,EAAQh3C,QACW,IAAlB+2C,EAAQ/2C,KACxBthB,EAAOshB,GAAQ+2C,EAAQ/2C,OAI3B,IAAIo3C,EAAYH,EACbl0D,OAAOm0D,GACPn0D,OAAOo0D,GAENE,EAAYnlE,OACb2b,KAAKmpD,GACLxgC,QAAO,SAAyBhgC,GAC/B,OAAmC,IAA5B4gE,EAAUx4D,QAAQpI,MAW7B,OARA68D,EAAM16D,QAAQ0+D,GAAW,SAAmCr3C,QAC7B,IAAlBg3C,EAAQh3C,GACjBthB,EAAOshB,GAAQg3C,EAAQh3C,QACW,IAAlB+2C,EAAQ/2C,KACxBthB,EAAOshB,GAAQ+2C,EAAQ/2C,OAIpBthB,I,6BC/DT,SAAS44D,EAAOviE,GACd+K,KAAK/K,QAAUA,EAGjBuiE,EAAOnlE,UAAUkG,SAAW,WAC1B,MAAO,UAAYyH,KAAK/K,QAAU,KAAO+K,KAAK/K,QAAU,KAG1DuiE,EAAOnlE,UAAUwhE,YAAa,EAE9B3gE,EAAOD,QAAUukE,G,6BCjBjB,IAAIjpD,EAAI,EAAQ,IACZ1V,EAAU,EAAQ,IAItB0V,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,OAAQ,GAAGlE,SAAWA,GAAW,CACjEA,QAASA,K,6BCNX,IAAI4+D,EAAW,EAAQ,IAAgC5+D,QACnD6+D,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElCC,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,WAI7CzkE,EAAOD,QAAY2kE,GAAkBC,EAEjC,GAAGh/D,QAFgD,SAAiBm4D,GACtE,OAAOyG,EAASz3D,KAAMgxD,EAAYx2D,UAAUrI,OAAS,EAAIqI,UAAU,QAAKpF,K,gBCX1E,IAAIyY,EAAU,EAAQ,IAItB3a,EAAOD,QAAU4f,MAAMra,SAAW,SAAiBimC,GACjD,MAAuB,SAAhB5wB,EAAQ4wB,K,gBCLjB,IAAItjC,EAAQ,EAAQ,GAEpBjI,EAAOD,UAAYb,OAAOihE,wBAA0Bl4D,GAAM,WAGxD,OAAQD,OAAOhF,c,gBCLjB,MAAM,0BAAEoY,GAA8B,EAAQ,IACxCwpD,EAAQ,EAAQ,IAIhBvmD,GAHNte,EAAUC,EAAOD,QAAU,IAGRse,GAAK,GAClBpd,EAAMlB,EAAQkB,IAAM,GACpBkC,EAAIpD,EAAQoD,EAAI,GACtB,IAAI0hE,EAAI,EAER,MAAMC,EAAc,CAAC9iE,EAAMkB,EAAO6hE,KAChC,MAAMpmD,EAAQkmD,IACdD,EAAMjmD,EAAOzb,GACbC,EAAEnB,GAAQ2c,EACV1d,EAAI0d,GAASzb,EACbmb,EAAGM,GAAS,IAAIhB,OAAOza,EAAO6hE,EAAW,SAAM7iE,IASjD4iE,EAAY,oBAAqB,eACjCA,EAAY,yBAA0B,UAMtCA,EAAY,uBAAwB,8BAKpCA,EAAY,cAAe,IAAI7jE,EAAIkC,EAAE6hE,0BACd/jE,EAAIkC,EAAE6hE,0BACN/jE,EAAIkC,EAAE6hE,uBAE7BF,EAAY,mBAAoB,IAAI7jE,EAAIkC,EAAE8hE,+BACdhkE,EAAIkC,EAAE8hE,+BACNhkE,EAAIkC,EAAE8hE,4BAKlCH,EAAY,uBAAwB,MAAM7jE,EAAIkC,EAAE6hE,sBAC5C/jE,EAAIkC,EAAE+hE,0BAEVJ,EAAY,4BAA6B,MAAM7jE,EAAIkC,EAAE8hE,2BACjDhkE,EAAIkC,EAAE+hE,0BAMVJ,EAAY,aAAc,QAAQ7jE,EAAIkC,EAAEgiE,8BAC/BlkE,EAAIkC,EAAEgiE,6BAEfL,EAAY,kBAAmB,SAAS7jE,EAAIkC,EAAEiiE,mCACrCnkE,EAAIkC,EAAEiiE,kCAKfN,EAAY,kBAAmB,iBAM/BA,EAAY,QAAS,UAAU7jE,EAAIkC,EAAEkiE,yBAC5BpkE,EAAIkC,EAAEkiE,wBAWfP,EAAY,YAAa,KAAK7jE,EAAIkC,EAAEmiE,eACjCrkE,EAAIkC,EAAEoiE,eACPtkE,EAAIkC,EAAEqiE,WAERV,EAAY,OAAQ,IAAI7jE,EAAIkC,EAAEsiE,eAK9BX,EAAY,aAAc,WAAW7jE,EAAIkC,EAAEuiE,oBACxCzkE,EAAIkC,EAAEwiE,oBACP1kE,EAAIkC,EAAEqiE,WAERV,EAAY,QAAS,IAAI7jE,EAAIkC,EAAEyiE,gBAE/Bd,EAAY,OAAQ,gBAKpBA,EAAY,wBAA4B7jE,EAAIkC,EAAE8hE,wBAAT,YACrCH,EAAY,mBAAuB7jE,EAAIkC,EAAE6hE,mBAAT,YAEhCF,EAAY,cAAe,YAAY7jE,EAAIkC,EAAE0iE,4BAChB5kE,EAAIkC,EAAE0iE,4BACN5kE,EAAIkC,EAAE0iE,wBACV5kE,EAAIkC,EAAEoiE,gBACVtkE,EAAIkC,EAAEqiE,eAG3BV,EAAY,mBAAoB,YAAY7jE,EAAIkC,EAAE2iE,iCAChB7kE,EAAIkC,EAAE2iE,iCACN7kE,EAAIkC,EAAE2iE,6BACV7kE,EAAIkC,EAAEwiE,qBACV1kE,EAAIkC,EAAEqiE,eAGhCV,EAAY,SAAU,IAAI7jE,EAAIkC,EAAE4iE,YAAY9kE,EAAIkC,EAAE6iE,iBAClDlB,EAAY,cAAe,IAAI7jE,EAAIkC,EAAE4iE,YAAY9kE,EAAIkC,EAAE8iE,sBAIvDnB,EAAY,SAAU,oBACI1pD,mBACIA,qBACAA,qBAE9B0pD,EAAY,YAAa7jE,EAAIkC,EAAE+iE,SAAS,GAIxCpB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAAS7jE,EAAIkC,EAAEgjE,kBAAkB,GAC1DpmE,EAAQqmE,iBAAmB,MAE3BtB,EAAY,QAAS,IAAI7jE,EAAIkC,EAAEgjE,aAAallE,EAAIkC,EAAE6iE,iBAClDlB,EAAY,aAAc,IAAI7jE,EAAIkC,EAAEgjE,aAAallE,EAAIkC,EAAE8iE,sBAIvDnB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAAS7jE,EAAIkC,EAAEkjE,kBAAkB,GAC1DtmE,EAAQumE,iBAAmB,MAE3BxB,EAAY,QAAS,IAAI7jE,EAAIkC,EAAEkjE,aAAaplE,EAAIkC,EAAE6iE,iBAClDlB,EAAY,aAAc,IAAI7jE,EAAIkC,EAAEkjE,aAAaplE,EAAIkC,EAAE8iE,sBAGvDnB,EAAY,kBAAmB,IAAI7jE,EAAIkC,EAAE4iE,aAAa9kE,EAAIkC,EAAEyiE,oBAC5Dd,EAAY,aAAc,IAAI7jE,EAAIkC,EAAE4iE,aAAa9kE,EAAIkC,EAAEsiE,mBAIvDX,EAAY,iBAAkB,SAAS7jE,EAAIkC,EAAE4iE,aACrC9kE,EAAIkC,EAAEyiE,eAAe3kE,EAAIkC,EAAE6iE,iBAAiB,GACpDjmE,EAAQwmE,sBAAwB,SAMhCzB,EAAY,cAAe,SAAS7jE,EAAIkC,EAAE6iE,0BAEnB/kE,EAAIkC,EAAE6iE,sBAG7BlB,EAAY,mBAAoB,SAAS7jE,EAAIkC,EAAE8iE,+BAEnBhlE,EAAIkC,EAAE8iE,2BAIlCnB,EAAY,OAAQ,mBAEpBA,EAAY,OAAQ,yBACpBA,EAAY,UAAW,4B,iBCrLvB,oBACqB,iBAAZzO,GACPA,EAAQ7wC,KACR6wC,EAAQ7wC,IAAIghD,YACZ,cAAcnpD,KAAKg5C,EAAQ7wC,IAAIghD,YAC7B,IAAI59C,IAAS7kB,QAAQ3C,MAAM,YAAawnB,GACxC,OAEJ5oB,EAAOD,QAAU6kE,I,kCCRjB,MAAMA,EAAQ,EAAQ,KAChB,WAAEzpD,EAAU,iBAAEH,GAAqB,EAAQ,KAC3C,GAAEqD,EAAE,EAAElb,GAAM,EAAQ,KAEpB,mBAAEsjE,GAAuB,EAAQ,KACvC,MAAMC,EACJ,YAAa77D,EAAS1B,GAOpB,GANKA,GAA8B,iBAAZA,IACrBA,EAAU,CACRw9D,QAASx9D,EACTy9D,mBAAmB,IAGnB/7D,aAAmB67D,EAAQ,CAC7B,GAAI77D,EAAQ87D,UAAYx9D,EAAQw9D,OAC5B97D,EAAQ+7D,sBAAwBz9D,EAAQy9D,kBAC1C,OAAO/7D,EAEPA,EAAUA,EAAQA,aAEf,GAAuB,iBAAZA,EAChB,MAAM,IAAI9C,UAAU,oBAAoB8C,GAG1C,GAAIA,EAAQ5L,OAASkc,EACnB,MAAM,IAAIpT,UACR,0BAA0BoT,gBAI9BypD,EAAM,SAAU/5D,EAAS1B,GACzB2D,KAAK3D,QAAUA,EACf2D,KAAK65D,QAAUx9D,EAAQw9D,MAGvB75D,KAAK85D,oBAAsBz9D,EAAQy9D,kBAEnC,MAAMrkE,EAAIsI,EAAQjD,OAAOwW,MAAMjV,EAAQw9D,MAAQtoD,EAAGlb,EAAE0jE,OAASxoD,EAAGlb,EAAE2jE,OAElE,IAAKvkE,EACH,MAAM,IAAIwF,UAAU,oBAAoB8C,GAU1C,GAPAiC,KAAK2a,IAAM5c,EAGXiC,KAAKi6D,OAASxkE,EAAE,GAChBuK,KAAKk6D,OAASzkE,EAAE,GAChBuK,KAAKytC,OAASh4C,EAAE,GAEZuK,KAAKi6D,MAAQ/rD,GAAoBlO,KAAKi6D,MAAQ,EAChD,MAAM,IAAIh/D,UAAU,yBAGtB,GAAI+E,KAAKk6D,MAAQhsD,GAAoBlO,KAAKk6D,MAAQ,EAChD,MAAM,IAAIj/D,UAAU,yBAGtB,GAAI+E,KAAKytC,MAAQv/B,GAAoBlO,KAAKytC,MAAQ,EAChD,MAAM,IAAIxyC,UAAU,yBAIjBxF,EAAE,GAGLuK,KAAKm6D,WAAa1kE,EAAE,GAAGmK,MAAM,KAAKuT,IAAK9M,IACrC,GAAI,WAAWkK,KAAKlK,GAAK,CACvB,MAAM+zD,GAAO/zD,EACb,GAAI+zD,GAAO,GAAKA,EAAMlsD,EACpB,OAAOksD,EAGX,OAAO/zD,IATTrG,KAAKm6D,WAAa,GAapBn6D,KAAKq6D,MAAQ5kE,EAAE,GAAKA,EAAE,GAAGmK,MAAM,KAAO,GACtCI,KAAKs6D,SAGP,SAKE,OAJAt6D,KAAKjC,QAAU,GAAGiC,KAAKi6D,SAASj6D,KAAKk6D,SAASl6D,KAAKytC,QAC/CztC,KAAKm6D,WAAWhoE,SAClB6N,KAAKjC,SAAW,IAAIiC,KAAKm6D,WAAWp6D,KAAK,MAEpCC,KAAKjC,QAGd,WACE,OAAOiC,KAAKjC,QAGd,QAASw8D,GAEP,GADAzC,EAAM,iBAAkB93D,KAAKjC,QAASiC,KAAK3D,QAASk+D,KAC9CA,aAAiBX,GAAS,CAC9B,GAAqB,iBAAVW,GAAsBA,IAAUv6D,KAAKjC,QAC9C,OAAO,EAETw8D,EAAQ,IAAIX,EAAOW,EAAOv6D,KAAK3D,SAGjC,OAAIk+D,EAAMx8D,UAAYiC,KAAKjC,QAClB,EAGFiC,KAAKw6D,YAAYD,IAAUv6D,KAAKy6D,WAAWF,GAGpD,YAAaA,GAKX,OAJMA,aAAiBX,IACrBW,EAAQ,IAAIX,EAAOW,EAAOv6D,KAAK3D,UAI/Bs9D,EAAmB35D,KAAKi6D,MAAOM,EAAMN,QACrCN,EAAmB35D,KAAKk6D,MAAOK,EAAML,QACrCP,EAAmB35D,KAAKytC,MAAO8sB,EAAM9sB,OAIzC,WAAY8sB,GAMV,GALMA,aAAiBX,IACrBW,EAAQ,IAAIX,EAAOW,EAAOv6D,KAAK3D,UAI7B2D,KAAKm6D,WAAWhoE,SAAWooE,EAAMJ,WAAWhoE,OAC9C,OAAQ,EACH,IAAK6N,KAAKm6D,WAAWhoE,QAAUooE,EAAMJ,WAAWhoE,OACrD,OAAO,EACF,IAAK6N,KAAKm6D,WAAWhoE,SAAWooE,EAAMJ,WAAWhoE,OACtD,OAAO,EAGT,IAAIF,EAAI,EACR,EAAG,CACD,MAAM0I,EAAIqF,KAAKm6D,WAAWloE,GACpB2I,EAAI2/D,EAAMJ,WAAWloE,GAE3B,GADA6lE,EAAM,qBAAsB7lE,EAAG0I,EAAGC,QACxBxF,IAANuF,QAAyBvF,IAANwF,EACrB,OAAO,EACF,QAAUxF,IAANwF,EACT,OAAO,EACF,QAAUxF,IAANuF,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAO++D,EAAmBh/D,EAAGC,WAEtB3I,GAGb,aAAcsoE,GACNA,aAAiBX,IACrBW,EAAQ,IAAIX,EAAOW,EAAOv6D,KAAK3D,UAGjC,IAAIpK,EAAI,EACR,EAAG,CACD,MAAM0I,EAAIqF,KAAKq6D,MAAMpoE,GACf2I,EAAI2/D,EAAMF,MAAMpoE,GAEtB,GADA6lE,EAAM,qBAAsB7lE,EAAG0I,EAAGC,QACxBxF,IAANuF,QAAyBvF,IAANwF,EACrB,OAAO,EACF,QAAUxF,IAANwF,EACT,OAAO,EACF,QAAUxF,IAANuF,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAO++D,EAAmBh/D,EAAGC,WAEtB3I,GAKb,IAAKyoE,EAASC,GACZ,OAAQD,GACN,IAAK,WACH16D,KAAKm6D,WAAWhoE,OAAS,EACzB6N,KAAKytC,MAAQ,EACbztC,KAAKk6D,MAAQ,EACbl6D,KAAKi6D,QACLj6D,KAAK46D,IAAI,MAAOD,GAChB,MACF,IAAK,WACH36D,KAAKm6D,WAAWhoE,OAAS,EACzB6N,KAAKytC,MAAQ,EACbztC,KAAKk6D,QACLl6D,KAAK46D,IAAI,MAAOD,GAChB,MACF,IAAK,WAIH36D,KAAKm6D,WAAWhoE,OAAS,EACzB6N,KAAK46D,IAAI,QAASD,GAClB36D,KAAK46D,IAAI,MAAOD,GAChB,MAGF,IAAK,aAC4B,IAA3B36D,KAAKm6D,WAAWhoE,QAClB6N,KAAK46D,IAAI,QAASD,GAEpB36D,KAAK46D,IAAI,MAAOD,GAChB,MAEF,IAAK,QAMc,IAAf36D,KAAKk6D,OACU,IAAfl6D,KAAKytC,OACsB,IAA3BztC,KAAKm6D,WAAWhoE,QAEhB6N,KAAKi6D,QAEPj6D,KAAKk6D,MAAQ,EACbl6D,KAAKytC,MAAQ,EACbztC,KAAKm6D,WAAa,GAClB,MACF,IAAK,QAKgB,IAAfn6D,KAAKytC,OAA0C,IAA3BztC,KAAKm6D,WAAWhoE,QACtC6N,KAAKk6D,QAEPl6D,KAAKytC,MAAQ,EACbztC,KAAKm6D,WAAa,GAClB,MACF,IAAK,QAK4B,IAA3Bn6D,KAAKm6D,WAAWhoE,QAClB6N,KAAKytC,QAEPztC,KAAKm6D,WAAa,GAClB,MAGF,IAAK,MACH,GAA+B,IAA3Bn6D,KAAKm6D,WAAWhoE,OAClB6N,KAAKm6D,WAAa,CAAC,OACd,CACL,IAAIloE,EAAI+N,KAAKm6D,WAAWhoE,OACxB,OAASF,GAAK,GACsB,iBAAvB+N,KAAKm6D,WAAWloE,KACzB+N,KAAKm6D,WAAWloE,KAChBA,GAAK,IAGE,IAAPA,GAEF+N,KAAKm6D,WAAW1nE,KAAK,GAGrBkoE,IAGE36D,KAAKm6D,WAAW,KAAOQ,EACrBn2D,MAAMxE,KAAKm6D,WAAW,MACxBn6D,KAAKm6D,WAAa,CAACQ,EAAY,IAGjC36D,KAAKm6D,WAAa,CAACQ,EAAY,IAGnC,MAEF,QACE,MAAM,IAAIpmE,MAAM,+BAA+BmmE,GAInD,OAFA16D,KAAKs6D,SACLt6D,KAAK2a,IAAM3a,KAAKjC,QACTiC,MAIX9M,EAAOD,QAAU2mE,G,6BChSjB,IAaI7qD,EAAmB8rD,EAAmCC,EAbtDrsD,EAAiB,EAAQ,IACzBzS,EAA8B,EAAQ,GACtCtE,EAAM,EAAQ,GACd8V,EAAkB,EAAQ,GAC1BoB,EAAU,EAAQ,IAElBK,EAAWzB,EAAgB,YAC3BwB,GAAyB,EAQzB,GAAGjB,OAGC,SAFN+sD,EAAgB,GAAG/sD,SAIjB8sD,EAAoCpsD,EAAeA,EAAeqsD,OACxB1oE,OAAOC,YAAW0c,EAAoB8rD,GAHlD7rD,GAAyB,GAOlC5Z,MAArB2Z,IAAgCA,EAAoB,IAGnDH,GAAYlX,EAAIqX,EAAmBE,IACtCjT,EAA4B+S,EAAmBE,GApBhC,WAAc,OAAOjP,QAuBtC9M,EAAOD,QAAU,CACf8b,kBAAmBA,EACnBC,uBAAwBA,I,gBCnC1B,IAAItX,EAAM,EAAQ,GACdkd,EAAW,EAAQ,IACnBvR,EAAY,EAAQ,IACpB03D,EAA2B,EAAQ,KAEnC5uD,EAAW9I,EAAU,YACrB23D,EAAkB5oE,OAAOC,UAI7Ba,EAAOD,QAAU8nE,EAA2B3oE,OAAOqc,eAAiB,SAAU/S,GAE5E,OADAA,EAAIkZ,EAASlZ,GACThE,EAAIgE,EAAGyQ,GAAkBzQ,EAAEyQ,GACH,mBAAjBzQ,EAAExC,aAA6BwC,aAAaA,EAAExC,YAChDwC,EAAExC,YAAY7G,UACdqJ,aAAatJ,OAAS4oE,EAAkB,O,gBCfnD,IAAI1/D,EAAW,EAAQ,GACnB2/D,EAAqB,EAAQ,KAMjC/nE,EAAOD,QAAUb,OAAOsc,iBAAmB,aAAe,GAAK,WAC7D,IAEI4O,EAFA49C,GAAiB,EACjB3qD,EAAO,GAEX,KACE+M,EAASlrB,OAAO2J,yBAAyB3J,OAAOC,UAAW,aAAa6Q,KACjE3Q,KAAKge,EAAM,IAClB2qD,EAAiB3qD,aAAgBsC,MACjC,MAAOve,IACT,OAAO,SAAwBoH,EAAG4U,GAKhC,OAJAhV,EAASI,GACTu/D,EAAmB3qD,GACf4qD,EAAgB59C,EAAO/qB,KAAKmJ,EAAG4U,GAC9B5U,EAAEihB,UAAYrM,EACZ5U,GAdoD,QAgBzDtG,I,gBCvBN,IAAIkO,EAAa,EAAQ,IACrB3K,EAAW,EAAQ,GACnBjB,EAAM,EAAQ,GACd5B,EAAiB,EAAQ,GAAuC2F,EAChE9D,EAAM,EAAQ,IACdwjE,EAAW,EAAQ,KAEnBC,EAAWzjE,EAAI,QACf0O,EAAK,EAEL4W,EAAe7qB,OAAO6qB,cAAgB,WACxC,OAAO,GAGLo+C,EAAc,SAAUpjE,GAC1BnC,EAAemC,EAAImjE,EAAU,CAAEhlE,MAAO,CACpCklE,SAAU,OAAQj1D,EAClBk1D,SAAU,OAoCVlY,EAAOnwD,EAAOD,QAAU,CAC1BuoE,UAAU,EACVC,QAlCY,SAAUxjE,EAAIxB,GAE1B,IAAKkC,EAASV,GAAK,MAAoB,iBAANA,EAAiBA,GAAmB,iBAANA,EAAiB,IAAM,KAAOA,EAC7F,IAAKP,EAAIO,EAAImjE,GAAW,CAEtB,IAAKn+C,EAAahlB,GAAK,MAAO,IAE9B,IAAKxB,EAAQ,MAAO,IAEpB4kE,EAAYpjE,GAEZ,OAAOA,EAAGmjE,GAAUE,UAwBtBI,YArBgB,SAAUzjE,EAAIxB,GAC9B,IAAKiB,EAAIO,EAAImjE,GAAW,CAEtB,IAAKn+C,EAAahlB,GAAK,OAAO,EAE9B,IAAKxB,EAAQ,OAAO,EAEpB4kE,EAAYpjE,GAEZ,OAAOA,EAAGmjE,GAAUG,UAatBI,SATa,SAAU1jE,GAEvB,OADIkjE,GAAY9X,EAAKmY,UAAYv+C,EAAahlB,KAAQP,EAAIO,EAAImjE,IAAWC,EAAYpjE,GAC9EA,IAUTqL,EAAW83D,IAAY,G,cC1DvBloE,EAAOD,QAAU,CACf2oE,YAAa,EACbC,oBAAqB,EACrBC,aAAc,EACdC,eAAgB,EAChBC,YAAa,EACbC,cAAe,EACfC,aAAc,EACdC,qBAAsB,EACtB9yD,SAAU,EACV+yD,kBAAmB,EACnBC,eAAgB,EAChBC,gBAAiB,EACjBC,kBAAmB,EACnBC,UAAW,EACXC,cAAe,EACfC,aAAc,EACdC,SAAU,EACVC,iBAAkB,EAClBC,OAAQ,EACRC,YAAa,EACbC,cAAe,EACfC,cAAe,EACfC,eAAgB,EAChBC,aAAc,EACdC,cAAe,EACfC,iBAAkB,EAClBC,iBAAkB,EAClBC,eAAgB,EAChBC,iBAAkB,EAClBC,cAAe,EACfC,UAAW,I,6BChCb,IAAIniE,EAAW,EAAQ,GAIvBpI,EAAOD,QAAU,WACf,IAAIqY,EAAOhQ,EAAS0E,MAChB1F,EAAS,GAOb,OANIgR,EAAK9T,SAAQ8C,GAAU,KACvBgR,EAAKoyD,aAAYpjE,GAAU,KAC3BgR,EAAKsG,YAAWtX,GAAU,KAC1BgR,EAAKqyD,SAAQrjE,GAAU,KACvBgR,EAAKsyD,UAAStjE,GAAU,KACxBgR,EAAKkG,SAAQlX,GAAU,KACpBA,I,8BCbT,IAAI2B,EAAW,EAAQ,IACnBX,EAAW,EAAQ,GACnBH,EAAQ,EAAQ,GAChBsW,EAAQ,EAAQ,IAGhBosD,EAAkBhtD,OAAOxe,UACzByrE,EAAiBD,EAAyB,SAE1CE,EAAc5iE,GAAM,WAAc,MAA2D,QAApD2iE,EAAevrE,KAAK,CAAE+J,OAAQ,IAAKmV,MAAO,SAEnFusD,EANY,YAMKF,EAAe5oE,MAIhC6oE,GAAeC,IACjB/hE,EAAS4U,OAAOxe,UAXF,YAWwB,WACpC,IAAI0lE,EAAIz8D,EAAS0E,MACb5L,EAAI8G,OAAO68D,EAAEz7D,QACb2hE,EAAKlG,EAAEtmD,MAEX,MAAO,IAAMrd,EAAI,IADT8G,YAAc9F,IAAP6oE,GAAoBlG,aAAalnD,UAAY,UAAWgtD,GAAmBpsD,EAAMlf,KAAKwlE,GAAKkG,KAEzG,CAAEp+D,QAAQ,K,6BCtBf,IAAInB,EAAS,EAAQ,IAAiCA,OAClDa,EAAsB,EAAQ,IAC9BivD,EAAiB,EAAQ,IAGzBC,EAAmBlvD,EAAoB2D,IACvC1D,EAAmBD,EAAoBuE,UAFrB,mBAMtB0qD,EAAetzD,OAAQ,UAAU,SAAUwzD,GACzCD,EAAiBzuD,KAAM,CACrBlL,KARkB,kBASlBq6D,OAAQj0D,OAAOwzD,GACf78C,MAAO,OAIR,WACD,IAGIqsD,EAHAl6D,EAAQxE,EAAiBQ,MACzBmvD,EAASnrD,EAAMmrD,OACft9C,EAAQ7N,EAAM6N,MAElB,OAAIA,GAASs9C,EAAOh9D,OAAe,CAAEiE,WAAOhB,EAAW8wB,MAAM,IAC7Dg4C,EAAQx/D,EAAOywD,EAAQt9C,GACvB7N,EAAM6N,OAASqsD,EAAM/rE,OACd,CAAEiE,MAAO8nE,EAAOh4C,MAAM,Q,6BC1B/B,IAAIi4C,EAAgC,EAAQ,KACxC7iE,EAAW,EAAQ,GACnBsZ,EAAW,EAAQ,IACnB86C,EAAW,EAAQ,IACnB1uD,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IACjCi9D,EAAqB,EAAQ,KAC7BC,EAAa,EAAQ,KAErB3gD,EAAMxlB,KAAKwlB,IACXzc,EAAM/I,KAAK+I,IACXsD,EAAQrM,KAAKqM,MACb+5D,EAAuB,4BACvBC,EAAgC,oBAOpCJ,EAA8B,UAAW,GAAG,SAAUK,EAAS1tD,EAAe2tD,EAAiBnwC,GAC7F,IAAIowC,EAA+CpwC,EAAOowC,6CACtDC,EAAmBrwC,EAAOqwC,iBAC1BC,EAAoBF,EAA+C,IAAM,KAE7E,MAAO,CAGL,SAAiBG,EAAaC,GAC5B,IAAIpjE,EAAIyF,EAAuBnB,MAC3B++D,EAA0B3pE,MAAfypE,OAA2BzpE,EAAYypE,EAAYL,GAClE,YAAoBppE,IAAb2pE,EACHA,EAASxsE,KAAKssE,EAAanjE,EAAGojE,GAC9BhuD,EAAcve,KAAK2I,OAAOQ,GAAImjE,EAAaC,IAIjD,SAAUE,EAAQF,GAChB,IACIJ,GAAgDC,GACzB,iBAAjBG,IAA0E,IAA7CA,EAAahgE,QAAQ8/D,GAC1D,CACA,IAAI/pD,EAAM4pD,EAAgB3tD,EAAekuD,EAAQh/D,KAAM8+D,GACvD,GAAIjqD,EAAIqR,KAAM,OAAOrR,EAAIze,MAG3B,IAAI6oE,EAAK3jE,EAAS0jE,GACdzM,EAAIr3D,OAAO8E,MAEXk/D,EAA4C,mBAAjBJ,EAC1BI,IAAmBJ,EAAe5jE,OAAO4jE,IAE9C,IAAItnE,EAASynE,EAAGznE,OAChB,GAAIA,EAAQ,CACV,IAAI2nE,EAAcF,EAAGrB,QACrBqB,EAAGhuD,UAAY,EAGjB,IADA,IAAImuD,EAAU,KACD,CACX,IAAI9kE,EAAS+jE,EAAWY,EAAI1M,GAC5B,GAAe,OAAXj4D,EAAiB,MAGrB,GADA8kE,EAAQ3sE,KAAK6H,IACR9C,EAAQ,MAGI,KADF0D,OAAOZ,EAAO,MACR2kE,EAAGhuD,UAAYmtD,EAAmB7L,EAAG7C,EAASuP,EAAGhuD,WAAYkuD,IAKpF,IAFA,IAtDwBlnE,EAsDpBonE,EAAoB,GACpBC,EAAqB,EAChBrtE,EAAI,EAAGA,EAAImtE,EAAQjtE,OAAQF,IAAK,CACvCqI,EAAS8kE,EAAQntE,GAUjB,IARA,IAAIstE,EAAUrkE,OAAOZ,EAAO,IACxBk4D,EAAW90C,EAAIzc,EAAID,EAAU1G,EAAOuX,OAAQ0gD,EAAEpgE,QAAS,GACvDqtE,EAAW,GAMN3uC,EAAI,EAAGA,EAAIv2B,EAAOnI,OAAQ0+B,IAAK2uC,EAAS/sE,UAlEzC2C,KADc6C,EAmE8CqC,EAAOu2B,IAlEvD54B,EAAKiD,OAAOjD,IAmEhC,IAAIwnE,EAAgBnlE,EAAOolE,OAC3B,GAAIR,EAAmB,CACrB,IAAIS,EAAe,CAACJ,GAASt8D,OAAOu8D,EAAUhN,EAAUD,QAClCn9D,IAAlBqqE,GAA6BE,EAAaltE,KAAKgtE,GACnD,IAAIxR,EAAc/yD,OAAO4jE,EAAavzD,WAAMnW,EAAWuqE,SAEvD1R,EAAc2R,EAAgBL,EAAShN,EAAGC,EAAUgN,EAAUC,EAAeX,GAE3EtM,GAAY8M,IACdD,GAAqB9M,EAAEl7D,MAAMioE,EAAoB9M,GAAYvE,EAC7DqR,EAAqB9M,EAAW+M,EAAQptE,QAG5C,OAAOktE,EAAoB9M,EAAEl7D,MAAMioE,KAKvC,SAASM,EAAgBL,EAASxkE,EAAKy3D,EAAUgN,EAAUC,EAAexR,GACxE,IAAI4R,EAAUrN,EAAW+M,EAAQptE,OAC7BsD,EAAI+pE,EAASrtE,OACb2tE,EAAUvB,EAKd,YAJsBnpE,IAAlBqqE,IACFA,EAAgB7qD,EAAS6qD,GACzBK,EAAUxB,GAELxtD,EAAcve,KAAK07D,EAAa6R,GAAS,SAAUxuD,EAAO49B,GAC/D,IAAIjsB,EACJ,OAAQisB,EAAGxwC,OAAO,IAChB,IAAK,IAAK,MAAO,IACjB,IAAK,IAAK,OAAO6gE,EACjB,IAAK,IAAK,OAAOxkE,EAAI1D,MAAM,EAAGm7D,GAC9B,IAAK,IAAK,OAAOz3D,EAAI1D,MAAMwoE,GAC3B,IAAK,IACH58C,EAAUw8C,EAAcvwB,EAAG73C,MAAM,GAAI,IACrC,MACF,QACE,IAAIT,GAAKs4C,EACT,GAAU,IAANt4C,EAAS,OAAO0a,EACpB,GAAI1a,EAAInB,EAAG,CACT,IAAIgG,EAAI8I,EAAM3N,EAAI,IAClB,OAAU,IAAN6E,EAAgB6V,EAChB7V,GAAKhG,OAA8BL,IAApBoqE,EAAS/jE,EAAI,GAAmByzC,EAAGxwC,OAAO,GAAK8gE,EAAS/jE,EAAI,GAAKyzC,EAAGxwC,OAAO,GACvF4S,EAET2R,EAAUu8C,EAAS5oE,EAAI,GAE3B,YAAmBxB,IAAZ6tB,EAAwB,GAAKA,U,gBCnI1C,IAAIzrB,EAAS,EAAQ,GACjBuoE,EAAe,EAAQ,IACvBC,EAAuB,EAAQ,IAC/BhkE,EAA8B,EAAQ,GACtCwR,EAAkB,EAAQ,GAE1ByB,EAAWzB,EAAgB,YAC3BD,EAAgBC,EAAgB,eAChCyyD,EAAcD,EAAqB3vD,OAEvC,IAAK,IAAI6vD,KAAmBH,EAAc,CACxC,IAAII,EAAa3oE,EAAO0oE,GACpBE,EAAsBD,GAAcA,EAAW9tE,UACnD,GAAI+tE,EAAqB,CAEvB,GAAIA,EAAoBnxD,KAAcgxD,EAAa,IACjDjkE,EAA4BokE,EAAqBnxD,EAAUgxD,GAC3D,MAAO3rE,GACP8rE,EAAoBnxD,GAAYgxD,EAKlC,GAHKG,EAAoB7yD,IACvBvR,EAA4BokE,EAAqB7yD,EAAe2yD,GAE9DH,EAAaG,GAAkB,IAAK,IAAIx0D,KAAes0D,EAEzD,GAAII,EAAoB10D,KAAiBs0D,EAAqBt0D,GAAc,IAC1E1P,EAA4BokE,EAAqB10D,EAAas0D,EAAqBt0D,IACnF,MAAOpX,GACP8rE,EAAoB10D,GAAes0D,EAAqBt0D,O,6BC3BhE,IAAI6C,EAAI,EAAQ,IACZ8xD,EAAW,EAAQ,IAA+BvhE,QAClD44D,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElC2I,EAAgB,GAAGxhE,QAEnByhE,IAAkBD,GAAiB,EAAI,CAAC,GAAGxhE,QAAQ,GAAI,GAAK,EAC5D84D,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,UAAW,CAAEhsD,WAAW,EAAMknD,EAAG,IAI9EtkD,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,OAAQwjE,IAAkB3I,IAAkBC,GAAkB,CAC9F/4D,QAAS,SAAiB0hE,GACxB,OAAOD,EAEHD,EAAc/0D,MAAMvL,KAAMxF,YAAc,EACxC6lE,EAASrgE,KAAMwgE,EAAehmE,UAAUrI,OAAS,EAAIqI,UAAU,QAAKpF,O,cCnB5ElC,EAAOD,QAAU,SAAUgF,GACzB,GAAiB,mBAANA,EACT,MAAMgD,UAAUC,OAAOjD,GAAM,sBAC7B,OAAOA,I,6BCFX,IAAIsD,EAAc,EAAQ,IACtBM,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC5I,EAAOD,QAAU,SAAU4D,EAAQH,EAAKN,GACtC,IAAIqqE,EAAcllE,EAAY7E,GAC1B+pE,KAAe5pE,EAAQgF,EAAqBJ,EAAE5E,EAAQ4pE,EAAa3kE,EAAyB,EAAG1F,IAC9FS,EAAO4pE,GAAerqE,I,gBCR7B,IAAIyX,EAAU,EAAQ,IAClBgB,EAAY,EAAQ,IAGpBI,EAFkB,EAAQ,EAEfzB,CAAgB,YAE/Bta,EAAOD,QAAU,SAAUgF,GACzB,GAAU7C,MAAN6C,EAAiB,OAAOA,EAAGgX,IAC1BhX,EAAG,eACH4W,EAAUhB,EAAQ5V,M,gBCTzB,IAAIq7D,EAAqB,EAAQ,IAG7BhwD,EAFc,EAAQ,IAEGL,OAAO,SAAU,aAI9ChQ,EAAQwI,EAAIrJ,OAAOkqB,qBAAuB,SAA6B5gB,GACrE,OAAO43D,EAAmB53D,EAAG4H,K,gBCR/B,IAAItC,EAAY,EAAQ,IAEpB0c,EAAMxlB,KAAKwlB,IACXzc,EAAM/I,KAAK+I,IAKf/N,EAAOD,QAAU,SAAU4e,EAAO1f,GAChC,IAAIuuE,EAAU1/D,EAAU6Q,GACxB,OAAO6uD,EAAU,EAAIhjD,EAAIgjD,EAAUvuE,EAAQ,GAAK8O,EAAIy/D,EAASvuE,K,6BCR/DC,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAEThE,OAAO0D,eAAe7C,EAAS,kBAAmB,CAChD8C,YAAY,EACZC,IAAK,WACH,OAAO2qE,EAAc71D,mBAGzB1Y,OAAO0D,eAAe7C,EAAS,uBAAwB,CACrD8C,YAAY,EACZC,IAAK,WACH,OAAO2qE,EAAcz1D,wBAGzB9Y,OAAO0D,eAAe7C,EAAS,iBAAkB,CAC/C8C,YAAY,EACZC,IAAK,WACH,OAAO4qE,EAAMC,kBAIjB,IAAIF,EAAgB,EAAQ,KAExBC,EAAQ,EAAQ,M,6BCzBpB,IAAIryD,EAAI,EAAQ,IACZuyD,EAAU,EAAQ,IAAgCpqC,OAClDm5B,EAA+B,EAAQ,IACvC8H,EAA0B,EAAQ,IAElCoJ,EAAsBlR,EAA6B,UAEnDgI,EAAiBF,EAAwB,UAK7CppD,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,QAASgkE,IAAwBlJ,GAAkB,CACnFnhC,OAAQ,SAAgBs6B,GACtB,OAAO8P,EAAQ9gE,KAAMgxD,EAAYx2D,UAAUrI,OAAS,EAAIqI,UAAU,QAAKpF,O,gBCf3E,IAAIuD,EAAW,EAAQ,GACnB+V,EAAiB,EAAQ,IAG7Bxb,EAAOD,QAAU,SAAU89D,EAAOiQ,EAAOC,GACvC,IAAIC,EAAWC,EAUf,OAPEzyD,GAE0C,mBAAlCwyD,EAAYF,EAAM9nE,cAC1BgoE,IAAcD,GACdtoE,EAASwoE,EAAqBD,EAAU7uE,YACxC8uE,IAAuBF,EAAQ5uE,WAC/Bqc,EAAeqiD,EAAOoQ,GACjBpQ,I,gBCfT,IAAI90D,EAAW,EAAQ,IAEvB/I,EAAOD,QAAU,SAAU+B,EAAQb,EAAKkI,GACtC,IAAK,IAAI3F,KAAOvC,EAAK8H,EAASjH,EAAQ0B,EAAKvC,EAAIuC,GAAM2F,GACrD,OAAOrH,I,6BCHT,IAAIoG,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChBimE,EAAa,EAAQ,IACrBC,EAA8B,EAAQ,IACtCxS,EAA6B,EAAQ,IACrCj6C,EAAW,EAAQ,IACnBhQ,EAAgB,EAAQ,IAExB08D,EAAelvE,OAAO+L,OACtBrI,EAAiB1D,OAAO0D,eAI5B5C,EAAOD,SAAWquE,GAAgBnmE,GAAM,WAEtC,GAAIC,GAQiB,IARFkmE,EAAa,CAAE1mE,EAAG,GAAK0mE,EAAaxrE,EAAe,GAAI,IAAK,CAC7EC,YAAY,EACZC,IAAK,WACHF,EAAekK,KAAM,IAAK,CACxB5J,MAAO,EACPL,YAAY,OAGd,CAAE6E,EAAG,KAAMA,EAAS,OAAO,EAE/B,IAAIy1D,EAAI,GACJkR,EAAI,GAEJ54C,EAASzyB,SAIb,OAFAm6D,EAAE1nC,GAAU,EADG,uBAEN/oB,MAAM,IAAI/G,SAAQ,SAAUunC,GAAOmhC,EAAEnhC,GAAOA,KACf,GAA/BkhC,EAAa,GAAIjR,GAAG1nC,IAHZ,wBAG4By4C,EAAWE,EAAa,GAAIC,IAAIxhE,KAAK,OAC7E,SAAgB/K,EAAQsH,GAM3B,IALA,IAAIklE,EAAI5sD,EAAS5f,GACbysE,EAAkBjnE,UAAUrI,OAC5B0f,EAAQ,EACRwhD,EAAwBgO,EAA4B5lE,EACpDqS,EAAuB+gD,EAA2BpzD,EAC/CgmE,EAAkB5vD,GAMvB,IALA,IAIInb,EAJA67D,EAAI3tD,EAAcpK,UAAUqX,MAC5B9D,EAAOslD,EAAwB+N,EAAW7O,GAAGtvD,OAAOowD,EAAsBd,IAAM6O,EAAW7O,GAC3FpgE,EAAS4b,EAAK5b,OACd0+B,EAAI,EAED1+B,EAAS0+B,GACdn6B,EAAMqX,EAAK8iB,KACNz1B,IAAe0S,EAAqBvb,KAAKggE,EAAG77D,KAAM8qE,EAAE9qE,GAAO67D,EAAE77D,IAEpE,OAAO8qE,GACPF,G,gBCnDJ,IAAII,EAAa,EAAQ,IAEzBxuE,EAAOD,QAAUyuE,EAAW,YAAa,cAAgB,I,gBCFzD,IAAItmE,EAAc,EAAQ,GACtBS,EAAuB,EAAQ,GAC/BP,EAAW,EAAQ,GACnB8lE,EAAa,EAAQ,IAIzBluE,EAAOD,QAAUmI,EAAchJ,OAAO2Z,iBAAmB,SAA0BrQ,EAAG4R,GACpFhS,EAASI,GAKT,IAJA,IAGIhF,EAHAqX,EAAOqzD,EAAW9zD,GAClBnb,EAAS4b,EAAK5b,OACd0f,EAAQ,EAEL1f,EAAS0f,GAAOhW,EAAqBJ,EAAEC,EAAGhF,EAAMqX,EAAK8D,KAAUvE,EAAW5W,IACjF,OAAOgF,I,gBCdT,IAAIgmE,EAAa,EAAQ,IAEzBxuE,EAAOD,QAAUyuE,EAAW,WAAY,oB,6BCDxC,IAAI3yD,EAAoB,EAAQ,IAA+BA,kBAC3DtY,EAAS,EAAQ,IACjBqF,EAA2B,EAAQ,IACnC6S,EAAiB,EAAQ,IACzBE,EAAY,EAAQ,IAEpBK,EAAa,WAAc,OAAOlP,MAEtC9M,EAAOD,QAAU,SAAUoc,EAAqBD,EAAME,GACpD,IAAI/B,EAAgB6B,EAAO,YAI3B,OAHAC,EAAoBhd,UAAYoE,EAAOsY,EAAmB,CAAEO,KAAMxT,EAAyB,EAAGwT,KAC9FX,EAAeU,EAAqB9B,GAAe,GAAO,GAC1DsB,EAAUtB,GAAiB2B,EACpBG,I,gBCdT,IAAI7B,EAAkB,EAAQ,GAC1BqB,EAAY,EAAQ,IAEpBI,EAAWzB,EAAgB,YAC3Bm0D,EAAiB9uD,MAAMxgB,UAG3Ba,EAAOD,QAAU,SAAUgF,GACzB,YAAc7C,IAAP6C,IAAqB4W,EAAUgE,QAAU5a,GAAM0pE,EAAe1yD,KAAchX,K,gBCRrF,IAAIqD,EAAW,EAAQ,GAGvBpI,EAAOD,QAAU,SAAUgzB,EAAUltB,EAAI3C,EAAOwrE,GAC9C,IACE,OAAOA,EAAU7oE,EAAGuC,EAASlF,GAAO,GAAIA,EAAM,IAAM2C,EAAG3C,GAEvD,MAAO9B,GACP,IAAIutE,EAAe57C,EAAiB,OAEpC,WADqB7wB,IAAjBysE,GAA4BvmE,EAASumE,EAAatvE,KAAK0zB,IACrD3xB,K,gBCVV,IAEI2a,EAFkB,EAAQ,EAEfzB,CAAgB,YAC3Bs0D,GAAe,EAEnB,IACE,IAAIjsD,EAAS,EACTksD,EAAqB,CACvBzyD,KAAM,WACJ,MAAO,CAAE4W,OAAQrQ,MAEnB,OAAU,WACRisD,GAAe,IAGnBC,EAAmB9yD,GAAY,WAC7B,OAAOjP,MAGT6S,MAAMhM,KAAKk7D,GAAoB,WAAc,MAAM,KACnD,MAAOztE,IAETpB,EAAOD,QAAU,SAAUsE,EAAMyqE,GAC/B,IAAKA,IAAiBF,EAAc,OAAO,EAC3C,IAAIG,GAAoB,EACxB,IACE,IAAIprE,EAAS,GACbA,EAAOoY,GAAY,WACjB,MAAO,CACLK,KAAM,WACJ,MAAO,CAAE4W,KAAM+7C,GAAoB,MAIzC1qE,EAAKV,GACL,MAAOvC,IACT,OAAO2tE,I,6BCnCT,IAAIP,EAAa,EAAQ,IACrB7lE,EAAuB,EAAQ,GAC/B2R,EAAkB,EAAQ,GAC1BpS,EAAc,EAAQ,GAEtBi0D,EAAU7hD,EAAgB,WAE9Bta,EAAOD,QAAU,SAAUivE,GACzB,IAAI3S,EAAcmS,EAAWQ,GACzBpsE,EAAiB+F,EAAqBJ,EAEtCL,GAAem0D,IAAgBA,EAAYF,IAC7Cv5D,EAAey5D,EAAaF,EAAS,CACnC3qD,cAAc,EACd1O,IAAK,WAAc,OAAOgK,U,6BCbhC,EAAQ,IACR,IAAI/D,EAAW,EAAQ,IACnBd,EAAQ,EAAQ,GAChBqS,EAAkB,EAAQ,GAC1B20D,EAAa,EAAQ,IACrBnmE,EAA8B,EAAQ,GAEtCqzD,EAAU7hD,EAAgB,WAE1B40D,GAAiCjnE,GAAM,WAIzC,IAAIoW,EAAK,IAMT,OALAA,EAAGha,KAAO,WACR,IAAI+C,EAAS,GAEb,OADAA,EAAOolE,OAAS,CAAE/kE,EAAG,KACdL,GAEyB,MAA3B,GAAGU,QAAQuW,EAAI,WAKpBotD,EACgC,OAA3B,IAAI3jE,QAAQ,IAAK,MAGtBwjE,EAAUhxD,EAAgB,WAE1BkxD,IACE,IAAIF,IAC6B,KAA5B,IAAIA,GAAS,IAAK,MAOzB6D,GAAqClnE,GAAM,WAC7C,IAAIoW,EAAK,OACL+wD,EAAe/wD,EAAGha,KACtBga,EAAGha,KAAO,WAAc,OAAO+qE,EAAa/2D,MAAMvL,KAAMxF,YACxD,IAAIF,EAAS,KAAKsF,MAAM2R,GACxB,OAAyB,IAAlBjX,EAAOnI,QAA8B,MAAdmI,EAAO,IAA4B,MAAdA,EAAO,MAG5DpH,EAAOD,QAAU,SAAU2c,EAAKzd,EAAQoF,EAAMyF,GAC5C,IAAIulE,EAAS/0D,EAAgBoC,GAEzB4yD,GAAuBrnE,GAAM,WAE/B,IAAIO,EAAI,GAER,OADAA,EAAE6mE,GAAU,WAAc,OAAO,GACZ,GAAd,GAAG3yD,GAAKlU,MAGb+mE,EAAoBD,IAAwBrnE,GAAM,WAEpD,IAAIunE,GAAa,EACbnxD,EAAK,IAkBT,MAhBY,UAAR3B,KAIF2B,EAAK,IAGFrY,YAAc,GACjBqY,EAAGrY,YAAYm2D,GAAW,WAAc,OAAO99C,GAC/CA,EAAGE,MAAQ,GACXF,EAAGgxD,GAAU,IAAIA,IAGnBhxD,EAAGha,KAAO,WAAiC,OAAnBmrE,GAAa,EAAa,MAElDnxD,EAAGgxD,GAAQ,KACHG,KAGV,IACGF,IACAC,GACQ,YAAR7yD,KACCwyD,IACAzD,GACCD,IAEM,UAAR9uD,IAAoByyD,EACrB,CACA,IAAIM,EAAqB,IAAIJ,GACzB5yD,EAAUpY,EAAKgrE,EAAQ,GAAG3yD,IAAM,SAAUgzD,EAAc5D,EAAQjkE,EAAK8nE,EAAMC,GAC7E,OAAI9D,EAAOznE,OAAS4qE,EACdK,IAAwBM,EAInB,CAAE58C,MAAM,EAAM9vB,MAAOusE,EAAmBpwE,KAAKysE,EAAQjkE,EAAK8nE,IAE5D,CAAE38C,MAAM,EAAM9vB,MAAOwsE,EAAarwE,KAAKwI,EAAKikE,EAAQ6D,IAEtD,CAAE38C,MAAM,KACd,CACDy4C,iBAAkBA,EAClBD,6CAA8CA,IAE5CqE,EAAepzD,EAAQ,GACvBqzD,EAAcrzD,EAAQ,GAE1B1T,EAASf,OAAO7I,UAAWud,EAAKmzD,GAChC9mE,EAAS4U,OAAOxe,UAAWkwE,EAAkB,GAAVpwE,EAG/B,SAAUg9D,EAAQ1wB,GAAO,OAAOukC,EAAYzwE,KAAK48D,EAAQnvD,KAAMy+B,IAG/D,SAAU0wB,GAAU,OAAO6T,EAAYzwE,KAAK48D,EAAQnvD,QAItDhD,GAAMhB,EAA4B6U,OAAOxe,UAAUkwE,GAAS,QAAQ,K,6BC1H1E,IAAI7jE,EAAS,EAAQ,IAAiCA,OAItDxL,EAAOD,QAAU,SAAUs/D,EAAG1gD,EAAO+rD,GACnC,OAAO/rD,GAAS+rD,EAAUl/D,EAAO6zD,EAAG1gD,GAAO1f,OAAS,K,gBCNtD,IAAI0b,EAAU,EAAQ,IAClBs0D,EAAa,EAAQ,IAIzBjvE,EAAOD,QAAU,SAAU8kE,EAAGxF,GAC5B,IAAIh7D,EAAOwgE,EAAExgE,KACb,GAAoB,mBAATA,EAAqB,CAC9B,IAAI+C,EAAS/C,EAAKhF,KAAKwlE,EAAGxF,GAC1B,GAAsB,iBAAXj4D,EACT,MAAMW,UAAU,sEAElB,OAAOX,EAGT,GAAmB,WAAfuT,EAAQkqD,GACV,MAAM98D,UAAU,+CAGlB,OAAOknE,EAAW5vE,KAAKwlE,EAAGxF,K,gBCnB5B,IAAI/6D,EAAS,EAAQ,GACjB8H,EAAgB,EAAQ,IAExBiE,EAAU/L,EAAO+L,QAErBrQ,EAAOD,QAA6B,mBAAZsQ,GAA0B,cAAcgN,KAAKjR,EAAciE,K,gBCLnF,IAAI7L,EAAM,EAAQ,GACdwhB,EAAU,EAAQ,KAClB+pD,EAAiC,EAAQ,IACzCpnE,EAAuB,EAAQ,GAEnC3I,EAAOD,QAAU,SAAU+B,EAAQsH,GAIjC,IAHA,IAAIyR,EAAOmL,EAAQ5c,GACfxG,EAAiB+F,EAAqBJ,EACtCM,EAA2BknE,EAA+BxnE,EACrDxJ,EAAI,EAAGA,EAAI8b,EAAK5b,OAAQF,IAAK,CACpC,IAAIyE,EAAMqX,EAAK9b,GACVyF,EAAI1C,EAAQ0B,IAAMZ,EAAed,EAAQ0B,EAAKqF,EAAyBO,EAAQ5F,O,gBCXxF,IAAIgrE,EAAa,EAAQ,IACrBwB,EAA4B,EAAQ,KACpC7B,EAA8B,EAAQ,IACtC/lE,EAAW,EAAQ,GAGvBpI,EAAOD,QAAUyuE,EAAW,UAAW,YAAc,SAAiBzpE,GACpE,IAAI8V,EAAOm1D,EAA0BznE,EAAEH,EAASrD,IAC5Co7D,EAAwBgO,EAA4B5lE,EACxD,OAAO43D,EAAwBtlD,EAAK9K,OAAOowD,EAAsBp7D,IAAO8V,I,gBCT1E,IAAIvW,EAAS,EAAQ,GAErBtE,EAAOD,QAAUuE,G,gBCFjBtE,EAAOD,QAAU,EAAQ,M,6BCEzB,IAAIsgE,EAAQ,EAAQ,GAChB58D,EAAO,EAAQ,IACfwsE,EAAQ,EAAQ,KAChBC,EAAc,EAAQ,IAS1B,SAASC,EAAeC,GACtB,IAAIrhE,EAAU,IAAIkhE,EAAMG,GACpBC,EAAW5sE,EAAKwsE,EAAM9wE,UAAU8C,QAAS8M,GAQ7C,OALAsxD,EAAM74D,OAAO6oE,EAAUJ,EAAM9wE,UAAW4P,GAGxCsxD,EAAM74D,OAAO6oE,EAAUthE,GAEhBshE,EAIT,IAAIC,EAAQH,EAtBG,EAAQ,KAyBvBG,EAAML,MAAQA,EAGdK,EAAM/sE,OAAS,SAAgBgtE,GAC7B,OAAOJ,EAAeD,EAAYI,EAAMp4D,SAAUq4D,KAIpDD,EAAMhM,OAAS,EAAQ,IACvBgM,EAAMx4D,YAAc,EAAQ,KAC5Bw4D,EAAMv4D,SAAW,EAAQ,IAGzBu4D,EAAMhuE,IAAM,SAAanC,GACvB,OAAOG,QAAQgC,IAAInC,IAErBmwE,EAAME,OAAS,EAAQ,KAEvBxwE,EAAOD,QAAUuwE,EAGjBtwE,EAAOD,QAAQ8R,QAAUy+D,G,6BClDzB,IAAIjQ,EAAQ,EAAQ,GAChBoB,EAAW,EAAQ,IACnBgP,EAAqB,EAAQ,KAC7BC,EAAkB,EAAQ,KAC1BR,EAAc,EAAQ,IAO1B,SAASD,EAAMM,GACbzjE,KAAKoL,SAAWq4D,EAChBzjE,KAAK6jE,aAAe,CAClB1uE,QAAS,IAAIwuE,EACb9N,SAAU,IAAI8N,GASlBR,EAAM9wE,UAAU8C,QAAU,SAAiByJ,GAGnB,iBAAXA,GACTA,EAASpE,UAAU,IAAM,IAClBwD,IAAMxD,UAAU,GAEvBoE,EAASA,GAAU,IAGrBA,EAASwkE,EAAYpjE,KAAKoL,SAAUxM,IAGzByF,OACTzF,EAAOyF,OAASzF,EAAOyF,OAAOgP,cACrBrT,KAAKoL,SAAS/G,OACvBzF,EAAOyF,OAASrE,KAAKoL,SAAS/G,OAAOgP,cAErCzU,EAAOyF,OAAS,MAIlB,IAAIy/D,EAAQ,CAACF,OAAiBxuE,GAC1B7B,EAAUC,QAAQC,QAAQmL,GAU9B,IARAoB,KAAK6jE,aAAa1uE,QAAQ0D,SAAQ,SAAoCkrE,GACpED,EAAM5pC,QAAQ6pC,EAAYC,UAAWD,EAAYE,aAGnDjkE,KAAK6jE,aAAahO,SAASh9D,SAAQ,SAAkCkrE,GACnED,EAAMrxE,KAAKsxE,EAAYC,UAAWD,EAAYE,aAGzCH,EAAM3xE,QACXoB,EAAUA,EAAQyU,KAAK87D,EAAMlxE,QAASkxE,EAAMlxE,SAG9C,OAAOW,GAGT4vE,EAAM9wE,UAAU6xE,OAAS,SAAgBtlE,GAEvC,OADAA,EAASwkE,EAAYpjE,KAAKoL,SAAUxM,GAC7B+1D,EAAS/1D,EAAOZ,IAAKY,EAAOX,OAAQW,EAAO60D,kBAAkBz4D,QAAQ,MAAO,KAIrFu4D,EAAM16D,QAAQ,CAAC,SAAU,MAAO,OAAQ,YAAY,SAA6BwL,GAE/E8+D,EAAM9wE,UAAUgS,GAAU,SAASrG,EAAKY,GACtC,OAAOoB,KAAK7K,QAAQo+D,EAAMl5D,MAAMuE,GAAU,GAAI,CAC5CyF,OAAQA,EACRrG,IAAKA,SAKXu1D,EAAM16D,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BwL,GAErE8+D,EAAM9wE,UAAUgS,GAAU,SAASrG,EAAKpM,EAAMgN,GAC5C,OAAOoB,KAAK7K,QAAQo+D,EAAMl5D,MAAMuE,GAAU,GAAI,CAC5CyF,OAAQA,EACRrG,IAAKA,EACLpM,KAAMA,SAKZsB,EAAOD,QAAUkwE,G,6BC3FjB,IAAI5P,EAAQ,EAAQ,GAEpB,SAASoQ,IACP3jE,KAAK4wB,SAAW,GAWlB+yC,EAAmBtxE,UAAU+jC,IAAM,SAAa4tC,EAAWC,GAKzD,OAJAjkE,KAAK4wB,SAASn+B,KAAK,CACjBuxE,UAAWA,EACXC,SAAUA,IAELjkE,KAAK4wB,SAASz+B,OAAS,GAQhCwxE,EAAmBtxE,UAAU8xE,MAAQ,SAAe99D,GAC9CrG,KAAK4wB,SAASvqB,KAChBrG,KAAK4wB,SAASvqB,GAAM,OAYxBs9D,EAAmBtxE,UAAUwG,QAAU,SAAiBE,GACtDw6D,EAAM16D,QAAQmH,KAAK4wB,UAAU,SAAwB9tB,GACzC,OAANA,GACF/J,EAAG+J,OAKT5P,EAAOD,QAAU0wE,G,6BCjDjB,IAAIpQ,EAAQ,EAAQ,GAChB6Q,EAAgB,EAAQ,KACxBn5D,EAAW,EAAQ,IACnBG,EAAW,EAAQ,IAKvB,SAASi5D,EAA6BzlE,GAChCA,EAAOg4D,aACTh4D,EAAOg4D,YAAY0N,mBAUvBpxE,EAAOD,QAAU,SAAyB2L,GA6BxC,OA5BAylE,EAA6BzlE,GAG7BA,EAAOgM,QAAUhM,EAAOgM,SAAW,GAGnChM,EAAOhN,KAAOwyE,EACZxlE,EAAOhN,KACPgN,EAAOgM,QACPhM,EAAOu1D,kBAITv1D,EAAOgM,QAAU2oD,EAAMl5D,MACrBuE,EAAOgM,QAAQ6pD,QAAU,GACzB71D,EAAOgM,QAAQhM,EAAOyF,SAAW,GACjCzF,EAAOgM,SAGT2oD,EAAM16D,QACJ,CAAC,SAAU,MAAO,OAAQ,OAAQ,MAAO,QAAS,WAClD,SAA2BwL,UAClBzF,EAAOgM,QAAQvG,OAIZzF,EAAOq1D,SAAW7oD,EAAS6oD,SAE1Br1D,GAAQoJ,MAAK,SAA6B6tD,GAUvD,OATAwO,EAA6BzlE,GAG7Bi3D,EAASjkE,KAAOwyE,EACdvO,EAASjkE,KACTikE,EAASjrD,QACThM,EAAOw1D,mBAGFyB,KACN,SAA4BvnC,GAc7B,OAbKrjB,EAASqjB,KACZ+1C,EAA6BzlE,GAGzB0vB,GAAUA,EAAOunC,WACnBvnC,EAAOunC,SAASjkE,KAAOwyE,EACrB91C,EAAOunC,SAASjkE,KAChB08B,EAAOunC,SAASjrD,QAChBhM,EAAOw1D,qBAKN5gE,QAAQE,OAAO46B,Q,6BC1E1B,IAAIilC,EAAQ,EAAQ,GAUpBrgE,EAAOD,QAAU,SAAuBrB,EAAMgZ,EAASuY,GAMrD,OAJAowC,EAAM16D,QAAQsqB,GAAK,SAAmBpqB,GACpCnH,EAAOmH,EAAGnH,EAAMgZ,MAGXhZ,I,6BChBT,IAAI2hE,EAAQ,EAAQ,GAEpBrgE,EAAOD,QAAU,SAA6B2X,EAASi8B,GACrD0sB,EAAM16D,QAAQ+R,GAAS,SAAuBxU,EAAOlB,GAC/CA,IAAS2xC,GAAkB3xC,EAAK+e,gBAAkB4yB,EAAe5yB,gBACnErJ,EAAQi8B,GAAkBzwC,SACnBwU,EAAQ1V,S,6BCNrB,IAAI6/D,EAAc,EAAQ,IAS1B7hE,EAAOD,QAAU,SAAgBQ,EAASC,EAAQmiE,GAChD,IAAIrB,EAAiBqB,EAASj3D,OAAO41D,gBAChCA,GAAkBA,EAAeqB,EAAS5tD,QAC7CxU,EAAQoiE,GAERniE,EAAOqhE,EACL,mCAAqCc,EAAS5tD,OAC9C4tD,EAASj3D,OACT,KACAi3D,EAAS1gE,QACT0gE,M,6BCTN3iE,EAAOD,QAAU,SAAsBqB,EAAOsK,EAAQsiD,EAAM/rD,EAAS0gE,GA4BnE,OA3BAvhE,EAAMsK,OAASA,EACXsiD,IACF5sD,EAAM4sD,KAAOA,GAGf5sD,EAAMa,QAAUA,EAChBb,EAAMuhE,SAAWA,EACjBvhE,EAAMiwE,cAAe,EAErBjwE,EAAMkwE,OAAS,WACb,MAAO,CAELvvE,QAAS+K,KAAK/K,QACdC,KAAM8K,KAAK9K,KAEXuvE,YAAazkE,KAAKykE,YAClBhhC,OAAQzjC,KAAKyjC,OAEbihC,SAAU1kE,KAAK0kE,SACfC,WAAY3kE,KAAK2kE,WACjBC,aAAc5kE,KAAK4kE,aACnBxqB,MAAOp6C,KAAKo6C,MAEZx7C,OAAQoB,KAAKpB,OACbsiD,KAAMlhD,KAAKkhD,OAGR5sD,I,6BCtCT,IAAIuwE,EAAgB,EAAQ,KACxBC,EAAc,EAAQ,KAW1B5xE,EAAOD,QAAU,SAAuBsiE,EAASwP,GAC/C,OAAIxP,IAAYsP,EAAcE,GACrBD,EAAYvP,EAASwP,GAEvBA,I,6BCVT7xE,EAAOD,QAAU,SAAuB+K,GAItC,MAAO,gCAAgCuS,KAAKvS,K,6BCH9C9K,EAAOD,QAAU,SAAqBsiE,EAASyP,GAC7C,OAAOA,EACHzP,EAAQv6D,QAAQ,OAAQ,IAAM,IAAMgqE,EAAYhqE,QAAQ,OAAQ,IAChEu6D,I,6BCVN,IAAIhC,EAAQ,EAAQ,GAIhB0R,EAAoB,CACtB,MAAO,gBAAiB,iBAAkB,eAAgB,OAC1D,UAAW,OAAQ,OAAQ,oBAAqB,sBAChD,gBAAiB,WAAY,eAAgB,sBAC7C,UAAW,cAAe,cAgB5B/xE,EAAOD,QAAU,SAAsB2X,GACrC,IACIlU,EACA+B,EACAxG,EAHAizE,EAAS,GAKb,OAAKt6D,GAEL2oD,EAAM16D,QAAQ+R,EAAQhL,MAAM,OAAO,SAAgBulE,GAKjD,GAJAlzE,EAAIkzE,EAAKrmE,QAAQ,KACjBpI,EAAM68D,EAAMz4D,KAAKqqE,EAAKC,OAAO,EAAGnzE,IAAIohB,cACpC5a,EAAM86D,EAAMz4D,KAAKqqE,EAAKC,OAAOnzE,EAAI,IAE7ByE,EAAK,CACP,GAAIwuE,EAAOxuE,IAAQuuE,EAAkBnmE,QAAQpI,IAAQ,EACnD,OAGAwuE,EAAOxuE,GADG,eAARA,GACawuE,EAAOxuE,GAAOwuE,EAAOxuE,GAAO,IAAIuM,OAAO,CAACxK,IAEzCysE,EAAOxuE,GAAOwuE,EAAOxuE,GAAO,KAAO+B,EAAMA,MAKtDysE,GAnBgBA,I,6BC9BzB,IAAI3R,EAAQ,EAAQ,GAEpBrgE,EAAOD,QACLsgE,EAAMr5D,uBAIJ,WACE,IAEImrE,EAFAC,EAAO,kBAAkB/0D,KAAKpW,UAAU2d,WACxCytD,EAAiB1xE,SAASC,cAAc,KAS5C,SAAS0xE,EAAWxnE,GAClB,IAAI6qD,EAAO7qD,EAWX,OATIsnE,IAEFC,EAAerxE,aAAa,OAAQ20D,GACpCA,EAAO0c,EAAe1c,MAGxB0c,EAAerxE,aAAa,OAAQ20D,GAG7B,CACLA,KAAM0c,EAAe1c,KACrBjrD,SAAU2nE,EAAe3nE,SAAW2nE,EAAe3nE,SAAS5C,QAAQ,KAAM,IAAM,GAChF6C,KAAM0nE,EAAe1nE,KACrB4nE,OAAQF,EAAeE,OAASF,EAAeE,OAAOzqE,QAAQ,MAAO,IAAM,GAC3EipB,KAAMshD,EAAethD,KAAOshD,EAAethD,KAAKjpB,QAAQ,KAAM,IAAM,GACpE0qE,SAAUH,EAAeG,SACzBC,KAAMJ,EAAeI,KACrBC,SAAiD,MAAtCL,EAAeK,SAASlnE,OAAO,GACxC6mE,EAAeK,SACf,IAAML,EAAeK,UAY3B,OARAP,EAAYG,EAAWruE,OAAOwG,SAASkrD,MAQhC,SAAyBgd,GAC9B,IAAIX,EAAU3R,EAAM95D,SAASosE,GAAeL,EAAWK,GAAcA,EACrE,OAAQX,EAAOtnE,WAAaynE,EAAUznE,UAClCsnE,EAAOrnE,OAASwnE,EAAUxnE,MAhDlC,GAsDS,WACL,OAAO,I,6BC9Df,IAAI01D,EAAQ,EAAQ,GAEpBrgE,EAAOD,QACLsgE,EAAMr5D,uBAIK,CACL0S,MAAO,SAAe1X,EAAMkB,EAAO0vE,EAAS7hE,EAAMuI,EAAQu5D,GACxD,IAAIC,EAAS,GACbA,EAAOvzE,KAAKyC,EAAO,IAAMuJ,mBAAmBrI,IAExCm9D,EAAM75D,SAASosE,IACjBE,EAAOvzE,KAAK,WAAa,IAAI8iB,KAAKuwD,GAASG,eAGzC1S,EAAM95D,SAASwK,IACjB+hE,EAAOvzE,KAAK,QAAUwR,GAGpBsvD,EAAM95D,SAAS+S,IACjBw5D,EAAOvzE,KAAK,UAAY+Z,IAGX,IAAXu5D,GACFC,EAAOvzE,KAAK,UAGdoB,SAASmyE,OAASA,EAAOjmE,KAAK,OAGhCw2D,KAAM,SAAcrhE,GAClB,IAAIoc,EAAQzd,SAASmyE,OAAO10D,MAAM,IAAIT,OAAO,aAAe3b,EAAO,cACnE,OAAQoc,EAAQ40D,mBAAmB50D,EAAM,IAAM,MAGjDkC,OAAQ,SAAgBte,GACtB8K,KAAK4M,MAAM1X,EAAM,GAAIqgB,KAAK8b,MAAQ,SAO/B,CACLzkB,MAAO,aACP2pD,KAAM,WAAkB,OAAO,MAC/B/iD,OAAQ,e,6BC/ChB,IAAIgkD,EAAS,EAAQ,IAQrB,SAASxsD,EAAYm7D,GACnB,GAAwB,mBAAbA,EACT,MAAM,IAAIlrE,UAAU,gCAGtB,IAAImrE,EACJpmE,KAAKzM,QAAU,IAAIC,SAAQ,SAAyBC,GAClD2yE,EAAiB3yE,KAGnB,IAAI0X,EAAQnL,KACZmmE,GAAS,SAAgBlxE,GACnBkW,EAAMmjB,SAKVnjB,EAAMmjB,OAAS,IAAIkpC,EAAOviE,GAC1BmxE,EAAej7D,EAAMmjB,YAOzBtjB,EAAY3Y,UAAUiyE,iBAAmB,WACvC,GAAItkE,KAAKsuB,OACP,MAAMtuB,KAAKsuB,QAQftjB,EAAY1O,OAAS,WACnB,IAAIu6D,EAIJ,MAAO,CACL1rD,MAJU,IAAIH,GAAY,SAAkBtV,GAC5CmhE,EAASnhE,KAITmhE,OAAQA,IAIZ3jE,EAAOD,QAAU+X,G,6BClCjB9X,EAAOD,QAAU,SAAgB47B,GAC/B,OAAO,SAAcpb,GACnB,OAAOob,EAAStjB,MAAM,KAAMkI,M,6BCtBhC,EAAQ,IAERrhB,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ6X,gBASR,WACE,OAAOK,GATTlY,EAAQiY,qBAYR,SAA8B8W,GAC5BqkD,EAAU5zE,KAAKuvB,IAXjB,IAAIrhB,EAAY,EAAQ,IAEpB2lE,EAAezyE,SAAS+4D,qBAAqB,QAAQ,GACrDzhD,EAAQm7D,EAAeA,EAAaz+B,aAAa,qBAAuB,KACxEw+B,EAAY,IAWhB,EAAI1lE,EAAUP,WAAW,qBAAqB,SAAUhN,GACtD+X,EAAQ/X,EAAE+X,MACVk7D,EAAUxtE,SAAQ,SAAUmpB,GAC1B,IACEA,EAAS5uB,EAAE+X,OACX,MAAO/X,GACP6D,QAAQ3C,MAAM,qCAAsClB,W,gBC/B1D,IAAIwE,EAAgB,EAAQ,IAE5B1E,EAAOD,QAAU2E,IAEX1B,OAAO8G,MAEkB,iBAAnB9G,OAAO+vB,U,6BCJnB7zB,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ6N,cAAW,EAEnB,IAAIylE,EAASC,EAAuB,EAAQ,MAExCC,EAASD,EAAuB,EAAQ,MAE5C,SAASA,EAAuB1tE,GAAO,OAAOA,GAAOA,EAAIvC,WAAauC,EAAM,CAAEiM,QAASjM,GAIvF,SAAS4tE,EAAkB1xE,EAAQ2U,GAAS,IAAK,IAAI1X,EAAI,EAAGA,EAAI0X,EAAMxX,OAAQF,IAAK,CAAE,IAAIwK,EAAakN,EAAM1X,GAAIwK,EAAW1G,WAAa0G,EAAW1G,aAAc,EAAO0G,EAAWiI,cAAe,EAAU,UAAWjI,IAAYA,EAAWkI,UAAW,GAAMvS,OAAO0D,eAAed,EAAQyH,EAAW/F,IAAK+F,IAM7S,IAAIkqE,EAEO,QAyCP7lE,EAAwB,WAC1B,SAASA,EAASR,GA9CpB,IAAyBxH,EAAKpC,EAAKN,GANnC,SAAyBmtE,EAAUhU,GAAe,KAAMgU,aAAoBhU,GAAgB,MAAM,IAAIt0D,UAAU,qCAqD5G2rE,CAAgB5mE,KAAMc,GA/CS1K,OAiDF,GAjDHM,EAiDJ,SAjDDoC,EAiDLkH,MAjD0C5N,OAAO0D,eAAegD,EAAKpC,EAAK,CAAEN,MAAOA,EAAOL,YAAY,EAAM2O,cAAc,EAAMC,UAAU,IAAkB7L,EAAIpC,GAAON,EAmDzJ,mBAAnBkK,EAAIumE,aAA8B,EAAIN,EAAOxhE,SAASzE,EAAIumE,eAE1D,EAAIJ,EAAO1hE,SAASzE,EAAIumE,iBAAkB,EAAIJ,EAAO1hE,SAAS/E,KAAK6mE,eAC5E5vE,QAAQ4J,KAAK,oCAAsCP,EAAIumE,aAAe,SAAW7mE,KAAK6mE,cAFtF5vE,QAAQ4J,KAAK,4DAKfb,KAAKM,IAAMA,EA3Df,IAAsBivD,EAAauX,EAAYhf,EAoF7C,OApFoByH,EA8DPzuD,GA9DoBgmE,EA8DV,CAAC,CACtBpwE,IAAK,aACLN,MAAO,WACL,OAAOuwE,IAER,CACDjwE,IAAK,YACLN,MAAO,SAAmBlB,EAAMmL,GAC9BL,KAAKM,IAAIF,UAAUlL,EAAMmL,KAE1B,CACD3J,IAAK,cACLN,MAAO,SAAqBlB,EAAMmL,GAChCL,KAAKM,IAAIC,YAAYrL,EAAMmL,KAE5B,CACD3J,IAAK,OACLN,MAAO,SAAclB,EAAMV,GACzBwL,KAAKM,IAAIE,KAAKtL,EAAMV,QAhFoDkyE,EAAkBnX,EAAYl9D,UAAWy0E,GAAiBhf,GAAa4e,EAAkBnX,EAAazH,GAoF3KhnD,EArCmB,GAwC5B7N,EAAQ6N,SAAWA,G,gBCxGnB,MAAMi5C,EAAQ,EAAQ,KAKtB7mD,EAAOD,QAJO,CAAC8K,EAAS1B,KACtB,MAAM4V,EAAI8nC,EAAMh8C,EAAS1B,GACzB,OAAO4V,EAAIA,EAAElU,QAAU,O,gBCHzB,MAAM,WAACsQ,GAAc,EAAQ,KACvB,GAAEkD,EAAE,EAAElb,GAAM,EAAQ,IACpBujE,EAAS,EAAQ,IAkCvB1mE,EAAOD,QAhCO,CAAC8K,EAAS1B,KAQtB,GAPKA,GAA8B,iBAAZA,IACrBA,EAAU,CACRw9D,QAASx9D,EACTy9D,mBAAmB,IAInB/7D,aAAmB67D,EACrB,OAAO77D,EAGT,GAAuB,iBAAZA,EACT,OAAO,KAGT,GAAIA,EAAQ5L,OAASkc,EACnB,OAAO,KAIT,KADUhS,EAAQw9D,MAAQtoD,EAAGlb,EAAE0jE,OAASxoD,EAAGlb,EAAE2jE,OACtCzpD,KAAKxS,GACV,OAAO,KAGT,IACE,OAAO,IAAI67D,EAAO77D,EAAS1B,GAC3B,MAAO0qE,GACP,OAAO,Q,cChCX,MAAMC,EAAU,WACVrN,EAAqB,CAACh/D,EAAGC,KAC7B,MAAMqsE,EAAOD,EAAQz2D,KAAK5V,GACpBusE,EAAOF,EAAQz2D,KAAK3V,GAO1B,OALIqsE,GAAQC,IACVvsE,GAAKA,EACLC,GAAKA,GAGAD,IAAMC,EAAI,EACZqsE,IAASC,GAAS,EAClBA,IAASD,EAAQ,EAClBtsE,EAAIC,GAAK,EACT,GAKN1H,EAAOD,QAAU,CACf0mE,qBACAwN,oBAJ0B,CAACxsE,EAAGC,IAAM++D,EAAmB/+D,EAAGD,K,gBCjB5D,MAAMi/D,EAAS,EAAQ,IAEvB1mE,EAAOD,QADO,CAAC0H,EAAGk/D,IAAU,IAAID,EAAOj/D,EAAGk/D,GAAOI,O,6BC0BjD,SAASyM,EAAkB1xE,EAAQ2U,GAAS,IAAK,IAAI1X,EAAI,EAAGA,EAAI0X,EAAMxX,OAAQF,IAAK,CAAE,IAAIwK,EAAakN,EAAM1X,GAAIwK,EAAW1G,WAAa0G,EAAW1G,aAAc,EAAO0G,EAAWiI,cAAe,EAAU,UAAWjI,IAAYA,EAAWkI,UAAW,GAAMvS,OAAO0D,eAAed,EAAQyH,EAAW/F,IAAK+F,IAzB7S,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,KAER,EAAQ,KAER,EAAQ,KAERrK,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ8N,eAAY,EAUpB,IAAI4lE,EAEO,QAyCP5lE,EAAyB,WAC3B,SAASA,IA9CX,IAAyBjI,EAAKpC,EAAKN,GANnC,SAAyBmtE,EAAUhU,GAAe,KAAMgU,aAAoBhU,GAAgB,MAAM,IAAIt0D,UAAU,qCAqD5G2rE,CAAgB5mE,KAAMe,GA/CDjI,EAiDLkH,KAjDUtJ,EAiDJ,WAjDSN,EAiDG,IAAIgxE,IAjDM1wE,KAAOoC,EAAO1G,OAAO0D,eAAegD,EAAKpC,EAAK,CAAEN,MAAOA,EAAOL,YAAY,EAAM2O,cAAc,EAAMC,UAAU,IAAkB7L,EAAIpC,GAAON,EAF3L,IAAsBm5D,EAAauX,EAAYhf,EAoF7C,OApFoByH,EAsDPxuD,GAtDoB+lE,EAsDT,CAAC,CACvBpwE,IAAK,aACLN,MAAO,WACL,OAAOuwE,IAER,CACDjwE,IAAK,YACLN,MAAO,SAAmBlB,EAAMmL,GAC9BL,KAAK4wB,SAAS1tB,IAAIhO,GAAO8K,KAAK4wB,SAAS56B,IAAId,IAAS,IAAI+N,OAAO5C,MAEhE,CACD3J,IAAK,cACLN,MAAO,SAAqBlB,EAAMmL,GAChCL,KAAK4wB,SAAS1tB,IAAIhO,GAAO8K,KAAK4wB,SAAS56B,IAAId,IAAS,IAAIwhC,QAAO,SAAU5zB,GACvE,OAAOA,GAAKzC,QAGf,CACD3J,IAAK,OACLN,MAAO,SAAclB,EAAMV,IACxBwL,KAAK4wB,SAAS56B,IAAId,IAAS,IAAI2D,SAAQ,SAAUiK,GAChD,IACEA,EAAEtO,GACF,MAAOpB,GACP6D,QAAQ3C,MAAM,kCAAmClB,YA9EmBszE,EAAkBnX,EAAYl9D,UAAWy0E,GAAiBhf,GAAa4e,EAAkBnX,EAAazH,GAoF3K/mD,EArCoB,GAwC7B9N,EAAQ8N,UAAYA,G,gBCpHpB,IAAIyM,EAAkB,EAAQ,GAC1B/W,EAAS,EAAQ,IACjBoF,EAAuB,EAAQ,GAE/BwrE,EAAc75D,EAAgB,eAC9Bm0D,EAAiB9uD,MAAMxgB,UAIQ+C,MAA/BusE,EAAe0F,IACjBxrE,EAAqBJ,EAAEkmE,EAAgB0F,EAAa,CAClD3iE,cAAc,EACdtO,MAAOK,EAAO,QAKlBvD,EAAOD,QAAU,SAAUyD,GACzBirE,EAAe0F,GAAa3wE,IAAO,I,gBClBrC,IAAIyE,EAAQ,EAAQ,GAEpBjI,EAAOD,SAAWkI,GAAM,WACtB,SAASkS,KAET,OADAA,EAAEhb,UAAU6G,YAAc,KACnB9G,OAAOqc,eAAe,IAAIpB,KAASA,EAAEhb,c,gBCL9C,IAAIsG,EAAW,EAAQ,GAEvBzF,EAAOD,QAAU,SAAUgF,GACzB,IAAKU,EAASV,IAAc,OAAPA,EACnB,MAAMgD,UAAU,aAAeC,OAAOjD,GAAM,mBAC5C,OAAOA,I,6BCJX,IAAIqvE,EAAa,EAAQ,KACrBC,EAAmB,EAAQ,KAI/Br0E,EAAOD,QAAUq0E,EAAW,OAAO,SAAU78C,GAC3C,OAAO,WAAiB,OAAOA,EAAKzqB,KAAMxF,UAAUrI,OAASqI,UAAU,QAAKpF,MAC3EmyE,I,6BCPH,IAAIh5D,EAAI,EAAQ,IACZ/W,EAAS,EAAQ,GACjB4E,EAAW,EAAQ,IACnBH,EAAW,EAAQ,IACnBurE,EAAyB,EAAQ,IACjCC,EAAU,EAAQ,IAClBC,EAAa,EAAQ,IACrB/uE,EAAW,EAAQ,GACnBwC,EAAQ,EAAQ,GAChBwsE,EAA8B,EAAQ,KACtCh5D,EAAiB,EAAQ,IACzBi5D,EAAoB,EAAQ,KAEhC10E,EAAOD,QAAU,SAAUivE,EAAkB2F,EAASpT,GACpD,IAAIhE,GAA8C,IAArCyR,EAAiBpjE,QAAQ,OAClCgpE,GAAgD,IAAtC5F,EAAiBpjE,QAAQ,QACnCipE,EAAQtX,EAAS,MAAQ,MACzBuX,EAAoBxwE,EAAO0qE,GAC3B+F,EAAkBD,GAAqBA,EAAkB31E,UACzDk9D,EAAcyY,EACdE,EAAW,GAEXC,EAAY,SAAUv4D,GACxB,IAAIgzD,EAAeqF,EAAgBr4D,GACnC3T,EAASgsE,EAAiBr4D,EACjB,OAAPA,EAAe,SAAaxZ,GAE1B,OADAwsE,EAAarwE,KAAKyN,KAAgB,IAAV5J,EAAc,EAAIA,GACnC4J,MACE,UAAP4P,EAAkB,SAAUlZ,GAC9B,QAAOoxE,IAAYnvE,EAASjC,KAAeksE,EAAarwE,KAAKyN,KAAc,IAARtJ,EAAY,EAAIA,IAC1E,OAAPkZ,EAAe,SAAalZ,GAC9B,OAAOoxE,IAAYnvE,EAASjC,QAAOtB,EAAYwtE,EAAarwE,KAAKyN,KAAc,IAARtJ,EAAY,EAAIA,IAC9E,OAAPkZ,EAAe,SAAalZ,GAC9B,QAAOoxE,IAAYnvE,EAASjC,KAAeksE,EAAarwE,KAAKyN,KAAc,IAARtJ,EAAY,EAAIA,IACjF,SAAaA,EAAKN,GAEpB,OADAwsE,EAAarwE,KAAKyN,KAAc,IAARtJ,EAAY,EAAIA,EAAKN,GACtC4J,QAMb,GAAI5D,EAAS8lE,EAA8C,mBAArB8F,KAAqCF,GAAWG,EAAgBpvE,UAAYsC,GAAM,YACtH,IAAI6sE,GAAoB53D,UAAUd,YAGlCigD,EAAckF,EAAO2T,eAAeP,EAAS3F,EAAkBzR,EAAQsX,GACvEP,EAAuBhM,UAAW,OAC7B,GAAIp/D,EAAS8lE,GAAkB,GAAO,CAC3C,IAAIqB,EAAW,IAAIhU,EAEf8Y,EAAiB9E,EAASwE,GAAOD,EAAU,IAAM,EAAG,IAAMvE,EAE1D+E,EAAuBntE,GAAM,WAAcooE,EAAS7rE,IAAI,MAGxD6wE,EAAmBZ,GAA4B,SAAUhW,GAAY,IAAIqW,EAAkBrW,MAE3F6W,GAAcV,GAAW3sE,GAAM,WAIjC,IAFA,IAAIstE,EAAY,IAAIT,EAChBn2D,EAAQ,EACLA,KAAS42D,EAAUV,GAAOl2D,EAAOA,GACxC,OAAQ42D,EAAU/wE,KAAK,MAGpB6wE,KACHhZ,EAAcsY,GAAQ,SAAU7G,EAAOrP,GACrC+V,EAAW1G,EAAOzR,EAAa2S,GAC/B,IAAI52D,EAAOs8D,EAAkB,IAAII,EAAqBhH,EAAOzR,GAE7D,OADgBn6D,MAAZu8D,GAAuB8V,EAAQ9V,EAAUrmD,EAAKy8D,GAAQz8D,EAAMmlD,GACzDnlD,MAEGjZ,UAAY41E,EACxBA,EAAgB/uE,YAAcq2D,IAG5B+Y,GAAwBE,KAC1BL,EAAU,UACVA,EAAU,OACV1X,GAAU0X,EAAU,SAGlBK,GAAcH,IAAgBF,EAAUJ,GAGxCD,GAAWG,EAAgB7uD,cAAc6uD,EAAgB7uD,MAU/D,OAPA8uD,EAAShG,GAAoB3S,EAC7BhhD,EAAE,CAAE/W,QAAQ,EAAMuF,OAAQwyD,GAAeyY,GAAqBE,GAE9Dv5D,EAAe4gD,EAAa2S,GAEvB4F,GAASrT,EAAOiU,UAAUnZ,EAAa2S,EAAkBzR,GAEvDlB,I,gBCjGT,IAAIp0D,EAAQ,EAAQ,GAEpBjI,EAAOD,SAAWkI,GAAM,WACtB,OAAO/I,OAAO6qB,aAAa7qB,OAAOu2E,kBAAkB,S,6BCFtD,IAAI7yE,EAAiB,EAAQ,GAAuC2F,EAChEhF,EAAS,EAAQ,IACjBmyE,EAAc,EAAQ,KACtBjyE,EAAO,EAAQ,IACf+wE,EAAa,EAAQ,IACrBD,EAAU,EAAQ,IAClBjZ,EAAiB,EAAQ,IACzBqa,EAAa,EAAQ,KACrBztE,EAAc,EAAQ,GACtBqgE,EAAU,EAAQ,IAAkCA,QACpDl8D,EAAsB,EAAQ,IAE9BkvD,EAAmBlvD,EAAoB2D,IACvC4lE,EAAyBvpE,EAAoBuE,UAEjD5Q,EAAOD,QAAU,CACfm1E,eAAgB,SAAUP,EAAS3F,EAAkBzR,EAAQsX,GAC3D,IAAItY,EAAIoY,GAAQ,SAAUv8D,EAAMqmD,GAC9B+V,EAAWp8D,EAAMmkD,EAAGyS,GACpBzT,EAAiBnjD,EAAM,CACrBxW,KAAMotE,EACNrwD,MAAOpb,EAAO,MACd47D,WAAOj9D,EACPmvB,UAAMnvB,EACNq9D,KAAM,IAEHr3D,IAAakQ,EAAKmnD,KAAO,GACdr9D,MAAZu8D,GAAuB8V,EAAQ9V,EAAUrmD,EAAKy8D,GAAQz8D,EAAMmlD,MAG9DjxD,EAAmBspE,EAAuB5G,GAE1C6G,EAAS,SAAUz9D,EAAM5U,EAAKN,GAChC,IAEI4yE,EAAUn3D,EAFV7N,EAAQxE,EAAiB8L,GACzB29D,EAAQC,EAAS59D,EAAM5U,GAqBzB,OAlBEuyE,EACFA,EAAM7yE,MAAQA,GAGd4N,EAAMugB,KAAO0kD,EAAQ,CACnBp3D,MAAOA,EAAQ4pD,EAAQ/kE,GAAK,GAC5BA,IAAKA,EACLN,MAAOA,EACP4yE,SAAUA,EAAWhlE,EAAMugB,KAC3BjV,UAAMla,EACNogD,SAAS,GAENxxC,EAAMquD,QAAOruD,EAAMquD,MAAQ4W,GAC5BD,IAAUA,EAAS15D,KAAO25D,GAC1B7tE,EAAa4I,EAAMyuD,OAClBnnD,EAAKmnD,OAEI,MAAV5gD,IAAe7N,EAAM6N,MAAMA,GAASo3D,IACjC39D,GAGP49D,EAAW,SAAU59D,EAAM5U,GAC7B,IAGIuyE,EAHAjlE,EAAQxE,EAAiB8L,GAEzBuG,EAAQ4pD,EAAQ/kE,GAEpB,GAAc,MAAVmb,EAAe,OAAO7N,EAAM6N,MAAMA,GAEtC,IAAKo3D,EAAQjlE,EAAMquD,MAAO4W,EAAOA,EAAQA,EAAM35D,KAC7C,GAAI25D,EAAMvyE,KAAOA,EAAK,OAAOuyE,GAiFjC,OA7EAL,EAAYnZ,EAAEp9D,UAAW,CAGvB+mB,MAAO,WAKL,IAJA,IACIpV,EAAQxE,EADDQ,MAEPpO,EAAOoS,EAAM6N,MACbo3D,EAAQjlE,EAAMquD,MACX4W,GACLA,EAAMzzB,SAAU,EACZyzB,EAAMD,WAAUC,EAAMD,SAAWC,EAAMD,SAAS15D,UAAOla,UACpDxD,EAAKq3E,EAAMp3D,OAClBo3D,EAAQA,EAAM35D,KAEhBtL,EAAMquD,MAAQruD,EAAMugB,UAAOnvB,EACvBgG,EAAa4I,EAAMyuD,KAAO,EAXnBzyD,KAYDyyD,KAAO,GAInB,OAAU,SAAU/7D,GAClB,IACIsN,EAAQxE,EADDQ,MAEPipE,EAAQC,EAFDlpE,KAEgBtJ,GAC3B,GAAIuyE,EAAO,CACT,IAAI35D,EAAO25D,EAAM35D,KACbsxB,EAAOqoC,EAAMD,gBACVhlE,EAAM6N,MAAMo3D,EAAMp3D,OACzBo3D,EAAMzzB,SAAU,EACZ5U,IAAMA,EAAKtxB,KAAOA,GAClBA,IAAMA,EAAK05D,SAAWpoC,GACtB58B,EAAMquD,OAAS4W,IAAOjlE,EAAMquD,MAAQ/iD,GACpCtL,EAAMugB,MAAQ0kD,IAAOjlE,EAAMugB,KAAOqc,GAClCxlC,EAAa4I,EAAMyuD,OAZdzyD,KAaCyyD,OACV,QAASwW,GAIbpwE,QAAS,SAAiBm4D,GAIxB,IAHA,IAEIiY,EAFAjlE,EAAQxE,EAAiBQ,MACzBkxD,EAAgBv6D,EAAKq6D,EAAYx2D,UAAUrI,OAAS,EAAIqI,UAAU,QAAKpF,EAAW,GAE/E6zE,EAAQA,EAAQA,EAAM35D,KAAOtL,EAAMquD,OAGxC,IAFAnB,EAAc+X,EAAM7yE,MAAO6yE,EAAMvyE,IAAKsJ,MAE/BipE,GAASA,EAAMzzB,SAASyzB,EAAQA,EAAMD,UAKjDtxE,IAAK,SAAahB,GAChB,QAASwyE,EAASlpE,KAAMtJ,MAI5BkyE,EAAYnZ,EAAEp9D,UAAWo+D,EAAS,CAEhCz6D,IAAK,SAAaU,GAChB,IAAIuyE,EAAQC,EAASlpE,KAAMtJ,GAC3B,OAAOuyE,GAASA,EAAM7yE,OAGxB8M,IAAK,SAAaxM,EAAKN,GACrB,OAAO2yE,EAAO/oE,KAAc,IAARtJ,EAAY,EAAIA,EAAKN,KAEzC,CAEFmM,IAAK,SAAanM,GAChB,OAAO2yE,EAAO/oE,KAAM5J,EAAkB,IAAVA,EAAc,EAAIA,EAAOA,MAGrDgF,GAAatF,EAAe25D,EAAEp9D,UAAW,OAAQ,CACnD2D,IAAK,WACH,OAAOwJ,EAAiBQ,MAAMyyD,QAG3BhD,GAETiZ,UAAW,SAAUjZ,EAAGyS,EAAkBzR,GACxC,IAAI0Y,EAAgBjH,EAAmB,YACnCkH,EAA6BN,EAAuB5G,GACpDmH,EAA2BP,EAAuBK,GAGtD3a,EAAeiB,EAAGyS,GAAkB,SAAUxT,EAAUC,GACtDF,EAAiBzuD,KAAM,CACrBlL,KAAMq0E,EACNn0E,OAAQ05D,EACR1qD,MAAOolE,EAA2B1a,GAClCC,KAAMA,EACNpqC,UAAMnvB,OAEP,WAKD,IAJA,IAAI4O,EAAQqlE,EAAyBrpE,MACjC2uD,EAAO3qD,EAAM2qD,KACbsa,EAAQjlE,EAAMugB,KAEX0kD,GAASA,EAAMzzB,SAASyzB,EAAQA,EAAMD,SAE7C,OAAKhlE,EAAMhP,SAAYgP,EAAMugB,KAAO0kD,EAAQA,EAAQA,EAAM35D,KAAOtL,EAAMA,MAAMquD,OAMjE,QAAR1D,EAAuB,CAAEv4D,MAAO6yE,EAAMvyE,IAAKwvB,MAAM,GACzC,UAARyoC,EAAyB,CAAEv4D,MAAO6yE,EAAM7yE,MAAO8vB,MAAM,GAClD,CAAE9vB,MAAO,CAAC6yE,EAAMvyE,IAAKuyE,EAAM7yE,OAAQ8vB,MAAM,IAN9CliB,EAAMhP,YAASI,EACR,CAAEgB,WAAOhB,EAAW8wB,MAAM,MAMlCuqC,EAAS,UAAY,UAAWA,GAAQ,GAG3CoY,EAAW3G,M,6BCtLf,IAAI7T,EAAwB,EAAQ,IAChCxgD,EAAU,EAAQ,IAItB3a,EAAOD,QAAUo7D,EAAwB,GAAG91D,SAAW,WACrD,MAAO,WAAasV,EAAQ7N,MAAQ,M,gBCPtC,IAAIxI,EAAS,EAAQ,GACjBuoE,EAAe,EAAQ,IACvBlnE,EAAU,EAAQ,IAClBmD,EAA8B,EAAQ,GAE1C,IAAK,IAAIkkE,KAAmBH,EAAc,CACxC,IAAII,EAAa3oE,EAAO0oE,GACpBE,EAAsBD,GAAcA,EAAW9tE,UAEnD,GAAI+tE,GAAuBA,EAAoBvnE,UAAYA,EAAS,IAClEmD,EAA4BokE,EAAqB,UAAWvnE,GAC5D,MAAOvE,GACP8rE,EAAoBvnE,QAAUA,K,6BCVlCzG,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ4tE,eAMR,WACE,GAAY,OAARlpE,EACF,OAAO,KAGT,MAAO,CACLA,IAAKA,EACL+P,YAAaA,IAZjB,IAAI4hE,EAAaz1E,SAAS+4D,qBAAqB,QAAQ,GACnDj1D,EAAM2xE,EAAaA,EAAWzhC,aAAa,aAAe,KAC1D0hC,EAAqB11E,SAAS+4D,qBAAqB,QAAQ,GAC3DllD,EAAc6hE,EAAqBA,EAAmB1hC,aAAa,yBAA2B,M,6BCPlG,IAAI1sC,EAAQ,EAAQ,GAIpB,SAASquE,EAAGlyE,EAAGmE,GACb,OAAOoV,OAAOvZ,EAAGmE,GAGnBxI,EAAQie,cAAgB/V,GAAM,WAE5B,IAAIoW,EAAKi4D,EAAG,IAAK,KAEjB,OADAj4D,EAAGN,UAAY,EACW,MAAnBM,EAAGha,KAAK,WAGjBtE,EAAQke,aAAehW,GAAM,WAE3B,IAAIoW,EAAKi4D,EAAG,KAAM,MAElB,OADAj4D,EAAGN,UAAY,EACU,MAAlBM,EAAGha,KAAK,W,6BCrBjB,mBAAmX,G,iBCEnXtE,EADkC,EAAQ,GAChCw2E,EAA4B,IAE9Bh3E,KAAK,CAACS,EAAOjB,EAAI,wtDAAytD,KAElvDiB,EAAOD,QAAUA,G,kBCNjB,iCAC6B,oBAAToF,MAAwBA,MAChClB,OACRoU,EAAQjT,SAASjG,UAAUkZ,MAiB/B,SAASm+D,EAAQrjE,EAAIsjE,GACnB3pE,KAAK4pE,IAAMvjE,EACXrG,KAAK6pE,SAAWF,EAflB12E,EAAQoC,WAAa,WACnB,OAAO,IAAIq0E,EAAQn+D,EAAMhZ,KAAK8C,WAAYy0E,EAAOtvE,WAAY7F,eAE/D1B,EAAQ82E,YAAc,WACpB,OAAO,IAAIL,EAAQn+D,EAAMhZ,KAAKw3E,YAAaD,EAAOtvE,WAAYwvE,gBAEhE/2E,EAAQ0B,aACR1B,EAAQ+2E,cAAgB,SAASh2E,GAC3BA,GACFA,EAAQ6Y,SAQZ68D,EAAQr3E,UAAU43E,MAAQP,EAAQr3E,UAAU0mC,IAAM,aAClD2wC,EAAQr3E,UAAUwa,MAAQ,WACxB7M,KAAK6pE,SAASt3E,KAAKu3E,EAAO9pE,KAAK4pE,MAIjC32E,EAAQi3E,OAAS,SAASx2D,EAAMy2D,GAC9Bx1E,aAAa+e,EAAK02D,gBAClB12D,EAAK22D,aAAeF,GAGtBl3E,EAAQq3E,SAAW,SAAS52D,GAC1B/e,aAAa+e,EAAK02D,gBAClB12D,EAAK22D,cAAgB,GAGvBp3E,EAAQs3E,aAAet3E,EAAQ4W,OAAS,SAAS6J,GAC/C/e,aAAa+e,EAAK02D,gBAElB,IAAID,EAAQz2D,EAAK22D,aACbF,GAAS,IACXz2D,EAAK02D,eAAiB/0E,YAAW,WAC3Bqe,EAAK82D,YACP92D,EAAK82D,eACNL,KAKP,EAAQ,KAIRl3E,EAAQ6uB,aAAgC,oBAATzpB,MAAwBA,KAAKypB,mBAClB,IAAXtqB,GAA0BA,EAAOsqB,cACxC9hB,MAAQA,KAAK8hB,aACrC7uB,EAAQw3E,eAAkC,oBAATpyE,MAAwBA,KAAKoyE,qBAClB,IAAXjzE,GAA0BA,EAAOizE,gBACxCzqE,MAAQA,KAAKyqE,iB,mCC9DvC,6BACI,aAEA,IAAIjzE,EAAOsqB,aAAX,CAIA,IAII4oD,EA6HIz+D,EAZA0+D,EArBAC,EACAC,EAjGJC,EAAa,EACbC,EAAgB,GAChBC,GAAwB,EACxBC,EAAMzzE,EAAO3D,SAoJbq3E,EAAW94E,OAAOqc,gBAAkBrc,OAAOqc,eAAejX,GAC9D0zE,EAAWA,GAAYA,EAAS71E,WAAa61E,EAAW1zE,EAGf,qBAArC,GAAGe,SAAShG,KAAKiF,EAAO+xD,SApFxBmhB,EAAoB,SAASS,GACzB5hB,EAAQnnC,UAAS,WAAcgpD,EAAaD,QAIpD,WAGI,GAAI3zE,EAAO6zE,cAAgB7zE,EAAO8zE,cAAe,CAC7C,IAAIC,GAA4B,EAC5BC,EAAeh0E,EAAOi0E,UAM1B,OALAj0E,EAAOi0E,UAAY,WACfF,GAA4B,GAEhC/zE,EAAO6zE,YAAY,GAAI,KACvB7zE,EAAOi0E,UAAYD,EACZD,GAwEJG,GAIAl0E,EAAOm0E,iBA9CVhB,EAAU,IAAIgB,gBACVC,MAAMH,UAAY,SAASj3E,GAE/B42E,EADa52E,EAAM5C,OAIvB84E,EAAoB,SAASS,GACzBR,EAAQkB,MAAMR,YAAYF,KA2CvBF,GAAO,uBAAwBA,EAAIn3E,cAAc,WAtCpDmY,EAAOg/D,EAAIjiB,gBACf0hB,EAAoB,SAASS,GAGzB,IAAIv3E,EAASq3E,EAAIn3E,cAAc,UAC/BF,EAAO4hE,mBAAqB,WACxB4V,EAAaD,GACbv3E,EAAO4hE,mBAAqB,KAC5BvpD,EAAK6wB,YAAYlpC,GACjBA,EAAS,MAEbqY,EAAK1W,YAAY3B,KAKrB82E,EAAoB,SAASS,GACzB91E,WAAW+1E,EAAc,EAAGD,KAlD5BP,EAAgB,gBAAkB1yE,KAAK+V,SAAW,IAClD48D,EAAkB,SAASr2E,GACvBA,EAAM8H,SAAW9E,GACK,iBAAfhD,EAAM5C,MACyB,IAAtC4C,EAAM5C,KAAKkN,QAAQ8rE,IACnBQ,GAAc52E,EAAM5C,KAAKyF,MAAMuzE,EAAcz4E,UAIjDqF,EAAOghB,iBACPhhB,EAAOghB,iBAAiB,UAAWqyD,GAAiB,GAEpDrzE,EAAOs0E,YAAY,YAAajB,GAGpCH,EAAoB,SAASS,GACzB3zE,EAAO6zE,YAAYT,EAAgBO,EAAQ,OAgEnDD,EAASppD,aA1KT,SAAsB+M,GAEI,mBAAbA,IACTA,EAAW,IAAIv2B,SAAS,GAAKu2B,IAI/B,IADA,IAAI/S,EAAO,IAAIjJ,MAAMrY,UAAUrI,OAAS,GAC/BF,EAAI,EAAGA,EAAI6pB,EAAK3pB,OAAQF,IAC7B6pB,EAAK7pB,GAAKuI,UAAUvI,EAAI,GAG5B,IAAI85E,EAAO,CAAEl9C,SAAUA,EAAU/S,KAAMA,GAGvC,OAFAivD,EAAcD,GAAciB,EAC5BrB,EAAkBI,GACXA,KA6JTI,EAAST,eAAiBA,EA1J1B,SAASA,EAAeU,UACbJ,EAAcI,GAyBzB,SAASC,EAAaD,GAGlB,GAAIH,EAGA31E,WAAW+1E,EAAc,EAAGD,OACzB,CACH,IAAIY,EAAOhB,EAAcI,GACzB,GAAIY,EAAM,CACNf,GAAwB,EACxB,KAjCZ,SAAae,GACT,IAAIl9C,EAAWk9C,EAAKl9C,SAChB/S,EAAOiwD,EAAKjwD,KAChB,OAAQA,EAAK3pB,QACb,KAAK,EACD08B,IACA,MACJ,KAAK,EACDA,EAAS/S,EAAK,IACd,MACJ,KAAK,EACD+S,EAAS/S,EAAK,GAAIA,EAAK,IACvB,MACJ,KAAK,EACD+S,EAAS/S,EAAK,GAAIA,EAAK,GAAIA,EAAK,IAChC,MACJ,QACI+S,EAAStjB,WAnDrB,EAmDsCuQ,IAiBlB8V,CAAIm6C,GACN,QACEtB,EAAeU,GACfH,GAAwB,MAvE5C,CAyLkB,oBAAT3yE,UAAyC,IAAXb,EAAyBwI,KAAOxI,EAASa,Q,qHCzL6G,ECqC7L,CACA,qBACA,KAFA,WAGA,OACA,8CAGA,SACA,OADA,WAGA,oBACA,0CACA,uDACA,wBACA,cAGA,0CACA,uDACA,wBACA,gB,QCvCe,EAXC,YACd,GCRW,WAAa,IAAI2M,EAAIhF,KAASiF,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAOE,EAAG,MAAM,CAACQ,MAAM,CAAC,GAAK,gCAAgC,CAACR,EAAG,QAAQ,CAACe,WAAW,CAAC,CAAChR,KAAK,QAAQiR,QAAQ,UAAU/P,MAAO4O,EAAiB,cAAEoB,WAAW,kBAAkBR,YAAY,WAAWD,MAAM,CAAC,GAAK,2BAA2B,KAAO,YAAY6hB,SAAS,CAAC,QAAU3U,MAAMra,QAAQwM,EAAIgnE,eAAehnE,EAAIkkB,GAAGlkB,EAAIgnE,cAAc,OAAO,EAAGhnE,EAAiB,eAAGa,GAAG,CAAC,OAAS,CAAC,SAASY,GAAQ,IAAIwlE,EAAIjnE,EAAIgnE,cAAcE,EAAKzlE,EAAOzR,OAAOm3E,IAAID,EAAKE,QAAuB,GAAGv5D,MAAMra,QAAQyzE,GAAK,CAAC,IAAaI,EAAIrnE,EAAIkkB,GAAG+iD,EAAhB,MAA4BC,EAAKE,QAASC,EAAI,IAAIrnE,EAAIgnE,cAAcC,EAAIhpE,OAAO,CAA/E,QAA4FopE,GAAK,IAAIrnE,EAAIgnE,cAAcC,EAAI50E,MAAM,EAAEg1E,GAAKppE,OAAOgpE,EAAI50E,MAAMg1E,EAAI,UAAWrnE,EAAIgnE,cAAcG,GAAMnnE,EAAIsnE,WAAWtnE,EAAIe,GAAG,KAAKZ,EAAG,QAAQ,CAACQ,MAAM,CAAC,IAAM,6BAA6B,CAACX,EAAIe,GAAGf,EAAIgB,GAAGhB,EAAI3O,EAAE,OAAQ,gCACt2B,IDUpB,EACA,KACA,KACA,M;;;;;;;;;;;;;;;;;;;;;;AEcFk2E,KAAoBhhB,KAAK5sD,GAAG6tE,cAC5BC,IAA0BlvE,iBAAO,OAAQ,OAEzC,IAAMmvE,EAAqBxhB,oBAAU,OAAQ,uBACvCyhB,EAAmBzhB,oBAAU,OAAQ,qBAE3C9jD,cAEAvT,SAAS2kB,iBAAiB,oBAAoB,WAM7C,QAL0B,IAAfrQ,IAAIG,SACdrR,QAAQ3C,MAAM,+BACdqU,eAGG+jE,GAAsBvkE,KAAOA,IAAIC,OAASD,IAAIC,MAAMwkE,SAAU,CACjEnjE,UAAIpX,UAAUgE,EAAIc,OAAOd,EACzBoT,UAAIpX,UAAUuE,EAAIO,OAAOP,EACzB6S,UAAIpX,UAAU8V,IAAMhR,OAAOgR,IAC3B,IAGM+B,EAHK,IAAIT,UAAI,CAClBnI,OAAQ,SAAAwB,GAAC,OAAIA,EAAE+pE,EAAe,OAEjB9iE,SAASS,IACvBrC,IAAIC,MAAMwkE,SAASlkE,SAAS,IAAIP,IAAIC,MAAMwkE,SAASE,QAAQ,OAAQ,CAClE5iE,GAAI,WAAQ,OAAOA,UAKlBwiE,GACH/tE,GAAG8J,QAAQC,SAAS,qBAAsBuB,KAG3C9B,IAAI4kE,KAAO,CACVC,qBAAsBL","file":"files.js","sourcesContent":[" \t// install a JSONP callback for chunk loading\n \tfunction webpackJsonpCallback(data) {\n \t\tvar chunkIds = data[0];\n \t\tvar moreModules = data[1];\n\n\n \t\t// add \"moreModules\" to the modules object,\n \t\t// then flag all \"chunkIds\" as loaded and fire callback\n \t\tvar moduleId, chunkId, i = 0, resolves = [];\n \t\tfor(;i < chunkIds.length; i++) {\n \t\t\tchunkId = chunkIds[i];\n \t\t\tif(Object.prototype.hasOwnProperty.call(installedChunks, chunkId) && installedChunks[chunkId]) {\n \t\t\t\tresolves.push(installedChunks[chunkId][0]);\n \t\t\t}\n \t\t\tinstalledChunks[chunkId] = 0;\n \t\t}\n \t\tfor(moduleId in moreModules) {\n \t\t\tif(Object.prototype.hasOwnProperty.call(moreModules, moduleId)) {\n \t\t\t\tmodules[moduleId] = moreModules[moduleId];\n \t\t\t}\n \t\t}\n \t\tif(parentJsonpFunction) parentJsonpFunction(data);\n\n \t\twhile(resolves.length) {\n \t\t\tresolves.shift()();\n \t\t}\n\n \t};\n\n\n \t// The module cache\n \tvar installedModules = {};\n\n \t// object to store loaded and loading chunks\n \t// undefined = chunk not loaded, null = chunk preloaded/prefetched\n \t// Promise = chunk loading, 0 = chunk loaded\n \tvar installedChunks = {\n \t\t193: 0,\n \t\t0: 0\n \t};\n\n\n\n \t// script path function\n \tfunction jsonpScriptSrc(chunkId) {\n \t\treturn __webpack_require__.p + \"\" + ({\"0\":\"vendor\",\"1\":\"vendors~editor-collab~editor-guest~editor-rich~files-modal\",\"2\":\"highlight/1c\",\"3\":\"highlight/abnf\",\"4\":\"highlight/accesslog\",\"5\":\"highlight/actionscript\",\"6\":\"highlight/ada\",\"7\":\"highlight/angelscript\",\"8\":\"highlight/apache\",\"9\":\"highlight/applescript\",\"10\":\"highlight/arcade\",\"11\":\"highlight/arduino\",\"12\":\"highlight/armasm\",\"13\":\"highlight/asciidoc\",\"14\":\"highlight/aspectj\",\"15\":\"highlight/autohotkey\",\"16\":\"highlight/autoit\",\"17\":\"highlight/avrasm\",\"18\":\"highlight/awk\",\"19\":\"highlight/axapta\",\"20\":\"highlight/bash\",\"21\":\"highlight/basic\",\"22\":\"highlight/bnf\",\"23\":\"highlight/brainfuck\",\"24\":\"highlight/cal\",\"25\":\"highlight/capnproto\",\"26\":\"highlight/ceylon\",\"27\":\"highlight/clean\",\"28\":\"highlight/clojure\",\"29\":\"highlight/clojure-repl\",\"30\":\"highlight/cmake\",\"31\":\"highlight/coffeescript\",\"32\":\"highlight/coq\",\"33\":\"highlight/cos\",\"34\":\"highlight/cpp\",\"35\":\"highlight/crmsh\",\"36\":\"highlight/crystal\",\"37\":\"highlight/cs\",\"38\":\"highlight/csp\",\"39\":\"highlight/css\",\"40\":\"highlight/d\",\"41\":\"highlight/dart\",\"42\":\"highlight/delphi\",\"43\":\"highlight/diff\",\"44\":\"highlight/django\",\"45\":\"highlight/dns\",\"46\":\"highlight/dockerfile\",\"47\":\"highlight/dos\",\"48\":\"highlight/dsconfig\",\"49\":\"highlight/dts\",\"50\":\"highlight/dust\",\"51\":\"highlight/ebnf\",\"52\":\"highlight/elixir\",\"53\":\"highlight/elm\",\"54\":\"highlight/erb\",\"55\":\"highlight/erlang\",\"56\":\"highlight/erlang-repl\",\"57\":\"highlight/excel\",\"58\":\"highlight/fix\",\"59\":\"highlight/flix\",\"60\":\"highlight/fortran\",\"61\":\"highlight/fsharp\",\"62\":\"highlight/gams\",\"63\":\"highlight/gauss\",\"64\":\"highlight/gcode\",\"65\":\"highlight/gherkin\",\"66\":\"highlight/glsl\",\"67\":\"highlight/gml\",\"68\":\"highlight/go\",\"69\":\"highlight/golo\",\"70\":\"highlight/gradle\",\"71\":\"highlight/groovy\",\"72\":\"highlight/haml\",\"73\":\"highlight/handlebars\",\"74\":\"highlight/haskell\",\"75\":\"highlight/haxe\",\"76\":\"highlight/hsp\",\"77\":\"highlight/htmlbars\",\"78\":\"highlight/http\",\"79\":\"highlight/hy\",\"80\":\"highlight/inform7\",\"81\":\"highlight/ini\",\"82\":\"highlight/irpf90\",\"83\":\"highlight/isbl\",\"84\":\"highlight/java\",\"85\":\"highlight/javascript\",\"86\":\"highlight/jboss-cli\",\"87\":\"highlight/json\",\"88\":\"highlight/julia\",\"89\":\"highlight/julia-repl\",\"90\":\"highlight/kotlin\",\"91\":\"highlight/lasso\",\"92\":\"highlight/ldif\",\"93\":\"highlight/leaf\",\"94\":\"highlight/less\",\"95\":\"highlight/lisp\",\"96\":\"highlight/livecodeserver\",\"97\":\"highlight/livescript\",\"98\":\"highlight/llvm\",\"99\":\"highlight/lsl\",\"100\":\"highlight/lua\",\"101\":\"highlight/makefile\",\"102\":\"highlight/markdown\",\"103\":\"highlight/mathematica\",\"104\":\"highlight/matlab\",\"105\":\"highlight/maxima\",\"106\":\"highlight/mel\",\"107\":\"highlight/mercury\",\"108\":\"highlight/mipsasm\",\"109\":\"highlight/mizar\",\"110\":\"highlight/mojolicious\",\"111\":\"highlight/monkey\",\"112\":\"highlight/moonscript\",\"113\":\"highlight/n1ql\",\"114\":\"highlight/nginx\",\"115\":\"highlight/nimrod\",\"116\":\"highlight/nix\",\"117\":\"highlight/nsis\",\"118\":\"highlight/objectivec\",\"119\":\"highlight/ocaml\",\"120\":\"highlight/openscad\",\"121\":\"highlight/oxygene\",\"122\":\"highlight/parser3\",\"123\":\"highlight/perl\",\"124\":\"highlight/pf\",\"125\":\"highlight/pgsql\",\"126\":\"highlight/php\",\"127\":\"highlight/plaintext\",\"128\":\"highlight/pony\",\"129\":\"highlight/powershell\",\"130\":\"highlight/processing\",\"131\":\"highlight/profile\",\"132\":\"highlight/prolog\",\"133\":\"highlight/properties\",\"134\":\"highlight/protobuf\",\"135\":\"highlight/puppet\",\"136\":\"highlight/purebasic\",\"137\":\"highlight/python\",\"138\":\"highlight/q\",\"139\":\"highlight/qml\",\"140\":\"highlight/r\",\"141\":\"highlight/reasonml\",\"142\":\"highlight/rib\",\"143\":\"highlight/roboconf\",\"144\":\"highlight/routeros\",\"145\":\"highlight/rsl\",\"146\":\"highlight/ruby\",\"147\":\"highlight/ruleslanguage\",\"148\":\"highlight/rust\",\"149\":\"highlight/sas\",\"150\":\"highlight/scala\",\"151\":\"highlight/scheme\",\"152\":\"highlight/scilab\",\"153\":\"highlight/scss\",\"154\":\"highlight/shell\",\"155\":\"highlight/smali\",\"156\":\"highlight/smalltalk\",\"157\":\"highlight/sml\",\"158\":\"highlight/sqf\",\"159\":\"highlight/sql\",\"160\":\"highlight/stan\",\"161\":\"highlight/stata\",\"162\":\"highlight/step21\",\"163\":\"highlight/stylus\",\"164\":\"highlight/subunit\",\"165\":\"highlight/swift\",\"166\":\"highlight/taggerscript\",\"167\":\"highlight/tap\",\"168\":\"highlight/tcl\",\"169\":\"highlight/tex\",\"170\":\"highlight/thrift\",\"171\":\"highlight/tp\",\"172\":\"highlight/twig\",\"173\":\"highlight/typescript\",\"174\":\"highlight/vala\",\"175\":\"highlight/vbnet\",\"176\":\"highlight/vbscript\",\"177\":\"highlight/vbscript-html\",\"178\":\"highlight/verilog\",\"179\":\"highlight/vhdl\",\"180\":\"highlight/vim\",\"181\":\"highlight/x86asm\",\"182\":\"highlight/xl\",\"183\":\"highlight/xml\",\"184\":\"highlight/xquery\",\"185\":\"highlight/yaml\",\"186\":\"highlight/zephir\",\"187\":\"vendors~editor-collab~editor-guest\",\"188\":\"vendors~editor~files-modal\",\"189\":\"editor\",\"190\":\"editor-collab\",\"191\":\"editor-guest\",\"192\":\"editor-rich\",\"194\":\"files-modal\",\"197\":\"vendors~editor\",\"198\":\"vendors~editor-rich\",\"199\":\"vendors~files-modal\"}[chunkId]||chunkId) + \".js?v=\" + {\"0\":\"ed141b91c3a00e287c4c\",\"1\":\"b526403ab64d5164f29b\",\"2\":\"9cc569982de7443f974e\",\"3\":\"8934502764debdbf47fe\",\"4\":\"a95440520bd82b11c0f3\",\"5\":\"f8b1f84d39c3d1f1e3bd\",\"6\":\"e656029399ef6f83160c\",\"7\":\"104479551edd172ad73e\",\"8\":\"fbb59dc9fb3be5109bcc\",\"9\":\"5e2e0f261e76c85d3a9d\",\"10\":\"f349d212d16773240eeb\",\"11\":\"c7f7bc33077eaff25b13\",\"12\":\"b9f39d176581d2a05635\",\"13\":\"827bcc9381bce9f2a12b\",\"14\":\"3a2c10b412d047a60bd8\",\"15\":\"feb1d1e2c65a332af9bf\",\"16\":\"0cba5e452dfcc760d998\",\"17\":\"670f9f95aaff5410673d\",\"18\":\"8908b67ae2d46f588034\",\"19\":\"e0f104cf2339dde93596\",\"20\":\"61cc9cd76682ca7d6c9c\",\"21\":\"5edbbab081eede46fe8d\",\"22\":\"c308a241ae1a184f9629\",\"23\":\"69a1d83d373c8e516a75\",\"24\":\"b7ddfebfd6277dccb1ab\",\"25\":\"74038533676091b4e205\",\"26\":\"04943cf8159d3a6b7e7c\",\"27\":\"d10832fa81004232164f\",\"28\":\"c3e78ed772be50fe99d6\",\"29\":\"cb9bd3633080a139fafc\",\"30\":\"bfc695841df82d72642c\",\"31\":\"68737ba6bd067e9713bd\",\"32\":\"8edf814709e41a621c00\",\"33\":\"e6c665aab6af75c3d662\",\"34\":\"146c01012c96f04cb22e\",\"35\":\"8d010e787091697e7890\",\"36\":\"6ff571801a33c4c3d23f\",\"37\":\"c96931208c9707ba550d\",\"38\":\"06cc48e69fd68d1ce5af\",\"39\":\"30d1fed026d1d9dd19d9\",\"40\":\"804b4b3ff6753c8c1c43\",\"41\":\"e66fee2b0448bc1f6a9c\",\"42\":\"5da15a69249959cbdb60\",\"43\":\"ab32283b9049df8d470b\",\"44\":\"b053b56cf0aae271b5ee\",\"45\":\"625803695a16972bdd7d\",\"46\":\"3bc9854c21810d4e263a\",\"47\":\"c12e545c5010d19f0a58\",\"48\":\"8efbb91ad56c3968ed1f\",\"49\":\"92d947b623617aa3eece\",\"50\":\"7cea281ba25ba8179ba6\",\"51\":\"efbdc8a740fc5f59526a\",\"52\":\"0a0dc45473581e3c61a4\",\"53\":\"7f111a57a9520e4338e6\",\"54\":\"6ccc1724f23c9880b1af\",\"55\":\"1db2104639486ce6a886\",\"56\":\"0ea1e64f690e80a51ef8\",\"57\":\"f7d5755284641d7499f6\",\"58\":\"ff4b8a653e14b25452ee\",\"59\":\"e9a31c00d950fd74fd30\",\"60\":\"7b9d865da00740ad6235\",\"61\":\"e52ae1f393a85870b0db\",\"62\":\"80b8ca041bdcc1368596\",\"63\":\"bb78d535d122c148ce9f\",\"64\":\"c938bd5783c1cba9271e\",\"65\":\"34f40631f4912e010eb4\",\"66\":\"4a5b068975eb4fe5fc1a\",\"67\":\"8603d4cd1b8ec3850173\",\"68\":\"9184313b6a16567d122d\",\"69\":\"e849b1f0d657b6bcedcc\",\"70\":\"db86e40009abe2cb833f\",\"71\":\"f2c66ec3181a23a20bb3\",\"72\":\"8e8b1a6c7418a162ca0c\",\"73\":\"7f8238c2027977ba846f\",\"74\":\"8d4d5c447105b87a1561\",\"75\":\"e083aa45046ae27dd8f9\",\"76\":\"2e120e96171c74f2c192\",\"77\":\"e2fad7bd884a27dbd116\",\"78\":\"98335291648e3efa80a0\",\"79\":\"b68c0a22eafb43ae73f2\",\"80\":\"5901c54722f00ddb0fff\",\"81\":\"0c070095cd563fb283f9\",\"82\":\"fec83e19cc60434e549e\",\"83\":\"1dbac8b292d7170cad4f\",\"84\":\"e951995b14ff42ec76d5\",\"85\":\"e0920c0f4c233fc35617\",\"86\":\"909664c829c66b37ea84\",\"87\":\"b505e6d42584ab0c7485\",\"88\":\"e1bf63845c6da47deabd\",\"89\":\"dc7ad4072726ba046f85\",\"90\":\"8db560e208052754ae35\",\"91\":\"5d5ecb397905792cd093\",\"92\":\"f0cb3fbeaac6d1eef3d4\",\"93\":\"4a0551bc1bc454a08f23\",\"94\":\"20b8bc8ae962f4e3e0d3\",\"95\":\"2b754318c79c4732d941\",\"96\":\"6683a8df27a1e8e548cd\",\"97\":\"2a3b108b77565b4b13ce\",\"98\":\"de8eeeb74721bdcb4f62\",\"99\":\"3e02aba5fc7b6b630780\",\"100\":\"f4717f9fd32db6f93186\",\"101\":\"7d08093791d0dd523e0a\",\"102\":\"c87b4d23ef01e373209c\",\"103\":\"bfcff5869f59f182fad6\",\"104\":\"2ee9b6533b8cb874f361\",\"105\":\"046dd34749d3b80b55b8\",\"106\":\"216bf44da3da1098cda3\",\"107\":\"ea4494b7e22551cecffb\",\"108\":\"85abbb968434f2b20037\",\"109\":\"82f47ef94dd9d67243a3\",\"110\":\"646a52273319f1a0360e\",\"111\":\"79c7c5fb901ec2f5afba\",\"112\":\"259c468782b9a9863fc3\",\"113\":\"819e9f111e7e6fcc1b0c\",\"114\":\"9e45882f2d58060a2f02\",\"115\":\"930e05a008dfa1d6ac01\",\"116\":\"941a6c647f5f859f2333\",\"117\":\"ff1f31092556ed517fc8\",\"118\":\"11b6edb8bf4fc34b0bee\",\"119\":\"26257cd9b184fb1a5c03\",\"120\":\"7acd43d1048beb6cd2a2\",\"121\":\"078b873ba9e78fa502da\",\"122\":\"88c7a8cd25f6944801f9\",\"123\":\"f8bf6d3c46f3315f43ed\",\"124\":\"d6fb6a80350420c0a6f9\",\"125\":\"cac13aff011c525bc9d7\",\"126\":\"0eb2c247aae16b02f68d\",\"127\":\"498081833cfcab05f826\",\"128\":\"e4396af24945aecbd6d6\",\"129\":\"0cf6db049788858d088d\",\"130\":\"ffb6de30b7f89545e60c\",\"131\":\"7cbb5b2fb0da3a51e144\",\"132\":\"8108bc3f700a95263091\",\"133\":\"a559582eda72a2e1f541\",\"134\":\"88f6a3bcc077da83c858\",\"135\":\"99676849ca57736cf88c\",\"136\":\"21fd409ca5694de6309f\",\"137\":\"297b9577b7cfff307bf0\",\"138\":\"72ed8b5fc8665e5f06a9\",\"139\":\"c0c830adc1a56d1b859d\",\"140\":\"6c3dc37fcd75ccd3ec9b\",\"141\":\"696ba59a793c33686d03\",\"142\":\"16409453f26eabc69e8e\",\"143\":\"597706970806bd69104f\",\"144\":\"c16c9e14a4fae991856e\",\"145\":\"0fb08bc1cfc2e430eaff\",\"146\":\"e121f914ab1ce1f732ae\",\"147\":\"84abdf1e13c43bb56fce\",\"148\":\"daf2a80f2e0a56bedf82\",\"149\":\"ba794444b6b6c17f490b\",\"150\":\"cddb8e9bdb96da263c4f\",\"151\":\"4ac4a448fb305c6aa2d1\",\"152\":\"430c663b16533ace64db\",\"153\":\"6e2c220181580676a4c1\",\"154\":\"1b3adb8f912ffbac3be6\",\"155\":\"8f1c1ff1344ff05a9a6d\",\"156\":\"0e1ca20397f17d390f66\",\"157\":\"9759864c5643a000f457\",\"158\":\"f5d83762546e331af60e\",\"159\":\"9e602e4836c8d4411632\",\"160\":\"b78635dc2335bb0f7020\",\"161\":\"0709e61532b44bbb912d\",\"162\":\"3b98eda3d9a0629bc5d2\",\"163\":\"c872d3944a2bc3799059\",\"164\":\"2fe4efa9d54da3cab486\",\"165\":\"db5cdb7a129af4b1f4bb\",\"166\":\"2808c62683ede878eeeb\",\"167\":\"aa7ff5d3fc416ad1d3c4\",\"168\":\"b5af61b0f40933752a8c\",\"169\":\"6f1f5567056e9ef490ba\",\"170\":\"87cf85eff150505675ca\",\"171\":\"f2411c2c74270ce81d52\",\"172\":\"1e761e47062a79129dfc\",\"173\":\"8ee2ac43425e97a68b30\",\"174\":\"c596ef91f72126723c09\",\"175\":\"2f85db1ca98a0a0b2e08\",\"176\":\"b63fadbabeeffa3e7297\",\"177\":\"a7ac21a917bbd8e7c5bf\",\"178\":\"f999bb4dba18d55d2701\",\"179\":\"534165910a2e66f505b5\",\"180\":\"0930b39394e5c4e5b9c4\",\"181\":\"c14e03ac28d97e1a2d6f\",\"182\":\"79466549c0c67fec7bbc\",\"183\":\"106ae920216b54c5ba56\",\"184\":\"08968ac1851deb2d5eaa\",\"185\":\"f59154c9d8046c81c18d\",\"186\":\"5a89a2d882980e9f48e1\",\"187\":\"12d203d6dad17366477c\",\"188\":\"52bb514bab8d0c1423ed\",\"189\":\"b9981ca8a64832b3b257\",\"190\":\"bbfda5a02511351a232c\",\"191\":\"e1bf59729a8b74be4d0d\",\"192\":\"e548ee7cc67f1a27e8c9\",\"194\":\"a005c419ab452b870d8d\",\"197\":\"120d4b01f30f8b7368ba\",\"198\":\"934bbece9404fd23da49\",\"199\":\"3520de68cd23fc6b8a5b\"}[chunkId] + \"\"\n \t}\n\n \t// The require function\n \tfunction __webpack_require__(moduleId) {\n\n \t\t// Check if module is in cache\n \t\tif(installedModules[moduleId]) {\n \t\t\treturn installedModules[moduleId].exports;\n \t\t}\n \t\t// Create a new module (and put it into the cache)\n \t\tvar module = installedModules[moduleId] = {\n \t\t\ti: moduleId,\n \t\t\tl: false,\n \t\t\texports: {}\n \t\t};\n\n \t\t// Execute the module function\n \t\tmodules[moduleId].call(module.exports, module, module.exports, __webpack_require__);\n\n \t\t// Flag the module as loaded\n \t\tmodule.l = true;\n\n \t\t// Return the exports of the module\n \t\treturn module.exports;\n \t}\n\n \t// This file contains only the entry chunk.\n \t// The chunk loading function for additional chunks\n \t__webpack_require__.e = function requireEnsure(chunkId) {\n \t\tvar promises = [];\n\n\n \t\t// JSONP chunk loading for javascript\n\n \t\tvar installedChunkData = installedChunks[chunkId];\n \t\tif(installedChunkData !== 0) { // 0 means \"already installed\".\n\n \t\t\t// a Promise means \"currently loading\".\n \t\t\tif(installedChunkData) {\n \t\t\t\tpromises.push(installedChunkData[2]);\n \t\t\t} else {\n \t\t\t\t// setup Promise in chunk cache\n \t\t\t\tvar promise = new Promise(function(resolve, reject) {\n \t\t\t\t\tinstalledChunkData = installedChunks[chunkId] = [resolve, reject];\n \t\t\t\t});\n \t\t\t\tpromises.push(installedChunkData[2] = promise);\n\n \t\t\t\t// start chunk loading\n \t\t\t\tvar script = document.createElement('script');\n \t\t\t\tvar onScriptComplete;\n\n \t\t\t\tscript.charset = 'utf-8';\n \t\t\t\tscript.timeout = 120;\n \t\t\t\tif (__webpack_require__.nc) {\n \t\t\t\t\tscript.setAttribute(\"nonce\", __webpack_require__.nc);\n \t\t\t\t}\n \t\t\t\tscript.src = jsonpScriptSrc(chunkId);\n\n \t\t\t\t// create error before stack unwound to get useful stacktrace later\n \t\t\t\tvar error = new Error();\n \t\t\t\tonScriptComplete = function (event) {\n \t\t\t\t\t// avoid mem leaks in IE.\n \t\t\t\t\tscript.onerror = script.onload = null;\n \t\t\t\t\tclearTimeout(timeout);\n \t\t\t\t\tvar chunk = installedChunks[chunkId];\n \t\t\t\t\tif(chunk !== 0) {\n \t\t\t\t\t\tif(chunk) {\n \t\t\t\t\t\t\tvar errorType = event && (event.type === 'load' ? 'missing' : event.type);\n \t\t\t\t\t\t\tvar realSrc = event && event.target && event.target.src;\n \t\t\t\t\t\t\terror.message = 'Loading chunk ' + chunkId + ' failed.\\n(' + errorType + ': ' + realSrc + ')';\n \t\t\t\t\t\t\terror.name = 'ChunkLoadError';\n \t\t\t\t\t\t\terror.type = errorType;\n \t\t\t\t\t\t\terror.request = realSrc;\n \t\t\t\t\t\t\tchunk[1](error);\n \t\t\t\t\t\t}\n \t\t\t\t\t\tinstalledChunks[chunkId] = undefined;\n \t\t\t\t\t}\n \t\t\t\t};\n \t\t\t\tvar timeout = setTimeout(function(){\n \t\t\t\t\tonScriptComplete({ type: 'timeout', target: script });\n \t\t\t\t}, 120000);\n \t\t\t\tscript.onerror = script.onload = onScriptComplete;\n \t\t\t\tdocument.head.appendChild(script);\n \t\t\t}\n \t\t}\n \t\treturn Promise.all(promises);\n \t};\n\n \t// expose the modules object (__webpack_modules__)\n \t__webpack_require__.m = modules;\n\n \t// expose the module cache\n \t__webpack_require__.c = installedModules;\n\n \t// define getter function for harmony exports\n \t__webpack_require__.d = function(exports, name, getter) {\n \t\tif(!__webpack_require__.o(exports, name)) {\n \t\t\tObject.defineProperty(exports, name, { enumerable: true, get: getter });\n \t\t}\n \t};\n\n \t// define __esModule on exports\n \t__webpack_require__.r = function(exports) {\n \t\tif(typeof Symbol !== 'undefined' && Symbol.toStringTag) {\n \t\t\tObject.defineProperty(exports, Symbol.toStringTag, { value: 'Module' });\n \t\t}\n \t\tObject.defineProperty(exports, '__esModule', { value: true });\n \t};\n\n \t// create a fake namespace object\n \t// mode & 1: value is a module id, require it\n \t// mode & 2: merge all properties of value into the ns\n \t// mode & 4: return value when already ns object\n \t// mode & 8|1: behave like require\n \t__webpack_require__.t = function(value, mode) {\n \t\tif(mode & 1) value = __webpack_require__(value);\n \t\tif(mode & 8) return value;\n \t\tif((mode & 4) && typeof value === 'object' && value && value.__esModule) return value;\n \t\tvar ns = Object.create(null);\n \t\t__webpack_require__.r(ns);\n \t\tObject.defineProperty(ns, 'default', { enumerable: true, value: value });\n \t\tif(mode & 2 && typeof value != 'string') for(var key in value) __webpack_require__.d(ns, key, function(key) { return value[key]; }.bind(null, key));\n \t\treturn ns;\n \t};\n\n \t// getDefaultExport function for compatibility with non-harmony modules\n \t__webpack_require__.n = function(module) {\n \t\tvar getter = module && module.__esModule ?\n \t\t\tfunction getDefault() { return module['default']; } :\n \t\t\tfunction getModuleExports() { return module; };\n \t\t__webpack_require__.d(getter, 'a', getter);\n \t\treturn getter;\n \t};\n\n \t// Object.prototype.hasOwnProperty.call\n \t__webpack_require__.o = function(object, property) { return Object.prototype.hasOwnProperty.call(object, property); };\n\n \t// __webpack_public_path__\n \t__webpack_require__.p = \"/js/\";\n\n \t// on error function for async loading\n \t__webpack_require__.oe = function(err) { console.error(err); throw err; };\n\n \tvar jsonpArray = window[\"textWebpackJsonp\"] = window[\"textWebpackJsonp\"] || [];\n \tvar oldJsonpFunction = jsonpArray.push.bind(jsonpArray);\n \tjsonpArray.push = webpackJsonpCallback;\n \tjsonpArray = jsonpArray.slice();\n \tfor(var i = 0; i < jsonpArray.length; i++) webpackJsonpCallback(jsonpArray[i]);\n \tvar parentJsonpFunction = oldJsonpFunction;\n\n\n \t// Load entry module and return exports\n \treturn __webpack_require__(__webpack_require__.s = 174);\n","module.exports = function (exec) {\n try {\n return !!exec();\n } catch (error) {\n return true;\n }\n};\n","var global = require('../internals/global');\nvar shared = require('../internals/shared');\nvar has = require('../internals/has');\nvar uid = require('../internals/uid');\nvar NATIVE_SYMBOL = require('../internals/native-symbol');\nvar USE_SYMBOL_AS_UID = require('../internals/use-symbol-as-uid');\n\nvar WellKnownSymbolsStore = shared('wks');\nvar Symbol = global.Symbol;\nvar createWellKnownSymbol = USE_SYMBOL_AS_UID ? Symbol : Symbol && Symbol.withoutSetter || uid;\n\nmodule.exports = function (name) {\n if (!has(WellKnownSymbolsStore, name)) {\n if (NATIVE_SYMBOL && has(Symbol, name)) WellKnownSymbolsStore[name] = Symbol[name];\n else WellKnownSymbolsStore[name] = createWellKnownSymbol('Symbol.' + name);\n } return WellKnownSymbolsStore[name];\n};\n","var check = function (it) {\n return it && it.Math == Math && it;\n};\n\n// https://github.com/zloirock/core-js/issues/86#issuecomment-115759028\nmodule.exports =\n // eslint-disable-next-line no-undef\n check(typeof globalThis == 'object' && globalThis) ||\n check(typeof window == 'object' && window) ||\n check(typeof self == 'object' && self) ||\n check(typeof global == 'object' && global) ||\n // eslint-disable-next-line no-new-func\n Function('return this')();\n","'use strict';\n\nvar bind = require('./helpers/bind');\n\n/*global toString:true*/\n\n// utils is a library of generic helper functions non-specific to axios\n\nvar toString = Object.prototype.toString;\n\n/**\n * Determine if a value is an Array\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Array, otherwise false\n */\nfunction isArray(val) {\n return toString.call(val) === '[object Array]';\n}\n\n/**\n * Determine if a value is undefined\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if the value is undefined, otherwise false\n */\nfunction isUndefined(val) {\n return typeof val === 'undefined';\n}\n\n/**\n * Determine if a value is a Buffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Buffer, otherwise false\n */\nfunction isBuffer(val) {\n return val !== null && !isUndefined(val) && val.constructor !== null && !isUndefined(val.constructor)\n && typeof val.constructor.isBuffer === 'function' && val.constructor.isBuffer(val);\n}\n\n/**\n * Determine if a value is an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an ArrayBuffer, otherwise false\n */\nfunction isArrayBuffer(val) {\n return toString.call(val) === '[object ArrayBuffer]';\n}\n\n/**\n * Determine if a value is a FormData\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an FormData, otherwise false\n */\nfunction isFormData(val) {\n return (typeof FormData !== 'undefined') && (val instanceof FormData);\n}\n\n/**\n * Determine if a value is a view on an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a view on an ArrayBuffer, otherwise false\n */\nfunction isArrayBufferView(val) {\n var result;\n if ((typeof ArrayBuffer !== 'undefined') && (ArrayBuffer.isView)) {\n result = ArrayBuffer.isView(val);\n } else {\n result = (val) && (val.buffer) && (val.buffer instanceof ArrayBuffer);\n }\n return result;\n}\n\n/**\n * Determine if a value is a String\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a String, otherwise false\n */\nfunction isString(val) {\n return typeof val === 'string';\n}\n\n/**\n * Determine if a value is a Number\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Number, otherwise false\n */\nfunction isNumber(val) {\n return typeof val === 'number';\n}\n\n/**\n * Determine if a value is an Object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Object, otherwise false\n */\nfunction isObject(val) {\n return val !== null && typeof val === 'object';\n}\n\n/**\n * Determine if a value is a Date\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Date, otherwise false\n */\nfunction isDate(val) {\n return toString.call(val) === '[object Date]';\n}\n\n/**\n * Determine if a value is a File\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a File, otherwise false\n */\nfunction isFile(val) {\n return toString.call(val) === '[object File]';\n}\n\n/**\n * Determine if a value is a Blob\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Blob, otherwise false\n */\nfunction isBlob(val) {\n return toString.call(val) === '[object Blob]';\n}\n\n/**\n * Determine if a value is a Function\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Function, otherwise false\n */\nfunction isFunction(val) {\n return toString.call(val) === '[object Function]';\n}\n\n/**\n * Determine if a value is a Stream\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Stream, otherwise false\n */\nfunction isStream(val) {\n return isObject(val) && isFunction(val.pipe);\n}\n\n/**\n * Determine if a value is a URLSearchParams object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a URLSearchParams object, otherwise false\n */\nfunction isURLSearchParams(val) {\n return typeof URLSearchParams !== 'undefined' && val instanceof URLSearchParams;\n}\n\n/**\n * Trim excess whitespace off the beginning and end of a string\n *\n * @param {String} str The String to trim\n * @returns {String} The String freed of excess whitespace\n */\nfunction trim(str) {\n return str.replace(/^\\s*/, '').replace(/\\s*$/, '');\n}\n\n/**\n * Determine if we're running in a standard browser environment\n *\n * This allows axios to run in a web worker, and react-native.\n * Both environments support XMLHttpRequest, but not fully standard globals.\n *\n * web workers:\n * typeof window -> undefined\n * typeof document -> undefined\n *\n * react-native:\n * navigator.product -> 'ReactNative'\n * nativescript\n * navigator.product -> 'NativeScript' or 'NS'\n */\nfunction isStandardBrowserEnv() {\n if (typeof navigator !== 'undefined' && (navigator.product === 'ReactNative' ||\n navigator.product === 'NativeScript' ||\n navigator.product === 'NS')) {\n return false;\n }\n return (\n typeof window !== 'undefined' &&\n typeof document !== 'undefined'\n );\n}\n\n/**\n * Iterate over an Array or an Object invoking a function for each item.\n *\n * If `obj` is an Array callback will be called passing\n * the value, index, and complete array for each item.\n *\n * If 'obj' is an Object callback will be called passing\n * the value, key, and complete object for each property.\n *\n * @param {Object|Array} obj The object to iterate\n * @param {Function} fn The callback to invoke for each item\n */\nfunction forEach(obj, fn) {\n // Don't bother if no value provided\n if (obj === null || typeof obj === 'undefined') {\n return;\n }\n\n // Force an array if not already something iterable\n if (typeof obj !== 'object') {\n /*eslint no-param-reassign:0*/\n obj = [obj];\n }\n\n if (isArray(obj)) {\n // Iterate over array values\n for (var i = 0, l = obj.length; i < l; i++) {\n fn.call(null, obj[i], i, obj);\n }\n } else {\n // Iterate over object keys\n for (var key in obj) {\n if (Object.prototype.hasOwnProperty.call(obj, key)) {\n fn.call(null, obj[key], key, obj);\n }\n }\n }\n}\n\n/**\n * Accepts varargs expecting each argument to be an object, then\n * immutably merges the properties of each object and returns result.\n *\n * When multiple objects contain the same key the later object in\n * the arguments list will take precedence.\n *\n * Example:\n *\n * ```js\n * var result = merge({foo: 123}, {foo: 456});\n * console.log(result.foo); // outputs 456\n * ```\n *\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction merge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = merge(result[key], val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Function equal to merge with the difference being that no reference\n * to original objects is kept.\n *\n * @see merge\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction deepMerge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = deepMerge(result[key], val);\n } else if (typeof val === 'object') {\n result[key] = deepMerge({}, val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Extends object a by mutably adding to it the properties of object b.\n *\n * @param {Object} a The object to be extended\n * @param {Object} b The object to copy properties from\n * @param {Object} thisArg The object to bind function to\n * @return {Object} The resulting value of object a\n */\nfunction extend(a, b, thisArg) {\n forEach(b, function assignValue(val, key) {\n if (thisArg && typeof val === 'function') {\n a[key] = bind(val, thisArg);\n } else {\n a[key] = val;\n }\n });\n return a;\n}\n\nmodule.exports = {\n isArray: isArray,\n isArrayBuffer: isArrayBuffer,\n isBuffer: isBuffer,\n isFormData: isFormData,\n isArrayBufferView: isArrayBufferView,\n isString: isString,\n isNumber: isNumber,\n isObject: isObject,\n isUndefined: isUndefined,\n isDate: isDate,\n isFile: isFile,\n isBlob: isBlob,\n isFunction: isFunction,\n isStream: isStream,\n isURLSearchParams: isURLSearchParams,\n isStandardBrowserEnv: isStandardBrowserEnv,\n forEach: forEach,\n merge: merge,\n deepMerge: deepMerge,\n extend: extend,\n trim: trim\n};\n","var hasOwnProperty = {}.hasOwnProperty;\n\nmodule.exports = function (it, key) {\n return hasOwnProperty.call(it, key);\n};\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it)) {\n throw TypeError(String(it) + ' is not an object');\n } return it;\n};\n","module.exports = function (it) {\n return typeof it === 'object' ? it !== null : typeof it === 'function';\n};\n","var fails = require('../internals/fails');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !fails(function () {\n return Object.defineProperty({}, 1, { get: function () { return 7; } })[1] != 7;\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\nvar anObject = require('../internals/an-object');\nvar toPrimitive = require('../internals/to-primitive');\n\nvar nativeDefineProperty = Object.defineProperty;\n\n// `Object.defineProperty` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperty\nexports.f = DESCRIPTORS ? nativeDefineProperty : function defineProperty(O, P, Attributes) {\n anObject(O);\n P = toPrimitive(P, true);\n anObject(Attributes);\n if (IE8_DOM_DEFINE) try {\n return nativeDefineProperty(O, P, Attributes);\n } catch (error) { /* empty */ }\n if ('get' in Attributes || 'set' in Attributes) throw TypeError('Accessors not supported');\n if ('value' in Attributes) O[P] = Attributes.value;\n return O;\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = DESCRIPTORS ? function (object, key, value) {\n return definePropertyModule.f(object, key, createPropertyDescriptor(1, value));\n} : function (object, key, value) {\n object[key] = value;\n return object;\n};\n","var global = require('../internals/global');\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar setGlobal = require('../internals/set-global');\nvar copyConstructorProperties = require('../internals/copy-constructor-properties');\nvar isForced = require('../internals/is-forced');\n\n/*\n options.target - name of the target object\n options.global - target is the global object\n options.stat - export as static methods of target\n options.proto - export as prototype methods of target\n options.real - real prototype method for the `pure` version\n options.forced - export even if the native feature is available\n options.bind - bind methods to the target, required for the `pure` version\n options.wrap - wrap constructors to preventing global pollution, required for the `pure` version\n options.unsafe - use the simple assignment of property instead of delete + defineProperty\n options.sham - add a flag to not completely full polyfills\n options.enumerable - export as enumerable property\n options.noTargetGet - prevent calling a getter on target\n*/\nmodule.exports = function (options, source) {\n var TARGET = options.target;\n var GLOBAL = options.global;\n var STATIC = options.stat;\n var FORCED, target, key, targetProperty, sourceProperty, descriptor;\n if (GLOBAL) {\n target = global;\n } else if (STATIC) {\n target = global[TARGET] || setGlobal(TARGET, {});\n } else {\n target = (global[TARGET] || {}).prototype;\n }\n if (target) for (key in source) {\n sourceProperty = source[key];\n if (options.noTargetGet) {\n descriptor = getOwnPropertyDescriptor(target, key);\n targetProperty = descriptor && descriptor.value;\n } else targetProperty = target[key];\n FORCED = isForced(GLOBAL ? key : TARGET + (STATIC ? '.' : '#') + key, options.forced);\n // contained in target\n if (!FORCED && targetProperty !== undefined) {\n if (typeof sourceProperty === typeof targetProperty) continue;\n copyConstructorProperties(sourceProperty, targetProperty);\n }\n // add a flag to not completely full polyfills\n if (options.sham || (targetProperty && targetProperty.sham)) {\n createNonEnumerableProperty(sourceProperty, 'sham', true);\n }\n // extend global\n redefine(target, key, sourceProperty, options);\n }\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.index-of\");\n\nrequire(\"core-js/modules/es.object.assign\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.regexp.to-string\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRootUrl = exports.generateFilePath = exports.imagePath = exports.generateUrl = exports.generateOcsUrl = exports.generateRemoteUrl = exports.linkTo = void 0;\n\n/// <reference types=\"@nextcloud/typings\" />\n\n/**\n * Get an absolute url to a file in an app\n *\n * @param {string} app the id of the app the file belongs to\n * @param {string} file the file path relative to the app folder\n * @return {string} Absolute URL to a file\n */\nvar linkTo = function linkTo(app, file) {\n return generateFilePath(app, '', file);\n};\n/**\n * Creates a relative url for remote use\n *\n * @param {string} service id\n * @return {string} the url\n */\n\n\nexports.linkTo = linkTo;\n\nvar linkToRemoteBase = function linkToRemoteBase(service) {\n return getRootUrl() + '/remote.php/' + service;\n};\n/**\n * @brief Creates an absolute url for remote use\n * @param {string} service id\n * @return {string} the url\n */\n\n\nvar generateRemoteUrl = function generateRemoteUrl(service) {\n return window.location.protocol + '//' + window.location.host + linkToRemoteBase(service);\n};\n/**\n * Get the base path for the given OCS API service\n *\n * @param {string} service name\n * @param {int} version OCS API version\n * @return {string} OCS API base path\n */\n\n\nexports.generateRemoteUrl = generateRemoteUrl;\n\nvar generateOcsUrl = function generateOcsUrl(service, version) {\n version = version !== 2 ? 1 : 2;\n return window.location.protocol + '//' + window.location.host + getRootUrl() + '/ocs/v' + version + '.php/' + service + '/';\n};\n\nexports.generateOcsUrl = generateOcsUrl;\n\n/**\n * Generate the absolute url for the given relative url, which can contain parameters\n *\n * Parameters will be URL encoded automatically\n *\n * @return {string} Absolute URL for the given relative URL\n */\nvar generateUrl = function generateUrl(url, params, options) {\n var allOptions = Object.assign({\n escape: true,\n noRewrite: false\n }, options || {});\n\n var _build = function _build(text, vars) {\n vars = vars || {};\n return text.replace(/{([^{}]*)}/g, function (a, b) {\n var r = vars[b];\n\n if (allOptions.escape) {\n return typeof r === 'string' || typeof r === 'number' ? encodeURIComponent(r.toString()) : encodeURIComponent(a);\n } else {\n return typeof r === 'string' || typeof r === 'number' ? r.toString() : a;\n }\n });\n };\n\n if (url.charAt(0) !== '/') {\n url = '/' + url;\n }\n\n if (OC.config.modRewriteWorking === true && !allOptions.noRewrite) {\n return getRootUrl() + _build(url, params || {});\n }\n\n return getRootUrl() + '/index.php' + _build(url, params || {});\n};\n/**\n * Get the absolute path to an image file\n * if no extension is given for the image, it will automatically decide\n * between .png and .svg based on what the browser supports\n *\n * @param {string} app the app id to which the image belongs\n * @param {string} file the name of the image file\n * @return {string}\n */\n\n\nexports.generateUrl = generateUrl;\n\nvar imagePath = function imagePath(app, file) {\n if (file.indexOf('.') === -1) {\n //if no extension is given, use svg\n return generateFilePath(app, 'img', file + '.svg');\n }\n\n return generateFilePath(app, 'img', file);\n};\n/**\n * Get the absolute url for a file in an app\n *\n * @param {string} app the id of the app\n * @param {string} type the type of the file to link to (e.g. css,img,ajax.template)\n * @param {string} file the filename\n * @return {string} Absolute URL for a file in an app\n */\n\n\nexports.imagePath = imagePath;\n\nvar generateFilePath = function generateFilePath(app, type, file) {\n var isCore = OC.coreApps.indexOf(app) !== -1;\n var link = getRootUrl();\n\n if (file.substring(file.length - 3) === 'php' && !isCore) {\n link += '/index.php/apps/' + app;\n\n if (file !== 'index.php') {\n link += '/';\n\n if (type) {\n link += encodeURI(type + '/');\n }\n\n link += file;\n }\n } else if (file.substring(file.length - 3) !== 'php' && !isCore) {\n link = OC.appswebroots[app];\n\n if (type) {\n link += '/' + type + '/';\n }\n\n if (link.substring(link.length - 1) !== '/') {\n link += '/';\n }\n\n link += file;\n } else {\n if ((app === 'settings' || app === 'core' || app === 'search') && type === 'ajax') {\n link += '/index.php/';\n } else {\n link += '/';\n }\n\n if (!isCore) {\n link += 'apps/';\n }\n\n if (app !== '') {\n app += '/';\n link += app;\n }\n\n if (type) {\n link += type + '/';\n }\n\n link += file;\n }\n\n return link;\n};\n/**\n * Return the web root path where this Nextcloud instance\n * is accessible, with a leading slash.\n * For example \"/nextcloud\".\n *\n * @return {string} web root path\n */\n\n\nexports.generateFilePath = generateFilePath;\n\nvar getRootUrl = function getRootUrl() {\n return OC.webroot;\n};\n\nexports.getRootUrl = getRootUrl;\n//# sourceMappingURL=index.js.map","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar setGlobal = require('../internals/set-global');\nvar inspectSource = require('../internals/inspect-source');\nvar InternalStateModule = require('../internals/internal-state');\n\nvar getInternalState = InternalStateModule.get;\nvar enforceInternalState = InternalStateModule.enforce;\nvar TEMPLATE = String(String).split('String');\n\n(module.exports = function (O, key, value, options) {\n var unsafe = options ? !!options.unsafe : false;\n var simple = options ? !!options.enumerable : false;\n var noTargetGet = options ? !!options.noTargetGet : false;\n if (typeof value == 'function') {\n if (typeof key == 'string' && !has(value, 'name')) createNonEnumerableProperty(value, 'name', key);\n enforceInternalState(value).source = TEMPLATE.join(typeof key == 'string' ? key : '');\n }\n if (O === global) {\n if (simple) O[key] = value;\n else setGlobal(key, value);\n return;\n } else if (!unsafe) {\n delete O[key];\n } else if (!noTargetGet && O[key]) {\n simple = true;\n }\n if (simple) O[key] = value;\n else createNonEnumerableProperty(O, key, value);\n// add fake Function#toString for correct work wrapped methods / constructors with methods like LoDash isNative\n})(Function.prototype, 'toString', function toString() {\n return typeof this == 'function' && getInternalState(this).source || inspectSource(this);\n});\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nconst openMimetypesMarkdown = [\n\t'text/markdown',\n]\n\nconst openMimetypesPlainText = [\n\t'text/plain',\n\t'application/cmd',\n\t'application/x-empty',\n\t'application/x-msdos-program',\n\t'application/epub+zip',\n\t'application/javascript',\n\t'application/json',\n\t'application/x-perl',\n\t'application/x-php',\n\t'application/x-tex',\n\t'application/xml',\n\t'application/yaml',\n\t'text/css',\n\t'text/csv',\n\t'text/html',\n\t'text/x-c',\n\t'text/x-c++src',\n\t'text/x-h',\n\t'text/x-java-source',\n\t'text/x-ldif',\n\t'text/x-python',\n\t'text/x-shellscript',\n]\n\nconst openMimetypes = [...openMimetypesMarkdown, ...openMimetypesPlainText]\n\nexport {\n\topenMimetypes,\n\topenMimetypesMarkdown,\n\topenMimetypesPlainText,\n}\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.subscribe = subscribe;\nexports.unsubscribe = unsubscribe;\nexports.emit = emit;\n\nvar _ProxyBus = require(\"./ProxyBus\");\n\nvar _SimpleBus = require(\"./SimpleBus\");\n\nfunction getBus() {\n if (typeof window.OC !== 'undefined' && window.OC._eventBus && typeof window._nc_event_bus === 'undefined') {\n console.warn('found old event bus instance at OC._eventBus. Update your version!');\n window._nc_event_bus = window.OC._eventBus;\n } // Either use an existing event bus instance or create one\n\n\n if (typeof window._nc_event_bus !== 'undefined') {\n return new _ProxyBus.ProxyBus(window._nc_event_bus);\n } else {\n return window._nc_event_bus = new _SimpleBus.SimpleBus();\n }\n}\n\nvar bus = getBus();\n/**\n * Register an event listener\n *\n * @param name name of the event\n * @param handler callback invoked for every matching event emitted on the bus\n */\n\nfunction subscribe(name, handler) {\n bus.subscribe(name, handler);\n}\n/**\n * Unregister a previously registered event listener\n *\n * Note: doesn't work with anonymous functions (closures). Use method of an object or store listener function in variable.\n *\n * @param name name of the event\n * @param handler callback passed to `subscribed`\n */\n\n\nfunction unsubscribe(name, handler) {\n bus.unsubscribe(name, handler);\n}\n/**\n * Emit an event\n *\n * @param name name of the event\n * @param event event payload\n */\n\n\nfunction emit(name, event) {\n bus.emit(name, event);\n}\n//# sourceMappingURL=index.js.map","var toInteger = require('../internals/to-integer');\n\nvar min = Math.min;\n\n// `ToLength` abstract operation\n// https://tc39.github.io/ecma262/#sec-tolength\nmodule.exports = function (argument) {\n return argument > 0 ? min(toInteger(argument), 0x1FFFFFFFFFFFFF) : 0; // 2 ** 53 - 1 == 9007199254740991\n};\n","var requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `ToObject` abstract operation\n// https://tc39.github.io/ecma262/#sec-toobject\nmodule.exports = function (argument) {\n return Object(requireObjectCoercible(argument));\n};\n","module.exports = {};\n","/* globals __VUE_SSR_CONTEXT__ */\n\n// IMPORTANT: Do NOT use ES2015 features in this file (except for modules).\n// This module is a runtime utility for cleaner component module output and will\n// be included in the final webpack user bundle.\n\nexport default function normalizeComponent (\n scriptExports,\n render,\n staticRenderFns,\n functionalTemplate,\n injectStyles,\n scopeId,\n moduleIdentifier, /* server only */\n shadowMode /* vue-cli only */\n) {\n // Vue.extend constructor export interop\n var options = typeof scriptExports === 'function'\n ? scriptExports.options\n : scriptExports\n\n // render functions\n if (render) {\n options.render = render\n options.staticRenderFns = staticRenderFns\n options._compiled = true\n }\n\n // functional template\n if (functionalTemplate) {\n options.functional = true\n }\n\n // scopedId\n if (scopeId) {\n options._scopeId = 'data-v-' + scopeId\n }\n\n var hook\n if (moduleIdentifier) { // server build\n hook = function (context) {\n // 2.3 injection\n context =\n context || // cached call\n (this.$vnode && this.$vnode.ssrContext) || // stateful\n (this.parent && this.parent.$vnode && this.parent.$vnode.ssrContext) // functional\n // 2.2 with runInNewContext: true\n if (!context && typeof __VUE_SSR_CONTEXT__ !== 'undefined') {\n context = __VUE_SSR_CONTEXT__\n }\n // inject component styles\n if (injectStyles) {\n injectStyles.call(this, context)\n }\n // register component module identifier for async chunk inferrence\n if (context && context._registeredComponents) {\n context._registeredComponents.add(moduleIdentifier)\n }\n }\n // used by ssr in case component is cached and beforeCreate\n // never gets called\n options._ssrRegister = hook\n } else if (injectStyles) {\n hook = shadowMode\n ? function () {\n injectStyles.call(\n this,\n (options.functional ? this.parent : this).$root.$options.shadowRoot\n )\n }\n : injectStyles\n }\n\n if (hook) {\n if (options.functional) {\n // for template-only hot-reload because in that case the render fn doesn't\n // go through the normalizer\n options._injectStyles = hook\n // register for functional component in vue file\n var originalRender = options.render\n options.render = function renderWithStyleInjection (h, context) {\n hook.call(context)\n return originalRender(h, context)\n }\n } else {\n // inject component registration as beforeCreate hook\n var existing = options.beforeCreate\n options.beforeCreate = existing\n ? [].concat(existing, hook)\n : [hook]\n }\n }\n\n return {\n exports: scriptExports,\n options: options\n }\n}\n","var toString = {}.toString;\n\nmodule.exports = function (it) {\n return toString.call(it).slice(8, -1);\n};\n","var NATIVE_WEAK_MAP = require('../internals/native-weak-map');\nvar global = require('../internals/global');\nvar isObject = require('../internals/is-object');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar objectHas = require('../internals/has');\nvar sharedKey = require('../internals/shared-key');\nvar hiddenKeys = require('../internals/hidden-keys');\n\nvar WeakMap = global.WeakMap;\nvar set, get, has;\n\nvar enforce = function (it) {\n return has(it) ? get(it) : set(it, {});\n};\n\nvar getterFor = function (TYPE) {\n return function (it) {\n var state;\n if (!isObject(it) || (state = get(it)).type !== TYPE) {\n throw TypeError('Incompatible receiver, ' + TYPE + ' required');\n } return state;\n };\n};\n\nif (NATIVE_WEAK_MAP) {\n var store = new WeakMap();\n var wmget = store.get;\n var wmhas = store.has;\n var wmset = store.set;\n set = function (it, metadata) {\n wmset.call(store, it, metadata);\n return metadata;\n };\n get = function (it) {\n return wmget.call(store, it) || {};\n };\n has = function (it) {\n return wmhas.call(store, it);\n };\n} else {\n var STATE = sharedKey('state');\n hiddenKeys[STATE] = true;\n set = function (it, metadata) {\n createNonEnumerableProperty(it, STATE, metadata);\n return metadata;\n };\n get = function (it) {\n return objectHas(it, STATE) ? it[STATE] : {};\n };\n has = function (it) {\n return objectHas(it, STATE);\n };\n}\n\nmodule.exports = {\n set: set,\n get: get,\n has: has,\n enforce: enforce,\n getterFor: getterFor\n};\n","// `RequireObjectCoercible` abstract operation\n// https://tc39.github.io/ecma262/#sec-requireobjectcoercible\nmodule.exports = function (it) {\n if (it == undefined) throw TypeError(\"Can't call method on \" + it);\n return it;\n};\n","var path = require('../internals/path');\nvar global = require('../internals/global');\n\nvar aFunction = function (variable) {\n return typeof variable == 'function' ? variable : undefined;\n};\n\nmodule.exports = function (namespace, method) {\n return arguments.length < 2 ? aFunction(path[namespace]) || aFunction(global[namespace])\n : path[namespace] && path[namespace][method] || global[namespace] && global[namespace][method];\n};\n","var ceil = Math.ceil;\nvar floor = Math.floor;\n\n// `ToInteger` abstract operation\n// https://tc39.github.io/ecma262/#sec-tointeger\nmodule.exports = function (argument) {\n return isNaN(argument = +argument) ? 0 : (argument > 0 ? floor : ceil)(argument);\n};\n","module.exports = function (bitmap, value) {\n return {\n enumerable: !(bitmap & 1),\n configurable: !(bitmap & 2),\n writable: !(bitmap & 4),\n value: value\n };\n};\n","// toObject with fallback for non-array-like ES3 strings\nvar IndexedObject = require('../internals/indexed-object');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\nmodule.exports = function (it) {\n return IndexedObject(requireObjectCoercible(it));\n};\n","module.exports = {};\n","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"df9632ee\", content, true, {});","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div v-if=\"enabled\" id=\"rich-workspace\" :class=\"{'icon-loading': !loaded || !ready, 'focus': focus, 'dark': darkTheme, 'creatable': canCreate}\">\n\t\t<div v-if=\"showEmptyWorkspace\" class=\"empty-workspace\" @click=\"createNew\">\n\t\t\t<p class=\"placeholder\">\n\t\t\t\t{{ t('text', 'Add notes, lists or links …') }}\n\t\t\t</p>\n\t\t</div>\n\n\t\t<EditorWrapper v-if=\"file\"\n\t\t\tv-show=\"ready\"\n\t\t\t:key=\"file.id\"\n\t\t\t:file-id=\"file.id\"\n\t\t\t:relative-path=\"file.path\"\n\t\t\t:share-token=\"shareToken\"\n\t\t\t:active=\"true\"\n\t\t\t:autohide=\"true\"\n\t\t\t:mime=\"file.mimetype\"\n\t\t\t:autofocus=\"autofocus\"\n\t\t\t@ready=\"ready=true\"\n\t\t\t@focus=\"focus=true\"\n\t\t\t@blur=\"unfocus\"\n\t\t\t@error=\"reset\" />\n\t</div>\n</template>\n\n<script>\nimport axios from '@nextcloud/axios'\nimport { generateOcsUrl } from '@nextcloud/router'\nimport { subscribe } from '@nextcloud/event-bus'\n\nconst IS_PUBLIC = !!(document.getElementById('isPublic'))\nconst WORKSPACE_URL = generateOcsUrl('apps/text' + (IS_PUBLIC ? '/public' : ''), 2) + 'workspace'\n\nexport default {\n\tname: 'RichWorkspace',\n\tcomponents: {\n\t\tEditorWrapper: () => import(/* webpackChunkName: \"editor\" */'./../components/EditorWrapper'),\n\t},\n\tprops: {\n\t\tpath: {\n\t\t\ttype: String,\n\t\t\trequired: true,\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\tfocus: false,\n\t\t\tfolder: null,\n\t\t\tfile: null,\n\t\t\tloaded: false,\n\t\t\tready: false,\n\t\t\tautofocus: false,\n\t\t\tdarkTheme: OCA.Accessibility && OCA.Accessibility.theme === 'dark',\n\t\t\tenabled: OCA.Text.RichWorkspaceEnabled,\n\t\t}\n\t},\n\tcomputed: {\n\t\tshareToken() {\n\t\t\treturn document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\t\t},\n\t\tcanCreate() {\n\t\t\treturn !!(this.folder && (this.folder.permissions & OC.PERMISSION_CREATE))\n\t\t},\n\t\tshowEmptyWorkspace() {\n\t\t\treturn (!this.file || (this.autofocus && !this.ready)) && this.canCreate\n\t\t},\n\t},\n\twatch: {\n\t\tpath() {\n\t\t\tthis.getFileInfo()\n\t\t},\n\t\tfocus(newValue) {\n\t\t\tif (!newValue) {\n\t\t\t\tdocument.querySelector('#editor').scrollTo(0, 0)\n\t\t\t}\n\t\t},\n\t},\n\tasync mounted() {\n\t\tif (this.enabled) {\n\t\t\tthis.getFileInfo()\n\t\t}\n\t\tsubscribe('Text::showRichWorkspace', () => {\n\t\t\tthis.enabled = true\n\t\t\tthis.getFileInfo()\n\t\t})\n\t\tsubscribe('Text::hideRichWorkspace', () => {\n\t\t\tthis.enabled = false\n\t\t})\n\t},\n\tmethods: {\n\t\tunfocus() {\n\t\t\t// setTimeout(() => this.focus = false, 2000)\n\t\t},\n\t\treset() {\n\t\t\tthis.file = null\n\t\t\tthis.focus = false\n\t\t\tthis.$nextTick(() => {\n\t\t\t\tthis.creating = false\n\t\t\t\tthis.getFileInfo()\n\t\t\t})\n\t\t},\n\t\tgetFileInfo() {\n\t\t\tthis.loaded = false\n\t\t\tthis.autofocus = false\n\t\t\tthis.ready = false\n\t\t\tconst params = { path: this.path }\n\t\t\tif (IS_PUBLIC) {\n\t\t\t\tparams.shareToken = this.shareToken\n\t\t\t}\n\t\t\treturn axios.get(WORKSPACE_URL, { params }).then((response) => {\n\t\t\t\tconst data = response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = data.file\n\t\t\t\tthis.editing = true\n\t\t\t\tthis.loaded = true\n\t\t\t\treturn true\n\t\t\t}).catch((error) => {\n\t\t\t\tconst data = error.response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = null\n\t\t\t\tthis.loaded = true\n\t\t\t\tthis.ready = true\n\t\t\t\tthis.creating = false\n\t\t\t\treturn false\n\t\t\t})\n\t\t},\n\t\tcreateNew() {\n\t\t\tif (this.creating) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tthis.creating = true\n\t\t\tthis.getFileInfo().then((workspaceFileExists) => {\n\t\t\t\tthis.autofocus = true\n\t\t\t\tif (!workspaceFileExists) {\n\t\t\t\t\twindow.FileList.createFile('Readme.md', { scrollTo: false, animate: false }).then((status, data) => {\n\t\t\t\t\t\tthis.getFileInfo()\n\t\t\t\t\t})\n\t\t\t\t}\n\t\t\t})\n\t\t},\n\t},\n}\n</script>\n\n<style lang=\"scss\" scoped>\n\t#rich-workspace {\n\t\tpadding: 0 60px;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -24px;\n\t\ttext-align: left;\n\t\tmax-height: 0;\n\t\ttransition: max-height 0.5s cubic-bezier(0, 1, 0, 1);\n\t\t&.creatable {\n\t\t\tmin-height: 90px;\n\t\t}\n\t}\n\n\t/* For subfolders, where there are no Recommendations */\n\t#rich-workspace:only-child {\n\t\tmargin-bottom: 0;\n\t}\n\n\t.empty-workspace {\n\t\tpadding-top: 43px;\n\t\tcolor: var(--color-text-maxcontrast);\n\t\theight: 0;\n\t}\n\n\t#rich-workspace::v-deep div[contenteditable=false] {\n\t\twidth: 100%;\n\t\tpadding: 0px;\n\t\tbackground-color: var(--color-main-background);\n\t\topacity: 1;\n\t\tborder: none;\n\t}\n\n\t#rich-workspace::v-deep #editor-container {\n\t\theight: 100%;\n\t\tposition: unset !important;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper {\n\t\tposition: unset !important;\n\t\toverflow: visible;\n\t}\n\n\t#rich-workspace::v-deep #editor {\n\t\toverflow: scroll !important;\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper .ProseMirror {\n\t\tpadding: 0px;\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace::v-deep .menubar {\n\t\tz-index: 50;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -10px;\n\t}\n\n\t#rich-workspace::v-deep .menubar .menubar-icons {\n\t\tmargin-left: 0;\n\t}\n\n\t#rich-workspace::v-deep .editor__content {\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace.focus {\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace:not(.focus) {\n\t\tmax-height: 30vh;\n\t\tposition: relative;\n\t\toverflow: hidden;\n\t}\n\n\t#rich-workspace:not(.focus):not(.icon-loading):after {\n\t\tcontent: '';\n\t\tposition: absolute;\n\t\tz-index: 1;\n\t\tbottom: 0;\n\t\tleft: 0;\n\t\tpointer-events: none;\n\t\tbackground-image: linear-gradient(to bottom, rgba(255, 255, 255, 0), var(--color-main-background));\n\t\twidth: 100%;\n\t\theight: 4em;\n\t}\n\n\t#rich-workspace.dark:not(.focus):not(.icon-loading):after {\n\t\tbackground-image: linear-gradient(to bottom, rgba(0, 0, 0, 0), var(--color-main-background));\n\t}\n\n\t@media only screen and (max-width: 1024px) {\n\t\t#rich-workspace:not(.focus) {\n\t\t\tmax-height: 30vh;\n\t\t}\n\t}\n\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./RichWorkspace.vue?vue&type=template&id=4bea3b82&scoped=true&\"\nimport script from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nexport * from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nimport style0 from \"./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"4bea3b82\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return (_vm.enabled)?_c('div',{class:{'icon-loading': !_vm.loaded || !_vm.ready, 'focus': _vm.focus, 'dark': _vm.darkTheme, 'creatable': _vm.canCreate},attrs:{\"id\":\"rich-workspace\"}},[(_vm.showEmptyWorkspace)?_c('div',{staticClass:\"empty-workspace\",on:{\"click\":_vm.createNew}},[_c('p',{staticClass:\"placeholder\"},[_vm._v(\"\\n\\t\\t\\t\"+_vm._s(_vm.t('text', 'Add notes, lists or links …'))+\"\\n\\t\\t\")])]):_vm._e(),_vm._v(\" \"),(_vm.file)?_c('EditorWrapper',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.ready),expression:\"ready\"}],key:_vm.file.id,attrs:{\"file-id\":_vm.file.id,\"relative-path\":_vm.file.path,\"share-token\":_vm.shareToken,\"active\":true,\"autohide\":true,\"mime\":_vm.file.mimetype,\"autofocus\":_vm.autofocus},on:{\"ready\":function($event){_vm.ready=true},\"focus\":function($event){_vm.focus=true},\"blur\":_vm.unfocus,\"error\":_vm.reset}}):_vm._e()],1):_vm._e()}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { openMimetypes } from './mime'\nimport RichWorkspace from '../views/RichWorkspace'\nimport { imagePath } from '@nextcloud/router'\n\nconst FILE_ACTION_IDENTIFIER = 'Edit with text app'\n\nconst optimalPath = function(from, to) {\n\tconst current = from.split('/')\n\tconst target = to.split('/')\n\tcurrent.pop() // ignore filename\n\twhile (current[0] === target[0]) {\n\t\tcurrent.shift()\n\t\ttarget.shift()\n\t}\n\tconst relativePath = current.fill('..').concat(target)\n\tconst absolutePath = to.split('/')\n\treturn relativePath.length < absolutePath.length\n\t\t? relativePath.join('/')\n\t\t: to\n}\n\nconst registerFileCreate = () => {\n\tconst newFileMenuPlugin = {\n\t\tattach(menu) {\n\t\t\tconst fileList = menu.fileList\n\n\t\t\t// only attach to main file list, public view is not supported yet\n\t\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\t\treturn\n\t\t\t}\n\n\t\t\t// register the new menu entry\n\t\t\tmenu.addMenuEntry({\n\t\t\t\tid: 'file',\n\t\t\t\tdisplayName: t('text', 'New text document'),\n\t\t\t\ttemplateName: t('text', 'New text document') + '.md',\n\t\t\t\ticonClass: 'icon-filetype-text',\n\t\t\t\tfileType: 'file',\n\t\t\t\tactionHandler(name) {\n\t\t\t\t\tfileList.createFile(name).then(function(status, data) {\n\t\t\t\t\t\tconst fileInfoModel = new OCA.Files.FileInfoModel(data)\n\t\t\t\t\t\tif (typeof OCA.Viewer !== 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction('view', fileInfoModel, fileList)\n\t\t\t\t\t\t} else if (typeof OCA.Viewer === 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction(FILE_ACTION_IDENTIFIER, fileInfoModel, fileList)\n\t\t\t\t\t\t}\n\t\t\t\t\t})\n\t\t\t\t},\n\t\t\t})\n\t\t},\n\t}\n\tOC.Plugins.register('OCA.Files.NewFileMenu', newFileMenuPlugin)\n}\n\nconst registerFileActionFallback = () => {\n\tconst sharingToken = document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\tconst dir = document.getElementById('dir').value\n\n\tif (!sharingToken || dir !== '') {\n\t\tconst ViewerRoot = document.createElement('div')\n\t\tViewerRoot.id = 'text-viewer-fallback'\n\t\tdocument.body.appendChild(ViewerRoot)\n\t\tconst registerAction = (mime) => OCA.Files.fileActions.register(\n\t\t\tmime,\n\t\t\tFILE_ACTION_IDENTIFIER,\n\t\t\tOC.PERMISSION_UPDATE | OC.PERMISSION_READ,\n\t\t\timagePath('core', 'actions/rename'),\n\t\t\t(filename) => {\n\t\t\t\tconst file = window.FileList.findFile(filename)\n\t\t\t\tPromise.all([\n\t\t\t\t\timport('vue'),\n\t\t\t\t\timport(/* webpackChunkName: \"files-modal\" */'./../components/PublicFilesEditor'),\n\t\t\t\t]).then((imports) => {\n\t\t\t\t\tconst path = window.FileList.getCurrentDirectory() + '/' + filename\n\t\t\t\t\tconst Vue = imports[0].default\n\t\t\t\t\tVue.prototype.t = window.t\n\t\t\t\t\tVue.prototype.n = window.n\n\t\t\t\t\tVue.prototype.OCA = window.OCA\n\t\t\t\t\tconst Editor = imports[1].default\n\t\t\t\t\tconst vm = new Vue({\n\t\t\t\t\t\trender: h => h(Editor, {\n\t\t\t\t\t\t\tprops: {\n\t\t\t\t\t\t\t\tfileId: file ? file.id : null,\n\t\t\t\t\t\t\t\tactive: true,\n\t\t\t\t\t\t\t\tshareToken: sharingToken,\n\t\t\t\t\t\t\t\trelativePath: path,\n\t\t\t\t\t\t\t\tmimeType: file.mimetype,\n\t\t\t\t\t\t\t},\n\t\t\t\t\t\t}),\n\t\t\t\t\t})\n\t\t\t\t\tvm.$mount(ViewerRoot)\n\t\t\t\t})\n\t\t\t},\n\t\t\tt('text', 'Edit')\n\t\t)\n\n\t\tfor (let i = 0; i < openMimetypes.length; i++) {\n\t\t\tregisterAction(openMimetypes[i])\n\t\t\tOCA.Files.fileActions.setDefault(openMimetypes[i], FILE_ACTION_IDENTIFIER)\n\t\t}\n\t}\n\n}\n\nconst FilesWorkspacePlugin = {\n\n\tel: null,\n\n\tattach(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\tthis.el = document.createElement('div')\n\t\tfileList.registerHeader({\n\t\t\tid: 'workspace',\n\t\t\tel: this.el,\n\t\t\trender: this.render.bind(this),\n\t\t\tpriority: 10,\n\t\t})\n\t},\n\n\trender(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\timport('vue').then((module) => {\n\t\t\tconst Vue = module.default\n\t\t\tthis.el.id = 'files-workspace-wrapper'\n\t\t\tVue.prototype.t = window.t\n\t\t\tVue.prototype.n = window.n\n\t\t\tVue.prototype.OCA = window.OCA\n\t\t\tconst View = Vue.extend(RichWorkspace)\n\t\t\tconst vm = new View({\n\t\t\t\tpropsData: {\n\t\t\t\t\tpath: fileList.getCurrentDirectory(),\n\t\t\t\t},\n\t\t\t}).$mount(this.el)\n\n\t\t\tfileList.$el.on('changeDirectory', data => {\n\t\t\t\tvm.path = data.dir.toString()\n\t\t\t})\n\t\t})\n\t},\n}\n\nexport {\n\toptimalPath,\n\tregisterFileActionFallback,\n\tregisterFileCreate,\n\tFilesWorkspacePlugin,\n\tFILE_ACTION_IDENTIFIER,\n}\n","\"use strict\";\n\nrequire(\"core-js/modules/es.object.assign\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.default = void 0;\n\nvar _axios = _interopRequireDefault(require(\"axios\"));\n\nvar _auth = require(\"@nextcloud/auth\");\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nvar client = _axios.default.create({\n headers: {\n requesttoken: (0, _auth.getRequestToken)()\n }\n});\n\nvar cancelableClient = Object.assign(client, {\n CancelToken: _axios.default.CancelToken,\n isCancel: _axios.default.isCancel\n});\n(0, _auth.onRequestTokenUpdate)(function (token) {\n return client.defaults.headers.requesttoken = token;\n});\nvar _default = cancelableClient;\nexports.default = _default;\n//# sourceMappingURL=index.js.map","var aFunction = require('../internals/a-function');\n\n// optional / simple context binding\nmodule.exports = function (fn, that, length) {\n aFunction(fn);\n if (that === undefined) return fn;\n switch (length) {\n case 0: return function () {\n return fn.call(that);\n };\n case 1: return function (a) {\n return fn.call(that, a);\n };\n case 2: return function (a, b) {\n return fn.call(that, a, b);\n };\n case 3: return function (a, b, c) {\n return fn.call(that, a, b, c);\n };\n }\n return function (/* ...args */) {\n return fn.apply(that, arguments);\n };\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar has = require('../internals/has');\n\nvar defineProperty = Object.defineProperty;\nvar cache = {};\n\nvar thrower = function (it) { throw it; };\n\nmodule.exports = function (METHOD_NAME, options) {\n if (has(cache, METHOD_NAME)) return cache[METHOD_NAME];\n if (!options) options = {};\n var method = [][METHOD_NAME];\n var ACCESSORS = has(options, 'ACCESSORS') ? options.ACCESSORS : false;\n var argument0 = has(options, 0) ? options[0] : thrower;\n var argument1 = has(options, 1) ? options[1] : undefined;\n\n return cache[METHOD_NAME] = !!method && !fails(function () {\n if (ACCESSORS && !DESCRIPTORS) return true;\n var O = { length: -1 };\n\n if (ACCESSORS) defineProperty(O, 1, { enumerable: true, get: thrower });\n else O[1] = 1;\n\n method.call(O, argument0, argument1);\n });\n};\n","var anObject = require('../internals/an-object');\nvar defineProperties = require('../internals/object-define-properties');\nvar enumBugKeys = require('../internals/enum-bug-keys');\nvar hiddenKeys = require('../internals/hidden-keys');\nvar html = require('../internals/html');\nvar documentCreateElement = require('../internals/document-create-element');\nvar sharedKey = require('../internals/shared-key');\n\nvar GT = '>';\nvar LT = '<';\nvar PROTOTYPE = 'prototype';\nvar SCRIPT = 'script';\nvar IE_PROTO = sharedKey('IE_PROTO');\n\nvar EmptyConstructor = function () { /* empty */ };\n\nvar scriptTag = function (content) {\n return LT + SCRIPT + GT + content + LT + '/' + SCRIPT + GT;\n};\n\n// Create object with fake `null` prototype: use ActiveX Object with cleared prototype\nvar NullProtoObjectViaActiveX = function (activeXDocument) {\n activeXDocument.write(scriptTag(''));\n activeXDocument.close();\n var temp = activeXDocument.parentWindow.Object;\n activeXDocument = null; // avoid memory leak\n return temp;\n};\n\n// Create object with fake `null` prototype: use iframe Object with cleared prototype\nvar NullProtoObjectViaIFrame = function () {\n // Thrash, waste and sodomy: IE GC bug\n var iframe = documentCreateElement('iframe');\n var JS = 'java' + SCRIPT + ':';\n var iframeDocument;\n iframe.style.display = 'none';\n html.appendChild(iframe);\n // https://github.com/zloirock/core-js/issues/475\n iframe.src = String(JS);\n iframeDocument = iframe.contentWindow.document;\n iframeDocument.open();\n iframeDocument.write(scriptTag('document.F=Object'));\n iframeDocument.close();\n return iframeDocument.F;\n};\n\n// Check for document.domain and active x support\n// No need to use active x approach when document.domain is not set\n// see https://github.com/es-shims/es5-shim/issues/150\n// variation of https://github.com/kitcambridge/es5-shim/commit/4f738ac066346\n// avoid IE GC bug\nvar activeXDocument;\nvar NullProtoObject = function () {\n try {\n /* global ActiveXObject */\n activeXDocument = document.domain && new ActiveXObject('htmlfile');\n } catch (error) { /* ignore */ }\n NullProtoObject = activeXDocument ? NullProtoObjectViaActiveX(activeXDocument) : NullProtoObjectViaIFrame();\n var length = enumBugKeys.length;\n while (length--) delete NullProtoObject[PROTOTYPE][enumBugKeys[length]];\n return NullProtoObject();\n};\n\nhiddenKeys[IE_PROTO] = true;\n\n// `Object.create` method\n// https://tc39.github.io/ecma262/#sec-object.create\nmodule.exports = Object.create || function create(O, Properties) {\n var result;\n if (O !== null) {\n EmptyConstructor[PROTOTYPE] = anObject(O);\n result = new EmptyConstructor();\n EmptyConstructor[PROTOTYPE] = null;\n // add \"__proto__\" for Object.getPrototypeOf polyfill\n result[IE_PROTO] = O;\n } else result = NullProtoObject();\n return Properties === undefined ? result : defineProperties(result, Properties);\n};\n","var defineProperty = require('../internals/object-define-property').f;\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n\nmodule.exports = function (it, TAG, STATIC) {\n if (it && !has(it = STATIC ? it : it.prototype, TO_STRING_TAG)) {\n defineProperty(it, TO_STRING_TAG, { configurable: true, value: TAG });\n }\n};\n","var isObject = require('../internals/is-object');\n\n// `ToPrimitive` abstract operation\n// https://tc39.github.io/ecma262/#sec-toprimitive\n// instead of the ES6 spec version, we didn't implement @@toPrimitive case\n// and the second argument - flag - preferred type is a string\nmodule.exports = function (input, PREFERRED_STRING) {\n if (!isObject(input)) return input;\n var fn, val;\n if (PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n if (typeof (fn = input.valueOf) == 'function' && !isObject(val = fn.call(input))) return val;\n if (!PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n throw TypeError(\"Can't convert object to primitive value\");\n};\n","module.exports = false;\n","var fails = require('../internals/fails');\nvar classof = require('../internals/classof-raw');\n\nvar split = ''.split;\n\n// fallback for non-array-like ES3 and non-enumerable old V8 strings\nmodule.exports = fails(function () {\n // throws an error in rhino, see https://github.com/mozilla/rhino/issues/346\n // eslint-disable-next-line no-prototype-builtins\n return !Object('z').propertyIsEnumerable(0);\n}) ? function (it) {\n return classof(it) == 'String' ? split.call(it, '') : Object(it);\n} : Object;\n","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nmodule.exports = function (key, value) {\n try {\n createNonEnumerableProperty(global, key, value);\n } catch (error) {\n global[key] = value;\n } return value;\n};\n","var shared = require('../internals/shared');\nvar uid = require('../internals/uid');\n\nvar keys = shared('keys');\n\nmodule.exports = function (key) {\n return keys[key] || (keys[key] = uid(key));\n};\n","var id = 0;\nvar postfix = Math.random();\n\nmodule.exports = function (key) {\n return 'Symbol(' + String(key === undefined ? '' : key) + ')_' + (++id + postfix).toString(36);\n};\n","// IE8- don't enum bug keys\nmodule.exports = [\n 'constructor',\n 'hasOwnProperty',\n 'isPrototypeOf',\n 'propertyIsEnumerable',\n 'toLocaleString',\n 'toString',\n 'valueOf'\n];\n","// Note: this is the semver.org version of the spec that it implements\n// Not necessarily the package version of this code.\nconst SEMVER_SPEC_VERSION = '2.0.0'\n\nconst MAX_LENGTH = 256\nconst MAX_SAFE_INTEGER = Number.MAX_SAFE_INTEGER ||\n /* istanbul ignore next */ 9007199254740991\n\n// Max safe segment length for coercion.\nconst MAX_SAFE_COMPONENT_LENGTH = 16\n\nmodule.exports = {\n SEMVER_SPEC_VERSION,\n MAX_LENGTH,\n MAX_SAFE_INTEGER,\n MAX_SAFE_COMPONENT_LENGTH\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar createIteratorConstructor = require('../internals/create-iterator-constructor');\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\nvar Iterators = require('../internals/iterators');\nvar IteratorsCore = require('../internals/iterators-core');\n\nvar IteratorPrototype = IteratorsCore.IteratorPrototype;\nvar BUGGY_SAFARI_ITERATORS = IteratorsCore.BUGGY_SAFARI_ITERATORS;\nvar ITERATOR = wellKnownSymbol('iterator');\nvar KEYS = 'keys';\nvar VALUES = 'values';\nvar ENTRIES = 'entries';\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (Iterable, NAME, IteratorConstructor, next, DEFAULT, IS_SET, FORCED) {\n createIteratorConstructor(IteratorConstructor, NAME, next);\n\n var getIterationMethod = function (KIND) {\n if (KIND === DEFAULT && defaultIterator) return defaultIterator;\n if (!BUGGY_SAFARI_ITERATORS && KIND in IterablePrototype) return IterablePrototype[KIND];\n switch (KIND) {\n case KEYS: return function keys() { return new IteratorConstructor(this, KIND); };\n case VALUES: return function values() { return new IteratorConstructor(this, KIND); };\n case ENTRIES: return function entries() { return new IteratorConstructor(this, KIND); };\n } return function () { return new IteratorConstructor(this); };\n };\n\n var TO_STRING_TAG = NAME + ' Iterator';\n var INCORRECT_VALUES_NAME = false;\n var IterablePrototype = Iterable.prototype;\n var nativeIterator = IterablePrototype[ITERATOR]\n || IterablePrototype['@@iterator']\n || DEFAULT && IterablePrototype[DEFAULT];\n var defaultIterator = !BUGGY_SAFARI_ITERATORS && nativeIterator || getIterationMethod(DEFAULT);\n var anyNativeIterator = NAME == 'Array' ? IterablePrototype.entries || nativeIterator : nativeIterator;\n var CurrentIteratorPrototype, methods, KEY;\n\n // fix native\n if (anyNativeIterator) {\n CurrentIteratorPrototype = getPrototypeOf(anyNativeIterator.call(new Iterable()));\n if (IteratorPrototype !== Object.prototype && CurrentIteratorPrototype.next) {\n if (!IS_PURE && getPrototypeOf(CurrentIteratorPrototype) !== IteratorPrototype) {\n if (setPrototypeOf) {\n setPrototypeOf(CurrentIteratorPrototype, IteratorPrototype);\n } else if (typeof CurrentIteratorPrototype[ITERATOR] != 'function') {\n createNonEnumerableProperty(CurrentIteratorPrototype, ITERATOR, returnThis);\n }\n }\n // Set @@toStringTag to native iterators\n setToStringTag(CurrentIteratorPrototype, TO_STRING_TAG, true, true);\n if (IS_PURE) Iterators[TO_STRING_TAG] = returnThis;\n }\n }\n\n // fix Array#{values, @@iterator}.name in V8 / FF\n if (DEFAULT == VALUES && nativeIterator && nativeIterator.name !== VALUES) {\n INCORRECT_VALUES_NAME = true;\n defaultIterator = function values() { return nativeIterator.call(this); };\n }\n\n // define iterator\n if ((!IS_PURE || FORCED) && IterablePrototype[ITERATOR] !== defaultIterator) {\n createNonEnumerableProperty(IterablePrototype, ITERATOR, defaultIterator);\n }\n Iterators[NAME] = defaultIterator;\n\n // export additional methods\n if (DEFAULT) {\n methods = {\n values: getIterationMethod(VALUES),\n keys: IS_SET ? defaultIterator : getIterationMethod(KEYS),\n entries: getIterationMethod(ENTRIES)\n };\n if (FORCED) for (KEY in methods) {\n if (BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME || !(KEY in IterablePrototype)) {\n redefine(IterablePrototype, KEY, methods[KEY]);\n }\n } else $({ target: NAME, proto: true, forced: BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME }, methods);\n }\n\n return methods;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar test = {};\n\ntest[TO_STRING_TAG] = 'z';\n\nmodule.exports = String(test) === '[object z]';\n","'use strict';\nvar regexpFlags = require('./regexp-flags');\nvar stickyHelpers = require('./regexp-sticky-helpers');\n\nvar nativeExec = RegExp.prototype.exec;\n// This always refers to the native implementation, because the\n// String#replace polyfill uses ./fix-regexp-well-known-symbol-logic.js,\n// which loads this file before patching the method.\nvar nativeReplace = String.prototype.replace;\n\nvar patchedExec = nativeExec;\n\nvar UPDATES_LAST_INDEX_WRONG = (function () {\n var re1 = /a/;\n var re2 = /b*/g;\n nativeExec.call(re1, 'a');\n nativeExec.call(re2, 'a');\n return re1.lastIndex !== 0 || re2.lastIndex !== 0;\n})();\n\nvar UNSUPPORTED_Y = stickyHelpers.UNSUPPORTED_Y || stickyHelpers.BROKEN_CARET;\n\n// nonparticipating capturing group, copied from es5-shim's String#split patch.\nvar NPCG_INCLUDED = /()??/.exec('')[1] !== undefined;\n\nvar PATCH = UPDATES_LAST_INDEX_WRONG || NPCG_INCLUDED || UNSUPPORTED_Y;\n\nif (PATCH) {\n patchedExec = function exec(str) {\n var re = this;\n var lastIndex, reCopy, match, i;\n var sticky = UNSUPPORTED_Y && re.sticky;\n var flags = regexpFlags.call(re);\n var source = re.source;\n var charsAdded = 0;\n var strCopy = str;\n\n if (sticky) {\n flags = flags.replace('y', '');\n if (flags.indexOf('g') === -1) {\n flags += 'g';\n }\n\n strCopy = String(str).slice(re.lastIndex);\n // Support anchored sticky behavior.\n if (re.lastIndex > 0 && (!re.multiline || re.multiline && str[re.lastIndex - 1] !== '\\n')) {\n source = '(?: ' + source + ')';\n strCopy = ' ' + strCopy;\n charsAdded++;\n }\n // ^(? + rx + ) is needed, in combination with some str slicing, to\n // simulate the 'y' flag.\n reCopy = new RegExp('^(?:' + source + ')', flags);\n }\n\n if (NPCG_INCLUDED) {\n reCopy = new RegExp('^' + source + '$(?!\\\\s)', flags);\n }\n if (UPDATES_LAST_INDEX_WRONG) lastIndex = re.lastIndex;\n\n match = nativeExec.call(sticky ? reCopy : re, strCopy);\n\n if (sticky) {\n if (match) {\n match.input = match.input.slice(charsAdded);\n match[0] = match[0].slice(charsAdded);\n match.index = re.lastIndex;\n re.lastIndex += match[0].length;\n } else re.lastIndex = 0;\n } else if (UPDATES_LAST_INDEX_WRONG && match) {\n re.lastIndex = re.global ? match.index + match[0].length : lastIndex;\n }\n if (NPCG_INCLUDED && match && match.length > 1) {\n // Fix browsers whose `exec` methods don't consistently return `undefined`\n // for NPCG, like IE8. NOTE: This doesn' work for /(.?)?/\n nativeReplace.call(match[0], reCopy, function () {\n for (i = 1; i < arguments.length - 2; i++) {\n if (arguments[i] === undefined) match[i] = undefined;\n }\n });\n }\n\n return match;\n };\n}\n\nmodule.exports = patchedExec;\n","/*!\n * Vue.js v2.6.11\n * (c) 2014-2019 Evan You\n * Released under the MIT License.\n */\n/* */\n\nvar emptyObject = Object.freeze({});\n\n// These helpers produce better VM code in JS engines due to their\n// explicitness and function inlining.\nfunction isUndef (v) {\n return v === undefined || v === null\n}\n\nfunction isDef (v) {\n return v !== undefined && v !== null\n}\n\nfunction isTrue (v) {\n return v === true\n}\n\nfunction isFalse (v) {\n return v === false\n}\n\n/**\n * Check if value is primitive.\n */\nfunction isPrimitive (value) {\n return (\n typeof value === 'string' ||\n typeof value === 'number' ||\n // $flow-disable-line\n typeof value === 'symbol' ||\n typeof value === 'boolean'\n )\n}\n\n/**\n * Quick object check - this is primarily used to tell\n * Objects from primitive values when we know the value\n * is a JSON-compliant type.\n */\nfunction isObject (obj) {\n return obj !== null && typeof obj === 'object'\n}\n\n/**\n * Get the raw type string of a value, e.g., [object Object].\n */\nvar _toString = Object.prototype.toString;\n\nfunction toRawType (value) {\n return _toString.call(value).slice(8, -1)\n}\n\n/**\n * Strict object type check. Only returns true\n * for plain JavaScript objects.\n */\nfunction isPlainObject (obj) {\n return _toString.call(obj) === '[object Object]'\n}\n\nfunction isRegExp (v) {\n return _toString.call(v) === '[object RegExp]'\n}\n\n/**\n * Check if val is a valid array index.\n */\nfunction isValidArrayIndex (val) {\n var n = parseFloat(String(val));\n return n >= 0 && Math.floor(n) === n && isFinite(val)\n}\n\nfunction isPromise (val) {\n return (\n isDef(val) &&\n typeof val.then === 'function' &&\n typeof val.catch === 'function'\n )\n}\n\n/**\n * Convert a value to a string that is actually rendered.\n */\nfunction toString (val) {\n return val == null\n ? ''\n : Array.isArray(val) || (isPlainObject(val) && val.toString === _toString)\n ? JSON.stringify(val, null, 2)\n : String(val)\n}\n\n/**\n * Convert an input value to a number for persistence.\n * If the conversion fails, return original string.\n */\nfunction toNumber (val) {\n var n = parseFloat(val);\n return isNaN(n) ? val : n\n}\n\n/**\n * Make a map and return a function for checking if a key\n * is in that map.\n */\nfunction makeMap (\n str,\n expectsLowerCase\n) {\n var map = Object.create(null);\n var list = str.split(',');\n for (var i = 0; i < list.length; i++) {\n map[list[i]] = true;\n }\n return expectsLowerCase\n ? function (val) { return map[val.toLowerCase()]; }\n : function (val) { return map[val]; }\n}\n\n/**\n * Check if a tag is a built-in tag.\n */\nvar isBuiltInTag = makeMap('slot,component', true);\n\n/**\n * Check if an attribute is a reserved attribute.\n */\nvar isReservedAttribute = makeMap('key,ref,slot,slot-scope,is');\n\n/**\n * Remove an item from an array.\n */\nfunction remove (arr, item) {\n if (arr.length) {\n var index = arr.indexOf(item);\n if (index > -1) {\n return arr.splice(index, 1)\n }\n }\n}\n\n/**\n * Check whether an object has the property.\n */\nvar hasOwnProperty = Object.prototype.hasOwnProperty;\nfunction hasOwn (obj, key) {\n return hasOwnProperty.call(obj, key)\n}\n\n/**\n * Create a cached version of a pure function.\n */\nfunction cached (fn) {\n var cache = Object.create(null);\n return (function cachedFn (str) {\n var hit = cache[str];\n return hit || (cache[str] = fn(str))\n })\n}\n\n/**\n * Camelize a hyphen-delimited string.\n */\nvar camelizeRE = /-(\\w)/g;\nvar camelize = cached(function (str) {\n return str.replace(camelizeRE, function (_, c) { return c ? c.toUpperCase() : ''; })\n});\n\n/**\n * Capitalize a string.\n */\nvar capitalize = cached(function (str) {\n return str.charAt(0).toUpperCase() + str.slice(1)\n});\n\n/**\n * Hyphenate a camelCase string.\n */\nvar hyphenateRE = /\\B([A-Z])/g;\nvar hyphenate = cached(function (str) {\n return str.replace(hyphenateRE, '-$1').toLowerCase()\n});\n\n/**\n * Simple bind polyfill for environments that do not support it,\n * e.g., PhantomJS 1.x. Technically, we don't need this anymore\n * since native bind is now performant enough in most browsers.\n * But removing it would mean breaking code that was able to run in\n * PhantomJS 1.x, so this must be kept for backward compatibility.\n */\n\n/* istanbul ignore next */\nfunction polyfillBind (fn, ctx) {\n function boundFn (a) {\n var l = arguments.length;\n return l\n ? l > 1\n ? fn.apply(ctx, arguments)\n : fn.call(ctx, a)\n : fn.call(ctx)\n }\n\n boundFn._length = fn.length;\n return boundFn\n}\n\nfunction nativeBind (fn, ctx) {\n return fn.bind(ctx)\n}\n\nvar bind = Function.prototype.bind\n ? nativeBind\n : polyfillBind;\n\n/**\n * Convert an Array-like object to a real Array.\n */\nfunction toArray (list, start) {\n start = start || 0;\n var i = list.length - start;\n var ret = new Array(i);\n while (i--) {\n ret[i] = list[i + start];\n }\n return ret\n}\n\n/**\n * Mix properties into target object.\n */\nfunction extend (to, _from) {\n for (var key in _from) {\n to[key] = _from[key];\n }\n return to\n}\n\n/**\n * Merge an Array of Objects into a single Object.\n */\nfunction toObject (arr) {\n var res = {};\n for (var i = 0; i < arr.length; i++) {\n if (arr[i]) {\n extend(res, arr[i]);\n }\n }\n return res\n}\n\n/* eslint-disable no-unused-vars */\n\n/**\n * Perform no operation.\n * Stubbing args to make Flow happy without leaving useless transpiled code\n * with ...rest (https://flow.org/blog/2017/05/07/Strict-Function-Call-Arity/).\n */\nfunction noop (a, b, c) {}\n\n/**\n * Always return false.\n */\nvar no = function (a, b, c) { return false; };\n\n/* eslint-enable no-unused-vars */\n\n/**\n * Return the same value.\n */\nvar identity = function (_) { return _; };\n\n/**\n * Generate a string containing static keys from compiler modules.\n */\nfunction genStaticKeys (modules) {\n return modules.reduce(function (keys, m) {\n return keys.concat(m.staticKeys || [])\n }, []).join(',')\n}\n\n/**\n * Check if two values are loosely equal - that is,\n * if they are plain objects, do they have the same shape?\n */\nfunction looseEqual (a, b) {\n if (a === b) { return true }\n var isObjectA = isObject(a);\n var isObjectB = isObject(b);\n if (isObjectA && isObjectB) {\n try {\n var isArrayA = Array.isArray(a);\n var isArrayB = Array.isArray(b);\n if (isArrayA && isArrayB) {\n return a.length === b.length && a.every(function (e, i) {\n return looseEqual(e, b[i])\n })\n } else if (a instanceof Date && b instanceof Date) {\n return a.getTime() === b.getTime()\n } else if (!isArrayA && !isArrayB) {\n var keysA = Object.keys(a);\n var keysB = Object.keys(b);\n return keysA.length === keysB.length && keysA.every(function (key) {\n return looseEqual(a[key], b[key])\n })\n } else {\n /* istanbul ignore next */\n return false\n }\n } catch (e) {\n /* istanbul ignore next */\n return false\n }\n } else if (!isObjectA && !isObjectB) {\n return String(a) === String(b)\n } else {\n return false\n }\n}\n\n/**\n * Return the first index at which a loosely equal value can be\n * found in the array (if value is a plain object, the array must\n * contain an object of the same shape), or -1 if it is not present.\n */\nfunction looseIndexOf (arr, val) {\n for (var i = 0; i < arr.length; i++) {\n if (looseEqual(arr[i], val)) { return i }\n }\n return -1\n}\n\n/**\n * Ensure a function is called only once.\n */\nfunction once (fn) {\n var called = false;\n return function () {\n if (!called) {\n called = true;\n fn.apply(this, arguments);\n }\n }\n}\n\nvar SSR_ATTR = 'data-server-rendered';\n\nvar ASSET_TYPES = [\n 'component',\n 'directive',\n 'filter'\n];\n\nvar LIFECYCLE_HOOKS = [\n 'beforeCreate',\n 'created',\n 'beforeMount',\n 'mounted',\n 'beforeUpdate',\n 'updated',\n 'beforeDestroy',\n 'destroyed',\n 'activated',\n 'deactivated',\n 'errorCaptured',\n 'serverPrefetch'\n];\n\n/* */\n\n\n\nvar config = ({\n /**\n * Option merge strategies (used in core/util/options)\n */\n // $flow-disable-line\n optionMergeStrategies: Object.create(null),\n\n /**\n * Whether to suppress warnings.\n */\n silent: false,\n\n /**\n * Show production mode tip message on boot?\n */\n productionTip: process.env.NODE_ENV !== 'production',\n\n /**\n * Whether to enable devtools\n */\n devtools: process.env.NODE_ENV !== 'production',\n\n /**\n * Whether to record perf\n */\n performance: false,\n\n /**\n * Error handler for watcher errors\n */\n errorHandler: null,\n\n /**\n * Warn handler for watcher warns\n */\n warnHandler: null,\n\n /**\n * Ignore certain custom elements\n */\n ignoredElements: [],\n\n /**\n * Custom user key aliases for v-on\n */\n // $flow-disable-line\n keyCodes: Object.create(null),\n\n /**\n * Check if a tag is reserved so that it cannot be registered as a\n * component. This is platform-dependent and may be overwritten.\n */\n isReservedTag: no,\n\n /**\n * Check if an attribute is reserved so that it cannot be used as a component\n * prop. This is platform-dependent and may be overwritten.\n */\n isReservedAttr: no,\n\n /**\n * Check if a tag is an unknown element.\n * Platform-dependent.\n */\n isUnknownElement: no,\n\n /**\n * Get the namespace of an element\n */\n getTagNamespace: noop,\n\n /**\n * Parse the real tag name for the specific platform.\n */\n parsePlatformTagName: identity,\n\n /**\n * Check if an attribute must be bound using property, e.g. value\n * Platform-dependent.\n */\n mustUseProp: no,\n\n /**\n * Perform updates asynchronously. Intended to be used by Vue Test Utils\n * This will significantly reduce performance if set to false.\n */\n async: true,\n\n /**\n * Exposed for legacy reasons\n */\n _lifecycleHooks: LIFECYCLE_HOOKS\n});\n\n/* */\n\n/**\n * unicode letters used for parsing html tags, component names and property paths.\n * using https://www.w3.org/TR/html53/semantics-scripting.html#potentialcustomelementname\n * skipping \\u10000-\\uEFFFF due to it freezing up PhantomJS\n */\nvar unicodeRegExp = /a-zA-Z\\u00B7\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u203F-\\u2040\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD/;\n\n/**\n * Check if a string starts with $ or _\n */\nfunction isReserved (str) {\n var c = (str + '').charCodeAt(0);\n return c === 0x24 || c === 0x5F\n}\n\n/**\n * Define a property.\n */\nfunction def (obj, key, val, enumerable) {\n Object.defineProperty(obj, key, {\n value: val,\n enumerable: !!enumerable,\n writable: true,\n configurable: true\n });\n}\n\n/**\n * Parse simple path.\n */\nvar bailRE = new RegExp((\"[^\" + (unicodeRegExp.source) + \".$_\\\\d]\"));\nfunction parsePath (path) {\n if (bailRE.test(path)) {\n return\n }\n var segments = path.split('.');\n return function (obj) {\n for (var i = 0; i < segments.length; i++) {\n if (!obj) { return }\n obj = obj[segments[i]];\n }\n return obj\n }\n}\n\n/* */\n\n// can we use __proto__?\nvar hasProto = '__proto__' in {};\n\n// Browser environment sniffing\nvar inBrowser = typeof window !== 'undefined';\nvar inWeex = typeof WXEnvironment !== 'undefined' && !!WXEnvironment.platform;\nvar weexPlatform = inWeex && WXEnvironment.platform.toLowerCase();\nvar UA = inBrowser && window.navigator.userAgent.toLowerCase();\nvar isIE = UA && /msie|trident/.test(UA);\nvar isIE9 = UA && UA.indexOf('msie 9.0') > 0;\nvar isEdge = UA && UA.indexOf('edge/') > 0;\nvar isAndroid = (UA && UA.indexOf('android') > 0) || (weexPlatform === 'android');\nvar isIOS = (UA && /iphone|ipad|ipod|ios/.test(UA)) || (weexPlatform === 'ios');\nvar isChrome = UA && /chrome\\/\\d+/.test(UA) && !isEdge;\nvar isPhantomJS = UA && /phantomjs/.test(UA);\nvar isFF = UA && UA.match(/firefox\\/(\\d+)/);\n\n// Firefox has a \"watch\" function on Object.prototype...\nvar nativeWatch = ({}).watch;\n\nvar supportsPassive = false;\nif (inBrowser) {\n try {\n var opts = {};\n Object.defineProperty(opts, 'passive', ({\n get: function get () {\n /* istanbul ignore next */\n supportsPassive = true;\n }\n })); // https://github.com/facebook/flow/issues/285\n window.addEventListener('test-passive', null, opts);\n } catch (e) {}\n}\n\n// this needs to be lazy-evaled because vue may be required before\n// vue-server-renderer can set VUE_ENV\nvar _isServer;\nvar isServerRendering = function () {\n if (_isServer === undefined) {\n /* istanbul ignore if */\n if (!inBrowser && !inWeex && typeof global !== 'undefined') {\n // detect presence of vue-server-renderer and avoid\n // Webpack shimming the process\n _isServer = global['process'] && global['process'].env.VUE_ENV === 'server';\n } else {\n _isServer = false;\n }\n }\n return _isServer\n};\n\n// detect devtools\nvar devtools = inBrowser && window.__VUE_DEVTOOLS_GLOBAL_HOOK__;\n\n/* istanbul ignore next */\nfunction isNative (Ctor) {\n return typeof Ctor === 'function' && /native code/.test(Ctor.toString())\n}\n\nvar hasSymbol =\n typeof Symbol !== 'undefined' && isNative(Symbol) &&\n typeof Reflect !== 'undefined' && isNative(Reflect.ownKeys);\n\nvar _Set;\n/* istanbul ignore if */ // $flow-disable-line\nif (typeof Set !== 'undefined' && isNative(Set)) {\n // use native Set when available.\n _Set = Set;\n} else {\n // a non-standard Set polyfill that only works with primitive keys.\n _Set = /*@__PURE__*/(function () {\n function Set () {\n this.set = Object.create(null);\n }\n Set.prototype.has = function has (key) {\n return this.set[key] === true\n };\n Set.prototype.add = function add (key) {\n this.set[key] = true;\n };\n Set.prototype.clear = function clear () {\n this.set = Object.create(null);\n };\n\n return Set;\n }());\n}\n\n/* */\n\nvar warn = noop;\nvar tip = noop;\nvar generateComponentTrace = (noop); // work around flow check\nvar formatComponentName = (noop);\n\nif (process.env.NODE_ENV !== 'production') {\n var hasConsole = typeof console !== 'undefined';\n var classifyRE = /(?:^|[-_])(\\w)/g;\n var classify = function (str) { return str\n .replace(classifyRE, function (c) { return c.toUpperCase(); })\n .replace(/[-_]/g, ''); };\n\n warn = function (msg, vm) {\n var trace = vm ? generateComponentTrace(vm) : '';\n\n if (config.warnHandler) {\n config.warnHandler.call(null, msg, vm, trace);\n } else if (hasConsole && (!config.silent)) {\n console.error((\"[Vue warn]: \" + msg + trace));\n }\n };\n\n tip = function (msg, vm) {\n if (hasConsole && (!config.silent)) {\n console.warn(\"[Vue tip]: \" + msg + (\n vm ? generateComponentTrace(vm) : ''\n ));\n }\n };\n\n formatComponentName = function (vm, includeFile) {\n if (vm.$root === vm) {\n return '<Root>'\n }\n var options = typeof vm === 'function' && vm.cid != null\n ? vm.options\n : vm._isVue\n ? vm.$options || vm.constructor.options\n : vm;\n var name = options.name || options._componentTag;\n var file = options.__file;\n if (!name && file) {\n var match = file.match(/([^/\\\\]+)\\.vue$/);\n name = match && match[1];\n }\n\n return (\n (name ? (\"<\" + (classify(name)) + \">\") : \"<Anonymous>\") +\n (file && includeFile !== false ? (\" at \" + file) : '')\n )\n };\n\n var repeat = function (str, n) {\n var res = '';\n while (n) {\n if (n % 2 === 1) { res += str; }\n if (n > 1) { str += str; }\n n >>= 1;\n }\n return res\n };\n\n generateComponentTrace = function (vm) {\n if (vm._isVue && vm.$parent) {\n var tree = [];\n var currentRecursiveSequence = 0;\n while (vm) {\n if (tree.length > 0) {\n var last = tree[tree.length - 1];\n if (last.constructor === vm.constructor) {\n currentRecursiveSequence++;\n vm = vm.$parent;\n continue\n } else if (currentRecursiveSequence > 0) {\n tree[tree.length - 1] = [last, currentRecursiveSequence];\n currentRecursiveSequence = 0;\n }\n }\n tree.push(vm);\n vm = vm.$parent;\n }\n return '\\n\\nfound in\\n\\n' + tree\n .map(function (vm, i) { return (\"\" + (i === 0 ? '---> ' : repeat(' ', 5 + i * 2)) + (Array.isArray(vm)\n ? ((formatComponentName(vm[0])) + \"... (\" + (vm[1]) + \" recursive calls)\")\n : formatComponentName(vm))); })\n .join('\\n')\n } else {\n return (\"\\n\\n(found in \" + (formatComponentName(vm)) + \")\")\n }\n };\n}\n\n/* */\n\nvar uid = 0;\n\n/**\n * A dep is an observable that can have multiple\n * directives subscribing to it.\n */\nvar Dep = function Dep () {\n this.id = uid++;\n this.subs = [];\n};\n\nDep.prototype.addSub = function addSub (sub) {\n this.subs.push(sub);\n};\n\nDep.prototype.removeSub = function removeSub (sub) {\n remove(this.subs, sub);\n};\n\nDep.prototype.depend = function depend () {\n if (Dep.target) {\n Dep.target.addDep(this);\n }\n};\n\nDep.prototype.notify = function notify () {\n // stabilize the subscriber list first\n var subs = this.subs.slice();\n if (process.env.NODE_ENV !== 'production' && !config.async) {\n // subs aren't sorted in scheduler if not running async\n // we need to sort them now to make sure they fire in correct\n // order\n subs.sort(function (a, b) { return a.id - b.id; });\n }\n for (var i = 0, l = subs.length; i < l; i++) {\n subs[i].update();\n }\n};\n\n// The current target watcher being evaluated.\n// This is globally unique because only one watcher\n// can be evaluated at a time.\nDep.target = null;\nvar targetStack = [];\n\nfunction pushTarget (target) {\n targetStack.push(target);\n Dep.target = target;\n}\n\nfunction popTarget () {\n targetStack.pop();\n Dep.target = targetStack[targetStack.length - 1];\n}\n\n/* */\n\nvar VNode = function VNode (\n tag,\n data,\n children,\n text,\n elm,\n context,\n componentOptions,\n asyncFactory\n) {\n this.tag = tag;\n this.data = data;\n this.children = children;\n this.text = text;\n this.elm = elm;\n this.ns = undefined;\n this.context = context;\n this.fnContext = undefined;\n this.fnOptions = undefined;\n this.fnScopeId = undefined;\n this.key = data && data.key;\n this.componentOptions = componentOptions;\n this.componentInstance = undefined;\n this.parent = undefined;\n this.raw = false;\n this.isStatic = false;\n this.isRootInsert = true;\n this.isComment = false;\n this.isCloned = false;\n this.isOnce = false;\n this.asyncFactory = asyncFactory;\n this.asyncMeta = undefined;\n this.isAsyncPlaceholder = false;\n};\n\nvar prototypeAccessors = { child: { configurable: true } };\n\n// DEPRECATED: alias for componentInstance for backwards compat.\n/* istanbul ignore next */\nprototypeAccessors.child.get = function () {\n return this.componentInstance\n};\n\nObject.defineProperties( VNode.prototype, prototypeAccessors );\n\nvar createEmptyVNode = function (text) {\n if ( text === void 0 ) text = '';\n\n var node = new VNode();\n node.text = text;\n node.isComment = true;\n return node\n};\n\nfunction createTextVNode (val) {\n return new VNode(undefined, undefined, undefined, String(val))\n}\n\n// optimized shallow clone\n// used for static nodes and slot nodes because they may be reused across\n// multiple renders, cloning them avoids errors when DOM manipulations rely\n// on their elm reference.\nfunction cloneVNode (vnode) {\n var cloned = new VNode(\n vnode.tag,\n vnode.data,\n // #7975\n // clone children array to avoid mutating original in case of cloning\n // a child.\n vnode.children && vnode.children.slice(),\n vnode.text,\n vnode.elm,\n vnode.context,\n vnode.componentOptions,\n vnode.asyncFactory\n );\n cloned.ns = vnode.ns;\n cloned.isStatic = vnode.isStatic;\n cloned.key = vnode.key;\n cloned.isComment = vnode.isComment;\n cloned.fnContext = vnode.fnContext;\n cloned.fnOptions = vnode.fnOptions;\n cloned.fnScopeId = vnode.fnScopeId;\n cloned.asyncMeta = vnode.asyncMeta;\n cloned.isCloned = true;\n return cloned\n}\n\n/*\n * not type checking this file because flow doesn't play well with\n * dynamically accessing methods on Array prototype\n */\n\nvar arrayProto = Array.prototype;\nvar arrayMethods = Object.create(arrayProto);\n\nvar methodsToPatch = [\n 'push',\n 'pop',\n 'shift',\n 'unshift',\n 'splice',\n 'sort',\n 'reverse'\n];\n\n/**\n * Intercept mutating methods and emit events\n */\nmethodsToPatch.forEach(function (method) {\n // cache original method\n var original = arrayProto[method];\n def(arrayMethods, method, function mutator () {\n var args = [], len = arguments.length;\n while ( len-- ) args[ len ] = arguments[ len ];\n\n var result = original.apply(this, args);\n var ob = this.__ob__;\n var inserted;\n switch (method) {\n case 'push':\n case 'unshift':\n inserted = args;\n break\n case 'splice':\n inserted = args.slice(2);\n break\n }\n if (inserted) { ob.observeArray(inserted); }\n // notify change\n ob.dep.notify();\n return result\n });\n});\n\n/* */\n\nvar arrayKeys = Object.getOwnPropertyNames(arrayMethods);\n\n/**\n * In some cases we may want to disable observation inside a component's\n * update computation.\n */\nvar shouldObserve = true;\n\nfunction toggleObserving (value) {\n shouldObserve = value;\n}\n\n/**\n * Observer class that is attached to each observed\n * object. Once attached, the observer converts the target\n * object's property keys into getter/setters that\n * collect dependencies and dispatch updates.\n */\nvar Observer = function Observer (value) {\n this.value = value;\n this.dep = new Dep();\n this.vmCount = 0;\n def(value, '__ob__', this);\n if (Array.isArray(value)) {\n if (hasProto) {\n protoAugment(value, arrayMethods);\n } else {\n copyAugment(value, arrayMethods, arrayKeys);\n }\n this.observeArray(value);\n } else {\n this.walk(value);\n }\n};\n\n/**\n * Walk through all properties and convert them into\n * getter/setters. This method should only be called when\n * value type is Object.\n */\nObserver.prototype.walk = function walk (obj) {\n var keys = Object.keys(obj);\n for (var i = 0; i < keys.length; i++) {\n defineReactive$$1(obj, keys[i]);\n }\n};\n\n/**\n * Observe a list of Array items.\n */\nObserver.prototype.observeArray = function observeArray (items) {\n for (var i = 0, l = items.length; i < l; i++) {\n observe(items[i]);\n }\n};\n\n// helpers\n\n/**\n * Augment a target Object or Array by intercepting\n * the prototype chain using __proto__\n */\nfunction protoAugment (target, src) {\n /* eslint-disable no-proto */\n target.__proto__ = src;\n /* eslint-enable no-proto */\n}\n\n/**\n * Augment a target Object or Array by defining\n * hidden properties.\n */\n/* istanbul ignore next */\nfunction copyAugment (target, src, keys) {\n for (var i = 0, l = keys.length; i < l; i++) {\n var key = keys[i];\n def(target, key, src[key]);\n }\n}\n\n/**\n * Attempt to create an observer instance for a value,\n * returns the new observer if successfully observed,\n * or the existing observer if the value already has one.\n */\nfunction observe (value, asRootData) {\n if (!isObject(value) || value instanceof VNode) {\n return\n }\n var ob;\n if (hasOwn(value, '__ob__') && value.__ob__ instanceof Observer) {\n ob = value.__ob__;\n } else if (\n shouldObserve &&\n !isServerRendering() &&\n (Array.isArray(value) || isPlainObject(value)) &&\n Object.isExtensible(value) &&\n !value._isVue\n ) {\n ob = new Observer(value);\n }\n if (asRootData && ob) {\n ob.vmCount++;\n }\n return ob\n}\n\n/**\n * Define a reactive property on an Object.\n */\nfunction defineReactive$$1 (\n obj,\n key,\n val,\n customSetter,\n shallow\n) {\n var dep = new Dep();\n\n var property = Object.getOwnPropertyDescriptor(obj, key);\n if (property && property.configurable === false) {\n return\n }\n\n // cater for pre-defined getter/setters\n var getter = property && property.get;\n var setter = property && property.set;\n if ((!getter || setter) && arguments.length === 2) {\n val = obj[key];\n }\n\n var childOb = !shallow && observe(val);\n Object.defineProperty(obj, key, {\n enumerable: true,\n configurable: true,\n get: function reactiveGetter () {\n var value = getter ? getter.call(obj) : val;\n if (Dep.target) {\n dep.depend();\n if (childOb) {\n childOb.dep.depend();\n if (Array.isArray(value)) {\n dependArray(value);\n }\n }\n }\n return value\n },\n set: function reactiveSetter (newVal) {\n var value = getter ? getter.call(obj) : val;\n /* eslint-disable no-self-compare */\n if (newVal === value || (newVal !== newVal && value !== value)) {\n return\n }\n /* eslint-enable no-self-compare */\n if (process.env.NODE_ENV !== 'production' && customSetter) {\n customSetter();\n }\n // #7981: for accessor properties without setter\n if (getter && !setter) { return }\n if (setter) {\n setter.call(obj, newVal);\n } else {\n val = newVal;\n }\n childOb = !shallow && observe(newVal);\n dep.notify();\n }\n });\n}\n\n/**\n * Set a property on an object. Adds the new property and\n * triggers change notification if the property doesn't\n * already exist.\n */\nfunction set (target, key, val) {\n if (process.env.NODE_ENV !== 'production' &&\n (isUndef(target) || isPrimitive(target))\n ) {\n warn((\"Cannot set reactive property on undefined, null, or primitive value: \" + ((target))));\n }\n if (Array.isArray(target) && isValidArrayIndex(key)) {\n target.length = Math.max(target.length, key);\n target.splice(key, 1, val);\n return val\n }\n if (key in target && !(key in Object.prototype)) {\n target[key] = val;\n return val\n }\n var ob = (target).__ob__;\n if (target._isVue || (ob && ob.vmCount)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Avoid adding reactive properties to a Vue instance or its root $data ' +\n 'at runtime - declare it upfront in the data option.'\n );\n return val\n }\n if (!ob) {\n target[key] = val;\n return val\n }\n defineReactive$$1(ob.value, key, val);\n ob.dep.notify();\n return val\n}\n\n/**\n * Delete a property and trigger change if necessary.\n */\nfunction del (target, key) {\n if (process.env.NODE_ENV !== 'production' &&\n (isUndef(target) || isPrimitive(target))\n ) {\n warn((\"Cannot delete reactive property on undefined, null, or primitive value: \" + ((target))));\n }\n if (Array.isArray(target) && isValidArrayIndex(key)) {\n target.splice(key, 1);\n return\n }\n var ob = (target).__ob__;\n if (target._isVue || (ob && ob.vmCount)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Avoid deleting properties on a Vue instance or its root $data ' +\n '- just set it to null.'\n );\n return\n }\n if (!hasOwn(target, key)) {\n return\n }\n delete target[key];\n if (!ob) {\n return\n }\n ob.dep.notify();\n}\n\n/**\n * Collect dependencies on array elements when the array is touched, since\n * we cannot intercept array element access like property getters.\n */\nfunction dependArray (value) {\n for (var e = (void 0), i = 0, l = value.length; i < l; i++) {\n e = value[i];\n e && e.__ob__ && e.__ob__.dep.depend();\n if (Array.isArray(e)) {\n dependArray(e);\n }\n }\n}\n\n/* */\n\n/**\n * Option overwriting strategies are functions that handle\n * how to merge a parent option value and a child option\n * value into the final value.\n */\nvar strats = config.optionMergeStrategies;\n\n/**\n * Options with restrictions\n */\nif (process.env.NODE_ENV !== 'production') {\n strats.el = strats.propsData = function (parent, child, vm, key) {\n if (!vm) {\n warn(\n \"option \\\"\" + key + \"\\\" can only be used during instance \" +\n 'creation with the `new` keyword.'\n );\n }\n return defaultStrat(parent, child)\n };\n}\n\n/**\n * Helper that recursively merges two data objects together.\n */\nfunction mergeData (to, from) {\n if (!from) { return to }\n var key, toVal, fromVal;\n\n var keys = hasSymbol\n ? Reflect.ownKeys(from)\n : Object.keys(from);\n\n for (var i = 0; i < keys.length; i++) {\n key = keys[i];\n // in case the object is already observed...\n if (key === '__ob__') { continue }\n toVal = to[key];\n fromVal = from[key];\n if (!hasOwn(to, key)) {\n set(to, key, fromVal);\n } else if (\n toVal !== fromVal &&\n isPlainObject(toVal) &&\n isPlainObject(fromVal)\n ) {\n mergeData(toVal, fromVal);\n }\n }\n return to\n}\n\n/**\n * Data\n */\nfunction mergeDataOrFn (\n parentVal,\n childVal,\n vm\n) {\n if (!vm) {\n // in a Vue.extend merge, both should be functions\n if (!childVal) {\n return parentVal\n }\n if (!parentVal) {\n return childVal\n }\n // when parentVal & childVal are both present,\n // we need to return a function that returns the\n // merged result of both functions... no need to\n // check if parentVal is a function here because\n // it has to be a function to pass previous merges.\n return function mergedDataFn () {\n return mergeData(\n typeof childVal === 'function' ? childVal.call(this, this) : childVal,\n typeof parentVal === 'function' ? parentVal.call(this, this) : parentVal\n )\n }\n } else {\n return function mergedInstanceDataFn () {\n // instance merge\n var instanceData = typeof childVal === 'function'\n ? childVal.call(vm, vm)\n : childVal;\n var defaultData = typeof parentVal === 'function'\n ? parentVal.call(vm, vm)\n : parentVal;\n if (instanceData) {\n return mergeData(instanceData, defaultData)\n } else {\n return defaultData\n }\n }\n }\n}\n\nstrats.data = function (\n parentVal,\n childVal,\n vm\n) {\n if (!vm) {\n if (childVal && typeof childVal !== 'function') {\n process.env.NODE_ENV !== 'production' && warn(\n 'The \"data\" option should be a function ' +\n 'that returns a per-instance value in component ' +\n 'definitions.',\n vm\n );\n\n return parentVal\n }\n return mergeDataOrFn(parentVal, childVal)\n }\n\n return mergeDataOrFn(parentVal, childVal, vm)\n};\n\n/**\n * Hooks and props are merged as arrays.\n */\nfunction mergeHook (\n parentVal,\n childVal\n) {\n var res = childVal\n ? parentVal\n ? parentVal.concat(childVal)\n : Array.isArray(childVal)\n ? childVal\n : [childVal]\n : parentVal;\n return res\n ? dedupeHooks(res)\n : res\n}\n\nfunction dedupeHooks (hooks) {\n var res = [];\n for (var i = 0; i < hooks.length; i++) {\n if (res.indexOf(hooks[i]) === -1) {\n res.push(hooks[i]);\n }\n }\n return res\n}\n\nLIFECYCLE_HOOKS.forEach(function (hook) {\n strats[hook] = mergeHook;\n});\n\n/**\n * Assets\n *\n * When a vm is present (instance creation), we need to do\n * a three-way merge between constructor options, instance\n * options and parent options.\n */\nfunction mergeAssets (\n parentVal,\n childVal,\n vm,\n key\n) {\n var res = Object.create(parentVal || null);\n if (childVal) {\n process.env.NODE_ENV !== 'production' && assertObjectType(key, childVal, vm);\n return extend(res, childVal)\n } else {\n return res\n }\n}\n\nASSET_TYPES.forEach(function (type) {\n strats[type + 's'] = mergeAssets;\n});\n\n/**\n * Watchers.\n *\n * Watchers hashes should not overwrite one\n * another, so we merge them as arrays.\n */\nstrats.watch = function (\n parentVal,\n childVal,\n vm,\n key\n) {\n // work around Firefox's Object.prototype.watch...\n if (parentVal === nativeWatch) { parentVal = undefined; }\n if (childVal === nativeWatch) { childVal = undefined; }\n /* istanbul ignore if */\n if (!childVal) { return Object.create(parentVal || null) }\n if (process.env.NODE_ENV !== 'production') {\n assertObjectType(key, childVal, vm);\n }\n if (!parentVal) { return childVal }\n var ret = {};\n extend(ret, parentVal);\n for (var key$1 in childVal) {\n var parent = ret[key$1];\n var child = childVal[key$1];\n if (parent && !Array.isArray(parent)) {\n parent = [parent];\n }\n ret[key$1] = parent\n ? parent.concat(child)\n : Array.isArray(child) ? child : [child];\n }\n return ret\n};\n\n/**\n * Other object hashes.\n */\nstrats.props =\nstrats.methods =\nstrats.inject =\nstrats.computed = function (\n parentVal,\n childVal,\n vm,\n key\n) {\n if (childVal && process.env.NODE_ENV !== 'production') {\n assertObjectType(key, childVal, vm);\n }\n if (!parentVal) { return childVal }\n var ret = Object.create(null);\n extend(ret, parentVal);\n if (childVal) { extend(ret, childVal); }\n return ret\n};\nstrats.provide = mergeDataOrFn;\n\n/**\n * Default strategy.\n */\nvar defaultStrat = function (parentVal, childVal) {\n return childVal === undefined\n ? parentVal\n : childVal\n};\n\n/**\n * Validate component names\n */\nfunction checkComponents (options) {\n for (var key in options.components) {\n validateComponentName(key);\n }\n}\n\nfunction validateComponentName (name) {\n if (!new RegExp((\"^[a-zA-Z][\\\\-\\\\.0-9_\" + (unicodeRegExp.source) + \"]*$\")).test(name)) {\n warn(\n 'Invalid component name: \"' + name + '\". Component names ' +\n 'should conform to valid custom element name in html5 specification.'\n );\n }\n if (isBuiltInTag(name) || config.isReservedTag(name)) {\n warn(\n 'Do not use built-in or reserved HTML elements as component ' +\n 'id: ' + name\n );\n }\n}\n\n/**\n * Ensure all props option syntax are normalized into the\n * Object-based format.\n */\nfunction normalizeProps (options, vm) {\n var props = options.props;\n if (!props) { return }\n var res = {};\n var i, val, name;\n if (Array.isArray(props)) {\n i = props.length;\n while (i--) {\n val = props[i];\n if (typeof val === 'string') {\n name = camelize(val);\n res[name] = { type: null };\n } else if (process.env.NODE_ENV !== 'production') {\n warn('props must be strings when using array syntax.');\n }\n }\n } else if (isPlainObject(props)) {\n for (var key in props) {\n val = props[key];\n name = camelize(key);\n res[name] = isPlainObject(val)\n ? val\n : { type: val };\n }\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n \"Invalid value for option \\\"props\\\": expected an Array or an Object, \" +\n \"but got \" + (toRawType(props)) + \".\",\n vm\n );\n }\n options.props = res;\n}\n\n/**\n * Normalize all injections into Object-based format\n */\nfunction normalizeInject (options, vm) {\n var inject = options.inject;\n if (!inject) { return }\n var normalized = options.inject = {};\n if (Array.isArray(inject)) {\n for (var i = 0; i < inject.length; i++) {\n normalized[inject[i]] = { from: inject[i] };\n }\n } else if (isPlainObject(inject)) {\n for (var key in inject) {\n var val = inject[key];\n normalized[key] = isPlainObject(val)\n ? extend({ from: key }, val)\n : { from: val };\n }\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n \"Invalid value for option \\\"inject\\\": expected an Array or an Object, \" +\n \"but got \" + (toRawType(inject)) + \".\",\n vm\n );\n }\n}\n\n/**\n * Normalize raw function directives into object format.\n */\nfunction normalizeDirectives (options) {\n var dirs = options.directives;\n if (dirs) {\n for (var key in dirs) {\n var def$$1 = dirs[key];\n if (typeof def$$1 === 'function') {\n dirs[key] = { bind: def$$1, update: def$$1 };\n }\n }\n }\n}\n\nfunction assertObjectType (name, value, vm) {\n if (!isPlainObject(value)) {\n warn(\n \"Invalid value for option \\\"\" + name + \"\\\": expected an Object, \" +\n \"but got \" + (toRawType(value)) + \".\",\n vm\n );\n }\n}\n\n/**\n * Merge two option objects into a new one.\n * Core utility used in both instantiation and inheritance.\n */\nfunction mergeOptions (\n parent,\n child,\n vm\n) {\n if (process.env.NODE_ENV !== 'production') {\n checkComponents(child);\n }\n\n if (typeof child === 'function') {\n child = child.options;\n }\n\n normalizeProps(child, vm);\n normalizeInject(child, vm);\n normalizeDirectives(child);\n\n // Apply extends and mixins on the child options,\n // but only if it is a raw options object that isn't\n // the result of another mergeOptions call.\n // Only merged options has the _base property.\n if (!child._base) {\n if (child.extends) {\n parent = mergeOptions(parent, child.extends, vm);\n }\n if (child.mixins) {\n for (var i = 0, l = child.mixins.length; i < l; i++) {\n parent = mergeOptions(parent, child.mixins[i], vm);\n }\n }\n }\n\n var options = {};\n var key;\n for (key in parent) {\n mergeField(key);\n }\n for (key in child) {\n if (!hasOwn(parent, key)) {\n mergeField(key);\n }\n }\n function mergeField (key) {\n var strat = strats[key] || defaultStrat;\n options[key] = strat(parent[key], child[key], vm, key);\n }\n return options\n}\n\n/**\n * Resolve an asset.\n * This function is used because child instances need access\n * to assets defined in its ancestor chain.\n */\nfunction resolveAsset (\n options,\n type,\n id,\n warnMissing\n) {\n /* istanbul ignore if */\n if (typeof id !== 'string') {\n return\n }\n var assets = options[type];\n // check local registration variations first\n if (hasOwn(assets, id)) { return assets[id] }\n var camelizedId = camelize(id);\n if (hasOwn(assets, camelizedId)) { return assets[camelizedId] }\n var PascalCaseId = capitalize(camelizedId);\n if (hasOwn(assets, PascalCaseId)) { return assets[PascalCaseId] }\n // fallback to prototype chain\n var res = assets[id] || assets[camelizedId] || assets[PascalCaseId];\n if (process.env.NODE_ENV !== 'production' && warnMissing && !res) {\n warn(\n 'Failed to resolve ' + type.slice(0, -1) + ': ' + id,\n options\n );\n }\n return res\n}\n\n/* */\n\n\n\nfunction validateProp (\n key,\n propOptions,\n propsData,\n vm\n) {\n var prop = propOptions[key];\n var absent = !hasOwn(propsData, key);\n var value = propsData[key];\n // boolean casting\n var booleanIndex = getTypeIndex(Boolean, prop.type);\n if (booleanIndex > -1) {\n if (absent && !hasOwn(prop, 'default')) {\n value = false;\n } else if (value === '' || value === hyphenate(key)) {\n // only cast empty string / same name to boolean if\n // boolean has higher priority\n var stringIndex = getTypeIndex(String, prop.type);\n if (stringIndex < 0 || booleanIndex < stringIndex) {\n value = true;\n }\n }\n }\n // check default value\n if (value === undefined) {\n value = getPropDefaultValue(vm, prop, key);\n // since the default value is a fresh copy,\n // make sure to observe it.\n var prevShouldObserve = shouldObserve;\n toggleObserving(true);\n observe(value);\n toggleObserving(prevShouldObserve);\n }\n if (\n process.env.NODE_ENV !== 'production' &&\n // skip validation for weex recycle-list child component props\n !(false)\n ) {\n assertProp(prop, key, value, vm, absent);\n }\n return value\n}\n\n/**\n * Get the default value of a prop.\n */\nfunction getPropDefaultValue (vm, prop, key) {\n // no default, return undefined\n if (!hasOwn(prop, 'default')) {\n return undefined\n }\n var def = prop.default;\n // warn against non-factory defaults for Object & Array\n if (process.env.NODE_ENV !== 'production' && isObject(def)) {\n warn(\n 'Invalid default value for prop \"' + key + '\": ' +\n 'Props with type Object/Array must use a factory function ' +\n 'to return the default value.',\n vm\n );\n }\n // the raw prop value was also undefined from previous render,\n // return previous default value to avoid unnecessary watcher trigger\n if (vm && vm.$options.propsData &&\n vm.$options.propsData[key] === undefined &&\n vm._props[key] !== undefined\n ) {\n return vm._props[key]\n }\n // call factory function for non-Function types\n // a value is Function if its prototype is function even across different execution context\n return typeof def === 'function' && getType(prop.type) !== 'Function'\n ? def.call(vm)\n : def\n}\n\n/**\n * Assert whether a prop is valid.\n */\nfunction assertProp (\n prop,\n name,\n value,\n vm,\n absent\n) {\n if (prop.required && absent) {\n warn(\n 'Missing required prop: \"' + name + '\"',\n vm\n );\n return\n }\n if (value == null && !prop.required) {\n return\n }\n var type = prop.type;\n var valid = !type || type === true;\n var expectedTypes = [];\n if (type) {\n if (!Array.isArray(type)) {\n type = [type];\n }\n for (var i = 0; i < type.length && !valid; i++) {\n var assertedType = assertType(value, type[i]);\n expectedTypes.push(assertedType.expectedType || '');\n valid = assertedType.valid;\n }\n }\n\n if (!valid) {\n warn(\n getInvalidTypeMessage(name, value, expectedTypes),\n vm\n );\n return\n }\n var validator = prop.validator;\n if (validator) {\n if (!validator(value)) {\n warn(\n 'Invalid prop: custom validator check failed for prop \"' + name + '\".',\n vm\n );\n }\n }\n}\n\nvar simpleCheckRE = /^(String|Number|Boolean|Function|Symbol)$/;\n\nfunction assertType (value, type) {\n var valid;\n var expectedType = getType(type);\n if (simpleCheckRE.test(expectedType)) {\n var t = typeof value;\n valid = t === expectedType.toLowerCase();\n // for primitive wrapper objects\n if (!valid && t === 'object') {\n valid = value instanceof type;\n }\n } else if (expectedType === 'Object') {\n valid = isPlainObject(value);\n } else if (expectedType === 'Array') {\n valid = Array.isArray(value);\n } else {\n valid = value instanceof type;\n }\n return {\n valid: valid,\n expectedType: expectedType\n }\n}\n\n/**\n * Use function string name to check built-in types,\n * because a simple equality check will fail when running\n * across different vms / iframes.\n */\nfunction getType (fn) {\n var match = fn && fn.toString().match(/^\\s*function (\\w+)/);\n return match ? match[1] : ''\n}\n\nfunction isSameType (a, b) {\n return getType(a) === getType(b)\n}\n\nfunction getTypeIndex (type, expectedTypes) {\n if (!Array.isArray(expectedTypes)) {\n return isSameType(expectedTypes, type) ? 0 : -1\n }\n for (var i = 0, len = expectedTypes.length; i < len; i++) {\n if (isSameType(expectedTypes[i], type)) {\n return i\n }\n }\n return -1\n}\n\nfunction getInvalidTypeMessage (name, value, expectedTypes) {\n var message = \"Invalid prop: type check failed for prop \\\"\" + name + \"\\\".\" +\n \" Expected \" + (expectedTypes.map(capitalize).join(', '));\n var expectedType = expectedTypes[0];\n var receivedType = toRawType(value);\n var expectedValue = styleValue(value, expectedType);\n var receivedValue = styleValue(value, receivedType);\n // check if we need to specify expected value\n if (expectedTypes.length === 1 &&\n isExplicable(expectedType) &&\n !isBoolean(expectedType, receivedType)) {\n message += \" with value \" + expectedValue;\n }\n message += \", got \" + receivedType + \" \";\n // check if we need to specify received value\n if (isExplicable(receivedType)) {\n message += \"with value \" + receivedValue + \".\";\n }\n return message\n}\n\nfunction styleValue (value, type) {\n if (type === 'String') {\n return (\"\\\"\" + value + \"\\\"\")\n } else if (type === 'Number') {\n return (\"\" + (Number(value)))\n } else {\n return (\"\" + value)\n }\n}\n\nfunction isExplicable (value) {\n var explicitTypes = ['string', 'number', 'boolean'];\n return explicitTypes.some(function (elem) { return value.toLowerCase() === elem; })\n}\n\nfunction isBoolean () {\n var args = [], len = arguments.length;\n while ( len-- ) args[ len ] = arguments[ len ];\n\n return args.some(function (elem) { return elem.toLowerCase() === 'boolean'; })\n}\n\n/* */\n\nfunction handleError (err, vm, info) {\n // Deactivate deps tracking while processing error handler to avoid possible infinite rendering.\n // See: https://github.com/vuejs/vuex/issues/1505\n pushTarget();\n try {\n if (vm) {\n var cur = vm;\n while ((cur = cur.$parent)) {\n var hooks = cur.$options.errorCaptured;\n if (hooks) {\n for (var i = 0; i < hooks.length; i++) {\n try {\n var capture = hooks[i].call(cur, err, vm, info) === false;\n if (capture) { return }\n } catch (e) {\n globalHandleError(e, cur, 'errorCaptured hook');\n }\n }\n }\n }\n }\n globalHandleError(err, vm, info);\n } finally {\n popTarget();\n }\n}\n\nfunction invokeWithErrorHandling (\n handler,\n context,\n args,\n vm,\n info\n) {\n var res;\n try {\n res = args ? handler.apply(context, args) : handler.call(context);\n if (res && !res._isVue && isPromise(res) && !res._handled) {\n res.catch(function (e) { return handleError(e, vm, info + \" (Promise/async)\"); });\n // issue #9511\n // avoid catch triggering multiple times when nested calls\n res._handled = true;\n }\n } catch (e) {\n handleError(e, vm, info);\n }\n return res\n}\n\nfunction globalHandleError (err, vm, info) {\n if (config.errorHandler) {\n try {\n return config.errorHandler.call(null, err, vm, info)\n } catch (e) {\n // if the user intentionally throws the original error in the handler,\n // do not log it twice\n if (e !== err) {\n logError(e, null, 'config.errorHandler');\n }\n }\n }\n logError(err, vm, info);\n}\n\nfunction logError (err, vm, info) {\n if (process.env.NODE_ENV !== 'production') {\n warn((\"Error in \" + info + \": \\\"\" + (err.toString()) + \"\\\"\"), vm);\n }\n /* istanbul ignore else */\n if ((inBrowser || inWeex) && typeof console !== 'undefined') {\n console.error(err);\n } else {\n throw err\n }\n}\n\n/* */\n\nvar isUsingMicroTask = false;\n\nvar callbacks = [];\nvar pending = false;\n\nfunction flushCallbacks () {\n pending = false;\n var copies = callbacks.slice(0);\n callbacks.length = 0;\n for (var i = 0; i < copies.length; i++) {\n copies[i]();\n }\n}\n\n// Here we have async deferring wrappers using microtasks.\n// In 2.5 we used (macro) tasks (in combination with microtasks).\n// However, it has subtle problems when state is changed right before repaint\n// (e.g. #6813, out-in transitions).\n// Also, using (macro) tasks in event handler would cause some weird behaviors\n// that cannot be circumvented (e.g. #7109, #7153, #7546, #7834, #8109).\n// So we now use microtasks everywhere, again.\n// A major drawback of this tradeoff is that there are some scenarios\n// where microtasks have too high a priority and fire in between supposedly\n// sequential events (e.g. #4521, #6690, which have workarounds)\n// or even between bubbling of the same event (#6566).\nvar timerFunc;\n\n// The nextTick behavior leverages the microtask queue, which can be accessed\n// via either native Promise.then or MutationObserver.\n// MutationObserver has wider support, however it is seriously bugged in\n// UIWebView in iOS >= 9.3.3 when triggered in touch event handlers. It\n// completely stops working after triggering a few times... so, if native\n// Promise is available, we will use it:\n/* istanbul ignore next, $flow-disable-line */\nif (typeof Promise !== 'undefined' && isNative(Promise)) {\n var p = Promise.resolve();\n timerFunc = function () {\n p.then(flushCallbacks);\n // In problematic UIWebViews, Promise.then doesn't completely break, but\n // it can get stuck in a weird state where callbacks are pushed into the\n // microtask queue but the queue isn't being flushed, until the browser\n // needs to do some other work, e.g. handle a timer. Therefore we can\n // \"force\" the microtask queue to be flushed by adding an empty timer.\n if (isIOS) { setTimeout(noop); }\n };\n isUsingMicroTask = true;\n} else if (!isIE && typeof MutationObserver !== 'undefined' && (\n isNative(MutationObserver) ||\n // PhantomJS and iOS 7.x\n MutationObserver.toString() === '[object MutationObserverConstructor]'\n)) {\n // Use MutationObserver where native Promise is not available,\n // e.g. PhantomJS, iOS7, Android 4.4\n // (#6466 MutationObserver is unreliable in IE11)\n var counter = 1;\n var observer = new MutationObserver(flushCallbacks);\n var textNode = document.createTextNode(String(counter));\n observer.observe(textNode, {\n characterData: true\n });\n timerFunc = function () {\n counter = (counter + 1) % 2;\n textNode.data = String(counter);\n };\n isUsingMicroTask = true;\n} else if (typeof setImmediate !== 'undefined' && isNative(setImmediate)) {\n // Fallback to setImmediate.\n // Technically it leverages the (macro) task queue,\n // but it is still a better choice than setTimeout.\n timerFunc = function () {\n setImmediate(flushCallbacks);\n };\n} else {\n // Fallback to setTimeout.\n timerFunc = function () {\n setTimeout(flushCallbacks, 0);\n };\n}\n\nfunction nextTick (cb, ctx) {\n var _resolve;\n callbacks.push(function () {\n if (cb) {\n try {\n cb.call(ctx);\n } catch (e) {\n handleError(e, ctx, 'nextTick');\n }\n } else if (_resolve) {\n _resolve(ctx);\n }\n });\n if (!pending) {\n pending = true;\n timerFunc();\n }\n // $flow-disable-line\n if (!cb && typeof Promise !== 'undefined') {\n return new Promise(function (resolve) {\n _resolve = resolve;\n })\n }\n}\n\n/* */\n\nvar mark;\nvar measure;\n\nif (process.env.NODE_ENV !== 'production') {\n var perf = inBrowser && window.performance;\n /* istanbul ignore if */\n if (\n perf &&\n perf.mark &&\n perf.measure &&\n perf.clearMarks &&\n perf.clearMeasures\n ) {\n mark = function (tag) { return perf.mark(tag); };\n measure = function (name, startTag, endTag) {\n perf.measure(name, startTag, endTag);\n perf.clearMarks(startTag);\n perf.clearMarks(endTag);\n // perf.clearMeasures(name)\n };\n }\n}\n\n/* not type checking this file because flow doesn't play well with Proxy */\n\nvar initProxy;\n\nif (process.env.NODE_ENV !== 'production') {\n var allowedGlobals = makeMap(\n 'Infinity,undefined,NaN,isFinite,isNaN,' +\n 'parseFloat,parseInt,decodeURI,decodeURIComponent,encodeURI,encodeURIComponent,' +\n 'Math,Number,Date,Array,Object,Boolean,String,RegExp,Map,Set,JSON,Intl,' +\n 'require' // for Webpack/Browserify\n );\n\n var warnNonPresent = function (target, key) {\n warn(\n \"Property or method \\\"\" + key + \"\\\" is not defined on the instance but \" +\n 'referenced during render. Make sure that this property is reactive, ' +\n 'either in the data option, or for class-based components, by ' +\n 'initializing the property. ' +\n 'See: https://vuejs.org/v2/guide/reactivity.html#Declaring-Reactive-Properties.',\n target\n );\n };\n\n var warnReservedPrefix = function (target, key) {\n warn(\n \"Property \\\"\" + key + \"\\\" must be accessed with \\\"$data.\" + key + \"\\\" because \" +\n 'properties starting with \"$\" or \"_\" are not proxied in the Vue instance to ' +\n 'prevent conflicts with Vue internals. ' +\n 'See: https://vuejs.org/v2/api/#data',\n target\n );\n };\n\n var hasProxy =\n typeof Proxy !== 'undefined' && isNative(Proxy);\n\n if (hasProxy) {\n var isBuiltInModifier = makeMap('stop,prevent,self,ctrl,shift,alt,meta,exact');\n config.keyCodes = new Proxy(config.keyCodes, {\n set: function set (target, key, value) {\n if (isBuiltInModifier(key)) {\n warn((\"Avoid overwriting built-in modifier in config.keyCodes: .\" + key));\n return false\n } else {\n target[key] = value;\n return true\n }\n }\n });\n }\n\n var hasHandler = {\n has: function has (target, key) {\n var has = key in target;\n var isAllowed = allowedGlobals(key) ||\n (typeof key === 'string' && key.charAt(0) === '_' && !(key in target.$data));\n if (!has && !isAllowed) {\n if (key in target.$data) { warnReservedPrefix(target, key); }\n else { warnNonPresent(target, key); }\n }\n return has || !isAllowed\n }\n };\n\n var getHandler = {\n get: function get (target, key) {\n if (typeof key === 'string' && !(key in target)) {\n if (key in target.$data) { warnReservedPrefix(target, key); }\n else { warnNonPresent(target, key); }\n }\n return target[key]\n }\n };\n\n initProxy = function initProxy (vm) {\n if (hasProxy) {\n // determine which proxy handler to use\n var options = vm.$options;\n var handlers = options.render && options.render._withStripped\n ? getHandler\n : hasHandler;\n vm._renderProxy = new Proxy(vm, handlers);\n } else {\n vm._renderProxy = vm;\n }\n };\n}\n\n/* */\n\nvar seenObjects = new _Set();\n\n/**\n * Recursively traverse an object to evoke all converted\n * getters, so that every nested property inside the object\n * is collected as a \"deep\" dependency.\n */\nfunction traverse (val) {\n _traverse(val, seenObjects);\n seenObjects.clear();\n}\n\nfunction _traverse (val, seen) {\n var i, keys;\n var isA = Array.isArray(val);\n if ((!isA && !isObject(val)) || Object.isFrozen(val) || val instanceof VNode) {\n return\n }\n if (val.__ob__) {\n var depId = val.__ob__.dep.id;\n if (seen.has(depId)) {\n return\n }\n seen.add(depId);\n }\n if (isA) {\n i = val.length;\n while (i--) { _traverse(val[i], seen); }\n } else {\n keys = Object.keys(val);\n i = keys.length;\n while (i--) { _traverse(val[keys[i]], seen); }\n }\n}\n\n/* */\n\nvar normalizeEvent = cached(function (name) {\n var passive = name.charAt(0) === '&';\n name = passive ? name.slice(1) : name;\n var once$$1 = name.charAt(0) === '~'; // Prefixed last, checked first\n name = once$$1 ? name.slice(1) : name;\n var capture = name.charAt(0) === '!';\n name = capture ? name.slice(1) : name;\n return {\n name: name,\n once: once$$1,\n capture: capture,\n passive: passive\n }\n});\n\nfunction createFnInvoker (fns, vm) {\n function invoker () {\n var arguments$1 = arguments;\n\n var fns = invoker.fns;\n if (Array.isArray(fns)) {\n var cloned = fns.slice();\n for (var i = 0; i < cloned.length; i++) {\n invokeWithErrorHandling(cloned[i], null, arguments$1, vm, \"v-on handler\");\n }\n } else {\n // return handler return value for single handlers\n return invokeWithErrorHandling(fns, null, arguments, vm, \"v-on handler\")\n }\n }\n invoker.fns = fns;\n return invoker\n}\n\nfunction updateListeners (\n on,\n oldOn,\n add,\n remove$$1,\n createOnceHandler,\n vm\n) {\n var name, def$$1, cur, old, event;\n for (name in on) {\n def$$1 = cur = on[name];\n old = oldOn[name];\n event = normalizeEvent(name);\n if (isUndef(cur)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Invalid handler for event \\\"\" + (event.name) + \"\\\": got \" + String(cur),\n vm\n );\n } else if (isUndef(old)) {\n if (isUndef(cur.fns)) {\n cur = on[name] = createFnInvoker(cur, vm);\n }\n if (isTrue(event.once)) {\n cur = on[name] = createOnceHandler(event.name, cur, event.capture);\n }\n add(event.name, cur, event.capture, event.passive, event.params);\n } else if (cur !== old) {\n old.fns = cur;\n on[name] = old;\n }\n }\n for (name in oldOn) {\n if (isUndef(on[name])) {\n event = normalizeEvent(name);\n remove$$1(event.name, oldOn[name], event.capture);\n }\n }\n}\n\n/* */\n\nfunction mergeVNodeHook (def, hookKey, hook) {\n if (def instanceof VNode) {\n def = def.data.hook || (def.data.hook = {});\n }\n var invoker;\n var oldHook = def[hookKey];\n\n function wrappedHook () {\n hook.apply(this, arguments);\n // important: remove merged hook to ensure it's called only once\n // and prevent memory leak\n remove(invoker.fns, wrappedHook);\n }\n\n if (isUndef(oldHook)) {\n // no existing hook\n invoker = createFnInvoker([wrappedHook]);\n } else {\n /* istanbul ignore if */\n if (isDef(oldHook.fns) && isTrue(oldHook.merged)) {\n // already a merged invoker\n invoker = oldHook;\n invoker.fns.push(wrappedHook);\n } else {\n // existing plain hook\n invoker = createFnInvoker([oldHook, wrappedHook]);\n }\n }\n\n invoker.merged = true;\n def[hookKey] = invoker;\n}\n\n/* */\n\nfunction extractPropsFromVNodeData (\n data,\n Ctor,\n tag\n) {\n // we are only extracting raw values here.\n // validation and default values are handled in the child\n // component itself.\n var propOptions = Ctor.options.props;\n if (isUndef(propOptions)) {\n return\n }\n var res = {};\n var attrs = data.attrs;\n var props = data.props;\n if (isDef(attrs) || isDef(props)) {\n for (var key in propOptions) {\n var altKey = hyphenate(key);\n if (process.env.NODE_ENV !== 'production') {\n var keyInLowerCase = key.toLowerCase();\n if (\n key !== keyInLowerCase &&\n attrs && hasOwn(attrs, keyInLowerCase)\n ) {\n tip(\n \"Prop \\\"\" + keyInLowerCase + \"\\\" is passed to component \" +\n (formatComponentName(tag || Ctor)) + \", but the declared prop name is\" +\n \" \\\"\" + key + \"\\\". \" +\n \"Note that HTML attributes are case-insensitive and camelCased \" +\n \"props need to use their kebab-case equivalents when using in-DOM \" +\n \"templates. You should probably use \\\"\" + altKey + \"\\\" instead of \\\"\" + key + \"\\\".\"\n );\n }\n }\n checkProp(res, props, key, altKey, true) ||\n checkProp(res, attrs, key, altKey, false);\n }\n }\n return res\n}\n\nfunction checkProp (\n res,\n hash,\n key,\n altKey,\n preserve\n) {\n if (isDef(hash)) {\n if (hasOwn(hash, key)) {\n res[key] = hash[key];\n if (!preserve) {\n delete hash[key];\n }\n return true\n } else if (hasOwn(hash, altKey)) {\n res[key] = hash[altKey];\n if (!preserve) {\n delete hash[altKey];\n }\n return true\n }\n }\n return false\n}\n\n/* */\n\n// The template compiler attempts to minimize the need for normalization by\n// statically analyzing the template at compile time.\n//\n// For plain HTML markup, normalization can be completely skipped because the\n// generated render function is guaranteed to return Array<VNode>. There are\n// two cases where extra normalization is needed:\n\n// 1. When the children contains components - because a functional component\n// may return an Array instead of a single root. In this case, just a simple\n// normalization is needed - if any child is an Array, we flatten the whole\n// thing with Array.prototype.concat. It is guaranteed to be only 1-level deep\n// because functional components already normalize their own children.\nfunction simpleNormalizeChildren (children) {\n for (var i = 0; i < children.length; i++) {\n if (Array.isArray(children[i])) {\n return Array.prototype.concat.apply([], children)\n }\n }\n return children\n}\n\n// 2. When the children contains constructs that always generated nested Arrays,\n// e.g. <template>, <slot>, v-for, or when the children is provided by user\n// with hand-written render functions / JSX. In such cases a full normalization\n// is needed to cater to all possible types of children values.\nfunction normalizeChildren (children) {\n return isPrimitive(children)\n ? [createTextVNode(children)]\n : Array.isArray(children)\n ? normalizeArrayChildren(children)\n : undefined\n}\n\nfunction isTextNode (node) {\n return isDef(node) && isDef(node.text) && isFalse(node.isComment)\n}\n\nfunction normalizeArrayChildren (children, nestedIndex) {\n var res = [];\n var i, c, lastIndex, last;\n for (i = 0; i < children.length; i++) {\n c = children[i];\n if (isUndef(c) || typeof c === 'boolean') { continue }\n lastIndex = res.length - 1;\n last = res[lastIndex];\n // nested\n if (Array.isArray(c)) {\n if (c.length > 0) {\n c = normalizeArrayChildren(c, ((nestedIndex || '') + \"_\" + i));\n // merge adjacent text nodes\n if (isTextNode(c[0]) && isTextNode(last)) {\n res[lastIndex] = createTextVNode(last.text + (c[0]).text);\n c.shift();\n }\n res.push.apply(res, c);\n }\n } else if (isPrimitive(c)) {\n if (isTextNode(last)) {\n // merge adjacent text nodes\n // this is necessary for SSR hydration because text nodes are\n // essentially merged when rendered to HTML strings\n res[lastIndex] = createTextVNode(last.text + c);\n } else if (c !== '') {\n // convert primitive to vnode\n res.push(createTextVNode(c));\n }\n } else {\n if (isTextNode(c) && isTextNode(last)) {\n // merge adjacent text nodes\n res[lastIndex] = createTextVNode(last.text + c.text);\n } else {\n // default key for nested array children (likely generated by v-for)\n if (isTrue(children._isVList) &&\n isDef(c.tag) &&\n isUndef(c.key) &&\n isDef(nestedIndex)) {\n c.key = \"__vlist\" + nestedIndex + \"_\" + i + \"__\";\n }\n res.push(c);\n }\n }\n }\n return res\n}\n\n/* */\n\nfunction initProvide (vm) {\n var provide = vm.$options.provide;\n if (provide) {\n vm._provided = typeof provide === 'function'\n ? provide.call(vm)\n : provide;\n }\n}\n\nfunction initInjections (vm) {\n var result = resolveInject(vm.$options.inject, vm);\n if (result) {\n toggleObserving(false);\n Object.keys(result).forEach(function (key) {\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n defineReactive$$1(vm, key, result[key], function () {\n warn(\n \"Avoid mutating an injected value directly since the changes will be \" +\n \"overwritten whenever the provided component re-renders. \" +\n \"injection being mutated: \\\"\" + key + \"\\\"\",\n vm\n );\n });\n } else {\n defineReactive$$1(vm, key, result[key]);\n }\n });\n toggleObserving(true);\n }\n}\n\nfunction resolveInject (inject, vm) {\n if (inject) {\n // inject is :any because flow is not smart enough to figure out cached\n var result = Object.create(null);\n var keys = hasSymbol\n ? Reflect.ownKeys(inject)\n : Object.keys(inject);\n\n for (var i = 0; i < keys.length; i++) {\n var key = keys[i];\n // #6574 in case the inject object is observed...\n if (key === '__ob__') { continue }\n var provideKey = inject[key].from;\n var source = vm;\n while (source) {\n if (source._provided && hasOwn(source._provided, provideKey)) {\n result[key] = source._provided[provideKey];\n break\n }\n source = source.$parent;\n }\n if (!source) {\n if ('default' in inject[key]) {\n var provideDefault = inject[key].default;\n result[key] = typeof provideDefault === 'function'\n ? provideDefault.call(vm)\n : provideDefault;\n } else if (process.env.NODE_ENV !== 'production') {\n warn((\"Injection \\\"\" + key + \"\\\" not found\"), vm);\n }\n }\n }\n return result\n }\n}\n\n/* */\n\n\n\n/**\n * Runtime helper for resolving raw children VNodes into a slot object.\n */\nfunction resolveSlots (\n children,\n context\n) {\n if (!children || !children.length) {\n return {}\n }\n var slots = {};\n for (var i = 0, l = children.length; i < l; i++) {\n var child = children[i];\n var data = child.data;\n // remove slot attribute if the node is resolved as a Vue slot node\n if (data && data.attrs && data.attrs.slot) {\n delete data.attrs.slot;\n }\n // named slots should only be respected if the vnode was rendered in the\n // same context.\n if ((child.context === context || child.fnContext === context) &&\n data && data.slot != null\n ) {\n var name = data.slot;\n var slot = (slots[name] || (slots[name] = []));\n if (child.tag === 'template') {\n slot.push.apply(slot, child.children || []);\n } else {\n slot.push(child);\n }\n } else {\n (slots.default || (slots.default = [])).push(child);\n }\n }\n // ignore slots that contains only whitespace\n for (var name$1 in slots) {\n if (slots[name$1].every(isWhitespace)) {\n delete slots[name$1];\n }\n }\n return slots\n}\n\nfunction isWhitespace (node) {\n return (node.isComment && !node.asyncFactory) || node.text === ' '\n}\n\n/* */\n\nfunction normalizeScopedSlots (\n slots,\n normalSlots,\n prevSlots\n) {\n var res;\n var hasNormalSlots = Object.keys(normalSlots).length > 0;\n var isStable = slots ? !!slots.$stable : !hasNormalSlots;\n var key = slots && slots.$key;\n if (!slots) {\n res = {};\n } else if (slots._normalized) {\n // fast path 1: child component re-render only, parent did not change\n return slots._normalized\n } else if (\n isStable &&\n prevSlots &&\n prevSlots !== emptyObject &&\n key === prevSlots.$key &&\n !hasNormalSlots &&\n !prevSlots.$hasNormal\n ) {\n // fast path 2: stable scoped slots w/ no normal slots to proxy,\n // only need to normalize once\n return prevSlots\n } else {\n res = {};\n for (var key$1 in slots) {\n if (slots[key$1] && key$1[0] !== '$') {\n res[key$1] = normalizeScopedSlot(normalSlots, key$1, slots[key$1]);\n }\n }\n }\n // expose normal slots on scopedSlots\n for (var key$2 in normalSlots) {\n if (!(key$2 in res)) {\n res[key$2] = proxyNormalSlot(normalSlots, key$2);\n }\n }\n // avoriaz seems to mock a non-extensible $scopedSlots object\n // and when that is passed down this would cause an error\n if (slots && Object.isExtensible(slots)) {\n (slots)._normalized = res;\n }\n def(res, '$stable', isStable);\n def(res, '$key', key);\n def(res, '$hasNormal', hasNormalSlots);\n return res\n}\n\nfunction normalizeScopedSlot(normalSlots, key, fn) {\n var normalized = function () {\n var res = arguments.length ? fn.apply(null, arguments) : fn({});\n res = res && typeof res === 'object' && !Array.isArray(res)\n ? [res] // single vnode\n : normalizeChildren(res);\n return res && (\n res.length === 0 ||\n (res.length === 1 && res[0].isComment) // #9658\n ) ? undefined\n : res\n };\n // this is a slot using the new v-slot syntax without scope. although it is\n // compiled as a scoped slot, render fn users would expect it to be present\n // on this.$slots because the usage is semantically a normal slot.\n if (fn.proxy) {\n Object.defineProperty(normalSlots, key, {\n get: normalized,\n enumerable: true,\n configurable: true\n });\n }\n return normalized\n}\n\nfunction proxyNormalSlot(slots, key) {\n return function () { return slots[key]; }\n}\n\n/* */\n\n/**\n * Runtime helper for rendering v-for lists.\n */\nfunction renderList (\n val,\n render\n) {\n var ret, i, l, keys, key;\n if (Array.isArray(val) || typeof val === 'string') {\n ret = new Array(val.length);\n for (i = 0, l = val.length; i < l; i++) {\n ret[i] = render(val[i], i);\n }\n } else if (typeof val === 'number') {\n ret = new Array(val);\n for (i = 0; i < val; i++) {\n ret[i] = render(i + 1, i);\n }\n } else if (isObject(val)) {\n if (hasSymbol && val[Symbol.iterator]) {\n ret = [];\n var iterator = val[Symbol.iterator]();\n var result = iterator.next();\n while (!result.done) {\n ret.push(render(result.value, ret.length));\n result = iterator.next();\n }\n } else {\n keys = Object.keys(val);\n ret = new Array(keys.length);\n for (i = 0, l = keys.length; i < l; i++) {\n key = keys[i];\n ret[i] = render(val[key], key, i);\n }\n }\n }\n if (!isDef(ret)) {\n ret = [];\n }\n (ret)._isVList = true;\n return ret\n}\n\n/* */\n\n/**\n * Runtime helper for rendering <slot>\n */\nfunction renderSlot (\n name,\n fallback,\n props,\n bindObject\n) {\n var scopedSlotFn = this.$scopedSlots[name];\n var nodes;\n if (scopedSlotFn) { // scoped slot\n props = props || {};\n if (bindObject) {\n if (process.env.NODE_ENV !== 'production' && !isObject(bindObject)) {\n warn(\n 'slot v-bind without argument expects an Object',\n this\n );\n }\n props = extend(extend({}, bindObject), props);\n }\n nodes = scopedSlotFn(props) || fallback;\n } else {\n nodes = this.$slots[name] || fallback;\n }\n\n var target = props && props.slot;\n if (target) {\n return this.$createElement('template', { slot: target }, nodes)\n } else {\n return nodes\n }\n}\n\n/* */\n\n/**\n * Runtime helper for resolving filters\n */\nfunction resolveFilter (id) {\n return resolveAsset(this.$options, 'filters', id, true) || identity\n}\n\n/* */\n\nfunction isKeyNotMatch (expect, actual) {\n if (Array.isArray(expect)) {\n return expect.indexOf(actual) === -1\n } else {\n return expect !== actual\n }\n}\n\n/**\n * Runtime helper for checking keyCodes from config.\n * exposed as Vue.prototype._k\n * passing in eventKeyName as last argument separately for backwards compat\n */\nfunction checkKeyCodes (\n eventKeyCode,\n key,\n builtInKeyCode,\n eventKeyName,\n builtInKeyName\n) {\n var mappedKeyCode = config.keyCodes[key] || builtInKeyCode;\n if (builtInKeyName && eventKeyName && !config.keyCodes[key]) {\n return isKeyNotMatch(builtInKeyName, eventKeyName)\n } else if (mappedKeyCode) {\n return isKeyNotMatch(mappedKeyCode, eventKeyCode)\n } else if (eventKeyName) {\n return hyphenate(eventKeyName) !== key\n }\n}\n\n/* */\n\n/**\n * Runtime helper for merging v-bind=\"object\" into a VNode's data.\n */\nfunction bindObjectProps (\n data,\n tag,\n value,\n asProp,\n isSync\n) {\n if (value) {\n if (!isObject(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'v-bind without argument expects an Object or Array value',\n this\n );\n } else {\n if (Array.isArray(value)) {\n value = toObject(value);\n }\n var hash;\n var loop = function ( key ) {\n if (\n key === 'class' ||\n key === 'style' ||\n isReservedAttribute(key)\n ) {\n hash = data;\n } else {\n var type = data.attrs && data.attrs.type;\n hash = asProp || config.mustUseProp(tag, type, key)\n ? data.domProps || (data.domProps = {})\n : data.attrs || (data.attrs = {});\n }\n var camelizedKey = camelize(key);\n var hyphenatedKey = hyphenate(key);\n if (!(camelizedKey in hash) && !(hyphenatedKey in hash)) {\n hash[key] = value[key];\n\n if (isSync) {\n var on = data.on || (data.on = {});\n on[(\"update:\" + key)] = function ($event) {\n value[key] = $event;\n };\n }\n }\n };\n\n for (var key in value) loop( key );\n }\n }\n return data\n}\n\n/* */\n\n/**\n * Runtime helper for rendering static trees.\n */\nfunction renderStatic (\n index,\n isInFor\n) {\n var cached = this._staticTrees || (this._staticTrees = []);\n var tree = cached[index];\n // if has already-rendered static tree and not inside v-for,\n // we can reuse the same tree.\n if (tree && !isInFor) {\n return tree\n }\n // otherwise, render a fresh tree.\n tree = cached[index] = this.$options.staticRenderFns[index].call(\n this._renderProxy,\n null,\n this // for render fns generated for functional component templates\n );\n markStatic(tree, (\"__static__\" + index), false);\n return tree\n}\n\n/**\n * Runtime helper for v-once.\n * Effectively it means marking the node as static with a unique key.\n */\nfunction markOnce (\n tree,\n index,\n key\n) {\n markStatic(tree, (\"__once__\" + index + (key ? (\"_\" + key) : \"\")), true);\n return tree\n}\n\nfunction markStatic (\n tree,\n key,\n isOnce\n) {\n if (Array.isArray(tree)) {\n for (var i = 0; i < tree.length; i++) {\n if (tree[i] && typeof tree[i] !== 'string') {\n markStaticNode(tree[i], (key + \"_\" + i), isOnce);\n }\n }\n } else {\n markStaticNode(tree, key, isOnce);\n }\n}\n\nfunction markStaticNode (node, key, isOnce) {\n node.isStatic = true;\n node.key = key;\n node.isOnce = isOnce;\n}\n\n/* */\n\nfunction bindObjectListeners (data, value) {\n if (value) {\n if (!isPlainObject(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'v-on without argument expects an Object value',\n this\n );\n } else {\n var on = data.on = data.on ? extend({}, data.on) : {};\n for (var key in value) {\n var existing = on[key];\n var ours = value[key];\n on[key] = existing ? [].concat(existing, ours) : ours;\n }\n }\n }\n return data\n}\n\n/* */\n\nfunction resolveScopedSlots (\n fns, // see flow/vnode\n res,\n // the following are added in 2.6\n hasDynamicKeys,\n contentHashKey\n) {\n res = res || { $stable: !hasDynamicKeys };\n for (var i = 0; i < fns.length; i++) {\n var slot = fns[i];\n if (Array.isArray(slot)) {\n resolveScopedSlots(slot, res, hasDynamicKeys);\n } else if (slot) {\n // marker for reverse proxying v-slot without scope on this.$slots\n if (slot.proxy) {\n slot.fn.proxy = true;\n }\n res[slot.key] = slot.fn;\n }\n }\n if (contentHashKey) {\n (res).$key = contentHashKey;\n }\n return res\n}\n\n/* */\n\nfunction bindDynamicKeys (baseObj, values) {\n for (var i = 0; i < values.length; i += 2) {\n var key = values[i];\n if (typeof key === 'string' && key) {\n baseObj[values[i]] = values[i + 1];\n } else if (process.env.NODE_ENV !== 'production' && key !== '' && key !== null) {\n // null is a special value for explicitly removing a binding\n warn(\n (\"Invalid value for dynamic directive argument (expected string or null): \" + key),\n this\n );\n }\n }\n return baseObj\n}\n\n// helper to dynamically append modifier runtime markers to event names.\n// ensure only append when value is already string, otherwise it will be cast\n// to string and cause the type check to miss.\nfunction prependModifier (value, symbol) {\n return typeof value === 'string' ? symbol + value : value\n}\n\n/* */\n\nfunction installRenderHelpers (target) {\n target._o = markOnce;\n target._n = toNumber;\n target._s = toString;\n target._l = renderList;\n target._t = renderSlot;\n target._q = looseEqual;\n target._i = looseIndexOf;\n target._m = renderStatic;\n target._f = resolveFilter;\n target._k = checkKeyCodes;\n target._b = bindObjectProps;\n target._v = createTextVNode;\n target._e = createEmptyVNode;\n target._u = resolveScopedSlots;\n target._g = bindObjectListeners;\n target._d = bindDynamicKeys;\n target._p = prependModifier;\n}\n\n/* */\n\nfunction FunctionalRenderContext (\n data,\n props,\n children,\n parent,\n Ctor\n) {\n var this$1 = this;\n\n var options = Ctor.options;\n // ensure the createElement function in functional components\n // gets a unique context - this is necessary for correct named slot check\n var contextVm;\n if (hasOwn(parent, '_uid')) {\n contextVm = Object.create(parent);\n // $flow-disable-line\n contextVm._original = parent;\n } else {\n // the context vm passed in is a functional context as well.\n // in this case we want to make sure we are able to get a hold to the\n // real context instance.\n contextVm = parent;\n // $flow-disable-line\n parent = parent._original;\n }\n var isCompiled = isTrue(options._compiled);\n var needNormalization = !isCompiled;\n\n this.data = data;\n this.props = props;\n this.children = children;\n this.parent = parent;\n this.listeners = data.on || emptyObject;\n this.injections = resolveInject(options.inject, parent);\n this.slots = function () {\n if (!this$1.$slots) {\n normalizeScopedSlots(\n data.scopedSlots,\n this$1.$slots = resolveSlots(children, parent)\n );\n }\n return this$1.$slots\n };\n\n Object.defineProperty(this, 'scopedSlots', ({\n enumerable: true,\n get: function get () {\n return normalizeScopedSlots(data.scopedSlots, this.slots())\n }\n }));\n\n // support for compiled functional template\n if (isCompiled) {\n // exposing $options for renderStatic()\n this.$options = options;\n // pre-resolve slots for renderSlot()\n this.$slots = this.slots();\n this.$scopedSlots = normalizeScopedSlots(data.scopedSlots, this.$slots);\n }\n\n if (options._scopeId) {\n this._c = function (a, b, c, d) {\n var vnode = createElement(contextVm, a, b, c, d, needNormalization);\n if (vnode && !Array.isArray(vnode)) {\n vnode.fnScopeId = options._scopeId;\n vnode.fnContext = parent;\n }\n return vnode\n };\n } else {\n this._c = function (a, b, c, d) { return createElement(contextVm, a, b, c, d, needNormalization); };\n }\n}\n\ninstallRenderHelpers(FunctionalRenderContext.prototype);\n\nfunction createFunctionalComponent (\n Ctor,\n propsData,\n data,\n contextVm,\n children\n) {\n var options = Ctor.options;\n var props = {};\n var propOptions = options.props;\n if (isDef(propOptions)) {\n for (var key in propOptions) {\n props[key] = validateProp(key, propOptions, propsData || emptyObject);\n }\n } else {\n if (isDef(data.attrs)) { mergeProps(props, data.attrs); }\n if (isDef(data.props)) { mergeProps(props, data.props); }\n }\n\n var renderContext = new FunctionalRenderContext(\n data,\n props,\n children,\n contextVm,\n Ctor\n );\n\n var vnode = options.render.call(null, renderContext._c, renderContext);\n\n if (vnode instanceof VNode) {\n return cloneAndMarkFunctionalResult(vnode, data, renderContext.parent, options, renderContext)\n } else if (Array.isArray(vnode)) {\n var vnodes = normalizeChildren(vnode) || [];\n var res = new Array(vnodes.length);\n for (var i = 0; i < vnodes.length; i++) {\n res[i] = cloneAndMarkFunctionalResult(vnodes[i], data, renderContext.parent, options, renderContext);\n }\n return res\n }\n}\n\nfunction cloneAndMarkFunctionalResult (vnode, data, contextVm, options, renderContext) {\n // #7817 clone node before setting fnContext, otherwise if the node is reused\n // (e.g. it was from a cached normal slot) the fnContext causes named slots\n // that should not be matched to match.\n var clone = cloneVNode(vnode);\n clone.fnContext = contextVm;\n clone.fnOptions = options;\n if (process.env.NODE_ENV !== 'production') {\n (clone.devtoolsMeta = clone.devtoolsMeta || {}).renderContext = renderContext;\n }\n if (data.slot) {\n (clone.data || (clone.data = {})).slot = data.slot;\n }\n return clone\n}\n\nfunction mergeProps (to, from) {\n for (var key in from) {\n to[camelize(key)] = from[key];\n }\n}\n\n/* */\n\n/* */\n\n/* */\n\n/* */\n\n// inline hooks to be invoked on component VNodes during patch\nvar componentVNodeHooks = {\n init: function init (vnode, hydrating) {\n if (\n vnode.componentInstance &&\n !vnode.componentInstance._isDestroyed &&\n vnode.data.keepAlive\n ) {\n // kept-alive components, treat as a patch\n var mountedNode = vnode; // work around flow\n componentVNodeHooks.prepatch(mountedNode, mountedNode);\n } else {\n var child = vnode.componentInstance = createComponentInstanceForVnode(\n vnode,\n activeInstance\n );\n child.$mount(hydrating ? vnode.elm : undefined, hydrating);\n }\n },\n\n prepatch: function prepatch (oldVnode, vnode) {\n var options = vnode.componentOptions;\n var child = vnode.componentInstance = oldVnode.componentInstance;\n updateChildComponent(\n child,\n options.propsData, // updated props\n options.listeners, // updated listeners\n vnode, // new parent vnode\n options.children // new children\n );\n },\n\n insert: function insert (vnode) {\n var context = vnode.context;\n var componentInstance = vnode.componentInstance;\n if (!componentInstance._isMounted) {\n componentInstance._isMounted = true;\n callHook(componentInstance, 'mounted');\n }\n if (vnode.data.keepAlive) {\n if (context._isMounted) {\n // vue-router#1212\n // During updates, a kept-alive component's child components may\n // change, so directly walking the tree here may call activated hooks\n // on incorrect children. Instead we push them into a queue which will\n // be processed after the whole patch process ended.\n queueActivatedComponent(componentInstance);\n } else {\n activateChildComponent(componentInstance, true /* direct */);\n }\n }\n },\n\n destroy: function destroy (vnode) {\n var componentInstance = vnode.componentInstance;\n if (!componentInstance._isDestroyed) {\n if (!vnode.data.keepAlive) {\n componentInstance.$destroy();\n } else {\n deactivateChildComponent(componentInstance, true /* direct */);\n }\n }\n }\n};\n\nvar hooksToMerge = Object.keys(componentVNodeHooks);\n\nfunction createComponent (\n Ctor,\n data,\n context,\n children,\n tag\n) {\n if (isUndef(Ctor)) {\n return\n }\n\n var baseCtor = context.$options._base;\n\n // plain options object: turn it into a constructor\n if (isObject(Ctor)) {\n Ctor = baseCtor.extend(Ctor);\n }\n\n // if at this stage it's not a constructor or an async component factory,\n // reject.\n if (typeof Ctor !== 'function') {\n if (process.env.NODE_ENV !== 'production') {\n warn((\"Invalid Component definition: \" + (String(Ctor))), context);\n }\n return\n }\n\n // async component\n var asyncFactory;\n if (isUndef(Ctor.cid)) {\n asyncFactory = Ctor;\n Ctor = resolveAsyncComponent(asyncFactory, baseCtor);\n if (Ctor === undefined) {\n // return a placeholder node for async component, which is rendered\n // as a comment node but preserves all the raw information for the node.\n // the information will be used for async server-rendering and hydration.\n return createAsyncPlaceholder(\n asyncFactory,\n data,\n context,\n children,\n tag\n )\n }\n }\n\n data = data || {};\n\n // resolve constructor options in case global mixins are applied after\n // component constructor creation\n resolveConstructorOptions(Ctor);\n\n // transform component v-model data into props & events\n if (isDef(data.model)) {\n transformModel(Ctor.options, data);\n }\n\n // extract props\n var propsData = extractPropsFromVNodeData(data, Ctor, tag);\n\n // functional component\n if (isTrue(Ctor.options.functional)) {\n return createFunctionalComponent(Ctor, propsData, data, context, children)\n }\n\n // extract listeners, since these needs to be treated as\n // child component listeners instead of DOM listeners\n var listeners = data.on;\n // replace with listeners with .native modifier\n // so it gets processed during parent component patch.\n data.on = data.nativeOn;\n\n if (isTrue(Ctor.options.abstract)) {\n // abstract components do not keep anything\n // other than props & listeners & slot\n\n // work around flow\n var slot = data.slot;\n data = {};\n if (slot) {\n data.slot = slot;\n }\n }\n\n // install component management hooks onto the placeholder node\n installComponentHooks(data);\n\n // return a placeholder vnode\n var name = Ctor.options.name || tag;\n var vnode = new VNode(\n (\"vue-component-\" + (Ctor.cid) + (name ? (\"-\" + name) : '')),\n data, undefined, undefined, undefined, context,\n { Ctor: Ctor, propsData: propsData, listeners: listeners, tag: tag, children: children },\n asyncFactory\n );\n\n return vnode\n}\n\nfunction createComponentInstanceForVnode (\n vnode, // we know it's MountedComponentVNode but flow doesn't\n parent // activeInstance in lifecycle state\n) {\n var options = {\n _isComponent: true,\n _parentVnode: vnode,\n parent: parent\n };\n // check inline-template render functions\n var inlineTemplate = vnode.data.inlineTemplate;\n if (isDef(inlineTemplate)) {\n options.render = inlineTemplate.render;\n options.staticRenderFns = inlineTemplate.staticRenderFns;\n }\n return new vnode.componentOptions.Ctor(options)\n}\n\nfunction installComponentHooks (data) {\n var hooks = data.hook || (data.hook = {});\n for (var i = 0; i < hooksToMerge.length; i++) {\n var key = hooksToMerge[i];\n var existing = hooks[key];\n var toMerge = componentVNodeHooks[key];\n if (existing !== toMerge && !(existing && existing._merged)) {\n hooks[key] = existing ? mergeHook$1(toMerge, existing) : toMerge;\n }\n }\n}\n\nfunction mergeHook$1 (f1, f2) {\n var merged = function (a, b) {\n // flow complains about extra args which is why we use any\n f1(a, b);\n f2(a, b);\n };\n merged._merged = true;\n return merged\n}\n\n// transform component v-model info (value and callback) into\n// prop and event handler respectively.\nfunction transformModel (options, data) {\n var prop = (options.model && options.model.prop) || 'value';\n var event = (options.model && options.model.event) || 'input'\n ;(data.attrs || (data.attrs = {}))[prop] = data.model.value;\n var on = data.on || (data.on = {});\n var existing = on[event];\n var callback = data.model.callback;\n if (isDef(existing)) {\n if (\n Array.isArray(existing)\n ? existing.indexOf(callback) === -1\n : existing !== callback\n ) {\n on[event] = [callback].concat(existing);\n }\n } else {\n on[event] = callback;\n }\n}\n\n/* */\n\nvar SIMPLE_NORMALIZE = 1;\nvar ALWAYS_NORMALIZE = 2;\n\n// wrapper function for providing a more flexible interface\n// without getting yelled at by flow\nfunction createElement (\n context,\n tag,\n data,\n children,\n normalizationType,\n alwaysNormalize\n) {\n if (Array.isArray(data) || isPrimitive(data)) {\n normalizationType = children;\n children = data;\n data = undefined;\n }\n if (isTrue(alwaysNormalize)) {\n normalizationType = ALWAYS_NORMALIZE;\n }\n return _createElement(context, tag, data, children, normalizationType)\n}\n\nfunction _createElement (\n context,\n tag,\n data,\n children,\n normalizationType\n) {\n if (isDef(data) && isDef((data).__ob__)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Avoid using observed data object as vnode data: \" + (JSON.stringify(data)) + \"\\n\" +\n 'Always create fresh vnode data objects in each render!',\n context\n );\n return createEmptyVNode()\n }\n // object syntax in v-bind\n if (isDef(data) && isDef(data.is)) {\n tag = data.is;\n }\n if (!tag) {\n // in case of component :is set to falsy value\n return createEmptyVNode()\n }\n // warn against non-primitive key\n if (process.env.NODE_ENV !== 'production' &&\n isDef(data) && isDef(data.key) && !isPrimitive(data.key)\n ) {\n {\n warn(\n 'Avoid using non-primitive value as key, ' +\n 'use string/number value instead.',\n context\n );\n }\n }\n // support single function children as default scoped slot\n if (Array.isArray(children) &&\n typeof children[0] === 'function'\n ) {\n data = data || {};\n data.scopedSlots = { default: children[0] };\n children.length = 0;\n }\n if (normalizationType === ALWAYS_NORMALIZE) {\n children = normalizeChildren(children);\n } else if (normalizationType === SIMPLE_NORMALIZE) {\n children = simpleNormalizeChildren(children);\n }\n var vnode, ns;\n if (typeof tag === 'string') {\n var Ctor;\n ns = (context.$vnode && context.$vnode.ns) || config.getTagNamespace(tag);\n if (config.isReservedTag(tag)) {\n // platform built-in elements\n if (process.env.NODE_ENV !== 'production' && isDef(data) && isDef(data.nativeOn)) {\n warn(\n (\"The .native modifier for v-on is only valid on components but it was used on <\" + tag + \">.\"),\n context\n );\n }\n vnode = new VNode(\n config.parsePlatformTagName(tag), data, children,\n undefined, undefined, context\n );\n } else if ((!data || !data.pre) && isDef(Ctor = resolveAsset(context.$options, 'components', tag))) {\n // component\n vnode = createComponent(Ctor, data, context, children, tag);\n } else {\n // unknown or unlisted namespaced elements\n // check at runtime because it may get assigned a namespace when its\n // parent normalizes children\n vnode = new VNode(\n tag, data, children,\n undefined, undefined, context\n );\n }\n } else {\n // direct component options / constructor\n vnode = createComponent(tag, data, context, children);\n }\n if (Array.isArray(vnode)) {\n return vnode\n } else if (isDef(vnode)) {\n if (isDef(ns)) { applyNS(vnode, ns); }\n if (isDef(data)) { registerDeepBindings(data); }\n return vnode\n } else {\n return createEmptyVNode()\n }\n}\n\nfunction applyNS (vnode, ns, force) {\n vnode.ns = ns;\n if (vnode.tag === 'foreignObject') {\n // use default namespace inside foreignObject\n ns = undefined;\n force = true;\n }\n if (isDef(vnode.children)) {\n for (var i = 0, l = vnode.children.length; i < l; i++) {\n var child = vnode.children[i];\n if (isDef(child.tag) && (\n isUndef(child.ns) || (isTrue(force) && child.tag !== 'svg'))) {\n applyNS(child, ns, force);\n }\n }\n }\n}\n\n// ref #5318\n// necessary to ensure parent re-render when deep bindings like :style and\n// :class are used on slot nodes\nfunction registerDeepBindings (data) {\n if (isObject(data.style)) {\n traverse(data.style);\n }\n if (isObject(data.class)) {\n traverse(data.class);\n }\n}\n\n/* */\n\nfunction initRender (vm) {\n vm._vnode = null; // the root of the child tree\n vm._staticTrees = null; // v-once cached trees\n var options = vm.$options;\n var parentVnode = vm.$vnode = options._parentVnode; // the placeholder node in parent tree\n var renderContext = parentVnode && parentVnode.context;\n vm.$slots = resolveSlots(options._renderChildren, renderContext);\n vm.$scopedSlots = emptyObject;\n // bind the createElement fn to this instance\n // so that we get proper render context inside it.\n // args order: tag, data, children, normalizationType, alwaysNormalize\n // internal version is used by render functions compiled from templates\n vm._c = function (a, b, c, d) { return createElement(vm, a, b, c, d, false); };\n // normalization is always applied for the public version, used in\n // user-written render functions.\n vm.$createElement = function (a, b, c, d) { return createElement(vm, a, b, c, d, true); };\n\n // $attrs & $listeners are exposed for easier HOC creation.\n // they need to be reactive so that HOCs using them are always updated\n var parentData = parentVnode && parentVnode.data;\n\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n defineReactive$$1(vm, '$attrs', parentData && parentData.attrs || emptyObject, function () {\n !isUpdatingChildComponent && warn(\"$attrs is readonly.\", vm);\n }, true);\n defineReactive$$1(vm, '$listeners', options._parentListeners || emptyObject, function () {\n !isUpdatingChildComponent && warn(\"$listeners is readonly.\", vm);\n }, true);\n } else {\n defineReactive$$1(vm, '$attrs', parentData && parentData.attrs || emptyObject, null, true);\n defineReactive$$1(vm, '$listeners', options._parentListeners || emptyObject, null, true);\n }\n}\n\nvar currentRenderingInstance = null;\n\nfunction renderMixin (Vue) {\n // install runtime convenience helpers\n installRenderHelpers(Vue.prototype);\n\n Vue.prototype.$nextTick = function (fn) {\n return nextTick(fn, this)\n };\n\n Vue.prototype._render = function () {\n var vm = this;\n var ref = vm.$options;\n var render = ref.render;\n var _parentVnode = ref._parentVnode;\n\n if (_parentVnode) {\n vm.$scopedSlots = normalizeScopedSlots(\n _parentVnode.data.scopedSlots,\n vm.$slots,\n vm.$scopedSlots\n );\n }\n\n // set parent vnode. this allows render functions to have access\n // to the data on the placeholder node.\n vm.$vnode = _parentVnode;\n // render self\n var vnode;\n try {\n // There's no need to maintain a stack because all render fns are called\n // separately from one another. Nested component's render fns are called\n // when parent component is patched.\n currentRenderingInstance = vm;\n vnode = render.call(vm._renderProxy, vm.$createElement);\n } catch (e) {\n handleError(e, vm, \"render\");\n // return error render result,\n // or previous vnode to prevent render error causing blank component\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production' && vm.$options.renderError) {\n try {\n vnode = vm.$options.renderError.call(vm._renderProxy, vm.$createElement, e);\n } catch (e) {\n handleError(e, vm, \"renderError\");\n vnode = vm._vnode;\n }\n } else {\n vnode = vm._vnode;\n }\n } finally {\n currentRenderingInstance = null;\n }\n // if the returned array contains only a single node, allow it\n if (Array.isArray(vnode) && vnode.length === 1) {\n vnode = vnode[0];\n }\n // return empty vnode in case the render function errored out\n if (!(vnode instanceof VNode)) {\n if (process.env.NODE_ENV !== 'production' && Array.isArray(vnode)) {\n warn(\n 'Multiple root nodes returned from render function. Render function ' +\n 'should return a single root node.',\n vm\n );\n }\n vnode = createEmptyVNode();\n }\n // set parent\n vnode.parent = _parentVnode;\n return vnode\n };\n}\n\n/* */\n\nfunction ensureCtor (comp, base) {\n if (\n comp.__esModule ||\n (hasSymbol && comp[Symbol.toStringTag] === 'Module')\n ) {\n comp = comp.default;\n }\n return isObject(comp)\n ? base.extend(comp)\n : comp\n}\n\nfunction createAsyncPlaceholder (\n factory,\n data,\n context,\n children,\n tag\n) {\n var node = createEmptyVNode();\n node.asyncFactory = factory;\n node.asyncMeta = { data: data, context: context, children: children, tag: tag };\n return node\n}\n\nfunction resolveAsyncComponent (\n factory,\n baseCtor\n) {\n if (isTrue(factory.error) && isDef(factory.errorComp)) {\n return factory.errorComp\n }\n\n if (isDef(factory.resolved)) {\n return factory.resolved\n }\n\n var owner = currentRenderingInstance;\n if (owner && isDef(factory.owners) && factory.owners.indexOf(owner) === -1) {\n // already pending\n factory.owners.push(owner);\n }\n\n if (isTrue(factory.loading) && isDef(factory.loadingComp)) {\n return factory.loadingComp\n }\n\n if (owner && !isDef(factory.owners)) {\n var owners = factory.owners = [owner];\n var sync = true;\n var timerLoading = null;\n var timerTimeout = null\n\n ;(owner).$on('hook:destroyed', function () { return remove(owners, owner); });\n\n var forceRender = function (renderCompleted) {\n for (var i = 0, l = owners.length; i < l; i++) {\n (owners[i]).$forceUpdate();\n }\n\n if (renderCompleted) {\n owners.length = 0;\n if (timerLoading !== null) {\n clearTimeout(timerLoading);\n timerLoading = null;\n }\n if (timerTimeout !== null) {\n clearTimeout(timerTimeout);\n timerTimeout = null;\n }\n }\n };\n\n var resolve = once(function (res) {\n // cache resolved\n factory.resolved = ensureCtor(res, baseCtor);\n // invoke callbacks only if this is not a synchronous resolve\n // (async resolves are shimmed as synchronous during SSR)\n if (!sync) {\n forceRender(true);\n } else {\n owners.length = 0;\n }\n });\n\n var reject = once(function (reason) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Failed to resolve async component: \" + (String(factory)) +\n (reason ? (\"\\nReason: \" + reason) : '')\n );\n if (isDef(factory.errorComp)) {\n factory.error = true;\n forceRender(true);\n }\n });\n\n var res = factory(resolve, reject);\n\n if (isObject(res)) {\n if (isPromise(res)) {\n // () => Promise\n if (isUndef(factory.resolved)) {\n res.then(resolve, reject);\n }\n } else if (isPromise(res.component)) {\n res.component.then(resolve, reject);\n\n if (isDef(res.error)) {\n factory.errorComp = ensureCtor(res.error, baseCtor);\n }\n\n if (isDef(res.loading)) {\n factory.loadingComp = ensureCtor(res.loading, baseCtor);\n if (res.delay === 0) {\n factory.loading = true;\n } else {\n timerLoading = setTimeout(function () {\n timerLoading = null;\n if (isUndef(factory.resolved) && isUndef(factory.error)) {\n factory.loading = true;\n forceRender(false);\n }\n }, res.delay || 200);\n }\n }\n\n if (isDef(res.timeout)) {\n timerTimeout = setTimeout(function () {\n timerTimeout = null;\n if (isUndef(factory.resolved)) {\n reject(\n process.env.NODE_ENV !== 'production'\n ? (\"timeout (\" + (res.timeout) + \"ms)\")\n : null\n );\n }\n }, res.timeout);\n }\n }\n }\n\n sync = false;\n // return in case resolved synchronously\n return factory.loading\n ? factory.loadingComp\n : factory.resolved\n }\n}\n\n/* */\n\nfunction isAsyncPlaceholder (node) {\n return node.isComment && node.asyncFactory\n}\n\n/* */\n\nfunction getFirstComponentChild (children) {\n if (Array.isArray(children)) {\n for (var i = 0; i < children.length; i++) {\n var c = children[i];\n if (isDef(c) && (isDef(c.componentOptions) || isAsyncPlaceholder(c))) {\n return c\n }\n }\n }\n}\n\n/* */\n\n/* */\n\nfunction initEvents (vm) {\n vm._events = Object.create(null);\n vm._hasHookEvent = false;\n // init parent attached events\n var listeners = vm.$options._parentListeners;\n if (listeners) {\n updateComponentListeners(vm, listeners);\n }\n}\n\nvar target;\n\nfunction add (event, fn) {\n target.$on(event, fn);\n}\n\nfunction remove$1 (event, fn) {\n target.$off(event, fn);\n}\n\nfunction createOnceHandler (event, fn) {\n var _target = target;\n return function onceHandler () {\n var res = fn.apply(null, arguments);\n if (res !== null) {\n _target.$off(event, onceHandler);\n }\n }\n}\n\nfunction updateComponentListeners (\n vm,\n listeners,\n oldListeners\n) {\n target = vm;\n updateListeners(listeners, oldListeners || {}, add, remove$1, createOnceHandler, vm);\n target = undefined;\n}\n\nfunction eventsMixin (Vue) {\n var hookRE = /^hook:/;\n Vue.prototype.$on = function (event, fn) {\n var vm = this;\n if (Array.isArray(event)) {\n for (var i = 0, l = event.length; i < l; i++) {\n vm.$on(event[i], fn);\n }\n } else {\n (vm._events[event] || (vm._events[event] = [])).push(fn);\n // optimize hook:event cost by using a boolean flag marked at registration\n // instead of a hash lookup\n if (hookRE.test(event)) {\n vm._hasHookEvent = true;\n }\n }\n return vm\n };\n\n Vue.prototype.$once = function (event, fn) {\n var vm = this;\n function on () {\n vm.$off(event, on);\n fn.apply(vm, arguments);\n }\n on.fn = fn;\n vm.$on(event, on);\n return vm\n };\n\n Vue.prototype.$off = function (event, fn) {\n var vm = this;\n // all\n if (!arguments.length) {\n vm._events = Object.create(null);\n return vm\n }\n // array of events\n if (Array.isArray(event)) {\n for (var i$1 = 0, l = event.length; i$1 < l; i$1++) {\n vm.$off(event[i$1], fn);\n }\n return vm\n }\n // specific event\n var cbs = vm._events[event];\n if (!cbs) {\n return vm\n }\n if (!fn) {\n vm._events[event] = null;\n return vm\n }\n // specific handler\n var cb;\n var i = cbs.length;\n while (i--) {\n cb = cbs[i];\n if (cb === fn || cb.fn === fn) {\n cbs.splice(i, 1);\n break\n }\n }\n return vm\n };\n\n Vue.prototype.$emit = function (event) {\n var vm = this;\n if (process.env.NODE_ENV !== 'production') {\n var lowerCaseEvent = event.toLowerCase();\n if (lowerCaseEvent !== event && vm._events[lowerCaseEvent]) {\n tip(\n \"Event \\\"\" + lowerCaseEvent + \"\\\" is emitted in component \" +\n (formatComponentName(vm)) + \" but the handler is registered for \\\"\" + event + \"\\\". \" +\n \"Note that HTML attributes are case-insensitive and you cannot use \" +\n \"v-on to listen to camelCase events when using in-DOM templates. \" +\n \"You should probably use \\\"\" + (hyphenate(event)) + \"\\\" instead of \\\"\" + event + \"\\\".\"\n );\n }\n }\n var cbs = vm._events[event];\n if (cbs) {\n cbs = cbs.length > 1 ? toArray(cbs) : cbs;\n var args = toArray(arguments, 1);\n var info = \"event handler for \\\"\" + event + \"\\\"\";\n for (var i = 0, l = cbs.length; i < l; i++) {\n invokeWithErrorHandling(cbs[i], vm, args, vm, info);\n }\n }\n return vm\n };\n}\n\n/* */\n\nvar activeInstance = null;\nvar isUpdatingChildComponent = false;\n\nfunction setActiveInstance(vm) {\n var prevActiveInstance = activeInstance;\n activeInstance = vm;\n return function () {\n activeInstance = prevActiveInstance;\n }\n}\n\nfunction initLifecycle (vm) {\n var options = vm.$options;\n\n // locate first non-abstract parent\n var parent = options.parent;\n if (parent && !options.abstract) {\n while (parent.$options.abstract && parent.$parent) {\n parent = parent.$parent;\n }\n parent.$children.push(vm);\n }\n\n vm.$parent = parent;\n vm.$root = parent ? parent.$root : vm;\n\n vm.$children = [];\n vm.$refs = {};\n\n vm._watcher = null;\n vm._inactive = null;\n vm._directInactive = false;\n vm._isMounted = false;\n vm._isDestroyed = false;\n vm._isBeingDestroyed = false;\n}\n\nfunction lifecycleMixin (Vue) {\n Vue.prototype._update = function (vnode, hydrating) {\n var vm = this;\n var prevEl = vm.$el;\n var prevVnode = vm._vnode;\n var restoreActiveInstance = setActiveInstance(vm);\n vm._vnode = vnode;\n // Vue.prototype.__patch__ is injected in entry points\n // based on the rendering backend used.\n if (!prevVnode) {\n // initial render\n vm.$el = vm.__patch__(vm.$el, vnode, hydrating, false /* removeOnly */);\n } else {\n // updates\n vm.$el = vm.__patch__(prevVnode, vnode);\n }\n restoreActiveInstance();\n // update __vue__ reference\n if (prevEl) {\n prevEl.__vue__ = null;\n }\n if (vm.$el) {\n vm.$el.__vue__ = vm;\n }\n // if parent is an HOC, update its $el as well\n if (vm.$vnode && vm.$parent && vm.$vnode === vm.$parent._vnode) {\n vm.$parent.$el = vm.$el;\n }\n // updated hook is called by the scheduler to ensure that children are\n // updated in a parent's updated hook.\n };\n\n Vue.prototype.$forceUpdate = function () {\n var vm = this;\n if (vm._watcher) {\n vm._watcher.update();\n }\n };\n\n Vue.prototype.$destroy = function () {\n var vm = this;\n if (vm._isBeingDestroyed) {\n return\n }\n callHook(vm, 'beforeDestroy');\n vm._isBeingDestroyed = true;\n // remove self from parent\n var parent = vm.$parent;\n if (parent && !parent._isBeingDestroyed && !vm.$options.abstract) {\n remove(parent.$children, vm);\n }\n // teardown watchers\n if (vm._watcher) {\n vm._watcher.teardown();\n }\n var i = vm._watchers.length;\n while (i--) {\n vm._watchers[i].teardown();\n }\n // remove reference from data ob\n // frozen object may not have observer.\n if (vm._data.__ob__) {\n vm._data.__ob__.vmCount--;\n }\n // call the last hook...\n vm._isDestroyed = true;\n // invoke destroy hooks on current rendered tree\n vm.__patch__(vm._vnode, null);\n // fire destroyed hook\n callHook(vm, 'destroyed');\n // turn off all instance listeners.\n vm.$off();\n // remove __vue__ reference\n if (vm.$el) {\n vm.$el.__vue__ = null;\n }\n // release circular reference (#6759)\n if (vm.$vnode) {\n vm.$vnode.parent = null;\n }\n };\n}\n\nfunction mountComponent (\n vm,\n el,\n hydrating\n) {\n vm.$el = el;\n if (!vm.$options.render) {\n vm.$options.render = createEmptyVNode;\n if (process.env.NODE_ENV !== 'production') {\n /* istanbul ignore if */\n if ((vm.$options.template && vm.$options.template.charAt(0) !== '#') ||\n vm.$options.el || el) {\n warn(\n 'You are using the runtime-only build of Vue where the template ' +\n 'compiler is not available. Either pre-compile the templates into ' +\n 'render functions, or use the compiler-included build.',\n vm\n );\n } else {\n warn(\n 'Failed to mount component: template or render function not defined.',\n vm\n );\n }\n }\n }\n callHook(vm, 'beforeMount');\n\n var updateComponent;\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n updateComponent = function () {\n var name = vm._name;\n var id = vm._uid;\n var startTag = \"vue-perf-start:\" + id;\n var endTag = \"vue-perf-end:\" + id;\n\n mark(startTag);\n var vnode = vm._render();\n mark(endTag);\n measure((\"vue \" + name + \" render\"), startTag, endTag);\n\n mark(startTag);\n vm._update(vnode, hydrating);\n mark(endTag);\n measure((\"vue \" + name + \" patch\"), startTag, endTag);\n };\n } else {\n updateComponent = function () {\n vm._update(vm._render(), hydrating);\n };\n }\n\n // we set this to vm._watcher inside the watcher's constructor\n // since the watcher's initial patch may call $forceUpdate (e.g. inside child\n // component's mounted hook), which relies on vm._watcher being already defined\n new Watcher(vm, updateComponent, noop, {\n before: function before () {\n if (vm._isMounted && !vm._isDestroyed) {\n callHook(vm, 'beforeUpdate');\n }\n }\n }, true /* isRenderWatcher */);\n hydrating = false;\n\n // manually mounted instance, call mounted on self\n // mounted is called for render-created child components in its inserted hook\n if (vm.$vnode == null) {\n vm._isMounted = true;\n callHook(vm, 'mounted');\n }\n return vm\n}\n\nfunction updateChildComponent (\n vm,\n propsData,\n listeners,\n parentVnode,\n renderChildren\n) {\n if (process.env.NODE_ENV !== 'production') {\n isUpdatingChildComponent = true;\n }\n\n // determine whether component has slot children\n // we need to do this before overwriting $options._renderChildren.\n\n // check if there are dynamic scopedSlots (hand-written or compiled but with\n // dynamic slot names). Static scoped slots compiled from template has the\n // \"$stable\" marker.\n var newScopedSlots = parentVnode.data.scopedSlots;\n var oldScopedSlots = vm.$scopedSlots;\n var hasDynamicScopedSlot = !!(\n (newScopedSlots && !newScopedSlots.$stable) ||\n (oldScopedSlots !== emptyObject && !oldScopedSlots.$stable) ||\n (newScopedSlots && vm.$scopedSlots.$key !== newScopedSlots.$key)\n );\n\n // Any static slot children from the parent may have changed during parent's\n // update. Dynamic scoped slots may also have changed. In such cases, a forced\n // update is necessary to ensure correctness.\n var needsForceUpdate = !!(\n renderChildren || // has new static slots\n vm.$options._renderChildren || // has old static slots\n hasDynamicScopedSlot\n );\n\n vm.$options._parentVnode = parentVnode;\n vm.$vnode = parentVnode; // update vm's placeholder node without re-render\n\n if (vm._vnode) { // update child tree's parent\n vm._vnode.parent = parentVnode;\n }\n vm.$options._renderChildren = renderChildren;\n\n // update $attrs and $listeners hash\n // these are also reactive so they may trigger child update if the child\n // used them during render\n vm.$attrs = parentVnode.data.attrs || emptyObject;\n vm.$listeners = listeners || emptyObject;\n\n // update props\n if (propsData && vm.$options.props) {\n toggleObserving(false);\n var props = vm._props;\n var propKeys = vm.$options._propKeys || [];\n for (var i = 0; i < propKeys.length; i++) {\n var key = propKeys[i];\n var propOptions = vm.$options.props; // wtf flow?\n props[key] = validateProp(key, propOptions, propsData, vm);\n }\n toggleObserving(true);\n // keep a copy of raw propsData\n vm.$options.propsData = propsData;\n }\n\n // update listeners\n listeners = listeners || emptyObject;\n var oldListeners = vm.$options._parentListeners;\n vm.$options._parentListeners = listeners;\n updateComponentListeners(vm, listeners, oldListeners);\n\n // resolve slots + force update if has children\n if (needsForceUpdate) {\n vm.$slots = resolveSlots(renderChildren, parentVnode.context);\n vm.$forceUpdate();\n }\n\n if (process.env.NODE_ENV !== 'production') {\n isUpdatingChildComponent = false;\n }\n}\n\nfunction isInInactiveTree (vm) {\n while (vm && (vm = vm.$parent)) {\n if (vm._inactive) { return true }\n }\n return false\n}\n\nfunction activateChildComponent (vm, direct) {\n if (direct) {\n vm._directInactive = false;\n if (isInInactiveTree(vm)) {\n return\n }\n } else if (vm._directInactive) {\n return\n }\n if (vm._inactive || vm._inactive === null) {\n vm._inactive = false;\n for (var i = 0; i < vm.$children.length; i++) {\n activateChildComponent(vm.$children[i]);\n }\n callHook(vm, 'activated');\n }\n}\n\nfunction deactivateChildComponent (vm, direct) {\n if (direct) {\n vm._directInactive = true;\n if (isInInactiveTree(vm)) {\n return\n }\n }\n if (!vm._inactive) {\n vm._inactive = true;\n for (var i = 0; i < vm.$children.length; i++) {\n deactivateChildComponent(vm.$children[i]);\n }\n callHook(vm, 'deactivated');\n }\n}\n\nfunction callHook (vm, hook) {\n // #7573 disable dep collection when invoking lifecycle hooks\n pushTarget();\n var handlers = vm.$options[hook];\n var info = hook + \" hook\";\n if (handlers) {\n for (var i = 0, j = handlers.length; i < j; i++) {\n invokeWithErrorHandling(handlers[i], vm, null, vm, info);\n }\n }\n if (vm._hasHookEvent) {\n vm.$emit('hook:' + hook);\n }\n popTarget();\n}\n\n/* */\n\nvar MAX_UPDATE_COUNT = 100;\n\nvar queue = [];\nvar activatedChildren = [];\nvar has = {};\nvar circular = {};\nvar waiting = false;\nvar flushing = false;\nvar index = 0;\n\n/**\n * Reset the scheduler's state.\n */\nfunction resetSchedulerState () {\n index = queue.length = activatedChildren.length = 0;\n has = {};\n if (process.env.NODE_ENV !== 'production') {\n circular = {};\n }\n waiting = flushing = false;\n}\n\n// Async edge case #6566 requires saving the timestamp when event listeners are\n// attached. However, calling performance.now() has a perf overhead especially\n// if the page has thousands of event listeners. Instead, we take a timestamp\n// every time the scheduler flushes and use that for all event listeners\n// attached during that flush.\nvar currentFlushTimestamp = 0;\n\n// Async edge case fix requires storing an event listener's attach timestamp.\nvar getNow = Date.now;\n\n// Determine what event timestamp the browser is using. Annoyingly, the\n// timestamp can either be hi-res (relative to page load) or low-res\n// (relative to UNIX epoch), so in order to compare time we have to use the\n// same timestamp type when saving the flush timestamp.\n// All IE versions use low-res event timestamps, and have problematic clock\n// implementations (#9632)\nif (inBrowser && !isIE) {\n var performance = window.performance;\n if (\n performance &&\n typeof performance.now === 'function' &&\n getNow() > document.createEvent('Event').timeStamp\n ) {\n // if the event timestamp, although evaluated AFTER the Date.now(), is\n // smaller than it, it means the event is using a hi-res timestamp,\n // and we need to use the hi-res version for event listener timestamps as\n // well.\n getNow = function () { return performance.now(); };\n }\n}\n\n/**\n * Flush both queues and run the watchers.\n */\nfunction flushSchedulerQueue () {\n currentFlushTimestamp = getNow();\n flushing = true;\n var watcher, id;\n\n // Sort queue before flush.\n // This ensures that:\n // 1. Components are updated from parent to child. (because parent is always\n // created before the child)\n // 2. A component's user watchers are run before its render watcher (because\n // user watchers are created before the render watcher)\n // 3. If a component is destroyed during a parent component's watcher run,\n // its watchers can be skipped.\n queue.sort(function (a, b) { return a.id - b.id; });\n\n // do not cache length because more watchers might be pushed\n // as we run existing watchers\n for (index = 0; index < queue.length; index++) {\n watcher = queue[index];\n if (watcher.before) {\n watcher.before();\n }\n id = watcher.id;\n has[id] = null;\n watcher.run();\n // in dev build, check and stop circular updates.\n if (process.env.NODE_ENV !== 'production' && has[id] != null) {\n circular[id] = (circular[id] || 0) + 1;\n if (circular[id] > MAX_UPDATE_COUNT) {\n warn(\n 'You may have an infinite update loop ' + (\n watcher.user\n ? (\"in watcher with expression \\\"\" + (watcher.expression) + \"\\\"\")\n : \"in a component render function.\"\n ),\n watcher.vm\n );\n break\n }\n }\n }\n\n // keep copies of post queues before resetting state\n var activatedQueue = activatedChildren.slice();\n var updatedQueue = queue.slice();\n\n resetSchedulerState();\n\n // call component updated and activated hooks\n callActivatedHooks(activatedQueue);\n callUpdatedHooks(updatedQueue);\n\n // devtool hook\n /* istanbul ignore if */\n if (devtools && config.devtools) {\n devtools.emit('flush');\n }\n}\n\nfunction callUpdatedHooks (queue) {\n var i = queue.length;\n while (i--) {\n var watcher = queue[i];\n var vm = watcher.vm;\n if (vm._watcher === watcher && vm._isMounted && !vm._isDestroyed) {\n callHook(vm, 'updated');\n }\n }\n}\n\n/**\n * Queue a kept-alive component that was activated during patch.\n * The queue will be processed after the entire tree has been patched.\n */\nfunction queueActivatedComponent (vm) {\n // setting _inactive to false here so that a render function can\n // rely on checking whether it's in an inactive tree (e.g. router-view)\n vm._inactive = false;\n activatedChildren.push(vm);\n}\n\nfunction callActivatedHooks (queue) {\n for (var i = 0; i < queue.length; i++) {\n queue[i]._inactive = true;\n activateChildComponent(queue[i], true /* true */);\n }\n}\n\n/**\n * Push a watcher into the watcher queue.\n * Jobs with duplicate IDs will be skipped unless it's\n * pushed when the queue is being flushed.\n */\nfunction queueWatcher (watcher) {\n var id = watcher.id;\n if (has[id] == null) {\n has[id] = true;\n if (!flushing) {\n queue.push(watcher);\n } else {\n // if already flushing, splice the watcher based on its id\n // if already past its id, it will be run next immediately.\n var i = queue.length - 1;\n while (i > index && queue[i].id > watcher.id) {\n i--;\n }\n queue.splice(i + 1, 0, watcher);\n }\n // queue the flush\n if (!waiting) {\n waiting = true;\n\n if (process.env.NODE_ENV !== 'production' && !config.async) {\n flushSchedulerQueue();\n return\n }\n nextTick(flushSchedulerQueue);\n }\n }\n}\n\n/* */\n\n\n\nvar uid$2 = 0;\n\n/**\n * A watcher parses an expression, collects dependencies,\n * and fires callback when the expression value changes.\n * This is used for both the $watch() api and directives.\n */\nvar Watcher = function Watcher (\n vm,\n expOrFn,\n cb,\n options,\n isRenderWatcher\n) {\n this.vm = vm;\n if (isRenderWatcher) {\n vm._watcher = this;\n }\n vm._watchers.push(this);\n // options\n if (options) {\n this.deep = !!options.deep;\n this.user = !!options.user;\n this.lazy = !!options.lazy;\n this.sync = !!options.sync;\n this.before = options.before;\n } else {\n this.deep = this.user = this.lazy = this.sync = false;\n }\n this.cb = cb;\n this.id = ++uid$2; // uid for batching\n this.active = true;\n this.dirty = this.lazy; // for lazy watchers\n this.deps = [];\n this.newDeps = [];\n this.depIds = new _Set();\n this.newDepIds = new _Set();\n this.expression = process.env.NODE_ENV !== 'production'\n ? expOrFn.toString()\n : '';\n // parse expression for getter\n if (typeof expOrFn === 'function') {\n this.getter = expOrFn;\n } else {\n this.getter = parsePath(expOrFn);\n if (!this.getter) {\n this.getter = noop;\n process.env.NODE_ENV !== 'production' && warn(\n \"Failed watching path: \\\"\" + expOrFn + \"\\\" \" +\n 'Watcher only accepts simple dot-delimited paths. ' +\n 'For full control, use a function instead.',\n vm\n );\n }\n }\n this.value = this.lazy\n ? undefined\n : this.get();\n};\n\n/**\n * Evaluate the getter, and re-collect dependencies.\n */\nWatcher.prototype.get = function get () {\n pushTarget(this);\n var value;\n var vm = this.vm;\n try {\n value = this.getter.call(vm, vm);\n } catch (e) {\n if (this.user) {\n handleError(e, vm, (\"getter for watcher \\\"\" + (this.expression) + \"\\\"\"));\n } else {\n throw e\n }\n } finally {\n // \"touch\" every property so they are all tracked as\n // dependencies for deep watching\n if (this.deep) {\n traverse(value);\n }\n popTarget();\n this.cleanupDeps();\n }\n return value\n};\n\n/**\n * Add a dependency to this directive.\n */\nWatcher.prototype.addDep = function addDep (dep) {\n var id = dep.id;\n if (!this.newDepIds.has(id)) {\n this.newDepIds.add(id);\n this.newDeps.push(dep);\n if (!this.depIds.has(id)) {\n dep.addSub(this);\n }\n }\n};\n\n/**\n * Clean up for dependency collection.\n */\nWatcher.prototype.cleanupDeps = function cleanupDeps () {\n var i = this.deps.length;\n while (i--) {\n var dep = this.deps[i];\n if (!this.newDepIds.has(dep.id)) {\n dep.removeSub(this);\n }\n }\n var tmp = this.depIds;\n this.depIds = this.newDepIds;\n this.newDepIds = tmp;\n this.newDepIds.clear();\n tmp = this.deps;\n this.deps = this.newDeps;\n this.newDeps = tmp;\n this.newDeps.length = 0;\n};\n\n/**\n * Subscriber interface.\n * Will be called when a dependency changes.\n */\nWatcher.prototype.update = function update () {\n /* istanbul ignore else */\n if (this.lazy) {\n this.dirty = true;\n } else if (this.sync) {\n this.run();\n } else {\n queueWatcher(this);\n }\n};\n\n/**\n * Scheduler job interface.\n * Will be called by the scheduler.\n */\nWatcher.prototype.run = function run () {\n if (this.active) {\n var value = this.get();\n if (\n value !== this.value ||\n // Deep watchers and watchers on Object/Arrays should fire even\n // when the value is the same, because the value may\n // have mutated.\n isObject(value) ||\n this.deep\n ) {\n // set new value\n var oldValue = this.value;\n this.value = value;\n if (this.user) {\n try {\n this.cb.call(this.vm, value, oldValue);\n } catch (e) {\n handleError(e, this.vm, (\"callback for watcher \\\"\" + (this.expression) + \"\\\"\"));\n }\n } else {\n this.cb.call(this.vm, value, oldValue);\n }\n }\n }\n};\n\n/**\n * Evaluate the value of the watcher.\n * This only gets called for lazy watchers.\n */\nWatcher.prototype.evaluate = function evaluate () {\n this.value = this.get();\n this.dirty = false;\n};\n\n/**\n * Depend on all deps collected by this watcher.\n */\nWatcher.prototype.depend = function depend () {\n var i = this.deps.length;\n while (i--) {\n this.deps[i].depend();\n }\n};\n\n/**\n * Remove self from all dependencies' subscriber list.\n */\nWatcher.prototype.teardown = function teardown () {\n if (this.active) {\n // remove self from vm's watcher list\n // this is a somewhat expensive operation so we skip it\n // if the vm is being destroyed.\n if (!this.vm._isBeingDestroyed) {\n remove(this.vm._watchers, this);\n }\n var i = this.deps.length;\n while (i--) {\n this.deps[i].removeSub(this);\n }\n this.active = false;\n }\n};\n\n/* */\n\nvar sharedPropertyDefinition = {\n enumerable: true,\n configurable: true,\n get: noop,\n set: noop\n};\n\nfunction proxy (target, sourceKey, key) {\n sharedPropertyDefinition.get = function proxyGetter () {\n return this[sourceKey][key]\n };\n sharedPropertyDefinition.set = function proxySetter (val) {\n this[sourceKey][key] = val;\n };\n Object.defineProperty(target, key, sharedPropertyDefinition);\n}\n\nfunction initState (vm) {\n vm._watchers = [];\n var opts = vm.$options;\n if (opts.props) { initProps(vm, opts.props); }\n if (opts.methods) { initMethods(vm, opts.methods); }\n if (opts.data) {\n initData(vm);\n } else {\n observe(vm._data = {}, true /* asRootData */);\n }\n if (opts.computed) { initComputed(vm, opts.computed); }\n if (opts.watch && opts.watch !== nativeWatch) {\n initWatch(vm, opts.watch);\n }\n}\n\nfunction initProps (vm, propsOptions) {\n var propsData = vm.$options.propsData || {};\n var props = vm._props = {};\n // cache prop keys so that future props updates can iterate using Array\n // instead of dynamic object key enumeration.\n var keys = vm.$options._propKeys = [];\n var isRoot = !vm.$parent;\n // root instance props should be converted\n if (!isRoot) {\n toggleObserving(false);\n }\n var loop = function ( key ) {\n keys.push(key);\n var value = validateProp(key, propsOptions, propsData, vm);\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n var hyphenatedKey = hyphenate(key);\n if (isReservedAttribute(hyphenatedKey) ||\n config.isReservedAttr(hyphenatedKey)) {\n warn(\n (\"\\\"\" + hyphenatedKey + \"\\\" is a reserved attribute and cannot be used as component prop.\"),\n vm\n );\n }\n defineReactive$$1(props, key, value, function () {\n if (!isRoot && !isUpdatingChildComponent) {\n warn(\n \"Avoid mutating a prop directly since the value will be \" +\n \"overwritten whenever the parent component re-renders. \" +\n \"Instead, use a data or computed property based on the prop's \" +\n \"value. Prop being mutated: \\\"\" + key + \"\\\"\",\n vm\n );\n }\n });\n } else {\n defineReactive$$1(props, key, value);\n }\n // static props are already proxied on the component's prototype\n // during Vue.extend(). We only need to proxy props defined at\n // instantiation here.\n if (!(key in vm)) {\n proxy(vm, \"_props\", key);\n }\n };\n\n for (var key in propsOptions) loop( key );\n toggleObserving(true);\n}\n\nfunction initData (vm) {\n var data = vm.$options.data;\n data = vm._data = typeof data === 'function'\n ? getData(data, vm)\n : data || {};\n if (!isPlainObject(data)) {\n data = {};\n process.env.NODE_ENV !== 'production' && warn(\n 'data functions should return an object:\\n' +\n 'https://vuejs.org/v2/guide/components.html#data-Must-Be-a-Function',\n vm\n );\n }\n // proxy data on instance\n var keys = Object.keys(data);\n var props = vm.$options.props;\n var methods = vm.$options.methods;\n var i = keys.length;\n while (i--) {\n var key = keys[i];\n if (process.env.NODE_ENV !== 'production') {\n if (methods && hasOwn(methods, key)) {\n warn(\n (\"Method \\\"\" + key + \"\\\" has already been defined as a data property.\"),\n vm\n );\n }\n }\n if (props && hasOwn(props, key)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"The data property \\\"\" + key + \"\\\" is already declared as a prop. \" +\n \"Use prop default value instead.\",\n vm\n );\n } else if (!isReserved(key)) {\n proxy(vm, \"_data\", key);\n }\n }\n // observe data\n observe(data, true /* asRootData */);\n}\n\nfunction getData (data, vm) {\n // #7573 disable dep collection when invoking data getters\n pushTarget();\n try {\n return data.call(vm, vm)\n } catch (e) {\n handleError(e, vm, \"data()\");\n return {}\n } finally {\n popTarget();\n }\n}\n\nvar computedWatcherOptions = { lazy: true };\n\nfunction initComputed (vm, computed) {\n // $flow-disable-line\n var watchers = vm._computedWatchers = Object.create(null);\n // computed properties are just getters during SSR\n var isSSR = isServerRendering();\n\n for (var key in computed) {\n var userDef = computed[key];\n var getter = typeof userDef === 'function' ? userDef : userDef.get;\n if (process.env.NODE_ENV !== 'production' && getter == null) {\n warn(\n (\"Getter is missing for computed property \\\"\" + key + \"\\\".\"),\n vm\n );\n }\n\n if (!isSSR) {\n // create internal watcher for the computed property.\n watchers[key] = new Watcher(\n vm,\n getter || noop,\n noop,\n computedWatcherOptions\n );\n }\n\n // component-defined computed properties are already defined on the\n // component prototype. We only need to define computed properties defined\n // at instantiation here.\n if (!(key in vm)) {\n defineComputed(vm, key, userDef);\n } else if (process.env.NODE_ENV !== 'production') {\n if (key in vm.$data) {\n warn((\"The computed property \\\"\" + key + \"\\\" is already defined in data.\"), vm);\n } else if (vm.$options.props && key in vm.$options.props) {\n warn((\"The computed property \\\"\" + key + \"\\\" is already defined as a prop.\"), vm);\n }\n }\n }\n}\n\nfunction defineComputed (\n target,\n key,\n userDef\n) {\n var shouldCache = !isServerRendering();\n if (typeof userDef === 'function') {\n sharedPropertyDefinition.get = shouldCache\n ? createComputedGetter(key)\n : createGetterInvoker(userDef);\n sharedPropertyDefinition.set = noop;\n } else {\n sharedPropertyDefinition.get = userDef.get\n ? shouldCache && userDef.cache !== false\n ? createComputedGetter(key)\n : createGetterInvoker(userDef.get)\n : noop;\n sharedPropertyDefinition.set = userDef.set || noop;\n }\n if (process.env.NODE_ENV !== 'production' &&\n sharedPropertyDefinition.set === noop) {\n sharedPropertyDefinition.set = function () {\n warn(\n (\"Computed property \\\"\" + key + \"\\\" was assigned to but it has no setter.\"),\n this\n );\n };\n }\n Object.defineProperty(target, key, sharedPropertyDefinition);\n}\n\nfunction createComputedGetter (key) {\n return function computedGetter () {\n var watcher = this._computedWatchers && this._computedWatchers[key];\n if (watcher) {\n if (watcher.dirty) {\n watcher.evaluate();\n }\n if (Dep.target) {\n watcher.depend();\n }\n return watcher.value\n }\n }\n}\n\nfunction createGetterInvoker(fn) {\n return function computedGetter () {\n return fn.call(this, this)\n }\n}\n\nfunction initMethods (vm, methods) {\n var props = vm.$options.props;\n for (var key in methods) {\n if (process.env.NODE_ENV !== 'production') {\n if (typeof methods[key] !== 'function') {\n warn(\n \"Method \\\"\" + key + \"\\\" has type \\\"\" + (typeof methods[key]) + \"\\\" in the component definition. \" +\n \"Did you reference the function correctly?\",\n vm\n );\n }\n if (props && hasOwn(props, key)) {\n warn(\n (\"Method \\\"\" + key + \"\\\" has already been defined as a prop.\"),\n vm\n );\n }\n if ((key in vm) && isReserved(key)) {\n warn(\n \"Method \\\"\" + key + \"\\\" conflicts with an existing Vue instance method. \" +\n \"Avoid defining component methods that start with _ or $.\"\n );\n }\n }\n vm[key] = typeof methods[key] !== 'function' ? noop : bind(methods[key], vm);\n }\n}\n\nfunction initWatch (vm, watch) {\n for (var key in watch) {\n var handler = watch[key];\n if (Array.isArray(handler)) {\n for (var i = 0; i < handler.length; i++) {\n createWatcher(vm, key, handler[i]);\n }\n } else {\n createWatcher(vm, key, handler);\n }\n }\n}\n\nfunction createWatcher (\n vm,\n expOrFn,\n handler,\n options\n) {\n if (isPlainObject(handler)) {\n options = handler;\n handler = handler.handler;\n }\n if (typeof handler === 'string') {\n handler = vm[handler];\n }\n return vm.$watch(expOrFn, handler, options)\n}\n\nfunction stateMixin (Vue) {\n // flow somehow has problems with directly declared definition object\n // when using Object.defineProperty, so we have to procedurally build up\n // the object here.\n var dataDef = {};\n dataDef.get = function () { return this._data };\n var propsDef = {};\n propsDef.get = function () { return this._props };\n if (process.env.NODE_ENV !== 'production') {\n dataDef.set = function () {\n warn(\n 'Avoid replacing instance root $data. ' +\n 'Use nested data properties instead.',\n this\n );\n };\n propsDef.set = function () {\n warn(\"$props is readonly.\", this);\n };\n }\n Object.defineProperty(Vue.prototype, '$data', dataDef);\n Object.defineProperty(Vue.prototype, '$props', propsDef);\n\n Vue.prototype.$set = set;\n Vue.prototype.$delete = del;\n\n Vue.prototype.$watch = function (\n expOrFn,\n cb,\n options\n ) {\n var vm = this;\n if (isPlainObject(cb)) {\n return createWatcher(vm, expOrFn, cb, options)\n }\n options = options || {};\n options.user = true;\n var watcher = new Watcher(vm, expOrFn, cb, options);\n if (options.immediate) {\n try {\n cb.call(vm, watcher.value);\n } catch (error) {\n handleError(error, vm, (\"callback for immediate watcher \\\"\" + (watcher.expression) + \"\\\"\"));\n }\n }\n return function unwatchFn () {\n watcher.teardown();\n }\n };\n}\n\n/* */\n\nvar uid$3 = 0;\n\nfunction initMixin (Vue) {\n Vue.prototype._init = function (options) {\n var vm = this;\n // a uid\n vm._uid = uid$3++;\n\n var startTag, endTag;\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n startTag = \"vue-perf-start:\" + (vm._uid);\n endTag = \"vue-perf-end:\" + (vm._uid);\n mark(startTag);\n }\n\n // a flag to avoid this being observed\n vm._isVue = true;\n // merge options\n if (options && options._isComponent) {\n // optimize internal component instantiation\n // since dynamic options merging is pretty slow, and none of the\n // internal component options needs special treatment.\n initInternalComponent(vm, options);\n } else {\n vm.$options = mergeOptions(\n resolveConstructorOptions(vm.constructor),\n options || {},\n vm\n );\n }\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n initProxy(vm);\n } else {\n vm._renderProxy = vm;\n }\n // expose real self\n vm._self = vm;\n initLifecycle(vm);\n initEvents(vm);\n initRender(vm);\n callHook(vm, 'beforeCreate');\n initInjections(vm); // resolve injections before data/props\n initState(vm);\n initProvide(vm); // resolve provide after data/props\n callHook(vm, 'created');\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n vm._name = formatComponentName(vm, false);\n mark(endTag);\n measure((\"vue \" + (vm._name) + \" init\"), startTag, endTag);\n }\n\n if (vm.$options.el) {\n vm.$mount(vm.$options.el);\n }\n };\n}\n\nfunction initInternalComponent (vm, options) {\n var opts = vm.$options = Object.create(vm.constructor.options);\n // doing this because it's faster than dynamic enumeration.\n var parentVnode = options._parentVnode;\n opts.parent = options.parent;\n opts._parentVnode = parentVnode;\n\n var vnodeComponentOptions = parentVnode.componentOptions;\n opts.propsData = vnodeComponentOptions.propsData;\n opts._parentListeners = vnodeComponentOptions.listeners;\n opts._renderChildren = vnodeComponentOptions.children;\n opts._componentTag = vnodeComponentOptions.tag;\n\n if (options.render) {\n opts.render = options.render;\n opts.staticRenderFns = options.staticRenderFns;\n }\n}\n\nfunction resolveConstructorOptions (Ctor) {\n var options = Ctor.options;\n if (Ctor.super) {\n var superOptions = resolveConstructorOptions(Ctor.super);\n var cachedSuperOptions = Ctor.superOptions;\n if (superOptions !== cachedSuperOptions) {\n // super option changed,\n // need to resolve new options.\n Ctor.superOptions = superOptions;\n // check if there are any late-modified/attached options (#4976)\n var modifiedOptions = resolveModifiedOptions(Ctor);\n // update base extend options\n if (modifiedOptions) {\n extend(Ctor.extendOptions, modifiedOptions);\n }\n options = Ctor.options = mergeOptions(superOptions, Ctor.extendOptions);\n if (options.name) {\n options.components[options.name] = Ctor;\n }\n }\n }\n return options\n}\n\nfunction resolveModifiedOptions (Ctor) {\n var modified;\n var latest = Ctor.options;\n var sealed = Ctor.sealedOptions;\n for (var key in latest) {\n if (latest[key] !== sealed[key]) {\n if (!modified) { modified = {}; }\n modified[key] = latest[key];\n }\n }\n return modified\n}\n\nfunction Vue (options) {\n if (process.env.NODE_ENV !== 'production' &&\n !(this instanceof Vue)\n ) {\n warn('Vue is a constructor and should be called with the `new` keyword');\n }\n this._init(options);\n}\n\ninitMixin(Vue);\nstateMixin(Vue);\neventsMixin(Vue);\nlifecycleMixin(Vue);\nrenderMixin(Vue);\n\n/* */\n\nfunction initUse (Vue) {\n Vue.use = function (plugin) {\n var installedPlugins = (this._installedPlugins || (this._installedPlugins = []));\n if (installedPlugins.indexOf(plugin) > -1) {\n return this\n }\n\n // additional parameters\n var args = toArray(arguments, 1);\n args.unshift(this);\n if (typeof plugin.install === 'function') {\n plugin.install.apply(plugin, args);\n } else if (typeof plugin === 'function') {\n plugin.apply(null, args);\n }\n installedPlugins.push(plugin);\n return this\n };\n}\n\n/* */\n\nfunction initMixin$1 (Vue) {\n Vue.mixin = function (mixin) {\n this.options = mergeOptions(this.options, mixin);\n return this\n };\n}\n\n/* */\n\nfunction initExtend (Vue) {\n /**\n * Each instance constructor, including Vue, has a unique\n * cid. This enables us to create wrapped \"child\n * constructors\" for prototypal inheritance and cache them.\n */\n Vue.cid = 0;\n var cid = 1;\n\n /**\n * Class inheritance\n */\n Vue.extend = function (extendOptions) {\n extendOptions = extendOptions || {};\n var Super = this;\n var SuperId = Super.cid;\n var cachedCtors = extendOptions._Ctor || (extendOptions._Ctor = {});\n if (cachedCtors[SuperId]) {\n return cachedCtors[SuperId]\n }\n\n var name = extendOptions.name || Super.options.name;\n if (process.env.NODE_ENV !== 'production' && name) {\n validateComponentName(name);\n }\n\n var Sub = function VueComponent (options) {\n this._init(options);\n };\n Sub.prototype = Object.create(Super.prototype);\n Sub.prototype.constructor = Sub;\n Sub.cid = cid++;\n Sub.options = mergeOptions(\n Super.options,\n extendOptions\n );\n Sub['super'] = Super;\n\n // For props and computed properties, we define the proxy getters on\n // the Vue instances at extension time, on the extended prototype. This\n // avoids Object.defineProperty calls for each instance created.\n if (Sub.options.props) {\n initProps$1(Sub);\n }\n if (Sub.options.computed) {\n initComputed$1(Sub);\n }\n\n // allow further extension/mixin/plugin usage\n Sub.extend = Super.extend;\n Sub.mixin = Super.mixin;\n Sub.use = Super.use;\n\n // create asset registers, so extended classes\n // can have their private assets too.\n ASSET_TYPES.forEach(function (type) {\n Sub[type] = Super[type];\n });\n // enable recursive self-lookup\n if (name) {\n Sub.options.components[name] = Sub;\n }\n\n // keep a reference to the super options at extension time.\n // later at instantiation we can check if Super's options have\n // been updated.\n Sub.superOptions = Super.options;\n Sub.extendOptions = extendOptions;\n Sub.sealedOptions = extend({}, Sub.options);\n\n // cache constructor\n cachedCtors[SuperId] = Sub;\n return Sub\n };\n}\n\nfunction initProps$1 (Comp) {\n var props = Comp.options.props;\n for (var key in props) {\n proxy(Comp.prototype, \"_props\", key);\n }\n}\n\nfunction initComputed$1 (Comp) {\n var computed = Comp.options.computed;\n for (var key in computed) {\n defineComputed(Comp.prototype, key, computed[key]);\n }\n}\n\n/* */\n\nfunction initAssetRegisters (Vue) {\n /**\n * Create asset registration methods.\n */\n ASSET_TYPES.forEach(function (type) {\n Vue[type] = function (\n id,\n definition\n ) {\n if (!definition) {\n return this.options[type + 's'][id]\n } else {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && type === 'component') {\n validateComponentName(id);\n }\n if (type === 'component' && isPlainObject(definition)) {\n definition.name = definition.name || id;\n definition = this.options._base.extend(definition);\n }\n if (type === 'directive' && typeof definition === 'function') {\n definition = { bind: definition, update: definition };\n }\n this.options[type + 's'][id] = definition;\n return definition\n }\n };\n });\n}\n\n/* */\n\n\n\nfunction getComponentName (opts) {\n return opts && (opts.Ctor.options.name || opts.tag)\n}\n\nfunction matches (pattern, name) {\n if (Array.isArray(pattern)) {\n return pattern.indexOf(name) > -1\n } else if (typeof pattern === 'string') {\n return pattern.split(',').indexOf(name) > -1\n } else if (isRegExp(pattern)) {\n return pattern.test(name)\n }\n /* istanbul ignore next */\n return false\n}\n\nfunction pruneCache (keepAliveInstance, filter) {\n var cache = keepAliveInstance.cache;\n var keys = keepAliveInstance.keys;\n var _vnode = keepAliveInstance._vnode;\n for (var key in cache) {\n var cachedNode = cache[key];\n if (cachedNode) {\n var name = getComponentName(cachedNode.componentOptions);\n if (name && !filter(name)) {\n pruneCacheEntry(cache, key, keys, _vnode);\n }\n }\n }\n}\n\nfunction pruneCacheEntry (\n cache,\n key,\n keys,\n current\n) {\n var cached$$1 = cache[key];\n if (cached$$1 && (!current || cached$$1.tag !== current.tag)) {\n cached$$1.componentInstance.$destroy();\n }\n cache[key] = null;\n remove(keys, key);\n}\n\nvar patternTypes = [String, RegExp, Array];\n\nvar KeepAlive = {\n name: 'keep-alive',\n abstract: true,\n\n props: {\n include: patternTypes,\n exclude: patternTypes,\n max: [String, Number]\n },\n\n created: function created () {\n this.cache = Object.create(null);\n this.keys = [];\n },\n\n destroyed: function destroyed () {\n for (var key in this.cache) {\n pruneCacheEntry(this.cache, key, this.keys);\n }\n },\n\n mounted: function mounted () {\n var this$1 = this;\n\n this.$watch('include', function (val) {\n pruneCache(this$1, function (name) { return matches(val, name); });\n });\n this.$watch('exclude', function (val) {\n pruneCache(this$1, function (name) { return !matches(val, name); });\n });\n },\n\n render: function render () {\n var slot = this.$slots.default;\n var vnode = getFirstComponentChild(slot);\n var componentOptions = vnode && vnode.componentOptions;\n if (componentOptions) {\n // check pattern\n var name = getComponentName(componentOptions);\n var ref = this;\n var include = ref.include;\n var exclude = ref.exclude;\n if (\n // not included\n (include && (!name || !matches(include, name))) ||\n // excluded\n (exclude && name && matches(exclude, name))\n ) {\n return vnode\n }\n\n var ref$1 = this;\n var cache = ref$1.cache;\n var keys = ref$1.keys;\n var key = vnode.key == null\n // same constructor may get registered as different local components\n // so cid alone is not enough (#3269)\n ? componentOptions.Ctor.cid + (componentOptions.tag ? (\"::\" + (componentOptions.tag)) : '')\n : vnode.key;\n if (cache[key]) {\n vnode.componentInstance = cache[key].componentInstance;\n // make current key freshest\n remove(keys, key);\n keys.push(key);\n } else {\n cache[key] = vnode;\n keys.push(key);\n // prune oldest entry\n if (this.max && keys.length > parseInt(this.max)) {\n pruneCacheEntry(cache, keys[0], keys, this._vnode);\n }\n }\n\n vnode.data.keepAlive = true;\n }\n return vnode || (slot && slot[0])\n }\n};\n\nvar builtInComponents = {\n KeepAlive: KeepAlive\n};\n\n/* */\n\nfunction initGlobalAPI (Vue) {\n // config\n var configDef = {};\n configDef.get = function () { return config; };\n if (process.env.NODE_ENV !== 'production') {\n configDef.set = function () {\n warn(\n 'Do not replace the Vue.config object, set individual fields instead.'\n );\n };\n }\n Object.defineProperty(Vue, 'config', configDef);\n\n // exposed util methods.\n // NOTE: these are not considered part of the public API - avoid relying on\n // them unless you are aware of the risk.\n Vue.util = {\n warn: warn,\n extend: extend,\n mergeOptions: mergeOptions,\n defineReactive: defineReactive$$1\n };\n\n Vue.set = set;\n Vue.delete = del;\n Vue.nextTick = nextTick;\n\n // 2.6 explicit observable API\n Vue.observable = function (obj) {\n observe(obj);\n return obj\n };\n\n Vue.options = Object.create(null);\n ASSET_TYPES.forEach(function (type) {\n Vue.options[type + 's'] = Object.create(null);\n });\n\n // this is used to identify the \"base\" constructor to extend all plain-object\n // components with in Weex's multi-instance scenarios.\n Vue.options._base = Vue;\n\n extend(Vue.options.components, builtInComponents);\n\n initUse(Vue);\n initMixin$1(Vue);\n initExtend(Vue);\n initAssetRegisters(Vue);\n}\n\ninitGlobalAPI(Vue);\n\nObject.defineProperty(Vue.prototype, '$isServer', {\n get: isServerRendering\n});\n\nObject.defineProperty(Vue.prototype, '$ssrContext', {\n get: function get () {\n /* istanbul ignore next */\n return this.$vnode && this.$vnode.ssrContext\n }\n});\n\n// expose FunctionalRenderContext for ssr runtime helper installation\nObject.defineProperty(Vue, 'FunctionalRenderContext', {\n value: FunctionalRenderContext\n});\n\nVue.version = '2.6.11';\n\n/* */\n\n// these are reserved for web because they are directly compiled away\n// during template compilation\nvar isReservedAttr = makeMap('style,class');\n\n// attributes that should be using props for binding\nvar acceptValue = makeMap('input,textarea,option,select,progress');\nvar mustUseProp = function (tag, type, attr) {\n return (\n (attr === 'value' && acceptValue(tag)) && type !== 'button' ||\n (attr === 'selected' && tag === 'option') ||\n (attr === 'checked' && tag === 'input') ||\n (attr === 'muted' && tag === 'video')\n )\n};\n\nvar isEnumeratedAttr = makeMap('contenteditable,draggable,spellcheck');\n\nvar isValidContentEditableValue = makeMap('events,caret,typing,plaintext-only');\n\nvar convertEnumeratedValue = function (key, value) {\n return isFalsyAttrValue(value) || value === 'false'\n ? 'false'\n // allow arbitrary string value for contenteditable\n : key === 'contenteditable' && isValidContentEditableValue(value)\n ? value\n : 'true'\n};\n\nvar isBooleanAttr = makeMap(\n 'allowfullscreen,async,autofocus,autoplay,checked,compact,controls,declare,' +\n 'default,defaultchecked,defaultmuted,defaultselected,defer,disabled,' +\n 'enabled,formnovalidate,hidden,indeterminate,inert,ismap,itemscope,loop,multiple,' +\n 'muted,nohref,noresize,noshade,novalidate,nowrap,open,pauseonexit,readonly,' +\n 'required,reversed,scoped,seamless,selected,sortable,translate,' +\n 'truespeed,typemustmatch,visible'\n);\n\nvar xlinkNS = 'http://www.w3.org/1999/xlink';\n\nvar isXlink = function (name) {\n return name.charAt(5) === ':' && name.slice(0, 5) === 'xlink'\n};\n\nvar getXlinkProp = function (name) {\n return isXlink(name) ? name.slice(6, name.length) : ''\n};\n\nvar isFalsyAttrValue = function (val) {\n return val == null || val === false\n};\n\n/* */\n\nfunction genClassForVnode (vnode) {\n var data = vnode.data;\n var parentNode = vnode;\n var childNode = vnode;\n while (isDef(childNode.componentInstance)) {\n childNode = childNode.componentInstance._vnode;\n if (childNode && childNode.data) {\n data = mergeClassData(childNode.data, data);\n }\n }\n while (isDef(parentNode = parentNode.parent)) {\n if (parentNode && parentNode.data) {\n data = mergeClassData(data, parentNode.data);\n }\n }\n return renderClass(data.staticClass, data.class)\n}\n\nfunction mergeClassData (child, parent) {\n return {\n staticClass: concat(child.staticClass, parent.staticClass),\n class: isDef(child.class)\n ? [child.class, parent.class]\n : parent.class\n }\n}\n\nfunction renderClass (\n staticClass,\n dynamicClass\n) {\n if (isDef(staticClass) || isDef(dynamicClass)) {\n return concat(staticClass, stringifyClass(dynamicClass))\n }\n /* istanbul ignore next */\n return ''\n}\n\nfunction concat (a, b) {\n return a ? b ? (a + ' ' + b) : a : (b || '')\n}\n\nfunction stringifyClass (value) {\n if (Array.isArray(value)) {\n return stringifyArray(value)\n }\n if (isObject(value)) {\n return stringifyObject(value)\n }\n if (typeof value === 'string') {\n return value\n }\n /* istanbul ignore next */\n return ''\n}\n\nfunction stringifyArray (value) {\n var res = '';\n var stringified;\n for (var i = 0, l = value.length; i < l; i++) {\n if (isDef(stringified = stringifyClass(value[i])) && stringified !== '') {\n if (res) { res += ' '; }\n res += stringified;\n }\n }\n return res\n}\n\nfunction stringifyObject (value) {\n var res = '';\n for (var key in value) {\n if (value[key]) {\n if (res) { res += ' '; }\n res += key;\n }\n }\n return res\n}\n\n/* */\n\nvar namespaceMap = {\n svg: 'http://www.w3.org/2000/svg',\n math: 'http://www.w3.org/1998/Math/MathML'\n};\n\nvar isHTMLTag = makeMap(\n 'html,body,base,head,link,meta,style,title,' +\n 'address,article,aside,footer,header,h1,h2,h3,h4,h5,h6,hgroup,nav,section,' +\n 'div,dd,dl,dt,figcaption,figure,picture,hr,img,li,main,ol,p,pre,ul,' +\n 'a,b,abbr,bdi,bdo,br,cite,code,data,dfn,em,i,kbd,mark,q,rp,rt,rtc,ruby,' +\n 's,samp,small,span,strong,sub,sup,time,u,var,wbr,area,audio,map,track,video,' +\n 'embed,object,param,source,canvas,script,noscript,del,ins,' +\n 'caption,col,colgroup,table,thead,tbody,td,th,tr,' +\n 'button,datalist,fieldset,form,input,label,legend,meter,optgroup,option,' +\n 'output,progress,select,textarea,' +\n 'details,dialog,menu,menuitem,summary,' +\n 'content,element,shadow,template,blockquote,iframe,tfoot'\n);\n\n// this map is intentionally selective, only covering SVG elements that may\n// contain child elements.\nvar isSVG = makeMap(\n 'svg,animate,circle,clippath,cursor,defs,desc,ellipse,filter,font-face,' +\n 'foreignObject,g,glyph,image,line,marker,mask,missing-glyph,path,pattern,' +\n 'polygon,polyline,rect,switch,symbol,text,textpath,tspan,use,view',\n true\n);\n\nvar isPreTag = function (tag) { return tag === 'pre'; };\n\nvar isReservedTag = function (tag) {\n return isHTMLTag(tag) || isSVG(tag)\n};\n\nfunction getTagNamespace (tag) {\n if (isSVG(tag)) {\n return 'svg'\n }\n // basic support for MathML\n // note it doesn't support other MathML elements being component roots\n if (tag === 'math') {\n return 'math'\n }\n}\n\nvar unknownElementCache = Object.create(null);\nfunction isUnknownElement (tag) {\n /* istanbul ignore if */\n if (!inBrowser) {\n return true\n }\n if (isReservedTag(tag)) {\n return false\n }\n tag = tag.toLowerCase();\n /* istanbul ignore if */\n if (unknownElementCache[tag] != null) {\n return unknownElementCache[tag]\n }\n var el = document.createElement(tag);\n if (tag.indexOf('-') > -1) {\n // http://stackoverflow.com/a/28210364/1070244\n return (unknownElementCache[tag] = (\n el.constructor === window.HTMLUnknownElement ||\n el.constructor === window.HTMLElement\n ))\n } else {\n return (unknownElementCache[tag] = /HTMLUnknownElement/.test(el.toString()))\n }\n}\n\nvar isTextInputType = makeMap('text,number,password,search,email,tel,url');\n\n/* */\n\n/**\n * Query an element selector if it's not an element already.\n */\nfunction query (el) {\n if (typeof el === 'string') {\n var selected = document.querySelector(el);\n if (!selected) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Cannot find element: ' + el\n );\n return document.createElement('div')\n }\n return selected\n } else {\n return el\n }\n}\n\n/* */\n\nfunction createElement$1 (tagName, vnode) {\n var elm = document.createElement(tagName);\n if (tagName !== 'select') {\n return elm\n }\n // false or null will remove the attribute but undefined will not\n if (vnode.data && vnode.data.attrs && vnode.data.attrs.multiple !== undefined) {\n elm.setAttribute('multiple', 'multiple');\n }\n return elm\n}\n\nfunction createElementNS (namespace, tagName) {\n return document.createElementNS(namespaceMap[namespace], tagName)\n}\n\nfunction createTextNode (text) {\n return document.createTextNode(text)\n}\n\nfunction createComment (text) {\n return document.createComment(text)\n}\n\nfunction insertBefore (parentNode, newNode, referenceNode) {\n parentNode.insertBefore(newNode, referenceNode);\n}\n\nfunction removeChild (node, child) {\n node.removeChild(child);\n}\n\nfunction appendChild (node, child) {\n node.appendChild(child);\n}\n\nfunction parentNode (node) {\n return node.parentNode\n}\n\nfunction nextSibling (node) {\n return node.nextSibling\n}\n\nfunction tagName (node) {\n return node.tagName\n}\n\nfunction setTextContent (node, text) {\n node.textContent = text;\n}\n\nfunction setStyleScope (node, scopeId) {\n node.setAttribute(scopeId, '');\n}\n\nvar nodeOps = /*#__PURE__*/Object.freeze({\n createElement: createElement$1,\n createElementNS: createElementNS,\n createTextNode: createTextNode,\n createComment: createComment,\n insertBefore: insertBefore,\n removeChild: removeChild,\n appendChild: appendChild,\n parentNode: parentNode,\n nextSibling: nextSibling,\n tagName: tagName,\n setTextContent: setTextContent,\n setStyleScope: setStyleScope\n});\n\n/* */\n\nvar ref = {\n create: function create (_, vnode) {\n registerRef(vnode);\n },\n update: function update (oldVnode, vnode) {\n if (oldVnode.data.ref !== vnode.data.ref) {\n registerRef(oldVnode, true);\n registerRef(vnode);\n }\n },\n destroy: function destroy (vnode) {\n registerRef(vnode, true);\n }\n};\n\nfunction registerRef (vnode, isRemoval) {\n var key = vnode.data.ref;\n if (!isDef(key)) { return }\n\n var vm = vnode.context;\n var ref = vnode.componentInstance || vnode.elm;\n var refs = vm.$refs;\n if (isRemoval) {\n if (Array.isArray(refs[key])) {\n remove(refs[key], ref);\n } else if (refs[key] === ref) {\n refs[key] = undefined;\n }\n } else {\n if (vnode.data.refInFor) {\n if (!Array.isArray(refs[key])) {\n refs[key] = [ref];\n } else if (refs[key].indexOf(ref) < 0) {\n // $flow-disable-line\n refs[key].push(ref);\n }\n } else {\n refs[key] = ref;\n }\n }\n}\n\n/**\n * Virtual DOM patching algorithm based on Snabbdom by\n * Simon Friis Vindum (@paldepind)\n * Licensed under the MIT License\n * https://github.com/paldepind/snabbdom/blob/master/LICENSE\n *\n * modified by Evan You (@yyx990803)\n *\n * Not type-checking this because this file is perf-critical and the cost\n * of making flow understand it is not worth it.\n */\n\nvar emptyNode = new VNode('', {}, []);\n\nvar hooks = ['create', 'activate', 'update', 'remove', 'destroy'];\n\nfunction sameVnode (a, b) {\n return (\n a.key === b.key && (\n (\n a.tag === b.tag &&\n a.isComment === b.isComment &&\n isDef(a.data) === isDef(b.data) &&\n sameInputType(a, b)\n ) || (\n isTrue(a.isAsyncPlaceholder) &&\n a.asyncFactory === b.asyncFactory &&\n isUndef(b.asyncFactory.error)\n )\n )\n )\n}\n\nfunction sameInputType (a, b) {\n if (a.tag !== 'input') { return true }\n var i;\n var typeA = isDef(i = a.data) && isDef(i = i.attrs) && i.type;\n var typeB = isDef(i = b.data) && isDef(i = i.attrs) && i.type;\n return typeA === typeB || isTextInputType(typeA) && isTextInputType(typeB)\n}\n\nfunction createKeyToOldIdx (children, beginIdx, endIdx) {\n var i, key;\n var map = {};\n for (i = beginIdx; i <= endIdx; ++i) {\n key = children[i].key;\n if (isDef(key)) { map[key] = i; }\n }\n return map\n}\n\nfunction createPatchFunction (backend) {\n var i, j;\n var cbs = {};\n\n var modules = backend.modules;\n var nodeOps = backend.nodeOps;\n\n for (i = 0; i < hooks.length; ++i) {\n cbs[hooks[i]] = [];\n for (j = 0; j < modules.length; ++j) {\n if (isDef(modules[j][hooks[i]])) {\n cbs[hooks[i]].push(modules[j][hooks[i]]);\n }\n }\n }\n\n function emptyNodeAt (elm) {\n return new VNode(nodeOps.tagName(elm).toLowerCase(), {}, [], undefined, elm)\n }\n\n function createRmCb (childElm, listeners) {\n function remove$$1 () {\n if (--remove$$1.listeners === 0) {\n removeNode(childElm);\n }\n }\n remove$$1.listeners = listeners;\n return remove$$1\n }\n\n function removeNode (el) {\n var parent = nodeOps.parentNode(el);\n // element may have already been removed due to v-html / v-text\n if (isDef(parent)) {\n nodeOps.removeChild(parent, el);\n }\n }\n\n function isUnknownElement$$1 (vnode, inVPre) {\n return (\n !inVPre &&\n !vnode.ns &&\n !(\n config.ignoredElements.length &&\n config.ignoredElements.some(function (ignore) {\n return isRegExp(ignore)\n ? ignore.test(vnode.tag)\n : ignore === vnode.tag\n })\n ) &&\n config.isUnknownElement(vnode.tag)\n )\n }\n\n var creatingElmInVPre = 0;\n\n function createElm (\n vnode,\n insertedVnodeQueue,\n parentElm,\n refElm,\n nested,\n ownerArray,\n index\n ) {\n if (isDef(vnode.elm) && isDef(ownerArray)) {\n // This vnode was used in a previous render!\n // now it's used as a new node, overwriting its elm would cause\n // potential patch errors down the road when it's used as an insertion\n // reference node. Instead, we clone the node on-demand before creating\n // associated DOM element for it.\n vnode = ownerArray[index] = cloneVNode(vnode);\n }\n\n vnode.isRootInsert = !nested; // for transition enter check\n if (createComponent(vnode, insertedVnodeQueue, parentElm, refElm)) {\n return\n }\n\n var data = vnode.data;\n var children = vnode.children;\n var tag = vnode.tag;\n if (isDef(tag)) {\n if (process.env.NODE_ENV !== 'production') {\n if (data && data.pre) {\n creatingElmInVPre++;\n }\n if (isUnknownElement$$1(vnode, creatingElmInVPre)) {\n warn(\n 'Unknown custom element: <' + tag + '> - did you ' +\n 'register the component correctly? For recursive components, ' +\n 'make sure to provide the \"name\" option.',\n vnode.context\n );\n }\n }\n\n vnode.elm = vnode.ns\n ? nodeOps.createElementNS(vnode.ns, tag)\n : nodeOps.createElement(tag, vnode);\n setScope(vnode);\n\n /* istanbul ignore if */\n {\n createChildren(vnode, children, insertedVnodeQueue);\n if (isDef(data)) {\n invokeCreateHooks(vnode, insertedVnodeQueue);\n }\n insert(parentElm, vnode.elm, refElm);\n }\n\n if (process.env.NODE_ENV !== 'production' && data && data.pre) {\n creatingElmInVPre--;\n }\n } else if (isTrue(vnode.isComment)) {\n vnode.elm = nodeOps.createComment(vnode.text);\n insert(parentElm, vnode.elm, refElm);\n } else {\n vnode.elm = nodeOps.createTextNode(vnode.text);\n insert(parentElm, vnode.elm, refElm);\n }\n }\n\n function createComponent (vnode, insertedVnodeQueue, parentElm, refElm) {\n var i = vnode.data;\n if (isDef(i)) {\n var isReactivated = isDef(vnode.componentInstance) && i.keepAlive;\n if (isDef(i = i.hook) && isDef(i = i.init)) {\n i(vnode, false /* hydrating */);\n }\n // after calling the init hook, if the vnode is a child component\n // it should've created a child instance and mounted it. the child\n // component also has set the placeholder vnode's elm.\n // in that case we can just return the element and be done.\n if (isDef(vnode.componentInstance)) {\n initComponent(vnode, insertedVnodeQueue);\n insert(parentElm, vnode.elm, refElm);\n if (isTrue(isReactivated)) {\n reactivateComponent(vnode, insertedVnodeQueue, parentElm, refElm);\n }\n return true\n }\n }\n }\n\n function initComponent (vnode, insertedVnodeQueue) {\n if (isDef(vnode.data.pendingInsert)) {\n insertedVnodeQueue.push.apply(insertedVnodeQueue, vnode.data.pendingInsert);\n vnode.data.pendingInsert = null;\n }\n vnode.elm = vnode.componentInstance.$el;\n if (isPatchable(vnode)) {\n invokeCreateHooks(vnode, insertedVnodeQueue);\n setScope(vnode);\n } else {\n // empty component root.\n // skip all element-related modules except for ref (#3455)\n registerRef(vnode);\n // make sure to invoke the insert hook\n insertedVnodeQueue.push(vnode);\n }\n }\n\n function reactivateComponent (vnode, insertedVnodeQueue, parentElm, refElm) {\n var i;\n // hack for #4339: a reactivated component with inner transition\n // does not trigger because the inner node's created hooks are not called\n // again. It's not ideal to involve module-specific logic in here but\n // there doesn't seem to be a better way to do it.\n var innerNode = vnode;\n while (innerNode.componentInstance) {\n innerNode = innerNode.componentInstance._vnode;\n if (isDef(i = innerNode.data) && isDef(i = i.transition)) {\n for (i = 0; i < cbs.activate.length; ++i) {\n cbs.activate[i](emptyNode, innerNode);\n }\n insertedVnodeQueue.push(innerNode);\n break\n }\n }\n // unlike a newly created component,\n // a reactivated keep-alive component doesn't insert itself\n insert(parentElm, vnode.elm, refElm);\n }\n\n function insert (parent, elm, ref$$1) {\n if (isDef(parent)) {\n if (isDef(ref$$1)) {\n if (nodeOps.parentNode(ref$$1) === parent) {\n nodeOps.insertBefore(parent, elm, ref$$1);\n }\n } else {\n nodeOps.appendChild(parent, elm);\n }\n }\n }\n\n function createChildren (vnode, children, insertedVnodeQueue) {\n if (Array.isArray(children)) {\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(children);\n }\n for (var i = 0; i < children.length; ++i) {\n createElm(children[i], insertedVnodeQueue, vnode.elm, null, true, children, i);\n }\n } else if (isPrimitive(vnode.text)) {\n nodeOps.appendChild(vnode.elm, nodeOps.createTextNode(String(vnode.text)));\n }\n }\n\n function isPatchable (vnode) {\n while (vnode.componentInstance) {\n vnode = vnode.componentInstance._vnode;\n }\n return isDef(vnode.tag)\n }\n\n function invokeCreateHooks (vnode, insertedVnodeQueue) {\n for (var i$1 = 0; i$1 < cbs.create.length; ++i$1) {\n cbs.create[i$1](emptyNode, vnode);\n }\n i = vnode.data.hook; // Reuse variable\n if (isDef(i)) {\n if (isDef(i.create)) { i.create(emptyNode, vnode); }\n if (isDef(i.insert)) { insertedVnodeQueue.push(vnode); }\n }\n }\n\n // set scope id attribute for scoped CSS.\n // this is implemented as a special case to avoid the overhead\n // of going through the normal attribute patching process.\n function setScope (vnode) {\n var i;\n if (isDef(i = vnode.fnScopeId)) {\n nodeOps.setStyleScope(vnode.elm, i);\n } else {\n var ancestor = vnode;\n while (ancestor) {\n if (isDef(i = ancestor.context) && isDef(i = i.$options._scopeId)) {\n nodeOps.setStyleScope(vnode.elm, i);\n }\n ancestor = ancestor.parent;\n }\n }\n // for slot content they should also get the scopeId from the host instance.\n if (isDef(i = activeInstance) &&\n i !== vnode.context &&\n i !== vnode.fnContext &&\n isDef(i = i.$options._scopeId)\n ) {\n nodeOps.setStyleScope(vnode.elm, i);\n }\n }\n\n function addVnodes (parentElm, refElm, vnodes, startIdx, endIdx, insertedVnodeQueue) {\n for (; startIdx <= endIdx; ++startIdx) {\n createElm(vnodes[startIdx], insertedVnodeQueue, parentElm, refElm, false, vnodes, startIdx);\n }\n }\n\n function invokeDestroyHook (vnode) {\n var i, j;\n var data = vnode.data;\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.destroy)) { i(vnode); }\n for (i = 0; i < cbs.destroy.length; ++i) { cbs.destroy[i](vnode); }\n }\n if (isDef(i = vnode.children)) {\n for (j = 0; j < vnode.children.length; ++j) {\n invokeDestroyHook(vnode.children[j]);\n }\n }\n }\n\n function removeVnodes (vnodes, startIdx, endIdx) {\n for (; startIdx <= endIdx; ++startIdx) {\n var ch = vnodes[startIdx];\n if (isDef(ch)) {\n if (isDef(ch.tag)) {\n removeAndInvokeRemoveHook(ch);\n invokeDestroyHook(ch);\n } else { // Text node\n removeNode(ch.elm);\n }\n }\n }\n }\n\n function removeAndInvokeRemoveHook (vnode, rm) {\n if (isDef(rm) || isDef(vnode.data)) {\n var i;\n var listeners = cbs.remove.length + 1;\n if (isDef(rm)) {\n // we have a recursively passed down rm callback\n // increase the listeners count\n rm.listeners += listeners;\n } else {\n // directly removing\n rm = createRmCb(vnode.elm, listeners);\n }\n // recursively invoke hooks on child component root node\n if (isDef(i = vnode.componentInstance) && isDef(i = i._vnode) && isDef(i.data)) {\n removeAndInvokeRemoveHook(i, rm);\n }\n for (i = 0; i < cbs.remove.length; ++i) {\n cbs.remove[i](vnode, rm);\n }\n if (isDef(i = vnode.data.hook) && isDef(i = i.remove)) {\n i(vnode, rm);\n } else {\n rm();\n }\n } else {\n removeNode(vnode.elm);\n }\n }\n\n function updateChildren (parentElm, oldCh, newCh, insertedVnodeQueue, removeOnly) {\n var oldStartIdx = 0;\n var newStartIdx = 0;\n var oldEndIdx = oldCh.length - 1;\n var oldStartVnode = oldCh[0];\n var oldEndVnode = oldCh[oldEndIdx];\n var newEndIdx = newCh.length - 1;\n var newStartVnode = newCh[0];\n var newEndVnode = newCh[newEndIdx];\n var oldKeyToIdx, idxInOld, vnodeToMove, refElm;\n\n // removeOnly is a special flag used only by <transition-group>\n // to ensure removed elements stay in correct relative positions\n // during leaving transitions\n var canMove = !removeOnly;\n\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(newCh);\n }\n\n while (oldStartIdx <= oldEndIdx && newStartIdx <= newEndIdx) {\n if (isUndef(oldStartVnode)) {\n oldStartVnode = oldCh[++oldStartIdx]; // Vnode has been moved left\n } else if (isUndef(oldEndVnode)) {\n oldEndVnode = oldCh[--oldEndIdx];\n } else if (sameVnode(oldStartVnode, newStartVnode)) {\n patchVnode(oldStartVnode, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n oldStartVnode = oldCh[++oldStartIdx];\n newStartVnode = newCh[++newStartIdx];\n } else if (sameVnode(oldEndVnode, newEndVnode)) {\n patchVnode(oldEndVnode, newEndVnode, insertedVnodeQueue, newCh, newEndIdx);\n oldEndVnode = oldCh[--oldEndIdx];\n newEndVnode = newCh[--newEndIdx];\n } else if (sameVnode(oldStartVnode, newEndVnode)) { // Vnode moved right\n patchVnode(oldStartVnode, newEndVnode, insertedVnodeQueue, newCh, newEndIdx);\n canMove && nodeOps.insertBefore(parentElm, oldStartVnode.elm, nodeOps.nextSibling(oldEndVnode.elm));\n oldStartVnode = oldCh[++oldStartIdx];\n newEndVnode = newCh[--newEndIdx];\n } else if (sameVnode(oldEndVnode, newStartVnode)) { // Vnode moved left\n patchVnode(oldEndVnode, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n canMove && nodeOps.insertBefore(parentElm, oldEndVnode.elm, oldStartVnode.elm);\n oldEndVnode = oldCh[--oldEndIdx];\n newStartVnode = newCh[++newStartIdx];\n } else {\n if (isUndef(oldKeyToIdx)) { oldKeyToIdx = createKeyToOldIdx(oldCh, oldStartIdx, oldEndIdx); }\n idxInOld = isDef(newStartVnode.key)\n ? oldKeyToIdx[newStartVnode.key]\n : findIdxInOld(newStartVnode, oldCh, oldStartIdx, oldEndIdx);\n if (isUndef(idxInOld)) { // New element\n createElm(newStartVnode, insertedVnodeQueue, parentElm, oldStartVnode.elm, false, newCh, newStartIdx);\n } else {\n vnodeToMove = oldCh[idxInOld];\n if (sameVnode(vnodeToMove, newStartVnode)) {\n patchVnode(vnodeToMove, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n oldCh[idxInOld] = undefined;\n canMove && nodeOps.insertBefore(parentElm, vnodeToMove.elm, oldStartVnode.elm);\n } else {\n // same key but different element. treat as new element\n createElm(newStartVnode, insertedVnodeQueue, parentElm, oldStartVnode.elm, false, newCh, newStartIdx);\n }\n }\n newStartVnode = newCh[++newStartIdx];\n }\n }\n if (oldStartIdx > oldEndIdx) {\n refElm = isUndef(newCh[newEndIdx + 1]) ? null : newCh[newEndIdx + 1].elm;\n addVnodes(parentElm, refElm, newCh, newStartIdx, newEndIdx, insertedVnodeQueue);\n } else if (newStartIdx > newEndIdx) {\n removeVnodes(oldCh, oldStartIdx, oldEndIdx);\n }\n }\n\n function checkDuplicateKeys (children) {\n var seenKeys = {};\n for (var i = 0; i < children.length; i++) {\n var vnode = children[i];\n var key = vnode.key;\n if (isDef(key)) {\n if (seenKeys[key]) {\n warn(\n (\"Duplicate keys detected: '\" + key + \"'. This may cause an update error.\"),\n vnode.context\n );\n } else {\n seenKeys[key] = true;\n }\n }\n }\n }\n\n function findIdxInOld (node, oldCh, start, end) {\n for (var i = start; i < end; i++) {\n var c = oldCh[i];\n if (isDef(c) && sameVnode(node, c)) { return i }\n }\n }\n\n function patchVnode (\n oldVnode,\n vnode,\n insertedVnodeQueue,\n ownerArray,\n index,\n removeOnly\n ) {\n if (oldVnode === vnode) {\n return\n }\n\n if (isDef(vnode.elm) && isDef(ownerArray)) {\n // clone reused vnode\n vnode = ownerArray[index] = cloneVNode(vnode);\n }\n\n var elm = vnode.elm = oldVnode.elm;\n\n if (isTrue(oldVnode.isAsyncPlaceholder)) {\n if (isDef(vnode.asyncFactory.resolved)) {\n hydrate(oldVnode.elm, vnode, insertedVnodeQueue);\n } else {\n vnode.isAsyncPlaceholder = true;\n }\n return\n }\n\n // reuse element for static trees.\n // note we only do this if the vnode is cloned -\n // if the new node is not cloned it means the render functions have been\n // reset by the hot-reload-api and we need to do a proper re-render.\n if (isTrue(vnode.isStatic) &&\n isTrue(oldVnode.isStatic) &&\n vnode.key === oldVnode.key &&\n (isTrue(vnode.isCloned) || isTrue(vnode.isOnce))\n ) {\n vnode.componentInstance = oldVnode.componentInstance;\n return\n }\n\n var i;\n var data = vnode.data;\n if (isDef(data) && isDef(i = data.hook) && isDef(i = i.prepatch)) {\n i(oldVnode, vnode);\n }\n\n var oldCh = oldVnode.children;\n var ch = vnode.children;\n if (isDef(data) && isPatchable(vnode)) {\n for (i = 0; i < cbs.update.length; ++i) { cbs.update[i](oldVnode, vnode); }\n if (isDef(i = data.hook) && isDef(i = i.update)) { i(oldVnode, vnode); }\n }\n if (isUndef(vnode.text)) {\n if (isDef(oldCh) && isDef(ch)) {\n if (oldCh !== ch) { updateChildren(elm, oldCh, ch, insertedVnodeQueue, removeOnly); }\n } else if (isDef(ch)) {\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(ch);\n }\n if (isDef(oldVnode.text)) { nodeOps.setTextContent(elm, ''); }\n addVnodes(elm, null, ch, 0, ch.length - 1, insertedVnodeQueue);\n } else if (isDef(oldCh)) {\n removeVnodes(oldCh, 0, oldCh.length - 1);\n } else if (isDef(oldVnode.text)) {\n nodeOps.setTextContent(elm, '');\n }\n } else if (oldVnode.text !== vnode.text) {\n nodeOps.setTextContent(elm, vnode.text);\n }\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.postpatch)) { i(oldVnode, vnode); }\n }\n }\n\n function invokeInsertHook (vnode, queue, initial) {\n // delay insert hooks for component root nodes, invoke them after the\n // element is really inserted\n if (isTrue(initial) && isDef(vnode.parent)) {\n vnode.parent.data.pendingInsert = queue;\n } else {\n for (var i = 0; i < queue.length; ++i) {\n queue[i].data.hook.insert(queue[i]);\n }\n }\n }\n\n var hydrationBailed = false;\n // list of modules that can skip create hook during hydration because they\n // are already rendered on the client or has no need for initialization\n // Note: style is excluded because it relies on initial clone for future\n // deep updates (#7063).\n var isRenderedModule = makeMap('attrs,class,staticClass,staticStyle,key');\n\n // Note: this is a browser-only function so we can assume elms are DOM nodes.\n function hydrate (elm, vnode, insertedVnodeQueue, inVPre) {\n var i;\n var tag = vnode.tag;\n var data = vnode.data;\n var children = vnode.children;\n inVPre = inVPre || (data && data.pre);\n vnode.elm = elm;\n\n if (isTrue(vnode.isComment) && isDef(vnode.asyncFactory)) {\n vnode.isAsyncPlaceholder = true;\n return true\n }\n // assert node match\n if (process.env.NODE_ENV !== 'production') {\n if (!assertNodeMatch(elm, vnode, inVPre)) {\n return false\n }\n }\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.init)) { i(vnode, true /* hydrating */); }\n if (isDef(i = vnode.componentInstance)) {\n // child component. it should have hydrated its own tree.\n initComponent(vnode, insertedVnodeQueue);\n return true\n }\n }\n if (isDef(tag)) {\n if (isDef(children)) {\n // empty element, allow client to pick up and populate children\n if (!elm.hasChildNodes()) {\n createChildren(vnode, children, insertedVnodeQueue);\n } else {\n // v-html and domProps: innerHTML\n if (isDef(i = data) && isDef(i = i.domProps) && isDef(i = i.innerHTML)) {\n if (i !== elm.innerHTML) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' &&\n typeof console !== 'undefined' &&\n !hydrationBailed\n ) {\n hydrationBailed = true;\n console.warn('Parent: ', elm);\n console.warn('server innerHTML: ', i);\n console.warn('client innerHTML: ', elm.innerHTML);\n }\n return false\n }\n } else {\n // iterate and compare children lists\n var childrenMatch = true;\n var childNode = elm.firstChild;\n for (var i$1 = 0; i$1 < children.length; i$1++) {\n if (!childNode || !hydrate(childNode, children[i$1], insertedVnodeQueue, inVPre)) {\n childrenMatch = false;\n break\n }\n childNode = childNode.nextSibling;\n }\n // if childNode is not null, it means the actual childNodes list is\n // longer than the virtual children list.\n if (!childrenMatch || childNode) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' &&\n typeof console !== 'undefined' &&\n !hydrationBailed\n ) {\n hydrationBailed = true;\n console.warn('Parent: ', elm);\n console.warn('Mismatching childNodes vs. VNodes: ', elm.childNodes, children);\n }\n return false\n }\n }\n }\n }\n if (isDef(data)) {\n var fullInvoke = false;\n for (var key in data) {\n if (!isRenderedModule(key)) {\n fullInvoke = true;\n invokeCreateHooks(vnode, insertedVnodeQueue);\n break\n }\n }\n if (!fullInvoke && data['class']) {\n // ensure collecting deps for deep class bindings for future updates\n traverse(data['class']);\n }\n }\n } else if (elm.data !== vnode.text) {\n elm.data = vnode.text;\n }\n return true\n }\n\n function assertNodeMatch (node, vnode, inVPre) {\n if (isDef(vnode.tag)) {\n return vnode.tag.indexOf('vue-component') === 0 || (\n !isUnknownElement$$1(vnode, inVPre) &&\n vnode.tag.toLowerCase() === (node.tagName && node.tagName.toLowerCase())\n )\n } else {\n return node.nodeType === (vnode.isComment ? 8 : 3)\n }\n }\n\n return function patch (oldVnode, vnode, hydrating, removeOnly) {\n if (isUndef(vnode)) {\n if (isDef(oldVnode)) { invokeDestroyHook(oldVnode); }\n return\n }\n\n var isInitialPatch = false;\n var insertedVnodeQueue = [];\n\n if (isUndef(oldVnode)) {\n // empty mount (likely as component), create new root element\n isInitialPatch = true;\n createElm(vnode, insertedVnodeQueue);\n } else {\n var isRealElement = isDef(oldVnode.nodeType);\n if (!isRealElement && sameVnode(oldVnode, vnode)) {\n // patch existing root node\n patchVnode(oldVnode, vnode, insertedVnodeQueue, null, null, removeOnly);\n } else {\n if (isRealElement) {\n // mounting to a real element\n // check if this is server-rendered content and if we can perform\n // a successful hydration.\n if (oldVnode.nodeType === 1 && oldVnode.hasAttribute(SSR_ATTR)) {\n oldVnode.removeAttribute(SSR_ATTR);\n hydrating = true;\n }\n if (isTrue(hydrating)) {\n if (hydrate(oldVnode, vnode, insertedVnodeQueue)) {\n invokeInsertHook(vnode, insertedVnodeQueue, true);\n return oldVnode\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n 'The client-side rendered virtual DOM tree is not matching ' +\n 'server-rendered content. This is likely caused by incorrect ' +\n 'HTML markup, for example nesting block-level elements inside ' +\n '<p>, or missing <tbody>. Bailing hydration and performing ' +\n 'full client-side render.'\n );\n }\n }\n // either not server-rendered, or hydration failed.\n // create an empty node and replace it\n oldVnode = emptyNodeAt(oldVnode);\n }\n\n // replacing existing element\n var oldElm = oldVnode.elm;\n var parentElm = nodeOps.parentNode(oldElm);\n\n // create new node\n createElm(\n vnode,\n insertedVnodeQueue,\n // extremely rare edge case: do not insert if old element is in a\n // leaving transition. Only happens when combining transition +\n // keep-alive + HOCs. (#4590)\n oldElm._leaveCb ? null : parentElm,\n nodeOps.nextSibling(oldElm)\n );\n\n // update parent placeholder node element, recursively\n if (isDef(vnode.parent)) {\n var ancestor = vnode.parent;\n var patchable = isPatchable(vnode);\n while (ancestor) {\n for (var i = 0; i < cbs.destroy.length; ++i) {\n cbs.destroy[i](ancestor);\n }\n ancestor.elm = vnode.elm;\n if (patchable) {\n for (var i$1 = 0; i$1 < cbs.create.length; ++i$1) {\n cbs.create[i$1](emptyNode, ancestor);\n }\n // #6513\n // invoke insert hooks that may have been merged by create hooks.\n // e.g. for directives that uses the \"inserted\" hook.\n var insert = ancestor.data.hook.insert;\n if (insert.merged) {\n // start at index 1 to avoid re-invoking component mounted hook\n for (var i$2 = 1; i$2 < insert.fns.length; i$2++) {\n insert.fns[i$2]();\n }\n }\n } else {\n registerRef(ancestor);\n }\n ancestor = ancestor.parent;\n }\n }\n\n // destroy old node\n if (isDef(parentElm)) {\n removeVnodes([oldVnode], 0, 0);\n } else if (isDef(oldVnode.tag)) {\n invokeDestroyHook(oldVnode);\n }\n }\n }\n\n invokeInsertHook(vnode, insertedVnodeQueue, isInitialPatch);\n return vnode.elm\n }\n}\n\n/* */\n\nvar directives = {\n create: updateDirectives,\n update: updateDirectives,\n destroy: function unbindDirectives (vnode) {\n updateDirectives(vnode, emptyNode);\n }\n};\n\nfunction updateDirectives (oldVnode, vnode) {\n if (oldVnode.data.directives || vnode.data.directives) {\n _update(oldVnode, vnode);\n }\n}\n\nfunction _update (oldVnode, vnode) {\n var isCreate = oldVnode === emptyNode;\n var isDestroy = vnode === emptyNode;\n var oldDirs = normalizeDirectives$1(oldVnode.data.directives, oldVnode.context);\n var newDirs = normalizeDirectives$1(vnode.data.directives, vnode.context);\n\n var dirsWithInsert = [];\n var dirsWithPostpatch = [];\n\n var key, oldDir, dir;\n for (key in newDirs) {\n oldDir = oldDirs[key];\n dir = newDirs[key];\n if (!oldDir) {\n // new directive, bind\n callHook$1(dir, 'bind', vnode, oldVnode);\n if (dir.def && dir.def.inserted) {\n dirsWithInsert.push(dir);\n }\n } else {\n // existing directive, update\n dir.oldValue = oldDir.value;\n dir.oldArg = oldDir.arg;\n callHook$1(dir, 'update', vnode, oldVnode);\n if (dir.def && dir.def.componentUpdated) {\n dirsWithPostpatch.push(dir);\n }\n }\n }\n\n if (dirsWithInsert.length) {\n var callInsert = function () {\n for (var i = 0; i < dirsWithInsert.length; i++) {\n callHook$1(dirsWithInsert[i], 'inserted', vnode, oldVnode);\n }\n };\n if (isCreate) {\n mergeVNodeHook(vnode, 'insert', callInsert);\n } else {\n callInsert();\n }\n }\n\n if (dirsWithPostpatch.length) {\n mergeVNodeHook(vnode, 'postpatch', function () {\n for (var i = 0; i < dirsWithPostpatch.length; i++) {\n callHook$1(dirsWithPostpatch[i], 'componentUpdated', vnode, oldVnode);\n }\n });\n }\n\n if (!isCreate) {\n for (key in oldDirs) {\n if (!newDirs[key]) {\n // no longer present, unbind\n callHook$1(oldDirs[key], 'unbind', oldVnode, oldVnode, isDestroy);\n }\n }\n }\n}\n\nvar emptyModifiers = Object.create(null);\n\nfunction normalizeDirectives$1 (\n dirs,\n vm\n) {\n var res = Object.create(null);\n if (!dirs) {\n // $flow-disable-line\n return res\n }\n var i, dir;\n for (i = 0; i < dirs.length; i++) {\n dir = dirs[i];\n if (!dir.modifiers) {\n // $flow-disable-line\n dir.modifiers = emptyModifiers;\n }\n res[getRawDirName(dir)] = dir;\n dir.def = resolveAsset(vm.$options, 'directives', dir.name, true);\n }\n // $flow-disable-line\n return res\n}\n\nfunction getRawDirName (dir) {\n return dir.rawName || ((dir.name) + \".\" + (Object.keys(dir.modifiers || {}).join('.')))\n}\n\nfunction callHook$1 (dir, hook, vnode, oldVnode, isDestroy) {\n var fn = dir.def && dir.def[hook];\n if (fn) {\n try {\n fn(vnode.elm, dir, vnode, oldVnode, isDestroy);\n } catch (e) {\n handleError(e, vnode.context, (\"directive \" + (dir.name) + \" \" + hook + \" hook\"));\n }\n }\n}\n\nvar baseModules = [\n ref,\n directives\n];\n\n/* */\n\nfunction updateAttrs (oldVnode, vnode) {\n var opts = vnode.componentOptions;\n if (isDef(opts) && opts.Ctor.options.inheritAttrs === false) {\n return\n }\n if (isUndef(oldVnode.data.attrs) && isUndef(vnode.data.attrs)) {\n return\n }\n var key, cur, old;\n var elm = vnode.elm;\n var oldAttrs = oldVnode.data.attrs || {};\n var attrs = vnode.data.attrs || {};\n // clone observed objects, as the user probably wants to mutate it\n if (isDef(attrs.__ob__)) {\n attrs = vnode.data.attrs = extend({}, attrs);\n }\n\n for (key in attrs) {\n cur = attrs[key];\n old = oldAttrs[key];\n if (old !== cur) {\n setAttr(elm, key, cur);\n }\n }\n // #4391: in IE9, setting type can reset value for input[type=radio]\n // #6666: IE/Edge forces progress value down to 1 before setting a max\n /* istanbul ignore if */\n if ((isIE || isEdge) && attrs.value !== oldAttrs.value) {\n setAttr(elm, 'value', attrs.value);\n }\n for (key in oldAttrs) {\n if (isUndef(attrs[key])) {\n if (isXlink(key)) {\n elm.removeAttributeNS(xlinkNS, getXlinkProp(key));\n } else if (!isEnumeratedAttr(key)) {\n elm.removeAttribute(key);\n }\n }\n }\n}\n\nfunction setAttr (el, key, value) {\n if (el.tagName.indexOf('-') > -1) {\n baseSetAttr(el, key, value);\n } else if (isBooleanAttr(key)) {\n // set attribute for blank value\n // e.g. <option disabled>Select one</option>\n if (isFalsyAttrValue(value)) {\n el.removeAttribute(key);\n } else {\n // technically allowfullscreen is a boolean attribute for <iframe>,\n // but Flash expects a value of \"true\" when used on <embed> tag\n value = key === 'allowfullscreen' && el.tagName === 'EMBED'\n ? 'true'\n : key;\n el.setAttribute(key, value);\n }\n } else if (isEnumeratedAttr(key)) {\n el.setAttribute(key, convertEnumeratedValue(key, value));\n } else if (isXlink(key)) {\n if (isFalsyAttrValue(value)) {\n el.removeAttributeNS(xlinkNS, getXlinkProp(key));\n } else {\n el.setAttributeNS(xlinkNS, key, value);\n }\n } else {\n baseSetAttr(el, key, value);\n }\n}\n\nfunction baseSetAttr (el, key, value) {\n if (isFalsyAttrValue(value)) {\n el.removeAttribute(key);\n } else {\n // #7138: IE10 & 11 fires input event when setting placeholder on\n // <textarea>... block the first input event and remove the blocker\n // immediately.\n /* istanbul ignore if */\n if (\n isIE && !isIE9 &&\n el.tagName === 'TEXTAREA' &&\n key === 'placeholder' && value !== '' && !el.__ieph\n ) {\n var blocker = function (e) {\n e.stopImmediatePropagation();\n el.removeEventListener('input', blocker);\n };\n el.addEventListener('input', blocker);\n // $flow-disable-line\n el.__ieph = true; /* IE placeholder patched */\n }\n el.setAttribute(key, value);\n }\n}\n\nvar attrs = {\n create: updateAttrs,\n update: updateAttrs\n};\n\n/* */\n\nfunction updateClass (oldVnode, vnode) {\n var el = vnode.elm;\n var data = vnode.data;\n var oldData = oldVnode.data;\n if (\n isUndef(data.staticClass) &&\n isUndef(data.class) && (\n isUndef(oldData) || (\n isUndef(oldData.staticClass) &&\n isUndef(oldData.class)\n )\n )\n ) {\n return\n }\n\n var cls = genClassForVnode(vnode);\n\n // handle transition classes\n var transitionClass = el._transitionClasses;\n if (isDef(transitionClass)) {\n cls = concat(cls, stringifyClass(transitionClass));\n }\n\n // set the class\n if (cls !== el._prevClass) {\n el.setAttribute('class', cls);\n el._prevClass = cls;\n }\n}\n\nvar klass = {\n create: updateClass,\n update: updateClass\n};\n\n/* */\n\nvar validDivisionCharRE = /[\\w).+\\-_$\\]]/;\n\nfunction parseFilters (exp) {\n var inSingle = false;\n var inDouble = false;\n var inTemplateString = false;\n var inRegex = false;\n var curly = 0;\n var square = 0;\n var paren = 0;\n var lastFilterIndex = 0;\n var c, prev, i, expression, filters;\n\n for (i = 0; i < exp.length; i++) {\n prev = c;\n c = exp.charCodeAt(i);\n if (inSingle) {\n if (c === 0x27 && prev !== 0x5C) { inSingle = false; }\n } else if (inDouble) {\n if (c === 0x22 && prev !== 0x5C) { inDouble = false; }\n } else if (inTemplateString) {\n if (c === 0x60 && prev !== 0x5C) { inTemplateString = false; }\n } else if (inRegex) {\n if (c === 0x2f && prev !== 0x5C) { inRegex = false; }\n } else if (\n c === 0x7C && // pipe\n exp.charCodeAt(i + 1) !== 0x7C &&\n exp.charCodeAt(i - 1) !== 0x7C &&\n !curly && !square && !paren\n ) {\n if (expression === undefined) {\n // first filter, end of expression\n lastFilterIndex = i + 1;\n expression = exp.slice(0, i).trim();\n } else {\n pushFilter();\n }\n } else {\n switch (c) {\n case 0x22: inDouble = true; break // \"\n case 0x27: inSingle = true; break // '\n case 0x60: inTemplateString = true; break // `\n case 0x28: paren++; break // (\n case 0x29: paren--; break // )\n case 0x5B: square++; break // [\n case 0x5D: square--; break // ]\n case 0x7B: curly++; break // {\n case 0x7D: curly--; break // }\n }\n if (c === 0x2f) { // /\n var j = i - 1;\n var p = (void 0);\n // find first non-whitespace prev char\n for (; j >= 0; j--) {\n p = exp.charAt(j);\n if (p !== ' ') { break }\n }\n if (!p || !validDivisionCharRE.test(p)) {\n inRegex = true;\n }\n }\n }\n }\n\n if (expression === undefined) {\n expression = exp.slice(0, i).trim();\n } else if (lastFilterIndex !== 0) {\n pushFilter();\n }\n\n function pushFilter () {\n (filters || (filters = [])).push(exp.slice(lastFilterIndex, i).trim());\n lastFilterIndex = i + 1;\n }\n\n if (filters) {\n for (i = 0; i < filters.length; i++) {\n expression = wrapFilter(expression, filters[i]);\n }\n }\n\n return expression\n}\n\nfunction wrapFilter (exp, filter) {\n var i = filter.indexOf('(');\n if (i < 0) {\n // _f: resolveFilter\n return (\"_f(\\\"\" + filter + \"\\\")(\" + exp + \")\")\n } else {\n var name = filter.slice(0, i);\n var args = filter.slice(i + 1);\n return (\"_f(\\\"\" + name + \"\\\")(\" + exp + (args !== ')' ? ',' + args : args))\n }\n}\n\n/* */\n\n\n\n/* eslint-disable no-unused-vars */\nfunction baseWarn (msg, range) {\n console.error((\"[Vue compiler]: \" + msg));\n}\n/* eslint-enable no-unused-vars */\n\nfunction pluckModuleFunction (\n modules,\n key\n) {\n return modules\n ? modules.map(function (m) { return m[key]; }).filter(function (_) { return _; })\n : []\n}\n\nfunction addProp (el, name, value, range, dynamic) {\n (el.props || (el.props = [])).push(rangeSetItem({ name: name, value: value, dynamic: dynamic }, range));\n el.plain = false;\n}\n\nfunction addAttr (el, name, value, range, dynamic) {\n var attrs = dynamic\n ? (el.dynamicAttrs || (el.dynamicAttrs = []))\n : (el.attrs || (el.attrs = []));\n attrs.push(rangeSetItem({ name: name, value: value, dynamic: dynamic }, range));\n el.plain = false;\n}\n\n// add a raw attr (use this in preTransforms)\nfunction addRawAttr (el, name, value, range) {\n el.attrsMap[name] = value;\n el.attrsList.push(rangeSetItem({ name: name, value: value }, range));\n}\n\nfunction addDirective (\n el,\n name,\n rawName,\n value,\n arg,\n isDynamicArg,\n modifiers,\n range\n) {\n (el.directives || (el.directives = [])).push(rangeSetItem({\n name: name,\n rawName: rawName,\n value: value,\n arg: arg,\n isDynamicArg: isDynamicArg,\n modifiers: modifiers\n }, range));\n el.plain = false;\n}\n\nfunction prependModifierMarker (symbol, name, dynamic) {\n return dynamic\n ? (\"_p(\" + name + \",\\\"\" + symbol + \"\\\")\")\n : symbol + name // mark the event as captured\n}\n\nfunction addHandler (\n el,\n name,\n value,\n modifiers,\n important,\n warn,\n range,\n dynamic\n) {\n modifiers = modifiers || emptyObject;\n // warn prevent and passive modifier\n /* istanbul ignore if */\n if (\n process.env.NODE_ENV !== 'production' && warn &&\n modifiers.prevent && modifiers.passive\n ) {\n warn(\n 'passive and prevent can\\'t be used together. ' +\n 'Passive handler can\\'t prevent default event.',\n range\n );\n }\n\n // normalize click.right and click.middle since they don't actually fire\n // this is technically browser-specific, but at least for now browsers are\n // the only target envs that have right/middle clicks.\n if (modifiers.right) {\n if (dynamic) {\n name = \"(\" + name + \")==='click'?'contextmenu':(\" + name + \")\";\n } else if (name === 'click') {\n name = 'contextmenu';\n delete modifiers.right;\n }\n } else if (modifiers.middle) {\n if (dynamic) {\n name = \"(\" + name + \")==='click'?'mouseup':(\" + name + \")\";\n } else if (name === 'click') {\n name = 'mouseup';\n }\n }\n\n // check capture modifier\n if (modifiers.capture) {\n delete modifiers.capture;\n name = prependModifierMarker('!', name, dynamic);\n }\n if (modifiers.once) {\n delete modifiers.once;\n name = prependModifierMarker('~', name, dynamic);\n }\n /* istanbul ignore if */\n if (modifiers.passive) {\n delete modifiers.passive;\n name = prependModifierMarker('&', name, dynamic);\n }\n\n var events;\n if (modifiers.native) {\n delete modifiers.native;\n events = el.nativeEvents || (el.nativeEvents = {});\n } else {\n events = el.events || (el.events = {});\n }\n\n var newHandler = rangeSetItem({ value: value.trim(), dynamic: dynamic }, range);\n if (modifiers !== emptyObject) {\n newHandler.modifiers = modifiers;\n }\n\n var handlers = events[name];\n /* istanbul ignore if */\n if (Array.isArray(handlers)) {\n important ? handlers.unshift(newHandler) : handlers.push(newHandler);\n } else if (handlers) {\n events[name] = important ? [newHandler, handlers] : [handlers, newHandler];\n } else {\n events[name] = newHandler;\n }\n\n el.plain = false;\n}\n\nfunction getRawBindingAttr (\n el,\n name\n) {\n return el.rawAttrsMap[':' + name] ||\n el.rawAttrsMap['v-bind:' + name] ||\n el.rawAttrsMap[name]\n}\n\nfunction getBindingAttr (\n el,\n name,\n getStatic\n) {\n var dynamicValue =\n getAndRemoveAttr(el, ':' + name) ||\n getAndRemoveAttr(el, 'v-bind:' + name);\n if (dynamicValue != null) {\n return parseFilters(dynamicValue)\n } else if (getStatic !== false) {\n var staticValue = getAndRemoveAttr(el, name);\n if (staticValue != null) {\n return JSON.stringify(staticValue)\n }\n }\n}\n\n// note: this only removes the attr from the Array (attrsList) so that it\n// doesn't get processed by processAttrs.\n// By default it does NOT remove it from the map (attrsMap) because the map is\n// needed during codegen.\nfunction getAndRemoveAttr (\n el,\n name,\n removeFromMap\n) {\n var val;\n if ((val = el.attrsMap[name]) != null) {\n var list = el.attrsList;\n for (var i = 0, l = list.length; i < l; i++) {\n if (list[i].name === name) {\n list.splice(i, 1);\n break\n }\n }\n }\n if (removeFromMap) {\n delete el.attrsMap[name];\n }\n return val\n}\n\nfunction getAndRemoveAttrByRegex (\n el,\n name\n) {\n var list = el.attrsList;\n for (var i = 0, l = list.length; i < l; i++) {\n var attr = list[i];\n if (name.test(attr.name)) {\n list.splice(i, 1);\n return attr\n }\n }\n}\n\nfunction rangeSetItem (\n item,\n range\n) {\n if (range) {\n if (range.start != null) {\n item.start = range.start;\n }\n if (range.end != null) {\n item.end = range.end;\n }\n }\n return item\n}\n\n/* */\n\n/**\n * Cross-platform code generation for component v-model\n */\nfunction genComponentModel (\n el,\n value,\n modifiers\n) {\n var ref = modifiers || {};\n var number = ref.number;\n var trim = ref.trim;\n\n var baseValueExpression = '$$v';\n var valueExpression = baseValueExpression;\n if (trim) {\n valueExpression =\n \"(typeof \" + baseValueExpression + \" === 'string'\" +\n \"? \" + baseValueExpression + \".trim()\" +\n \": \" + baseValueExpression + \")\";\n }\n if (number) {\n valueExpression = \"_n(\" + valueExpression + \")\";\n }\n var assignment = genAssignmentCode(value, valueExpression);\n\n el.model = {\n value: (\"(\" + value + \")\"),\n expression: JSON.stringify(value),\n callback: (\"function (\" + baseValueExpression + \") {\" + assignment + \"}\")\n };\n}\n\n/**\n * Cross-platform codegen helper for generating v-model value assignment code.\n */\nfunction genAssignmentCode (\n value,\n assignment\n) {\n var res = parseModel(value);\n if (res.key === null) {\n return (value + \"=\" + assignment)\n } else {\n return (\"$set(\" + (res.exp) + \", \" + (res.key) + \", \" + assignment + \")\")\n }\n}\n\n/**\n * Parse a v-model expression into a base path and a final key segment.\n * Handles both dot-path and possible square brackets.\n *\n * Possible cases:\n *\n * - test\n * - test[key]\n * - test[test1[key]]\n * - test[\"a\"][key]\n * - xxx.test[a[a].test1[key]]\n * - test.xxx.a[\"asa\"][test1[key]]\n *\n */\n\nvar len, str, chr, index$1, expressionPos, expressionEndPos;\n\n\n\nfunction parseModel (val) {\n // Fix https://github.com/vuejs/vue/pull/7730\n // allow v-model=\"obj.val \" (trailing whitespace)\n val = val.trim();\n len = val.length;\n\n if (val.indexOf('[') < 0 || val.lastIndexOf(']') < len - 1) {\n index$1 = val.lastIndexOf('.');\n if (index$1 > -1) {\n return {\n exp: val.slice(0, index$1),\n key: '\"' + val.slice(index$1 + 1) + '\"'\n }\n } else {\n return {\n exp: val,\n key: null\n }\n }\n }\n\n str = val;\n index$1 = expressionPos = expressionEndPos = 0;\n\n while (!eof()) {\n chr = next();\n /* istanbul ignore if */\n if (isStringStart(chr)) {\n parseString(chr);\n } else if (chr === 0x5B) {\n parseBracket(chr);\n }\n }\n\n return {\n exp: val.slice(0, expressionPos),\n key: val.slice(expressionPos + 1, expressionEndPos)\n }\n}\n\nfunction next () {\n return str.charCodeAt(++index$1)\n}\n\nfunction eof () {\n return index$1 >= len\n}\n\nfunction isStringStart (chr) {\n return chr === 0x22 || chr === 0x27\n}\n\nfunction parseBracket (chr) {\n var inBracket = 1;\n expressionPos = index$1;\n while (!eof()) {\n chr = next();\n if (isStringStart(chr)) {\n parseString(chr);\n continue\n }\n if (chr === 0x5B) { inBracket++; }\n if (chr === 0x5D) { inBracket--; }\n if (inBracket === 0) {\n expressionEndPos = index$1;\n break\n }\n }\n}\n\nfunction parseString (chr) {\n var stringQuote = chr;\n while (!eof()) {\n chr = next();\n if (chr === stringQuote) {\n break\n }\n }\n}\n\n/* */\n\nvar warn$1;\n\n// in some cases, the event used has to be determined at runtime\n// so we used some reserved tokens during compile.\nvar RANGE_TOKEN = '__r';\nvar CHECKBOX_RADIO_TOKEN = '__c';\n\nfunction model (\n el,\n dir,\n _warn\n) {\n warn$1 = _warn;\n var value = dir.value;\n var modifiers = dir.modifiers;\n var tag = el.tag;\n var type = el.attrsMap.type;\n\n if (process.env.NODE_ENV !== 'production') {\n // inputs with type=\"file\" are read only and setting the input's\n // value will throw an error.\n if (tag === 'input' && type === 'file') {\n warn$1(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\" type=\\\"file\\\">:\\n\" +\n \"File inputs are read only. Use a v-on:change listener instead.\",\n el.rawAttrsMap['v-model']\n );\n }\n }\n\n if (el.component) {\n genComponentModel(el, value, modifiers);\n // component v-model doesn't need extra runtime\n return false\n } else if (tag === 'select') {\n genSelect(el, value, modifiers);\n } else if (tag === 'input' && type === 'checkbox') {\n genCheckboxModel(el, value, modifiers);\n } else if (tag === 'input' && type === 'radio') {\n genRadioModel(el, value, modifiers);\n } else if (tag === 'input' || tag === 'textarea') {\n genDefaultModel(el, value, modifiers);\n } else if (!config.isReservedTag(tag)) {\n genComponentModel(el, value, modifiers);\n // component v-model doesn't need extra runtime\n return false\n } else if (process.env.NODE_ENV !== 'production') {\n warn$1(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\">: \" +\n \"v-model is not supported on this element type. \" +\n 'If you are working with contenteditable, it\\'s recommended to ' +\n 'wrap a library dedicated for that purpose inside a custom component.',\n el.rawAttrsMap['v-model']\n );\n }\n\n // ensure runtime directive metadata\n return true\n}\n\nfunction genCheckboxModel (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var valueBinding = getBindingAttr(el, 'value') || 'null';\n var trueValueBinding = getBindingAttr(el, 'true-value') || 'true';\n var falseValueBinding = getBindingAttr(el, 'false-value') || 'false';\n addProp(el, 'checked',\n \"Array.isArray(\" + value + \")\" +\n \"?_i(\" + value + \",\" + valueBinding + \")>-1\" + (\n trueValueBinding === 'true'\n ? (\":(\" + value + \")\")\n : (\":_q(\" + value + \",\" + trueValueBinding + \")\")\n )\n );\n addHandler(el, 'change',\n \"var $$a=\" + value + \",\" +\n '$$el=$event.target,' +\n \"$$c=$$el.checked?(\" + trueValueBinding + \"):(\" + falseValueBinding + \");\" +\n 'if(Array.isArray($$a)){' +\n \"var $$v=\" + (number ? '_n(' + valueBinding + ')' : valueBinding) + \",\" +\n '$$i=_i($$a,$$v);' +\n \"if($$el.checked){$$i<0&&(\" + (genAssignmentCode(value, '$$a.concat([$$v])')) + \")}\" +\n \"else{$$i>-1&&(\" + (genAssignmentCode(value, '$$a.slice(0,$$i).concat($$a.slice($$i+1))')) + \")}\" +\n \"}else{\" + (genAssignmentCode(value, '$$c')) + \"}\",\n null, true\n );\n}\n\nfunction genRadioModel (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var valueBinding = getBindingAttr(el, 'value') || 'null';\n valueBinding = number ? (\"_n(\" + valueBinding + \")\") : valueBinding;\n addProp(el, 'checked', (\"_q(\" + value + \",\" + valueBinding + \")\"));\n addHandler(el, 'change', genAssignmentCode(value, valueBinding), null, true);\n}\n\nfunction genSelect (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var selectedVal = \"Array.prototype.filter\" +\n \".call($event.target.options,function(o){return o.selected})\" +\n \".map(function(o){var val = \\\"_value\\\" in o ? o._value : o.value;\" +\n \"return \" + (number ? '_n(val)' : 'val') + \"})\";\n\n var assignment = '$event.target.multiple ? $$selectedVal : $$selectedVal[0]';\n var code = \"var $$selectedVal = \" + selectedVal + \";\";\n code = code + \" \" + (genAssignmentCode(value, assignment));\n addHandler(el, 'change', code, null, true);\n}\n\nfunction genDefaultModel (\n el,\n value,\n modifiers\n) {\n var type = el.attrsMap.type;\n\n // warn if v-bind:value conflicts with v-model\n // except for inputs with v-bind:type\n if (process.env.NODE_ENV !== 'production') {\n var value$1 = el.attrsMap['v-bind:value'] || el.attrsMap[':value'];\n var typeBinding = el.attrsMap['v-bind:type'] || el.attrsMap[':type'];\n if (value$1 && !typeBinding) {\n var binding = el.attrsMap['v-bind:value'] ? 'v-bind:value' : ':value';\n warn$1(\n binding + \"=\\\"\" + value$1 + \"\\\" conflicts with v-model on the same element \" +\n 'because the latter already expands to a value binding internally',\n el.rawAttrsMap[binding]\n );\n }\n }\n\n var ref = modifiers || {};\n var lazy = ref.lazy;\n var number = ref.number;\n var trim = ref.trim;\n var needCompositionGuard = !lazy && type !== 'range';\n var event = lazy\n ? 'change'\n : type === 'range'\n ? RANGE_TOKEN\n : 'input';\n\n var valueExpression = '$event.target.value';\n if (trim) {\n valueExpression = \"$event.target.value.trim()\";\n }\n if (number) {\n valueExpression = \"_n(\" + valueExpression + \")\";\n }\n\n var code = genAssignmentCode(value, valueExpression);\n if (needCompositionGuard) {\n code = \"if($event.target.composing)return;\" + code;\n }\n\n addProp(el, 'value', (\"(\" + value + \")\"));\n addHandler(el, event, code, null, true);\n if (trim || number) {\n addHandler(el, 'blur', '$forceUpdate()');\n }\n}\n\n/* */\n\n// normalize v-model event tokens that can only be determined at runtime.\n// it's important to place the event as the first in the array because\n// the whole point is ensuring the v-model callback gets called before\n// user-attached handlers.\nfunction normalizeEvents (on) {\n /* istanbul ignore if */\n if (isDef(on[RANGE_TOKEN])) {\n // IE input[type=range] only supports `change` event\n var event = isIE ? 'change' : 'input';\n on[event] = [].concat(on[RANGE_TOKEN], on[event] || []);\n delete on[RANGE_TOKEN];\n }\n // This was originally intended to fix #4521 but no longer necessary\n // after 2.5. Keeping it for backwards compat with generated code from < 2.4\n /* istanbul ignore if */\n if (isDef(on[CHECKBOX_RADIO_TOKEN])) {\n on.change = [].concat(on[CHECKBOX_RADIO_TOKEN], on.change || []);\n delete on[CHECKBOX_RADIO_TOKEN];\n }\n}\n\nvar target$1;\n\nfunction createOnceHandler$1 (event, handler, capture) {\n var _target = target$1; // save current target element in closure\n return function onceHandler () {\n var res = handler.apply(null, arguments);\n if (res !== null) {\n remove$2(event, onceHandler, capture, _target);\n }\n }\n}\n\n// #9446: Firefox <= 53 (in particular, ESR 52) has incorrect Event.timeStamp\n// implementation and does not fire microtasks in between event propagation, so\n// safe to exclude.\nvar useMicrotaskFix = isUsingMicroTask && !(isFF && Number(isFF[1]) <= 53);\n\nfunction add$1 (\n name,\n handler,\n capture,\n passive\n) {\n // async edge case #6566: inner click event triggers patch, event handler\n // attached to outer element during patch, and triggered again. This\n // happens because browsers fire microtask ticks between event propagation.\n // the solution is simple: we save the timestamp when a handler is attached,\n // and the handler would only fire if the event passed to it was fired\n // AFTER it was attached.\n if (useMicrotaskFix) {\n var attachedTimestamp = currentFlushTimestamp;\n var original = handler;\n handler = original._wrapper = function (e) {\n if (\n // no bubbling, should always fire.\n // this is just a safety net in case event.timeStamp is unreliable in\n // certain weird environments...\n e.target === e.currentTarget ||\n // event is fired after handler attachment\n e.timeStamp >= attachedTimestamp ||\n // bail for environments that have buggy event.timeStamp implementations\n // #9462 iOS 9 bug: event.timeStamp is 0 after history.pushState\n // #9681 QtWebEngine event.timeStamp is negative value\n e.timeStamp <= 0 ||\n // #9448 bail if event is fired in another document in a multi-page\n // electron/nw.js app, since event.timeStamp will be using a different\n // starting reference\n e.target.ownerDocument !== document\n ) {\n return original.apply(this, arguments)\n }\n };\n }\n target$1.addEventListener(\n name,\n handler,\n supportsPassive\n ? { capture: capture, passive: passive }\n : capture\n );\n}\n\nfunction remove$2 (\n name,\n handler,\n capture,\n _target\n) {\n (_target || target$1).removeEventListener(\n name,\n handler._wrapper || handler,\n capture\n );\n}\n\nfunction updateDOMListeners (oldVnode, vnode) {\n if (isUndef(oldVnode.data.on) && isUndef(vnode.data.on)) {\n return\n }\n var on = vnode.data.on || {};\n var oldOn = oldVnode.data.on || {};\n target$1 = vnode.elm;\n normalizeEvents(on);\n updateListeners(on, oldOn, add$1, remove$2, createOnceHandler$1, vnode.context);\n target$1 = undefined;\n}\n\nvar events = {\n create: updateDOMListeners,\n update: updateDOMListeners\n};\n\n/* */\n\nvar svgContainer;\n\nfunction updateDOMProps (oldVnode, vnode) {\n if (isUndef(oldVnode.data.domProps) && isUndef(vnode.data.domProps)) {\n return\n }\n var key, cur;\n var elm = vnode.elm;\n var oldProps = oldVnode.data.domProps || {};\n var props = vnode.data.domProps || {};\n // clone observed objects, as the user probably wants to mutate it\n if (isDef(props.__ob__)) {\n props = vnode.data.domProps = extend({}, props);\n }\n\n for (key in oldProps) {\n if (!(key in props)) {\n elm[key] = '';\n }\n }\n\n for (key in props) {\n cur = props[key];\n // ignore children if the node has textContent or innerHTML,\n // as these will throw away existing DOM nodes and cause removal errors\n // on subsequent patches (#3360)\n if (key === 'textContent' || key === 'innerHTML') {\n if (vnode.children) { vnode.children.length = 0; }\n if (cur === oldProps[key]) { continue }\n // #6601 work around Chrome version <= 55 bug where single textNode\n // replaced by innerHTML/textContent retains its parentNode property\n if (elm.childNodes.length === 1) {\n elm.removeChild(elm.childNodes[0]);\n }\n }\n\n if (key === 'value' && elm.tagName !== 'PROGRESS') {\n // store value as _value as well since\n // non-string values will be stringified\n elm._value = cur;\n // avoid resetting cursor position when value is the same\n var strCur = isUndef(cur) ? '' : String(cur);\n if (shouldUpdateValue(elm, strCur)) {\n elm.value = strCur;\n }\n } else if (key === 'innerHTML' && isSVG(elm.tagName) && isUndef(elm.innerHTML)) {\n // IE doesn't support innerHTML for SVG elements\n svgContainer = svgContainer || document.createElement('div');\n svgContainer.innerHTML = \"<svg>\" + cur + \"</svg>\";\n var svg = svgContainer.firstChild;\n while (elm.firstChild) {\n elm.removeChild(elm.firstChild);\n }\n while (svg.firstChild) {\n elm.appendChild(svg.firstChild);\n }\n } else if (\n // skip the update if old and new VDOM state is the same.\n // `value` is handled separately because the DOM value may be temporarily\n // out of sync with VDOM state due to focus, composition and modifiers.\n // This #4521 by skipping the unnecesarry `checked` update.\n cur !== oldProps[key]\n ) {\n // some property updates can throw\n // e.g. `value` on <progress> w/ non-finite value\n try {\n elm[key] = cur;\n } catch (e) {}\n }\n }\n}\n\n// check platforms/web/util/attrs.js acceptValue\n\n\nfunction shouldUpdateValue (elm, checkVal) {\n return (!elm.composing && (\n elm.tagName === 'OPTION' ||\n isNotInFocusAndDirty(elm, checkVal) ||\n isDirtyWithModifiers(elm, checkVal)\n ))\n}\n\nfunction isNotInFocusAndDirty (elm, checkVal) {\n // return true when textbox (.number and .trim) loses focus and its value is\n // not equal to the updated value\n var notInFocus = true;\n // #6157\n // work around IE bug when accessing document.activeElement in an iframe\n try { notInFocus = document.activeElement !== elm; } catch (e) {}\n return notInFocus && elm.value !== checkVal\n}\n\nfunction isDirtyWithModifiers (elm, newVal) {\n var value = elm.value;\n var modifiers = elm._vModifiers; // injected by v-model runtime\n if (isDef(modifiers)) {\n if (modifiers.number) {\n return toNumber(value) !== toNumber(newVal)\n }\n if (modifiers.trim) {\n return value.trim() !== newVal.trim()\n }\n }\n return value !== newVal\n}\n\nvar domProps = {\n create: updateDOMProps,\n update: updateDOMProps\n};\n\n/* */\n\nvar parseStyleText = cached(function (cssText) {\n var res = {};\n var listDelimiter = /;(?![^(]*\\))/g;\n var propertyDelimiter = /:(.+)/;\n cssText.split(listDelimiter).forEach(function (item) {\n if (item) {\n var tmp = item.split(propertyDelimiter);\n tmp.length > 1 && (res[tmp[0].trim()] = tmp[1].trim());\n }\n });\n return res\n});\n\n// merge static and dynamic style data on the same vnode\nfunction normalizeStyleData (data) {\n var style = normalizeStyleBinding(data.style);\n // static style is pre-processed into an object during compilation\n // and is always a fresh object, so it's safe to merge into it\n return data.staticStyle\n ? extend(data.staticStyle, style)\n : style\n}\n\n// normalize possible array / string values into Object\nfunction normalizeStyleBinding (bindingStyle) {\n if (Array.isArray(bindingStyle)) {\n return toObject(bindingStyle)\n }\n if (typeof bindingStyle === 'string') {\n return parseStyleText(bindingStyle)\n }\n return bindingStyle\n}\n\n/**\n * parent component style should be after child's\n * so that parent component's style could override it\n */\nfunction getStyle (vnode, checkChild) {\n var res = {};\n var styleData;\n\n if (checkChild) {\n var childNode = vnode;\n while (childNode.componentInstance) {\n childNode = childNode.componentInstance._vnode;\n if (\n childNode && childNode.data &&\n (styleData = normalizeStyleData(childNode.data))\n ) {\n extend(res, styleData);\n }\n }\n }\n\n if ((styleData = normalizeStyleData(vnode.data))) {\n extend(res, styleData);\n }\n\n var parentNode = vnode;\n while ((parentNode = parentNode.parent)) {\n if (parentNode.data && (styleData = normalizeStyleData(parentNode.data))) {\n extend(res, styleData);\n }\n }\n return res\n}\n\n/* */\n\nvar cssVarRE = /^--/;\nvar importantRE = /\\s*!important$/;\nvar setProp = function (el, name, val) {\n /* istanbul ignore if */\n if (cssVarRE.test(name)) {\n el.style.setProperty(name, val);\n } else if (importantRE.test(val)) {\n el.style.setProperty(hyphenate(name), val.replace(importantRE, ''), 'important');\n } else {\n var normalizedName = normalize(name);\n if (Array.isArray(val)) {\n // Support values array created by autoprefixer, e.g.\n // {display: [\"-webkit-box\", \"-ms-flexbox\", \"flex\"]}\n // Set them one by one, and the browser will only set those it can recognize\n for (var i = 0, len = val.length; i < len; i++) {\n el.style[normalizedName] = val[i];\n }\n } else {\n el.style[normalizedName] = val;\n }\n }\n};\n\nvar vendorNames = ['Webkit', 'Moz', 'ms'];\n\nvar emptyStyle;\nvar normalize = cached(function (prop) {\n emptyStyle = emptyStyle || document.createElement('div').style;\n prop = camelize(prop);\n if (prop !== 'filter' && (prop in emptyStyle)) {\n return prop\n }\n var capName = prop.charAt(0).toUpperCase() + prop.slice(1);\n for (var i = 0; i < vendorNames.length; i++) {\n var name = vendorNames[i] + capName;\n if (name in emptyStyle) {\n return name\n }\n }\n});\n\nfunction updateStyle (oldVnode, vnode) {\n var data = vnode.data;\n var oldData = oldVnode.data;\n\n if (isUndef(data.staticStyle) && isUndef(data.style) &&\n isUndef(oldData.staticStyle) && isUndef(oldData.style)\n ) {\n return\n }\n\n var cur, name;\n var el = vnode.elm;\n var oldStaticStyle = oldData.staticStyle;\n var oldStyleBinding = oldData.normalizedStyle || oldData.style || {};\n\n // if static style exists, stylebinding already merged into it when doing normalizeStyleData\n var oldStyle = oldStaticStyle || oldStyleBinding;\n\n var style = normalizeStyleBinding(vnode.data.style) || {};\n\n // store normalized style under a different key for next diff\n // make sure to clone it if it's reactive, since the user likely wants\n // to mutate it.\n vnode.data.normalizedStyle = isDef(style.__ob__)\n ? extend({}, style)\n : style;\n\n var newStyle = getStyle(vnode, true);\n\n for (name in oldStyle) {\n if (isUndef(newStyle[name])) {\n setProp(el, name, '');\n }\n }\n for (name in newStyle) {\n cur = newStyle[name];\n if (cur !== oldStyle[name]) {\n // ie9 setting to null has no effect, must use empty string\n setProp(el, name, cur == null ? '' : cur);\n }\n }\n}\n\nvar style = {\n create: updateStyle,\n update: updateStyle\n};\n\n/* */\n\nvar whitespaceRE = /\\s+/;\n\n/**\n * Add class with compatibility for SVG since classList is not supported on\n * SVG elements in IE\n */\nfunction addClass (el, cls) {\n /* istanbul ignore if */\n if (!cls || !(cls = cls.trim())) {\n return\n }\n\n /* istanbul ignore else */\n if (el.classList) {\n if (cls.indexOf(' ') > -1) {\n cls.split(whitespaceRE).forEach(function (c) { return el.classList.add(c); });\n } else {\n el.classList.add(cls);\n }\n } else {\n var cur = \" \" + (el.getAttribute('class') || '') + \" \";\n if (cur.indexOf(' ' + cls + ' ') < 0) {\n el.setAttribute('class', (cur + cls).trim());\n }\n }\n}\n\n/**\n * Remove class with compatibility for SVG since classList is not supported on\n * SVG elements in IE\n */\nfunction removeClass (el, cls) {\n /* istanbul ignore if */\n if (!cls || !(cls = cls.trim())) {\n return\n }\n\n /* istanbul ignore else */\n if (el.classList) {\n if (cls.indexOf(' ') > -1) {\n cls.split(whitespaceRE).forEach(function (c) { return el.classList.remove(c); });\n } else {\n el.classList.remove(cls);\n }\n if (!el.classList.length) {\n el.removeAttribute('class');\n }\n } else {\n var cur = \" \" + (el.getAttribute('class') || '') + \" \";\n var tar = ' ' + cls + ' ';\n while (cur.indexOf(tar) >= 0) {\n cur = cur.replace(tar, ' ');\n }\n cur = cur.trim();\n if (cur) {\n el.setAttribute('class', cur);\n } else {\n el.removeAttribute('class');\n }\n }\n}\n\n/* */\n\nfunction resolveTransition (def$$1) {\n if (!def$$1) {\n return\n }\n /* istanbul ignore else */\n if (typeof def$$1 === 'object') {\n var res = {};\n if (def$$1.css !== false) {\n extend(res, autoCssTransition(def$$1.name || 'v'));\n }\n extend(res, def$$1);\n return res\n } else if (typeof def$$1 === 'string') {\n return autoCssTransition(def$$1)\n }\n}\n\nvar autoCssTransition = cached(function (name) {\n return {\n enterClass: (name + \"-enter\"),\n enterToClass: (name + \"-enter-to\"),\n enterActiveClass: (name + \"-enter-active\"),\n leaveClass: (name + \"-leave\"),\n leaveToClass: (name + \"-leave-to\"),\n leaveActiveClass: (name + \"-leave-active\")\n }\n});\n\nvar hasTransition = inBrowser && !isIE9;\nvar TRANSITION = 'transition';\nvar ANIMATION = 'animation';\n\n// Transition property/event sniffing\nvar transitionProp = 'transition';\nvar transitionEndEvent = 'transitionend';\nvar animationProp = 'animation';\nvar animationEndEvent = 'animationend';\nif (hasTransition) {\n /* istanbul ignore if */\n if (window.ontransitionend === undefined &&\n window.onwebkittransitionend !== undefined\n ) {\n transitionProp = 'WebkitTransition';\n transitionEndEvent = 'webkitTransitionEnd';\n }\n if (window.onanimationend === undefined &&\n window.onwebkitanimationend !== undefined\n ) {\n animationProp = 'WebkitAnimation';\n animationEndEvent = 'webkitAnimationEnd';\n }\n}\n\n// binding to window is necessary to make hot reload work in IE in strict mode\nvar raf = inBrowser\n ? window.requestAnimationFrame\n ? window.requestAnimationFrame.bind(window)\n : setTimeout\n : /* istanbul ignore next */ function (fn) { return fn(); };\n\nfunction nextFrame (fn) {\n raf(function () {\n raf(fn);\n });\n}\n\nfunction addTransitionClass (el, cls) {\n var transitionClasses = el._transitionClasses || (el._transitionClasses = []);\n if (transitionClasses.indexOf(cls) < 0) {\n transitionClasses.push(cls);\n addClass(el, cls);\n }\n}\n\nfunction removeTransitionClass (el, cls) {\n if (el._transitionClasses) {\n remove(el._transitionClasses, cls);\n }\n removeClass(el, cls);\n}\n\nfunction whenTransitionEnds (\n el,\n expectedType,\n cb\n) {\n var ref = getTransitionInfo(el, expectedType);\n var type = ref.type;\n var timeout = ref.timeout;\n var propCount = ref.propCount;\n if (!type) { return cb() }\n var event = type === TRANSITION ? transitionEndEvent : animationEndEvent;\n var ended = 0;\n var end = function () {\n el.removeEventListener(event, onEnd);\n cb();\n };\n var onEnd = function (e) {\n if (e.target === el) {\n if (++ended >= propCount) {\n end();\n }\n }\n };\n setTimeout(function () {\n if (ended < propCount) {\n end();\n }\n }, timeout + 1);\n el.addEventListener(event, onEnd);\n}\n\nvar transformRE = /\\b(transform|all)(,|$)/;\n\nfunction getTransitionInfo (el, expectedType) {\n var styles = window.getComputedStyle(el);\n // JSDOM may return undefined for transition properties\n var transitionDelays = (styles[transitionProp + 'Delay'] || '').split(', ');\n var transitionDurations = (styles[transitionProp + 'Duration'] || '').split(', ');\n var transitionTimeout = getTimeout(transitionDelays, transitionDurations);\n var animationDelays = (styles[animationProp + 'Delay'] || '').split(', ');\n var animationDurations = (styles[animationProp + 'Duration'] || '').split(', ');\n var animationTimeout = getTimeout(animationDelays, animationDurations);\n\n var type;\n var timeout = 0;\n var propCount = 0;\n /* istanbul ignore if */\n if (expectedType === TRANSITION) {\n if (transitionTimeout > 0) {\n type = TRANSITION;\n timeout = transitionTimeout;\n propCount = transitionDurations.length;\n }\n } else if (expectedType === ANIMATION) {\n if (animationTimeout > 0) {\n type = ANIMATION;\n timeout = animationTimeout;\n propCount = animationDurations.length;\n }\n } else {\n timeout = Math.max(transitionTimeout, animationTimeout);\n type = timeout > 0\n ? transitionTimeout > animationTimeout\n ? TRANSITION\n : ANIMATION\n : null;\n propCount = type\n ? type === TRANSITION\n ? transitionDurations.length\n : animationDurations.length\n : 0;\n }\n var hasTransform =\n type === TRANSITION &&\n transformRE.test(styles[transitionProp + 'Property']);\n return {\n type: type,\n timeout: timeout,\n propCount: propCount,\n hasTransform: hasTransform\n }\n}\n\nfunction getTimeout (delays, durations) {\n /* istanbul ignore next */\n while (delays.length < durations.length) {\n delays = delays.concat(delays);\n }\n\n return Math.max.apply(null, durations.map(function (d, i) {\n return toMs(d) + toMs(delays[i])\n }))\n}\n\n// Old versions of Chromium (below 61.0.3163.100) formats floating pointer numbers\n// in a locale-dependent way, using a comma instead of a dot.\n// If comma is not replaced with a dot, the input will be rounded down (i.e. acting\n// as a floor function) causing unexpected behaviors\nfunction toMs (s) {\n return Number(s.slice(0, -1).replace(',', '.')) * 1000\n}\n\n/* */\n\nfunction enter (vnode, toggleDisplay) {\n var el = vnode.elm;\n\n // call leave callback now\n if (isDef(el._leaveCb)) {\n el._leaveCb.cancelled = true;\n el._leaveCb();\n }\n\n var data = resolveTransition(vnode.data.transition);\n if (isUndef(data)) {\n return\n }\n\n /* istanbul ignore if */\n if (isDef(el._enterCb) || el.nodeType !== 1) {\n return\n }\n\n var css = data.css;\n var type = data.type;\n var enterClass = data.enterClass;\n var enterToClass = data.enterToClass;\n var enterActiveClass = data.enterActiveClass;\n var appearClass = data.appearClass;\n var appearToClass = data.appearToClass;\n var appearActiveClass = data.appearActiveClass;\n var beforeEnter = data.beforeEnter;\n var enter = data.enter;\n var afterEnter = data.afterEnter;\n var enterCancelled = data.enterCancelled;\n var beforeAppear = data.beforeAppear;\n var appear = data.appear;\n var afterAppear = data.afterAppear;\n var appearCancelled = data.appearCancelled;\n var duration = data.duration;\n\n // activeInstance will always be the <transition> component managing this\n // transition. One edge case to check is when the <transition> is placed\n // as the root node of a child component. In that case we need to check\n // <transition>'s parent for appear check.\n var context = activeInstance;\n var transitionNode = activeInstance.$vnode;\n while (transitionNode && transitionNode.parent) {\n context = transitionNode.context;\n transitionNode = transitionNode.parent;\n }\n\n var isAppear = !context._isMounted || !vnode.isRootInsert;\n\n if (isAppear && !appear && appear !== '') {\n return\n }\n\n var startClass = isAppear && appearClass\n ? appearClass\n : enterClass;\n var activeClass = isAppear && appearActiveClass\n ? appearActiveClass\n : enterActiveClass;\n var toClass = isAppear && appearToClass\n ? appearToClass\n : enterToClass;\n\n var beforeEnterHook = isAppear\n ? (beforeAppear || beforeEnter)\n : beforeEnter;\n var enterHook = isAppear\n ? (typeof appear === 'function' ? appear : enter)\n : enter;\n var afterEnterHook = isAppear\n ? (afterAppear || afterEnter)\n : afterEnter;\n var enterCancelledHook = isAppear\n ? (appearCancelled || enterCancelled)\n : enterCancelled;\n\n var explicitEnterDuration = toNumber(\n isObject(duration)\n ? duration.enter\n : duration\n );\n\n if (process.env.NODE_ENV !== 'production' && explicitEnterDuration != null) {\n checkDuration(explicitEnterDuration, 'enter', vnode);\n }\n\n var expectsCSS = css !== false && !isIE9;\n var userWantsControl = getHookArgumentsLength(enterHook);\n\n var cb = el._enterCb = once(function () {\n if (expectsCSS) {\n removeTransitionClass(el, toClass);\n removeTransitionClass(el, activeClass);\n }\n if (cb.cancelled) {\n if (expectsCSS) {\n removeTransitionClass(el, startClass);\n }\n enterCancelledHook && enterCancelledHook(el);\n } else {\n afterEnterHook && afterEnterHook(el);\n }\n el._enterCb = null;\n });\n\n if (!vnode.data.show) {\n // remove pending leave element on enter by injecting an insert hook\n mergeVNodeHook(vnode, 'insert', function () {\n var parent = el.parentNode;\n var pendingNode = parent && parent._pending && parent._pending[vnode.key];\n if (pendingNode &&\n pendingNode.tag === vnode.tag &&\n pendingNode.elm._leaveCb\n ) {\n pendingNode.elm._leaveCb();\n }\n enterHook && enterHook(el, cb);\n });\n }\n\n // start enter transition\n beforeEnterHook && beforeEnterHook(el);\n if (expectsCSS) {\n addTransitionClass(el, startClass);\n addTransitionClass(el, activeClass);\n nextFrame(function () {\n removeTransitionClass(el, startClass);\n if (!cb.cancelled) {\n addTransitionClass(el, toClass);\n if (!userWantsControl) {\n if (isValidDuration(explicitEnterDuration)) {\n setTimeout(cb, explicitEnterDuration);\n } else {\n whenTransitionEnds(el, type, cb);\n }\n }\n }\n });\n }\n\n if (vnode.data.show) {\n toggleDisplay && toggleDisplay();\n enterHook && enterHook(el, cb);\n }\n\n if (!expectsCSS && !userWantsControl) {\n cb();\n }\n}\n\nfunction leave (vnode, rm) {\n var el = vnode.elm;\n\n // call enter callback now\n if (isDef(el._enterCb)) {\n el._enterCb.cancelled = true;\n el._enterCb();\n }\n\n var data = resolveTransition(vnode.data.transition);\n if (isUndef(data) || el.nodeType !== 1) {\n return rm()\n }\n\n /* istanbul ignore if */\n if (isDef(el._leaveCb)) {\n return\n }\n\n var css = data.css;\n var type = data.type;\n var leaveClass = data.leaveClass;\n var leaveToClass = data.leaveToClass;\n var leaveActiveClass = data.leaveActiveClass;\n var beforeLeave = data.beforeLeave;\n var leave = data.leave;\n var afterLeave = data.afterLeave;\n var leaveCancelled = data.leaveCancelled;\n var delayLeave = data.delayLeave;\n var duration = data.duration;\n\n var expectsCSS = css !== false && !isIE9;\n var userWantsControl = getHookArgumentsLength(leave);\n\n var explicitLeaveDuration = toNumber(\n isObject(duration)\n ? duration.leave\n : duration\n );\n\n if (process.env.NODE_ENV !== 'production' && isDef(explicitLeaveDuration)) {\n checkDuration(explicitLeaveDuration, 'leave', vnode);\n }\n\n var cb = el._leaveCb = once(function () {\n if (el.parentNode && el.parentNode._pending) {\n el.parentNode._pending[vnode.key] = null;\n }\n if (expectsCSS) {\n removeTransitionClass(el, leaveToClass);\n removeTransitionClass(el, leaveActiveClass);\n }\n if (cb.cancelled) {\n if (expectsCSS) {\n removeTransitionClass(el, leaveClass);\n }\n leaveCancelled && leaveCancelled(el);\n } else {\n rm();\n afterLeave && afterLeave(el);\n }\n el._leaveCb = null;\n });\n\n if (delayLeave) {\n delayLeave(performLeave);\n } else {\n performLeave();\n }\n\n function performLeave () {\n // the delayed leave may have already been cancelled\n if (cb.cancelled) {\n return\n }\n // record leaving element\n if (!vnode.data.show && el.parentNode) {\n (el.parentNode._pending || (el.parentNode._pending = {}))[(vnode.key)] = vnode;\n }\n beforeLeave && beforeLeave(el);\n if (expectsCSS) {\n addTransitionClass(el, leaveClass);\n addTransitionClass(el, leaveActiveClass);\n nextFrame(function () {\n removeTransitionClass(el, leaveClass);\n if (!cb.cancelled) {\n addTransitionClass(el, leaveToClass);\n if (!userWantsControl) {\n if (isValidDuration(explicitLeaveDuration)) {\n setTimeout(cb, explicitLeaveDuration);\n } else {\n whenTransitionEnds(el, type, cb);\n }\n }\n }\n });\n }\n leave && leave(el, cb);\n if (!expectsCSS && !userWantsControl) {\n cb();\n }\n }\n}\n\n// only used in dev mode\nfunction checkDuration (val, name, vnode) {\n if (typeof val !== 'number') {\n warn(\n \"<transition> explicit \" + name + \" duration is not a valid number - \" +\n \"got \" + (JSON.stringify(val)) + \".\",\n vnode.context\n );\n } else if (isNaN(val)) {\n warn(\n \"<transition> explicit \" + name + \" duration is NaN - \" +\n 'the duration expression might be incorrect.',\n vnode.context\n );\n }\n}\n\nfunction isValidDuration (val) {\n return typeof val === 'number' && !isNaN(val)\n}\n\n/**\n * Normalize a transition hook's argument length. The hook may be:\n * - a merged hook (invoker) with the original in .fns\n * - a wrapped component method (check ._length)\n * - a plain function (.length)\n */\nfunction getHookArgumentsLength (fn) {\n if (isUndef(fn)) {\n return false\n }\n var invokerFns = fn.fns;\n if (isDef(invokerFns)) {\n // invoker\n return getHookArgumentsLength(\n Array.isArray(invokerFns)\n ? invokerFns[0]\n : invokerFns\n )\n } else {\n return (fn._length || fn.length) > 1\n }\n}\n\nfunction _enter (_, vnode) {\n if (vnode.data.show !== true) {\n enter(vnode);\n }\n}\n\nvar transition = inBrowser ? {\n create: _enter,\n activate: _enter,\n remove: function remove$$1 (vnode, rm) {\n /* istanbul ignore else */\n if (vnode.data.show !== true) {\n leave(vnode, rm);\n } else {\n rm();\n }\n }\n} : {};\n\nvar platformModules = [\n attrs,\n klass,\n events,\n domProps,\n style,\n transition\n];\n\n/* */\n\n// the directive module should be applied last, after all\n// built-in modules have been applied.\nvar modules = platformModules.concat(baseModules);\n\nvar patch = createPatchFunction({ nodeOps: nodeOps, modules: modules });\n\n/**\n * Not type checking this file because flow doesn't like attaching\n * properties to Elements.\n */\n\n/* istanbul ignore if */\nif (isIE9) {\n // http://www.matts411.com/post/internet-explorer-9-oninput/\n document.addEventListener('selectionchange', function () {\n var el = document.activeElement;\n if (el && el.vmodel) {\n trigger(el, 'input');\n }\n });\n}\n\nvar directive = {\n inserted: function inserted (el, binding, vnode, oldVnode) {\n if (vnode.tag === 'select') {\n // #6903\n if (oldVnode.elm && !oldVnode.elm._vOptions) {\n mergeVNodeHook(vnode, 'postpatch', function () {\n directive.componentUpdated(el, binding, vnode);\n });\n } else {\n setSelected(el, binding, vnode.context);\n }\n el._vOptions = [].map.call(el.options, getValue);\n } else if (vnode.tag === 'textarea' || isTextInputType(el.type)) {\n el._vModifiers = binding.modifiers;\n if (!binding.modifiers.lazy) {\n el.addEventListener('compositionstart', onCompositionStart);\n el.addEventListener('compositionend', onCompositionEnd);\n // Safari < 10.2 & UIWebView doesn't fire compositionend when\n // switching focus before confirming composition choice\n // this also fixes the issue where some browsers e.g. iOS Chrome\n // fires \"change\" instead of \"input\" on autocomplete.\n el.addEventListener('change', onCompositionEnd);\n /* istanbul ignore if */\n if (isIE9) {\n el.vmodel = true;\n }\n }\n }\n },\n\n componentUpdated: function componentUpdated (el, binding, vnode) {\n if (vnode.tag === 'select') {\n setSelected(el, binding, vnode.context);\n // in case the options rendered by v-for have changed,\n // it's possible that the value is out-of-sync with the rendered options.\n // detect such cases and filter out values that no longer has a matching\n // option in the DOM.\n var prevOptions = el._vOptions;\n var curOptions = el._vOptions = [].map.call(el.options, getValue);\n if (curOptions.some(function (o, i) { return !looseEqual(o, prevOptions[i]); })) {\n // trigger change event if\n // no matching option found for at least one value\n var needReset = el.multiple\n ? binding.value.some(function (v) { return hasNoMatchingOption(v, curOptions); })\n : binding.value !== binding.oldValue && hasNoMatchingOption(binding.value, curOptions);\n if (needReset) {\n trigger(el, 'change');\n }\n }\n }\n }\n};\n\nfunction setSelected (el, binding, vm) {\n actuallySetSelected(el, binding, vm);\n /* istanbul ignore if */\n if (isIE || isEdge) {\n setTimeout(function () {\n actuallySetSelected(el, binding, vm);\n }, 0);\n }\n}\n\nfunction actuallySetSelected (el, binding, vm) {\n var value = binding.value;\n var isMultiple = el.multiple;\n if (isMultiple && !Array.isArray(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"<select multiple v-model=\\\"\" + (binding.expression) + \"\\\"> \" +\n \"expects an Array value for its binding, but got \" + (Object.prototype.toString.call(value).slice(8, -1)),\n vm\n );\n return\n }\n var selected, option;\n for (var i = 0, l = el.options.length; i < l; i++) {\n option = el.options[i];\n if (isMultiple) {\n selected = looseIndexOf(value, getValue(option)) > -1;\n if (option.selected !== selected) {\n option.selected = selected;\n }\n } else {\n if (looseEqual(getValue(option), value)) {\n if (el.selectedIndex !== i) {\n el.selectedIndex = i;\n }\n return\n }\n }\n }\n if (!isMultiple) {\n el.selectedIndex = -1;\n }\n}\n\nfunction hasNoMatchingOption (value, options) {\n return options.every(function (o) { return !looseEqual(o, value); })\n}\n\nfunction getValue (option) {\n return '_value' in option\n ? option._value\n : option.value\n}\n\nfunction onCompositionStart (e) {\n e.target.composing = true;\n}\n\nfunction onCompositionEnd (e) {\n // prevent triggering an input event for no reason\n if (!e.target.composing) { return }\n e.target.composing = false;\n trigger(e.target, 'input');\n}\n\nfunction trigger (el, type) {\n var e = document.createEvent('HTMLEvents');\n e.initEvent(type, true, true);\n el.dispatchEvent(e);\n}\n\n/* */\n\n// recursively search for possible transition defined inside the component root\nfunction locateNode (vnode) {\n return vnode.componentInstance && (!vnode.data || !vnode.data.transition)\n ? locateNode(vnode.componentInstance._vnode)\n : vnode\n}\n\nvar show = {\n bind: function bind (el, ref, vnode) {\n var value = ref.value;\n\n vnode = locateNode(vnode);\n var transition$$1 = vnode.data && vnode.data.transition;\n var originalDisplay = el.__vOriginalDisplay =\n el.style.display === 'none' ? '' : el.style.display;\n if (value && transition$$1) {\n vnode.data.show = true;\n enter(vnode, function () {\n el.style.display = originalDisplay;\n });\n } else {\n el.style.display = value ? originalDisplay : 'none';\n }\n },\n\n update: function update (el, ref, vnode) {\n var value = ref.value;\n var oldValue = ref.oldValue;\n\n /* istanbul ignore if */\n if (!value === !oldValue) { return }\n vnode = locateNode(vnode);\n var transition$$1 = vnode.data && vnode.data.transition;\n if (transition$$1) {\n vnode.data.show = true;\n if (value) {\n enter(vnode, function () {\n el.style.display = el.__vOriginalDisplay;\n });\n } else {\n leave(vnode, function () {\n el.style.display = 'none';\n });\n }\n } else {\n el.style.display = value ? el.__vOriginalDisplay : 'none';\n }\n },\n\n unbind: function unbind (\n el,\n binding,\n vnode,\n oldVnode,\n isDestroy\n ) {\n if (!isDestroy) {\n el.style.display = el.__vOriginalDisplay;\n }\n }\n};\n\nvar platformDirectives = {\n model: directive,\n show: show\n};\n\n/* */\n\nvar transitionProps = {\n name: String,\n appear: Boolean,\n css: Boolean,\n mode: String,\n type: String,\n enterClass: String,\n leaveClass: String,\n enterToClass: String,\n leaveToClass: String,\n enterActiveClass: String,\n leaveActiveClass: String,\n appearClass: String,\n appearActiveClass: String,\n appearToClass: String,\n duration: [Number, String, Object]\n};\n\n// in case the child is also an abstract component, e.g. <keep-alive>\n// we want to recursively retrieve the real component to be rendered\nfunction getRealChild (vnode) {\n var compOptions = vnode && vnode.componentOptions;\n if (compOptions && compOptions.Ctor.options.abstract) {\n return getRealChild(getFirstComponentChild(compOptions.children))\n } else {\n return vnode\n }\n}\n\nfunction extractTransitionData (comp) {\n var data = {};\n var options = comp.$options;\n // props\n for (var key in options.propsData) {\n data[key] = comp[key];\n }\n // events.\n // extract listeners and pass them directly to the transition methods\n var listeners = options._parentListeners;\n for (var key$1 in listeners) {\n data[camelize(key$1)] = listeners[key$1];\n }\n return data\n}\n\nfunction placeholder (h, rawChild) {\n if (/\\d-keep-alive$/.test(rawChild.tag)) {\n return h('keep-alive', {\n props: rawChild.componentOptions.propsData\n })\n }\n}\n\nfunction hasParentTransition (vnode) {\n while ((vnode = vnode.parent)) {\n if (vnode.data.transition) {\n return true\n }\n }\n}\n\nfunction isSameChild (child, oldChild) {\n return oldChild.key === child.key && oldChild.tag === child.tag\n}\n\nvar isNotTextNode = function (c) { return c.tag || isAsyncPlaceholder(c); };\n\nvar isVShowDirective = function (d) { return d.name === 'show'; };\n\nvar Transition = {\n name: 'transition',\n props: transitionProps,\n abstract: true,\n\n render: function render (h) {\n var this$1 = this;\n\n var children = this.$slots.default;\n if (!children) {\n return\n }\n\n // filter out text nodes (possible whitespaces)\n children = children.filter(isNotTextNode);\n /* istanbul ignore if */\n if (!children.length) {\n return\n }\n\n // warn multiple elements\n if (process.env.NODE_ENV !== 'production' && children.length > 1) {\n warn(\n '<transition> can only be used on a single element. Use ' +\n '<transition-group> for lists.',\n this.$parent\n );\n }\n\n var mode = this.mode;\n\n // warn invalid mode\n if (process.env.NODE_ENV !== 'production' &&\n mode && mode !== 'in-out' && mode !== 'out-in'\n ) {\n warn(\n 'invalid <transition> mode: ' + mode,\n this.$parent\n );\n }\n\n var rawChild = children[0];\n\n // if this is a component root node and the component's\n // parent container node also has transition, skip.\n if (hasParentTransition(this.$vnode)) {\n return rawChild\n }\n\n // apply transition data to child\n // use getRealChild() to ignore abstract components e.g. keep-alive\n var child = getRealChild(rawChild);\n /* istanbul ignore if */\n if (!child) {\n return rawChild\n }\n\n if (this._leaving) {\n return placeholder(h, rawChild)\n }\n\n // ensure a key that is unique to the vnode type and to this transition\n // component instance. This key will be used to remove pending leaving nodes\n // during entering.\n var id = \"__transition-\" + (this._uid) + \"-\";\n child.key = child.key == null\n ? child.isComment\n ? id + 'comment'\n : id + child.tag\n : isPrimitive(child.key)\n ? (String(child.key).indexOf(id) === 0 ? child.key : id + child.key)\n : child.key;\n\n var data = (child.data || (child.data = {})).transition = extractTransitionData(this);\n var oldRawChild = this._vnode;\n var oldChild = getRealChild(oldRawChild);\n\n // mark v-show\n // so that the transition module can hand over the control to the directive\n if (child.data.directives && child.data.directives.some(isVShowDirective)) {\n child.data.show = true;\n }\n\n if (\n oldChild &&\n oldChild.data &&\n !isSameChild(child, oldChild) &&\n !isAsyncPlaceholder(oldChild) &&\n // #6687 component root is a comment node\n !(oldChild.componentInstance && oldChild.componentInstance._vnode.isComment)\n ) {\n // replace old child transition data with fresh one\n // important for dynamic transitions!\n var oldData = oldChild.data.transition = extend({}, data);\n // handle transition mode\n if (mode === 'out-in') {\n // return placeholder node and queue update when leave finishes\n this._leaving = true;\n mergeVNodeHook(oldData, 'afterLeave', function () {\n this$1._leaving = false;\n this$1.$forceUpdate();\n });\n return placeholder(h, rawChild)\n } else if (mode === 'in-out') {\n if (isAsyncPlaceholder(child)) {\n return oldRawChild\n }\n var delayedLeave;\n var performLeave = function () { delayedLeave(); };\n mergeVNodeHook(data, 'afterEnter', performLeave);\n mergeVNodeHook(data, 'enterCancelled', performLeave);\n mergeVNodeHook(oldData, 'delayLeave', function (leave) { delayedLeave = leave; });\n }\n }\n\n return rawChild\n }\n};\n\n/* */\n\nvar props = extend({\n tag: String,\n moveClass: String\n}, transitionProps);\n\ndelete props.mode;\n\nvar TransitionGroup = {\n props: props,\n\n beforeMount: function beforeMount () {\n var this$1 = this;\n\n var update = this._update;\n this._update = function (vnode, hydrating) {\n var restoreActiveInstance = setActiveInstance(this$1);\n // force removing pass\n this$1.__patch__(\n this$1._vnode,\n this$1.kept,\n false, // hydrating\n true // removeOnly (!important, avoids unnecessary moves)\n );\n this$1._vnode = this$1.kept;\n restoreActiveInstance();\n update.call(this$1, vnode, hydrating);\n };\n },\n\n render: function render (h) {\n var tag = this.tag || this.$vnode.data.tag || 'span';\n var map = Object.create(null);\n var prevChildren = this.prevChildren = this.children;\n var rawChildren = this.$slots.default || [];\n var children = this.children = [];\n var transitionData = extractTransitionData(this);\n\n for (var i = 0; i < rawChildren.length; i++) {\n var c = rawChildren[i];\n if (c.tag) {\n if (c.key != null && String(c.key).indexOf('__vlist') !== 0) {\n children.push(c);\n map[c.key] = c\n ;(c.data || (c.data = {})).transition = transitionData;\n } else if (process.env.NODE_ENV !== 'production') {\n var opts = c.componentOptions;\n var name = opts ? (opts.Ctor.options.name || opts.tag || '') : c.tag;\n warn((\"<transition-group> children must be keyed: <\" + name + \">\"));\n }\n }\n }\n\n if (prevChildren) {\n var kept = [];\n var removed = [];\n for (var i$1 = 0; i$1 < prevChildren.length; i$1++) {\n var c$1 = prevChildren[i$1];\n c$1.data.transition = transitionData;\n c$1.data.pos = c$1.elm.getBoundingClientRect();\n if (map[c$1.key]) {\n kept.push(c$1);\n } else {\n removed.push(c$1);\n }\n }\n this.kept = h(tag, null, kept);\n this.removed = removed;\n }\n\n return h(tag, null, children)\n },\n\n updated: function updated () {\n var children = this.prevChildren;\n var moveClass = this.moveClass || ((this.name || 'v') + '-move');\n if (!children.length || !this.hasMove(children[0].elm, moveClass)) {\n return\n }\n\n // we divide the work into three loops to avoid mixing DOM reads and writes\n // in each iteration - which helps prevent layout thrashing.\n children.forEach(callPendingCbs);\n children.forEach(recordPosition);\n children.forEach(applyTranslation);\n\n // force reflow to put everything in position\n // assign to this to avoid being removed in tree-shaking\n // $flow-disable-line\n this._reflow = document.body.offsetHeight;\n\n children.forEach(function (c) {\n if (c.data.moved) {\n var el = c.elm;\n var s = el.style;\n addTransitionClass(el, moveClass);\n s.transform = s.WebkitTransform = s.transitionDuration = '';\n el.addEventListener(transitionEndEvent, el._moveCb = function cb (e) {\n if (e && e.target !== el) {\n return\n }\n if (!e || /transform$/.test(e.propertyName)) {\n el.removeEventListener(transitionEndEvent, cb);\n el._moveCb = null;\n removeTransitionClass(el, moveClass);\n }\n });\n }\n });\n },\n\n methods: {\n hasMove: function hasMove (el, moveClass) {\n /* istanbul ignore if */\n if (!hasTransition) {\n return false\n }\n /* istanbul ignore if */\n if (this._hasMove) {\n return this._hasMove\n }\n // Detect whether an element with the move class applied has\n // CSS transitions. Since the element may be inside an entering\n // transition at this very moment, we make a clone of it and remove\n // all other transition classes applied to ensure only the move class\n // is applied.\n var clone = el.cloneNode();\n if (el._transitionClasses) {\n el._transitionClasses.forEach(function (cls) { removeClass(clone, cls); });\n }\n addClass(clone, moveClass);\n clone.style.display = 'none';\n this.$el.appendChild(clone);\n var info = getTransitionInfo(clone);\n this.$el.removeChild(clone);\n return (this._hasMove = info.hasTransform)\n }\n }\n};\n\nfunction callPendingCbs (c) {\n /* istanbul ignore if */\n if (c.elm._moveCb) {\n c.elm._moveCb();\n }\n /* istanbul ignore if */\n if (c.elm._enterCb) {\n c.elm._enterCb();\n }\n}\n\nfunction recordPosition (c) {\n c.data.newPos = c.elm.getBoundingClientRect();\n}\n\nfunction applyTranslation (c) {\n var oldPos = c.data.pos;\n var newPos = c.data.newPos;\n var dx = oldPos.left - newPos.left;\n var dy = oldPos.top - newPos.top;\n if (dx || dy) {\n c.data.moved = true;\n var s = c.elm.style;\n s.transform = s.WebkitTransform = \"translate(\" + dx + \"px,\" + dy + \"px)\";\n s.transitionDuration = '0s';\n }\n}\n\nvar platformComponents = {\n Transition: Transition,\n TransitionGroup: TransitionGroup\n};\n\n/* */\n\n// install platform specific utils\nVue.config.mustUseProp = mustUseProp;\nVue.config.isReservedTag = isReservedTag;\nVue.config.isReservedAttr = isReservedAttr;\nVue.config.getTagNamespace = getTagNamespace;\nVue.config.isUnknownElement = isUnknownElement;\n\n// install platform runtime directives & components\nextend(Vue.options.directives, platformDirectives);\nextend(Vue.options.components, platformComponents);\n\n// install platform patch function\nVue.prototype.__patch__ = inBrowser ? patch : noop;\n\n// public mount method\nVue.prototype.$mount = function (\n el,\n hydrating\n) {\n el = el && inBrowser ? query(el) : undefined;\n return mountComponent(this, el, hydrating)\n};\n\n// devtools global hook\n/* istanbul ignore next */\nif (inBrowser) {\n setTimeout(function () {\n if (config.devtools) {\n if (devtools) {\n devtools.emit('init', Vue);\n } else if (\n process.env.NODE_ENV !== 'production' &&\n process.env.NODE_ENV !== 'test'\n ) {\n console[console.info ? 'info' : 'log'](\n 'Download the Vue Devtools extension for a better development experience:\\n' +\n 'https://github.com/vuejs/vue-devtools'\n );\n }\n }\n if (process.env.NODE_ENV !== 'production' &&\n process.env.NODE_ENV !== 'test' &&\n config.productionTip !== false &&\n typeof console !== 'undefined'\n ) {\n console[console.info ? 'info' : 'log'](\n \"You are running Vue in development mode.\\n\" +\n \"Make sure to turn on production mode when deploying for production.\\n\" +\n \"See more tips at https://vuejs.org/guide/deployment.html\"\n );\n }\n }, 0);\n}\n\n/* */\n\nvar defaultTagRE = /\\{\\{((?:.|\\r?\\n)+?)\\}\\}/g;\nvar regexEscapeRE = /[-.*+?^${}()|[\\]\\/\\\\]/g;\n\nvar buildRegex = cached(function (delimiters) {\n var open = delimiters[0].replace(regexEscapeRE, '\\\\$&');\n var close = delimiters[1].replace(regexEscapeRE, '\\\\$&');\n return new RegExp(open + '((?:.|\\\\n)+?)' + close, 'g')\n});\n\n\n\nfunction parseText (\n text,\n delimiters\n) {\n var tagRE = delimiters ? buildRegex(delimiters) : defaultTagRE;\n if (!tagRE.test(text)) {\n return\n }\n var tokens = [];\n var rawTokens = [];\n var lastIndex = tagRE.lastIndex = 0;\n var match, index, tokenValue;\n while ((match = tagRE.exec(text))) {\n index = match.index;\n // push text token\n if (index > lastIndex) {\n rawTokens.push(tokenValue = text.slice(lastIndex, index));\n tokens.push(JSON.stringify(tokenValue));\n }\n // tag token\n var exp = parseFilters(match[1].trim());\n tokens.push((\"_s(\" + exp + \")\"));\n rawTokens.push({ '@binding': exp });\n lastIndex = index + match[0].length;\n }\n if (lastIndex < text.length) {\n rawTokens.push(tokenValue = text.slice(lastIndex));\n tokens.push(JSON.stringify(tokenValue));\n }\n return {\n expression: tokens.join('+'),\n tokens: rawTokens\n }\n}\n\n/* */\n\nfunction transformNode (el, options) {\n var warn = options.warn || baseWarn;\n var staticClass = getAndRemoveAttr(el, 'class');\n if (process.env.NODE_ENV !== 'production' && staticClass) {\n var res = parseText(staticClass, options.delimiters);\n if (res) {\n warn(\n \"class=\\\"\" + staticClass + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div class=\"{{ val }}\">, use <div :class=\"val\">.',\n el.rawAttrsMap['class']\n );\n }\n }\n if (staticClass) {\n el.staticClass = JSON.stringify(staticClass);\n }\n var classBinding = getBindingAttr(el, 'class', false /* getStatic */);\n if (classBinding) {\n el.classBinding = classBinding;\n }\n}\n\nfunction genData (el) {\n var data = '';\n if (el.staticClass) {\n data += \"staticClass:\" + (el.staticClass) + \",\";\n }\n if (el.classBinding) {\n data += \"class:\" + (el.classBinding) + \",\";\n }\n return data\n}\n\nvar klass$1 = {\n staticKeys: ['staticClass'],\n transformNode: transformNode,\n genData: genData\n};\n\n/* */\n\nfunction transformNode$1 (el, options) {\n var warn = options.warn || baseWarn;\n var staticStyle = getAndRemoveAttr(el, 'style');\n if (staticStyle) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n var res = parseText(staticStyle, options.delimiters);\n if (res) {\n warn(\n \"style=\\\"\" + staticStyle + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div style=\"{{ val }}\">, use <div :style=\"val\">.',\n el.rawAttrsMap['style']\n );\n }\n }\n el.staticStyle = JSON.stringify(parseStyleText(staticStyle));\n }\n\n var styleBinding = getBindingAttr(el, 'style', false /* getStatic */);\n if (styleBinding) {\n el.styleBinding = styleBinding;\n }\n}\n\nfunction genData$1 (el) {\n var data = '';\n if (el.staticStyle) {\n data += \"staticStyle:\" + (el.staticStyle) + \",\";\n }\n if (el.styleBinding) {\n data += \"style:(\" + (el.styleBinding) + \"),\";\n }\n return data\n}\n\nvar style$1 = {\n staticKeys: ['staticStyle'],\n transformNode: transformNode$1,\n genData: genData$1\n};\n\n/* */\n\nvar decoder;\n\nvar he = {\n decode: function decode (html) {\n decoder = decoder || document.createElement('div');\n decoder.innerHTML = html;\n return decoder.textContent\n }\n};\n\n/* */\n\nvar isUnaryTag = makeMap(\n 'area,base,br,col,embed,frame,hr,img,input,isindex,keygen,' +\n 'link,meta,param,source,track,wbr'\n);\n\n// Elements that you can, intentionally, leave open\n// (and which close themselves)\nvar canBeLeftOpenTag = makeMap(\n 'colgroup,dd,dt,li,options,p,td,tfoot,th,thead,tr,source'\n);\n\n// HTML5 tags https://html.spec.whatwg.org/multipage/indices.html#elements-3\n// Phrasing Content https://html.spec.whatwg.org/multipage/dom.html#phrasing-content\nvar isNonPhrasingTag = makeMap(\n 'address,article,aside,base,blockquote,body,caption,col,colgroup,dd,' +\n 'details,dialog,div,dl,dt,fieldset,figcaption,figure,footer,form,' +\n 'h1,h2,h3,h4,h5,h6,head,header,hgroup,hr,html,legend,li,menuitem,meta,' +\n 'optgroup,option,param,rp,rt,source,style,summary,tbody,td,tfoot,th,thead,' +\n 'title,tr,track'\n);\n\n/**\n * Not type-checking this file because it's mostly vendor code.\n */\n\n// Regular Expressions for parsing tags and attributes\nvar attribute = /^\\s*([^\\s\"'<>\\/=]+)(?:\\s*(=)\\s*(?:\"([^\"]*)\"+|'([^']*)'+|([^\\s\"'=<>`]+)))?/;\nvar dynamicArgAttribute = /^\\s*((?:v-[\\w-]+:|@|:|#)\\[[^=]+\\][^\\s\"'<>\\/=]*)(?:\\s*(=)\\s*(?:\"([^\"]*)\"+|'([^']*)'+|([^\\s\"'=<>`]+)))?/;\nvar ncname = \"[a-zA-Z_][\\\\-\\\\.0-9_a-zA-Z\" + (unicodeRegExp.source) + \"]*\";\nvar qnameCapture = \"((?:\" + ncname + \"\\\\:)?\" + ncname + \")\";\nvar startTagOpen = new RegExp((\"^<\" + qnameCapture));\nvar startTagClose = /^\\s*(\\/?)>/;\nvar endTag = new RegExp((\"^<\\\\/\" + qnameCapture + \"[^>]*>\"));\nvar doctype = /^<!DOCTYPE [^>]+>/i;\n// #7298: escape - to avoid being passed as HTML comment when inlined in page\nvar comment = /^<!\\--/;\nvar conditionalComment = /^<!\\[/;\n\n// Special Elements (can contain anything)\nvar isPlainTextElement = makeMap('script,style,textarea', true);\nvar reCache = {};\n\nvar decodingMap = {\n '&lt;': '<',\n '&gt;': '>',\n '&quot;': '\"',\n '&amp;': '&',\n '&#10;': '\\n',\n '&#9;': '\\t',\n '&#39;': \"'\"\n};\nvar encodedAttr = /&(?:lt|gt|quot|amp|#39);/g;\nvar encodedAttrWithNewLines = /&(?:lt|gt|quot|amp|#39|#10|#9);/g;\n\n// #5992\nvar isIgnoreNewlineTag = makeMap('pre,textarea', true);\nvar shouldIgnoreFirstNewline = function (tag, html) { return tag && isIgnoreNewlineTag(tag) && html[0] === '\\n'; };\n\nfunction decodeAttr (value, shouldDecodeNewlines) {\n var re = shouldDecodeNewlines ? encodedAttrWithNewLines : encodedAttr;\n return value.replace(re, function (match) { return decodingMap[match]; })\n}\n\nfunction parseHTML (html, options) {\n var stack = [];\n var expectHTML = options.expectHTML;\n var isUnaryTag$$1 = options.isUnaryTag || no;\n var canBeLeftOpenTag$$1 = options.canBeLeftOpenTag || no;\n var index = 0;\n var last, lastTag;\n while (html) {\n last = html;\n // Make sure we're not in a plaintext content element like script/style\n if (!lastTag || !isPlainTextElement(lastTag)) {\n var textEnd = html.indexOf('<');\n if (textEnd === 0) {\n // Comment:\n if (comment.test(html)) {\n var commentEnd = html.indexOf('-->');\n\n if (commentEnd >= 0) {\n if (options.shouldKeepComment) {\n options.comment(html.substring(4, commentEnd), index, index + commentEnd + 3);\n }\n advance(commentEnd + 3);\n continue\n }\n }\n\n // http://en.wikipedia.org/wiki/Conditional_comment#Downlevel-revealed_conditional_comment\n if (conditionalComment.test(html)) {\n var conditionalEnd = html.indexOf(']>');\n\n if (conditionalEnd >= 0) {\n advance(conditionalEnd + 2);\n continue\n }\n }\n\n // Doctype:\n var doctypeMatch = html.match(doctype);\n if (doctypeMatch) {\n advance(doctypeMatch[0].length);\n continue\n }\n\n // End tag:\n var endTagMatch = html.match(endTag);\n if (endTagMatch) {\n var curIndex = index;\n advance(endTagMatch[0].length);\n parseEndTag(endTagMatch[1], curIndex, index);\n continue\n }\n\n // Start tag:\n var startTagMatch = parseStartTag();\n if (startTagMatch) {\n handleStartTag(startTagMatch);\n if (shouldIgnoreFirstNewline(startTagMatch.tagName, html)) {\n advance(1);\n }\n continue\n }\n }\n\n var text = (void 0), rest = (void 0), next = (void 0);\n if (textEnd >= 0) {\n rest = html.slice(textEnd);\n while (\n !endTag.test(rest) &&\n !startTagOpen.test(rest) &&\n !comment.test(rest) &&\n !conditionalComment.test(rest)\n ) {\n // < in plain text, be forgiving and treat it as text\n next = rest.indexOf('<', 1);\n if (next < 0) { break }\n textEnd += next;\n rest = html.slice(textEnd);\n }\n text = html.substring(0, textEnd);\n }\n\n if (textEnd < 0) {\n text = html;\n }\n\n if (text) {\n advance(text.length);\n }\n\n if (options.chars && text) {\n options.chars(text, index - text.length, index);\n }\n } else {\n var endTagLength = 0;\n var stackedTag = lastTag.toLowerCase();\n var reStackedTag = reCache[stackedTag] || (reCache[stackedTag] = new RegExp('([\\\\s\\\\S]*?)(</' + stackedTag + '[^>]*>)', 'i'));\n var rest$1 = html.replace(reStackedTag, function (all, text, endTag) {\n endTagLength = endTag.length;\n if (!isPlainTextElement(stackedTag) && stackedTag !== 'noscript') {\n text = text\n .replace(/<!\\--([\\s\\S]*?)-->/g, '$1') // #7298\n .replace(/<!\\[CDATA\\[([\\s\\S]*?)]]>/g, '$1');\n }\n if (shouldIgnoreFirstNewline(stackedTag, text)) {\n text = text.slice(1);\n }\n if (options.chars) {\n options.chars(text);\n }\n return ''\n });\n index += html.length - rest$1.length;\n html = rest$1;\n parseEndTag(stackedTag, index - endTagLength, index);\n }\n\n if (html === last) {\n options.chars && options.chars(html);\n if (process.env.NODE_ENV !== 'production' && !stack.length && options.warn) {\n options.warn((\"Mal-formatted tag at end of template: \\\"\" + html + \"\\\"\"), { start: index + html.length });\n }\n break\n }\n }\n\n // Clean up any remaining tags\n parseEndTag();\n\n function advance (n) {\n index += n;\n html = html.substring(n);\n }\n\n function parseStartTag () {\n var start = html.match(startTagOpen);\n if (start) {\n var match = {\n tagName: start[1],\n attrs: [],\n start: index\n };\n advance(start[0].length);\n var end, attr;\n while (!(end = html.match(startTagClose)) && (attr = html.match(dynamicArgAttribute) || html.match(attribute))) {\n attr.start = index;\n advance(attr[0].length);\n attr.end = index;\n match.attrs.push(attr);\n }\n if (end) {\n match.unarySlash = end[1];\n advance(end[0].length);\n match.end = index;\n return match\n }\n }\n }\n\n function handleStartTag (match) {\n var tagName = match.tagName;\n var unarySlash = match.unarySlash;\n\n if (expectHTML) {\n if (lastTag === 'p' && isNonPhrasingTag(tagName)) {\n parseEndTag(lastTag);\n }\n if (canBeLeftOpenTag$$1(tagName) && lastTag === tagName) {\n parseEndTag(tagName);\n }\n }\n\n var unary = isUnaryTag$$1(tagName) || !!unarySlash;\n\n var l = match.attrs.length;\n var attrs = new Array(l);\n for (var i = 0; i < l; i++) {\n var args = match.attrs[i];\n var value = args[3] || args[4] || args[5] || '';\n var shouldDecodeNewlines = tagName === 'a' && args[1] === 'href'\n ? options.shouldDecodeNewlinesForHref\n : options.shouldDecodeNewlines;\n attrs[i] = {\n name: args[1],\n value: decodeAttr(value, shouldDecodeNewlines)\n };\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n attrs[i].start = args.start + args[0].match(/^\\s*/).length;\n attrs[i].end = args.end;\n }\n }\n\n if (!unary) {\n stack.push({ tag: tagName, lowerCasedTag: tagName.toLowerCase(), attrs: attrs, start: match.start, end: match.end });\n lastTag = tagName;\n }\n\n if (options.start) {\n options.start(tagName, attrs, unary, match.start, match.end);\n }\n }\n\n function parseEndTag (tagName, start, end) {\n var pos, lowerCasedTagName;\n if (start == null) { start = index; }\n if (end == null) { end = index; }\n\n // Find the closest opened tag of the same type\n if (tagName) {\n lowerCasedTagName = tagName.toLowerCase();\n for (pos = stack.length - 1; pos >= 0; pos--) {\n if (stack[pos].lowerCasedTag === lowerCasedTagName) {\n break\n }\n }\n } else {\n // If no tag name is provided, clean shop\n pos = 0;\n }\n\n if (pos >= 0) {\n // Close all the open elements, up the stack\n for (var i = stack.length - 1; i >= pos; i--) {\n if (process.env.NODE_ENV !== 'production' &&\n (i > pos || !tagName) &&\n options.warn\n ) {\n options.warn(\n (\"tag <\" + (stack[i].tag) + \"> has no matching end tag.\"),\n { start: stack[i].start, end: stack[i].end }\n );\n }\n if (options.end) {\n options.end(stack[i].tag, start, end);\n }\n }\n\n // Remove the open elements from the stack\n stack.length = pos;\n lastTag = pos && stack[pos - 1].tag;\n } else if (lowerCasedTagName === 'br') {\n if (options.start) {\n options.start(tagName, [], true, start, end);\n }\n } else if (lowerCasedTagName === 'p') {\n if (options.start) {\n options.start(tagName, [], false, start, end);\n }\n if (options.end) {\n options.end(tagName, start, end);\n }\n }\n }\n}\n\n/* */\n\nvar onRE = /^@|^v-on:/;\nvar dirRE = /^v-|^@|^:|^#/;\nvar forAliasRE = /([\\s\\S]*?)\\s+(?:in|of)\\s+([\\s\\S]*)/;\nvar forIteratorRE = /,([^,\\}\\]]*)(?:,([^,\\}\\]]*))?$/;\nvar stripParensRE = /^\\(|\\)$/g;\nvar dynamicArgRE = /^\\[.*\\]$/;\n\nvar argRE = /:(.*)$/;\nvar bindRE = /^:|^\\.|^v-bind:/;\nvar modifierRE = /\\.[^.\\]]+(?=[^\\]]*$)/g;\n\nvar slotRE = /^v-slot(:|$)|^#/;\n\nvar lineBreakRE = /[\\r\\n]/;\nvar whitespaceRE$1 = /\\s+/g;\n\nvar invalidAttributeRE = /[\\s\"'<>\\/=]/;\n\nvar decodeHTMLCached = cached(he.decode);\n\nvar emptySlotScopeToken = \"_empty_\";\n\n// configurable state\nvar warn$2;\nvar delimiters;\nvar transforms;\nvar preTransforms;\nvar postTransforms;\nvar platformIsPreTag;\nvar platformMustUseProp;\nvar platformGetTagNamespace;\nvar maybeComponent;\n\nfunction createASTElement (\n tag,\n attrs,\n parent\n) {\n return {\n type: 1,\n tag: tag,\n attrsList: attrs,\n attrsMap: makeAttrsMap(attrs),\n rawAttrsMap: {},\n parent: parent,\n children: []\n }\n}\n\n/**\n * Convert HTML string to AST.\n */\nfunction parse (\n template,\n options\n) {\n warn$2 = options.warn || baseWarn;\n\n platformIsPreTag = options.isPreTag || no;\n platformMustUseProp = options.mustUseProp || no;\n platformGetTagNamespace = options.getTagNamespace || no;\n var isReservedTag = options.isReservedTag || no;\n maybeComponent = function (el) { return !!el.component || !isReservedTag(el.tag); };\n\n transforms = pluckModuleFunction(options.modules, 'transformNode');\n preTransforms = pluckModuleFunction(options.modules, 'preTransformNode');\n postTransforms = pluckModuleFunction(options.modules, 'postTransformNode');\n\n delimiters = options.delimiters;\n\n var stack = [];\n var preserveWhitespace = options.preserveWhitespace !== false;\n var whitespaceOption = options.whitespace;\n var root;\n var currentParent;\n var inVPre = false;\n var inPre = false;\n var warned = false;\n\n function warnOnce (msg, range) {\n if (!warned) {\n warned = true;\n warn$2(msg, range);\n }\n }\n\n function closeElement (element) {\n trimEndingWhitespace(element);\n if (!inVPre && !element.processed) {\n element = processElement(element, options);\n }\n // tree management\n if (!stack.length && element !== root) {\n // allow root elements with v-if, v-else-if and v-else\n if (root.if && (element.elseif || element.else)) {\n if (process.env.NODE_ENV !== 'production') {\n checkRootConstraints(element);\n }\n addIfCondition(root, {\n exp: element.elseif,\n block: element\n });\n } else if (process.env.NODE_ENV !== 'production') {\n warnOnce(\n \"Component template should contain exactly one root element. \" +\n \"If you are using v-if on multiple elements, \" +\n \"use v-else-if to chain them instead.\",\n { start: element.start }\n );\n }\n }\n if (currentParent && !element.forbidden) {\n if (element.elseif || element.else) {\n processIfConditions(element, currentParent);\n } else {\n if (element.slotScope) {\n // scoped slot\n // keep it in the children list so that v-else(-if) conditions can\n // find it as the prev node.\n var name = element.slotTarget || '\"default\"'\n ;(currentParent.scopedSlots || (currentParent.scopedSlots = {}))[name] = element;\n }\n currentParent.children.push(element);\n element.parent = currentParent;\n }\n }\n\n // final children cleanup\n // filter out scoped slots\n element.children = element.children.filter(function (c) { return !(c).slotScope; });\n // remove trailing whitespace node again\n trimEndingWhitespace(element);\n\n // check pre state\n if (element.pre) {\n inVPre = false;\n }\n if (platformIsPreTag(element.tag)) {\n inPre = false;\n }\n // apply post-transforms\n for (var i = 0; i < postTransforms.length; i++) {\n postTransforms[i](element, options);\n }\n }\n\n function trimEndingWhitespace (el) {\n // remove trailing whitespace node\n if (!inPre) {\n var lastNode;\n while (\n (lastNode = el.children[el.children.length - 1]) &&\n lastNode.type === 3 &&\n lastNode.text === ' '\n ) {\n el.children.pop();\n }\n }\n }\n\n function checkRootConstraints (el) {\n if (el.tag === 'slot' || el.tag === 'template') {\n warnOnce(\n \"Cannot use <\" + (el.tag) + \"> as component root element because it may \" +\n 'contain multiple nodes.',\n { start: el.start }\n );\n }\n if (el.attrsMap.hasOwnProperty('v-for')) {\n warnOnce(\n 'Cannot use v-for on stateful component root element because ' +\n 'it renders multiple elements.',\n el.rawAttrsMap['v-for']\n );\n }\n }\n\n parseHTML(template, {\n warn: warn$2,\n expectHTML: options.expectHTML,\n isUnaryTag: options.isUnaryTag,\n canBeLeftOpenTag: options.canBeLeftOpenTag,\n shouldDecodeNewlines: options.shouldDecodeNewlines,\n shouldDecodeNewlinesForHref: options.shouldDecodeNewlinesForHref,\n shouldKeepComment: options.comments,\n outputSourceRange: options.outputSourceRange,\n start: function start (tag, attrs, unary, start$1, end) {\n // check namespace.\n // inherit parent ns if there is one\n var ns = (currentParent && currentParent.ns) || platformGetTagNamespace(tag);\n\n // handle IE svg bug\n /* istanbul ignore if */\n if (isIE && ns === 'svg') {\n attrs = guardIESVGBug(attrs);\n }\n\n var element = createASTElement(tag, attrs, currentParent);\n if (ns) {\n element.ns = ns;\n }\n\n if (process.env.NODE_ENV !== 'production') {\n if (options.outputSourceRange) {\n element.start = start$1;\n element.end = end;\n element.rawAttrsMap = element.attrsList.reduce(function (cumulated, attr) {\n cumulated[attr.name] = attr;\n return cumulated\n }, {});\n }\n attrs.forEach(function (attr) {\n if (invalidAttributeRE.test(attr.name)) {\n warn$2(\n \"Invalid dynamic argument expression: attribute names cannot contain \" +\n \"spaces, quotes, <, >, / or =.\",\n {\n start: attr.start + attr.name.indexOf(\"[\"),\n end: attr.start + attr.name.length\n }\n );\n }\n });\n }\n\n if (isForbiddenTag(element) && !isServerRendering()) {\n element.forbidden = true;\n process.env.NODE_ENV !== 'production' && warn$2(\n 'Templates should only be responsible for mapping the state to the ' +\n 'UI. Avoid placing tags with side-effects in your templates, such as ' +\n \"<\" + tag + \">\" + ', as they will not be parsed.',\n { start: element.start }\n );\n }\n\n // apply pre-transforms\n for (var i = 0; i < preTransforms.length; i++) {\n element = preTransforms[i](element, options) || element;\n }\n\n if (!inVPre) {\n processPre(element);\n if (element.pre) {\n inVPre = true;\n }\n }\n if (platformIsPreTag(element.tag)) {\n inPre = true;\n }\n if (inVPre) {\n processRawAttrs(element);\n } else if (!element.processed) {\n // structural directives\n processFor(element);\n processIf(element);\n processOnce(element);\n }\n\n if (!root) {\n root = element;\n if (process.env.NODE_ENV !== 'production') {\n checkRootConstraints(root);\n }\n }\n\n if (!unary) {\n currentParent = element;\n stack.push(element);\n } else {\n closeElement(element);\n }\n },\n\n end: function end (tag, start, end$1) {\n var element = stack[stack.length - 1];\n // pop stack\n stack.length -= 1;\n currentParent = stack[stack.length - 1];\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n element.end = end$1;\n }\n closeElement(element);\n },\n\n chars: function chars (text, start, end) {\n if (!currentParent) {\n if (process.env.NODE_ENV !== 'production') {\n if (text === template) {\n warnOnce(\n 'Component template requires a root element, rather than just text.',\n { start: start }\n );\n } else if ((text = text.trim())) {\n warnOnce(\n (\"text \\\"\" + text + \"\\\" outside root element will be ignored.\"),\n { start: start }\n );\n }\n }\n return\n }\n // IE textarea placeholder bug\n /* istanbul ignore if */\n if (isIE &&\n currentParent.tag === 'textarea' &&\n currentParent.attrsMap.placeholder === text\n ) {\n return\n }\n var children = currentParent.children;\n if (inPre || text.trim()) {\n text = isTextTag(currentParent) ? text : decodeHTMLCached(text);\n } else if (!children.length) {\n // remove the whitespace-only node right after an opening tag\n text = '';\n } else if (whitespaceOption) {\n if (whitespaceOption === 'condense') {\n // in condense mode, remove the whitespace node if it contains\n // line break, otherwise condense to a single space\n text = lineBreakRE.test(text) ? '' : ' ';\n } else {\n text = ' ';\n }\n } else {\n text = preserveWhitespace ? ' ' : '';\n }\n if (text) {\n if (!inPre && whitespaceOption === 'condense') {\n // condense consecutive whitespaces into single space\n text = text.replace(whitespaceRE$1, ' ');\n }\n var res;\n var child;\n if (!inVPre && text !== ' ' && (res = parseText(text, delimiters))) {\n child = {\n type: 2,\n expression: res.expression,\n tokens: res.tokens,\n text: text\n };\n } else if (text !== ' ' || !children.length || children[children.length - 1].text !== ' ') {\n child = {\n type: 3,\n text: text\n };\n }\n if (child) {\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n child.start = start;\n child.end = end;\n }\n children.push(child);\n }\n }\n },\n comment: function comment (text, start, end) {\n // adding anyting as a sibling to the root node is forbidden\n // comments should still be allowed, but ignored\n if (currentParent) {\n var child = {\n type: 3,\n text: text,\n isComment: true\n };\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n child.start = start;\n child.end = end;\n }\n currentParent.children.push(child);\n }\n }\n });\n return root\n}\n\nfunction processPre (el) {\n if (getAndRemoveAttr(el, 'v-pre') != null) {\n el.pre = true;\n }\n}\n\nfunction processRawAttrs (el) {\n var list = el.attrsList;\n var len = list.length;\n if (len) {\n var attrs = el.attrs = new Array(len);\n for (var i = 0; i < len; i++) {\n attrs[i] = {\n name: list[i].name,\n value: JSON.stringify(list[i].value)\n };\n if (list[i].start != null) {\n attrs[i].start = list[i].start;\n attrs[i].end = list[i].end;\n }\n }\n } else if (!el.pre) {\n // non root node in pre blocks with no attributes\n el.plain = true;\n }\n}\n\nfunction processElement (\n element,\n options\n) {\n processKey(element);\n\n // determine whether this is a plain element after\n // removing structural attributes\n element.plain = (\n !element.key &&\n !element.scopedSlots &&\n !element.attrsList.length\n );\n\n processRef(element);\n processSlotContent(element);\n processSlotOutlet(element);\n processComponent(element);\n for (var i = 0; i < transforms.length; i++) {\n element = transforms[i](element, options) || element;\n }\n processAttrs(element);\n return element\n}\n\nfunction processKey (el) {\n var exp = getBindingAttr(el, 'key');\n if (exp) {\n if (process.env.NODE_ENV !== 'production') {\n if (el.tag === 'template') {\n warn$2(\n \"<template> cannot be keyed. Place the key on real elements instead.\",\n getRawBindingAttr(el, 'key')\n );\n }\n if (el.for) {\n var iterator = el.iterator2 || el.iterator1;\n var parent = el.parent;\n if (iterator && iterator === exp && parent && parent.tag === 'transition-group') {\n warn$2(\n \"Do not use v-for index as key on <transition-group> children, \" +\n \"this is the same as not using keys.\",\n getRawBindingAttr(el, 'key'),\n true /* tip */\n );\n }\n }\n }\n el.key = exp;\n }\n}\n\nfunction processRef (el) {\n var ref = getBindingAttr(el, 'ref');\n if (ref) {\n el.ref = ref;\n el.refInFor = checkInFor(el);\n }\n}\n\nfunction processFor (el) {\n var exp;\n if ((exp = getAndRemoveAttr(el, 'v-for'))) {\n var res = parseFor(exp);\n if (res) {\n extend(el, res);\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n (\"Invalid v-for expression: \" + exp),\n el.rawAttrsMap['v-for']\n );\n }\n }\n}\n\n\n\nfunction parseFor (exp) {\n var inMatch = exp.match(forAliasRE);\n if (!inMatch) { return }\n var res = {};\n res.for = inMatch[2].trim();\n var alias = inMatch[1].trim().replace(stripParensRE, '');\n var iteratorMatch = alias.match(forIteratorRE);\n if (iteratorMatch) {\n res.alias = alias.replace(forIteratorRE, '').trim();\n res.iterator1 = iteratorMatch[1].trim();\n if (iteratorMatch[2]) {\n res.iterator2 = iteratorMatch[2].trim();\n }\n } else {\n res.alias = alias;\n }\n return res\n}\n\nfunction processIf (el) {\n var exp = getAndRemoveAttr(el, 'v-if');\n if (exp) {\n el.if = exp;\n addIfCondition(el, {\n exp: exp,\n block: el\n });\n } else {\n if (getAndRemoveAttr(el, 'v-else') != null) {\n el.else = true;\n }\n var elseif = getAndRemoveAttr(el, 'v-else-if');\n if (elseif) {\n el.elseif = elseif;\n }\n }\n}\n\nfunction processIfConditions (el, parent) {\n var prev = findPrevElement(parent.children);\n if (prev && prev.if) {\n addIfCondition(prev, {\n exp: el.elseif,\n block: el\n });\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n \"v-\" + (el.elseif ? ('else-if=\"' + el.elseif + '\"') : 'else') + \" \" +\n \"used on element <\" + (el.tag) + \"> without corresponding v-if.\",\n el.rawAttrsMap[el.elseif ? 'v-else-if' : 'v-else']\n );\n }\n}\n\nfunction findPrevElement (children) {\n var i = children.length;\n while (i--) {\n if (children[i].type === 1) {\n return children[i]\n } else {\n if (process.env.NODE_ENV !== 'production' && children[i].text !== ' ') {\n warn$2(\n \"text \\\"\" + (children[i].text.trim()) + \"\\\" between v-if and v-else(-if) \" +\n \"will be ignored.\",\n children[i]\n );\n }\n children.pop();\n }\n }\n}\n\nfunction addIfCondition (el, condition) {\n if (!el.ifConditions) {\n el.ifConditions = [];\n }\n el.ifConditions.push(condition);\n}\n\nfunction processOnce (el) {\n var once$$1 = getAndRemoveAttr(el, 'v-once');\n if (once$$1 != null) {\n el.once = true;\n }\n}\n\n// handle content being passed to a component as slot,\n// e.g. <template slot=\"xxx\">, <div slot-scope=\"xxx\">\nfunction processSlotContent (el) {\n var slotScope;\n if (el.tag === 'template') {\n slotScope = getAndRemoveAttr(el, 'scope');\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && slotScope) {\n warn$2(\n \"the \\\"scope\\\" attribute for scoped slots have been deprecated and \" +\n \"replaced by \\\"slot-scope\\\" since 2.5. The new \\\"slot-scope\\\" attribute \" +\n \"can also be used on plain elements in addition to <template> to \" +\n \"denote scoped slots.\",\n el.rawAttrsMap['scope'],\n true\n );\n }\n el.slotScope = slotScope || getAndRemoveAttr(el, 'slot-scope');\n } else if ((slotScope = getAndRemoveAttr(el, 'slot-scope'))) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && el.attrsMap['v-for']) {\n warn$2(\n \"Ambiguous combined usage of slot-scope and v-for on <\" + (el.tag) + \"> \" +\n \"(v-for takes higher priority). Use a wrapper <template> for the \" +\n \"scoped slot to make it clearer.\",\n el.rawAttrsMap['slot-scope'],\n true\n );\n }\n el.slotScope = slotScope;\n }\n\n // slot=\"xxx\"\n var slotTarget = getBindingAttr(el, 'slot');\n if (slotTarget) {\n el.slotTarget = slotTarget === '\"\"' ? '\"default\"' : slotTarget;\n el.slotTargetDynamic = !!(el.attrsMap[':slot'] || el.attrsMap['v-bind:slot']);\n // preserve slot as an attribute for native shadow DOM compat\n // only for non-scoped slots.\n if (el.tag !== 'template' && !el.slotScope) {\n addAttr(el, 'slot', slotTarget, getRawBindingAttr(el, 'slot'));\n }\n }\n\n // 2.6 v-slot syntax\n {\n if (el.tag === 'template') {\n // v-slot on <template>\n var slotBinding = getAndRemoveAttrByRegex(el, slotRE);\n if (slotBinding) {\n if (process.env.NODE_ENV !== 'production') {\n if (el.slotTarget || el.slotScope) {\n warn$2(\n \"Unexpected mixed usage of different slot syntaxes.\",\n el\n );\n }\n if (el.parent && !maybeComponent(el.parent)) {\n warn$2(\n \"<template v-slot> can only appear at the root level inside \" +\n \"the receiving component\",\n el\n );\n }\n }\n var ref = getSlotName(slotBinding);\n var name = ref.name;\n var dynamic = ref.dynamic;\n el.slotTarget = name;\n el.slotTargetDynamic = dynamic;\n el.slotScope = slotBinding.value || emptySlotScopeToken; // force it into a scoped slot for perf\n }\n } else {\n // v-slot on component, denotes default slot\n var slotBinding$1 = getAndRemoveAttrByRegex(el, slotRE);\n if (slotBinding$1) {\n if (process.env.NODE_ENV !== 'production') {\n if (!maybeComponent(el)) {\n warn$2(\n \"v-slot can only be used on components or <template>.\",\n slotBinding$1\n );\n }\n if (el.slotScope || el.slotTarget) {\n warn$2(\n \"Unexpected mixed usage of different slot syntaxes.\",\n el\n );\n }\n if (el.scopedSlots) {\n warn$2(\n \"To avoid scope ambiguity, the default slot should also use \" +\n \"<template> syntax when there are other named slots.\",\n slotBinding$1\n );\n }\n }\n // add the component's children to its default slot\n var slots = el.scopedSlots || (el.scopedSlots = {});\n var ref$1 = getSlotName(slotBinding$1);\n var name$1 = ref$1.name;\n var dynamic$1 = ref$1.dynamic;\n var slotContainer = slots[name$1] = createASTElement('template', [], el);\n slotContainer.slotTarget = name$1;\n slotContainer.slotTargetDynamic = dynamic$1;\n slotContainer.children = el.children.filter(function (c) {\n if (!c.slotScope) {\n c.parent = slotContainer;\n return true\n }\n });\n slotContainer.slotScope = slotBinding$1.value || emptySlotScopeToken;\n // remove children as they are returned from scopedSlots now\n el.children = [];\n // mark el non-plain so data gets generated\n el.plain = false;\n }\n }\n }\n}\n\nfunction getSlotName (binding) {\n var name = binding.name.replace(slotRE, '');\n if (!name) {\n if (binding.name[0] !== '#') {\n name = 'default';\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n \"v-slot shorthand syntax requires a slot name.\",\n binding\n );\n }\n }\n return dynamicArgRE.test(name)\n // dynamic [name]\n ? { name: name.slice(1, -1), dynamic: true }\n // static name\n : { name: (\"\\\"\" + name + \"\\\"\"), dynamic: false }\n}\n\n// handle <slot/> outlets\nfunction processSlotOutlet (el) {\n if (el.tag === 'slot') {\n el.slotName = getBindingAttr(el, 'name');\n if (process.env.NODE_ENV !== 'production' && el.key) {\n warn$2(\n \"`key` does not work on <slot> because slots are abstract outlets \" +\n \"and can possibly expand into multiple elements. \" +\n \"Use the key on a wrapping element instead.\",\n getRawBindingAttr(el, 'key')\n );\n }\n }\n}\n\nfunction processComponent (el) {\n var binding;\n if ((binding = getBindingAttr(el, 'is'))) {\n el.component = binding;\n }\n if (getAndRemoveAttr(el, 'inline-template') != null) {\n el.inlineTemplate = true;\n }\n}\n\nfunction processAttrs (el) {\n var list = el.attrsList;\n var i, l, name, rawName, value, modifiers, syncGen, isDynamic;\n for (i = 0, l = list.length; i < l; i++) {\n name = rawName = list[i].name;\n value = list[i].value;\n if (dirRE.test(name)) {\n // mark element as dynamic\n el.hasBindings = true;\n // modifiers\n modifiers = parseModifiers(name.replace(dirRE, ''));\n // support .foo shorthand syntax for the .prop modifier\n if (modifiers) {\n name = name.replace(modifierRE, '');\n }\n if (bindRE.test(name)) { // v-bind\n name = name.replace(bindRE, '');\n value = parseFilters(value);\n isDynamic = dynamicArgRE.test(name);\n if (isDynamic) {\n name = name.slice(1, -1);\n }\n if (\n process.env.NODE_ENV !== 'production' &&\n value.trim().length === 0\n ) {\n warn$2(\n (\"The value for a v-bind expression cannot be empty. Found in \\\"v-bind:\" + name + \"\\\"\")\n );\n }\n if (modifiers) {\n if (modifiers.prop && !isDynamic) {\n name = camelize(name);\n if (name === 'innerHtml') { name = 'innerHTML'; }\n }\n if (modifiers.camel && !isDynamic) {\n name = camelize(name);\n }\n if (modifiers.sync) {\n syncGen = genAssignmentCode(value, \"$event\");\n if (!isDynamic) {\n addHandler(\n el,\n (\"update:\" + (camelize(name))),\n syncGen,\n null,\n false,\n warn$2,\n list[i]\n );\n if (hyphenate(name) !== camelize(name)) {\n addHandler(\n el,\n (\"update:\" + (hyphenate(name))),\n syncGen,\n null,\n false,\n warn$2,\n list[i]\n );\n }\n } else {\n // handler w/ dynamic event name\n addHandler(\n el,\n (\"\\\"update:\\\"+(\" + name + \")\"),\n syncGen,\n null,\n false,\n warn$2,\n list[i],\n true // dynamic\n );\n }\n }\n }\n if ((modifiers && modifiers.prop) || (\n !el.component && platformMustUseProp(el.tag, el.attrsMap.type, name)\n )) {\n addProp(el, name, value, list[i], isDynamic);\n } else {\n addAttr(el, name, value, list[i], isDynamic);\n }\n } else if (onRE.test(name)) { // v-on\n name = name.replace(onRE, '');\n isDynamic = dynamicArgRE.test(name);\n if (isDynamic) {\n name = name.slice(1, -1);\n }\n addHandler(el, name, value, modifiers, false, warn$2, list[i], isDynamic);\n } else { // normal directives\n name = name.replace(dirRE, '');\n // parse arg\n var argMatch = name.match(argRE);\n var arg = argMatch && argMatch[1];\n isDynamic = false;\n if (arg) {\n name = name.slice(0, -(arg.length + 1));\n if (dynamicArgRE.test(arg)) {\n arg = arg.slice(1, -1);\n isDynamic = true;\n }\n }\n addDirective(el, name, rawName, value, arg, isDynamic, modifiers, list[i]);\n if (process.env.NODE_ENV !== 'production' && name === 'model') {\n checkForAliasModel(el, value);\n }\n }\n } else {\n // literal attribute\n if (process.env.NODE_ENV !== 'production') {\n var res = parseText(value, delimiters);\n if (res) {\n warn$2(\n name + \"=\\\"\" + value + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div id=\"{{ val }}\">, use <div :id=\"val\">.',\n list[i]\n );\n }\n }\n addAttr(el, name, JSON.stringify(value), list[i]);\n // #6887 firefox doesn't update muted state if set via attribute\n // even immediately after element creation\n if (!el.component &&\n name === 'muted' &&\n platformMustUseProp(el.tag, el.attrsMap.type, name)) {\n addProp(el, name, 'true', list[i]);\n }\n }\n }\n}\n\nfunction checkInFor (el) {\n var parent = el;\n while (parent) {\n if (parent.for !== undefined) {\n return true\n }\n parent = parent.parent;\n }\n return false\n}\n\nfunction parseModifiers (name) {\n var match = name.match(modifierRE);\n if (match) {\n var ret = {};\n match.forEach(function (m) { ret[m.slice(1)] = true; });\n return ret\n }\n}\n\nfunction makeAttrsMap (attrs) {\n var map = {};\n for (var i = 0, l = attrs.length; i < l; i++) {\n if (\n process.env.NODE_ENV !== 'production' &&\n map[attrs[i].name] && !isIE && !isEdge\n ) {\n warn$2('duplicate attribute: ' + attrs[i].name, attrs[i]);\n }\n map[attrs[i].name] = attrs[i].value;\n }\n return map\n}\n\n// for script (e.g. type=\"x/template\") or style, do not decode content\nfunction isTextTag (el) {\n return el.tag === 'script' || el.tag === 'style'\n}\n\nfunction isForbiddenTag (el) {\n return (\n el.tag === 'style' ||\n (el.tag === 'script' && (\n !el.attrsMap.type ||\n el.attrsMap.type === 'text/javascript'\n ))\n )\n}\n\nvar ieNSBug = /^xmlns:NS\\d+/;\nvar ieNSPrefix = /^NS\\d+:/;\n\n/* istanbul ignore next */\nfunction guardIESVGBug (attrs) {\n var res = [];\n for (var i = 0; i < attrs.length; i++) {\n var attr = attrs[i];\n if (!ieNSBug.test(attr.name)) {\n attr.name = attr.name.replace(ieNSPrefix, '');\n res.push(attr);\n }\n }\n return res\n}\n\nfunction checkForAliasModel (el, value) {\n var _el = el;\n while (_el) {\n if (_el.for && _el.alias === value) {\n warn$2(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\">: \" +\n \"You are binding v-model directly to a v-for iteration alias. \" +\n \"This will not be able to modify the v-for source array because \" +\n \"writing to the alias is like modifying a function local variable. \" +\n \"Consider using an array of objects and use v-model on an object property instead.\",\n el.rawAttrsMap['v-model']\n );\n }\n _el = _el.parent;\n }\n}\n\n/* */\n\nfunction preTransformNode (el, options) {\n if (el.tag === 'input') {\n var map = el.attrsMap;\n if (!map['v-model']) {\n return\n }\n\n var typeBinding;\n if (map[':type'] || map['v-bind:type']) {\n typeBinding = getBindingAttr(el, 'type');\n }\n if (!map.type && !typeBinding && map['v-bind']) {\n typeBinding = \"(\" + (map['v-bind']) + \").type\";\n }\n\n if (typeBinding) {\n var ifCondition = getAndRemoveAttr(el, 'v-if', true);\n var ifConditionExtra = ifCondition ? (\"&&(\" + ifCondition + \")\") : \"\";\n var hasElse = getAndRemoveAttr(el, 'v-else', true) != null;\n var elseIfCondition = getAndRemoveAttr(el, 'v-else-if', true);\n // 1. checkbox\n var branch0 = cloneASTElement(el);\n // process for on the main node\n processFor(branch0);\n addRawAttr(branch0, 'type', 'checkbox');\n processElement(branch0, options);\n branch0.processed = true; // prevent it from double-processed\n branch0.if = \"(\" + typeBinding + \")==='checkbox'\" + ifConditionExtra;\n addIfCondition(branch0, {\n exp: branch0.if,\n block: branch0\n });\n // 2. add radio else-if condition\n var branch1 = cloneASTElement(el);\n getAndRemoveAttr(branch1, 'v-for', true);\n addRawAttr(branch1, 'type', 'radio');\n processElement(branch1, options);\n addIfCondition(branch0, {\n exp: \"(\" + typeBinding + \")==='radio'\" + ifConditionExtra,\n block: branch1\n });\n // 3. other\n var branch2 = cloneASTElement(el);\n getAndRemoveAttr(branch2, 'v-for', true);\n addRawAttr(branch2, ':type', typeBinding);\n processElement(branch2, options);\n addIfCondition(branch0, {\n exp: ifCondition,\n block: branch2\n });\n\n if (hasElse) {\n branch0.else = true;\n } else if (elseIfCondition) {\n branch0.elseif = elseIfCondition;\n }\n\n return branch0\n }\n }\n}\n\nfunction cloneASTElement (el) {\n return createASTElement(el.tag, el.attrsList.slice(), el.parent)\n}\n\nvar model$1 = {\n preTransformNode: preTransformNode\n};\n\nvar modules$1 = [\n klass$1,\n style$1,\n model$1\n];\n\n/* */\n\nfunction text (el, dir) {\n if (dir.value) {\n addProp(el, 'textContent', (\"_s(\" + (dir.value) + \")\"), dir);\n }\n}\n\n/* */\n\nfunction html (el, dir) {\n if (dir.value) {\n addProp(el, 'innerHTML', (\"_s(\" + (dir.value) + \")\"), dir);\n }\n}\n\nvar directives$1 = {\n model: model,\n text: text,\n html: html\n};\n\n/* */\n\nvar baseOptions = {\n expectHTML: true,\n modules: modules$1,\n directives: directives$1,\n isPreTag: isPreTag,\n isUnaryTag: isUnaryTag,\n mustUseProp: mustUseProp,\n canBeLeftOpenTag: canBeLeftOpenTag,\n isReservedTag: isReservedTag,\n getTagNamespace: getTagNamespace,\n staticKeys: genStaticKeys(modules$1)\n};\n\n/* */\n\nvar isStaticKey;\nvar isPlatformReservedTag;\n\nvar genStaticKeysCached = cached(genStaticKeys$1);\n\n/**\n * Goal of the optimizer: walk the generated template AST tree\n * and detect sub-trees that are purely static, i.e. parts of\n * the DOM that never needs to change.\n *\n * Once we detect these sub-trees, we can:\n *\n * 1. Hoist them into constants, so that we no longer need to\n * create fresh nodes for them on each re-render;\n * 2. Completely skip them in the patching process.\n */\nfunction optimize (root, options) {\n if (!root) { return }\n isStaticKey = genStaticKeysCached(options.staticKeys || '');\n isPlatformReservedTag = options.isReservedTag || no;\n // first pass: mark all non-static nodes.\n markStatic$1(root);\n // second pass: mark static roots.\n markStaticRoots(root, false);\n}\n\nfunction genStaticKeys$1 (keys) {\n return makeMap(\n 'type,tag,attrsList,attrsMap,plain,parent,children,attrs,start,end,rawAttrsMap' +\n (keys ? ',' + keys : '')\n )\n}\n\nfunction markStatic$1 (node) {\n node.static = isStatic(node);\n if (node.type === 1) {\n // do not make component slot content static. this avoids\n // 1. components not able to mutate slot nodes\n // 2. static slot content fails for hot-reloading\n if (\n !isPlatformReservedTag(node.tag) &&\n node.tag !== 'slot' &&\n node.attrsMap['inline-template'] == null\n ) {\n return\n }\n for (var i = 0, l = node.children.length; i < l; i++) {\n var child = node.children[i];\n markStatic$1(child);\n if (!child.static) {\n node.static = false;\n }\n }\n if (node.ifConditions) {\n for (var i$1 = 1, l$1 = node.ifConditions.length; i$1 < l$1; i$1++) {\n var block = node.ifConditions[i$1].block;\n markStatic$1(block);\n if (!block.static) {\n node.static = false;\n }\n }\n }\n }\n}\n\nfunction markStaticRoots (node, isInFor) {\n if (node.type === 1) {\n if (node.static || node.once) {\n node.staticInFor = isInFor;\n }\n // For a node to qualify as a static root, it should have children that\n // are not just static text. Otherwise the cost of hoisting out will\n // outweigh the benefits and it's better off to just always render it fresh.\n if (node.static && node.children.length && !(\n node.children.length === 1 &&\n node.children[0].type === 3\n )) {\n node.staticRoot = true;\n return\n } else {\n node.staticRoot = false;\n }\n if (node.children) {\n for (var i = 0, l = node.children.length; i < l; i++) {\n markStaticRoots(node.children[i], isInFor || !!node.for);\n }\n }\n if (node.ifConditions) {\n for (var i$1 = 1, l$1 = node.ifConditions.length; i$1 < l$1; i$1++) {\n markStaticRoots(node.ifConditions[i$1].block, isInFor);\n }\n }\n }\n}\n\nfunction isStatic (node) {\n if (node.type === 2) { // expression\n return false\n }\n if (node.type === 3) { // text\n return true\n }\n return !!(node.pre || (\n !node.hasBindings && // no dynamic bindings\n !node.if && !node.for && // not v-if or v-for or v-else\n !isBuiltInTag(node.tag) && // not a built-in\n isPlatformReservedTag(node.tag) && // not a component\n !isDirectChildOfTemplateFor(node) &&\n Object.keys(node).every(isStaticKey)\n ))\n}\n\nfunction isDirectChildOfTemplateFor (node) {\n while (node.parent) {\n node = node.parent;\n if (node.tag !== 'template') {\n return false\n }\n if (node.for) {\n return true\n }\n }\n return false\n}\n\n/* */\n\nvar fnExpRE = /^([\\w$_]+|\\([^)]*?\\))\\s*=>|^function(?:\\s+[\\w$]+)?\\s*\\(/;\nvar fnInvokeRE = /\\([^)]*?\\);*$/;\nvar simplePathRE = /^[A-Za-z_$][\\w$]*(?:\\.[A-Za-z_$][\\w$]*|\\['[^']*?']|\\[\"[^\"]*?\"]|\\[\\d+]|\\[[A-Za-z_$][\\w$]*])*$/;\n\n// KeyboardEvent.keyCode aliases\nvar keyCodes = {\n esc: 27,\n tab: 9,\n enter: 13,\n space: 32,\n up: 38,\n left: 37,\n right: 39,\n down: 40,\n 'delete': [8, 46]\n};\n\n// KeyboardEvent.key aliases\nvar keyNames = {\n // #7880: IE11 and Edge use `Esc` for Escape key name.\n esc: ['Esc', 'Escape'],\n tab: 'Tab',\n enter: 'Enter',\n // #9112: IE11 uses `Spacebar` for Space key name.\n space: [' ', 'Spacebar'],\n // #7806: IE11 uses key names without `Arrow` prefix for arrow keys.\n up: ['Up', 'ArrowUp'],\n left: ['Left', 'ArrowLeft'],\n right: ['Right', 'ArrowRight'],\n down: ['Down', 'ArrowDown'],\n // #9112: IE11 uses `Del` for Delete key name.\n 'delete': ['Backspace', 'Delete', 'Del']\n};\n\n// #4868: modifiers that prevent the execution of the listener\n// need to explicitly return null so that we can determine whether to remove\n// the listener for .once\nvar genGuard = function (condition) { return (\"if(\" + condition + \")return null;\"); };\n\nvar modifierCode = {\n stop: '$event.stopPropagation();',\n prevent: '$event.preventDefault();',\n self: genGuard(\"$event.target !== $event.currentTarget\"),\n ctrl: genGuard(\"!$event.ctrlKey\"),\n shift: genGuard(\"!$event.shiftKey\"),\n alt: genGuard(\"!$event.altKey\"),\n meta: genGuard(\"!$event.metaKey\"),\n left: genGuard(\"'button' in $event && $event.button !== 0\"),\n middle: genGuard(\"'button' in $event && $event.button !== 1\"),\n right: genGuard(\"'button' in $event && $event.button !== 2\")\n};\n\nfunction genHandlers (\n events,\n isNative\n) {\n var prefix = isNative ? 'nativeOn:' : 'on:';\n var staticHandlers = \"\";\n var dynamicHandlers = \"\";\n for (var name in events) {\n var handlerCode = genHandler(events[name]);\n if (events[name] && events[name].dynamic) {\n dynamicHandlers += name + \",\" + handlerCode + \",\";\n } else {\n staticHandlers += \"\\\"\" + name + \"\\\":\" + handlerCode + \",\";\n }\n }\n staticHandlers = \"{\" + (staticHandlers.slice(0, -1)) + \"}\";\n if (dynamicHandlers) {\n return prefix + \"_d(\" + staticHandlers + \",[\" + (dynamicHandlers.slice(0, -1)) + \"])\"\n } else {\n return prefix + staticHandlers\n }\n}\n\nfunction genHandler (handler) {\n if (!handler) {\n return 'function(){}'\n }\n\n if (Array.isArray(handler)) {\n return (\"[\" + (handler.map(function (handler) { return genHandler(handler); }).join(',')) + \"]\")\n }\n\n var isMethodPath = simplePathRE.test(handler.value);\n var isFunctionExpression = fnExpRE.test(handler.value);\n var isFunctionInvocation = simplePathRE.test(handler.value.replace(fnInvokeRE, ''));\n\n if (!handler.modifiers) {\n if (isMethodPath || isFunctionExpression) {\n return handler.value\n }\n return (\"function($event){\" + (isFunctionInvocation ? (\"return \" + (handler.value)) : handler.value) + \"}\") // inline statement\n } else {\n var code = '';\n var genModifierCode = '';\n var keys = [];\n for (var key in handler.modifiers) {\n if (modifierCode[key]) {\n genModifierCode += modifierCode[key];\n // left/right\n if (keyCodes[key]) {\n keys.push(key);\n }\n } else if (key === 'exact') {\n var modifiers = (handler.modifiers);\n genModifierCode += genGuard(\n ['ctrl', 'shift', 'alt', 'meta']\n .filter(function (keyModifier) { return !modifiers[keyModifier]; })\n .map(function (keyModifier) { return (\"$event.\" + keyModifier + \"Key\"); })\n .join('||')\n );\n } else {\n keys.push(key);\n }\n }\n if (keys.length) {\n code += genKeyFilter(keys);\n }\n // Make sure modifiers like prevent and stop get executed after key filtering\n if (genModifierCode) {\n code += genModifierCode;\n }\n var handlerCode = isMethodPath\n ? (\"return \" + (handler.value) + \"($event)\")\n : isFunctionExpression\n ? (\"return (\" + (handler.value) + \")($event)\")\n : isFunctionInvocation\n ? (\"return \" + (handler.value))\n : handler.value;\n return (\"function($event){\" + code + handlerCode + \"}\")\n }\n}\n\nfunction genKeyFilter (keys) {\n return (\n // make sure the key filters only apply to KeyboardEvents\n // #9441: can't use 'keyCode' in $event because Chrome autofill fires fake\n // key events that do not have keyCode property...\n \"if(!$event.type.indexOf('key')&&\" +\n (keys.map(genFilterCode).join('&&')) + \")return null;\"\n )\n}\n\nfunction genFilterCode (key) {\n var keyVal = parseInt(key, 10);\n if (keyVal) {\n return (\"$event.keyCode!==\" + keyVal)\n }\n var keyCode = keyCodes[key];\n var keyName = keyNames[key];\n return (\n \"_k($event.keyCode,\" +\n (JSON.stringify(key)) + \",\" +\n (JSON.stringify(keyCode)) + \",\" +\n \"$event.key,\" +\n \"\" + (JSON.stringify(keyName)) +\n \")\"\n )\n}\n\n/* */\n\nfunction on (el, dir) {\n if (process.env.NODE_ENV !== 'production' && dir.modifiers) {\n warn(\"v-on without argument does not support modifiers.\");\n }\n el.wrapListeners = function (code) { return (\"_g(\" + code + \",\" + (dir.value) + \")\"); };\n}\n\n/* */\n\nfunction bind$1 (el, dir) {\n el.wrapData = function (code) {\n return (\"_b(\" + code + \",'\" + (el.tag) + \"',\" + (dir.value) + \",\" + (dir.modifiers && dir.modifiers.prop ? 'true' : 'false') + (dir.modifiers && dir.modifiers.sync ? ',true' : '') + \")\")\n };\n}\n\n/* */\n\nvar baseDirectives = {\n on: on,\n bind: bind$1,\n cloak: noop\n};\n\n/* */\n\n\n\n\n\nvar CodegenState = function CodegenState (options) {\n this.options = options;\n this.warn = options.warn || baseWarn;\n this.transforms = pluckModuleFunction(options.modules, 'transformCode');\n this.dataGenFns = pluckModuleFunction(options.modules, 'genData');\n this.directives = extend(extend({}, baseDirectives), options.directives);\n var isReservedTag = options.isReservedTag || no;\n this.maybeComponent = function (el) { return !!el.component || !isReservedTag(el.tag); };\n this.onceId = 0;\n this.staticRenderFns = [];\n this.pre = false;\n};\n\n\n\nfunction generate (\n ast,\n options\n) {\n var state = new CodegenState(options);\n var code = ast ? genElement(ast, state) : '_c(\"div\")';\n return {\n render: (\"with(this){return \" + code + \"}\"),\n staticRenderFns: state.staticRenderFns\n }\n}\n\nfunction genElement (el, state) {\n if (el.parent) {\n el.pre = el.pre || el.parent.pre;\n }\n\n if (el.staticRoot && !el.staticProcessed) {\n return genStatic(el, state)\n } else if (el.once && !el.onceProcessed) {\n return genOnce(el, state)\n } else if (el.for && !el.forProcessed) {\n return genFor(el, state)\n } else if (el.if && !el.ifProcessed) {\n return genIf(el, state)\n } else if (el.tag === 'template' && !el.slotTarget && !state.pre) {\n return genChildren(el, state) || 'void 0'\n } else if (el.tag === 'slot') {\n return genSlot(el, state)\n } else {\n // component or element\n var code;\n if (el.component) {\n code = genComponent(el.component, el, state);\n } else {\n var data;\n if (!el.plain || (el.pre && state.maybeComponent(el))) {\n data = genData$2(el, state);\n }\n\n var children = el.inlineTemplate ? null : genChildren(el, state, true);\n code = \"_c('\" + (el.tag) + \"'\" + (data ? (\",\" + data) : '') + (children ? (\",\" + children) : '') + \")\";\n }\n // module transforms\n for (var i = 0; i < state.transforms.length; i++) {\n code = state.transforms[i](el, code);\n }\n return code\n }\n}\n\n// hoist static sub-trees out\nfunction genStatic (el, state) {\n el.staticProcessed = true;\n // Some elements (templates) need to behave differently inside of a v-pre\n // node. All pre nodes are static roots, so we can use this as a location to\n // wrap a state change and reset it upon exiting the pre node.\n var originalPreState = state.pre;\n if (el.pre) {\n state.pre = el.pre;\n }\n state.staticRenderFns.push((\"with(this){return \" + (genElement(el, state)) + \"}\"));\n state.pre = originalPreState;\n return (\"_m(\" + (state.staticRenderFns.length - 1) + (el.staticInFor ? ',true' : '') + \")\")\n}\n\n// v-once\nfunction genOnce (el, state) {\n el.onceProcessed = true;\n if (el.if && !el.ifProcessed) {\n return genIf(el, state)\n } else if (el.staticInFor) {\n var key = '';\n var parent = el.parent;\n while (parent) {\n if (parent.for) {\n key = parent.key;\n break\n }\n parent = parent.parent;\n }\n if (!key) {\n process.env.NODE_ENV !== 'production' && state.warn(\n \"v-once can only be used inside v-for that is keyed. \",\n el.rawAttrsMap['v-once']\n );\n return genElement(el, state)\n }\n return (\"_o(\" + (genElement(el, state)) + \",\" + (state.onceId++) + \",\" + key + \")\")\n } else {\n return genStatic(el, state)\n }\n}\n\nfunction genIf (\n el,\n state,\n altGen,\n altEmpty\n) {\n el.ifProcessed = true; // avoid recursion\n return genIfConditions(el.ifConditions.slice(), state, altGen, altEmpty)\n}\n\nfunction genIfConditions (\n conditions,\n state,\n altGen,\n altEmpty\n) {\n if (!conditions.length) {\n return altEmpty || '_e()'\n }\n\n var condition = conditions.shift();\n if (condition.exp) {\n return (\"(\" + (condition.exp) + \")?\" + (genTernaryExp(condition.block)) + \":\" + (genIfConditions(conditions, state, altGen, altEmpty)))\n } else {\n return (\"\" + (genTernaryExp(condition.block)))\n }\n\n // v-if with v-once should generate code like (a)?_m(0):_m(1)\n function genTernaryExp (el) {\n return altGen\n ? altGen(el, state)\n : el.once\n ? genOnce(el, state)\n : genElement(el, state)\n }\n}\n\nfunction genFor (\n el,\n state,\n altGen,\n altHelper\n) {\n var exp = el.for;\n var alias = el.alias;\n var iterator1 = el.iterator1 ? (\",\" + (el.iterator1)) : '';\n var iterator2 = el.iterator2 ? (\",\" + (el.iterator2)) : '';\n\n if (process.env.NODE_ENV !== 'production' &&\n state.maybeComponent(el) &&\n el.tag !== 'slot' &&\n el.tag !== 'template' &&\n !el.key\n ) {\n state.warn(\n \"<\" + (el.tag) + \" v-for=\\\"\" + alias + \" in \" + exp + \"\\\">: component lists rendered with \" +\n \"v-for should have explicit keys. \" +\n \"See https://vuejs.org/guide/list.html#key for more info.\",\n el.rawAttrsMap['v-for'],\n true /* tip */\n );\n }\n\n el.forProcessed = true; // avoid recursion\n return (altHelper || '_l') + \"((\" + exp + \"),\" +\n \"function(\" + alias + iterator1 + iterator2 + \"){\" +\n \"return \" + ((altGen || genElement)(el, state)) +\n '})'\n}\n\nfunction genData$2 (el, state) {\n var data = '{';\n\n // directives first.\n // directives may mutate the el's other properties before they are generated.\n var dirs = genDirectives(el, state);\n if (dirs) { data += dirs + ','; }\n\n // key\n if (el.key) {\n data += \"key:\" + (el.key) + \",\";\n }\n // ref\n if (el.ref) {\n data += \"ref:\" + (el.ref) + \",\";\n }\n if (el.refInFor) {\n data += \"refInFor:true,\";\n }\n // pre\n if (el.pre) {\n data += \"pre:true,\";\n }\n // record original tag name for components using \"is\" attribute\n if (el.component) {\n data += \"tag:\\\"\" + (el.tag) + \"\\\",\";\n }\n // module data generation functions\n for (var i = 0; i < state.dataGenFns.length; i++) {\n data += state.dataGenFns[i](el);\n }\n // attributes\n if (el.attrs) {\n data += \"attrs:\" + (genProps(el.attrs)) + \",\";\n }\n // DOM props\n if (el.props) {\n data += \"domProps:\" + (genProps(el.props)) + \",\";\n }\n // event handlers\n if (el.events) {\n data += (genHandlers(el.events, false)) + \",\";\n }\n if (el.nativeEvents) {\n data += (genHandlers(el.nativeEvents, true)) + \",\";\n }\n // slot target\n // only for non-scoped slots\n if (el.slotTarget && !el.slotScope) {\n data += \"slot:\" + (el.slotTarget) + \",\";\n }\n // scoped slots\n if (el.scopedSlots) {\n data += (genScopedSlots(el, el.scopedSlots, state)) + \",\";\n }\n // component v-model\n if (el.model) {\n data += \"model:{value:\" + (el.model.value) + \",callback:\" + (el.model.callback) + \",expression:\" + (el.model.expression) + \"},\";\n }\n // inline-template\n if (el.inlineTemplate) {\n var inlineTemplate = genInlineTemplate(el, state);\n if (inlineTemplate) {\n data += inlineTemplate + \",\";\n }\n }\n data = data.replace(/,$/, '') + '}';\n // v-bind dynamic argument wrap\n // v-bind with dynamic arguments must be applied using the same v-bind object\n // merge helper so that class/style/mustUseProp attrs are handled correctly.\n if (el.dynamicAttrs) {\n data = \"_b(\" + data + \",\\\"\" + (el.tag) + \"\\\",\" + (genProps(el.dynamicAttrs)) + \")\";\n }\n // v-bind data wrap\n if (el.wrapData) {\n data = el.wrapData(data);\n }\n // v-on data wrap\n if (el.wrapListeners) {\n data = el.wrapListeners(data);\n }\n return data\n}\n\nfunction genDirectives (el, state) {\n var dirs = el.directives;\n if (!dirs) { return }\n var res = 'directives:[';\n var hasRuntime = false;\n var i, l, dir, needRuntime;\n for (i = 0, l = dirs.length; i < l; i++) {\n dir = dirs[i];\n needRuntime = true;\n var gen = state.directives[dir.name];\n if (gen) {\n // compile-time directive that manipulates AST.\n // returns true if it also needs a runtime counterpart.\n needRuntime = !!gen(el, dir, state.warn);\n }\n if (needRuntime) {\n hasRuntime = true;\n res += \"{name:\\\"\" + (dir.name) + \"\\\",rawName:\\\"\" + (dir.rawName) + \"\\\"\" + (dir.value ? (\",value:(\" + (dir.value) + \"),expression:\" + (JSON.stringify(dir.value))) : '') + (dir.arg ? (\",arg:\" + (dir.isDynamicArg ? dir.arg : (\"\\\"\" + (dir.arg) + \"\\\"\"))) : '') + (dir.modifiers ? (\",modifiers:\" + (JSON.stringify(dir.modifiers))) : '') + \"},\";\n }\n }\n if (hasRuntime) {\n return res.slice(0, -1) + ']'\n }\n}\n\nfunction genInlineTemplate (el, state) {\n var ast = el.children[0];\n if (process.env.NODE_ENV !== 'production' && (\n el.children.length !== 1 || ast.type !== 1\n )) {\n state.warn(\n 'Inline-template components must have exactly one child element.',\n { start: el.start }\n );\n }\n if (ast && ast.type === 1) {\n var inlineRenderFns = generate(ast, state.options);\n return (\"inlineTemplate:{render:function(){\" + (inlineRenderFns.render) + \"},staticRenderFns:[\" + (inlineRenderFns.staticRenderFns.map(function (code) { return (\"function(){\" + code + \"}\"); }).join(',')) + \"]}\")\n }\n}\n\nfunction genScopedSlots (\n el,\n slots,\n state\n) {\n // by default scoped slots are considered \"stable\", this allows child\n // components with only scoped slots to skip forced updates from parent.\n // but in some cases we have to bail-out of this optimization\n // for example if the slot contains dynamic names, has v-if or v-for on them...\n var needsForceUpdate = el.for || Object.keys(slots).some(function (key) {\n var slot = slots[key];\n return (\n slot.slotTargetDynamic ||\n slot.if ||\n slot.for ||\n containsSlotChild(slot) // is passing down slot from parent which may be dynamic\n )\n });\n\n // #9534: if a component with scoped slots is inside a conditional branch,\n // it's possible for the same component to be reused but with different\n // compiled slot content. To avoid that, we generate a unique key based on\n // the generated code of all the slot contents.\n var needsKey = !!el.if;\n\n // OR when it is inside another scoped slot or v-for (the reactivity may be\n // disconnected due to the intermediate scope variable)\n // #9438, #9506\n // TODO: this can be further optimized by properly analyzing in-scope bindings\n // and skip force updating ones that do not actually use scope variables.\n if (!needsForceUpdate) {\n var parent = el.parent;\n while (parent) {\n if (\n (parent.slotScope && parent.slotScope !== emptySlotScopeToken) ||\n parent.for\n ) {\n needsForceUpdate = true;\n break\n }\n if (parent.if) {\n needsKey = true;\n }\n parent = parent.parent;\n }\n }\n\n var generatedSlots = Object.keys(slots)\n .map(function (key) { return genScopedSlot(slots[key], state); })\n .join(',');\n\n return (\"scopedSlots:_u([\" + generatedSlots + \"]\" + (needsForceUpdate ? \",null,true\" : \"\") + (!needsForceUpdate && needsKey ? (\",null,false,\" + (hash(generatedSlots))) : \"\") + \")\")\n}\n\nfunction hash(str) {\n var hash = 5381;\n var i = str.length;\n while(i) {\n hash = (hash * 33) ^ str.charCodeAt(--i);\n }\n return hash >>> 0\n}\n\nfunction containsSlotChild (el) {\n if (el.type === 1) {\n if (el.tag === 'slot') {\n return true\n }\n return el.children.some(containsSlotChild)\n }\n return false\n}\n\nfunction genScopedSlot (\n el,\n state\n) {\n var isLegacySyntax = el.attrsMap['slot-scope'];\n if (el.if && !el.ifProcessed && !isLegacySyntax) {\n return genIf(el, state, genScopedSlot, \"null\")\n }\n if (el.for && !el.forProcessed) {\n return genFor(el, state, genScopedSlot)\n }\n var slotScope = el.slotScope === emptySlotScopeToken\n ? \"\"\n : String(el.slotScope);\n var fn = \"function(\" + slotScope + \"){\" +\n \"return \" + (el.tag === 'template'\n ? el.if && isLegacySyntax\n ? (\"(\" + (el.if) + \")?\" + (genChildren(el, state) || 'undefined') + \":undefined\")\n : genChildren(el, state) || 'undefined'\n : genElement(el, state)) + \"}\";\n // reverse proxy v-slot without scope on this.$slots\n var reverseProxy = slotScope ? \"\" : \",proxy:true\";\n return (\"{key:\" + (el.slotTarget || \"\\\"default\\\"\") + \",fn:\" + fn + reverseProxy + \"}\")\n}\n\nfunction genChildren (\n el,\n state,\n checkSkip,\n altGenElement,\n altGenNode\n) {\n var children = el.children;\n if (children.length) {\n var el$1 = children[0];\n // optimize single v-for\n if (children.length === 1 &&\n el$1.for &&\n el$1.tag !== 'template' &&\n el$1.tag !== 'slot'\n ) {\n var normalizationType = checkSkip\n ? state.maybeComponent(el$1) ? \",1\" : \",0\"\n : \"\";\n return (\"\" + ((altGenElement || genElement)(el$1, state)) + normalizationType)\n }\n var normalizationType$1 = checkSkip\n ? getNormalizationType(children, state.maybeComponent)\n : 0;\n var gen = altGenNode || genNode;\n return (\"[\" + (children.map(function (c) { return gen(c, state); }).join(',')) + \"]\" + (normalizationType$1 ? (\",\" + normalizationType$1) : ''))\n }\n}\n\n// determine the normalization needed for the children array.\n// 0: no normalization needed\n// 1: simple normalization needed (possible 1-level deep nested array)\n// 2: full normalization needed\nfunction getNormalizationType (\n children,\n maybeComponent\n) {\n var res = 0;\n for (var i = 0; i < children.length; i++) {\n var el = children[i];\n if (el.type !== 1) {\n continue\n }\n if (needsNormalization(el) ||\n (el.ifConditions && el.ifConditions.some(function (c) { return needsNormalization(c.block); }))) {\n res = 2;\n break\n }\n if (maybeComponent(el) ||\n (el.ifConditions && el.ifConditions.some(function (c) { return maybeComponent(c.block); }))) {\n res = 1;\n }\n }\n return res\n}\n\nfunction needsNormalization (el) {\n return el.for !== undefined || el.tag === 'template' || el.tag === 'slot'\n}\n\nfunction genNode (node, state) {\n if (node.type === 1) {\n return genElement(node, state)\n } else if (node.type === 3 && node.isComment) {\n return genComment(node)\n } else {\n return genText(node)\n }\n}\n\nfunction genText (text) {\n return (\"_v(\" + (text.type === 2\n ? text.expression // no need for () because already wrapped in _s()\n : transformSpecialNewlines(JSON.stringify(text.text))) + \")\")\n}\n\nfunction genComment (comment) {\n return (\"_e(\" + (JSON.stringify(comment.text)) + \")\")\n}\n\nfunction genSlot (el, state) {\n var slotName = el.slotName || '\"default\"';\n var children = genChildren(el, state);\n var res = \"_t(\" + slotName + (children ? (\",\" + children) : '');\n var attrs = el.attrs || el.dynamicAttrs\n ? genProps((el.attrs || []).concat(el.dynamicAttrs || []).map(function (attr) { return ({\n // slot props are camelized\n name: camelize(attr.name),\n value: attr.value,\n dynamic: attr.dynamic\n }); }))\n : null;\n var bind$$1 = el.attrsMap['v-bind'];\n if ((attrs || bind$$1) && !children) {\n res += \",null\";\n }\n if (attrs) {\n res += \",\" + attrs;\n }\n if (bind$$1) {\n res += (attrs ? '' : ',null') + \",\" + bind$$1;\n }\n return res + ')'\n}\n\n// componentName is el.component, take it as argument to shun flow's pessimistic refinement\nfunction genComponent (\n componentName,\n el,\n state\n) {\n var children = el.inlineTemplate ? null : genChildren(el, state, true);\n return (\"_c(\" + componentName + \",\" + (genData$2(el, state)) + (children ? (\",\" + children) : '') + \")\")\n}\n\nfunction genProps (props) {\n var staticProps = \"\";\n var dynamicProps = \"\";\n for (var i = 0; i < props.length; i++) {\n var prop = props[i];\n var value = transformSpecialNewlines(prop.value);\n if (prop.dynamic) {\n dynamicProps += (prop.name) + \",\" + value + \",\";\n } else {\n staticProps += \"\\\"\" + (prop.name) + \"\\\":\" + value + \",\";\n }\n }\n staticProps = \"{\" + (staticProps.slice(0, -1)) + \"}\";\n if (dynamicProps) {\n return (\"_d(\" + staticProps + \",[\" + (dynamicProps.slice(0, -1)) + \"])\")\n } else {\n return staticProps\n }\n}\n\n// #3895, #4268\nfunction transformSpecialNewlines (text) {\n return text\n .replace(/\\u2028/g, '\\\\u2028')\n .replace(/\\u2029/g, '\\\\u2029')\n}\n\n/* */\n\n\n\n// these keywords should not appear inside expressions, but operators like\n// typeof, instanceof and in are allowed\nvar prohibitedKeywordRE = new RegExp('\\\\b' + (\n 'do,if,for,let,new,try,var,case,else,with,await,break,catch,class,const,' +\n 'super,throw,while,yield,delete,export,import,return,switch,default,' +\n 'extends,finally,continue,debugger,function,arguments'\n).split(',').join('\\\\b|\\\\b') + '\\\\b');\n\n// these unary operators should not be used as property/method names\nvar unaryOperatorsRE = new RegExp('\\\\b' + (\n 'delete,typeof,void'\n).split(',').join('\\\\s*\\\\([^\\\\)]*\\\\)|\\\\b') + '\\\\s*\\\\([^\\\\)]*\\\\)');\n\n// strip strings in expressions\nvar stripStringRE = /'(?:[^'\\\\]|\\\\.)*'|\"(?:[^\"\\\\]|\\\\.)*\"|`(?:[^`\\\\]|\\\\.)*\\$\\{|\\}(?:[^`\\\\]|\\\\.)*`|`(?:[^`\\\\]|\\\\.)*`/g;\n\n// detect problematic expressions in a template\nfunction detectErrors (ast, warn) {\n if (ast) {\n checkNode(ast, warn);\n }\n}\n\nfunction checkNode (node, warn) {\n if (node.type === 1) {\n for (var name in node.attrsMap) {\n if (dirRE.test(name)) {\n var value = node.attrsMap[name];\n if (value) {\n var range = node.rawAttrsMap[name];\n if (name === 'v-for') {\n checkFor(node, (\"v-for=\\\"\" + value + \"\\\"\"), warn, range);\n } else if (name === 'v-slot' || name[0] === '#') {\n checkFunctionParameterExpression(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n } else if (onRE.test(name)) {\n checkEvent(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n } else {\n checkExpression(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n }\n }\n }\n }\n if (node.children) {\n for (var i = 0; i < node.children.length; i++) {\n checkNode(node.children[i], warn);\n }\n }\n } else if (node.type === 2) {\n checkExpression(node.expression, node.text, warn, node);\n }\n}\n\nfunction checkEvent (exp, text, warn, range) {\n var stripped = exp.replace(stripStringRE, '');\n var keywordMatch = stripped.match(unaryOperatorsRE);\n if (keywordMatch && stripped.charAt(keywordMatch.index - 1) !== '$') {\n warn(\n \"avoid using JavaScript unary operator as property name: \" +\n \"\\\"\" + (keywordMatch[0]) + \"\\\" in expression \" + (text.trim()),\n range\n );\n }\n checkExpression(exp, text, warn, range);\n}\n\nfunction checkFor (node, text, warn, range) {\n checkExpression(node.for || '', text, warn, range);\n checkIdentifier(node.alias, 'v-for alias', text, warn, range);\n checkIdentifier(node.iterator1, 'v-for iterator', text, warn, range);\n checkIdentifier(node.iterator2, 'v-for iterator', text, warn, range);\n}\n\nfunction checkIdentifier (\n ident,\n type,\n text,\n warn,\n range\n) {\n if (typeof ident === 'string') {\n try {\n new Function((\"var \" + ident + \"=_\"));\n } catch (e) {\n warn((\"invalid \" + type + \" \\\"\" + ident + \"\\\" in expression: \" + (text.trim())), range);\n }\n }\n}\n\nfunction checkExpression (exp, text, warn, range) {\n try {\n new Function((\"return \" + exp));\n } catch (e) {\n var keywordMatch = exp.replace(stripStringRE, '').match(prohibitedKeywordRE);\n if (keywordMatch) {\n warn(\n \"avoid using JavaScript keyword as property name: \" +\n \"\\\"\" + (keywordMatch[0]) + \"\\\"\\n Raw expression: \" + (text.trim()),\n range\n );\n } else {\n warn(\n \"invalid expression: \" + (e.message) + \" in\\n\\n\" +\n \" \" + exp + \"\\n\\n\" +\n \" Raw expression: \" + (text.trim()) + \"\\n\",\n range\n );\n }\n }\n}\n\nfunction checkFunctionParameterExpression (exp, text, warn, range) {\n try {\n new Function(exp, '');\n } catch (e) {\n warn(\n \"invalid function parameter expression: \" + (e.message) + \" in\\n\\n\" +\n \" \" + exp + \"\\n\\n\" +\n \" Raw expression: \" + (text.trim()) + \"\\n\",\n range\n );\n }\n}\n\n/* */\n\nvar range = 2;\n\nfunction generateCodeFrame (\n source,\n start,\n end\n) {\n if ( start === void 0 ) start = 0;\n if ( end === void 0 ) end = source.length;\n\n var lines = source.split(/\\r?\\n/);\n var count = 0;\n var res = [];\n for (var i = 0; i < lines.length; i++) {\n count += lines[i].length + 1;\n if (count >= start) {\n for (var j = i - range; j <= i + range || end > count; j++) {\n if (j < 0 || j >= lines.length) { continue }\n res.push((\"\" + (j + 1) + (repeat$1(\" \", 3 - String(j + 1).length)) + \"| \" + (lines[j])));\n var lineLength = lines[j].length;\n if (j === i) {\n // push underline\n var pad = start - (count - lineLength) + 1;\n var length = end > count ? lineLength - pad : end - start;\n res.push(\" | \" + repeat$1(\" \", pad) + repeat$1(\"^\", length));\n } else if (j > i) {\n if (end > count) {\n var length$1 = Math.min(end - count, lineLength);\n res.push(\" | \" + repeat$1(\"^\", length$1));\n }\n count += lineLength + 1;\n }\n }\n break\n }\n }\n return res.join('\\n')\n}\n\nfunction repeat$1 (str, n) {\n var result = '';\n if (n > 0) {\n while (true) { // eslint-disable-line\n if (n & 1) { result += str; }\n n >>>= 1;\n if (n <= 0) { break }\n str += str;\n }\n }\n return result\n}\n\n/* */\n\n\n\nfunction createFunction (code, errors) {\n try {\n return new Function(code)\n } catch (err) {\n errors.push({ err: err, code: code });\n return noop\n }\n}\n\nfunction createCompileToFunctionFn (compile) {\n var cache = Object.create(null);\n\n return function compileToFunctions (\n template,\n options,\n vm\n ) {\n options = extend({}, options);\n var warn$$1 = options.warn || warn;\n delete options.warn;\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n // detect possible CSP restriction\n try {\n new Function('return 1');\n } catch (e) {\n if (e.toString().match(/unsafe-eval|CSP/)) {\n warn$$1(\n 'It seems you are using the standalone build of Vue.js in an ' +\n 'environment with Content Security Policy that prohibits unsafe-eval. ' +\n 'The template compiler cannot work in this environment. Consider ' +\n 'relaxing the policy to allow unsafe-eval or pre-compiling your ' +\n 'templates into render functions.'\n );\n }\n }\n }\n\n // check cache\n var key = options.delimiters\n ? String(options.delimiters) + template\n : template;\n if (cache[key]) {\n return cache[key]\n }\n\n // compile\n var compiled = compile(template, options);\n\n // check compilation errors/tips\n if (process.env.NODE_ENV !== 'production') {\n if (compiled.errors && compiled.errors.length) {\n if (options.outputSourceRange) {\n compiled.errors.forEach(function (e) {\n warn$$1(\n \"Error compiling template:\\n\\n\" + (e.msg) + \"\\n\\n\" +\n generateCodeFrame(template, e.start, e.end),\n vm\n );\n });\n } else {\n warn$$1(\n \"Error compiling template:\\n\\n\" + template + \"\\n\\n\" +\n compiled.errors.map(function (e) { return (\"- \" + e); }).join('\\n') + '\\n',\n vm\n );\n }\n }\n if (compiled.tips && compiled.tips.length) {\n if (options.outputSourceRange) {\n compiled.tips.forEach(function (e) { return tip(e.msg, vm); });\n } else {\n compiled.tips.forEach(function (msg) { return tip(msg, vm); });\n }\n }\n }\n\n // turn code into functions\n var res = {};\n var fnGenErrors = [];\n res.render = createFunction(compiled.render, fnGenErrors);\n res.staticRenderFns = compiled.staticRenderFns.map(function (code) {\n return createFunction(code, fnGenErrors)\n });\n\n // check function generation errors.\n // this should only happen if there is a bug in the compiler itself.\n // mostly for codegen development use\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n if ((!compiled.errors || !compiled.errors.length) && fnGenErrors.length) {\n warn$$1(\n \"Failed to generate render function:\\n\\n\" +\n fnGenErrors.map(function (ref) {\n var err = ref.err;\n var code = ref.code;\n\n return ((err.toString()) + \" in\\n\\n\" + code + \"\\n\");\n }).join('\\n'),\n vm\n );\n }\n }\n\n return (cache[key] = res)\n }\n}\n\n/* */\n\nfunction createCompilerCreator (baseCompile) {\n return function createCompiler (baseOptions) {\n function compile (\n template,\n options\n ) {\n var finalOptions = Object.create(baseOptions);\n var errors = [];\n var tips = [];\n\n var warn = function (msg, range, tip) {\n (tip ? tips : errors).push(msg);\n };\n\n if (options) {\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n // $flow-disable-line\n var leadingSpaceLength = template.match(/^\\s*/)[0].length;\n\n warn = function (msg, range, tip) {\n var data = { msg: msg };\n if (range) {\n if (range.start != null) {\n data.start = range.start + leadingSpaceLength;\n }\n if (range.end != null) {\n data.end = range.end + leadingSpaceLength;\n }\n }\n (tip ? tips : errors).push(data);\n };\n }\n // merge custom modules\n if (options.modules) {\n finalOptions.modules =\n (baseOptions.modules || []).concat(options.modules);\n }\n // merge custom directives\n if (options.directives) {\n finalOptions.directives = extend(\n Object.create(baseOptions.directives || null),\n options.directives\n );\n }\n // copy other options\n for (var key in options) {\n if (key !== 'modules' && key !== 'directives') {\n finalOptions[key] = options[key];\n }\n }\n }\n\n finalOptions.warn = warn;\n\n var compiled = baseCompile(template.trim(), finalOptions);\n if (process.env.NODE_ENV !== 'production') {\n detectErrors(compiled.ast, warn);\n }\n compiled.errors = errors;\n compiled.tips = tips;\n return compiled\n }\n\n return {\n compile: compile,\n compileToFunctions: createCompileToFunctionFn(compile)\n }\n }\n}\n\n/* */\n\n// `createCompilerCreator` allows creating compilers that use alternative\n// parser/optimizer/codegen, e.g the SSR optimizing compiler.\n// Here we just export a default compiler using the default parts.\nvar createCompiler = createCompilerCreator(function baseCompile (\n template,\n options\n) {\n var ast = parse(template.trim(), options);\n if (options.optimize !== false) {\n optimize(ast, options);\n }\n var code = generate(ast, options);\n return {\n ast: ast,\n render: code.render,\n staticRenderFns: code.staticRenderFns\n }\n});\n\n/* */\n\nvar ref$1 = createCompiler(baseOptions);\nvar compile = ref$1.compile;\nvar compileToFunctions = ref$1.compileToFunctions;\n\n/* */\n\n// check whether current browser encodes a char inside attribute values\nvar div;\nfunction getShouldDecode (href) {\n div = div || document.createElement('div');\n div.innerHTML = href ? \"<a href=\\\"\\n\\\"/>\" : \"<div a=\\\"\\n\\\"/>\";\n return div.innerHTML.indexOf('&#10;') > 0\n}\n\n// #3663: IE encodes newlines inside attribute values while other browsers don't\nvar shouldDecodeNewlines = inBrowser ? getShouldDecode(false) : false;\n// #6828: chrome encodes content in a[href]\nvar shouldDecodeNewlinesForHref = inBrowser ? getShouldDecode(true) : false;\n\n/* */\n\nvar idToTemplate = cached(function (id) {\n var el = query(id);\n return el && el.innerHTML\n});\n\nvar mount = Vue.prototype.$mount;\nVue.prototype.$mount = function (\n el,\n hydrating\n) {\n el = el && query(el);\n\n /* istanbul ignore if */\n if (el === document.body || el === document.documentElement) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Do not mount Vue to <html> or <body> - mount to normal elements instead.\"\n );\n return this\n }\n\n var options = this.$options;\n // resolve template/el and convert to render function\n if (!options.render) {\n var template = options.template;\n if (template) {\n if (typeof template === 'string') {\n if (template.charAt(0) === '#') {\n template = idToTemplate(template);\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && !template) {\n warn(\n (\"Template element not found or is empty: \" + (options.template)),\n this\n );\n }\n }\n } else if (template.nodeType) {\n template = template.innerHTML;\n } else {\n if (process.env.NODE_ENV !== 'production') {\n warn('invalid template option:' + template, this);\n }\n return this\n }\n } else if (el) {\n template = getOuterHTML(el);\n }\n if (template) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n mark('compile');\n }\n\n var ref = compileToFunctions(template, {\n outputSourceRange: process.env.NODE_ENV !== 'production',\n shouldDecodeNewlines: shouldDecodeNewlines,\n shouldDecodeNewlinesForHref: shouldDecodeNewlinesForHref,\n delimiters: options.delimiters,\n comments: options.comments\n }, this);\n var render = ref.render;\n var staticRenderFns = ref.staticRenderFns;\n options.render = render;\n options.staticRenderFns = staticRenderFns;\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n mark('compile end');\n measure((\"vue \" + (this._name) + \" compile\"), 'compile', 'compile end');\n }\n }\n }\n return mount.call(this, el, hydrating)\n};\n\n/**\n * Get outerHTML of elements, taking care\n * of SVG elements in IE as well.\n */\nfunction getOuterHTML (el) {\n if (el.outerHTML) {\n return el.outerHTML\n } else {\n var container = document.createElement('div');\n container.appendChild(el.cloneNode(true));\n return container.innerHTML\n }\n}\n\nVue.compile = compileToFunctions;\n\nexport default Vue;\n","var g;\n\n// This works in non-strict mode\ng = (function() {\n\treturn this;\n})();\n\ntry {\n\t// This works if eval is allowed (see CSP)\n\tg = g || new Function(\"return this\")();\n} catch (e) {\n\t// This works if the window reference is available\n\tif (typeof window === \"object\") g = window;\n}\n\n// g can still be undefined, but nothing to do about it...\n// We return undefined, instead of nothing here, so it's\n// easier to handle this case. if(!global) { ...}\n\nmodule.exports = g;\n","// shim for using process in browser\nvar process = module.exports = {};\n\n// cached from whatever global is present so that test runners that stub it\n// don't break things. But we need to wrap it in a try catch in case it is\n// wrapped in strict mode code which doesn't define any globals. It's inside a\n// function because try/catches deoptimize in certain engines.\n\nvar cachedSetTimeout;\nvar cachedClearTimeout;\n\nfunction defaultSetTimout() {\n throw new Error('setTimeout has not been defined');\n}\nfunction defaultClearTimeout () {\n throw new Error('clearTimeout has not been defined');\n}\n(function () {\n try {\n if (typeof setTimeout === 'function') {\n cachedSetTimeout = setTimeout;\n } else {\n cachedSetTimeout = defaultSetTimout;\n }\n } catch (e) {\n cachedSetTimeout = defaultSetTimout;\n }\n try {\n if (typeof clearTimeout === 'function') {\n cachedClearTimeout = clearTimeout;\n } else {\n cachedClearTimeout = defaultClearTimeout;\n }\n } catch (e) {\n cachedClearTimeout = defaultClearTimeout;\n }\n} ())\nfunction runTimeout(fun) {\n if (cachedSetTimeout === setTimeout) {\n //normal enviroments in sane situations\n return setTimeout(fun, 0);\n }\n // if setTimeout wasn't available but was latter defined\n if ((cachedSetTimeout === defaultSetTimout || !cachedSetTimeout) && setTimeout) {\n cachedSetTimeout = setTimeout;\n return setTimeout(fun, 0);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedSetTimeout(fun, 0);\n } catch(e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedSetTimeout.call(null, fun, 0);\n } catch(e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error\n return cachedSetTimeout.call(this, fun, 0);\n }\n }\n\n\n}\nfunction runClearTimeout(marker) {\n if (cachedClearTimeout === clearTimeout) {\n //normal enviroments in sane situations\n return clearTimeout(marker);\n }\n // if clearTimeout wasn't available but was latter defined\n if ((cachedClearTimeout === defaultClearTimeout || !cachedClearTimeout) && clearTimeout) {\n cachedClearTimeout = clearTimeout;\n return clearTimeout(marker);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedClearTimeout(marker);\n } catch (e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedClearTimeout.call(null, marker);\n } catch (e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error.\n // Some versions of I.E. have different rules for clearTimeout vs setTimeout\n return cachedClearTimeout.call(this, marker);\n }\n }\n\n\n\n}\nvar queue = [];\nvar draining = false;\nvar currentQueue;\nvar queueIndex = -1;\n\nfunction cleanUpNextTick() {\n if (!draining || !currentQueue) {\n return;\n }\n draining = false;\n if (currentQueue.length) {\n queue = currentQueue.concat(queue);\n } else {\n queueIndex = -1;\n }\n if (queue.length) {\n drainQueue();\n }\n}\n\nfunction drainQueue() {\n if (draining) {\n return;\n }\n var timeout = runTimeout(cleanUpNextTick);\n draining = true;\n\n var len = queue.length;\n while(len) {\n currentQueue = queue;\n queue = [];\n while (++queueIndex < len) {\n if (currentQueue) {\n currentQueue[queueIndex].run();\n }\n }\n queueIndex = -1;\n len = queue.length;\n }\n currentQueue = null;\n draining = false;\n runClearTimeout(timeout);\n}\n\nprocess.nextTick = function (fun) {\n var args = new Array(arguments.length - 1);\n if (arguments.length > 1) {\n for (var i = 1; i < arguments.length; i++) {\n args[i - 1] = arguments[i];\n }\n }\n queue.push(new Item(fun, args));\n if (queue.length === 1 && !draining) {\n runTimeout(drainQueue);\n }\n};\n\n// v8 likes predictible objects\nfunction Item(fun, array) {\n this.fun = fun;\n this.array = array;\n}\nItem.prototype.run = function () {\n this.fun.apply(null, this.array);\n};\nprocess.title = 'browser';\nprocess.browser = true;\nprocess.env = {};\nprocess.argv = [];\nprocess.version = ''; // empty string to avoid regexp issues\nprocess.versions = {};\n\nfunction noop() {}\n\nprocess.on = noop;\nprocess.addListener = noop;\nprocess.once = noop;\nprocess.off = noop;\nprocess.removeListener = noop;\nprocess.removeAllListeners = noop;\nprocess.emit = noop;\nprocess.prependListener = noop;\nprocess.prependOnceListener = noop;\n\nprocess.listeners = function (name) { return [] }\n\nprocess.binding = function (name) {\n throw new Error('process.binding is not supported');\n};\n\nprocess.cwd = function () { return '/' };\nprocess.chdir = function (dir) {\n throw new Error('process.chdir is not supported');\n};\nprocess.umask = function() { return 0; };\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.concat\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.loadState = loadState;\n\n/**\n * @param app app ID, e.g. \"mail\"\n * @param key name of the property\n * @throws if the key can't be found\n */\nfunction loadState(app, key) {\n var elem = document.querySelector(\"#initial-state-\".concat(app, \"-\").concat(key));\n\n if (elem === null) {\n throw new Error(\"Could not find initial state \".concat(key, \" of \").concat(app));\n }\n\n try {\n return JSON.parse(atob(elem.value));\n } catch (e) {\n throw new Error(\"Could not parse initial state \".concat(key, \" of \").concat(app));\n }\n}\n//# sourceMappingURL=index.js.map","\"use strict\";\n\n/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n*/\n// css base code, injected by the css-loader\n// eslint-disable-next-line func-names\nmodule.exports = function (useSourceMap) {\n var list = []; // return the list of modules as css string\n\n list.toString = function toString() {\n return this.map(function (item) {\n var content = cssWithMappingToString(item, useSourceMap);\n\n if (item[2]) {\n return \"@media \".concat(item[2], \" {\").concat(content, \"}\");\n }\n\n return content;\n }).join('');\n }; // import a list of modules into the list\n // eslint-disable-next-line func-names\n\n\n list.i = function (modules, mediaQuery, dedupe) {\n if (typeof modules === 'string') {\n // eslint-disable-next-line no-param-reassign\n modules = [[null, modules, '']];\n }\n\n var alreadyImportedModules = {};\n\n if (dedupe) {\n for (var i = 0; i < this.length; i++) {\n // eslint-disable-next-line prefer-destructuring\n var id = this[i][0];\n\n if (id != null) {\n alreadyImportedModules[id] = true;\n }\n }\n }\n\n for (var _i = 0; _i < modules.length; _i++) {\n var item = [].concat(modules[_i]);\n\n if (dedupe && alreadyImportedModules[item[0]]) {\n // eslint-disable-next-line no-continue\n continue;\n }\n\n if (mediaQuery) {\n if (!item[2]) {\n item[2] = mediaQuery;\n } else {\n item[2] = \"\".concat(mediaQuery, \" and \").concat(item[2]);\n }\n }\n\n list.push(item);\n }\n };\n\n return list;\n};\n\nfunction cssWithMappingToString(item, useSourceMap) {\n var content = item[1] || ''; // eslint-disable-next-line prefer-destructuring\n\n var cssMapping = item[3];\n\n if (!cssMapping) {\n return content;\n }\n\n if (useSourceMap && typeof btoa === 'function') {\n var sourceMapping = toComment(cssMapping);\n var sourceURLs = cssMapping.sources.map(function (source) {\n return \"/*# sourceURL=\".concat(cssMapping.sourceRoot || '').concat(source, \" */\");\n });\n return [content].concat(sourceURLs).concat([sourceMapping]).join('\\n');\n }\n\n return [content].join('\\n');\n} // Adapted from convert-source-map (MIT)\n\n\nfunction toComment(sourceMap) {\n // eslint-disable-next-line no-undef\n var base64 = btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap))));\n var data = \"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(base64);\n return \"/*# \".concat(data, \" */\");\n}","/**\n * Translates the list format produced by css-loader into something\n * easier to manipulate.\n */\nexport default function listToStyles (parentId, list) {\n var styles = []\n var newStyles = {}\n for (var i = 0; i < list.length; i++) {\n var item = list[i]\n var id = item[0]\n var css = item[1]\n var media = item[2]\n var sourceMap = item[3]\n var part = {\n id: parentId + ':' + i,\n css: css,\n media: media,\n sourceMap: sourceMap\n }\n if (!newStyles[id]) {\n styles.push(newStyles[id] = { id: id, parts: [part] })\n } else {\n newStyles[id].parts.push(part)\n }\n }\n return styles\n}\n","/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n Modified by Evan You @yyx990803\n*/\n\nimport listToStyles from './listToStyles'\n\nvar hasDocument = typeof document !== 'undefined'\n\nif (typeof DEBUG !== 'undefined' && DEBUG) {\n if (!hasDocument) {\n throw new Error(\n 'vue-style-loader cannot be used in a non-browser environment. ' +\n \"Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\"\n ) }\n}\n\n/*\ntype StyleObject = {\n id: number;\n parts: Array<StyleObjectPart>\n}\n\ntype StyleObjectPart = {\n css: string;\n media: string;\n sourceMap: ?string\n}\n*/\n\nvar stylesInDom = {/*\n [id: number]: {\n id: number,\n refs: number,\n parts: Array<(obj?: StyleObjectPart) => void>\n }\n*/}\n\nvar head = hasDocument && (document.head || document.getElementsByTagName('head')[0])\nvar singletonElement = null\nvar singletonCounter = 0\nvar isProduction = false\nvar noop = function () {}\nvar options = null\nvar ssrIdKey = 'data-vue-ssr-id'\n\n// Force single-tag solution on IE6-9, which has a hard limit on the # of <style>\n// tags it will allow on a page\nvar isOldIE = typeof navigator !== 'undefined' && /msie [6-9]\\b/.test(navigator.userAgent.toLowerCase())\n\nexport default function addStylesClient (parentId, list, _isProduction, _options) {\n isProduction = _isProduction\n\n options = _options || {}\n\n var styles = listToStyles(parentId, list)\n addStylesToDom(styles)\n\n return function update (newList) {\n var mayRemove = []\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n domStyle.refs--\n mayRemove.push(domStyle)\n }\n if (newList) {\n styles = listToStyles(parentId, newList)\n addStylesToDom(styles)\n } else {\n styles = []\n }\n for (var i = 0; i < mayRemove.length; i++) {\n var domStyle = mayRemove[i]\n if (domStyle.refs === 0) {\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j]()\n }\n delete stylesInDom[domStyle.id]\n }\n }\n }\n}\n\nfunction addStylesToDom (styles /* Array<StyleObject> */) {\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n if (domStyle) {\n domStyle.refs++\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j](item.parts[j])\n }\n for (; j < item.parts.length; j++) {\n domStyle.parts.push(addStyle(item.parts[j]))\n }\n if (domStyle.parts.length > item.parts.length) {\n domStyle.parts.length = item.parts.length\n }\n } else {\n var parts = []\n for (var j = 0; j < item.parts.length; j++) {\n parts.push(addStyle(item.parts[j]))\n }\n stylesInDom[item.id] = { id: item.id, refs: 1, parts: parts }\n }\n }\n}\n\nfunction createStyleElement () {\n var styleElement = document.createElement('style')\n styleElement.type = 'text/css'\n head.appendChild(styleElement)\n return styleElement\n}\n\nfunction addStyle (obj /* StyleObjectPart */) {\n var update, remove\n var styleElement = document.querySelector('style[' + ssrIdKey + '~=\"' + obj.id + '\"]')\n\n if (styleElement) {\n if (isProduction) {\n // has SSR styles and in production mode.\n // simply do nothing.\n return noop\n } else {\n // has SSR styles but in dev mode.\n // for some reason Chrome can't handle source map in server-rendered\n // style tags - source maps in <style> only works if the style tag is\n // created and inserted dynamically. So we remove the server rendered\n // styles and inject new ones.\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n if (isOldIE) {\n // use singleton mode for IE9.\n var styleIndex = singletonCounter++\n styleElement = singletonElement || (singletonElement = createStyleElement())\n update = applyToSingletonTag.bind(null, styleElement, styleIndex, false)\n remove = applyToSingletonTag.bind(null, styleElement, styleIndex, true)\n } else {\n // use multi-style-tag mode in all other cases\n styleElement = createStyleElement()\n update = applyToTag.bind(null, styleElement)\n remove = function () {\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n update(obj)\n\n return function updateStyle (newObj /* StyleObjectPart */) {\n if (newObj) {\n if (newObj.css === obj.css &&\n newObj.media === obj.media &&\n newObj.sourceMap === obj.sourceMap) {\n return\n }\n update(obj = newObj)\n } else {\n remove()\n }\n }\n}\n\nvar replaceText = (function () {\n var textStore = []\n\n return function (index, replacement) {\n textStore[index] = replacement\n return textStore.filter(Boolean).join('\\n')\n }\n})()\n\nfunction applyToSingletonTag (styleElement, index, remove, obj) {\n var css = remove ? '' : obj.css\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = replaceText(index, css)\n } else {\n var cssNode = document.createTextNode(css)\n var childNodes = styleElement.childNodes\n if (childNodes[index]) styleElement.removeChild(childNodes[index])\n if (childNodes.length) {\n styleElement.insertBefore(cssNode, childNodes[index])\n } else {\n styleElement.appendChild(cssNode)\n }\n }\n}\n\nfunction applyToTag (styleElement, obj) {\n var css = obj.css\n var media = obj.media\n var sourceMap = obj.sourceMap\n\n if (media) {\n styleElement.setAttribute('media', media)\n }\n if (options.ssrId) {\n styleElement.setAttribute(ssrIdKey, obj.id)\n }\n\n if (sourceMap) {\n // https://developer.chrome.com/devtools/docs/javascript-debugging\n // this makes source maps inside style tags work properly in Chrome\n css += '\\n/*# sourceURL=' + sourceMap.sources[0] + ' */'\n // http://stackoverflow.com/a/26603875\n css += '\\n/*# sourceMappingURL=data:application/json;base64,' + btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap)))) + ' */'\n }\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = css\n } else {\n while (styleElement.firstChild) {\n styleElement.removeChild(styleElement.firstChild)\n }\n styleElement.appendChild(document.createTextNode(css))\n }\n}\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar redefine = require('../internals/redefine');\nvar toString = require('../internals/object-to-string');\n\n// `Object.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nif (!TO_STRING_TAG_SUPPORT) {\n redefine(Object.prototype, 'toString', toString, { unsafe: true });\n}\n","'use strict';\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar addToUnscopables = require('../internals/add-to-unscopables');\nvar Iterators = require('../internals/iterators');\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar ARRAY_ITERATOR = 'Array Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(ARRAY_ITERATOR);\n\n// `Array.prototype.entries` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.entries\n// `Array.prototype.keys` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.keys\n// `Array.prototype.values` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.values\n// `Array.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@iterator\n// `CreateArrayIterator` internal method\n// https://tc39.github.io/ecma262/#sec-createarrayiterator\nmodule.exports = defineIterator(Array, 'Array', function (iterated, kind) {\n setInternalState(this, {\n type: ARRAY_ITERATOR,\n target: toIndexedObject(iterated), // target\n index: 0, // next index\n kind: kind // kind\n });\n// `%ArrayIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%arrayiteratorprototype%.next\n}, function () {\n var state = getInternalState(this);\n var target = state.target;\n var kind = state.kind;\n var index = state.index++;\n if (!target || index >= target.length) {\n state.target = undefined;\n return { value: undefined, done: true };\n }\n if (kind == 'keys') return { value: index, done: false };\n if (kind == 'values') return { value: target[index], done: false };\n return { value: [index, target[index]], done: false };\n}, 'values');\n\n// argumentsList[@@iterator] is %ArrayProto_values%\n// https://tc39.github.io/ecma262/#sec-createunmappedargumentsobject\n// https://tc39.github.io/ecma262/#sec-createmappedargumentsobject\nIterators.Arguments = Iterators.Array;\n\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\naddToUnscopables('keys');\naddToUnscopables('values');\naddToUnscopables('entries');\n","'use strict';\nvar $ = require('../internals/export');\nvar exec = require('../internals/regexp-exec');\n\n$({ target: 'RegExp', proto: true, forced: /./.exec !== exec }, {\n exec: exec\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar toPrimitive = require('../internals/to-primitive');\nvar has = require('../internals/has');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\n\nvar nativeGetOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// `Object.getOwnPropertyDescriptor` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertydescriptor\nexports.f = DESCRIPTORS ? nativeGetOwnPropertyDescriptor : function getOwnPropertyDescriptor(O, P) {\n O = toIndexedObject(O);\n P = toPrimitive(P, true);\n if (IE8_DOM_DEFINE) try {\n return nativeGetOwnPropertyDescriptor(O, P);\n } catch (error) { /* empty */ }\n if (has(O, P)) return createPropertyDescriptor(!propertyIsEnumerableModule.f.call(O, P), O[P]);\n};\n","var fails = require('../internals/fails');\n\nvar replacement = /#|\\.prototype\\./;\n\nvar isForced = function (feature, detection) {\n var value = data[normalize(feature)];\n return value == POLYFILL ? true\n : value == NATIVE ? false\n : typeof detection == 'function' ? fails(detection)\n : !!detection;\n};\n\nvar normalize = isForced.normalize = function (string) {\n return String(string).replace(replacement, '.').toLowerCase();\n};\n\nvar data = isForced.data = {};\nvar NATIVE = isForced.NATIVE = 'N';\nvar POLYFILL = isForced.POLYFILL = 'P';\n\nmodule.exports = isForced;\n","var fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (METHOD_NAME) {\n // We can't use this feature detection in V8 since it causes\n // deoptimization and serious performance degradation\n // https://github.com/zloirock/core-js/issues/677\n return V8_VERSION >= 51 || !fails(function () {\n var array = [];\n var constructor = array.constructor = {};\n constructor[SPECIES] = function () {\n return { foo: 1 };\n };\n return array[METHOD_NAME](Boolean).foo !== 1;\n });\n};\n","module.exports = function (it, Constructor, name) {\n if (!(it instanceof Constructor)) {\n throw TypeError('Incorrect ' + (name ? name + ' ' : '') + 'invocation');\n } return it;\n};\n","var $ = require('../internals/export');\nvar assign = require('../internals/object-assign');\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\n$({ target: 'Object', stat: true, forced: Object.assign !== assign }, {\n assign: assign\n});\n","var isObject = require('../internals/is-object');\nvar isArray = require('../internals/is-array');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar SPECIES = wellKnownSymbol('species');\n\n// `ArraySpeciesCreate` abstract operation\n// https://tc39.github.io/ecma262/#sec-arrayspeciescreate\nmodule.exports = function (originalArray, length) {\n var C;\n if (isArray(originalArray)) {\n C = originalArray.constructor;\n // cross-realm fallback\n if (typeof C == 'function' && (C === Array || isArray(C.prototype))) C = undefined;\n else if (isObject(C)) {\n C = C[SPECIES];\n if (C === null) C = undefined;\n }\n } return new (C === undefined ? Array : C)(length === 0 ? 0 : length);\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar fails = require('../internals/fails');\nvar isArray = require('../internals/is-array');\nvar isObject = require('../internals/is-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar createProperty = require('../internals/create-property');\nvar arraySpeciesCreate = require('../internals/array-species-create');\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar IS_CONCAT_SPREADABLE = wellKnownSymbol('isConcatSpreadable');\nvar MAX_SAFE_INTEGER = 0x1FFFFFFFFFFFFF;\nvar MAXIMUM_ALLOWED_INDEX_EXCEEDED = 'Maximum allowed index exceeded';\n\n// We can't use this feature detection in V8 since it causes\n// deoptimization and serious performance degradation\n// https://github.com/zloirock/core-js/issues/679\nvar IS_CONCAT_SPREADABLE_SUPPORT = V8_VERSION >= 51 || !fails(function () {\n var array = [];\n array[IS_CONCAT_SPREADABLE] = false;\n return array.concat()[0] !== array;\n});\n\nvar SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('concat');\n\nvar isConcatSpreadable = function (O) {\n if (!isObject(O)) return false;\n var spreadable = O[IS_CONCAT_SPREADABLE];\n return spreadable !== undefined ? !!spreadable : isArray(O);\n};\n\nvar FORCED = !IS_CONCAT_SPREADABLE_SUPPORT || !SPECIES_SUPPORT;\n\n// `Array.prototype.concat` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.concat\n// with adding support of @@isConcatSpreadable and @@species\n$({ target: 'Array', proto: true, forced: FORCED }, {\n concat: function concat(arg) { // eslint-disable-line no-unused-vars\n var O = toObject(this);\n var A = arraySpeciesCreate(O, 0);\n var n = 0;\n var i, k, length, len, E;\n for (i = -1, length = arguments.length; i < length; i++) {\n E = i === -1 ? O : arguments[i];\n if (isConcatSpreadable(E)) {\n len = toLength(E.length);\n if (n + len > MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n for (k = 0; k < len; k++, n++) if (k in E) createProperty(A, n, E[k]);\n } else {\n if (n >= MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n createProperty(A, n++, E);\n }\n }\n A.length = n;\n return A;\n }\n});\n","var global = require('../internals/global');\nvar isObject = require('../internals/is-object');\n\nvar document = global.document;\n// typeof document.createElement is 'object' in old IE\nvar EXISTS = isObject(document) && isObject(document.createElement);\n\nmodule.exports = function (it) {\n return EXISTS ? document.createElement(it) : {};\n};\n","var store = require('../internals/shared-store');\n\nvar functionToString = Function.toString;\n\n// this helper broken in `3.4.1-3.4.4`, so we can't use `shared` helper\nif (typeof store.inspectSource != 'function') {\n store.inspectSource = function (it) {\n return functionToString.call(it);\n };\n}\n\nmodule.exports = store.inspectSource;\n","var bind = require('../internals/function-bind-context');\nvar IndexedObject = require('../internals/indexed-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar arraySpeciesCreate = require('../internals/array-species-create');\n\nvar push = [].push;\n\n// `Array.prototype.{ forEach, map, filter, some, every, find, findIndex }` methods implementation\nvar createMethod = function (TYPE) {\n var IS_MAP = TYPE == 1;\n var IS_FILTER = TYPE == 2;\n var IS_SOME = TYPE == 3;\n var IS_EVERY = TYPE == 4;\n var IS_FIND_INDEX = TYPE == 6;\n var NO_HOLES = TYPE == 5 || IS_FIND_INDEX;\n return function ($this, callbackfn, that, specificCreate) {\n var O = toObject($this);\n var self = IndexedObject(O);\n var boundFunction = bind(callbackfn, that, 3);\n var length = toLength(self.length);\n var index = 0;\n var create = specificCreate || arraySpeciesCreate;\n var target = IS_MAP ? create($this, length) : IS_FILTER ? create($this, 0) : undefined;\n var value, result;\n for (;length > index; index++) if (NO_HOLES || index in self) {\n value = self[index];\n result = boundFunction(value, index, O);\n if (TYPE) {\n if (IS_MAP) target[index] = result; // map\n else if (result) switch (TYPE) {\n case 3: return true; // some\n case 5: return value; // find\n case 6: return index; // findIndex\n case 2: push.call(target, value); // filter\n } else if (IS_EVERY) return false; // every\n }\n }\n return IS_FIND_INDEX ? -1 : IS_SOME || IS_EVERY ? IS_EVERY : target;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.forEach` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n forEach: createMethod(0),\n // `Array.prototype.map` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.map\n map: createMethod(1),\n // `Array.prototype.filter` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.filter\n filter: createMethod(2),\n // `Array.prototype.some` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.some\n some: createMethod(3),\n // `Array.prototype.every` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.every\n every: createMethod(4),\n // `Array.prototype.find` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.find\n find: createMethod(5),\n // `Array.prototype.findIndex` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.findIndex\n findIndex: createMethod(6)\n};\n","'use strict';\nvar fails = require('../internals/fails');\n\nmodule.exports = function (METHOD_NAME, argument) {\n var method = [][METHOD_NAME];\n return !!method && fails(function () {\n // eslint-disable-next-line no-useless-call,no-throw-literal\n method.call(null, argument || function () { throw 1; }, 1);\n });\n};\n","var global = require('../internals/global');\nvar userAgent = require('../internals/engine-user-agent');\n\nvar process = global.process;\nvar versions = process && process.versions;\nvar v8 = versions && versions.v8;\nvar match, version;\n\nif (v8) {\n match = v8.split('.');\n version = match[0] + match[1];\n} else if (userAgent) {\n match = userAgent.match(/Edge\\/(\\d+)/);\n if (!match || match[1] >= 74) {\n match = userAgent.match(/Chrome\\/(\\d+)/);\n if (match) version = match[1];\n }\n}\n\nmodule.exports = version && +version;\n","var anObject = require('../internals/an-object');\nvar isArrayIteratorMethod = require('../internals/is-array-iterator-method');\nvar toLength = require('../internals/to-length');\nvar bind = require('../internals/function-bind-context');\nvar getIteratorMethod = require('../internals/get-iterator-method');\nvar callWithSafeIterationClosing = require('../internals/call-with-safe-iteration-closing');\n\nvar Result = function (stopped, result) {\n this.stopped = stopped;\n this.result = result;\n};\n\nvar iterate = module.exports = function (iterable, fn, that, AS_ENTRIES, IS_ITERATOR) {\n var boundFunction = bind(fn, that, AS_ENTRIES ? 2 : 1);\n var iterator, iterFn, index, length, result, next, step;\n\n if (IS_ITERATOR) {\n iterator = iterable;\n } else {\n iterFn = getIteratorMethod(iterable);\n if (typeof iterFn != 'function') throw TypeError('Target is not iterable');\n // optimisation for array iterators\n if (isArrayIteratorMethod(iterFn)) {\n for (index = 0, length = toLength(iterable.length); length > index; index++) {\n result = AS_ENTRIES\n ? boundFunction(anObject(step = iterable[index])[0], step[1])\n : boundFunction(iterable[index]);\n if (result && result instanceof Result) return result;\n } return new Result(false);\n }\n iterator = iterFn.call(iterable);\n }\n\n next = iterator.next;\n while (!(step = next.call(iterator)).done) {\n result = callWithSafeIterationClosing(iterator, boundFunction, step.value, AS_ENTRIES);\n if (typeof result == 'object' && result && result instanceof Result) return result;\n } return new Result(false);\n};\n\niterate.stop = function (result) {\n return new Result(true, result);\n};\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classofRaw = require('../internals/classof-raw');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n// ES3 wrong here\nvar CORRECT_ARGUMENTS = classofRaw(function () { return arguments; }()) == 'Arguments';\n\n// fallback for IE11 Script Access Denied error\nvar tryGet = function (it, key) {\n try {\n return it[key];\n } catch (error) { /* empty */ }\n};\n\n// getting tag from ES6+ `Object.prototype.toString`\nmodule.exports = TO_STRING_TAG_SUPPORT ? classofRaw : function (it) {\n var O, tag, result;\n return it === undefined ? 'Undefined' : it === null ? 'Null'\n // @@toStringTag case\n : typeof (tag = tryGet(O = Object(it), TO_STRING_TAG)) == 'string' ? tag\n // builtinTag case\n : CORRECT_ARGUMENTS ? classofRaw(O)\n // ES3 arguments fallback\n : (result = classofRaw(O)) == 'Object' && typeof O.callee == 'function' ? 'Arguments' : result;\n};\n","var toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `String.prototype.{ codePointAt, at }` methods implementation\nvar createMethod = function (CONVERT_TO_STRING) {\n return function ($this, pos) {\n var S = String(requireObjectCoercible($this));\n var position = toInteger(pos);\n var size = S.length;\n var first, second;\n if (position < 0 || position >= size) return CONVERT_TO_STRING ? '' : undefined;\n first = S.charCodeAt(position);\n return first < 0xD800 || first > 0xDBFF || position + 1 === size\n || (second = S.charCodeAt(position + 1)) < 0xDC00 || second > 0xDFFF\n ? CONVERT_TO_STRING ? S.charAt(position) : first\n : CONVERT_TO_STRING ? S.slice(position, position + 2) : (first - 0xD800 << 10) + (second - 0xDC00) + 0x10000;\n };\n};\n\nmodule.exports = {\n // `String.prototype.codePointAt` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.codepointat\n codeAt: createMethod(false),\n // `String.prototype.at` method\n // https://github.com/mathiasbynens/String.prototype.at\n charAt: createMethod(true)\n};\n","'use strict';\nvar nativePropertyIsEnumerable = {}.propertyIsEnumerable;\nvar getOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// Nashorn ~ JDK8 bug\nvar NASHORN_BUG = getOwnPropertyDescriptor && !nativePropertyIsEnumerable.call({ 1: 2 }, 1);\n\n// `Object.prototype.propertyIsEnumerable` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.propertyisenumerable\nexports.f = NASHORN_BUG ? function propertyIsEnumerable(V) {\n var descriptor = getOwnPropertyDescriptor(this, V);\n return !!descriptor && descriptor.enumerable;\n} : nativePropertyIsEnumerable;\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar createElement = require('../internals/document-create-element');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !DESCRIPTORS && !fails(function () {\n return Object.defineProperty(createElement('div'), 'a', {\n get: function () { return 7; }\n }).a != 7;\n});\n","var global = require('../internals/global');\nvar setGlobal = require('../internals/set-global');\n\nvar SHARED = '__core-js_shared__';\nvar store = global[SHARED] || setGlobal(SHARED, {});\n\nmodule.exports = store;\n","var IS_PURE = require('../internals/is-pure');\nvar store = require('../internals/shared-store');\n\n(module.exports = function (key, value) {\n return store[key] || (store[key] = value !== undefined ? value : {});\n})('versions', []).push({\n version: '3.6.5',\n mode: IS_PURE ? 'pure' : 'global',\n copyright: '© 2020 Denis Pushkarev (zloirock.ru)'\n});\n","var has = require('../internals/has');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar indexOf = require('../internals/array-includes').indexOf;\nvar hiddenKeys = require('../internals/hidden-keys');\n\nmodule.exports = function (object, names) {\n var O = toIndexedObject(object);\n var i = 0;\n var result = [];\n var key;\n for (key in O) !has(hiddenKeys, key) && has(O, key) && result.push(key);\n // Don't enum bug & hidden keys\n while (names.length > i) if (has(O, key = names[i++])) {\n ~indexOf(result, key) || result.push(key);\n }\n return result;\n};\n","var toIndexedObject = require('../internals/to-indexed-object');\nvar toLength = require('../internals/to-length');\nvar toAbsoluteIndex = require('../internals/to-absolute-index');\n\n// `Array.prototype.{ indexOf, includes }` methods implementation\nvar createMethod = function (IS_INCLUDES) {\n return function ($this, el, fromIndex) {\n var O = toIndexedObject($this);\n var length = toLength(O.length);\n var index = toAbsoluteIndex(fromIndex, length);\n var value;\n // Array#includes uses SameValueZero equality algorithm\n // eslint-disable-next-line no-self-compare\n if (IS_INCLUDES && el != el) while (length > index) {\n value = O[index++];\n // eslint-disable-next-line no-self-compare\n if (value != value) return true;\n // Array#indexOf ignores holes, Array#includes - not\n } else for (;length > index; index++) {\n if ((IS_INCLUDES || index in O) && O[index] === el) return IS_INCLUDES || index || 0;\n } return !IS_INCLUDES && -1;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.includes` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.includes\n includes: createMethod(true),\n // `Array.prototype.indexOf` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n indexOf: createMethod(false)\n};\n","exports.f = Object.getOwnPropertySymbols;\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\n// `Object.keys` method\n// https://tc39.github.io/ecma262/#sec-object.keys\nmodule.exports = Object.keys || function keys(O) {\n return internalObjectKeys(O, enumBugKeys);\n};\n","'use strict';\n\nmodule.exports = function bind(fn, thisArg) {\n return function wrap() {\n var args = new Array(arguments.length);\n for (var i = 0; i < args.length; i++) {\n args[i] = arguments[i];\n }\n return fn.apply(thisArg, args);\n };\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction encode(val) {\n return encodeURIComponent(val).\n replace(/%40/gi, '@').\n replace(/%3A/gi, ':').\n replace(/%24/g, '$').\n replace(/%2C/gi, ',').\n replace(/%20/g, '+').\n replace(/%5B/gi, '[').\n replace(/%5D/gi, ']');\n}\n\n/**\n * Build a URL by appending params to the end\n *\n * @param {string} url The base of the url (e.g., http://www.google.com)\n * @param {object} [params] The params to be appended\n * @returns {string} The formatted url\n */\nmodule.exports = function buildURL(url, params, paramsSerializer) {\n /*eslint no-param-reassign:0*/\n if (!params) {\n return url;\n }\n\n var serializedParams;\n if (paramsSerializer) {\n serializedParams = paramsSerializer(params);\n } else if (utils.isURLSearchParams(params)) {\n serializedParams = params.toString();\n } else {\n var parts = [];\n\n utils.forEach(params, function serialize(val, key) {\n if (val === null || typeof val === 'undefined') {\n return;\n }\n\n if (utils.isArray(val)) {\n key = key + '[]';\n } else {\n val = [val];\n }\n\n utils.forEach(val, function parseValue(v) {\n if (utils.isDate(v)) {\n v = v.toISOString();\n } else if (utils.isObject(v)) {\n v = JSON.stringify(v);\n }\n parts.push(encode(key) + '=' + encode(v));\n });\n });\n\n serializedParams = parts.join('&');\n }\n\n if (serializedParams) {\n var hashmarkIndex = url.indexOf('#');\n if (hashmarkIndex !== -1) {\n url = url.slice(0, hashmarkIndex);\n }\n\n url += (url.indexOf('?') === -1 ? '?' : '&') + serializedParams;\n }\n\n return url;\n};\n","'use strict';\n\nmodule.exports = function isCancel(value) {\n return !!(value && value.__CANCEL__);\n};\n","'use strict';\n\nvar utils = require('./utils');\nvar normalizeHeaderName = require('./helpers/normalizeHeaderName');\n\nvar DEFAULT_CONTENT_TYPE = {\n 'Content-Type': 'application/x-www-form-urlencoded'\n};\n\nfunction setContentTypeIfUnset(headers, value) {\n if (!utils.isUndefined(headers) && utils.isUndefined(headers['Content-Type'])) {\n headers['Content-Type'] = value;\n }\n}\n\nfunction getDefaultAdapter() {\n var adapter;\n if (typeof XMLHttpRequest !== 'undefined') {\n // For browsers use XHR adapter\n adapter = require('./adapters/xhr');\n } else if (typeof process !== 'undefined' && Object.prototype.toString.call(process) === '[object process]') {\n // For node use HTTP adapter\n adapter = require('./adapters/http');\n }\n return adapter;\n}\n\nvar defaults = {\n adapter: getDefaultAdapter(),\n\n transformRequest: [function transformRequest(data, headers) {\n normalizeHeaderName(headers, 'Accept');\n normalizeHeaderName(headers, 'Content-Type');\n if (utils.isFormData(data) ||\n utils.isArrayBuffer(data) ||\n utils.isBuffer(data) ||\n utils.isStream(data) ||\n utils.isFile(data) ||\n utils.isBlob(data)\n ) {\n return data;\n }\n if (utils.isArrayBufferView(data)) {\n return data.buffer;\n }\n if (utils.isURLSearchParams(data)) {\n setContentTypeIfUnset(headers, 'application/x-www-form-urlencoded;charset=utf-8');\n return data.toString();\n }\n if (utils.isObject(data)) {\n setContentTypeIfUnset(headers, 'application/json;charset=utf-8');\n return JSON.stringify(data);\n }\n return data;\n }],\n\n transformResponse: [function transformResponse(data) {\n /*eslint no-param-reassign:0*/\n if (typeof data === 'string') {\n try {\n data = JSON.parse(data);\n } catch (e) { /* Ignore */ }\n }\n return data;\n }],\n\n /**\n * A timeout in milliseconds to abort a request. If set to 0 (default) a\n * timeout is not created.\n */\n timeout: 0,\n\n xsrfCookieName: 'XSRF-TOKEN',\n xsrfHeaderName: 'X-XSRF-TOKEN',\n\n maxContentLength: -1,\n\n validateStatus: function validateStatus(status) {\n return status >= 200 && status < 300;\n }\n};\n\ndefaults.headers = {\n common: {\n 'Accept': 'application/json, text/plain, */*'\n }\n};\n\nutils.forEach(['delete', 'get', 'head'], function forEachMethodNoData(method) {\n defaults.headers[method] = {};\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n defaults.headers[method] = utils.merge(DEFAULT_CONTENT_TYPE);\n});\n\nmodule.exports = defaults;\n","'use strict';\n\nvar utils = require('./../utils');\nvar settle = require('./../core/settle');\nvar buildURL = require('./../helpers/buildURL');\nvar buildFullPath = require('../core/buildFullPath');\nvar parseHeaders = require('./../helpers/parseHeaders');\nvar isURLSameOrigin = require('./../helpers/isURLSameOrigin');\nvar createError = require('../core/createError');\n\nmodule.exports = function xhrAdapter(config) {\n return new Promise(function dispatchXhrRequest(resolve, reject) {\n var requestData = config.data;\n var requestHeaders = config.headers;\n\n if (utils.isFormData(requestData)) {\n delete requestHeaders['Content-Type']; // Let the browser set it\n }\n\n var request = new XMLHttpRequest();\n\n // HTTP basic authentication\n if (config.auth) {\n var username = config.auth.username || '';\n var password = config.auth.password || '';\n requestHeaders.Authorization = 'Basic ' + btoa(username + ':' + password);\n }\n\n var fullPath = buildFullPath(config.baseURL, config.url);\n request.open(config.method.toUpperCase(), buildURL(fullPath, config.params, config.paramsSerializer), true);\n\n // Set the request timeout in MS\n request.timeout = config.timeout;\n\n // Listen for ready state\n request.onreadystatechange = function handleLoad() {\n if (!request || request.readyState !== 4) {\n return;\n }\n\n // The request errored out and we didn't get a response, this will be\n // handled by onerror instead\n // With one exception: request that using file: protocol, most browsers\n // will return status as 0 even though it's a successful request\n if (request.status === 0 && !(request.responseURL && request.responseURL.indexOf('file:') === 0)) {\n return;\n }\n\n // Prepare the response\n var responseHeaders = 'getAllResponseHeaders' in request ? parseHeaders(request.getAllResponseHeaders()) : null;\n var responseData = !config.responseType || config.responseType === 'text' ? request.responseText : request.response;\n var response = {\n data: responseData,\n status: request.status,\n statusText: request.statusText,\n headers: responseHeaders,\n config: config,\n request: request\n };\n\n settle(resolve, reject, response);\n\n // Clean up request\n request = null;\n };\n\n // Handle browser request cancellation (as opposed to a manual cancellation)\n request.onabort = function handleAbort() {\n if (!request) {\n return;\n }\n\n reject(createError('Request aborted', config, 'ECONNABORTED', request));\n\n // Clean up request\n request = null;\n };\n\n // Handle low level network errors\n request.onerror = function handleError() {\n // Real errors are hidden from us by the browser\n // onerror should only fire if it's a network error\n reject(createError('Network Error', config, null, request));\n\n // Clean up request\n request = null;\n };\n\n // Handle timeout\n request.ontimeout = function handleTimeout() {\n var timeoutErrorMessage = 'timeout of ' + config.timeout + 'ms exceeded';\n if (config.timeoutErrorMessage) {\n timeoutErrorMessage = config.timeoutErrorMessage;\n }\n reject(createError(timeoutErrorMessage, config, 'ECONNABORTED',\n request));\n\n // Clean up request\n request = null;\n };\n\n // Add xsrf header\n // This is only done if running in a standard browser environment.\n // Specifically not if we're in a web worker, or react-native.\n if (utils.isStandardBrowserEnv()) {\n var cookies = require('./../helpers/cookies');\n\n // Add xsrf header\n var xsrfValue = (config.withCredentials || isURLSameOrigin(fullPath)) && config.xsrfCookieName ?\n cookies.read(config.xsrfCookieName) :\n undefined;\n\n if (xsrfValue) {\n requestHeaders[config.xsrfHeaderName] = xsrfValue;\n }\n }\n\n // Add headers to the request\n if ('setRequestHeader' in request) {\n utils.forEach(requestHeaders, function setRequestHeader(val, key) {\n if (typeof requestData === 'undefined' && key.toLowerCase() === 'content-type') {\n // Remove Content-Type if data is undefined\n delete requestHeaders[key];\n } else {\n // Otherwise add header to the request\n request.setRequestHeader(key, val);\n }\n });\n }\n\n // Add withCredentials to request if needed\n if (!utils.isUndefined(config.withCredentials)) {\n request.withCredentials = !!config.withCredentials;\n }\n\n // Add responseType to request if needed\n if (config.responseType) {\n try {\n request.responseType = config.responseType;\n } catch (e) {\n // Expected DOMException thrown by browsers not compatible XMLHttpRequest Level 2.\n // But, this can be suppressed for 'json' type as it can be parsed by default 'transformResponse' function.\n if (config.responseType !== 'json') {\n throw e;\n }\n }\n }\n\n // Handle progress if needed\n if (typeof config.onDownloadProgress === 'function') {\n request.addEventListener('progress', config.onDownloadProgress);\n }\n\n // Not all browsers support upload events\n if (typeof config.onUploadProgress === 'function' && request.upload) {\n request.upload.addEventListener('progress', config.onUploadProgress);\n }\n\n if (config.cancelToken) {\n // Handle cancellation\n config.cancelToken.promise.then(function onCanceled(cancel) {\n if (!request) {\n return;\n }\n\n request.abort();\n reject(cancel);\n // Clean up request\n request = null;\n });\n }\n\n if (requestData === undefined) {\n requestData = null;\n }\n\n // Send the request\n request.send(requestData);\n });\n};\n","'use strict';\n\nvar enhanceError = require('./enhanceError');\n\n/**\n * Create an Error with the specified message, config, error code, request and response.\n *\n * @param {string} message The error message.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The created error.\n */\nmodule.exports = function createError(message, config, code, request, response) {\n var error = new Error(message);\n return enhanceError(error, config, code, request, response);\n};\n","'use strict';\n\nvar utils = require('../utils');\n\n/**\n * Config-specific merge-function which creates a new config-object\n * by merging two configuration objects together.\n *\n * @param {Object} config1\n * @param {Object} config2\n * @returns {Object} New object resulting from merging config2 to config1\n */\nmodule.exports = function mergeConfig(config1, config2) {\n // eslint-disable-next-line no-param-reassign\n config2 = config2 || {};\n var config = {};\n\n var valueFromConfig2Keys = ['url', 'method', 'params', 'data'];\n var mergeDeepPropertiesKeys = ['headers', 'auth', 'proxy'];\n var defaultToConfig2Keys = [\n 'baseURL', 'url', 'transformRequest', 'transformResponse', 'paramsSerializer',\n 'timeout', 'withCredentials', 'adapter', 'responseType', 'xsrfCookieName',\n 'xsrfHeaderName', 'onUploadProgress', 'onDownloadProgress',\n 'maxContentLength', 'validateStatus', 'maxRedirects', 'httpAgent',\n 'httpsAgent', 'cancelToken', 'socketPath'\n ];\n\n utils.forEach(valueFromConfig2Keys, function valueFromConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n }\n });\n\n utils.forEach(mergeDeepPropertiesKeys, function mergeDeepProperties(prop) {\n if (utils.isObject(config2[prop])) {\n config[prop] = utils.deepMerge(config1[prop], config2[prop]);\n } else if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (utils.isObject(config1[prop])) {\n config[prop] = utils.deepMerge(config1[prop]);\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n utils.forEach(defaultToConfig2Keys, function defaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n var axiosKeys = valueFromConfig2Keys\n .concat(mergeDeepPropertiesKeys)\n .concat(defaultToConfig2Keys);\n\n var otherKeys = Object\n .keys(config2)\n .filter(function filterAxiosKeys(key) {\n return axiosKeys.indexOf(key) === -1;\n });\n\n utils.forEach(otherKeys, function otherKeysDefaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n return config;\n};\n","'use strict';\n\n/**\n * A `Cancel` is an object that is thrown when an operation is canceled.\n *\n * @class\n * @param {string=} message The message.\n */\nfunction Cancel(message) {\n this.message = message;\n}\n\nCancel.prototype.toString = function toString() {\n return 'Cancel' + (this.message ? ': ' + this.message : '');\n};\n\nCancel.prototype.__CANCEL__ = true;\n\nmodule.exports = Cancel;\n","'use strict';\nvar $ = require('../internals/export');\nvar forEach = require('../internals/array-for-each');\n\n// `Array.prototype.forEach` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n$({ target: 'Array', proto: true, forced: [].forEach != forEach }, {\n forEach: forEach\n});\n","'use strict';\nvar $forEach = require('../internals/array-iteration').forEach;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar STRICT_METHOD = arrayMethodIsStrict('forEach');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('forEach');\n\n// `Array.prototype.forEach` method implementation\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\nmodule.exports = (!STRICT_METHOD || !USES_TO_LENGTH) ? function forEach(callbackfn /* , thisArg */) {\n return $forEach(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n} : [].forEach;\n","var classof = require('../internals/classof-raw');\n\n// `IsArray` abstract operation\n// https://tc39.github.io/ecma262/#sec-isarray\nmodule.exports = Array.isArray || function isArray(arg) {\n return classof(arg) == 'Array';\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !!Object.getOwnPropertySymbols && !fails(function () {\n // Chrome 38 Symbol has incorrect toString conversion\n // eslint-disable-next-line no-undef\n return !String(Symbol());\n});\n","const { MAX_SAFE_COMPONENT_LENGTH } = require('./constants')\nconst debug = require('./debug')\nexports = module.exports = {}\n\n// The actual regexps go on exports.re\nconst re = exports.re = []\nconst src = exports.src = []\nconst t = exports.t = {}\nlet R = 0\n\nconst createToken = (name, value, isGlobal) => {\n const index = R++\n debug(index, value)\n t[name] = index\n src[index] = value\n re[index] = new RegExp(value, isGlobal ? 'g' : undefined)\n}\n\n// The following Regular Expressions can be used for tokenizing,\n// validating, and parsing SemVer version strings.\n\n// ## Numeric Identifier\n// A single `0`, or a non-zero digit followed by zero or more digits.\n\ncreateToken('NUMERICIDENTIFIER', '0|[1-9]\\\\d*')\ncreateToken('NUMERICIDENTIFIERLOOSE', '[0-9]+')\n\n// ## Non-numeric Identifier\n// Zero or more digits, followed by a letter or hyphen, and then zero or\n// more letters, digits, or hyphens.\n\ncreateToken('NONNUMERICIDENTIFIER', '\\\\d*[a-zA-Z-][a-zA-Z0-9-]*')\n\n// ## Main Version\n// Three dot-separated numeric identifiers.\n\ncreateToken('MAINVERSION', `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})`)\n\ncreateToken('MAINVERSIONLOOSE', `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})`)\n\n// ## Pre-release Version Identifier\n// A numeric identifier, or a non-numeric identifier.\n\ncreateToken('PRERELEASEIDENTIFIER', `(?:${src[t.NUMERICIDENTIFIER]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\ncreateToken('PRERELEASEIDENTIFIERLOOSE', `(?:${src[t.NUMERICIDENTIFIERLOOSE]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\n// ## Pre-release Version\n// Hyphen, followed by one or more dot-separated pre-release version\n// identifiers.\n\ncreateToken('PRERELEASE', `(?:-(${src[t.PRERELEASEIDENTIFIER]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIER]})*))`)\n\ncreateToken('PRERELEASELOOSE', `(?:-?(${src[t.PRERELEASEIDENTIFIERLOOSE]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIERLOOSE]})*))`)\n\n// ## Build Metadata Identifier\n// Any combination of digits, letters, or hyphens.\n\ncreateToken('BUILDIDENTIFIER', '[0-9A-Za-z-]+')\n\n// ## Build Metadata\n// Plus sign, followed by one or more period-separated build metadata\n// identifiers.\n\ncreateToken('BUILD', `(?:\\\\+(${src[t.BUILDIDENTIFIER]\n}(?:\\\\.${src[t.BUILDIDENTIFIER]})*))`)\n\n// ## Full Version String\n// A main version, followed optionally by a pre-release version and\n// build metadata.\n\n// Note that the only major, minor, patch, and pre-release sections of\n// the version string are capturing groups. The build metadata is not a\n// capturing group, because it should not ever be used in version\n// comparison.\n\ncreateToken('FULLPLAIN', `v?${src[t.MAINVERSION]\n}${src[t.PRERELEASE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('FULL', `^${src[t.FULLPLAIN]}$`)\n\n// like full, but allows v1.2.3 and =1.2.3, which people do sometimes.\n// also, 1.0.0alpha1 (prerelease without the hyphen) which is pretty\n// common in the npm registry.\ncreateToken('LOOSEPLAIN', `[v=\\\\s]*${src[t.MAINVERSIONLOOSE]\n}${src[t.PRERELEASELOOSE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('LOOSE', `^${src[t.LOOSEPLAIN]}$`)\n\ncreateToken('GTLT', '((?:<|>)?=?)')\n\n// Something like \"2.*\" or \"1.2.x\".\n// Note that \"x.x\" is a valid xRange identifer, meaning \"any version\"\n// Only the first item is strictly required.\ncreateToken('XRANGEIDENTIFIERLOOSE', `${src[t.NUMERICIDENTIFIERLOOSE]}|x|X|\\\\*`)\ncreateToken('XRANGEIDENTIFIER', `${src[t.NUMERICIDENTIFIER]}|x|X|\\\\*`)\n\ncreateToken('XRANGEPLAIN', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:${src[t.PRERELEASE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGEPLAINLOOSE', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:${src[t.PRERELEASELOOSE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAIN]}$`)\ncreateToken('XRANGELOOSE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Coercion.\n// Extract anything that could conceivably be a part of a valid semver\ncreateToken('COERCE', `${'(^|[^\\\\d])' +\n '(\\\\d{1,'}${MAX_SAFE_COMPONENT_LENGTH}})` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:$|[^\\\\d])`)\ncreateToken('COERCERTL', src[t.COERCE], true)\n\n// Tilde ranges.\n// Meaning is \"reasonably at or greater than\"\ncreateToken('LONETILDE', '(?:~>?)')\n\ncreateToken('TILDETRIM', `(\\\\s*)${src[t.LONETILDE]}\\\\s+`, true)\nexports.tildeTrimReplace = '$1~'\n\ncreateToken('TILDE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('TILDELOOSE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Caret ranges.\n// Meaning is \"at least and backwards compatible with\"\ncreateToken('LONECARET', '(?:\\\\^)')\n\ncreateToken('CARETTRIM', `(\\\\s*)${src[t.LONECARET]}\\\\s+`, true)\nexports.caretTrimReplace = '$1^'\n\ncreateToken('CARET', `^${src[t.LONECARET]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('CARETLOOSE', `^${src[t.LONECARET]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// A simple gt/lt/eq thing, or just \"\" to indicate \"any version\"\ncreateToken('COMPARATORLOOSE', `^${src[t.GTLT]}\\\\s*(${src[t.LOOSEPLAIN]})$|^$`)\ncreateToken('COMPARATOR', `^${src[t.GTLT]}\\\\s*(${src[t.FULLPLAIN]})$|^$`)\n\n// An expression to strip any whitespace between the gtlt and the thing\n// it modifies, so that `> 1.2.3` ==> `>1.2.3`\ncreateToken('COMPARATORTRIM', `(\\\\s*)${src[t.GTLT]\n}\\\\s*(${src[t.LOOSEPLAIN]}|${src[t.XRANGEPLAIN]})`, true)\nexports.comparatorTrimReplace = '$1$2$3'\n\n// Something like `1.2.3 - 1.2.4`\n// Note that these all use the loose form, because they'll be\n// checked against either the strict or loose comparator form\n// later.\ncreateToken('HYPHENRANGE', `^\\\\s*(${src[t.XRANGEPLAIN]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAIN]})` +\n `\\\\s*$`)\n\ncreateToken('HYPHENRANGELOOSE', `^\\\\s*(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s*$`)\n\n// Star ranges basically just allow anything at all.\ncreateToken('STAR', '(<|>)?=?\\\\s*\\\\*')\n// >=0.0.0 is like a star\ncreateToken('GTE0', '^\\\\s*>=\\\\s*0\\.0\\.0\\\\s*$')\ncreateToken('GTE0PRE', '^\\\\s*>=\\\\s*0\\.0\\.0-0\\\\s*$')\n","const debug = (\n typeof process === 'object' &&\n process.env &&\n process.env.NODE_DEBUG &&\n /\\bsemver\\b/i.test(process.env.NODE_DEBUG)\n) ? (...args) => console.error('SEMVER', ...args)\n : () => {}\n\nmodule.exports = debug\n","const debug = require('../internal/debug')\nconst { MAX_LENGTH, MAX_SAFE_INTEGER } = require('../internal/constants')\nconst { re, t } = require('../internal/re')\n\nconst { compareIdentifiers } = require('../internal/identifiers')\nclass SemVer {\n constructor (version, options) {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n if (version instanceof SemVer) {\n if (version.loose === !!options.loose &&\n version.includePrerelease === !!options.includePrerelease) {\n return version\n } else {\n version = version.version\n }\n } else if (typeof version !== 'string') {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n if (version.length > MAX_LENGTH) {\n throw new TypeError(\n `version is longer than ${MAX_LENGTH} characters`\n )\n }\n\n debug('SemVer', version, options)\n this.options = options\n this.loose = !!options.loose\n // this isn't actually relevant for versions, but keep it so that we\n // don't run into trouble passing this.options around.\n this.includePrerelease = !!options.includePrerelease\n\n const m = version.trim().match(options.loose ? re[t.LOOSE] : re[t.FULL])\n\n if (!m) {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n this.raw = version\n\n // these are actually numbers\n this.major = +m[1]\n this.minor = +m[2]\n this.patch = +m[3]\n\n if (this.major > MAX_SAFE_INTEGER || this.major < 0) {\n throw new TypeError('Invalid major version')\n }\n\n if (this.minor > MAX_SAFE_INTEGER || this.minor < 0) {\n throw new TypeError('Invalid minor version')\n }\n\n if (this.patch > MAX_SAFE_INTEGER || this.patch < 0) {\n throw new TypeError('Invalid patch version')\n }\n\n // numberify any prerelease numeric ids\n if (!m[4]) {\n this.prerelease = []\n } else {\n this.prerelease = m[4].split('.').map((id) => {\n if (/^[0-9]+$/.test(id)) {\n const num = +id\n if (num >= 0 && num < MAX_SAFE_INTEGER) {\n return num\n }\n }\n return id\n })\n }\n\n this.build = m[5] ? m[5].split('.') : []\n this.format()\n }\n\n format () {\n this.version = `${this.major}.${this.minor}.${this.patch}`\n if (this.prerelease.length) {\n this.version += `-${this.prerelease.join('.')}`\n }\n return this.version\n }\n\n toString () {\n return this.version\n }\n\n compare (other) {\n debug('SemVer.compare', this.version, this.options, other)\n if (!(other instanceof SemVer)) {\n if (typeof other === 'string' && other === this.version) {\n return 0\n }\n other = new SemVer(other, this.options)\n }\n\n if (other.version === this.version) {\n return 0\n }\n\n return this.compareMain(other) || this.comparePre(other)\n }\n\n compareMain (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n return (\n compareIdentifiers(this.major, other.major) ||\n compareIdentifiers(this.minor, other.minor) ||\n compareIdentifiers(this.patch, other.patch)\n )\n }\n\n comparePre (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n // NOT having a prerelease is > having one\n if (this.prerelease.length && !other.prerelease.length) {\n return -1\n } else if (!this.prerelease.length && other.prerelease.length) {\n return 1\n } else if (!this.prerelease.length && !other.prerelease.length) {\n return 0\n }\n\n let i = 0\n do {\n const a = this.prerelease[i]\n const b = other.prerelease[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n compareBuild (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n let i = 0\n do {\n const a = this.build[i]\n const b = other.build[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n // preminor will bump the version up to the next minor release, and immediately\n // down to pre-release. premajor and prepatch work the same way.\n inc (release, identifier) {\n switch (release) {\n case 'premajor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor = 0\n this.major++\n this.inc('pre', identifier)\n break\n case 'preminor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor++\n this.inc('pre', identifier)\n break\n case 'prepatch':\n // If this is already a prerelease, it will bump to the next version\n // drop any prereleases that might already exist, since they are not\n // relevant at this point.\n this.prerelease.length = 0\n this.inc('patch', identifier)\n this.inc('pre', identifier)\n break\n // If the input is a non-prerelease version, this acts the same as\n // prepatch.\n case 'prerelease':\n if (this.prerelease.length === 0) {\n this.inc('patch', identifier)\n }\n this.inc('pre', identifier)\n break\n\n case 'major':\n // If this is a pre-major version, bump up to the same major version.\n // Otherwise increment major.\n // 1.0.0-5 bumps to 1.0.0\n // 1.1.0 bumps to 2.0.0\n if (\n this.minor !== 0 ||\n this.patch !== 0 ||\n this.prerelease.length === 0\n ) {\n this.major++\n }\n this.minor = 0\n this.patch = 0\n this.prerelease = []\n break\n case 'minor':\n // If this is a pre-minor version, bump up to the same minor version.\n // Otherwise increment minor.\n // 1.2.0-5 bumps to 1.2.0\n // 1.2.1 bumps to 1.3.0\n if (this.patch !== 0 || this.prerelease.length === 0) {\n this.minor++\n }\n this.patch = 0\n this.prerelease = []\n break\n case 'patch':\n // If this is not a pre-release version, it will increment the patch.\n // If it is a pre-release it will bump up to the same patch version.\n // 1.2.0-5 patches to 1.2.0\n // 1.2.0 patches to 1.2.1\n if (this.prerelease.length === 0) {\n this.patch++\n }\n this.prerelease = []\n break\n // This probably shouldn't be used publicly.\n // 1.0.0 'pre' would become 1.0.0-0 which is the wrong direction.\n case 'pre':\n if (this.prerelease.length === 0) {\n this.prerelease = [0]\n } else {\n let i = this.prerelease.length\n while (--i >= 0) {\n if (typeof this.prerelease[i] === 'number') {\n this.prerelease[i]++\n i = -2\n }\n }\n if (i === -1) {\n // didn't increment anything\n this.prerelease.push(0)\n }\n }\n if (identifier) {\n // 1.2.0-beta.1 bumps to 1.2.0-beta.2,\n // 1.2.0-beta.fooblz or 1.2.0-beta bumps to 1.2.0-beta.0\n if (this.prerelease[0] === identifier) {\n if (isNaN(this.prerelease[1])) {\n this.prerelease = [identifier, 0]\n }\n } else {\n this.prerelease = [identifier, 0]\n }\n }\n break\n\n default:\n throw new Error(`invalid increment argument: ${release}`)\n }\n this.format()\n this.raw = this.version\n return this\n }\n}\n\nmodule.exports = SemVer\n","'use strict';\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar BUGGY_SAFARI_ITERATORS = false;\n\nvar returnThis = function () { return this; };\n\n// `%IteratorPrototype%` object\n// https://tc39.github.io/ecma262/#sec-%iteratorprototype%-object\nvar IteratorPrototype, PrototypeOfArrayIteratorPrototype, arrayIterator;\n\nif ([].keys) {\n arrayIterator = [].keys();\n // Safari 8 has buggy iterators w/o `next`\n if (!('next' in arrayIterator)) BUGGY_SAFARI_ITERATORS = true;\n else {\n PrototypeOfArrayIteratorPrototype = getPrototypeOf(getPrototypeOf(arrayIterator));\n if (PrototypeOfArrayIteratorPrototype !== Object.prototype) IteratorPrototype = PrototypeOfArrayIteratorPrototype;\n }\n}\n\nif (IteratorPrototype == undefined) IteratorPrototype = {};\n\n// 25.1.2.1.1 %IteratorPrototype%[@@iterator]()\nif (!IS_PURE && !has(IteratorPrototype, ITERATOR)) {\n createNonEnumerableProperty(IteratorPrototype, ITERATOR, returnThis);\n}\n\nmodule.exports = {\n IteratorPrototype: IteratorPrototype,\n BUGGY_SAFARI_ITERATORS: BUGGY_SAFARI_ITERATORS\n};\n","var has = require('../internals/has');\nvar toObject = require('../internals/to-object');\nvar sharedKey = require('../internals/shared-key');\nvar CORRECT_PROTOTYPE_GETTER = require('../internals/correct-prototype-getter');\n\nvar IE_PROTO = sharedKey('IE_PROTO');\nvar ObjectPrototype = Object.prototype;\n\n// `Object.getPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.getprototypeof\nmodule.exports = CORRECT_PROTOTYPE_GETTER ? Object.getPrototypeOf : function (O) {\n O = toObject(O);\n if (has(O, IE_PROTO)) return O[IE_PROTO];\n if (typeof O.constructor == 'function' && O instanceof O.constructor) {\n return O.constructor.prototype;\n } return O instanceof Object ? ObjectPrototype : null;\n};\n","var anObject = require('../internals/an-object');\nvar aPossiblePrototype = require('../internals/a-possible-prototype');\n\n// `Object.setPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.setprototypeof\n// Works with __proto__ only. Old v8 can't work with null proto objects.\n/* eslint-disable no-proto */\nmodule.exports = Object.setPrototypeOf || ('__proto__' in {} ? function () {\n var CORRECT_SETTER = false;\n var test = {};\n var setter;\n try {\n setter = Object.getOwnPropertyDescriptor(Object.prototype, '__proto__').set;\n setter.call(test, []);\n CORRECT_SETTER = test instanceof Array;\n } catch (error) { /* empty */ }\n return function setPrototypeOf(O, proto) {\n anObject(O);\n aPossiblePrototype(proto);\n if (CORRECT_SETTER) setter.call(O, proto);\n else O.__proto__ = proto;\n return O;\n };\n}() : undefined);\n","var hiddenKeys = require('../internals/hidden-keys');\nvar isObject = require('../internals/is-object');\nvar has = require('../internals/has');\nvar defineProperty = require('../internals/object-define-property').f;\nvar uid = require('../internals/uid');\nvar FREEZING = require('../internals/freezing');\n\nvar METADATA = uid('meta');\nvar id = 0;\n\nvar isExtensible = Object.isExtensible || function () {\n return true;\n};\n\nvar setMetadata = function (it) {\n defineProperty(it, METADATA, { value: {\n objectID: 'O' + ++id, // object ID\n weakData: {} // weak collections IDs\n } });\n};\n\nvar fastKey = function (it, create) {\n // return a primitive with prefix\n if (!isObject(it)) return typeof it == 'symbol' ? it : (typeof it == 'string' ? 'S' : 'P') + it;\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return 'F';\n // not necessary to add metadata\n if (!create) return 'E';\n // add missing metadata\n setMetadata(it);\n // return object ID\n } return it[METADATA].objectID;\n};\n\nvar getWeakData = function (it, create) {\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return true;\n // not necessary to add metadata\n if (!create) return false;\n // add missing metadata\n setMetadata(it);\n // return the store of weak collections IDs\n } return it[METADATA].weakData;\n};\n\n// add metadata on freeze-family methods calling\nvar onFreeze = function (it) {\n if (FREEZING && meta.REQUIRED && isExtensible(it) && !has(it, METADATA)) setMetadata(it);\n return it;\n};\n\nvar meta = module.exports = {\n REQUIRED: false,\n fastKey: fastKey,\n getWeakData: getWeakData,\n onFreeze: onFreeze\n};\n\nhiddenKeys[METADATA] = true;\n","// iterable DOM collections\n// flag - `iterable` interface - 'entries', 'keys', 'values', 'forEach' methods\nmodule.exports = {\n CSSRuleList: 0,\n CSSStyleDeclaration: 0,\n CSSValueList: 0,\n ClientRectList: 0,\n DOMRectList: 0,\n DOMStringList: 0,\n DOMTokenList: 1,\n DataTransferItemList: 0,\n FileList: 0,\n HTMLAllCollection: 0,\n HTMLCollection: 0,\n HTMLFormElement: 0,\n HTMLSelectElement: 0,\n MediaList: 0,\n MimeTypeArray: 0,\n NamedNodeMap: 0,\n NodeList: 1,\n PaintRequestList: 0,\n Plugin: 0,\n PluginArray: 0,\n SVGLengthList: 0,\n SVGNumberList: 0,\n SVGPathSegList: 0,\n SVGPointList: 0,\n SVGStringList: 0,\n SVGTransformList: 0,\n SourceBufferList: 0,\n StyleSheetList: 0,\n TextTrackCueList: 0,\n TextTrackList: 0,\n TouchList: 0\n};\n","'use strict';\nvar anObject = require('../internals/an-object');\n\n// `RegExp.prototype.flags` getter implementation\n// https://tc39.github.io/ecma262/#sec-get-regexp.prototype.flags\nmodule.exports = function () {\n var that = anObject(this);\n var result = '';\n if (that.global) result += 'g';\n if (that.ignoreCase) result += 'i';\n if (that.multiline) result += 'm';\n if (that.dotAll) result += 's';\n if (that.unicode) result += 'u';\n if (that.sticky) result += 'y';\n return result;\n};\n","'use strict';\nvar redefine = require('../internals/redefine');\nvar anObject = require('../internals/an-object');\nvar fails = require('../internals/fails');\nvar flags = require('../internals/regexp-flags');\n\nvar TO_STRING = 'toString';\nvar RegExpPrototype = RegExp.prototype;\nvar nativeToString = RegExpPrototype[TO_STRING];\n\nvar NOT_GENERIC = fails(function () { return nativeToString.call({ source: 'a', flags: 'b' }) != '/a/b'; });\n// FF44- RegExp#toString has a wrong name\nvar INCORRECT_NAME = nativeToString.name != TO_STRING;\n\n// `RegExp.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-regexp.prototype.tostring\nif (NOT_GENERIC || INCORRECT_NAME) {\n redefine(RegExp.prototype, TO_STRING, function toString() {\n var R = anObject(this);\n var p = String(R.source);\n var rf = R.flags;\n var f = String(rf === undefined && R instanceof RegExp && !('flags' in RegExpPrototype) ? flags.call(R) : rf);\n return '/' + p + '/' + f;\n }, { unsafe: true });\n}\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar STRING_ITERATOR = 'String Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(STRING_ITERATOR);\n\n// `String.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-string.prototype-@@iterator\ndefineIterator(String, 'String', function (iterated) {\n setInternalState(this, {\n type: STRING_ITERATOR,\n string: String(iterated),\n index: 0\n });\n// `%StringIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%stringiteratorprototype%.next\n}, function next() {\n var state = getInternalState(this);\n var string = state.string;\n var index = state.index;\n var point;\n if (index >= string.length) return { value: undefined, done: true };\n point = charAt(string, index);\n state.index += point.length;\n return { value: point, done: false };\n});\n","'use strict';\nvar fixRegExpWellKnownSymbolLogic = require('../internals/fix-regexp-well-known-symbol-logic');\nvar anObject = require('../internals/an-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\nvar advanceStringIndex = require('../internals/advance-string-index');\nvar regExpExec = require('../internals/regexp-exec-abstract');\n\nvar max = Math.max;\nvar min = Math.min;\nvar floor = Math.floor;\nvar SUBSTITUTION_SYMBOLS = /\\$([$&'`]|\\d\\d?|<[^>]*>)/g;\nvar SUBSTITUTION_SYMBOLS_NO_NAMED = /\\$([$&'`]|\\d\\d?)/g;\n\nvar maybeToString = function (it) {\n return it === undefined ? it : String(it);\n};\n\n// @@replace logic\nfixRegExpWellKnownSymbolLogic('replace', 2, function (REPLACE, nativeReplace, maybeCallNative, reason) {\n var REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = reason.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE;\n var REPLACE_KEEPS_$0 = reason.REPLACE_KEEPS_$0;\n var UNSAFE_SUBSTITUTE = REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE ? '$' : '$0';\n\n return [\n // `String.prototype.replace` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.replace\n function replace(searchValue, replaceValue) {\n var O = requireObjectCoercible(this);\n var replacer = searchValue == undefined ? undefined : searchValue[REPLACE];\n return replacer !== undefined\n ? replacer.call(searchValue, O, replaceValue)\n : nativeReplace.call(String(O), searchValue, replaceValue);\n },\n // `RegExp.prototype[@@replace]` method\n // https://tc39.github.io/ecma262/#sec-regexp.prototype-@@replace\n function (regexp, replaceValue) {\n if (\n (!REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE && REPLACE_KEEPS_$0) ||\n (typeof replaceValue === 'string' && replaceValue.indexOf(UNSAFE_SUBSTITUTE) === -1)\n ) {\n var res = maybeCallNative(nativeReplace, regexp, this, replaceValue);\n if (res.done) return res.value;\n }\n\n var rx = anObject(regexp);\n var S = String(this);\n\n var functionalReplace = typeof replaceValue === 'function';\n if (!functionalReplace) replaceValue = String(replaceValue);\n\n var global = rx.global;\n if (global) {\n var fullUnicode = rx.unicode;\n rx.lastIndex = 0;\n }\n var results = [];\n while (true) {\n var result = regExpExec(rx, S);\n if (result === null) break;\n\n results.push(result);\n if (!global) break;\n\n var matchStr = String(result[0]);\n if (matchStr === '') rx.lastIndex = advanceStringIndex(S, toLength(rx.lastIndex), fullUnicode);\n }\n\n var accumulatedResult = '';\n var nextSourcePosition = 0;\n for (var i = 0; i < results.length; i++) {\n result = results[i];\n\n var matched = String(result[0]);\n var position = max(min(toInteger(result.index), S.length), 0);\n var captures = [];\n // NOTE: This is equivalent to\n // captures = result.slice(1).map(maybeToString)\n // but for some reason `nativeSlice.call(result, 1, result.length)` (called in\n // the slice polyfill when slicing native arrays) \"doesn't work\" in safari 9 and\n // causes a crash (https://pastebin.com/N21QzeQA) when trying to debug it.\n for (var j = 1; j < result.length; j++) captures.push(maybeToString(result[j]));\n var namedCaptures = result.groups;\n if (functionalReplace) {\n var replacerArgs = [matched].concat(captures, position, S);\n if (namedCaptures !== undefined) replacerArgs.push(namedCaptures);\n var replacement = String(replaceValue.apply(undefined, replacerArgs));\n } else {\n replacement = getSubstitution(matched, S, position, captures, namedCaptures, replaceValue);\n }\n if (position >= nextSourcePosition) {\n accumulatedResult += S.slice(nextSourcePosition, position) + replacement;\n nextSourcePosition = position + matched.length;\n }\n }\n return accumulatedResult + S.slice(nextSourcePosition);\n }\n ];\n\n // https://tc39.github.io/ecma262/#sec-getsubstitution\n function getSubstitution(matched, str, position, captures, namedCaptures, replacement) {\n var tailPos = position + matched.length;\n var m = captures.length;\n var symbols = SUBSTITUTION_SYMBOLS_NO_NAMED;\n if (namedCaptures !== undefined) {\n namedCaptures = toObject(namedCaptures);\n symbols = SUBSTITUTION_SYMBOLS;\n }\n return nativeReplace.call(replacement, symbols, function (match, ch) {\n var capture;\n switch (ch.charAt(0)) {\n case '$': return '$';\n case '&': return matched;\n case '`': return str.slice(0, position);\n case \"'\": return str.slice(tailPos);\n case '<':\n capture = namedCaptures[ch.slice(1, -1)];\n break;\n default: // \\d\\d?\n var n = +ch;\n if (n === 0) return match;\n if (n > m) {\n var f = floor(n / 10);\n if (f === 0) return match;\n if (f <= m) return captures[f - 1] === undefined ? ch.charAt(1) : captures[f - 1] + ch.charAt(1);\n return match;\n }\n capture = captures[n - 1];\n }\n return capture === undefined ? '' : capture;\n });\n }\n});\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar ArrayIteratorMethods = require('../modules/es.array.iterator');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar ArrayValues = ArrayIteratorMethods.values;\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n if (CollectionPrototype) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[ITERATOR] !== ArrayValues) try {\n createNonEnumerableProperty(CollectionPrototype, ITERATOR, ArrayValues);\n } catch (error) {\n CollectionPrototype[ITERATOR] = ArrayValues;\n }\n if (!CollectionPrototype[TO_STRING_TAG]) {\n createNonEnumerableProperty(CollectionPrototype, TO_STRING_TAG, COLLECTION_NAME);\n }\n if (DOMIterables[COLLECTION_NAME]) for (var METHOD_NAME in ArrayIteratorMethods) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[METHOD_NAME] !== ArrayIteratorMethods[METHOD_NAME]) try {\n createNonEnumerableProperty(CollectionPrototype, METHOD_NAME, ArrayIteratorMethods[METHOD_NAME]);\n } catch (error) {\n CollectionPrototype[METHOD_NAME] = ArrayIteratorMethods[METHOD_NAME];\n }\n }\n }\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar $indexOf = require('../internals/array-includes').indexOf;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar nativeIndexOf = [].indexOf;\n\nvar NEGATIVE_ZERO = !!nativeIndexOf && 1 / [1].indexOf(1, -0) < 0;\nvar STRICT_METHOD = arrayMethodIsStrict('indexOf');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('indexOf', { ACCESSORS: true, 1: 0 });\n\n// `Array.prototype.indexOf` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n$({ target: 'Array', proto: true, forced: NEGATIVE_ZERO || !STRICT_METHOD || !USES_TO_LENGTH }, {\n indexOf: function indexOf(searchElement /* , fromIndex = 0 */) {\n return NEGATIVE_ZERO\n // convert -0 to +0\n ? nativeIndexOf.apply(this, arguments) || 0\n : $indexOf(this, searchElement, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","module.exports = function (it) {\n if (typeof it != 'function') {\n throw TypeError(String(it) + ' is not a function');\n } return it;\n};\n","'use strict';\nvar toPrimitive = require('../internals/to-primitive');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = function (object, key, value) {\n var propertyKey = toPrimitive(key);\n if (propertyKey in object) definePropertyModule.f(object, propertyKey, createPropertyDescriptor(0, value));\n else object[propertyKey] = value;\n};\n","var classof = require('../internals/classof');\nvar Iterators = require('../internals/iterators');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\n\nmodule.exports = function (it) {\n if (it != undefined) return it[ITERATOR]\n || it['@@iterator']\n || Iterators[classof(it)];\n};\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\nvar hiddenKeys = enumBugKeys.concat('length', 'prototype');\n\n// `Object.getOwnPropertyNames` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertynames\nexports.f = Object.getOwnPropertyNames || function getOwnPropertyNames(O) {\n return internalObjectKeys(O, hiddenKeys);\n};\n","var toInteger = require('../internals/to-integer');\n\nvar max = Math.max;\nvar min = Math.min;\n\n// Helper for a popular repeating case of the spec:\n// Let integer be ? ToInteger(index).\n// If integer < 0, let result be max((length + integer), 0); else let result be min(integer, length).\nmodule.exports = function (index, length) {\n var integer = toInteger(index);\n return integer < 0 ? max(integer + length, 0) : min(integer, length);\n};\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nObject.defineProperty(exports, \"getRequestToken\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.getRequestToken;\n }\n});\nObject.defineProperty(exports, \"onRequestTokenUpdate\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.onRequestTokenUpdate;\n }\n});\nObject.defineProperty(exports, \"getCurrentUser\", {\n enumerable: true,\n get: function get() {\n return _user.getCurrentUser;\n }\n});\n\nvar _requesttoken = require(\"./requesttoken\");\n\nvar _user = require(\"./user\");\n//# sourceMappingURL=index.js.map","'use strict';\nvar $ = require('../internals/export');\nvar $filter = require('../internals/array-iteration').filter;\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('filter');\n// Edge 14- issue\nvar USES_TO_LENGTH = arrayMethodUsesToLength('filter');\n\n// `Array.prototype.filter` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.filter\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n filter: function filter(callbackfn /* , thisArg */) {\n return $filter(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","var isObject = require('../internals/is-object');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\n\n// makes subclassing work correct for wrapped built-ins\nmodule.exports = function ($this, dummy, Wrapper) {\n var NewTarget, NewTargetPrototype;\n if (\n // it can work only with native `setPrototypeOf`\n setPrototypeOf &&\n // we haven't completely correct pre-ES6 way for getting `new.target`, so use this\n typeof (NewTarget = dummy.constructor) == 'function' &&\n NewTarget !== Wrapper &&\n isObject(NewTargetPrototype = NewTarget.prototype) &&\n NewTargetPrototype !== Wrapper.prototype\n ) setPrototypeOf($this, NewTargetPrototype);\n return $this;\n};\n","var redefine = require('../internals/redefine');\n\nmodule.exports = function (target, src, options) {\n for (var key in src) redefine(target, key, src[key], options);\n return target;\n};\n","'use strict';\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar objectKeys = require('../internals/object-keys');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar toObject = require('../internals/to-object');\nvar IndexedObject = require('../internals/indexed-object');\n\nvar nativeAssign = Object.assign;\nvar defineProperty = Object.defineProperty;\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\nmodule.exports = !nativeAssign || fails(function () {\n // should have correct order of operations (Edge bug)\n if (DESCRIPTORS && nativeAssign({ b: 1 }, nativeAssign(defineProperty({}, 'a', {\n enumerable: true,\n get: function () {\n defineProperty(this, 'b', {\n value: 3,\n enumerable: false\n });\n }\n }), { b: 2 })).b !== 1) return true;\n // should work with symbols and should have deterministic property order (V8 bug)\n var A = {};\n var B = {};\n // eslint-disable-next-line no-undef\n var symbol = Symbol();\n var alphabet = 'abcdefghijklmnopqrst';\n A[symbol] = 7;\n alphabet.split('').forEach(function (chr) { B[chr] = chr; });\n return nativeAssign({}, A)[symbol] != 7 || objectKeys(nativeAssign({}, B)).join('') != alphabet;\n}) ? function assign(target, source) { // eslint-disable-line no-unused-vars\n var T = toObject(target);\n var argumentsLength = arguments.length;\n var index = 1;\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n var propertyIsEnumerable = propertyIsEnumerableModule.f;\n while (argumentsLength > index) {\n var S = IndexedObject(arguments[index++]);\n var keys = getOwnPropertySymbols ? objectKeys(S).concat(getOwnPropertySymbols(S)) : objectKeys(S);\n var length = keys.length;\n var j = 0;\n var key;\n while (length > j) {\n key = keys[j++];\n if (!DESCRIPTORS || propertyIsEnumerable.call(S, key)) T[key] = S[key];\n }\n } return T;\n} : nativeAssign;\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('navigator', 'userAgent') || '';\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar anObject = require('../internals/an-object');\nvar objectKeys = require('../internals/object-keys');\n\n// `Object.defineProperties` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperties\nmodule.exports = DESCRIPTORS ? Object.defineProperties : function defineProperties(O, Properties) {\n anObject(O);\n var keys = objectKeys(Properties);\n var length = keys.length;\n var index = 0;\n var key;\n while (length > index) definePropertyModule.f(O, key = keys[index++], Properties[key]);\n return O;\n};\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('document', 'documentElement');\n","'use strict';\nvar IteratorPrototype = require('../internals/iterators-core').IteratorPrototype;\nvar create = require('../internals/object-create');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar Iterators = require('../internals/iterators');\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (IteratorConstructor, NAME, next) {\n var TO_STRING_TAG = NAME + ' Iterator';\n IteratorConstructor.prototype = create(IteratorPrototype, { next: createPropertyDescriptor(1, next) });\n setToStringTag(IteratorConstructor, TO_STRING_TAG, false, true);\n Iterators[TO_STRING_TAG] = returnThis;\n return IteratorConstructor;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar Iterators = require('../internals/iterators');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar ArrayPrototype = Array.prototype;\n\n// check on default Array iterator\nmodule.exports = function (it) {\n return it !== undefined && (Iterators.Array === it || ArrayPrototype[ITERATOR] === it);\n};\n","var anObject = require('../internals/an-object');\n\n// call something on iterator step with safe closing on error\nmodule.exports = function (iterator, fn, value, ENTRIES) {\n try {\n return ENTRIES ? fn(anObject(value)[0], value[1]) : fn(value);\n // 7.4.6 IteratorClose(iterator, completion)\n } catch (error) {\n var returnMethod = iterator['return'];\n if (returnMethod !== undefined) anObject(returnMethod.call(iterator));\n throw error;\n }\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar SAFE_CLOSING = false;\n\ntry {\n var called = 0;\n var iteratorWithReturn = {\n next: function () {\n return { done: !!called++ };\n },\n 'return': function () {\n SAFE_CLOSING = true;\n }\n };\n iteratorWithReturn[ITERATOR] = function () {\n return this;\n };\n // eslint-disable-next-line no-throw-literal\n Array.from(iteratorWithReturn, function () { throw 2; });\n} catch (error) { /* empty */ }\n\nmodule.exports = function (exec, SKIP_CLOSING) {\n if (!SKIP_CLOSING && !SAFE_CLOSING) return false;\n var ITERATION_SUPPORT = false;\n try {\n var object = {};\n object[ITERATOR] = function () {\n return {\n next: function () {\n return { done: ITERATION_SUPPORT = true };\n }\n };\n };\n exec(object);\n } catch (error) { /* empty */ }\n return ITERATION_SUPPORT;\n};\n","'use strict';\nvar getBuiltIn = require('../internals/get-built-in');\nvar definePropertyModule = require('../internals/object-define-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar DESCRIPTORS = require('../internals/descriptors');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (CONSTRUCTOR_NAME) {\n var Constructor = getBuiltIn(CONSTRUCTOR_NAME);\n var defineProperty = definePropertyModule.f;\n\n if (DESCRIPTORS && Constructor && !Constructor[SPECIES]) {\n defineProperty(Constructor, SPECIES, {\n configurable: true,\n get: function () { return this; }\n });\n }\n};\n","'use strict';\n// TODO: Remove from `core-js@4` since it's moved to entry points\nrequire('../modules/es.regexp.exec');\nvar redefine = require('../internals/redefine');\nvar fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar regexpExec = require('../internals/regexp-exec');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nvar SPECIES = wellKnownSymbol('species');\n\nvar REPLACE_SUPPORTS_NAMED_GROUPS = !fails(function () {\n // #replace needs built-in support for named groups.\n // #match works fine because it just return the exec results, even if it has\n // a \"grops\" property.\n var re = /./;\n re.exec = function () {\n var result = [];\n result.groups = { a: '7' };\n return result;\n };\n return ''.replace(re, '$<a>') !== '7';\n});\n\n// IE <= 11 replaces $0 with the whole match, as if it was $&\n// https://stackoverflow.com/questions/6024666/getting-ie-to-replace-a-regex-with-the-literal-string-0\nvar REPLACE_KEEPS_$0 = (function () {\n return 'a'.replace(/./, '$0') === '$0';\n})();\n\nvar REPLACE = wellKnownSymbol('replace');\n// Safari <= 13.0.3(?) substitutes nth capture where n>m with an empty string\nvar REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = (function () {\n if (/./[REPLACE]) {\n return /./[REPLACE]('a', '$0') === '';\n }\n return false;\n})();\n\n// Chrome 51 has a buggy \"split\" implementation when RegExp#exec !== nativeExec\n// Weex JS has frozen built-in prototypes, so use try / catch wrapper\nvar SPLIT_WORKS_WITH_OVERWRITTEN_EXEC = !fails(function () {\n var re = /(?:)/;\n var originalExec = re.exec;\n re.exec = function () { return originalExec.apply(this, arguments); };\n var result = 'ab'.split(re);\n return result.length !== 2 || result[0] !== 'a' || result[1] !== 'b';\n});\n\nmodule.exports = function (KEY, length, exec, sham) {\n var SYMBOL = wellKnownSymbol(KEY);\n\n var DELEGATES_TO_SYMBOL = !fails(function () {\n // String methods call symbol-named RegEp methods\n var O = {};\n O[SYMBOL] = function () { return 7; };\n return ''[KEY](O) != 7;\n });\n\n var DELEGATES_TO_EXEC = DELEGATES_TO_SYMBOL && !fails(function () {\n // Symbol-named RegExp methods call .exec\n var execCalled = false;\n var re = /a/;\n\n if (KEY === 'split') {\n // We can't use real regex here since it causes deoptimization\n // and serious performance degradation in V8\n // https://github.com/zloirock/core-js/issues/306\n re = {};\n // RegExp[@@split] doesn't call the regex's exec method, but first creates\n // a new one. We need to return the patched regex when creating the new one.\n re.constructor = {};\n re.constructor[SPECIES] = function () { return re; };\n re.flags = '';\n re[SYMBOL] = /./[SYMBOL];\n }\n\n re.exec = function () { execCalled = true; return null; };\n\n re[SYMBOL]('');\n return !execCalled;\n });\n\n if (\n !DELEGATES_TO_SYMBOL ||\n !DELEGATES_TO_EXEC ||\n (KEY === 'replace' && !(\n REPLACE_SUPPORTS_NAMED_GROUPS &&\n REPLACE_KEEPS_$0 &&\n !REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n )) ||\n (KEY === 'split' && !SPLIT_WORKS_WITH_OVERWRITTEN_EXEC)\n ) {\n var nativeRegExpMethod = /./[SYMBOL];\n var methods = exec(SYMBOL, ''[KEY], function (nativeMethod, regexp, str, arg2, forceStringMethod) {\n if (regexp.exec === regexpExec) {\n if (DELEGATES_TO_SYMBOL && !forceStringMethod) {\n // The native String method already delegates to @@method (this\n // polyfilled function), leasing to infinite recursion.\n // We avoid it by directly calling the native @@method method.\n return { done: true, value: nativeRegExpMethod.call(regexp, str, arg2) };\n }\n return { done: true, value: nativeMethod.call(str, regexp, arg2) };\n }\n return { done: false };\n }, {\n REPLACE_KEEPS_$0: REPLACE_KEEPS_$0,\n REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE: REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n });\n var stringMethod = methods[0];\n var regexMethod = methods[1];\n\n redefine(String.prototype, KEY, stringMethod);\n redefine(RegExp.prototype, SYMBOL, length == 2\n // 21.2.5.8 RegExp.prototype[@@replace](string, replaceValue)\n // 21.2.5.11 RegExp.prototype[@@split](string, limit)\n ? function (string, arg) { return regexMethod.call(string, this, arg); }\n // 21.2.5.6 RegExp.prototype[@@match](string)\n // 21.2.5.9 RegExp.prototype[@@search](string)\n : function (string) { return regexMethod.call(string, this); }\n );\n }\n\n if (sham) createNonEnumerableProperty(RegExp.prototype[SYMBOL], 'sham', true);\n};\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\n\n// `AdvanceStringIndex` abstract operation\n// https://tc39.github.io/ecma262/#sec-advancestringindex\nmodule.exports = function (S, index, unicode) {\n return index + (unicode ? charAt(S, index).length : 1);\n};\n","var classof = require('./classof-raw');\nvar regexpExec = require('./regexp-exec');\n\n// `RegExpExec` abstract operation\n// https://tc39.github.io/ecma262/#sec-regexpexec\nmodule.exports = function (R, S) {\n var exec = R.exec;\n if (typeof exec === 'function') {\n var result = exec.call(R, S);\n if (typeof result !== 'object') {\n throw TypeError('RegExp exec method returned something other than an Object or null');\n }\n return result;\n }\n\n if (classof(R) !== 'RegExp') {\n throw TypeError('RegExp#exec called on incompatible receiver');\n }\n\n return regexpExec.call(R, S);\n};\n\n","var global = require('../internals/global');\nvar inspectSource = require('../internals/inspect-source');\n\nvar WeakMap = global.WeakMap;\n\nmodule.exports = typeof WeakMap === 'function' && /native code/.test(inspectSource(WeakMap));\n","var has = require('../internals/has');\nvar ownKeys = require('../internals/own-keys');\nvar getOwnPropertyDescriptorModule = require('../internals/object-get-own-property-descriptor');\nvar definePropertyModule = require('../internals/object-define-property');\n\nmodule.exports = function (target, source) {\n var keys = ownKeys(source);\n var defineProperty = definePropertyModule.f;\n var getOwnPropertyDescriptor = getOwnPropertyDescriptorModule.f;\n for (var i = 0; i < keys.length; i++) {\n var key = keys[i];\n if (!has(target, key)) defineProperty(target, key, getOwnPropertyDescriptor(source, key));\n }\n};\n","var getBuiltIn = require('../internals/get-built-in');\nvar getOwnPropertyNamesModule = require('../internals/object-get-own-property-names');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar anObject = require('../internals/an-object');\n\n// all object keys, includes non-enumerable and symbols\nmodule.exports = getBuiltIn('Reflect', 'ownKeys') || function ownKeys(it) {\n var keys = getOwnPropertyNamesModule.f(anObject(it));\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n return getOwnPropertySymbols ? keys.concat(getOwnPropertySymbols(it)) : keys;\n};\n","var global = require('../internals/global');\n\nmodule.exports = global;\n","module.exports = require('./lib/axios');","'use strict';\n\nvar utils = require('./utils');\nvar bind = require('./helpers/bind');\nvar Axios = require('./core/Axios');\nvar mergeConfig = require('./core/mergeConfig');\nvar defaults = require('./defaults');\n\n/**\n * Create an instance of Axios\n *\n * @param {Object} defaultConfig The default config for the instance\n * @return {Axios} A new instance of Axios\n */\nfunction createInstance(defaultConfig) {\n var context = new Axios(defaultConfig);\n var instance = bind(Axios.prototype.request, context);\n\n // Copy axios.prototype to instance\n utils.extend(instance, Axios.prototype, context);\n\n // Copy context to instance\n utils.extend(instance, context);\n\n return instance;\n}\n\n// Create the default instance to be exported\nvar axios = createInstance(defaults);\n\n// Expose Axios class to allow class inheritance\naxios.Axios = Axios;\n\n// Factory for creating new instances\naxios.create = function create(instanceConfig) {\n return createInstance(mergeConfig(axios.defaults, instanceConfig));\n};\n\n// Expose Cancel & CancelToken\naxios.Cancel = require('./cancel/Cancel');\naxios.CancelToken = require('./cancel/CancelToken');\naxios.isCancel = require('./cancel/isCancel');\n\n// Expose all/spread\naxios.all = function all(promises) {\n return Promise.all(promises);\n};\naxios.spread = require('./helpers/spread');\n\nmodule.exports = axios;\n\n// Allow use of default import syntax in TypeScript\nmodule.exports.default = axios;\n","'use strict';\n\nvar utils = require('./../utils');\nvar buildURL = require('../helpers/buildURL');\nvar InterceptorManager = require('./InterceptorManager');\nvar dispatchRequest = require('./dispatchRequest');\nvar mergeConfig = require('./mergeConfig');\n\n/**\n * Create a new instance of Axios\n *\n * @param {Object} instanceConfig The default config for the instance\n */\nfunction Axios(instanceConfig) {\n this.defaults = instanceConfig;\n this.interceptors = {\n request: new InterceptorManager(),\n response: new InterceptorManager()\n };\n}\n\n/**\n * Dispatch a request\n *\n * @param {Object} config The config specific for this request (merged with this.defaults)\n */\nAxios.prototype.request = function request(config) {\n /*eslint no-param-reassign:0*/\n // Allow for axios('example/url'[, config]) a la fetch API\n if (typeof config === 'string') {\n config = arguments[1] || {};\n config.url = arguments[0];\n } else {\n config = config || {};\n }\n\n config = mergeConfig(this.defaults, config);\n\n // Set config.method\n if (config.method) {\n config.method = config.method.toLowerCase();\n } else if (this.defaults.method) {\n config.method = this.defaults.method.toLowerCase();\n } else {\n config.method = 'get';\n }\n\n // Hook up interceptors middleware\n var chain = [dispatchRequest, undefined];\n var promise = Promise.resolve(config);\n\n this.interceptors.request.forEach(function unshiftRequestInterceptors(interceptor) {\n chain.unshift(interceptor.fulfilled, interceptor.rejected);\n });\n\n this.interceptors.response.forEach(function pushResponseInterceptors(interceptor) {\n chain.push(interceptor.fulfilled, interceptor.rejected);\n });\n\n while (chain.length) {\n promise = promise.then(chain.shift(), chain.shift());\n }\n\n return promise;\n};\n\nAxios.prototype.getUri = function getUri(config) {\n config = mergeConfig(this.defaults, config);\n return buildURL(config.url, config.params, config.paramsSerializer).replace(/^\\?/, '');\n};\n\n// Provide aliases for supported request methods\nutils.forEach(['delete', 'get', 'head', 'options'], function forEachMethodNoData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url\n }));\n };\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, data, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url,\n data: data\n }));\n };\n});\n\nmodule.exports = Axios;\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction InterceptorManager() {\n this.handlers = [];\n}\n\n/**\n * Add a new interceptor to the stack\n *\n * @param {Function} fulfilled The function to handle `then` for a `Promise`\n * @param {Function} rejected The function to handle `reject` for a `Promise`\n *\n * @return {Number} An ID used to remove interceptor later\n */\nInterceptorManager.prototype.use = function use(fulfilled, rejected) {\n this.handlers.push({\n fulfilled: fulfilled,\n rejected: rejected\n });\n return this.handlers.length - 1;\n};\n\n/**\n * Remove an interceptor from the stack\n *\n * @param {Number} id The ID that was returned by `use`\n */\nInterceptorManager.prototype.eject = function eject(id) {\n if (this.handlers[id]) {\n this.handlers[id] = null;\n }\n};\n\n/**\n * Iterate over all the registered interceptors\n *\n * This method is particularly useful for skipping over any\n * interceptors that may have become `null` calling `eject`.\n *\n * @param {Function} fn The function to call for each interceptor\n */\nInterceptorManager.prototype.forEach = function forEach(fn) {\n utils.forEach(this.handlers, function forEachHandler(h) {\n if (h !== null) {\n fn(h);\n }\n });\n};\n\nmodule.exports = InterceptorManager;\n","'use strict';\n\nvar utils = require('./../utils');\nvar transformData = require('./transformData');\nvar isCancel = require('../cancel/isCancel');\nvar defaults = require('../defaults');\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nfunction throwIfCancellationRequested(config) {\n if (config.cancelToken) {\n config.cancelToken.throwIfRequested();\n }\n}\n\n/**\n * Dispatch a request to the server using the configured adapter.\n *\n * @param {object} config The config that is to be used for the request\n * @returns {Promise} The Promise to be fulfilled\n */\nmodule.exports = function dispatchRequest(config) {\n throwIfCancellationRequested(config);\n\n // Ensure headers exist\n config.headers = config.headers || {};\n\n // Transform request data\n config.data = transformData(\n config.data,\n config.headers,\n config.transformRequest\n );\n\n // Flatten headers\n config.headers = utils.merge(\n config.headers.common || {},\n config.headers[config.method] || {},\n config.headers\n );\n\n utils.forEach(\n ['delete', 'get', 'head', 'post', 'put', 'patch', 'common'],\n function cleanHeaderConfig(method) {\n delete config.headers[method];\n }\n );\n\n var adapter = config.adapter || defaults.adapter;\n\n return adapter(config).then(function onAdapterResolution(response) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n response.data = transformData(\n response.data,\n response.headers,\n config.transformResponse\n );\n\n return response;\n }, function onAdapterRejection(reason) {\n if (!isCancel(reason)) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n if (reason && reason.response) {\n reason.response.data = transformData(\n reason.response.data,\n reason.response.headers,\n config.transformResponse\n );\n }\n }\n\n return Promise.reject(reason);\n });\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n/**\n * Transform the data for a request or a response\n *\n * @param {Object|String} data The data to be transformed\n * @param {Array} headers The headers for the request or response\n * @param {Array|Function} fns A single function or Array of functions\n * @returns {*} The resulting transformed data\n */\nmodule.exports = function transformData(data, headers, fns) {\n /*eslint no-param-reassign:0*/\n utils.forEach(fns, function transform(fn) {\n data = fn(data, headers);\n });\n\n return data;\n};\n","'use strict';\n\nvar utils = require('../utils');\n\nmodule.exports = function normalizeHeaderName(headers, normalizedName) {\n utils.forEach(headers, function processHeader(value, name) {\n if (name !== normalizedName && name.toUpperCase() === normalizedName.toUpperCase()) {\n headers[normalizedName] = value;\n delete headers[name];\n }\n });\n};\n","'use strict';\n\nvar createError = require('./createError');\n\n/**\n * Resolve or reject a Promise based on response status.\n *\n * @param {Function} resolve A function that resolves the promise.\n * @param {Function} reject A function that rejects the promise.\n * @param {object} response The response.\n */\nmodule.exports = function settle(resolve, reject, response) {\n var validateStatus = response.config.validateStatus;\n if (!validateStatus || validateStatus(response.status)) {\n resolve(response);\n } else {\n reject(createError(\n 'Request failed with status code ' + response.status,\n response.config,\n null,\n response.request,\n response\n ));\n }\n};\n","'use strict';\n\n/**\n * Update an Error with the specified config, error code, and response.\n *\n * @param {Error} error The error to update.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The error.\n */\nmodule.exports = function enhanceError(error, config, code, request, response) {\n error.config = config;\n if (code) {\n error.code = code;\n }\n\n error.request = request;\n error.response = response;\n error.isAxiosError = true;\n\n error.toJSON = function() {\n return {\n // Standard\n message: this.message,\n name: this.name,\n // Microsoft\n description: this.description,\n number: this.number,\n // Mozilla\n fileName: this.fileName,\n lineNumber: this.lineNumber,\n columnNumber: this.columnNumber,\n stack: this.stack,\n // Axios\n config: this.config,\n code: this.code\n };\n };\n return error;\n};\n","'use strict';\n\nvar isAbsoluteURL = require('../helpers/isAbsoluteURL');\nvar combineURLs = require('../helpers/combineURLs');\n\n/**\n * Creates a new URL by combining the baseURL with the requestedURL,\n * only when the requestedURL is not already an absolute URL.\n * If the requestURL is absolute, this function returns the requestedURL untouched.\n *\n * @param {string} baseURL The base URL\n * @param {string} requestedURL Absolute or relative URL to combine\n * @returns {string} The combined full path\n */\nmodule.exports = function buildFullPath(baseURL, requestedURL) {\n if (baseURL && !isAbsoluteURL(requestedURL)) {\n return combineURLs(baseURL, requestedURL);\n }\n return requestedURL;\n};\n","'use strict';\n\n/**\n * Determines whether the specified URL is absolute\n *\n * @param {string} url The URL to test\n * @returns {boolean} True if the specified URL is absolute, otherwise false\n */\nmodule.exports = function isAbsoluteURL(url) {\n // A URL is considered absolute if it begins with \"<scheme>://\" or \"//\" (protocol-relative URL).\n // RFC 3986 defines scheme name as a sequence of characters beginning with a letter and followed\n // by any combination of letters, digits, plus, period, or hyphen.\n return /^([a-z][a-z\\d\\+\\-\\.]*:)?\\/\\//i.test(url);\n};\n","'use strict';\n\n/**\n * Creates a new URL by combining the specified URLs\n *\n * @param {string} baseURL The base URL\n * @param {string} relativeURL The relative URL\n * @returns {string} The combined URL\n */\nmodule.exports = function combineURLs(baseURL, relativeURL) {\n return relativeURL\n ? baseURL.replace(/\\/+$/, '') + '/' + relativeURL.replace(/^\\/+/, '')\n : baseURL;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n// Headers whose duplicates are ignored by node\n// c.f. https://nodejs.org/api/http.html#http_message_headers\nvar ignoreDuplicateOf = [\n 'age', 'authorization', 'content-length', 'content-type', 'etag',\n 'expires', 'from', 'host', 'if-modified-since', 'if-unmodified-since',\n 'last-modified', 'location', 'max-forwards', 'proxy-authorization',\n 'referer', 'retry-after', 'user-agent'\n];\n\n/**\n * Parse headers into an object\n *\n * ```\n * Date: Wed, 27 Aug 2014 08:58:49 GMT\n * Content-Type: application/json\n * Connection: keep-alive\n * Transfer-Encoding: chunked\n * ```\n *\n * @param {String} headers Headers needing to be parsed\n * @returns {Object} Headers parsed into an object\n */\nmodule.exports = function parseHeaders(headers) {\n var parsed = {};\n var key;\n var val;\n var i;\n\n if (!headers) { return parsed; }\n\n utils.forEach(headers.split('\\n'), function parser(line) {\n i = line.indexOf(':');\n key = utils.trim(line.substr(0, i)).toLowerCase();\n val = utils.trim(line.substr(i + 1));\n\n if (key) {\n if (parsed[key] && ignoreDuplicateOf.indexOf(key) >= 0) {\n return;\n }\n if (key === 'set-cookie') {\n parsed[key] = (parsed[key] ? parsed[key] : []).concat([val]);\n } else {\n parsed[key] = parsed[key] ? parsed[key] + ', ' + val : val;\n }\n }\n });\n\n return parsed;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs have full support of the APIs needed to test\n // whether the request URL is of the same origin as current location.\n (function standardBrowserEnv() {\n var msie = /(msie|trident)/i.test(navigator.userAgent);\n var urlParsingNode = document.createElement('a');\n var originURL;\n\n /**\n * Parse a URL to discover it's components\n *\n * @param {String} url The URL to be parsed\n * @returns {Object}\n */\n function resolveURL(url) {\n var href = url;\n\n if (msie) {\n // IE needs attribute set twice to normalize properties\n urlParsingNode.setAttribute('href', href);\n href = urlParsingNode.href;\n }\n\n urlParsingNode.setAttribute('href', href);\n\n // urlParsingNode provides the UrlUtils interface - http://url.spec.whatwg.org/#urlutils\n return {\n href: urlParsingNode.href,\n protocol: urlParsingNode.protocol ? urlParsingNode.protocol.replace(/:$/, '') : '',\n host: urlParsingNode.host,\n search: urlParsingNode.search ? urlParsingNode.search.replace(/^\\?/, '') : '',\n hash: urlParsingNode.hash ? urlParsingNode.hash.replace(/^#/, '') : '',\n hostname: urlParsingNode.hostname,\n port: urlParsingNode.port,\n pathname: (urlParsingNode.pathname.charAt(0) === '/') ?\n urlParsingNode.pathname :\n '/' + urlParsingNode.pathname\n };\n }\n\n originURL = resolveURL(window.location.href);\n\n /**\n * Determine if a URL shares the same origin as the current location\n *\n * @param {String} requestURL The URL to test\n * @returns {boolean} True if URL shares the same origin, otherwise false\n */\n return function isURLSameOrigin(requestURL) {\n var parsed = (utils.isString(requestURL)) ? resolveURL(requestURL) : requestURL;\n return (parsed.protocol === originURL.protocol &&\n parsed.host === originURL.host);\n };\n })() :\n\n // Non standard browser envs (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return function isURLSameOrigin() {\n return true;\n };\n })()\n);\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs support document.cookie\n (function standardBrowserEnv() {\n return {\n write: function write(name, value, expires, path, domain, secure) {\n var cookie = [];\n cookie.push(name + '=' + encodeURIComponent(value));\n\n if (utils.isNumber(expires)) {\n cookie.push('expires=' + new Date(expires).toGMTString());\n }\n\n if (utils.isString(path)) {\n cookie.push('path=' + path);\n }\n\n if (utils.isString(domain)) {\n cookie.push('domain=' + domain);\n }\n\n if (secure === true) {\n cookie.push('secure');\n }\n\n document.cookie = cookie.join('; ');\n },\n\n read: function read(name) {\n var match = document.cookie.match(new RegExp('(^|;\\\\s*)(' + name + ')=([^;]*)'));\n return (match ? decodeURIComponent(match[3]) : null);\n },\n\n remove: function remove(name) {\n this.write(name, '', Date.now() - 86400000);\n }\n };\n })() :\n\n // Non standard browser env (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return {\n write: function write() {},\n read: function read() { return null; },\n remove: function remove() {}\n };\n })()\n);\n","'use strict';\n\nvar Cancel = require('./Cancel');\n\n/**\n * A `CancelToken` is an object that can be used to request cancellation of an operation.\n *\n * @class\n * @param {Function} executor The executor function.\n */\nfunction CancelToken(executor) {\n if (typeof executor !== 'function') {\n throw new TypeError('executor must be a function.');\n }\n\n var resolvePromise;\n this.promise = new Promise(function promiseExecutor(resolve) {\n resolvePromise = resolve;\n });\n\n var token = this;\n executor(function cancel(message) {\n if (token.reason) {\n // Cancellation has already been requested\n return;\n }\n\n token.reason = new Cancel(message);\n resolvePromise(token.reason);\n });\n}\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nCancelToken.prototype.throwIfRequested = function throwIfRequested() {\n if (this.reason) {\n throw this.reason;\n }\n};\n\n/**\n * Returns an object that contains a new `CancelToken` and a function that, when called,\n * cancels the `CancelToken`.\n */\nCancelToken.source = function source() {\n var cancel;\n var token = new CancelToken(function executor(c) {\n cancel = c;\n });\n return {\n token: token,\n cancel: cancel\n };\n};\n\nmodule.exports = CancelToken;\n","'use strict';\n\n/**\n * Syntactic sugar for invoking a function and expanding an array for arguments.\n *\n * Common use case would be to use `Function.prototype.apply`.\n *\n * ```js\n * function f(x, y, z) {}\n * var args = [1, 2, 3];\n * f.apply(null, args);\n * ```\n *\n * With `spread` this example can be re-written.\n *\n * ```js\n * spread(function(x, y, z) {})([1, 2, 3]);\n * ```\n *\n * @param {Function} callback\n * @returns {Function}\n */\nmodule.exports = function spread(callback) {\n return function wrap(arr) {\n return callback.apply(null, arr);\n };\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRequestToken = getRequestToken;\nexports.onRequestTokenUpdate = onRequestTokenUpdate;\n\nvar _eventBus = require(\"@nextcloud/event-bus\");\n\nvar tokenElement = document.getElementsByTagName('head')[0];\nvar token = tokenElement ? tokenElement.getAttribute('data-requesttoken') : null;\nvar observers = [];\n\nfunction getRequestToken() {\n return token;\n}\n\nfunction onRequestTokenUpdate(observer) {\n observers.push(observer);\n} // Listen to server event and keep token in sync\n\n\n(0, _eventBus.subscribe)('csrf-token-update', function (e) {\n token = e.token;\n observers.forEach(function (observer) {\n try {\n observer(e.token);\n } catch (e) {\n console.error('error updating CSRF token observer', e);\n }\n });\n});\n//# sourceMappingURL=requesttoken.js.map","var NATIVE_SYMBOL = require('../internals/native-symbol');\n\nmodule.exports = NATIVE_SYMBOL\n // eslint-disable-next-line no-undef\n && !Symbol.sham\n // eslint-disable-next-line no-undef\n && typeof Symbol.iterator == 'symbol';\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.ProxyBus = void 0;\n\nvar _valid = _interopRequireDefault(require(\"semver/functions/valid\"));\n\nvar _major = _interopRequireDefault(require(\"semver/functions/major\"));\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar ProxyBus = /*#__PURE__*/function () {\n function ProxyBus(bus) {\n _classCallCheck(this, ProxyBus);\n\n _defineProperty(this, \"bus\", void 0);\n\n if (typeof bus.getVersion !== 'function' || !(0, _valid.default)(bus.getVersion())) {\n console.warn('Proxying an event bus with an unknown or invalid version');\n } else if ((0, _major.default)(bus.getVersion()) !== (0, _major.default)(this.getVersion())) {\n console.warn('Proxying an event bus of version ' + bus.getVersion() + ' with ' + this.getVersion());\n }\n\n this.bus = bus;\n }\n\n _createClass(ProxyBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.bus.subscribe(name, handler);\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.bus.unsubscribe(name, handler);\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n this.bus.emit(name, event);\n }\n }]);\n\n return ProxyBus;\n}();\n\nexports.ProxyBus = ProxyBus;\n//# sourceMappingURL=ProxyBus.js.map","const parse = require('./parse')\nconst valid = (version, options) => {\n const v = parse(version, options)\n return v ? v.version : null\n}\nmodule.exports = valid\n","const {MAX_LENGTH} = require('../internal/constants')\nconst { re, t } = require('../internal/re')\nconst SemVer = require('../classes/semver')\n\nconst parse = (version, options) => {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n\n if (version instanceof SemVer) {\n return version\n }\n\n if (typeof version !== 'string') {\n return null\n }\n\n if (version.length > MAX_LENGTH) {\n return null\n }\n\n const r = options.loose ? re[t.LOOSE] : re[t.FULL]\n if (!r.test(version)) {\n return null\n }\n\n try {\n return new SemVer(version, options)\n } catch (er) {\n return null\n }\n}\n\nmodule.exports = parse\n","const numeric = /^[0-9]+$/\nconst compareIdentifiers = (a, b) => {\n const anum = numeric.test(a)\n const bnum = numeric.test(b)\n\n if (anum && bnum) {\n a = +a\n b = +b\n }\n\n return a === b ? 0\n : (anum && !bnum) ? -1\n : (bnum && !anum) ? 1\n : a < b ? -1\n : 1\n}\n\nconst rcompareIdentifiers = (a, b) => compareIdentifiers(b, a)\n\nmodule.exports = {\n compareIdentifiers,\n rcompareIdentifiers\n}\n","const SemVer = require('../classes/semver')\nconst major = (a, loose) => new SemVer(a, loose).major\nmodule.exports = major\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.concat\");\n\nrequire(\"core-js/modules/es.array.filter\");\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nrequire(\"core-js/modules/es.array.iterator\");\n\nrequire(\"core-js/modules/es.map\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.string.iterator\");\n\nrequire(\"core-js/modules/web.dom-collections.for-each\");\n\nrequire(\"core-js/modules/web.dom-collections.iterator\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.SimpleBus = void 0;\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar SimpleBus = /*#__PURE__*/function () {\n function SimpleBus() {\n _classCallCheck(this, SimpleBus);\n\n _defineProperty(this, \"handlers\", new Map());\n }\n\n _createClass(SimpleBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).concat(handler));\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).filter(function (h) {\n return h != handler;\n }));\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n (this.handlers.get(name) || []).forEach(function (h) {\n try {\n h(event);\n } catch (e) {\n console.error('could not invoke event listener', e);\n }\n });\n }\n }]);\n\n return SimpleBus;\n}();\n\nexports.SimpleBus = SimpleBus;\n//# sourceMappingURL=SimpleBus.js.map","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar create = require('../internals/object-create');\nvar definePropertyModule = require('../internals/object-define-property');\n\nvar UNSCOPABLES = wellKnownSymbol('unscopables');\nvar ArrayPrototype = Array.prototype;\n\n// Array.prototype[@@unscopables]\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\nif (ArrayPrototype[UNSCOPABLES] == undefined) {\n definePropertyModule.f(ArrayPrototype, UNSCOPABLES, {\n configurable: true,\n value: create(null)\n });\n}\n\n// add a key to Array.prototype[@@unscopables]\nmodule.exports = function (key) {\n ArrayPrototype[UNSCOPABLES][key] = true;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n function F() { /* empty */ }\n F.prototype.constructor = null;\n return Object.getPrototypeOf(new F()) !== F.prototype;\n});\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it) && it !== null) {\n throw TypeError(\"Can't set \" + String(it) + ' as a prototype');\n } return it;\n};\n","'use strict';\nvar collection = require('../internals/collection');\nvar collectionStrong = require('../internals/collection-strong');\n\n// `Map` constructor\n// https://tc39.github.io/ecma262/#sec-map-objects\nmodule.exports = collection('Map', function (init) {\n return function Map() { return init(this, arguments.length ? arguments[0] : undefined); };\n}, collectionStrong);\n","'use strict';\nvar $ = require('../internals/export');\nvar global = require('../internals/global');\nvar isForced = require('../internals/is-forced');\nvar redefine = require('../internals/redefine');\nvar InternalMetadataModule = require('../internals/internal-metadata');\nvar iterate = require('../internals/iterate');\nvar anInstance = require('../internals/an-instance');\nvar isObject = require('../internals/is-object');\nvar fails = require('../internals/fails');\nvar checkCorrectnessOfIteration = require('../internals/check-correctness-of-iteration');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar inheritIfRequired = require('../internals/inherit-if-required');\n\nmodule.exports = function (CONSTRUCTOR_NAME, wrapper, common) {\n var IS_MAP = CONSTRUCTOR_NAME.indexOf('Map') !== -1;\n var IS_WEAK = CONSTRUCTOR_NAME.indexOf('Weak') !== -1;\n var ADDER = IS_MAP ? 'set' : 'add';\n var NativeConstructor = global[CONSTRUCTOR_NAME];\n var NativePrototype = NativeConstructor && NativeConstructor.prototype;\n var Constructor = NativeConstructor;\n var exported = {};\n\n var fixMethod = function (KEY) {\n var nativeMethod = NativePrototype[KEY];\n redefine(NativePrototype, KEY,\n KEY == 'add' ? function add(value) {\n nativeMethod.call(this, value === 0 ? 0 : value);\n return this;\n } : KEY == 'delete' ? function (key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'get' ? function get(key) {\n return IS_WEAK && !isObject(key) ? undefined : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'has' ? function has(key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : function set(key, value) {\n nativeMethod.call(this, key === 0 ? 0 : key, value);\n return this;\n }\n );\n };\n\n // eslint-disable-next-line max-len\n if (isForced(CONSTRUCTOR_NAME, typeof NativeConstructor != 'function' || !(IS_WEAK || NativePrototype.forEach && !fails(function () {\n new NativeConstructor().entries().next();\n })))) {\n // create collection constructor\n Constructor = common.getConstructor(wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER);\n InternalMetadataModule.REQUIRED = true;\n } else if (isForced(CONSTRUCTOR_NAME, true)) {\n var instance = new Constructor();\n // early implementations not supports chaining\n var HASNT_CHAINING = instance[ADDER](IS_WEAK ? {} : -0, 1) != instance;\n // V8 ~ Chromium 40- weak-collections throws on primitives, but should return false\n var THROWS_ON_PRIMITIVES = fails(function () { instance.has(1); });\n // most early implementations doesn't supports iterables, most modern - not close it correctly\n // eslint-disable-next-line no-new\n var ACCEPT_ITERABLES = checkCorrectnessOfIteration(function (iterable) { new NativeConstructor(iterable); });\n // for early implementations -0 and +0 not the same\n var BUGGY_ZERO = !IS_WEAK && fails(function () {\n // V8 ~ Chromium 42- fails only with 5+ elements\n var $instance = new NativeConstructor();\n var index = 5;\n while (index--) $instance[ADDER](index, index);\n return !$instance.has(-0);\n });\n\n if (!ACCEPT_ITERABLES) {\n Constructor = wrapper(function (dummy, iterable) {\n anInstance(dummy, Constructor, CONSTRUCTOR_NAME);\n var that = inheritIfRequired(new NativeConstructor(), dummy, Constructor);\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n return that;\n });\n Constructor.prototype = NativePrototype;\n NativePrototype.constructor = Constructor;\n }\n\n if (THROWS_ON_PRIMITIVES || BUGGY_ZERO) {\n fixMethod('delete');\n fixMethod('has');\n IS_MAP && fixMethod('get');\n }\n\n if (BUGGY_ZERO || HASNT_CHAINING) fixMethod(ADDER);\n\n // weak collections should not contains .clear method\n if (IS_WEAK && NativePrototype.clear) delete NativePrototype.clear;\n }\n\n exported[CONSTRUCTOR_NAME] = Constructor;\n $({ global: true, forced: Constructor != NativeConstructor }, exported);\n\n setToStringTag(Constructor, CONSTRUCTOR_NAME);\n\n if (!IS_WEAK) common.setStrong(Constructor, CONSTRUCTOR_NAME, IS_MAP);\n\n return Constructor;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n return Object.isExtensible(Object.preventExtensions({}));\n});\n","'use strict';\nvar defineProperty = require('../internals/object-define-property').f;\nvar create = require('../internals/object-create');\nvar redefineAll = require('../internals/redefine-all');\nvar bind = require('../internals/function-bind-context');\nvar anInstance = require('../internals/an-instance');\nvar iterate = require('../internals/iterate');\nvar defineIterator = require('../internals/define-iterator');\nvar setSpecies = require('../internals/set-species');\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fastKey = require('../internals/internal-metadata').fastKey;\nvar InternalStateModule = require('../internals/internal-state');\n\nvar setInternalState = InternalStateModule.set;\nvar internalStateGetterFor = InternalStateModule.getterFor;\n\nmodule.exports = {\n getConstructor: function (wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER) {\n var C = wrapper(function (that, iterable) {\n anInstance(that, C, CONSTRUCTOR_NAME);\n setInternalState(that, {\n type: CONSTRUCTOR_NAME,\n index: create(null),\n first: undefined,\n last: undefined,\n size: 0\n });\n if (!DESCRIPTORS) that.size = 0;\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n });\n\n var getInternalState = internalStateGetterFor(CONSTRUCTOR_NAME);\n\n var define = function (that, key, value) {\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n var previous, index;\n // change existing entry\n if (entry) {\n entry.value = value;\n // create new entry\n } else {\n state.last = entry = {\n index: index = fastKey(key, true),\n key: key,\n value: value,\n previous: previous = state.last,\n next: undefined,\n removed: false\n };\n if (!state.first) state.first = entry;\n if (previous) previous.next = entry;\n if (DESCRIPTORS) state.size++;\n else that.size++;\n // add to index\n if (index !== 'F') state.index[index] = entry;\n } return that;\n };\n\n var getEntry = function (that, key) {\n var state = getInternalState(that);\n // fast case\n var index = fastKey(key);\n var entry;\n if (index !== 'F') return state.index[index];\n // frozen object case\n for (entry = state.first; entry; entry = entry.next) {\n if (entry.key == key) return entry;\n }\n };\n\n redefineAll(C.prototype, {\n // 23.1.3.1 Map.prototype.clear()\n // 23.2.3.2 Set.prototype.clear()\n clear: function clear() {\n var that = this;\n var state = getInternalState(that);\n var data = state.index;\n var entry = state.first;\n while (entry) {\n entry.removed = true;\n if (entry.previous) entry.previous = entry.previous.next = undefined;\n delete data[entry.index];\n entry = entry.next;\n }\n state.first = state.last = undefined;\n if (DESCRIPTORS) state.size = 0;\n else that.size = 0;\n },\n // 23.1.3.3 Map.prototype.delete(key)\n // 23.2.3.4 Set.prototype.delete(value)\n 'delete': function (key) {\n var that = this;\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n if (entry) {\n var next = entry.next;\n var prev = entry.previous;\n delete state.index[entry.index];\n entry.removed = true;\n if (prev) prev.next = next;\n if (next) next.previous = prev;\n if (state.first == entry) state.first = next;\n if (state.last == entry) state.last = prev;\n if (DESCRIPTORS) state.size--;\n else that.size--;\n } return !!entry;\n },\n // 23.2.3.6 Set.prototype.forEach(callbackfn, thisArg = undefined)\n // 23.1.3.5 Map.prototype.forEach(callbackfn, thisArg = undefined)\n forEach: function forEach(callbackfn /* , that = undefined */) {\n var state = getInternalState(this);\n var boundFunction = bind(callbackfn, arguments.length > 1 ? arguments[1] : undefined, 3);\n var entry;\n while (entry = entry ? entry.next : state.first) {\n boundFunction(entry.value, entry.key, this);\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n }\n },\n // 23.1.3.7 Map.prototype.has(key)\n // 23.2.3.7 Set.prototype.has(value)\n has: function has(key) {\n return !!getEntry(this, key);\n }\n });\n\n redefineAll(C.prototype, IS_MAP ? {\n // 23.1.3.6 Map.prototype.get(key)\n get: function get(key) {\n var entry = getEntry(this, key);\n return entry && entry.value;\n },\n // 23.1.3.9 Map.prototype.set(key, value)\n set: function set(key, value) {\n return define(this, key === 0 ? 0 : key, value);\n }\n } : {\n // 23.2.3.1 Set.prototype.add(value)\n add: function add(value) {\n return define(this, value = value === 0 ? 0 : value, value);\n }\n });\n if (DESCRIPTORS) defineProperty(C.prototype, 'size', {\n get: function () {\n return getInternalState(this).size;\n }\n });\n return C;\n },\n setStrong: function (C, CONSTRUCTOR_NAME, IS_MAP) {\n var ITERATOR_NAME = CONSTRUCTOR_NAME + ' Iterator';\n var getInternalCollectionState = internalStateGetterFor(CONSTRUCTOR_NAME);\n var getInternalIteratorState = internalStateGetterFor(ITERATOR_NAME);\n // add .keys, .values, .entries, [@@iterator]\n // 23.1.3.4, 23.1.3.8, 23.1.3.11, 23.1.3.12, 23.2.3.5, 23.2.3.8, 23.2.3.10, 23.2.3.11\n defineIterator(C, CONSTRUCTOR_NAME, function (iterated, kind) {\n setInternalState(this, {\n type: ITERATOR_NAME,\n target: iterated,\n state: getInternalCollectionState(iterated),\n kind: kind,\n last: undefined\n });\n }, function () {\n var state = getInternalIteratorState(this);\n var kind = state.kind;\n var entry = state.last;\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n // get next entry\n if (!state.target || !(state.last = entry = entry ? entry.next : state.state.first)) {\n // or finish the iteration\n state.target = undefined;\n return { value: undefined, done: true };\n }\n // return step by kind\n if (kind == 'keys') return { value: entry.key, done: false };\n if (kind == 'values') return { value: entry.value, done: false };\n return { value: [entry.key, entry.value], done: false };\n }, IS_MAP ? 'entries' : 'values', !IS_MAP, true);\n\n // add [@@species], 23.1.2.2, 23.2.2.2\n setSpecies(CONSTRUCTOR_NAME);\n }\n};\n","'use strict';\nvar TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classof = require('../internals/classof');\n\n// `Object.prototype.toString` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nmodule.exports = TO_STRING_TAG_SUPPORT ? {}.toString : function toString() {\n return '[object ' + classof(this) + ']';\n};\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar forEach = require('../internals/array-for-each');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype && CollectionPrototype.forEach !== forEach) try {\n createNonEnumerableProperty(CollectionPrototype, 'forEach', forEach);\n } catch (error) {\n CollectionPrototype.forEach = forEach;\n }\n}\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getCurrentUser = getCurrentUser;\nvar uidElement = document.getElementsByTagName('head')[0];\nvar uid = uidElement ? uidElement.getAttribute('data-user') : null;\nvar displayNameElement = document.getElementsByTagName('head')[0];\nvar displayName = displayNameElement ? displayNameElement.getAttribute('data-user-displayname') : null;\n\nfunction getCurrentUser() {\n if (uid === null) {\n return null;\n }\n\n return {\n uid: uid,\n displayName: displayName\n };\n}\n//# sourceMappingURL=user.js.map","'use strict';\n\nvar fails = require('./fails');\n\n// babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError,\n// so we use an intermediate function.\nfunction RE(s, f) {\n return RegExp(s, f);\n}\n\nexports.UNSUPPORTED_Y = fails(function () {\n // babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError\n var re = RE('a', 'y');\n re.lastIndex = 2;\n return re.exec('abcd') != null;\n});\n\nexports.BROKEN_CARET = fails(function () {\n // https://bugzilla.mozilla.org/show_bug.cgi?id=773687\n var re = RE('^r', 'gy');\n re.lastIndex = 2;\n return re.exec('str') != null;\n});\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var scope = (typeof global !== \"undefined\" && global) ||\n (typeof self !== \"undefined\" && self) ||\n window;\nvar apply = Function.prototype.apply;\n\n// DOM APIs, for completeness\n\nexports.setTimeout = function() {\n return new Timeout(apply.call(setTimeout, scope, arguments), clearTimeout);\n};\nexports.setInterval = function() {\n return new Timeout(apply.call(setInterval, scope, arguments), clearInterval);\n};\nexports.clearTimeout =\nexports.clearInterval = function(timeout) {\n if (timeout) {\n timeout.close();\n }\n};\n\nfunction Timeout(id, clearFn) {\n this._id = id;\n this._clearFn = clearFn;\n}\nTimeout.prototype.unref = Timeout.prototype.ref = function() {};\nTimeout.prototype.close = function() {\n this._clearFn.call(scope, this._id);\n};\n\n// Does not start the time, just sets up the members needed.\nexports.enroll = function(item, msecs) {\n clearTimeout(item._idleTimeoutId);\n item._idleTimeout = msecs;\n};\n\nexports.unenroll = function(item) {\n clearTimeout(item._idleTimeoutId);\n item._idleTimeout = -1;\n};\n\nexports._unrefActive = exports.active = function(item) {\n clearTimeout(item._idleTimeoutId);\n\n var msecs = item._idleTimeout;\n if (msecs >= 0) {\n item._idleTimeoutId = setTimeout(function onTimeout() {\n if (item._onTimeout)\n item._onTimeout();\n }, msecs);\n }\n};\n\n// setimmediate attaches itself to the global object\nrequire(\"setimmediate\");\n// On some exotic environments, it's not clear which object `setimmediate` was\n// able to install onto. Search each possibility in the same order as the\n// `setimmediate` library.\nexports.setImmediate = (typeof self !== \"undefined\" && self.setImmediate) ||\n (typeof global !== \"undefined\" && global.setImmediate) ||\n (this && this.setImmediate);\nexports.clearImmediate = (typeof self !== \"undefined\" && self.clearImmediate) ||\n (typeof global !== \"undefined\" && global.clearImmediate) ||\n (this && this.clearImmediate);\n","(function (global, undefined) {\n \"use strict\";\n\n if (global.setImmediate) {\n return;\n }\n\n var nextHandle = 1; // Spec says greater than zero\n var tasksByHandle = {};\n var currentlyRunningATask = false;\n var doc = global.document;\n var registerImmediate;\n\n function setImmediate(callback) {\n // Callback can either be a function or a string\n if (typeof callback !== \"function\") {\n callback = new Function(\"\" + callback);\n }\n // Copy function arguments\n var args = new Array(arguments.length - 1);\n for (var i = 0; i < args.length; i++) {\n args[i] = arguments[i + 1];\n }\n // Store and register the task\n var task = { callback: callback, args: args };\n tasksByHandle[nextHandle] = task;\n registerImmediate(nextHandle);\n return nextHandle++;\n }\n\n function clearImmediate(handle) {\n delete tasksByHandle[handle];\n }\n\n function run(task) {\n var callback = task.callback;\n var args = task.args;\n switch (args.length) {\n case 0:\n callback();\n break;\n case 1:\n callback(args[0]);\n break;\n case 2:\n callback(args[0], args[1]);\n break;\n case 3:\n callback(args[0], args[1], args[2]);\n break;\n default:\n callback.apply(undefined, args);\n break;\n }\n }\n\n function runIfPresent(handle) {\n // From the spec: \"Wait until any invocations of this algorithm started before this one have completed.\"\n // So if we're currently running a task, we'll need to delay this invocation.\n if (currentlyRunningATask) {\n // Delay by doing a setTimeout. setImmediate was tried instead, but in Firefox 7 it generated a\n // \"too much recursion\" error.\n setTimeout(runIfPresent, 0, handle);\n } else {\n var task = tasksByHandle[handle];\n if (task) {\n currentlyRunningATask = true;\n try {\n run(task);\n } finally {\n clearImmediate(handle);\n currentlyRunningATask = false;\n }\n }\n }\n }\n\n function installNextTickImplementation() {\n registerImmediate = function(handle) {\n process.nextTick(function () { runIfPresent(handle); });\n };\n }\n\n function canUsePostMessage() {\n // The test against `importScripts` prevents this implementation from being installed inside a web worker,\n // where `global.postMessage` means something completely different and can't be used for this purpose.\n if (global.postMessage && !global.importScripts) {\n var postMessageIsAsynchronous = true;\n var oldOnMessage = global.onmessage;\n global.onmessage = function() {\n postMessageIsAsynchronous = false;\n };\n global.postMessage(\"\", \"*\");\n global.onmessage = oldOnMessage;\n return postMessageIsAsynchronous;\n }\n }\n\n function installPostMessageImplementation() {\n // Installs an event handler on `global` for the `message` event: see\n // * https://developer.mozilla.org/en/DOM/window.postMessage\n // * http://www.whatwg.org/specs/web-apps/current-work/multipage/comms.html#crossDocumentMessages\n\n var messagePrefix = \"setImmediate$\" + Math.random() + \"$\";\n var onGlobalMessage = function(event) {\n if (event.source === global &&\n typeof event.data === \"string\" &&\n event.data.indexOf(messagePrefix) === 0) {\n runIfPresent(+event.data.slice(messagePrefix.length));\n }\n };\n\n if (global.addEventListener) {\n global.addEventListener(\"message\", onGlobalMessage, false);\n } else {\n global.attachEvent(\"onmessage\", onGlobalMessage);\n }\n\n registerImmediate = function(handle) {\n global.postMessage(messagePrefix + handle, \"*\");\n };\n }\n\n function installMessageChannelImplementation() {\n var channel = new MessageChannel();\n channel.port1.onmessage = function(event) {\n var handle = event.data;\n runIfPresent(handle);\n };\n\n registerImmediate = function(handle) {\n channel.port2.postMessage(handle);\n };\n }\n\n function installReadyStateChangeImplementation() {\n var html = doc.documentElement;\n registerImmediate = function(handle) {\n // Create a <script> element; its readystatechange event will be fired asynchronously once it is inserted\n // into the document. Do so, thus queuing up the task. Remember to clean up once it's been called.\n var script = doc.createElement(\"script\");\n script.onreadystatechange = function () {\n runIfPresent(handle);\n script.onreadystatechange = null;\n html.removeChild(script);\n script = null;\n };\n html.appendChild(script);\n };\n }\n\n function installSetTimeoutImplementation() {\n registerImmediate = function(handle) {\n setTimeout(runIfPresent, 0, handle);\n };\n }\n\n // If supported, we should attach to the prototype of global, since that is where setTimeout et al. live.\n var attachTo = Object.getPrototypeOf && Object.getPrototypeOf(global);\n attachTo = attachTo && attachTo.setTimeout ? attachTo : global;\n\n // Don't get fooled by e.g. browserify environments.\n if ({}.toString.call(global.process) === \"[object process]\") {\n // For Node.js before 0.9\n installNextTickImplementation();\n\n } else if (canUsePostMessage()) {\n // For non-IE10 modern browsers\n installPostMessageImplementation();\n\n } else if (global.MessageChannel) {\n // For web workers, where supported\n installMessageChannelImplementation();\n\n } else if (doc && \"onreadystatechange\" in doc.createElement(\"script\")) {\n // For IE 6–8\n installReadyStateChangeImplementation();\n\n } else {\n // For older browsers\n installSetTimeoutImplementation();\n }\n\n attachTo.setImmediate = setImmediate;\n attachTo.clearImmediate = clearImmediate;\n}(typeof self === \"undefined\" ? typeof global === \"undefined\" ? this : global : self));\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./FilesSettings.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./FilesSettings.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n<template>\n\t<div id=\"files-setting-richworkspace\">\n\t\t<input id=\"showRichWorkspacesToggle\"\n\t\t\tv-model=\"showWorkspace\"\n\t\t\tclass=\"checkbox\"\n\t\t\ttype=\"checkbox\"\n\t\t\t@change=\"toggle\">\n\t\t<label for=\"showRichWorkspacesToggle\">{{ t('text', 'Show rich workspaces') }}</label>\n\t</div>\n</template>\n\n<script>\nimport { emit } from '@nextcloud/event-bus'\nimport axios from '@nextcloud/axios'\nimport { generateUrl } from '@nextcloud/router'\n\nexport default {\n\tname: 'FilesSettings',\n\tdata() {\n\t\treturn {\n\t\t\tshowWorkspace: OCA.Text.RichWorkspaceEnabled,\n\t\t}\n\t},\n\tmethods: {\n\t\ttoggle() {\n\t\t\t// FIXME: save to app config\n\t\t\tif (this.showWorkspace) {\n\t\t\t\temit('Text::showRichWorkspace')\n\t\t\t\taxios.post(generateUrl('/apps/text/settings'), {\n\t\t\t\t\tkey: 'workspace_enabled',\n\t\t\t\t\tvalue: '1',\n\t\t\t\t})\n\t\t\t} else {\n\t\t\t\temit('Text::hideRichWorkspace')\n\t\t\t\taxios.post(generateUrl('/apps/text/settings'), {\n\t\t\t\t\tkey: 'workspace_enabled',\n\t\t\t\t\tvalue: '0',\n\t\t\t\t})\n\t\t\t}\n\t\t},\n\t},\n}\n</script>\n","import { render, staticRenderFns } from \"./FilesSettings.vue?vue&type=template&id=78d525c6&\"\nimport script from \"./FilesSettings.vue?vue&type=script&lang=js&\"\nexport * from \"./FilesSettings.vue?vue&type=script&lang=js&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n null,\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{attrs:{\"id\":\"files-setting-richworkspace\"}},[_c('input',{directives:[{name:\"model\",rawName:\"v-model\",value:(_vm.showWorkspace),expression:\"showWorkspace\"}],staticClass:\"checkbox\",attrs:{\"id\":\"showRichWorkspacesToggle\",\"type\":\"checkbox\"},domProps:{\"checked\":Array.isArray(_vm.showWorkspace)?_vm._i(_vm.showWorkspace,null)>-1:(_vm.showWorkspace)},on:{\"change\":[function($event){var $$a=_vm.showWorkspace,$$el=$event.target,$$c=$$el.checked?(true):(false);if(Array.isArray($$a)){var $$v=null,$$i=_vm._i($$a,$$v);if($$el.checked){$$i<0&&(_vm.showWorkspace=$$a.concat([$$v]))}else{$$i>-1&&(_vm.showWorkspace=$$a.slice(0,$$i).concat($$a.slice($$i+1)))}}else{_vm.showWorkspace=$$c}},_vm.toggle]}}),_vm._v(\" \"),_c('label',{attrs:{\"for\":\"showRichWorkspacesToggle\"}},[_vm._v(_vm._s(_vm.t('text', 'Show rich workspaces')))])])}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport Vue from 'vue'\nimport { registerFileActionFallback, registerFileCreate, FilesWorkspacePlugin } from './helpers/files'\nimport FilesSettings from './views/FilesSettings'\nimport { loadState } from '@nextcloud/initial-state'\nimport { linkTo } from '@nextcloud/router'\n\n__webpack_nonce__ = btoa(OC.requestToken) // eslint-disable-line\n__webpack_public_path__ = linkTo('text', 'js/') // eslint-disable-line\n\nconst workspaceAvailable = loadState('text', 'workspace_available')\nconst workspaceEnabled = loadState('text', 'workspace_enabled')\n\nregisterFileCreate()\n\ndocument.addEventListener('DOMContentLoaded', () => {\n\tif (typeof OCA.Viewer === 'undefined') {\n\t\tconsole.error('Viewer app is not installed')\n\t\tregisterFileActionFallback()\n\t}\n\n\tif (workspaceAvailable && OCA && OCA.Files && OCA.Files.Settings) {\n\t\tVue.prototype.t = window.t\n\t\tVue.prototype.n = window.n\n\t\tVue.prototype.OCA = window.OCA\n\t\tconst vm = new Vue({\n\t\t\trender: h => h(FilesSettings, {}),\n\t\t})\n\t\tconst el = vm.$mount().$el\n\t\tOCA.Files.Settings.register(new OCA.Files.Settings.Setting('text', {\n\t\t\tel: () => { return el },\n\t\t}))\n\t}\n\n})\nif (workspaceAvailable) {\n\tOC.Plugins.register('OCA.Files.FileList', FilesWorkspacePlugin)\n}\n\nOCA.Text = {\n\tRichWorkspaceEnabled: workspaceEnabled,\n}\n"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///webpack/bootstrap","webpack:///./node_modules/core-js/internals/fails.js","webpack:///./node_modules/core-js/internals/well-known-symbol.js","webpack:///./node_modules/core-js/internals/global.js","webpack:///./node_modules/axios/lib/utils.js","webpack:///./node_modules/core-js/internals/has.js","webpack:///./node_modules/core-js/internals/an-object.js","webpack:///./node_modules/core-js/internals/is-object.js","webpack:///./node_modules/core-js/internals/descriptors.js","webpack:///./node_modules/core-js/internals/object-define-property.js","webpack:///./node_modules/core-js/internals/create-non-enumerable-property.js","webpack:///./node_modules/core-js/internals/export.js","webpack:///./node_modules/@nextcloud/router/dist/index.js","webpack:///./node_modules/core-js/internals/redefine.js","webpack:///./src/helpers/mime.js","webpack:///./node_modules/@nextcloud/event-bus/dist/index.js","webpack:///./node_modules/core-js/internals/to-length.js","webpack:///./node_modules/core-js/internals/to-object.js","webpack:///./node_modules/core-js/internals/iterators.js","webpack:///./node_modules/vue-loader/lib/runtime/componentNormalizer.js","webpack:///./node_modules/core-js/internals/classof-raw.js","webpack:///./node_modules/core-js/internals/internal-state.js","webpack:///./node_modules/core-js/internals/require-object-coercible.js","webpack:///./node_modules/core-js/internals/get-built-in.js","webpack:///./node_modules/core-js/internals/to-integer.js","webpack:///./node_modules/core-js/internals/create-property-descriptor.js","webpack:///./node_modules/core-js/internals/to-indexed-object.js","webpack:///./node_modules/core-js/internals/hidden-keys.js","webpack:///./src/views/RichWorkspace.vue?c293","webpack:///src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?d0bc","webpack:///./src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?97cd","webpack:///./src/helpers/files.js","webpack:///./node_modules/@nextcloud/axios/dist/index.js","webpack:///./node_modules/core-js/internals/function-bind-context.js","webpack:///./node_modules/core-js/internals/array-method-uses-to-length.js","webpack:///./node_modules/core-js/internals/object-create.js","webpack:///./node_modules/core-js/internals/set-to-string-tag.js","webpack:///./node_modules/core-js/internals/to-primitive.js","webpack:///./node_modules/core-js/internals/is-pure.js","webpack:///./node_modules/core-js/internals/indexed-object.js","webpack:///./node_modules/core-js/internals/set-global.js","webpack:///./node_modules/core-js/internals/shared-key.js","webpack:///./node_modules/core-js/internals/uid.js","webpack:///./node_modules/core-js/internals/enum-bug-keys.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/constants.js","webpack:///./node_modules/core-js/internals/define-iterator.js","webpack:///./node_modules/core-js/internals/to-string-tag-support.js","webpack:///./node_modules/core-js/internals/regexp-exec.js","webpack:///./node_modules/vue/dist/vue.esm.js","webpack:///(webpack)/buildin/global.js","webpack:///./node_modules/process/browser.js","webpack:///./node_modules/@nextcloud/initial-state/dist/index.js","webpack:///./node_modules/css-loader/dist/runtime/api.js","webpack:///./node_modules/vue-style-loader/lib/listToStyles.js","webpack:///./node_modules/vue-style-loader/lib/addStylesClient.js","webpack:///./node_modules/core-js/modules/es.object.to-string.js","webpack:///./node_modules/core-js/modules/es.array.iterator.js","webpack:///./node_modules/core-js/modules/es.regexp.exec.js","webpack:///./node_modules/core-js/internals/object-get-own-property-descriptor.js","webpack:///./node_modules/core-js/internals/is-forced.js","webpack:///./node_modules/core-js/internals/array-method-has-species-support.js","webpack:///./node_modules/core-js/internals/an-instance.js","webpack:///./node_modules/core-js/modules/es.object.assign.js","webpack:///./node_modules/core-js/internals/array-species-create.js","webpack:///./node_modules/core-js/modules/es.array.concat.js","webpack:///./node_modules/core-js/internals/document-create-element.js","webpack:///./node_modules/core-js/internals/inspect-source.js","webpack:///./node_modules/core-js/internals/array-iteration.js","webpack:///./node_modules/core-js/internals/array-method-is-strict.js","webpack:///./node_modules/core-js/internals/engine-v8-version.js","webpack:///./node_modules/core-js/internals/iterate.js","webpack:///./node_modules/core-js/internals/classof.js","webpack:///./node_modules/core-js/internals/string-multibyte.js","webpack:///./node_modules/core-js/internals/object-property-is-enumerable.js","webpack:///./node_modules/core-js/internals/ie8-dom-define.js","webpack:///./node_modules/core-js/internals/shared-store.js","webpack:///./node_modules/core-js/internals/shared.js","webpack:///./node_modules/core-js/internals/object-keys-internal.js","webpack:///./node_modules/core-js/internals/array-includes.js","webpack:///./node_modules/core-js/internals/object-get-own-property-symbols.js","webpack:///./node_modules/core-js/internals/object-keys.js","webpack:///./node_modules/axios/lib/helpers/bind.js","webpack:///./node_modules/axios/lib/helpers/buildURL.js","webpack:///./node_modules/axios/lib/cancel/isCancel.js","webpack:///./node_modules/axios/lib/defaults.js","webpack:///./node_modules/axios/lib/adapters/xhr.js","webpack:///./node_modules/axios/lib/core/createError.js","webpack:///./node_modules/axios/lib/core/mergeConfig.js","webpack:///./node_modules/axios/lib/cancel/Cancel.js","webpack:///./node_modules/core-js/modules/es.array.for-each.js","webpack:///./node_modules/core-js/internals/array-for-each.js","webpack:///./node_modules/core-js/internals/is-array.js","webpack:///./node_modules/core-js/internals/native-symbol.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/re.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/debug.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/classes/semver.js","webpack:///./node_modules/core-js/internals/iterators-core.js","webpack:///./node_modules/core-js/internals/object-get-prototype-of.js","webpack:///./node_modules/core-js/internals/object-set-prototype-of.js","webpack:///./node_modules/core-js/internals/internal-metadata.js","webpack:///./node_modules/core-js/internals/dom-iterables.js","webpack:///./node_modules/core-js/internals/regexp-flags.js","webpack:///./node_modules/core-js/modules/es.regexp.to-string.js","webpack:///./node_modules/core-js/modules/es.string.iterator.js","webpack:///./node_modules/core-js/modules/es.string.replace.js","webpack:///./node_modules/core-js/modules/web.dom-collections.iterator.js","webpack:///./node_modules/core-js/modules/es.array.index-of.js","webpack:///./node_modules/core-js/internals/a-function.js","webpack:///./node_modules/core-js/internals/create-property.js","webpack:///./node_modules/core-js/internals/get-iterator-method.js","webpack:///./node_modules/core-js/internals/object-get-own-property-names.js","webpack:///./node_modules/core-js/internals/to-absolute-index.js","webpack:///./node_modules/@nextcloud/auth/dist/index.js","webpack:///./node_modules/core-js/modules/es.array.filter.js","webpack:///./node_modules/core-js/internals/inherit-if-required.js","webpack:///./node_modules/core-js/internals/redefine-all.js","webpack:///./node_modules/core-js/internals/object-assign.js","webpack:///./node_modules/core-js/internals/engine-user-agent.js","webpack:///./node_modules/core-js/internals/object-define-properties.js","webpack:///./node_modules/core-js/internals/html.js","webpack:///./node_modules/core-js/internals/create-iterator-constructor.js","webpack:///./node_modules/core-js/internals/is-array-iterator-method.js","webpack:///./node_modules/core-js/internals/call-with-safe-iteration-closing.js","webpack:///./node_modules/core-js/internals/check-correctness-of-iteration.js","webpack:///./node_modules/core-js/internals/set-species.js","webpack:///./node_modules/core-js/internals/fix-regexp-well-known-symbol-logic.js","webpack:///./node_modules/core-js/internals/advance-string-index.js","webpack:///./node_modules/core-js/internals/regexp-exec-abstract.js","webpack:///./node_modules/core-js/internals/native-weak-map.js","webpack:///./node_modules/core-js/internals/copy-constructor-properties.js","webpack:///./node_modules/core-js/internals/own-keys.js","webpack:///./node_modules/core-js/internals/path.js","webpack:///./node_modules/axios/index.js","webpack:///./node_modules/axios/lib/axios.js","webpack:///./node_modules/axios/lib/core/Axios.js","webpack:///./node_modules/axios/lib/core/InterceptorManager.js","webpack:///./node_modules/axios/lib/core/dispatchRequest.js","webpack:///./node_modules/axios/lib/core/transformData.js","webpack:///./node_modules/axios/lib/helpers/normalizeHeaderName.js","webpack:///./node_modules/axios/lib/core/settle.js","webpack:///./node_modules/axios/lib/core/enhanceError.js","webpack:///./node_modules/axios/lib/core/buildFullPath.js","webpack:///./node_modules/axios/lib/helpers/isAbsoluteURL.js","webpack:///./node_modules/axios/lib/helpers/combineURLs.js","webpack:///./node_modules/axios/lib/helpers/parseHeaders.js","webpack:///./node_modules/axios/lib/helpers/isURLSameOrigin.js","webpack:///./node_modules/axios/lib/helpers/cookies.js","webpack:///./node_modules/axios/lib/cancel/CancelToken.js","webpack:///./node_modules/axios/lib/helpers/spread.js","webpack:///./node_modules/@nextcloud/auth/dist/requesttoken.js","webpack:///./node_modules/core-js/internals/use-symbol-as-uid.js","webpack:///./node_modules/@nextcloud/event-bus/dist/ProxyBus.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/valid.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/parse.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/identifiers.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/major.js","webpack:///./node_modules/@nextcloud/event-bus/dist/SimpleBus.js","webpack:///./node_modules/core-js/internals/add-to-unscopables.js","webpack:///./node_modules/core-js/internals/correct-prototype-getter.js","webpack:///./node_modules/core-js/internals/a-possible-prototype.js","webpack:///./node_modules/core-js/modules/es.map.js","webpack:///./node_modules/core-js/internals/collection.js","webpack:///./node_modules/core-js/internals/freezing.js","webpack:///./node_modules/core-js/internals/collection-strong.js","webpack:///./node_modules/core-js/internals/object-to-string.js","webpack:///./node_modules/core-js/modules/web.dom-collections.for-each.js","webpack:///./node_modules/@nextcloud/auth/dist/user.js","webpack:///./node_modules/core-js/internals/regexp-sticky-helpers.js","webpack:///./src/views/RichWorkspace.vue?f1c0","webpack:///./src/views/RichWorkspace.vue?8915","webpack:///./node_modules/node-libs-browser/node_modules/timers-browserify/main.js","webpack:///./node_modules/setimmediate/setImmediate.js","webpack:///./src/views/FilesSettings.vue?ff73","webpack:///src/views/FilesSettings.vue","webpack:///./src/views/FilesSettings.vue","webpack:///./src/views/FilesSettings.vue?a9ba","webpack:///./src/files.js"],"names":["webpackJsonpCallback","data","moduleId","chunkId","chunkIds","moreModules","i","resolves","length","Object","prototype","hasOwnProperty","call","installedChunks","push","modules","parentJsonpFunction","shift","installedModules","193","0","__webpack_require__","exports","module","l","e","promises","installedChunkData","promise","Promise","resolve","reject","onScriptComplete","script","document","createElement","charset","timeout","nc","setAttribute","src","p","jsonpScriptSrc","error","Error","event","onerror","onload","clearTimeout","chunk","errorType","type","realSrc","target","message","name","request","undefined","setTimeout","head","appendChild","all","m","c","d","getter","o","defineProperty","enumerable","get","r","Symbol","toStringTag","value","t","mode","__esModule","ns","create","key","bind","n","object","property","oe","err","console","jsonpArray","window","oldJsonpFunction","slice","s","exec","global","shared","has","uid","NATIVE_SYMBOL","USE_SYMBOL_AS_UID","WellKnownSymbolsStore","createWellKnownSymbol","withoutSetter","it","Math","check","globalThis","self","Function","toString","isArray","val","isUndefined","isObject","isFunction","forEach","obj","fn","isArrayBuffer","isBuffer","constructor","isFormData","FormData","isArrayBufferView","ArrayBuffer","isView","buffer","isString","isNumber","isDate","isFile","isBlob","isStream","pipe","isURLSearchParams","URLSearchParams","isStandardBrowserEnv","navigator","product","merge","result","assignValue","arguments","deepMerge","extend","a","b","thisArg","trim","str","replace","TypeError","String","fails","DESCRIPTORS","IE8_DOM_DEFINE","anObject","toPrimitive","nativeDefineProperty","f","O","P","Attributes","definePropertyModule","createPropertyDescriptor","getOwnPropertyDescriptor","createNonEnumerableProperty","redefine","setGlobal","copyConstructorProperties","isForced","options","source","targetProperty","sourceProperty","descriptor","TARGET","GLOBAL","STATIC","stat","noTargetGet","forced","sham","getRootUrl","generateFilePath","imagePath","generateUrl","generateOcsUrl","generateRemoteUrl","linkTo","app","file","service","location","protocol","host","linkToRemoteBase","version","url","params","allOptions","assign","escape","noRewrite","_build","text","vars","encodeURIComponent","charAt","OC","config","modRewriteWorking","indexOf","isCore","coreApps","link","substring","appswebroots","encodeURI","webroot","inspectSource","InternalStateModule","getInternalState","enforceInternalState","enforce","TEMPLATE","split","unsafe","simple","join","this","openMimetypesMarkdown","openMimetypesPlainText","openMimetypes","subscribe","handler","bus","unsubscribe","emit","_ProxyBus","_SimpleBus","_eventBus","_nc_event_bus","warn","ProxyBus","SimpleBus","toInteger","min","argument","requireObjectCoercible","normalizeComponent","scriptExports","render","staticRenderFns","functionalTemplate","injectStyles","scopeId","moduleIdentifier","shadowMode","hook","_compiled","functional","_scopeId","context","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","originalRender","h","existing","beforeCreate","concat","set","NATIVE_WEAK_MAP","objectHas","sharedKey","hiddenKeys","WeakMap","store","wmget","wmhas","wmset","metadata","STATE","getterFor","TYPE","state","path","aFunction","variable","namespace","method","ceil","floor","isNaN","bitmap","configurable","writable","IndexedObject","content","locals","default","_vm","_h","$createElement","_c","_self","class","loaded","ready","focus","darkTheme","canCreate","attrs","staticClass","on","createNew","_v","_s","_e","directives","rawName","expression","id","shareToken","mimetype","autofocus","$event","unfocus","reset","optimalPath","from","to","current","pop","relativePath","fill","absolutePath","registerFileCreate","newFileMenuPlugin","attach","menu","fileList","addMenuEntry","displayName","templateName","iconClass","fileType","actionHandler","createFile","then","status","fileInfoModel","OCA","Files","FileInfoModel","Viewer","fileActions","triggerAction","Plugins","register","registerFileActionFallback","mime","sharingToken","getElementById","dir","ViewerRoot","body","PERMISSION_UPDATE","PERMISSION_READ","filename","FileList","findFile","imports","getCurrentDirectory","Vue","Editor","props","fileId","active","mimeType","$mount","setDefault","FilesWorkspacePlugin","el","registerHeader","priority","vm","RichWorkspace","propsData","$el","_axios","_auth","client","headers","requesttoken","getRequestToken","cancelableClient","CancelToken","isCancel","onRequestTokenUpdate","token","defaults","_default","that","apply","cache","thrower","METHOD_NAME","ACCESSORS","argument0","argument1","activeXDocument","defineProperties","enumBugKeys","html","documentCreateElement","IE_PROTO","EmptyConstructor","scriptTag","LT","NullProtoObject","domain","ActiveXObject","iframeDocument","iframe","write","close","temp","parentWindow","NullProtoObjectViaActiveX","style","display","contentWindow","open","F","Properties","TO_STRING_TAG","wellKnownSymbol","TAG","input","PREFERRED_STRING","valueOf","classof","propertyIsEnumerable","keys","postfix","random","MAX_SAFE_INTEGER","Number","SEMVER_SPEC_VERSION","MAX_LENGTH","MAX_SAFE_COMPONENT_LENGTH","$","createIteratorConstructor","getPrototypeOf","setPrototypeOf","setToStringTag","IS_PURE","Iterators","IteratorsCore","IteratorPrototype","BUGGY_SAFARI_ITERATORS","ITERATOR","returnThis","Iterable","NAME","IteratorConstructor","next","DEFAULT","IS_SET","FORCED","CurrentIteratorPrototype","methods","KEY","getIterationMethod","KIND","defaultIterator","IterablePrototype","INCORRECT_VALUES_NAME","nativeIterator","anyNativeIterator","entries","values","proto","test","re1","re2","regexpFlags","stickyHelpers","nativeExec","RegExp","nativeReplace","patchedExec","UPDATES_LAST_INDEX_WRONG","lastIndex","UNSUPPORTED_Y","BROKEN_CARET","NPCG_INCLUDED","reCopy","match","re","sticky","flags","charsAdded","strCopy","multiline","index","emptyObject","freeze","isUndef","v","isDef","isTrue","isPrimitive","_toString","isPlainObject","isRegExp","isValidArrayIndex","parseFloat","isFinite","isPromise","catch","Array","JSON","stringify","toNumber","makeMap","expectsLowerCase","map","list","toLowerCase","isBuiltInTag","isReservedAttribute","remove","arr","item","splice","hasOwn","cached","camelizeRE","camelize","_","toUpperCase","capitalize","hyphenateRE","hyphenate","ctx","boundFn","_length","toArray","start","ret","_from","toObject","res","noop","no","identity","looseEqual","isObjectA","isObjectB","isArrayA","isArrayB","every","Date","getTime","keysA","keysB","looseIndexOf","once","called","ASSET_TYPES","LIFECYCLE_HOOKS","optionMergeStrategies","silent","productionTip","devtools","performance","errorHandler","warnHandler","ignoredElements","keyCodes","isReservedTag","isReservedAttr","isUnknownElement","getTagNamespace","parsePlatformTagName","mustUseProp","async","_lifecycleHooks","unicodeRegExp","isReserved","charCodeAt","def","bailRE","_isServer","hasProto","inBrowser","inWeex","WXEnvironment","platform","weexPlatform","UA","userAgent","isIE","isIE9","isEdge","isIOS","isFF","nativeWatch","watch","supportsPassive","opts","addEventListener","isServerRendering","env","VUE_ENV","__VUE_DEVTOOLS_GLOBAL_HOOK__","isNative","Ctor","_Set","hasSymbol","Reflect","ownKeys","Set","clear","Dep","subs","addSub","sub","removeSub","depend","addDep","notify","update","targetStack","pushTarget","popTarget","VNode","tag","children","elm","componentOptions","asyncFactory","fnContext","fnOptions","fnScopeId","componentInstance","raw","isStatic","isRootInsert","isComment","isCloned","isOnce","asyncMeta","isAsyncPlaceholder","prototypeAccessors","child","createEmptyVNode","node","createTextVNode","cloneVNode","vnode","cloned","arrayProto","arrayMethods","original","args","len","inserted","ob","__ob__","observeArray","dep","arrayKeys","getOwnPropertyNames","shouldObserve","toggleObserving","Observer","vmCount","__proto__","protoAugment","copyAugment","walk","observe","asRootData","isExtensible","_isVue","defineReactive$$1","customSetter","shallow","setter","childOb","dependArray","newVal","max","del","items","strats","mergeData","toVal","fromVal","mergeDataOrFn","parentVal","childVal","instanceData","defaultData","mergeHook","hooks","dedupeHooks","mergeAssets","key$1","inject","computed","provide","defaultStrat","mergeOptions","normalizeProps","normalized","normalizeInject","dirs","def$$1","normalizeDirectives","_base","extends","mixins","mergeField","strat","resolveAsset","warnMissing","assets","camelizedId","PascalCaseId","validateProp","propOptions","prop","absent","booleanIndex","getTypeIndex","Boolean","stringIndex","_props","getType","getPropDefaultValue","prevShouldObserve","isSameType","expectedTypes","handleError","info","cur","$parent","errorCaptured","globalHandleError","invokeWithErrorHandling","_handled","logError","timerFunc","isUsingMicroTask","callbacks","pending","flushCallbacks","copies","MutationObserver","setImmediate","counter","observer","textNode","createTextNode","characterData","nextTick","cb","_resolve","seenObjects","traverse","_traverse","seen","isA","isFrozen","depId","normalizeEvent","passive","once$$1","capture","createFnInvoker","fns","invoker","arguments$1","updateListeners","oldOn","remove$$1","createOnceHandler","old","mergeVNodeHook","hookKey","oldHook","wrappedHook","merged","checkProp","hash","altKey","preserve","normalizeChildren","normalizeArrayChildren","nestedIndex","last","isTextNode","_isVList","resolveInject","provideKey","_provided","provideDefault","resolveSlots","slots","slot","name$1","isWhitespace","normalizeScopedSlots","normalSlots","prevSlots","hasNormalSlots","isStable","$stable","$key","_normalized","$hasNormal","normalizeScopedSlot","key$2","proxyNormalSlot","proxy","renderList","iterator","done","renderSlot","fallback","bindObject","nodes","scopedSlotFn","$scopedSlots","$slots","resolveFilter","isKeyNotMatch","expect","actual","checkKeyCodes","eventKeyCode","builtInKeyCode","eventKeyName","builtInKeyName","mappedKeyCode","bindObjectProps","asProp","isSync","loop","domProps","camelizedKey","hyphenatedKey","renderStatic","isInFor","_staticTrees","tree","markStatic","_renderProxy","markOnce","markStaticNode","bindObjectListeners","ours","resolveScopedSlots","hasDynamicKeys","contentHashKey","bindDynamicKeys","baseObj","prependModifier","symbol","installRenderHelpers","_o","_n","_l","_t","_q","_i","_m","_f","_k","_b","_u","_g","_d","_p","FunctionalRenderContext","contextVm","this$1","_original","isCompiled","needNormalization","listeners","injections","scopedSlots","cloneAndMarkFunctionalResult","renderContext","clone","mergeProps","componentVNodeHooks","init","hydrating","_isDestroyed","keepAlive","mountedNode","prepatch","_isComponent","_parentVnode","inlineTemplate","createComponentInstanceForVnode","activeInstance","oldVnode","parentVnode","renderChildren","newScopedSlots","oldScopedSlots","hasDynamicScopedSlot","needsForceUpdate","_renderChildren","_vnode","$attrs","$listeners","propKeys","_propKeys","oldListeners","_parentListeners","updateComponentListeners","$forceUpdate","updateChildComponent","insert","_isMounted","callHook","_inactive","activatedChildren","activateChildComponent","destroy","deactivateChildComponent","direct","_directInactive","isInInactiveTree","$children","$destroy","hooksToMerge","createComponent","baseCtor","cid","factory","errorComp","resolved","owner","currentRenderingInstance","owners","loading","loadingComp","sync","timerLoading","timerTimeout","$on","forceRender","renderCompleted","ensureCtor","reason","component","delay","resolveAsyncComponent","createAsyncPlaceholder","resolveConstructorOptions","model","callback","transformModel","extractPropsFromVNodeData","vnodes","createFunctionalComponent","nativeOn","abstract","toMerge","_merged","mergeHook$1","installComponentHooks","f1","f2","normalizationType","alwaysNormalize","is","simpleNormalizeChildren","pre","applyNS","force","registerDeepBindings","_createElement","comp","base","getFirstComponentChild","remove$1","$off","_target","onceHandler","setActiveInstance","prevActiveInstance","handlers","j","_hasHookEvent","$emit","queue","waiting","flushing","currentFlushTimestamp","getNow","now","createEvent","timeStamp","flushSchedulerQueue","watcher","sort","before","run","activatedQueue","updatedQueue","callActivatedHooks","_watcher","callUpdatedHooks","uid$2","Watcher","expOrFn","isRenderWatcher","_watchers","deep","user","lazy","dirty","deps","newDeps","depIds","newDepIds","segments","parsePath","cleanupDeps","tmp","queueWatcher","oldValue","evaluate","teardown","_isBeingDestroyed","sharedPropertyDefinition","sourceKey","initState","propsOptions","initProps","initMethods","_data","getData","initData","watchers","_computedWatchers","isSSR","userDef","computedWatcherOptions","defineComputed","initComputed","createWatcher","initWatch","shouldCache","createComputedGetter","createGetterInvoker","$watch","uid$3","super","superOptions","modifiedOptions","modified","latest","sealed","sealedOptions","resolveModifiedOptions","extendOptions","components","_init","initExtend","Super","SuperId","cachedCtors","_Ctor","Sub","Comp","initProps$1","initComputed$1","mixin","use","getComponentName","matches","pattern","pruneCache","keepAliveInstance","filter","cachedNode","pruneCacheEntry","cached$$1","_uid","vnodeComponentOptions","_componentTag","initInternalComponent","$refs","initLifecycle","_events","initEvents","parentData","initRender","initInjections","initProvide","initMixin","dataDef","propsDef","$set","$delete","immediate","stateMixin","hookRE","$once","i$1","cbs","eventsMixin","_update","prevEl","prevVnode","restoreActiveInstance","__patch__","__vue__","lifecycleMixin","$nextTick","_render","ref","renderMixin","patternTypes","builtInComponents","KeepAlive","include","exclude","created","destroyed","mounted","parseInt","configDef","util","defineReactive","delete","observable","plugin","installedPlugins","_installedPlugins","unshift","install","initUse","initMixin$1","definition","initAssetRegisters","initGlobalAPI","acceptValue","attr","isEnumeratedAttr","isValidContentEditableValue","isBooleanAttr","xlinkNS","isXlink","getXlinkProp","isFalsyAttrValue","genClassForVnode","parentNode","childNode","mergeClassData","dynamicClass","stringifyClass","renderClass","stringified","stringifyArray","stringifyObject","namespaceMap","svg","math","isHTMLTag","isSVG","unknownElementCache","isTextInputType","query","selected","querySelector","nodeOps","tagName","multiple","createElementNS","createComment","insertBefore","newNode","referenceNode","removeChild","nextSibling","setTextContent","textContent","setStyleScope","registerRef","isRemoval","refs","refInFor","emptyNode","sameVnode","typeA","typeB","sameInputType","createKeyToOldIdx","beginIdx","endIdx","updateDirectives","oldDir","isCreate","isDestroy","oldDirs","normalizeDirectives$1","newDirs","dirsWithInsert","dirsWithPostpatch","oldArg","arg","callHook$1","componentUpdated","callInsert","emptyModifiers","modifiers","getRawDirName","baseModules","updateAttrs","inheritAttrs","oldAttrs","setAttr","removeAttributeNS","removeAttribute","baseSetAttr","convertEnumeratedValue","setAttributeNS","__ieph","blocker","stopImmediatePropagation","removeEventListener","updateClass","oldData","cls","transitionClass","_transitionClasses","_prevClass","chr","index$1","expressionPos","expressionEndPos","klass","validDivisionCharRE","parseFilters","exp","prev","filters","inSingle","inDouble","inTemplateString","inRegex","curly","square","paren","lastFilterIndex","pushFilter","wrapFilter","baseWarn","msg","range","pluckModuleFunction","addProp","dynamic","rangeSetItem","plain","addAttr","dynamicAttrs","addRawAttr","attrsMap","attrsList","addDirective","isDynamicArg","prependModifierMarker","addHandler","important","events","right","middle","native","nativeEvents","newHandler","getBindingAttr","getStatic","dynamicValue","getAndRemoveAttr","staticValue","removeFromMap","getAndRemoveAttrByRegex","end","genComponentModel","number","valueExpression","assignment","genAssignmentCode","lastIndexOf","eof","isStringStart","parseString","parseBracket","parseModel","inBracket","stringQuote","target$1","createOnceHandler$1","remove$2","useMicrotaskFix","add$1","attachedTimestamp","_wrapper","currentTarget","ownerDocument","updateDOMListeners","change","normalizeEvents","svgContainer","updateDOMProps","oldProps","childNodes","_value","strCur","shouldUpdateValue","innerHTML","firstChild","checkVal","composing","notInFocus","activeElement","isNotInFocusAndDirty","_vModifiers","isDirtyWithModifiers","parseStyleText","cssText","propertyDelimiter","normalizeStyleData","normalizeStyleBinding","staticStyle","bindingStyle","emptyStyle","cssVarRE","importantRE","setProp","setProperty","normalizedName","normalize","vendorNames","capName","updateStyle","oldStaticStyle","oldStyleBinding","normalizedStyle","oldStyle","newStyle","checkChild","styleData","getStyle","whitespaceRE","addClass","classList","getAttribute","removeClass","tar","resolveTransition","css","autoCssTransition","enterClass","enterToClass","enterActiveClass","leaveClass","leaveToClass","leaveActiveClass","hasTransition","transitionProp","transitionEndEvent","animationProp","animationEndEvent","ontransitionend","onwebkittransitionend","onanimationend","onwebkitanimationend","raf","requestAnimationFrame","nextFrame","addTransitionClass","transitionClasses","removeTransitionClass","whenTransitionEnds","expectedType","getTransitionInfo","propCount","ended","onEnd","transformRE","styles","getComputedStyle","transitionDelays","transitionDurations","transitionTimeout","getTimeout","animationDelays","animationDurations","animationTimeout","hasTransform","delays","durations","toMs","enter","toggleDisplay","_leaveCb","cancelled","transition","_enterCb","nodeType","appearClass","appearToClass","appearActiveClass","beforeEnter","afterEnter","enterCancelled","beforeAppear","appear","afterAppear","appearCancelled","duration","transitionNode","isAppear","startClass","activeClass","toClass","beforeEnterHook","enterHook","afterEnterHook","enterCancelledHook","explicitEnterDuration","expectsCSS","userWantsControl","getHookArgumentsLength","show","pendingNode","_pending","isValidDuration","leave","rm","beforeLeave","afterLeave","leaveCancelled","delayLeave","explicitLeaveDuration","performLeave","invokerFns","_enter","patch","backend","removeNode","createElm","insertedVnodeQueue","parentElm","refElm","nested","ownerArray","isReactivated","initComponent","innerNode","activate","reactivateComponent","setScope","createChildren","invokeCreateHooks","pendingInsert","isPatchable","ref$$1","ancestor","addVnodes","startIdx","invokeDestroyHook","removeVnodes","ch","removeAndInvokeRemoveHook","childElm","createRmCb","findIdxInOld","oldCh","patchVnode","removeOnly","hydrate","newCh","oldKeyToIdx","idxInOld","vnodeToMove","oldStartIdx","newStartIdx","oldEndIdx","oldStartVnode","oldEndVnode","newEndIdx","newStartVnode","newEndVnode","canMove","updateChildren","postpatch","invokeInsertHook","initial","isRenderedModule","inVPre","hasChildNodes","childrenMatch","fullInvoke","isInitialPatch","isRealElement","hasAttribute","oldElm","patchable","i$2","createPatchFunction","vmodel","trigger","directive","binding","_vOptions","setSelected","getValue","onCompositionStart","onCompositionEnd","prevOptions","curOptions","some","hasNoMatchingOption","actuallySetSelected","isMultiple","option","selectedIndex","initEvent","dispatchEvent","locateNode","platformDirectives","transition$$1","originalDisplay","__vOriginalDisplay","unbind","transitionProps","getRealChild","compOptions","extractTransitionData","placeholder","rawChild","isNotTextNode","isVShowDirective","Transition","hasParentTransition","_leaving","oldRawChild","oldChild","isSameChild","delayedLeave","moveClass","callPendingCbs","_moveCb","recordPosition","newPos","getBoundingClientRect","applyTranslation","oldPos","pos","dx","left","dy","top","moved","transform","WebkitTransform","transitionDuration","platformComponents","TransitionGroup","beforeMount","kept","prevChildren","rawChildren","transitionData","removed","c$1","updated","hasMove","_reflow","offsetHeight","propertyName","_hasMove","cloneNode","HTMLUnknownElement","HTMLElement","updateComponent","mountComponent","defaultTagRE","regexEscapeRE","buildRegex","delimiters","klass$1","staticKeys","transformNode","classBinding","genData","decoder","style$1","styleBinding","he","isUnaryTag","canBeLeftOpenTag","isNonPhrasingTag","attribute","dynamicArgAttribute","ncname","qnameCapture","startTagOpen","startTagClose","endTag","doctype","comment","conditionalComment","isPlainTextElement","reCache","decodingMap","encodedAttr","encodedAttrWithNewLines","isIgnoreNewlineTag","shouldIgnoreFirstNewline","decodeAttr","shouldDecodeNewlines","warn$2","transforms","preTransforms","postTransforms","platformIsPreTag","platformMustUseProp","platformGetTagNamespace","onRE","dirRE","forAliasRE","forIteratorRE","stripParensRE","dynamicArgRE","argRE","bindRE","modifierRE","slotRE","lineBreakRE","whitespaceRE$1","decodeHTMLCached","createASTElement","makeAttrsMap","rawAttrsMap","parse","template","isPreTag","root","currentParent","stack","preserveWhitespace","whitespaceOption","whitespace","inPre","closeElement","element","trimEndingWhitespace","processed","processElement","if","elseif","else","addIfCondition","block","forbidden","findPrevElement","slotScope","slotTarget","lastNode","lastTag","expectHTML","isUnaryTag$$1","canBeLeftOpenTag$$1","endTagLength","stackedTag","reStackedTag","rest$1","chars","parseEndTag","textEnd","commentEnd","shouldKeepComment","advance","conditionalEnd","doctypeMatch","endTagMatch","curIndex","startTagMatch","parseStartTag","handleStartTag","rest","unarySlash","unary","shouldDecodeNewlinesForHref","lowerCasedTag","lowerCasedTagName","parseHTML","comments","outputSourceRange","start$1","ieNSBug","ieNSPrefix","guardIESVGBug","processPre","processRawAttrs","processFor","processIf","processOnce","end$1","tagRE","tokenValue","tokens","rawTokens","parseText","processKey","for","checkInFor","processRef","slotTargetDynamic","getRawBindingAttr","slotBinding","getSlotName","slotBinding$1","ref$1","dynamic$1","slotContainer","processSlotContent","slotName","processComponent","syncGen","isDynamic","hasBindings","parseModifiers","camel","argMatch","processAttrs","inMatch","alias","iteratorMatch","iterator1","iterator2","parseFor","condition","ifConditions","cloneASTElement","modules$1","preTransformNode","typeBinding","ifCondition","ifConditionExtra","hasElse","elseIfCondition","branch0","branch1","branch2","isStaticKey","isPlatformReservedTag","baseOptions","_warn","code","genSelect","valueBinding","trueValueBinding","falseValueBinding","genCheckboxModel","genRadioModel","needCompositionGuard","genDefaultModel","reduce","genStaticKeys","genStaticKeysCached","optimize","markStatic$1","static","isDirectChildOfTemplateFor","l$1","markStaticRoots","staticInFor","staticRoot","fnExpRE","fnInvokeRE","simplePathRE","esc","tab","space","up","down","keyNames","genGuard","modifierCode","stop","prevent","ctrl","alt","meta","genHandlers","prefix","staticHandlers","dynamicHandlers","handlerCode","genHandler","isMethodPath","isFunctionExpression","isFunctionInvocation","genModifierCode","keyModifier","genFilterCode","genKeyFilter","keyVal","keyCode","keyName","baseDirectives","wrapListeners","wrapData","cloak","CodegenState","dataGenFns","maybeComponent","onceId","generate","ast","genElement","staticProcessed","genStatic","onceProcessed","genOnce","forProcessed","genFor","ifProcessed","genIf","genChildren","genProps","bind$$1","genSlot","componentName","genData$2","genComponent","originalPreState","altGen","altEmpty","genIfConditions","conditions","genTernaryExp","altHelper","needRuntime","hasRuntime","gen","genDirectives","containsSlotChild","needsKey","generatedSlots","genScopedSlot","inlineRenderFns","genInlineTemplate","isLegacySyntax","reverseProxy","checkSkip","altGenElement","altGenNode","el$1","normalizationType$1","needsNormalization","getNormalizationType","genNode","genComment","transformSpecialNewlines","genText","staticProps","dynamicProps","createFunction","errors","createCompileToFunctionFn","compile","compiled","fnGenErrors","baseCompile","div","finalOptions","tips","tip","compileToFunctions","getShouldDecode","href","idToTemplate","mount","documentElement","outerHTML","container","getOuterHTML","g","cachedSetTimeout","cachedClearTimeout","process","defaultSetTimout","defaultClearTimeout","runTimeout","fun","currentQueue","draining","queueIndex","cleanUpNextTick","drainQueue","marker","runClearTimeout","Item","array","title","browser","argv","versions","addListener","off","removeListener","removeAllListeners","prependListener","prependOnceListener","cwd","chdir","umask","loadState","elem","atob","useSourceMap","cssMapping","btoa","sourceMapping","sourceMap","base64","unescape","sourceURLs","sources","sourceRoot","cssWithMappingToString","mediaQuery","dedupe","alreadyImportedModules","listToStyles","parentId","newStyles","part","media","parts","hasDocument","DEBUG","stylesInDom","getElementsByTagName","singletonElement","singletonCounter","isProduction","isOldIE","addStylesClient","_isProduction","_options","addStylesToDom","newList","mayRemove","domStyle","addStyle","createStyleElement","styleElement","styleIndex","applyToSingletonTag","applyToTag","newObj","textStore","replaceText","replacement","styleSheet","cssNode","ssrId","TO_STRING_TAG_SUPPORT","toIndexedObject","addToUnscopables","defineIterator","setInternalState","iterated","kind","Arguments","propertyIsEnumerableModule","nativeGetOwnPropertyDescriptor","feature","detection","POLYFILL","NATIVE","string","V8_VERSION","SPECIES","foo","Constructor","originalArray","C","toLength","createProperty","arraySpeciesCreate","arrayMethodHasSpeciesSupport","IS_CONCAT_SPREADABLE","IS_CONCAT_SPREADABLE_SUPPORT","SPECIES_SUPPORT","isConcatSpreadable","spreadable","k","E","A","EXISTS","functionToString","createMethod","IS_MAP","IS_FILTER","IS_SOME","IS_EVERY","IS_FIND_INDEX","NO_HOLES","$this","callbackfn","specificCreate","boundFunction","find","findIndex","v8","isArrayIteratorMethod","getIteratorMethod","callWithSafeIterationClosing","Result","stopped","iterable","AS_ENTRIES","IS_ITERATOR","iterFn","step","classofRaw","CORRECT_ARGUMENTS","tryGet","callee","CONVERT_TO_STRING","first","second","S","position","size","codeAt","nativePropertyIsEnumerable","NASHORN_BUG","1","V","copyright","names","toAbsoluteIndex","IS_INCLUDES","fromIndex","includes","getOwnPropertySymbols","internalObjectKeys","utils","encode","paramsSerializer","serializedParams","toISOString","hashmarkIndex","__CANCEL__","normalizeHeaderName","DEFAULT_CONTENT_TYPE","setContentTypeIfUnset","adapter","XMLHttpRequest","transformRequest","transformResponse","xsrfCookieName","xsrfHeaderName","maxContentLength","validateStatus","common","settle","buildURL","buildFullPath","parseHeaders","isURLSameOrigin","createError","requestData","requestHeaders","auth","username","password","Authorization","fullPath","baseURL","onreadystatechange","readyState","responseURL","responseHeaders","getAllResponseHeaders","response","responseType","responseText","statusText","onabort","ontimeout","timeoutErrorMessage","cookies","xsrfValue","withCredentials","read","setRequestHeader","onDownloadProgress","onUploadProgress","upload","cancelToken","cancel","abort","send","enhanceError","config1","config2","valueFromConfig2Keys","mergeDeepPropertiesKeys","defaultToConfig2Keys","axiosKeys","otherKeys","Cancel","$forEach","arrayMethodIsStrict","arrayMethodUsesToLength","STRICT_METHOD","USES_TO_LENGTH","debug","R","createToken","isGlobal","NUMERICIDENTIFIER","NUMERICIDENTIFIERLOOSE","NONNUMERICIDENTIFIER","PRERELEASEIDENTIFIER","PRERELEASEIDENTIFIERLOOSE","BUILDIDENTIFIER","MAINVERSION","PRERELEASE","BUILD","FULLPLAIN","MAINVERSIONLOOSE","PRERELEASELOOSE","LOOSEPLAIN","XRANGEIDENTIFIER","XRANGEIDENTIFIERLOOSE","GTLT","XRANGEPLAIN","XRANGEPLAINLOOSE","COERCE","LONETILDE","tildeTrimReplace","LONECARET","caretTrimReplace","comparatorTrimReplace","NODE_DEBUG","compareIdentifiers","SemVer","loose","includePrerelease","LOOSE","FULL","major","minor","prerelease","num","build","format","other","compareMain","comparePre","release","identifier","inc","PrototypeOfArrayIteratorPrototype","arrayIterator","CORRECT_PROTOTYPE_GETTER","ObjectPrototype","aPossiblePrototype","CORRECT_SETTER","FREEZING","METADATA","setMetadata","objectID","weakData","REQUIRED","fastKey","getWeakData","onFreeze","CSSRuleList","CSSStyleDeclaration","CSSValueList","ClientRectList","DOMRectList","DOMStringList","DOMTokenList","DataTransferItemList","HTMLAllCollection","HTMLCollection","HTMLFormElement","HTMLSelectElement","MediaList","MimeTypeArray","NamedNodeMap","NodeList","PaintRequestList","Plugin","PluginArray","SVGLengthList","SVGNumberList","SVGPathSegList","SVGPointList","SVGStringList","SVGTransformList","SourceBufferList","StyleSheetList","TextTrackCueList","TextTrackList","TouchList","ignoreCase","dotAll","unicode","RegExpPrototype","nativeToString","NOT_GENERIC","INCORRECT_NAME","rf","point","fixRegExpWellKnownSymbolLogic","advanceStringIndex","regExpExec","SUBSTITUTION_SYMBOLS","SUBSTITUTION_SYMBOLS_NO_NAMED","REPLACE","maybeCallNative","REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE","REPLACE_KEEPS_$0","UNSAFE_SUBSTITUTE","searchValue","replaceValue","replacer","regexp","rx","functionalReplace","fullUnicode","results","accumulatedResult","nextSourcePosition","matched","captures","namedCaptures","groups","replacerArgs","getSubstitution","tailPos","symbols","DOMIterables","ArrayIteratorMethods","ArrayValues","COLLECTION_NAME","Collection","CollectionPrototype","$indexOf","nativeIndexOf","NEGATIVE_ZERO","searchElement","propertyKey","integer","_requesttoken","_user","getCurrentUser","$filter","HAS_SPECIES_SUPPORT","dummy","Wrapper","NewTarget","NewTargetPrototype","objectKeys","getOwnPropertySymbolsModule","nativeAssign","B","T","argumentsLength","getBuiltIn","ArrayPrototype","ENTRIES","returnMethod","SAFE_CLOSING","iteratorWithReturn","SKIP_CLOSING","ITERATION_SUPPORT","CONSTRUCTOR_NAME","regexpExec","REPLACE_SUPPORTS_NAMED_GROUPS","SPLIT_WORKS_WITH_OVERWRITTEN_EXEC","originalExec","SYMBOL","DELEGATES_TO_SYMBOL","DELEGATES_TO_EXEC","execCalled","nativeRegExpMethod","nativeMethod","arg2","forceStringMethod","stringMethod","regexMethod","getOwnPropertyDescriptorModule","getOwnPropertyNamesModule","Axios","mergeConfig","createInstance","defaultConfig","instance","axios","instanceConfig","spread","InterceptorManager","dispatchRequest","interceptors","chain","interceptor","fulfilled","rejected","getUri","eject","transformData","throwIfCancellationRequested","throwIfRequested","isAxiosError","toJSON","description","fileName","lineNumber","columnNumber","isAbsoluteURL","combineURLs","requestedURL","relativeURL","ignoreDuplicateOf","parsed","line","substr","originURL","msie","urlParsingNode","resolveURL","search","hostname","port","pathname","requestURL","expires","secure","cookie","toGMTString","decodeURIComponent","executor","resolvePromise","observers","tokenElement","_valid","_interopRequireDefault","_major","_defineProperties","packageJson","_classCallCheck","getVersion","protoProps","er","numeric","anum","bnum","rcompareIdentifiers","Map","UNSCOPABLES","collection","collectionStrong","InternalMetadataModule","iterate","anInstance","checkCorrectnessOfIteration","inheritIfRequired","wrapper","IS_WEAK","ADDER","NativeConstructor","NativePrototype","exported","fixMethod","getConstructor","HASNT_CHAINING","THROWS_ON_PRIMITIVES","ACCEPT_ITERABLES","BUGGY_ZERO","$instance","setStrong","preventExtensions","redefineAll","setSpecies","internalStateGetterFor","define","previous","entry","getEntry","ITERATOR_NAME","getInternalCollectionState","getInternalIteratorState","isAdmin","uidElement","displayNameElement","isUserAdmin","RE","___CSS_LOADER_API_IMPORT___","Timeout","clearFn","_id","_clearFn","scope","setInterval","clearInterval","unref","enroll","msecs","_idleTimeoutId","_idleTimeout","unenroll","_unrefActive","_onTimeout","clearImmediate","registerImmediate","channel","messagePrefix","onGlobalMessage","nextHandle","tasksByHandle","currentlyRunningATask","doc","attachTo","handle","runIfPresent","postMessage","importScripts","postMessageIsAsynchronous","oldOnMessage","onmessage","canUsePostMessage","MessageChannel","port1","port2","attachEvent","task","showWorkspace","$$a","$$el","$$c","checked","$$i","toggle","__webpack_nonce__","requestToken","__webpack_public_path__","workspaceAvailable","workspaceEnabled","Settings","FilesSettings","Setting","Text","RichWorkspaceEnabled"],"mappings":"aACE,SAASA,EAAqBC,GAQ7B,IAPA,IAMIC,EAAUC,EANVC,EAAWH,EAAK,GAChBI,EAAcJ,EAAK,GAKAK,EAAI,EAAGC,EAAW,GACpCD,EAAIF,EAASI,OAAQF,IACzBH,EAAUC,EAASE,GAChBG,OAAOC,UAAUC,eAAeC,KAAKC,EAAiBV,IAAYU,EAAgBV,IACpFI,EAASO,KAAKD,EAAgBV,GAAS,IAExCU,EAAgBV,GAAW,EAE5B,IAAID,KAAYG,EACZI,OAAOC,UAAUC,eAAeC,KAAKP,EAAaH,KACpDa,EAAQb,GAAYG,EAAYH,IAKlC,IAFGc,GAAqBA,EAAoBf,GAEtCM,EAASC,QACdD,EAASU,OAATV,GAOF,IAAIW,EAAmB,GAKnBL,EAAkB,CACrBM,IAAK,EACLC,EAAG,GAWJ,SAASC,EAAoBnB,GAG5B,GAAGgB,EAAiBhB,GACnB,OAAOgB,EAAiBhB,GAAUoB,QAGnC,IAAIC,EAASL,EAAiBhB,GAAY,CACzCI,EAAGJ,EACHsB,GAAG,EACHF,QAAS,IAUV,OANAP,EAAQb,GAAUU,KAAKW,EAAOD,QAASC,EAAQA,EAAOD,QAASD,GAG/DE,EAAOC,GAAI,EAGJD,EAAOD,QAKfD,EAAoBI,EAAI,SAAuBtB,GAC9C,IAAIuB,EAAW,GAKXC,EAAqBd,EAAgBV,GACzC,GAA0B,IAAvBwB,EAGF,GAAGA,EACFD,EAASZ,KAAKa,EAAmB,QAC3B,CAEN,IAAIC,EAAU,IAAIC,SAAQ,SAASC,EAASC,GAC3CJ,EAAqBd,EAAgBV,GAAW,CAAC2B,EAASC,MAE3DL,EAASZ,KAAKa,EAAmB,GAAKC,GAGtC,IACII,EADAC,EAASC,SAASC,cAAc,UAGpCF,EAAOG,QAAU,QACjBH,EAAOI,QAAU,IACbhB,EAAoBiB,IACvBL,EAAOM,aAAa,QAASlB,EAAoBiB,IAElDL,EAAOO,IA1DV,SAAwBrC,GACvB,OAAOkB,EAAoBoB,EAAI,IAAM,CAAC,EAAI,SAAS,EAAI,6DAA6D,EAAI,eAAe,EAAI,iBAAiB,EAAI,sBAAsB,EAAI,yBAAyB,EAAI,gBAAgB,EAAI,wBAAwB,EAAI,mBAAmB,EAAI,wBAAwB,GAAK,mBAAmB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,qBAAqB,GAAK,oBAAoB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,yBAAyB,GAAK,kBAAkB,GAAK,yBAAyB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,eAAe,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,cAAc,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,uBAAuB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,wBAAwB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,eAAe,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,iBAAiB,GAAK,eAAe,GAAK,oBAAoB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,sBAAsB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,2BAA2B,GAAK,uBAAuB,GAAK,iBAAiB,GAAK,gBAAgB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,eAAe,IAAM,kBAAkB,IAAM,gBAAgB,IAAM,sBAAsB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,oBAAoB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,qBAAqB,IAAM,mBAAmB,IAAM,sBAAsB,IAAM,mBAAmB,IAAM,cAAc,IAAM,gBAAgB,IAAM,cAAc,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,0BAA0B,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,kBAAkB,IAAM,sBAAsB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,yBAAyB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,0BAA0B,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,mBAAmB,IAAM,qCAAqC,IAAM,6BAA6B,IAAM,SAAS,IAAM,gBAAgB,IAAM,eAAe,IAAM,cAAc,IAAM,cAAc,IAAM,iBAAiB,IAAM,sBAAsB,IAAM,uBAAuBtC,IAAUA,GAAW,SAAW,CAAC,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,wBAAwBA,GAyDztUuC,CAAevC,GAG5B,IAAIwC,EAAQ,IAAIC,MAChBZ,EAAmB,SAAUa,GAE5BZ,EAAOa,QAAUb,EAAOc,OAAS,KACjCC,aAAaX,GACb,IAAIY,EAAQpC,EAAgBV,GAC5B,GAAa,IAAV8C,EAAa,CACf,GAAGA,EAAO,CACT,IAAIC,EAAYL,IAAyB,SAAfA,EAAMM,KAAkB,UAAYN,EAAMM,MAChEC,EAAUP,GAASA,EAAMQ,QAAUR,EAAMQ,OAAOb,IACpDG,EAAMW,QAAU,iBAAmBnD,EAAU,cAAgB+C,EAAY,KAAOE,EAAU,IAC1FT,EAAMY,KAAO,iBACbZ,EAAMQ,KAAOD,EACbP,EAAMa,QAAUJ,EAChBH,EAAM,GAAGN,GAEV9B,EAAgBV,QAAWsD,IAG7B,IAAIpB,EAAUqB,YAAW,WACxB1B,EAAiB,CAAEmB,KAAM,UAAWE,OAAQpB,MAC1C,MACHA,EAAOa,QAAUb,EAAOc,OAASf,EACjCE,SAASyB,KAAKC,YAAY3B,GAG5B,OAAOJ,QAAQgC,IAAInC,IAIpBL,EAAoByC,EAAI/C,EAGxBM,EAAoB0C,EAAI7C,EAGxBG,EAAoB2C,EAAI,SAAS1C,EAASiC,EAAMU,GAC3C5C,EAAoB6C,EAAE5C,EAASiC,IAClC9C,OAAO0D,eAAe7C,EAASiC,EAAM,CAAEa,YAAY,EAAMC,IAAKJ,KAKhE5C,EAAoBiD,EAAI,SAAShD,GACX,oBAAXiD,QAA0BA,OAAOC,aAC1C/D,OAAO0D,eAAe7C,EAASiD,OAAOC,YAAa,CAAEC,MAAO,WAE7DhE,OAAO0D,eAAe7C,EAAS,aAAc,CAAEmD,OAAO,KAQvDpD,EAAoBqD,EAAI,SAASD,EAAOE,GAEvC,GADU,EAAPA,IAAUF,EAAQpD,EAAoBoD,IAC/B,EAAPE,EAAU,OAAOF,EACpB,GAAW,EAAPE,GAA8B,iBAAVF,GAAsBA,GAASA,EAAMG,WAAY,OAAOH,EAChF,IAAII,EAAKpE,OAAOqE,OAAO,MAGvB,GAFAzD,EAAoBiD,EAAEO,GACtBpE,OAAO0D,eAAeU,EAAI,UAAW,CAAET,YAAY,EAAMK,MAAOA,IACtD,EAAPE,GAA4B,iBAATF,EAAmB,IAAI,IAAIM,KAAON,EAAOpD,EAAoB2C,EAAEa,EAAIE,EAAK,SAASA,GAAO,OAAON,EAAMM,IAAQC,KAAK,KAAMD,IAC9I,OAAOF,GAIRxD,EAAoB4D,EAAI,SAAS1D,GAChC,IAAI0C,EAAS1C,GAAUA,EAAOqD,WAC7B,WAAwB,OAAOrD,EAAgB,SAC/C,WAA8B,OAAOA,GAEtC,OADAF,EAAoB2C,EAAEC,EAAQ,IAAKA,GAC5BA,GAIR5C,EAAoB6C,EAAI,SAASgB,EAAQC,GAAY,OAAO1E,OAAOC,UAAUC,eAAeC,KAAKsE,EAAQC,IAGzG9D,EAAoBoB,EAAI,OAGxBpB,EAAoB+D,GAAK,SAASC,GAA2B,MAApBC,QAAQ3C,MAAM0C,GAAYA,GAEnE,IAAIE,EAAaC,OAAyB,iBAAIA,OAAyB,kBAAK,GACxEC,EAAmBF,EAAWzE,KAAKkE,KAAKO,GAC5CA,EAAWzE,KAAOd,EAClBuF,EAAaA,EAAWG,QACxB,IAAI,IAAIpF,EAAI,EAAGA,EAAIiF,EAAW/E,OAAQF,IAAKN,EAAqBuF,EAAWjF,IAC3E,IAAIU,EAAsByE,EAInBpE,EAAoBA,EAAoBsE,EAAI,K,gBCtMrDpE,EAAOD,QAAU,SAAUsE,GACzB,IACE,QAASA,IACT,MAAOjD,GACP,OAAO,K,gBCJX,IAAIkD,EAAS,EAAQ,GACjBC,EAAS,EAAQ,IACjBC,EAAM,EAAQ,GACdC,EAAM,EAAQ,IACdC,EAAgB,EAAQ,IACxBC,EAAoB,EAAQ,KAE5BC,EAAwBL,EAAO,OAC/BvB,EAASsB,EAAOtB,OAChB6B,EAAwBF,EAAoB3B,EAASA,GAAUA,EAAO8B,eAAiBL,EAE3FzE,EAAOD,QAAU,SAAUiC,GAIvB,OAHGwC,EAAII,EAAuB5C,KAC1B0C,GAAiBF,EAAIxB,EAAQhB,GAAO4C,EAAsB5C,GAAQgB,EAAOhB,GACxE4C,EAAsB5C,GAAQ6C,EAAsB,UAAY7C,IAC9D4C,EAAsB5C,K,iBCfjC,8BACE,OAAO+C,GAAMA,EAAGC,MAAQA,MAAQD,GAIlC/E,EAAOD,QAELkF,EAA2B,iBAAdC,YAA0BA,aACvCD,EAAuB,iBAAVhB,QAAsBA,SACnCgB,EAAqB,iBAARE,MAAoBA,OACjCF,EAAuB,iBAAVX,GAAsBA,IAEnCc,SAAS,cAATA,K,+CCVF,IAAI3B,EAAO,EAAQ,IAMf4B,EAAWnG,OAAOC,UAAUkG,SAQhC,SAASC,EAAQC,GACf,MAA8B,mBAAvBF,EAAShG,KAAKkG,GASvB,SAASC,EAAYD,GACnB,YAAsB,IAARA,EA4EhB,SAASE,EAASF,GAChB,OAAe,OAARA,GAA+B,iBAARA,EAuChC,SAASG,EAAWH,GAClB,MAA8B,sBAAvBF,EAAShG,KAAKkG,GAwEvB,SAASI,EAAQC,EAAKC,GAEpB,GAAID,QAUJ,GALmB,iBAARA,IAETA,EAAM,CAACA,IAGLN,EAAQM,GAEV,IAAK,IAAI7G,EAAI,EAAGkB,EAAI2F,EAAI3G,OAAQF,EAAIkB,EAAGlB,IACrC8G,EAAGxG,KAAK,KAAMuG,EAAI7G,GAAIA,EAAG6G,QAI3B,IAAK,IAAIpC,KAAOoC,EACV1G,OAAOC,UAAUC,eAAeC,KAAKuG,EAAKpC,IAC5CqC,EAAGxG,KAAK,KAAMuG,EAAIpC,GAAMA,EAAKoC,GAoFrC5F,EAAOD,QAAU,CACfuF,QAASA,EACTQ,cApRF,SAAuBP,GACrB,MAA8B,yBAAvBF,EAAShG,KAAKkG,IAoRrBQ,SAhSF,SAAkBR,GAChB,OAAe,OAARA,IAAiBC,EAAYD,IAA4B,OAApBA,EAAIS,cAAyBR,EAAYD,EAAIS,cAChD,mBAA7BT,EAAIS,YAAYD,UAA2BR,EAAIS,YAAYD,SAASR,IA+RhFU,WA5QF,SAAoBV,GAClB,MAA4B,oBAAbW,UAA8BX,aAAeW,UA4Q5DC,kBAnQF,SAA2BZ,GAOzB,MAL4B,oBAAhBa,aAAiCA,YAAkB,OACpDA,YAAYC,OAAOd,GAEnB,GAAUA,EAAU,QAAMA,EAAIe,kBAAkBF,aA+P3DG,SApPF,SAAkBhB,GAChB,MAAsB,iBAARA,GAoPdiB,SA3OF,SAAkBjB,GAChB,MAAsB,iBAARA,GA2OdE,SAAUA,EACVD,YAAaA,EACbiB,OA1NF,SAAgBlB,GACd,MAA8B,kBAAvBF,EAAShG,KAAKkG,IA0NrBmB,OAjNF,SAAgBnB,GACd,MAA8B,kBAAvBF,EAAShG,KAAKkG,IAiNrBoB,OAxMF,SAAgBpB,GACd,MAA8B,kBAAvBF,EAAShG,KAAKkG,IAwMrBG,WAAYA,EACZkB,SAtLF,SAAkBrB,GAChB,OAAOE,EAASF,IAAQG,EAAWH,EAAIsB,OAsLvCC,kBA7KF,SAA2BvB,GACzB,MAAkC,oBAApBwB,iBAAmCxB,aAAewB,iBA6KhEC,qBAjJF,WACE,OAAyB,oBAAdC,WAAoD,gBAAtBA,UAAUC,SACY,iBAAtBD,UAAUC,SACY,OAAtBD,UAAUC,WAI/B,oBAAXjD,QACa,oBAAbtD,WA0ITgF,QAASA,EACTwB,MA/EF,SAASA,IACP,IAAIC,EAAS,GACb,SAASC,EAAY9B,EAAK/B,GACG,iBAAhB4D,EAAO5D,IAAoC,iBAAR+B,EAC5C6B,EAAO5D,GAAO2D,EAAMC,EAAO5D,GAAM+B,GAEjC6B,EAAO5D,GAAO+B,EAIlB,IAAK,IAAIxG,EAAI,EAAGkB,EAAIqH,UAAUrI,OAAQF,EAAIkB,EAAGlB,IAC3C4G,EAAQ2B,UAAUvI,GAAIsI,GAExB,OAAOD,GAmEPG,UAxDF,SAASA,IACP,IAAIH,EAAS,GACb,SAASC,EAAY9B,EAAK/B,GACG,iBAAhB4D,EAAO5D,IAAoC,iBAAR+B,EAC5C6B,EAAO5D,GAAO+D,EAAUH,EAAO5D,GAAM+B,GAErC6B,EAAO5D,GADiB,iBAAR+B,EACFgC,EAAU,GAAIhC,GAEdA,EAIlB,IAAK,IAAIxG,EAAI,EAAGkB,EAAIqH,UAAUrI,OAAQF,EAAIkB,EAAGlB,IAC3C4G,EAAQ2B,UAAUvI,GAAIsI,GAExB,OAAOD,GA0CPI,OA/BF,SAAgBC,EAAGC,EAAGC,GAQpB,OAPAhC,EAAQ+B,GAAG,SAAqBnC,EAAK/B,GAEjCiE,EAAEjE,GADAmE,GAA0B,mBAARpC,EACX9B,EAAK8B,EAAKoC,GAEVpC,KAGNkC,GAwBPG,KAzKF,SAAcC,GACZ,OAAOA,EAAIC,QAAQ,OAAQ,IAAIA,QAAQ,OAAQ,O,cC9KjD,IAAI1I,EAAiB,GAAGA,eAExBY,EAAOD,QAAU,SAAUgF,EAAIvB,GAC7B,OAAOpE,EAAeC,KAAK0F,EAAIvB,K,gBCHjC,IAAIiC,EAAW,EAAQ,GAEvBzF,EAAOD,QAAU,SAAUgF,GACzB,IAAKU,EAASV,GACZ,MAAMgD,UAAUC,OAAOjD,GAAM,qBAC7B,OAAOA,I,cCLX/E,EAAOD,QAAU,SAAUgF,GACzB,MAAqB,iBAAPA,EAAyB,OAAPA,EAA4B,mBAAPA,I,gBCDvD,IAAIkD,EAAQ,EAAQ,GAGpBjI,EAAOD,SAAWkI,GAAM,WACtB,OAA8E,GAAvE/I,OAAO0D,eAAe,GAAI,EAAG,CAAEE,IAAK,WAAc,OAAO,KAAQ,O,gBCJ1E,IAAIoF,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,IACzBC,EAAW,EAAQ,GACnBC,EAAc,EAAQ,IAEtBC,EAAuBpJ,OAAO0D,eAIlC7C,EAAQwI,EAAIL,EAAcI,EAAuB,SAAwBE,EAAGC,EAAGC,GAI7E,GAHAN,EAASI,GACTC,EAAIJ,EAAYI,GAAG,GACnBL,EAASM,GACLP,EAAgB,IAClB,OAAOG,EAAqBE,EAAGC,EAAGC,GAClC,MAAOtH,IACT,GAAI,QAASsH,GAAc,QAASA,EAAY,MAAMX,UAAU,2BAEhE,MADI,UAAWW,IAAYF,EAAEC,GAAKC,EAAWxF,OACtCsF,I,gBClBT,IAAIN,EAAc,EAAQ,GACtBS,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC5I,EAAOD,QAAUmI,EAAc,SAAUvE,EAAQH,EAAKN,GACpD,OAAOyF,EAAqBJ,EAAE5E,EAAQH,EAAKoF,EAAyB,EAAG1F,KACrE,SAAUS,EAAQH,EAAKN,GAEzB,OADAS,EAAOH,GAAON,EACPS,I,gBCRT,IAAIW,EAAS,EAAQ,GACjBuE,EAA2B,EAAQ,IAAmDN,EACtFO,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBC,EAAY,EAAQ,IACpBC,EAA4B,EAAQ,KACpCC,EAAW,EAAQ,IAgBvBlJ,EAAOD,QAAU,SAAUoJ,EAASC,GAClC,IAGYtH,EAAQ0B,EAAK6F,EAAgBC,EAAgBC,EAHrDC,EAASL,EAAQrH,OACjB2H,EAASN,EAAQ7E,OACjBoF,EAASP,EAAQQ,KASrB,GANE7H,EADE2H,EACOnF,EACAoF,EACApF,EAAOkF,IAAWR,EAAUQ,EAAQ,KAEnClF,EAAOkF,IAAW,IAAIrK,UAEtB,IAAKqE,KAAO4F,EAAQ,CAQ9B,GAPAE,EAAiBF,EAAO5F,GAGtB6F,EAFEF,EAAQS,aACVL,EAAaV,EAAyB/G,EAAQ0B,KACf+F,EAAWrG,MACpBpB,EAAO0B,IACtB0F,EAASO,EAASjG,EAAMgG,GAAUE,EAAS,IAAM,KAAOlG,EAAK2F,EAAQU,cAE5C3H,IAAnBmH,EAA8B,CAC3C,UAAWC,UAA0BD,EAAgB,SACrDJ,EAA0BK,EAAgBD,IAGxCF,EAAQW,MAAST,GAAkBA,EAAeS,OACpDhB,EAA4BQ,EAAgB,QAAQ,GAGtDP,EAASjH,EAAQ0B,EAAK8F,EAAgBH,M,6BCjD1C,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAERjK,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQgK,WAAahK,EAAQiK,iBAAmBjK,EAAQkK,UAAYlK,EAAQmK,YAAcnK,EAAQoK,eAAiBpK,EAAQqK,kBAAoBrK,EAAQsK,YAAS,EAsBhKtK,EAAQsK,OAXK,SAAgBC,EAAKC,GAChC,OAAOP,EAAiBM,EAAK,GAAIC,IAkCnCxK,EAAQqK,kBAZgB,SAA2BI,GACjD,OAAOvG,OAAOwG,SAASC,SAAW,KAAOzG,OAAOwG,SAASE,KAXpC,SAA0BH,GAC/C,OAAOT,IAAe,eAAiBS,EAUyBI,CAAiBJ,IAkBnFzK,EAAQoK,eALa,SAAwBK,EAASK,GAEpD,OADAA,EAAsB,IAAZA,EAAgB,EAAI,EACvB5G,OAAOwG,SAASC,SAAW,KAAOzG,OAAOwG,SAASE,KAAOZ,IAAe,SAAWc,EAAU,QAAUL,EAAU,KAoD1HzK,EAAQmK,YAxCU,SAAqBY,EAAKC,EAAQ5B,GAClD,IAAI6B,EAAa9L,OAAO+L,OAAO,CAC7BC,QAAQ,EACRC,WAAW,GACVhC,GAAW,IAEViC,EAAS,SAAgBC,EAAMC,GAEjC,OADAA,EAAOA,GAAQ,GACRD,EAAKvD,QAAQ,eAAe,SAAUL,EAAGC,GAC9C,IAAI3E,EAAIuI,EAAK5D,GAEb,OAAIsD,EAAWE,OACO,iBAANnI,GAA+B,iBAANA,EAAiBwI,mBAAmBxI,EAAEsC,YAAckG,mBAAmB9D,GAE1F,iBAAN1E,GAA+B,iBAANA,EAAiBA,EAAEsC,WAAaoC,MAS7E,MAJsB,MAAlBqD,EAAIU,OAAO,KACbV,EAAM,IAAMA,IAGsB,IAAhCW,GAAGC,OAAOC,mBAA+BX,EAAWG,UAIjDpB,IAAe,aAAeqB,EAAON,EAAKC,GAAU,IAHlDhB,IAAeqB,EAAON,EAAKC,GAAU,KAoChDhL,EAAQkK,UAlBQ,SAAmBK,EAAKC,GACtC,OAA2B,IAAvBA,EAAKqB,QAAQ,KAER5B,EAAiBM,EAAK,MAAOC,EAAO,QAGtCP,EAAiBM,EAAK,MAAOC,IActC,IAAIP,EAAmB,SAA0BM,EAAK1I,EAAM2I,GAC1D,IAAIsB,GAAuC,IAA9BJ,GAAGK,SAASF,QAAQtB,GAC7ByB,EAAOhC,IAiDX,MA/CwC,QAApCQ,EAAKyB,UAAUzB,EAAKtL,OAAS,IAAiB4M,EAYH,QAApCtB,EAAKyB,UAAUzB,EAAKtL,OAAS,IAAiB4M,GAgBrDE,GAHW,aAARzB,GAA8B,SAARA,GAA0B,WAARA,GAA8B,SAAT1I,EAGxD,IAFA,cAKLiK,IACHE,GAAQ,SAGE,KAARzB,IAEFyB,GADAzB,GAAO,KAIL1I,IACFmK,GAAQnK,EAAO,KAGjBmK,GAAQxB,IA/BRwB,EAAON,GAAGQ,aAAa3B,GAEnB1I,IACFmK,GAAQ,IAAMnK,EAAO,KAGiB,MAApCmK,EAAKC,UAAUD,EAAK9M,OAAS,KAC/B8M,GAAQ,KAGVA,GAAQxB,IAtBRwB,GAAQ,mBAAqBzB,EAEhB,cAATC,IACFwB,GAAQ,IAEJnK,IACFmK,GAAQG,UAAUtK,EAAO,MAG3BmK,GAAQxB,IAqCLwB,GAWThM,EAAQiK,iBAAmBA,EAE3B,IAAID,EAAa,WACf,OAAO0B,GAAGU,SAGZpM,EAAQgK,WAAaA,G,gBCjNrB,IAAIzF,EAAS,EAAQ,GACjBwE,EAA8B,EAAQ,GACtCtE,EAAM,EAAQ,GACdwE,EAAY,EAAQ,IACpBoD,EAAgB,EAAQ,IACxBC,EAAsB,EAAQ,IAE9BC,EAAmBD,EAAoBvJ,IACvCyJ,EAAuBF,EAAoBG,QAC3CC,EAAWzE,OAAOA,QAAQ0E,MAAM,WAEnC1M,EAAOD,QAAU,SAAUyI,EAAGhF,EAAKN,EAAOiG,GACzC,IAAIwD,IAASxD,KAAYA,EAAQwD,OAC7BC,IAASzD,KAAYA,EAAQtG,WAC7B+G,IAAcT,KAAYA,EAAQS,YAClB,mBAAT1G,IACS,iBAAPM,GAAoBgB,EAAItB,EAAO,SAAS4F,EAA4B5F,EAAO,OAAQM,GAC9F+I,EAAqBrJ,GAAOkG,OAASqD,EAASI,KAAmB,iBAAPrJ,EAAkBA,EAAM,KAEhFgF,IAAMlE,GAIEqI,GAEA/C,GAAepB,EAAEhF,KAC3BoJ,GAAS,UAFFpE,EAAEhF,GAIPoJ,EAAQpE,EAAEhF,GAAON,EAChB4F,EAA4BN,EAAGhF,EAAKN,IATnC0J,EAAQpE,EAAEhF,GAAON,EAChB8F,EAAUxF,EAAKN,KAUrBkC,SAASjG,UAAW,YAAY,WACjC,MAAsB,mBAAR2N,MAAsBR,EAAiBQ,MAAM1D,QAAUgD,EAAcU,U,6BChCrF;;;;;;;;;;;;;;;;;;;;;;AAsBA,IAAMC,EAAwB,CAC7B,iBAGKC,EAAyB,CAC9B,aACA,kBACA,sBACA,8BACA,uBACA,yBACA,mBACA,qBACA,oBACA,oBACA,kBACA,mBACA,WACA,WACA,YACA,WACA,gBACA,WACA,qBACA,cACA,gBACA,sBAGKC,EAAgB,GAAH,OAAOF,EAA0BC,I,6BCjDpD9N,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQmN,UA8BR,SAAmBlL,EAAMmL,GACvBC,EAAIF,UAAUlL,EAAMmL,IA9BtBpN,EAAQsN,YA0CR,SAAqBrL,EAAMmL,GACzBC,EAAIC,YAAYrL,EAAMmL,IA1CxBpN,EAAQuN,KAoDR,SAActL,EAAMV,GAClB8L,EAAIE,KAAKtL,EAAMV,IAnDjB,IAAIiM,EAAY,EAAQ,KAEpBC,EAAa,EAAQ,KAgBzB,IAAIJ,QAbuB,IAAdnJ,OAAOwH,IAAsBxH,OAAOwH,GAAGgC,gBAA6C,IAAzBxJ,OAAOyJ,gBAC3E3J,QAAQ4J,KAAK,sEACb1J,OAAOyJ,cAAgBzJ,OAAOwH,GAAGgC,gBAIC,IAAzBxJ,OAAOyJ,cACT,IAAIH,EAAUK,SAAS3J,OAAOyJ,eAE9BzJ,OAAOyJ,cAAgB,IAAIF,EAAWK,Y,gBCvBjD,IAAIC,EAAY,EAAQ,IAEpBC,EAAM/I,KAAK+I,IAIf/N,EAAOD,QAAU,SAAUiO,GACzB,OAAOA,EAAW,EAAID,EAAID,EAAUE,GAAW,kBAAoB,I,gBCPrE,IAAIC,EAAyB,EAAQ,IAIrCjO,EAAOD,QAAU,SAAUiO,GACzB,OAAO9O,OAAO+O,EAAuBD,M,cCLvChO,EAAOD,QAAU,I,6BCMF,SAASmO,EACtBC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,GAGA,IAqBIC,EArBAxF,EAAmC,mBAAlBgF,EACjBA,EAAchF,QACdgF,EAsDJ,GAnDIC,IACFjF,EAAQiF,OAASA,EACjBjF,EAAQkF,gBAAkBA,EAC1BlF,EAAQyF,WAAY,GAIlBN,IACFnF,EAAQ0F,YAAa,GAInBL,IACFrF,EAAQ2F,SAAW,UAAYN,GAI7BC,GACFE,EAAO,SAAUI,IAEfA,EACEA,GACCjC,KAAKkC,QAAUlC,KAAKkC,OAAOC,YAC3BnC,KAAKoC,QAAUpC,KAAKoC,OAAOF,QAAUlC,KAAKoC,OAAOF,OAAOC,aAEZ,oBAAxBE,sBACrBJ,EAAUI,qBAGRZ,GACFA,EAAalP,KAAKyN,KAAMiC,GAGtBA,GAAWA,EAAQK,uBACrBL,EAAQK,sBAAsBC,IAAIZ,IAKtCtF,EAAQmG,aAAeX,GACdJ,IACTI,EAAOD,EACH,WACAH,EAAalP,KACXyN,MACC3D,EAAQ0F,WAAa/B,KAAKoC,OAASpC,MAAMyC,MAAMC,SAASC,aAG3DlB,GAGFI,EACF,GAAIxF,EAAQ0F,WAAY,CAGtB1F,EAAQuG,cAAgBf,EAExB,IAAIgB,EAAiBxG,EAAQiF,OAC7BjF,EAAQiF,OAAS,SAAmCwB,EAAGb,GAErD,OADAJ,EAAKtP,KAAK0P,GACHY,EAAeC,EAAGb,QAEtB,CAEL,IAAIc,EAAW1G,EAAQ2G,aACvB3G,EAAQ2G,aAAeD,EACnB,GAAGE,OAAOF,EAAUlB,GACpB,CAACA,GAIT,MAAO,CACL5O,QAASoO,EACThF,QAASA,GA/Fb,mC,cCAA,IAAI9D,EAAW,GAAGA,SAElBrF,EAAOD,QAAU,SAAUgF,GACzB,OAAOM,EAAShG,KAAK0F,GAAIZ,MAAM,GAAI,K,gBCHrC,IASI6L,EAAKlN,EAAK0B,EATVyL,EAAkB,EAAQ,KAC1B3L,EAAS,EAAQ,GACjBmB,EAAW,EAAQ,GACnBqD,EAA8B,EAAQ,GACtCoH,EAAY,EAAQ,GACpBC,EAAY,EAAQ,IACpBC,EAAa,EAAQ,IAErBC,EAAU/L,EAAO+L,QAgBrB,GAAIJ,EAAiB,CACnB,IAAIK,EAAQ,IAAID,EACZE,EAAQD,EAAMxN,IACd0N,EAAQF,EAAM9L,IACdiM,EAAQH,EAAMN,IAClBA,EAAM,SAAUjL,EAAI2L,GAElB,OADAD,EAAMpR,KAAKiR,EAAOvL,EAAI2L,GACfA,GAET5N,EAAM,SAAUiC,GACd,OAAOwL,EAAMlR,KAAKiR,EAAOvL,IAAO,IAElCP,EAAM,SAAUO,GACd,OAAOyL,EAAMnR,KAAKiR,EAAOvL,QAEtB,CACL,IAAI4L,EAAQR,EAAU,SACtBC,EAAWO,IAAS,EACpBX,EAAM,SAAUjL,EAAI2L,GAElB,OADA5H,EAA4B/D,EAAI4L,EAAOD,GAChCA,GAET5N,EAAM,SAAUiC,GACd,OAAOmL,EAAUnL,EAAI4L,GAAS5L,EAAG4L,GAAS,IAE5CnM,EAAM,SAAUO,GACd,OAAOmL,EAAUnL,EAAI4L,IAIzB3Q,EAAOD,QAAU,CACfiQ,IAAKA,EACLlN,IAAKA,EACL0B,IAAKA,EACLgI,QA/CY,SAAUzH,GACtB,OAAOP,EAAIO,GAAMjC,EAAIiC,GAAMiL,EAAIjL,EAAI,KA+CnC6L,UA5Cc,SAAUC,GACxB,OAAO,SAAU9L,GACf,IAAI+L,EACJ,IAAKrL,EAASV,KAAQ+L,EAAQhO,EAAIiC,IAAKnD,OAASiP,EAC9C,MAAM9I,UAAU,0BAA4B8I,EAAO,aACnD,OAAOC,M,cClBb9Q,EAAOD,QAAU,SAAUgF,GACzB,GAAU7C,MAAN6C,EAAiB,MAAMgD,UAAU,wBAA0BhD,GAC/D,OAAOA,I,gBCJT,IAAIgM,EAAO,EAAQ,KACfzM,EAAS,EAAQ,GAEjB0M,EAAY,SAAUC,GACxB,MAA0B,mBAAZA,EAAyBA,OAAW/O,GAGpDlC,EAAOD,QAAU,SAAUmR,EAAWC,GACpC,OAAO7J,UAAUrI,OAAS,EAAI+R,EAAUD,EAAKG,KAAeF,EAAU1M,EAAO4M,IACzEH,EAAKG,IAAcH,EAAKG,GAAWC,IAAW7M,EAAO4M,IAAc5M,EAAO4M,GAAWC,K,cCT3F,IAAIC,EAAOpM,KAAKoM,KACZC,EAAQrM,KAAKqM,MAIjBrR,EAAOD,QAAU,SAAUiO,GACzB,OAAOsD,MAAMtD,GAAYA,GAAY,GAAKA,EAAW,EAAIqD,EAAQD,GAAMpD,K,cCNzEhO,EAAOD,QAAU,SAAUwR,EAAQrO,GACjC,MAAO,CACLL,aAAuB,EAAT0O,GACdC,eAAyB,EAATD,GAChBE,WAAqB,EAATF,GACZrO,MAAOA,K,gBCJX,IAAIwO,EAAgB,EAAQ,IACxBzD,EAAyB,EAAQ,IAErCjO,EAAOD,QAAU,SAAUgF,GACzB,OAAO2M,EAAczD,EAAuBlJ,M,cCL9C/E,EAAOD,QAAU,I,gBCGjB,IAAI4R,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAAC3R,EAAOjB,EAAI4S,EAAS,MAC7DA,EAAQC,SAAQ5R,EAAOD,QAAU4R,EAAQC,SAG/BvC,EADH,EAAQ,IAA+DwC,SAChE,WAAYF,GAAS,EAAM,K,2UC4C5C,4CACA,uECrD6L,EDuD7L,CACA,qBACA,YACA,0GAEA,OACA,MACA,YACA,cAGA,KAXA,WAYA,OACA,SACA,YACA,UACA,UACA,SACA,aACA,8DACA,wCAGA,UACA,WADA,WAEA,mGAEA,UAJA,WAKA,qEAEA,mBAPA,WAQA,kEAGA,OACA,KADA,WAEA,oBAEA,MAJA,SAIA,GACA,GACA,kDAIA,QA5CA,WA4CA,I,EAAA,c,EAAA,sHACA,WACA,gBAEA,0DACA,aACA,mBAEA,0DACA,gBATA,0C,kLAYA,SACA,QADA,aAIA,MAJA,WAIA,WACA,eACA,cACA,2BACA,cACA,oBAGA,YAZA,WAYA,WACA,eACA,kBACA,cACA,uBAIA,OAHA,IACA,8BAEA,wCACA,sBAKA,OAJA,wBACA,cACA,aACA,aACA,KACA,mBACA,+BAMA,OALA,wBACA,YACA,YACA,WACA,eACA,MAGA,UArCA,WAqCA,WACA,gBAGA,iBACA,qCACA,eACA,GACA,qFACA,0B,iBE1Ie,EAXC,YACd,GCTW,WAAa,IAAIG,EAAIhF,KAASiF,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAQD,EAAW,QAAEG,EAAG,MAAM,CAACE,MAAM,CAAC,gBAAiBL,EAAIM,SAAWN,EAAIO,MAAO,MAASP,EAAIQ,MAAO,KAAQR,EAAIS,UAAW,UAAaT,EAAIU,WAAWC,MAAM,CAAC,GAAK,mBAAmB,CAAEX,EAAsB,mBAAEG,EAAG,MAAM,CAACS,YAAY,kBAAkBC,GAAG,CAAC,MAAQb,EAAIc,YAAY,CAACX,EAAG,IAAI,CAACS,YAAY,eAAe,CAACZ,EAAIe,GAAG,WAAWf,EAAIgB,GAAGhB,EAAI3O,EAAE,OAAQ,gCAAgC,cAAc2O,EAAIiB,KAAKjB,EAAIe,GAAG,KAAMf,EAAQ,KAAEG,EAAG,gBAAgB,CAACe,WAAW,CAAC,CAAChR,KAAK,OAAOiR,QAAQ,SAAS/P,MAAO4O,EAAS,MAAEoB,WAAW,UAAU1P,IAAIsO,EAAIvH,KAAK4I,GAAGV,MAAM,CAAC,UAAUX,EAAIvH,KAAK4I,GAAG,gBAAgBrB,EAAIvH,KAAKwG,KAAK,cAAce,EAAIsB,WAAW,QAAS,EAAK,UAAW,EAAK,KAAOtB,EAAIvH,KAAK8I,SAAS,UAAYvB,EAAIwB,WAAWX,GAAG,CAAC,MAAQ,SAASY,GAAQzB,EAAIO,OAAM,GAAM,MAAQ,SAASkB,GAAQzB,EAAIQ,OAAM,GAAM,KAAOR,EAAI0B,QAAQ,MAAQ1B,EAAI2B,SAAS3B,EAAIiB,MAAM,GAAGjB,EAAIiB,OACh6B,IDWpB,EACA,KACA,WACA,M,QEaIW,EAAc,SAASC,EAAMC,GAClC,IAAMC,EAAUF,EAAKjH,MAAM,KACrB5K,EAAS8R,EAAGlH,MAAM,KAExB,IADAmH,EAAQC,MACDD,EAAQ,KAAO/R,EAAO,IAC5B+R,EAAQnU,QACRoC,EAAOpC,QAER,IAAMqU,EAAeF,EAAQG,KAAK,MAAMjE,OAAOjO,GACzCmS,EAAeL,EAAGlH,MAAM,KAC9B,OAAOqH,EAAa9U,OAASgV,EAAahV,OACvC8U,EAAalH,KAAK,KAClB+G,GAGEM,EAAqB,WAC1B,IAAMC,EAAoB,CACzBC,OADyB,SAClBC,GACN,IAAMC,EAAWD,EAAKC,SAGF,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,IAKxCkB,EAAKE,aAAa,CACjBpB,GAAI,OACJqB,YAAarR,EAAE,OAAQ,qBACvBsR,aAActR,EAAE,OAAQ,qBAAuB,MAC/CuR,UAAW,qBACXC,SAAU,OACVC,cANiB,SAMH5S,GACbsS,EAASO,WAAW7S,GAAM8S,MAAK,SAASC,EAAQrW,GAC/C,IAAMsW,EAAgB,IAAIC,IAAIC,MAAMC,cAAczW,QACxB,IAAfuW,IAAIG,OACdH,IAAIC,MAAMG,YAAYC,cAAc,OAAQN,EAAeV,QAC3B,IAAfW,IAAIG,QACrBH,IAAIC,MAAMG,YAAYC,cAxCE,qBAwCoCN,EAAeV,WAOjF7I,GAAG8J,QAAQC,SAAS,wBAAyBrB,IAGxCsB,EAA6B,WAClC,IAOyBC,EAPnBC,EAAehV,SAASiV,eAAe,gBAAkBjV,SAASiV,eAAe,gBAAgB1S,MAAQ,KACzG2S,EAAMlV,SAASiV,eAAe,OAAO1S,MAE3C,IAAKyS,GAAwB,KAARE,EAAY,CAChC,IAAMC,EAAanV,SAASC,cAAc,OAC1CkV,EAAW3C,GAAK,uBAChBxS,SAASoV,KAAK1T,YAAYyT,GAmC1B,IAlCA,IAkCS/W,EAAI,EAAGA,EAAIkO,IAAchO,OAAQF,IAlClB2W,EAmCRzI,IAAclO,GAnCGkW,IAAIC,MAAMG,YAAYG,SACtDE,EA3D4B,qBA6D5BjK,GAAGuK,kBAAoBvK,GAAGwK,gBAC1BhM,oBAAU,OAAQ,mBAClB,SAACiM,GACA,IAAM3L,EAAOtG,OAAOkS,SAASC,SAASF,GACtC5V,QAAQgC,IAAI,CACX,6BACA,iFACEwS,MAAK,SAACuB,GACR,IAAMtF,EAAO9M,OAAOkS,SAASG,sBAAwB,IAAMJ,EACrDK,EAAMF,EAAQ,GAAGxE,QACvB0E,EAAIpX,UAAUgE,EAAIc,OAAOd,EACzBoT,EAAIpX,UAAUuE,EAAIO,OAAOP,EACzB6S,EAAIpX,UAAU8V,IAAMhR,OAAOgR,IAC3B,IAAMuB,EAASH,EAAQ,GAAGxE,QACf,IAAI0E,EAAI,CAClBnI,OAAQ,SAAAwB,GAAC,OAAIA,EAAE4G,EAAQ,CACtBC,MAAO,CACNC,OAAQnM,EAAOA,EAAK4I,GAAK,KACzBwD,QAAQ,EACRvD,WAAYuC,EACZ5B,aAAchD,EACd6F,SAAUrM,EAAK8I,eAIfwD,OAAOf,QAGZ3S,EAAE,OAAQ,SAKV8R,IAAIC,MAAMG,YAAYyB,WAAW7J,IAAclO,GA9FnB,wBAoGzBgY,EAAuB,CAE5BC,GAAI,KAEJ5C,OAJ4B,SAIrBE,GACc,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,KAIxCrG,KAAKkK,GAAKrW,SAASC,cAAc,OACjC0T,EAAS2C,eAAe,CACvB9D,GAAI,YACJ6D,GAAIlK,KAAKkK,GACT5I,OAAQtB,KAAKsB,OAAO3K,KAAKqJ,MACzBoK,SAAU,OAIZ9I,OAlB4B,SAkBrBkG,GAAU,WACI,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,IAIxC,6BAAc2B,MAAK,SAAC9U,GACnB,IAAMuW,EAAMvW,EAAO6R,QACnB,EAAKmF,GAAG7D,GAAK,0BACboD,EAAIpX,UAAUgE,EAAIc,OAAOd,EACzBoT,EAAIpX,UAAUuE,EAAIO,OAAOP,EACzB6S,EAAIpX,UAAU8V,IAAMhR,OAAOgR,IAC3B,IACMkC,EAAK,IADEZ,EAAI/O,OAAO4P,GACb,CAAS,CACnBC,UAAW,CACVtG,KAAMuD,EAASgC,yBAEdO,OAAO,EAAKG,IAEf1C,EAASgD,IAAI3E,GAAG,mBAAmB,SAAAjU,GAClCyY,EAAGpG,KAAOrS,EAAKmX,IAAIxQ,oB,6BCjKvB,EAAQ,IAERnG,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ8R,aAAU,EAElB,IAIgCjM,EAJ5B2R,GAI4B3R,EAJI,EAAQ,OAISA,EAAIvC,WAAauC,EAAM,CAAEiM,QAASjM,GAFnF4R,EAAQ,EAAQ,KAIpB,IAAIC,EAASF,EAAO1F,QAAQtO,OAAO,CACjCmU,QAAS,CACPC,cAAc,EAAIH,EAAMI,sBAIxBC,EAAmB3Y,OAAO+L,OAAOwM,EAAQ,CAC3CK,YAAaP,EAAO1F,QAAQiG,YAC5BC,SAAUR,EAAO1F,QAAQkG,YAE3B,EAAIP,EAAMQ,uBAAsB,SAAUC,GACxC,OAAOR,EAAOS,SAASR,QAAQC,aAAeM,KAEhD,IAAIE,EAAWN,EACf9X,EAAQ8R,QAAUsG,G,gBC7BlB,IAAInH,EAAY,EAAQ,KAGxBhR,EAAOD,QAAU,SAAU8F,EAAIuS,EAAMnZ,GAEnC,GADA+R,EAAUnL,QACG3D,IAATkW,EAAoB,OAAOvS,EAC/B,OAAQ5G,GACN,KAAK,EAAG,OAAO,WACb,OAAO4G,EAAGxG,KAAK+Y,IAEjB,KAAK,EAAG,OAAO,SAAU3Q,GACvB,OAAO5B,EAAGxG,KAAK+Y,EAAM3Q,IAEvB,KAAK,EAAG,OAAO,SAAUA,EAAGC,GAC1B,OAAO7B,EAAGxG,KAAK+Y,EAAM3Q,EAAGC,IAE1B,KAAK,EAAG,OAAO,SAAUD,EAAGC,EAAGlF,GAC7B,OAAOqD,EAAGxG,KAAK+Y,EAAM3Q,EAAGC,EAAGlF,IAG/B,OAAO,WACL,OAAOqD,EAAGwS,MAAMD,EAAM9Q,c,gBCrB1B,IAAIY,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChBzD,EAAM,EAAQ,GAEd5B,EAAiB1D,OAAO0D,eACxB0V,EAAQ,GAERC,EAAU,SAAUxT,GAAM,MAAMA,GAEpC/E,EAAOD,QAAU,SAAUyY,EAAarP,GACtC,GAAI3E,EAAI8T,EAAOE,GAAc,OAAOF,EAAME,GACrCrP,IAASA,EAAU,IACxB,IAAIgI,EAAS,GAAGqH,GACZC,IAAYjU,EAAI2E,EAAS,cAAeA,EAAQsP,UAChDC,EAAYlU,EAAI2E,EAAS,GAAKA,EAAQ,GAAKoP,EAC3CI,EAAYnU,EAAI2E,EAAS,GAAKA,EAAQ,QAAKjH,EAE/C,OAAOoW,EAAME,KAAiBrH,IAAWlJ,GAAM,WAC7C,GAAIwQ,IAAcvQ,EAAa,OAAO,EACtC,IAAIM,EAAI,CAAEvJ,QAAS,GAEfwZ,EAAW7V,EAAe4F,EAAG,EAAG,CAAE3F,YAAY,EAAMC,IAAKyV,IACxD/P,EAAE,GAAK,EAEZ2I,EAAO9R,KAAKmJ,EAAGkQ,EAAWC,Q,gBCxB9B,IAmDIC,EAnDAxQ,EAAW,EAAQ,GACnByQ,EAAmB,EAAQ,KAC3BC,EAAc,EAAQ,IACtB1I,EAAa,EAAQ,IACrB2I,EAAO,EAAQ,KACfC,EAAwB,EAAQ,IAChC7I,EAAY,EAAQ,IAMpB8I,EAAW9I,EAAU,YAErB+I,EAAmB,aAEnBC,EAAY,SAAUxH,GACxB,MAAOyH,WAAmBzH,EAAnByH,cAmCLC,EAAkB,WACpB,IAEET,EAAkBjY,SAAS2Y,QAAU,IAAIC,cAAc,YACvD,MAAOnY,IA1BoB,IAIzBoY,EAFAC,EAyBJJ,EAAkBT,EApCY,SAAUA,GACxCA,EAAgBc,MAAMP,EAAU,KAChCP,EAAgBe,QAChB,IAAIC,EAAOhB,EAAgBiB,aAAa3a,OAExC,OADA0Z,EAAkB,KACXgB,EA+B6BE,CAA0BlB,KAzB1Da,EAAST,EAAsB,WAG5Be,MAAMC,QAAU,OACvBjB,EAAK1W,YAAYoX,GAEjBA,EAAOxY,IAAM+G,OALJ,gBAMTwR,EAAiBC,EAAOQ,cAActZ,UACvBuZ,OACfV,EAAeE,MAAMP,EAAU,sBAC/BK,EAAeG,QACRH,EAAeW,GAgBtB,IADA,IAAIlb,EAAS6Z,EAAY7Z,OAClBA,YAAiBoa,EAAyB,UAAEP,EAAY7Z,IAC/D,OAAOoa,KAGTjJ,EAAW6I,IAAY,EAIvBjZ,EAAOD,QAAUb,OAAOqE,QAAU,SAAgBiF,EAAG4R,GACnD,IAAIhT,EAQJ,OAPU,OAANoB,GACF0Q,EAA0B,UAAI9Q,EAASI,GACvCpB,EAAS,IAAI8R,EACbA,EAA0B,UAAI,KAE9B9R,EAAO6R,GAAYzQ,GACdpB,EAASiS,SACMnX,IAAfkY,EAA2BhT,EAASyR,EAAiBzR,EAAQgT,K,gBC5EtE,IAAIxX,EAAiB,EAAQ,GAAuC2F,EAChE/D,EAAM,EAAQ,GAGd6V,EAFkB,EAAQ,EAEVC,CAAgB,eAEpCta,EAAOD,QAAU,SAAUgF,EAAIwV,EAAK7Q,GAC9B3E,IAAOP,EAAIO,EAAK2E,EAAS3E,EAAKA,EAAG5F,UAAWkb,IAC9CzX,EAAemC,EAAIsV,EAAe,CAAE7I,cAAc,EAAMtO,MAAOqX,M,gBCRnE,IAAI9U,EAAW,EAAQ,GAMvBzF,EAAOD,QAAU,SAAUya,EAAOC,GAChC,IAAKhV,EAAS+U,GAAQ,OAAOA,EAC7B,IAAI3U,EAAIN,EACR,GAAIkV,GAAoD,mBAAxB5U,EAAK2U,EAAMnV,YAA4BI,EAASF,EAAMM,EAAGxG,KAAKmb,IAAS,OAAOjV,EAC9G,GAAmC,mBAAvBM,EAAK2U,EAAME,WAA2BjV,EAASF,EAAMM,EAAGxG,KAAKmb,IAAS,OAAOjV,EACzF,IAAKkV,GAAoD,mBAAxB5U,EAAK2U,EAAMnV,YAA4BI,EAASF,EAAMM,EAAGxG,KAAKmb,IAAS,OAAOjV,EAC/G,MAAMwC,UAAU,6C,cCZlB/H,EAAOD,SAAU,G,gBCAjB,IAAIkI,EAAQ,EAAQ,GAChB0S,EAAU,EAAQ,IAElBjO,EAAQ,GAAGA,MAGf1M,EAAOD,QAAUkI,GAAM,WAGrB,OAAQ/I,OAAO,KAAK0b,qBAAqB,MACtC,SAAU7V,GACb,MAAsB,UAAf4V,EAAQ5V,GAAkB2H,EAAMrN,KAAK0F,EAAI,IAAM7F,OAAO6F,IAC3D7F,Q,gBCZJ,IAAIoF,EAAS,EAAQ,GACjBwE,EAA8B,EAAQ,GAE1C9I,EAAOD,QAAU,SAAUyD,EAAKN,GAC9B,IACE4F,EAA4BxE,EAAQd,EAAKN,GACzC,MAAO9B,GACPkD,EAAOd,GAAON,EACd,OAAOA,I,gBCRX,IAAIqB,EAAS,EAAQ,IACjBE,EAAM,EAAQ,IAEdoW,EAAOtW,EAAO,QAElBvE,EAAOD,QAAU,SAAUyD,GACzB,OAAOqX,EAAKrX,KAASqX,EAAKrX,GAAOiB,EAAIjB,M,cCNvC,IAAI2P,EAAK,EACL2H,EAAU9V,KAAK+V,SAEnB/a,EAAOD,QAAU,SAAUyD,GACzB,MAAO,UAAYwE,YAAe9F,IAARsB,EAAoB,GAAKA,GAAO,QAAU2P,EAAK2H,GAASzV,SAAS,M,cCH7FrF,EAAOD,QAAU,CACf,cACA,iBACA,gBACA,uBACA,iBACA,WACA,Y,cCNF,MAGMib,EAAmBC,OAAOD,kBACH,iBAK7Bhb,EAAOD,QAAU,CACfmb,oBAV0B,QAW1BC,WATiB,IAUjBH,mBACAI,0BANgC,K,6BCRlC,IAAIC,EAAI,EAAQ,IACZC,EAA4B,EAAQ,KACpCC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzB3S,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBuR,EAAkB,EAAQ,GAC1BoB,EAAU,EAAQ,IAClBC,EAAY,EAAQ,IACpBC,EAAgB,EAAQ,IAExBC,EAAoBD,EAAcC,kBAClCC,EAAyBF,EAAcE,uBACvCC,EAAWzB,EAAgB,YAK3B0B,EAAa,WAAc,OAAOlP,MAEtC9M,EAAOD,QAAU,SAAUkc,EAAUC,EAAMC,EAAqBC,EAAMC,EAASC,EAAQC,GACrFjB,EAA0Ba,EAAqBD,EAAME,GAErD,IAkBII,EAA0BC,EAASC,EAlBnCC,EAAqB,SAAUC,GACjC,GAAIA,IAASP,GAAWQ,EAAiB,OAAOA,EAChD,IAAKf,GAA0Bc,KAAQE,EAAmB,OAAOA,EAAkBF,GACnF,OAAQA,GACN,IAbK,OAcL,IAbO,SAcP,IAbQ,UAaM,OAAO,WAAqB,OAAO,IAAIT,EAAoBrP,KAAM8P,IAC/E,OAAO,WAAc,OAAO,IAAIT,EAAoBrP,QAGpDuN,EAAgB6B,EAAO,YACvBa,GAAwB,EACxBD,EAAoBb,EAAS9c,UAC7B6d,EAAiBF,EAAkBf,IAClCe,EAAkB,eAClBT,GAAWS,EAAkBT,GAC9BQ,GAAmBf,GAA0BkB,GAAkBL,EAAmBN,GAClFY,EAA4B,SAARf,GAAkBY,EAAkBI,SAA4BF,EAiCxF,GA7BIC,IACFT,EAA2BjB,EAAe0B,EAAkB5d,KAAK,IAAI4c,IACjEJ,IAAsB3c,OAAOC,WAAaqd,EAAyBJ,OAChEV,GAAWH,EAAeiB,KAA8BX,IACvDL,EACFA,EAAegB,EAA0BX,GACa,mBAAtCW,EAAyBT,IACzCjT,EAA4B0T,EAA0BT,EAAUC,IAIpEP,EAAee,EAA0BnC,GAAe,GAAM,GAC1DqB,IAASC,EAAUtB,GAAiB2B,KAzCjC,UA8CPK,GAAqBW,GA9Cd,WA8CgCA,EAAehb,OACxD+a,GAAwB,EACxBF,EAAkB,WAAoB,OAAOG,EAAe3d,KAAKyN,QAI7D4O,IAAWa,GAAWO,EAAkBf,KAAcc,GAC1D/T,EAA4BgU,EAAmBf,EAAUc,GAE3DlB,EAAUO,GAAQW,EAGdR,EAMF,GALAI,EAAU,CACRU,OAAQR,EA5DD,UA6DP9B,KAAMyB,EAASO,EAAkBF,EA9D5B,QA+DLO,QAASP,EA7DD,YA+DNJ,EAAQ,IAAKG,KAAOD,GAClBX,GAA0BiB,KAA2BL,KAAOI,KAC9D/T,EAAS+T,EAAmBJ,EAAKD,EAAQC,SAEtCrB,EAAE,CAAEvZ,OAAQoa,EAAMkB,OAAO,EAAMvT,OAAQiS,GAA0BiB,GAAyBN,GAGnG,OAAOA,I,gBCxFT,IAGIY,EAAO,GAEXA,EALsB,EAAQ,EAEV/C,CAAgB,gBAGd,IAEtBta,EAAOD,QAA2B,eAAjBiI,OAAOqV,I,6BCNxB,IAYMC,EACAC,EAbFC,EAAc,EAAQ,IACtBC,EAAgB,EAAQ,KAExBC,EAAaC,OAAOxe,UAAUkF,KAI9BuZ,EAAgB5V,OAAO7I,UAAU2I,QAEjC+V,EAAcH,EAEdI,GACER,EAAM,IACNC,EAAM,MACVG,EAAWre,KAAKie,EAAK,KACrBI,EAAWre,KAAKke,EAAK,KACI,IAAlBD,EAAIS,WAAqC,IAAlBR,EAAIQ,WAGhCC,EAAgBP,EAAcO,eAAiBP,EAAcQ,aAG7DC,OAAuChc,IAAvB,OAAOmC,KAAK,IAAI,IAExByZ,GAA4BI,GAAiBF,KAGvDH,EAAc,SAAchW,GAC1B,IACIkW,EAAWI,EAAQC,EAAOrf,EAD1Bsf,EAAKvR,KAELwR,EAASN,GAAiBK,EAAGC,OAC7BC,EAAQf,EAAYne,KAAKgf,GACzBjV,EAASiV,EAAGjV,OACZoV,EAAa,EACbC,EAAU5W,EA+Cd,OA7CIyW,KAE0B,KAD5BC,EAAQA,EAAMzW,QAAQ,IAAK,KACjB8D,QAAQ,OAChB2S,GAAS,KAGXE,EAAUzW,OAAOH,GAAK1D,MAAMka,EAAGN,WAE3BM,EAAGN,UAAY,KAAOM,EAAGK,WAAaL,EAAGK,WAAuC,OAA1B7W,EAAIwW,EAAGN,UAAY,MAC3E3U,EAAS,OAASA,EAAS,IAC3BqV,EAAU,IAAMA,EAChBD,KAIFL,EAAS,IAAIR,OAAO,OAASvU,EAAS,IAAKmV,IAGzCL,IACFC,EAAS,IAAIR,OAAO,IAAMvU,EAAS,WAAYmV,IAE7CT,IAA0BC,EAAYM,EAAGN,WAE7CK,EAAQV,EAAWre,KAAKif,EAASH,EAASE,EAAII,GAE1CH,EACEF,GACFA,EAAM5D,MAAQ4D,EAAM5D,MAAMrW,MAAMqa,GAChCJ,EAAM,GAAKA,EAAM,GAAGja,MAAMqa,GAC1BJ,EAAMO,MAAQN,EAAGN,UACjBM,EAAGN,WAAaK,EAAM,GAAGnf,QACpBof,EAAGN,UAAY,EACbD,GAA4BM,IACrCC,EAAGN,UAAYM,EAAG/Z,OAAS8Z,EAAMO,MAAQP,EAAM,GAAGnf,OAAS8e,GAEzDG,GAAiBE,GAASA,EAAMnf,OAAS,GAG3C2e,EAAcve,KAAK+e,EAAM,GAAID,GAAQ,WACnC,IAAKpf,EAAI,EAAGA,EAAIuI,UAAUrI,OAAS,EAAGF,SACfmD,IAAjBoF,UAAUvI,KAAkBqf,EAAMrf,QAAKmD,MAK1Ckc,IAIXpe,EAAOD,QAAU8d,G,6BCtFjB;;;;;;AAOA,IAAIe,EAAc1f,OAAO2f,OAAO,IAIhC,SAASC,EAASC,GAChB,OAAOA,QAGT,SAASC,EAAOD,GACd,OAAOA,QAGT,SAASE,EAAQF,GACf,OAAa,IAANA,EAUT,SAASG,EAAahc,GACpB,MACmB,iBAAVA,GACU,iBAAVA,GAEU,iBAAVA,GACU,kBAAVA,EASX,SAASuC,EAAUG,GACjB,OAAe,OAARA,GAA+B,iBAARA,EAMhC,IAAIuZ,EAAYjgB,OAAOC,UAAUkG,SAUjC,SAAS+Z,EAAexZ,GACtB,MAA+B,oBAAxBuZ,EAAU9f,KAAKuG,GAGxB,SAASyZ,EAAUN,GACjB,MAA6B,oBAAtBI,EAAU9f,KAAK0f,GAMxB,SAASO,EAAmB/Z,GAC1B,IAAI7B,EAAI6b,WAAWvX,OAAOzC,IAC1B,OAAO7B,GAAK,GAAKsB,KAAKqM,MAAM3N,KAAOA,GAAK8b,SAASja,GAGnD,SAASka,EAAWla,GAClB,OACEyZ,EAAMzZ,IACc,mBAAbA,EAAIuP,MACU,mBAAdvP,EAAIma,MAOf,SAASra,EAAUE,GACjB,OAAc,MAAPA,EACH,GACAoa,MAAMra,QAAQC,IAAS6Z,EAAc7Z,IAAQA,EAAIF,WAAa8Z,EAC5DS,KAAKC,UAAUta,EAAK,KAAM,GAC1ByC,OAAOzC,GAOf,SAASua,EAAUva,GACjB,IAAI7B,EAAI6b,WAAWha,GACnB,OAAO+L,MAAM5N,GAAK6B,EAAM7B,EAO1B,SAASqc,EACPlY,EACAmY,GAIA,IAFA,IAAIC,EAAM/gB,OAAOqE,OAAO,MACpB2c,EAAOrY,EAAI6E,MAAM,KACZ3N,EAAI,EAAGA,EAAImhB,EAAKjhB,OAAQF,IAC/BkhB,EAAIC,EAAKnhB,KAAM,EAEjB,OAAOihB,EACH,SAAUza,GAAO,OAAO0a,EAAI1a,EAAI4a,gBAChC,SAAU5a,GAAO,OAAO0a,EAAI1a,IAMlC,IAAI6a,EAAeL,EAAQ,kBAAkB,GAKzCM,EAAsBN,EAAQ,8BAKlC,SAASO,EAAQC,EAAKC,GACpB,GAAID,EAAIthB,OAAQ,CACd,IAAI0f,EAAQ4B,EAAI3U,QAAQ4U,GACxB,GAAI7B,GAAS,EACX,OAAO4B,EAAIE,OAAO9B,EAAO,IAQ/B,IAAIvf,EAAiBF,OAAOC,UAAUC,eACtC,SAASshB,EAAQ9a,EAAKpC,GACpB,OAAOpE,EAAeC,KAAKuG,EAAKpC,GAMlC,SAASmd,EAAQ9a,GACf,IAAIyS,EAAQpZ,OAAOqE,OAAO,MAC1B,OAAO,SAAoBsE,GAEzB,OADUyQ,EAAMzQ,KACDyQ,EAAMzQ,GAAOhC,EAAGgC,KAOnC,IAAI+Y,EAAa,SACbC,EAAWF,GAAO,SAAU9Y,GAC9B,OAAOA,EAAIC,QAAQ8Y,GAAY,SAAUE,EAAGte,GAAK,OAAOA,EAAIA,EAAEue,cAAgB,SAM5EC,EAAaL,GAAO,SAAU9Y,GAChC,OAAOA,EAAI2D,OAAO,GAAGuV,cAAgBlZ,EAAI1D,MAAM,MAM7C8c,EAAc,aACdC,EAAYP,GAAO,SAAU9Y,GAC/B,OAAOA,EAAIC,QAAQmZ,EAAa,OAAOd,iBA8BzC,IAAI1c,EAAO2B,SAASjG,UAAUsE,KAJ9B,SAAqBoC,EAAIsb,GACvB,OAAOtb,EAAGpC,KAAK0d,IAfjB,SAAuBtb,EAAIsb,GACzB,SAASC,EAAS3Z,GAChB,IAAIxH,EAAIqH,UAAUrI,OAClB,OAAOgB,EACHA,EAAI,EACF4F,EAAGwS,MAAM8I,EAAK7Z,WACdzB,EAAGxG,KAAK8hB,EAAK1Z,GACf5B,EAAGxG,KAAK8hB,GAId,OADAC,EAAQC,QAAUxb,EAAG5G,OACdmiB,GAcT,SAASE,EAASpB,EAAMqB,GACtBA,EAAQA,GAAS,EAGjB,IAFA,IAAIxiB,EAAImhB,EAAKjhB,OAASsiB,EAClBC,EAAM,IAAI7B,MAAM5gB,GACbA,KACLyiB,EAAIziB,GAAKmhB,EAAKnhB,EAAIwiB,GAEpB,OAAOC,EAMT,SAASha,EAAQoM,EAAI6N,GACnB,IAAK,IAAIje,KAAOie,EACd7N,EAAGpQ,GAAOie,EAAMje,GAElB,OAAOoQ,EAMT,SAAS8N,EAAUnB,GAEjB,IADA,IAAIoB,EAAM,GACD5iB,EAAI,EAAGA,EAAIwhB,EAAIthB,OAAQF,IAC1BwhB,EAAIxhB,IACNyI,EAAOma,EAAKpB,EAAIxhB,IAGpB,OAAO4iB,EAUT,SAASC,EAAMna,EAAGC,EAAGlF,IAKrB,IAAIqf,EAAK,SAAUpa,EAAGC,EAAGlF,GAAK,OAAO,GAOjCsf,EAAW,SAAUhB,GAAK,OAAOA,GAerC,SAASiB,EAAYta,EAAGC,GACtB,GAAID,IAAMC,EAAK,OAAO,EACtB,IAAIsa,EAAYvc,EAASgC,GACrBwa,EAAYxc,EAASiC,GACzB,IAAIsa,IAAaC,EAwBV,OAAKD,IAAcC,GACjBja,OAAOP,KAAOO,OAAON,GAxB5B,IACE,IAAIwa,EAAWvC,MAAMra,QAAQmC,GACzB0a,EAAWxC,MAAMra,QAAQoC,GAC7B,GAAIwa,GAAYC,EACd,OAAO1a,EAAExI,SAAWyI,EAAEzI,QAAUwI,EAAE2a,OAAM,SAAUliB,EAAGnB,GACnD,OAAOgjB,EAAW7hB,EAAGwH,EAAE3I,OAEpB,GAAI0I,aAAa4a,MAAQ3a,aAAa2a,KAC3C,OAAO5a,EAAE6a,YAAc5a,EAAE4a,UACpB,GAAKJ,GAAaC,EAQvB,OAAO,EAPP,IAAII,EAAQrjB,OAAO2b,KAAKpT,GACpB+a,EAAQtjB,OAAO2b,KAAKnT,GACxB,OAAO6a,EAAMtjB,SAAWujB,EAAMvjB,QAAUsjB,EAAMH,OAAM,SAAU5e,GAC5D,OAAOue,EAAWta,EAAEjE,GAAMkE,EAAElE,OAMhC,MAAOtD,GAEP,OAAO,GAcb,SAASuiB,EAAclC,EAAKhb,GAC1B,IAAK,IAAIxG,EAAI,EAAGA,EAAIwhB,EAAIthB,OAAQF,IAC9B,GAAIgjB,EAAWxB,EAAIxhB,GAAIwG,GAAQ,OAAOxG,EAExC,OAAQ,EAMV,SAAS2jB,EAAM7c,GACb,IAAI8c,GAAS,EACb,OAAO,WACAA,IACHA,GAAS,EACT9c,EAAGwS,MAAMvL,KAAMxF,aAKrB,IAEIsb,EAAc,CAChB,YACA,YACA,UAGEC,EAAkB,CACpB,eACA,UACA,cACA,UACA,eACA,UACA,gBACA,YACA,YACA,cACA,gBACA,kBAOEnX,EAAS,CAKXoX,sBAAuB5jB,OAAOqE,OAAO,MAKrCwf,QAAQ,EAKRC,eAAe,EAKfC,UAAU,EAKVC,aAAa,EAKbC,aAAc,KAKdC,YAAa,KAKbC,gBAAiB,GAMjBC,SAAUpkB,OAAOqE,OAAO,MAMxBggB,cAAe1B,EAMf2B,eAAgB3B,EAMhB4B,iBAAkB5B,EAKlB6B,gBAAiB9B,EAKjB+B,qBAAsB7B,EAMtB8B,YAAa/B,EAMbgC,OAAO,EAKPC,gBAAiBjB,GAUfkB,EAAgB,8JAKpB,SAASC,EAAYnc,GACnB,IAAIrF,GAAKqF,EAAM,IAAIoc,WAAW,GAC9B,OAAa,KAANzhB,GAAoB,KAANA,EAMvB,SAAS0hB,EAAKte,EAAKpC,EAAK+B,EAAK1C,GAC3B3D,OAAO0D,eAAegD,EAAKpC,EAAK,CAC9BN,MAAOqC,EACP1C,aAAcA,EACd4O,UAAU,EACVD,cAAc,IAOlB,IAAI2S,EAAS,IAAIxG,OAAQ,KAAQoG,EAAoB,OAAI,WAkBzD,IAmCIK,EAnCAC,EAAW,aAAe,GAG1BC,EAA8B,oBAAXrgB,OACnBsgB,EAAkC,oBAAlBC,iBAAmCA,cAAcC,SACjEC,EAAeH,GAAUC,cAAcC,SAAStE,cAChDwE,EAAKL,GAAargB,OAAOgD,UAAU2d,UAAUzE,cAC7C0E,EAAOF,GAAM,eAAetH,KAAKsH,GACjCG,EAAQH,GAAMA,EAAG/Y,QAAQ,YAAc,EACvCmZ,EAASJ,GAAMA,EAAG/Y,QAAQ,SAAW,EAErCoZ,IADaL,GAAMA,EAAG/Y,QAAQ,WACrB+Y,GAAM,uBAAuBtH,KAAKsH,IAA0B,QAAjBD,GAGpDO,IAFWN,GAAM,cAActH,KAAKsH,GACtBA,GAAM,YAAYtH,KAAKsH,GAC9BA,GAAMA,EAAGvG,MAAM,mBAGtB8G,GAAc,GAAKC,MAEnBC,IAAkB,EACtB,GAAId,EACF,IACE,IAAIe,GAAO,GACXnmB,OAAO0D,eAAeyiB,GAAM,UAAW,CACrCviB,IAAK,WAEHsiB,IAAkB,KAGtBnhB,OAAOqhB,iBAAiB,eAAgB,KAAMD,IAC9C,MAAOnlB,IAMX,IAAIqlB,GAAoB,WAWtB,YAVkBrjB,IAAdkiB,IAOAA,GALGE,IAAcC,QAA4B,IAAXjgB,IAGtBA,EAAgB,SAAuC,WAAlCA,EAAgB,QAAEkhB,IAAIC,UAKpDrB,GAILnB,GAAWqB,GAAargB,OAAOyhB,6BAGnC,SAASC,GAAUC,GACjB,MAAuB,mBAATA,GAAuB,cAAcvI,KAAKuI,EAAKvgB,YAG/D,IAIIwgB,GAJAC,GACgB,oBAAX9iB,QAA0B2iB,GAAS3iB,SACvB,oBAAZ+iB,SAA2BJ,GAASI,QAAQC,SAMnDH,GAFiB,oBAARI,KAAuBN,GAASM,KAElCA,IAGc,WACnB,SAASA,IACPnZ,KAAKkD,IAAM9Q,OAAOqE,OAAO,MAY3B,OAVA0iB,EAAI9mB,UAAUqF,IAAM,SAAchB,GAChC,OAAyB,IAAlBsJ,KAAKkD,IAAIxM,IAElByiB,EAAI9mB,UAAUkQ,IAAM,SAAc7L,GAChCsJ,KAAKkD,IAAIxM,IAAO,GAElByiB,EAAI9mB,UAAU+mB,MAAQ,WACpBpZ,KAAKkD,IAAM9Q,OAAOqE,OAAO,OAGpB0iB,EAdW,GAoBtB,IAAItY,GAAOiU,EA8FPnd,GAAM,EAMN0hB,GAAM,WACRrZ,KAAKqG,GAAK1O,KACVqI,KAAKsZ,KAAO,IAGdD,GAAIhnB,UAAUknB,OAAS,SAAiBC,GACtCxZ,KAAKsZ,KAAK7mB,KAAK+mB,IAGjBH,GAAIhnB,UAAUonB,UAAY,SAAoBD,GAC5ChG,EAAOxT,KAAKsZ,KAAME,IAGpBH,GAAIhnB,UAAUqnB,OAAS,WACjBL,GAAIrkB,QACNqkB,GAAIrkB,OAAO2kB,OAAO3Z,OAItBqZ,GAAIhnB,UAAUunB,OAAS,WAErB,IAAIN,EAAOtZ,KAAKsZ,KAAKjiB,QAOrB,IAAK,IAAIpF,EAAI,EAAGkB,EAAImmB,EAAKnnB,OAAQF,EAAIkB,EAAGlB,IACtCqnB,EAAKrnB,GAAG4nB,UAOZR,GAAIrkB,OAAS,KACb,IAAI8kB,GAAc,GAElB,SAASC,GAAY/kB,GACnB8kB,GAAYrnB,KAAKuC,GACjBqkB,GAAIrkB,OAASA,EAGf,SAASglB,KACPF,GAAY9S,MACZqS,GAAIrkB,OAAS8kB,GAAYA,GAAY3nB,OAAS,GAKhD,IAAI8nB,GAAQ,SACVC,EACAtoB,EACAuoB,EACA5b,EACA6b,EACAnY,EACAoY,EACAC,GAEAta,KAAKka,IAAMA,EACXla,KAAKpO,KAAOA,EACZoO,KAAKma,SAAWA,EAChBna,KAAKzB,KAAOA,EACZyB,KAAKoa,IAAMA,EACXpa,KAAKxJ,QAAKpB,EACV4K,KAAKiC,QAAUA,EACfjC,KAAKua,eAAYnlB,EACjB4K,KAAKwa,eAAYplB,EACjB4K,KAAKya,eAAYrlB,EACjB4K,KAAKtJ,IAAM9E,GAAQA,EAAK8E,IACxBsJ,KAAKqa,iBAAmBA,EACxBra,KAAK0a,uBAAoBtlB,EACzB4K,KAAKoC,YAAShN,EACd4K,KAAK2a,KAAM,EACX3a,KAAK4a,UAAW,EAChB5a,KAAK6a,cAAe,EACpB7a,KAAK8a,WAAY,EACjB9a,KAAK+a,UAAW,EAChB/a,KAAKgb,QAAS,EACdhb,KAAKsa,aAAeA,EACpBta,KAAKib,eAAY7lB,EACjB4K,KAAKkb,oBAAqB,GAGxBC,GAAqB,CAAEC,MAAO,CAAE1W,cAAc,IAIlDyW,GAAmBC,MAAMplB,IAAM,WAC7B,OAAOgK,KAAK0a,mBAGdtoB,OAAO2Z,iBAAkBkO,GAAM5nB,UAAW8oB,IAE1C,IAAIE,GAAmB,SAAU9c,QACjB,IAATA,IAAkBA,EAAO,IAE9B,IAAI+c,EAAO,IAAIrB,GAGf,OAFAqB,EAAK/c,KAAOA,EACZ+c,EAAKR,WAAY,EACVQ,GAGT,SAASC,GAAiB9iB,GACxB,OAAO,IAAIwhB,QAAM7kB,OAAWA,OAAWA,EAAW8F,OAAOzC,IAO3D,SAAS+iB,GAAYC,GACnB,IAAIC,EAAS,IAAIzB,GACfwB,EAAMvB,IACNuB,EAAM7pB,KAIN6pB,EAAMtB,UAAYsB,EAAMtB,SAAS9iB,QACjCokB,EAAMld,KACNkd,EAAMrB,IACNqB,EAAMxZ,QACNwZ,EAAMpB,iBACNoB,EAAMnB,cAWR,OATAoB,EAAOllB,GAAKilB,EAAMjlB,GAClBklB,EAAOd,SAAWa,EAAMb,SACxBc,EAAOhlB,IAAM+kB,EAAM/kB,IACnBglB,EAAOZ,UAAYW,EAAMX,UACzBY,EAAOnB,UAAYkB,EAAMlB,UACzBmB,EAAOlB,UAAYiB,EAAMjB,UACzBkB,EAAOjB,UAAYgB,EAAMhB,UACzBiB,EAAOT,UAAYQ,EAAMR,UACzBS,EAAOX,UAAW,EACXW,EAQT,IAAIC,GAAa9I,MAAMxgB,UACnBupB,GAAexpB,OAAOqE,OAAOklB,IAEZ,CACnB,OACA,MACA,QACA,UACA,SACA,OACA,WAMa9iB,SAAQ,SAAUwL,GAE/B,IAAIwX,EAAWF,GAAWtX,GAC1B+S,EAAIwE,GAAcvX,GAAQ,WAExB,IADA,IAAIyX,EAAO,GAAIC,EAAMvhB,UAAUrI,OACvB4pB,KAAQD,EAAMC,GAAQvhB,UAAWuhB,GAEzC,IAEIC,EAFA1hB,EAASuhB,EAAStQ,MAAMvL,KAAM8b,GAC9BG,EAAKjc,KAAKkc,OAEd,OAAQ7X,GACN,IAAK,OACL,IAAK,UACH2X,EAAWF,EACX,MACF,IAAK,SACHE,EAAWF,EAAKzkB,MAAM,GAM1B,OAHI2kB,GAAYC,EAAGE,aAAaH,GAEhCC,EAAGG,IAAIxC,SACAtf,QAMX,IAAI+hB,GAAYjqB,OAAOkqB,oBAAoBV,IAMvCW,IAAgB,EAEpB,SAASC,GAAiBpmB,GACxBmmB,GAAgBnmB,EASlB,IAAIqmB,GAAW,SAAmBrmB,GAChC4J,KAAK5J,MAAQA,EACb4J,KAAKoc,IAAM,IAAI/C,GACfrZ,KAAK0c,QAAU,EACftF,EAAIhhB,EAAO,SAAU4J,MACjB6S,MAAMra,QAAQpC,IACZmhB,EAsCR,SAAuBviB,EAAQb,GAE7Ba,EAAO2nB,UAAYxoB,EAvCfyoB,CAAaxmB,EAAOwlB,IAgD1B,SAAsB5mB,EAAQb,EAAK4Z,GACjC,IAAK,IAAI9b,EAAI,EAAGkB,EAAI4a,EAAK5b,OAAQF,EAAIkB,EAAGlB,IAAK,CAC3C,IAAIyE,EAAMqX,EAAK9b,GACfmlB,EAAIpiB,EAAQ0B,EAAKvC,EAAIuC,KAjDnBmmB,CAAYzmB,EAAOwlB,GAAcS,IAEnCrc,KAAKmc,aAAa/lB,IAElB4J,KAAK8c,KAAK1mB,IAsDd,SAAS2mB,GAAS3mB,EAAO4mB,GAIvB,IAAIf,EAHJ,GAAKtjB,EAASvC,MAAUA,aAAiB6jB,IAkBzC,OAdIrG,EAAOxd,EAAO,WAAaA,EAAM8lB,kBAAkBO,GACrDR,EAAK7lB,EAAM8lB,OAEXK,KACC9D,OACA5F,MAAMra,QAAQpC,IAAUkc,EAAclc,KACvChE,OAAO6qB,aAAa7mB,KACnBA,EAAM8mB,SAEPjB,EAAK,IAAIQ,GAASrmB,IAEhB4mB,GAAcf,GAChBA,EAAGS,UAEET,EAMT,SAASkB,GACPrkB,EACApC,EACA+B,EACA2kB,EACAC,GAEA,IAAIjB,EAAM,IAAI/C,GAEVviB,EAAW1E,OAAO2J,yBAAyBjD,EAAKpC,GACpD,IAAII,IAAsC,IAA1BA,EAAS4N,aAAzB,CAKA,IAAI9O,EAASkB,GAAYA,EAASd,IAC9BsnB,EAASxmB,GAAYA,EAASoM,IAC5BtN,IAAU0nB,GAAgC,IAArB9iB,UAAUrI,SACnCsG,EAAMK,EAAIpC,IAGZ,IAAI6mB,GAAWF,GAAWN,GAAQtkB,GAClCrG,OAAO0D,eAAegD,EAAKpC,EAAK,CAC9BX,YAAY,EACZ2O,cAAc,EACd1O,IAAK,WACH,IAAII,EAAQR,EAASA,EAAOrD,KAAKuG,GAAOL,EAUxC,OATI4gB,GAAIrkB,SACNonB,EAAI1C,SACA6D,IACFA,EAAQnB,IAAI1C,SACR7G,MAAMra,QAAQpC,IAChBonB,GAAYpnB,KAIXA,GAET8M,IAAK,SAAyBua,GAC5B,IAAIrnB,EAAQR,EAASA,EAAOrD,KAAKuG,GAAOL,EAEpCglB,IAAWrnB,GAAUqnB,GAAWA,GAAUrnB,GAAUA,GAQpDR,IAAW0nB,IACXA,EACFA,EAAO/qB,KAAKuG,EAAK2kB,GAEjBhlB,EAAMglB,EAERF,GAAWF,GAAWN,GAAQU,GAC9BrB,EAAIxC,cAUV,SAAS1W,GAAKlO,EAAQ0B,EAAK+B,GAMzB,GAAIoa,MAAMra,QAAQxD,IAAWwd,EAAkB9b,GAG7C,OAFA1B,EAAO7C,OAAS+F,KAAKwlB,IAAI1oB,EAAO7C,OAAQuE,GACxC1B,EAAO2e,OAAOjd,EAAK,EAAG+B,GACfA,EAET,GAAI/B,KAAO1B,KAAY0B,KAAOtE,OAAOC,WAEnC,OADA2C,EAAO0B,GAAO+B,EACPA,EAET,IAAIwjB,EAAK,EAASC,OAClB,OAAIlnB,EAAOkoB,QAAWjB,GAAMA,EAAGS,QAKtBjkB,EAEJwjB,GAILkB,GAAkBlB,EAAG7lB,MAAOM,EAAK+B,GACjCwjB,EAAGG,IAAIxC,SACAnhB,IALLzD,EAAO0B,GAAO+B,EACPA,GAUX,SAASklB,GAAK3oB,EAAQ0B,GAMpB,GAAImc,MAAMra,QAAQxD,IAAWwd,EAAkB9b,GAC7C1B,EAAO2e,OAAOjd,EAAK,OADrB,CAIA,IAAIulB,EAAK,EAASC,OACdlnB,EAAOkoB,QAAWjB,GAAMA,EAAGS,SAO1B9I,EAAO5e,EAAQ0B,YAGb1B,EAAO0B,GACTulB,GAGLA,EAAGG,IAAIxC,WAOT,SAAS4D,GAAapnB,GACpB,IAAK,IAAIhD,OAAI,EAAUnB,EAAI,EAAGkB,EAAIiD,EAAMjE,OAAQF,EAAIkB,EAAGlB,KACrDmB,EAAIgD,EAAMnE,KACLmB,EAAE8oB,QAAU9oB,EAAE8oB,OAAOE,IAAI1C,SAC1B7G,MAAMra,QAAQpF,IAChBoqB,GAAYpqB,GAhNlBqpB,GAASpqB,UAAUyqB,KAAO,SAAehkB,GAEvC,IADA,IAAIiV,EAAO3b,OAAO2b,KAAKjV,GACd7G,EAAI,EAAGA,EAAI8b,EAAK5b,OAAQF,IAC/BkrB,GAAkBrkB,EAAKiV,EAAK9b,KAOhCwqB,GAASpqB,UAAU8pB,aAAe,SAAuByB,GACvD,IAAK,IAAI3rB,EAAI,EAAGkB,EAAIyqB,EAAMzrB,OAAQF,EAAIkB,EAAGlB,IACvC8qB,GAAQa,EAAM3rB,KAgNlB,IAAI4rB,GAASjf,EAAOoX,sBAoBpB,SAAS8H,GAAWhX,EAAID,GACtB,IAAKA,EAAQ,OAAOC,EAOpB,IANA,IAAIpQ,EAAKqnB,EAAOC,EAEZjQ,EAAOiL,GACPC,QAAQC,QAAQrS,GAChBzU,OAAO2b,KAAKlH,GAEP5U,EAAI,EAAGA,EAAI8b,EAAK5b,OAAQF,IAGnB,YAFZyE,EAAMqX,EAAK9b,MAGX8rB,EAAQjX,EAAGpQ,GACXsnB,EAAUnX,EAAKnQ,GACVkd,EAAO9M,EAAIpQ,GAGdqnB,IAAUC,GACV1L,EAAcyL,IACdzL,EAAc0L,IAEdF,GAAUC,EAAOC,GANjB9a,GAAI4D,EAAIpQ,EAAKsnB,IASjB,OAAOlX,EAMT,SAASmX,GACPC,EACAC,EACA9T,GAEA,OAAKA,EAoBI,WAEL,IAAI+T,EAAmC,mBAAbD,EACtBA,EAAS5rB,KAAK8X,EAAIA,GAClB8T,EACAE,EAAmC,mBAAdH,EACrBA,EAAU3rB,KAAK8X,EAAIA,GACnB6T,EACJ,OAAIE,EACKN,GAAUM,EAAcC,GAExBA,GA7BNF,EAGAD,EAQE,WACL,OAAOJ,GACe,mBAAbK,EAA0BA,EAAS5rB,KAAKyN,KAAMA,MAAQme,EACxC,mBAAdD,EAA2BA,EAAU3rB,KAAKyN,KAAMA,MAAQke,IAV1DC,EAHAD,EA2Db,SAASI,GACPJ,EACAC,GAEA,IAAItJ,EAAMsJ,EACND,EACEA,EAAUjb,OAAOkb,GACjBtL,MAAMra,QAAQ2lB,GACZA,EACA,CAACA,GACLD,EACJ,OAAOrJ,EAKT,SAAsB0J,GAEpB,IADA,IAAI1J,EAAM,GACD5iB,EAAI,EAAGA,EAAIssB,EAAMpsB,OAAQF,KACD,IAA3B4iB,EAAI/V,QAAQyf,EAAMtsB,KACpB4iB,EAAIpiB,KAAK8rB,EAAMtsB,IAGnB,OAAO4iB,EAXH2J,CAAY3J,GACZA,EAwBN,SAAS4J,GACPP,EACAC,EACA9T,EACA3T,GAEA,IAAIme,EAAMziB,OAAOqE,OAAOynB,GAAa,MACrC,OAAIC,EAEKzjB,EAAOma,EAAKsJ,GAEZtJ,EAzEXgJ,GAAOjsB,KAAO,SACZssB,EACAC,EACA9T,GAEA,OAAKA,EAcE4T,GAAcC,EAAWC,EAAU9T,GAbpC8T,GAAgC,mBAAbA,EAQdD,EAEFD,GAAcC,EAAWC,IAmCpCpI,EAAgBld,SAAQ,SAAUgJ,GAChCgc,GAAOhc,GAAQyc,MAyBjBxI,EAAYjd,SAAQ,SAAU/D,GAC5B+oB,GAAO/oB,EAAO,KAAO2pB,MASvBZ,GAAOxF,MAAQ,SACb6F,EACAC,EACA9T,EACA3T,GAMA,GAHIwnB,IAAc9F,KAAe8F,OAAY9oB,GACzC+oB,IAAa/F,KAAe+F,OAAW/oB,IAEtC+oB,EAAY,OAAO/rB,OAAOqE,OAAOynB,GAAa,MAInD,IAAKA,EAAa,OAAOC,EACzB,IAAIzJ,EAAM,GAEV,IAAK,IAAIgK,KADThkB,EAAOga,EAAKwJ,GACMC,EAAU,CAC1B,IAAI/b,EAASsS,EAAIgK,GACbtD,EAAQ+C,EAASO,GACjBtc,IAAWyQ,MAAMra,QAAQ4J,KAC3BA,EAAS,CAACA,IAEZsS,EAAIgK,GAAStc,EACTA,EAAOa,OAAOmY,GACdvI,MAAMra,QAAQ4iB,GAASA,EAAQ,CAACA,GAEtC,OAAO1G,GAMTmJ,GAAOlU,MACPkU,GAAOlO,QACPkO,GAAOc,OACPd,GAAOe,SAAW,SAChBV,EACAC,EACA9T,EACA3T,GAKA,IAAKwnB,EAAa,OAAOC,EACzB,IAAIzJ,EAAMtiB,OAAOqE,OAAO,MAGxB,OAFAiE,EAAOga,EAAKwJ,GACRC,GAAYzjB,EAAOga,EAAKyJ,GACrBzJ,GAETmJ,GAAOgB,QAAUZ,GAKjB,IAAIa,GAAe,SAAUZ,EAAWC,GACtC,YAAoB/oB,IAAb+oB,EACHD,EACAC,GAyHN,SAASY,GACP3c,EACAgZ,EACA/Q,GAkBA,GAZqB,mBAAV+Q,IACTA,EAAQA,EAAM/e,SApGlB,SAAyBA,EAASgO,GAChC,IAAIV,EAAQtN,EAAQsN,MACpB,GAAKA,EAAL,CACA,IACI1X,EAAGwG,EADHoc,EAAM,GAEV,GAAIhC,MAAMra,QAAQmR,GAEhB,IADA1X,EAAI0X,EAAMxX,OACHF,KAEc,iBADnBwG,EAAMkR,EAAM1X,MAGV4iB,EADOd,EAAStb,IACJ,CAAE3D,KAAM,YAKnB,GAAIwd,EAAc3I,GACvB,IAAK,IAAIjT,KAAOiT,EACdlR,EAAMkR,EAAMjT,GAEZme,EADOd,EAASrd,IACJ4b,EAAc7Z,GACtBA,EACA,CAAE3D,KAAM2D,QAEL,EAOX4D,EAAQsN,MAAQkL,GAwEhBmK,CAAe5D,GAlEjB,SAA0B/e,EAASgO,GACjC,IAAIsU,EAAStiB,EAAQsiB,OACrB,GAAKA,EAAL,CACA,IAAIM,EAAa5iB,EAAQsiB,OAAS,GAClC,GAAI9L,MAAMra,QAAQmmB,GAChB,IAAK,IAAI1sB,EAAI,EAAGA,EAAI0sB,EAAOxsB,OAAQF,IACjCgtB,EAAWN,EAAO1sB,IAAM,CAAE4U,KAAM8X,EAAO1sB,SAEpC,GAAIqgB,EAAcqM,GACvB,IAAK,IAAIjoB,KAAOioB,EAAQ,CACtB,IAAIlmB,EAAMkmB,EAAOjoB,GACjBuoB,EAAWvoB,GAAO4b,EAAc7Z,GAC5BiC,EAAO,CAAEmM,KAAMnQ,GAAO+B,GACtB,CAAEoO,KAAMpO,QAEL,GAoDXymB,CAAgB9D,GAxClB,SAA8B/e,GAC5B,IAAI8iB,EAAO9iB,EAAQ6J,WACnB,GAAIiZ,EACF,IAAK,IAAIzoB,KAAOyoB,EAAM,CACpB,IAAIC,EAASD,EAAKzoB,GACI,mBAAX0oB,IACTD,EAAKzoB,GAAO,CAAEC,KAAMyoB,EAAQvF,OAAQuF,KAmC1CC,CAAoBjE,IAMfA,EAAMkE,QACLlE,EAAMmE,UACRnd,EAAS2c,GAAa3c,EAAQgZ,EAAMmE,QAASlV,IAE3C+Q,EAAMoE,QACR,IAAK,IAAIvtB,EAAI,EAAGkB,EAAIioB,EAAMoE,OAAOrtB,OAAQF,EAAIkB,EAAGlB,IAC9CmQ,EAAS2c,GAAa3c,EAAQgZ,EAAMoE,OAAOvtB,GAAIoY,GAKrD,IACI3T,EADA2F,EAAU,GAEd,IAAK3F,KAAO0L,EACVqd,EAAW/oB,GAEb,IAAKA,KAAO0kB,EACLxH,EAAOxR,EAAQ1L,IAClB+oB,EAAW/oB,GAGf,SAAS+oB,EAAY/oB,GACnB,IAAIgpB,EAAQ7B,GAAOnnB,IAAQooB,GAC3BziB,EAAQ3F,GAAOgpB,EAAMtd,EAAO1L,GAAM0kB,EAAM1kB,GAAM2T,EAAI3T,GAEpD,OAAO2F,EAQT,SAASsjB,GACPtjB,EACAvH,EACAuR,EACAuZ,GAGA,GAAkB,iBAAPvZ,EAAX,CAGA,IAAIwZ,EAASxjB,EAAQvH,GAErB,GAAI8e,EAAOiM,EAAQxZ,GAAO,OAAOwZ,EAAOxZ,GACxC,IAAIyZ,EAAc/L,EAAS1N,GAC3B,GAAIuN,EAAOiM,EAAQC,GAAgB,OAAOD,EAAOC,GACjD,IAAIC,EAAe7L,EAAW4L,GAC9B,OAAIlM,EAAOiM,EAAQE,GAAwBF,EAAOE,GAExCF,EAAOxZ,IAAOwZ,EAAOC,IAAgBD,EAAOE,IAcxD,SAASC,GACPtpB,EACAupB,EACA1V,EACAF,GAEA,IAAI6V,EAAOD,EAAYvpB,GACnBypB,GAAUvM,EAAOrJ,EAAW7T,GAC5BN,EAAQmU,EAAU7T,GAElB0pB,EAAeC,GAAaC,QAASJ,EAAKprB,MAC9C,GAAIsrB,GAAgB,EAClB,GAAID,IAAWvM,EAAOsM,EAAM,WAC1B9pB,GAAQ,OACH,GAAc,KAAVA,GAAgBA,IAAUge,EAAU1d,GAAM,CAGnD,IAAI6pB,EAAcF,GAAanlB,OAAQglB,EAAKprB,OACxCyrB,EAAc,GAAKH,EAAeG,KACpCnqB,GAAQ,GAKd,QAAchB,IAAVgB,EAAqB,CACvBA,EAqBJ,SAA8BiU,EAAI6V,EAAMxpB,GAEtC,IAAKkd,EAAOsM,EAAM,WAChB,OAEF,IAAI9I,EAAM8I,EAAKnb,QAEX,EAUJ,GAAIsF,GAAMA,EAAG3H,SAAS6H,gBACWnV,IAA/BiV,EAAG3H,SAAS6H,UAAU7T,SACHtB,IAAnBiV,EAAGmW,OAAO9pB,GAEV,OAAO2T,EAAGmW,OAAO9pB,GAInB,MAAsB,mBAAR0gB,GAA6C,aAAvBqJ,GAAQP,EAAKprB,MAC7CsiB,EAAI7kB,KAAK8X,GACT+M,EAhDMsJ,CAAoBrW,EAAI6V,EAAMxpB,GAGtC,IAAIiqB,EAAoBpE,GACxBC,IAAgB,GAChBO,GAAQ3mB,GACRomB,GAAgBmE,GASlB,OAAOvqB,EAsHT,SAASqqB,GAAS1nB,GAChB,IAAIuY,EAAQvY,GAAMA,EAAGR,WAAW+Y,MAAM,sBACtC,OAAOA,EAAQA,EAAM,GAAK,GAG5B,SAASsP,GAAYjmB,EAAGC,GACtB,OAAO6lB,GAAQ9lB,KAAO8lB,GAAQ7lB,GAGhC,SAASylB,GAAcvrB,EAAM+rB,GAC3B,IAAKhO,MAAMra,QAAQqoB,GACjB,OAAOD,GAAWC,EAAe/rB,GAAQ,GAAK,EAEhD,IAAK,IAAI7C,EAAI,EAAG8pB,EAAM8E,EAAc1uB,OAAQF,EAAI8pB,EAAK9pB,IACnD,GAAI2uB,GAAWC,EAAc5uB,GAAI6C,GAC/B,OAAO7C,EAGX,OAAQ,EAgDV,SAAS6uB,GAAa9pB,EAAKqT,EAAI0W,GAG7BhH,KACA,IACE,GAAI1P,EAEF,IADA,IAAI2W,EAAM3W,EACF2W,EAAMA,EAAIC,SAAU,CAC1B,IAAI1C,EAAQyC,EAAIte,SAASwe,cACzB,GAAI3C,EACF,IAAK,IAAItsB,EAAI,EAAGA,EAAIssB,EAAMpsB,OAAQF,IAChC,IAEE,IADoD,IAAtCssB,EAAMtsB,GAAGM,KAAKyuB,EAAKhqB,EAAKqT,EAAI0W,GAC3B,OACf,MAAO3tB,GACP+tB,GAAkB/tB,EAAG4tB,EAAK,uBAMpCG,GAAkBnqB,EAAKqT,EAAI0W,GAC3B,QACA/G,MAIJ,SAASoH,GACP/gB,EACA4B,EACA6Z,EACAzR,EACA0W,GAEA,IAAIlM,EACJ,KACEA,EAAMiH,EAAOzb,EAAQkL,MAAMtJ,EAAS6Z,GAAQzb,EAAQ9N,KAAK0P,MAC7C4S,EAAIqI,QAAUvK,EAAUkC,KAASA,EAAIwM,WAC/CxM,EAAIjC,OAAM,SAAUxf,GAAK,OAAO0tB,GAAY1tB,EAAGiX,EAAI0W,EAAO,uBAG1DlM,EAAIwM,UAAW,GAEjB,MAAOjuB,GACP0tB,GAAY1tB,EAAGiX,EAAI0W,GAErB,OAAOlM,EAGT,SAASsM,GAAmBnqB,EAAKqT,EAAI0W,GACnC,GAAIniB,EAAOyX,aACT,IACE,OAAOzX,EAAOyX,aAAa9jB,KAAK,KAAMyE,EAAKqT,EAAI0W,GAC/C,MAAO3tB,GAGHA,IAAM4D,GACRsqB,GAASluB,EAAG,KAAM,uBAIxBkuB,GAAStqB,EAAKqT,EAAI0W,GAGpB,SAASO,GAAUtqB,EAAKqT,EAAI0W,GAK1B,IAAKvJ,IAAaC,GAA8B,oBAAZxgB,QAGlC,MAAMD,EAFNC,QAAQ3C,MAAM0C,GAQlB,IAyBIuqB,GAzBAC,IAAmB,EAEnBC,GAAY,GACZC,IAAU,EAEd,SAASC,KACPD,IAAU,EACV,IAAIE,EAASH,GAAUpqB,MAAM,GAC7BoqB,GAAUtvB,OAAS,EACnB,IAAK,IAAIF,EAAI,EAAGA,EAAI2vB,EAAOzvB,OAAQF,IACjC2vB,EAAO3vB,KAwBX,GAAuB,oBAAZuB,SAA2BqlB,GAASrlB,SAAU,CACvD,IAAIY,GAAIZ,QAAQC,UAChB8tB,GAAY,WACVntB,GAAE4T,KAAK2Z,IAMHzJ,IAAS7iB,WAAWyf,IAE1B0M,IAAmB,OACd,GAAKzJ,GAAoC,oBAArB8J,mBACzBhJ,GAASgJ,mBAEuB,yCAAhCA,iBAAiBtpB,WAoBjBgpB,QAJiC,IAAjBO,GAAgCjJ,GAASiJ,GAI7C,WACVA,EAAaH,KAIH,WACVtsB,WAAWssB,GAAgB,QAzB5B,CAID,IAAII,GAAU,EACVC,GAAW,IAAIH,iBAAiBF,IAChCM,GAAWpuB,SAASquB,eAAehnB,OAAO6mB,KAC9CC,GAASjF,QAAQkF,GAAU,CACzBE,eAAe,IAEjBZ,GAAY,WACVQ,IAAWA,GAAU,GAAK,EAC1BE,GAASrwB,KAAOsJ,OAAO6mB,KAEzBP,IAAmB,EAerB,SAASY,GAAUC,EAAIhO,GACrB,IAAIiO,EAiBJ,GAhBAb,GAAUhvB,MAAK,WACb,GAAI4vB,EACF,IACEA,EAAG9vB,KAAK8hB,GACR,MAAOjhB,GACP0tB,GAAY1tB,EAAGihB,EAAK,iBAEbiO,GACTA,EAASjO,MAGRqN,KACHA,IAAU,EACVH,OAGGc,GAAyB,oBAAZ7uB,QAChB,OAAO,IAAIA,SAAQ,SAAUC,GAC3B6uB,EAAW7uB,KAwHjB,IAAI8uB,GAAc,IAAIxJ,GAOtB,SAASyJ,GAAU/pB,IAKnB,SAASgqB,EAAWhqB,EAAKiqB,GACvB,IAAIzwB,EAAG8b,EACH4U,EAAM9P,MAAMra,QAAQC,GACxB,IAAMkqB,IAAQhqB,EAASF,IAASrG,OAAOwwB,SAASnqB,IAAQA,aAAewhB,GACrE,OAEF,GAAIxhB,EAAIyjB,OAAQ,CACd,IAAI2G,EAAQpqB,EAAIyjB,OAAOE,IAAI/V,GAC3B,GAAIqc,EAAKhrB,IAAImrB,GACX,OAEFH,EAAKngB,IAAIsgB,GAEX,GAAIF,EAEF,IADA1wB,EAAIwG,EAAItG,OACDF,KAAOwwB,EAAUhqB,EAAIxG,GAAIywB,QAIhC,IAFA3U,EAAO3b,OAAO2b,KAAKtV,GACnBxG,EAAI8b,EAAK5b,OACFF,KAAOwwB,EAAUhqB,EAAIsV,EAAK9b,IAAKywB,GAvBxCD,CAAUhqB,EAAK8pB,IACfA,GAAYnJ,QA4Bd,IAAI0J,GAAiBjP,GAAO,SAAU3e,GACpC,IAAI6tB,EAA6B,MAAnB7tB,EAAKwJ,OAAO,GAEtBskB,EAA6B,OADjC9tB,EAAO6tB,EAAU7tB,EAAKmC,MAAM,GAAKnC,GACdwJ,OAAO,GAEtBukB,EAA6B,OADjC/tB,EAAO8tB,EAAU9tB,EAAKmC,MAAM,GAAKnC,GACdwJ,OAAO,GAE1B,MAAO,CACLxJ,KAFFA,EAAO+tB,EAAU/tB,EAAKmC,MAAM,GAAKnC,EAG/B0gB,KAAMoN,EACNC,QAASA,EACTF,QAASA,MAIb,SAASG,GAAiBC,EAAK9Y,GAC7B,SAAS+Y,IACP,IAAIC,EAAc7oB,UAEd2oB,EAAMC,EAAQD,IAClB,IAAItQ,MAAMra,QAAQ2qB,GAOhB,OAAO/B,GAAwB+B,EAAK,KAAM3oB,UAAW6P,EAAI,gBALzD,IADA,IAAIqR,EAASyH,EAAI9rB,QACRpF,EAAI,EAAGA,EAAIypB,EAAOvpB,OAAQF,IACjCmvB,GAAwB1F,EAAOzpB,GAAI,KAAMoxB,EAAahZ,EAAI,gBAQhE,OADA+Y,EAAQD,IAAMA,EACPC,EAGT,SAASE,GACPzd,EACA0d,EACAhhB,EACAihB,EACAC,EACApZ,GAEA,IAAInV,EAAc8rB,EAAK0C,EAAKlvB,EAC5B,IAAKU,KAAQ2Q,EACFmb,EAAMnb,EAAG3Q,GAClBwuB,EAAMH,EAAMruB,GACZV,EAAQsuB,GAAe5tB,GACnB8c,EAAQgP,KAKDhP,EAAQ0R,IACb1R,EAAQgP,EAAImC,OACdnC,EAAMnb,EAAG3Q,GAAQguB,GAAgBlC,EAAK3W,IAEpC8H,EAAO3d,EAAMohB,QACfoL,EAAMnb,EAAG3Q,GAAQuuB,EAAkBjvB,EAAMU,KAAM8rB,EAAKxsB,EAAMyuB,UAE5D1gB,EAAI/N,EAAMU,KAAM8rB,EAAKxsB,EAAMyuB,QAASzuB,EAAMuuB,QAASvuB,EAAMyJ,SAChD+iB,IAAQ0C,IACjBA,EAAIP,IAAMnC,EACVnb,EAAG3Q,GAAQwuB,IAGf,IAAKxuB,KAAQquB,EACPvR,EAAQnM,EAAG3Q,KAEbsuB,GADAhvB,EAAQsuB,GAAe5tB,IACPA,KAAMquB,EAAMruB,GAAOV,EAAMyuB,SAO/C,SAASU,GAAgBvM,EAAKwM,EAAS/hB,GAIrC,IAAIuhB,EAHAhM,aAAe6C,KACjB7C,EAAMA,EAAIxlB,KAAKiQ,OAASuV,EAAIxlB,KAAKiQ,KAAO,KAG1C,IAAIgiB,EAAUzM,EAAIwM,GAElB,SAASE,IACPjiB,EAAK0J,MAAMvL,KAAMxF,WAGjBgZ,EAAO4P,EAAQD,IAAKW,GAGlB9R,EAAQ6R,GAEVT,EAAUF,GAAgB,CAACY,IAGvB5R,EAAM2R,EAAQV,MAAQhR,EAAO0R,EAAQE,SAEvCX,EAAUS,GACFV,IAAI1wB,KAAKqxB,GAGjBV,EAAUF,GAAgB,CAACW,EAASC,IAIxCV,EAAQW,QAAS,EACjB3M,EAAIwM,GAAWR,EA8CjB,SAASY,GACPnP,EACAoP,EACAvtB,EACAwtB,EACAC,GAEA,GAAIjS,EAAM+R,GAAO,CACf,GAAIrQ,EAAOqQ,EAAMvtB,GAKf,OAJAme,EAAIne,GAAOutB,EAAKvtB,GACXytB,UACIF,EAAKvtB,IAEP,EACF,GAAIkd,EAAOqQ,EAAMC,GAKtB,OAJArP,EAAIne,GAAOutB,EAAKC,GACXC,UACIF,EAAKC,IAEP,EAGX,OAAO,EA8BT,SAASE,GAAmBjK,GAC1B,OAAO/H,EAAY+H,GACf,CAACoB,GAAgBpB,IACjBtH,MAAMra,QAAQ2hB,GASpB,SAASkK,EAAwBlK,EAAUmK,GACzC,IACIryB,EAAGyD,EAAGub,EAAWsT,EADjB1P,EAAM,GAEV,IAAK5iB,EAAI,EAAGA,EAAIkoB,EAAShoB,OAAQF,IAE3B+f,EADJtc,EAAIykB,EAASloB,KACkB,kBAANyD,IACzBub,EAAY4D,EAAI1iB,OAAS,EACzBoyB,EAAO1P,EAAI5D,GAEP4B,MAAMra,QAAQ9C,GACZA,EAAEvD,OAAS,IAGTqyB,IAFJ9uB,EAAI2uB,EAAuB3uB,GAAK4uB,GAAe,IAAM,IAAMryB,IAE1C,KAAOuyB,GAAWD,KACjC1P,EAAI5D,GAAasK,GAAgBgJ,EAAKhmB,KAAQ7I,EAAE,GAAI6I,MACpD7I,EAAE9C,SAEJiiB,EAAIpiB,KAAK8Y,MAAMsJ,EAAKnf,IAEb0c,EAAY1c,GACjB8uB,GAAWD,GAIb1P,EAAI5D,GAAasK,GAAgBgJ,EAAKhmB,KAAO7I,GAC9B,KAANA,GAETmf,EAAIpiB,KAAK8oB,GAAgB7lB,IAGvB8uB,GAAW9uB,IAAM8uB,GAAWD,GAE9B1P,EAAI5D,GAAasK,GAAgBgJ,EAAKhmB,KAAO7I,EAAE6I,OAG3C4T,EAAOgI,EAASsK,WAClBvS,EAAMxc,EAAEwkB,MACRlI,EAAQtc,EAAEgB,MACVwb,EAAMoS,KACN5uB,EAAEgB,IAAM,UAAY4tB,EAAc,IAAMryB,EAAI,MAE9C4iB,EAAIpiB,KAAKiD,KAIf,OAAOmf,EArDDwP,CAAuBlK,QACvB/kB,EAGR,SAASovB,GAAYlJ,GACnB,OAAOpJ,EAAMoJ,IAASpJ,EAAMoJ,EAAK/c,QA5yEpB,IA4yEqC+c,EAAKR,UAqFzD,SAAS4J,GAAe/F,EAAQtU,GAC9B,GAAIsU,EAAQ,CAOV,IALA,IAAIrkB,EAASlI,OAAOqE,OAAO,MACvBsX,EAAOiL,GACPC,QAAQC,QAAQyF,GAChBvsB,OAAO2b,KAAK4Q,GAEP1sB,EAAI,EAAGA,EAAI8b,EAAK5b,OAAQF,IAAK,CACpC,IAAIyE,EAAMqX,EAAK9b,GAEf,GAAY,WAARyE,EAAJ,CAGA,IAFA,IAAIiuB,EAAahG,EAAOjoB,GAAKmQ,KACzBvK,EAAS+N,EACN/N,GAAQ,CACb,GAAIA,EAAOsoB,WAAahR,EAAOtX,EAAOsoB,UAAWD,GAAa,CAC5DrqB,EAAO5D,GAAO4F,EAAOsoB,UAAUD,GAC/B,MAEFroB,EAASA,EAAO2kB,QAElB,IAAK3kB,EACH,GAAI,YAAaqiB,EAAOjoB,GAAM,CAC5B,IAAImuB,EAAiBlG,EAAOjoB,GAAKqO,QACjCzK,EAAO5D,GAAiC,mBAAnBmuB,EACjBA,EAAetyB,KAAK8X,GACpBwa,OACK,GAKf,OAAOvqB,GAWX,SAASwqB,GACP3K,EACAlY,GAEA,IAAKkY,IAAaA,EAAShoB,OACzB,MAAO,GAGT,IADA,IAAI4yB,EAAQ,GACH9yB,EAAI,EAAGkB,EAAIgnB,EAAShoB,OAAQF,EAAIkB,EAAGlB,IAAK,CAC/C,IAAImpB,EAAQjB,EAASloB,GACjBL,EAAOwpB,EAAMxpB,KAOjB,GALIA,GAAQA,EAAK+T,OAAS/T,EAAK+T,MAAMqf,aAC5BpzB,EAAK+T,MAAMqf,KAIf5J,EAAMnZ,UAAYA,GAAWmZ,EAAMb,YAActY,IACpDrQ,GAAqB,MAAbA,EAAKozB,MAUZD,EAAMhgB,UAAYggB,EAAMhgB,QAAU,KAAKtS,KAAK2oB,OAT7C,CACA,IAAIlmB,EAAOtD,EAAKozB,KACZA,EAAQD,EAAM7vB,KAAU6vB,EAAM7vB,GAAQ,IACxB,aAAdkmB,EAAMlB,IACR8K,EAAKvyB,KAAK8Y,MAAMyZ,EAAM5J,EAAMjB,UAAY,IAExC6K,EAAKvyB,KAAK2oB,IAOhB,IAAK,IAAI6J,KAAUF,EACbA,EAAME,GAAQ3P,MAAM4P,YACfH,EAAME,GAGjB,OAAOF,EAGT,SAASG,GAAc5J,GACrB,OAAQA,EAAKR,YAAcQ,EAAKhB,cAA+B,MAAdgB,EAAK/c,KAKxD,SAAS4mB,GACPJ,EACAK,EACAC,GAEA,IAAIxQ,EACAyQ,EAAiBlzB,OAAO2b,KAAKqX,GAAajzB,OAAS,EACnDozB,EAAWR,IAAUA,EAAMS,SAAWF,EACtC5uB,EAAMquB,GAASA,EAAMU,KACzB,GAAKV,EAEE,IAAIA,EAAMW,YAEf,OAAOX,EAAMW,YACR,GACLH,GACAF,GACAA,IAAcvT,GACdpb,IAAQ2uB,EAAUI,OACjBH,IACAD,EAAUM,WAIX,OAAON,EAGP,IAAK,IAAI3G,KADT7J,EAAM,GACYkQ,EACZA,EAAMrG,IAAuB,MAAbA,EAAM,KACxB7J,EAAI6J,GAASkH,GAAoBR,EAAa1G,EAAOqG,EAAMrG,UAnB/D7J,EAAM,GAwBR,IAAK,IAAIgR,KAAST,EACVS,KAAShR,IACbA,EAAIgR,GAASC,GAAgBV,EAAaS,IAW9C,OANId,GAAS3yB,OAAO6qB,aAAa8H,KAC/B,EAAQW,YAAc7Q,GAExBuC,EAAIvC,EAAK,UAAW0Q,GACpBnO,EAAIvC,EAAK,OAAQne,GACjB0gB,EAAIvC,EAAK,aAAcyQ,GAChBzQ,EAGT,SAAS+Q,GAAoBR,EAAa1uB,EAAKqC,GAC7C,IAAIkmB,EAAa,WACf,IAAIpK,EAAMra,UAAUrI,OAAS4G,EAAGwS,MAAM,KAAM/Q,WAAazB,EAAG,IAI5D,OAHA8b,EAAMA,GAAsB,iBAARA,IAAqBhC,MAAMra,QAAQqc,GACnD,CAACA,GACDuP,GAAkBvP,MAEL,IAAfA,EAAI1iB,QACY,IAAf0iB,EAAI1iB,QAAgB0iB,EAAI,GAAGiG,gBAC1B1lB,EACAyf,GAYN,OAPI9b,EAAGgtB,OACL3zB,OAAO0D,eAAesvB,EAAa1uB,EAAK,CACtCV,IAAKipB,EACLlpB,YAAY,EACZ2O,cAAc,IAGXua,EAGT,SAAS6G,GAAgBf,EAAOruB,GAC9B,OAAO,WAAc,OAAOquB,EAAMruB,IAQpC,SAASsvB,GACPvtB,EACA6I,GAEA,IAAIoT,EAAKziB,EAAGkB,EAAG4a,EAAMrX,EACrB,GAAImc,MAAMra,QAAQC,IAAuB,iBAARA,EAE/B,IADAic,EAAM,IAAI7B,MAAMpa,EAAItG,QACfF,EAAI,EAAGkB,EAAIsF,EAAItG,OAAQF,EAAIkB,EAAGlB,IACjCyiB,EAAIziB,GAAKqP,EAAO7I,EAAIxG,GAAIA,QAErB,GAAmB,iBAARwG,EAEhB,IADAic,EAAM,IAAI7B,MAAMpa,GACXxG,EAAI,EAAGA,EAAIwG,EAAKxG,IACnByiB,EAAIziB,GAAKqP,EAAOrP,EAAI,EAAGA,QAEpB,GAAI0G,EAASF,GAClB,GAAIugB,IAAavgB,EAAIvC,OAAO+vB,UAAW,CACrCvR,EAAM,GAGN,IAFA,IAAIuR,EAAWxtB,EAAIvC,OAAO+vB,YACtB3rB,EAAS2rB,EAAS3W,QACdhV,EAAO4rB,MACbxR,EAAIjiB,KAAK6O,EAAOhH,EAAOlE,MAAOse,EAAIviB,SAClCmI,EAAS2rB,EAAS3W,YAKpB,IAFAvB,EAAO3b,OAAO2b,KAAKtV,GACnBic,EAAM,IAAI7B,MAAM9E,EAAK5b,QAChBF,EAAI,EAAGkB,EAAI4a,EAAK5b,OAAQF,EAAIkB,EAAGlB,IAClCyE,EAAMqX,EAAK9b,GACXyiB,EAAIziB,GAAKqP,EAAO7I,EAAI/B,GAAMA,EAAKzE,GAQrC,OAJKigB,EAAMwC,KACTA,EAAM,IAER,EAAM+P,UAAW,EACV/P,EAQT,SAASyR,GACPjxB,EACAkxB,EACAzc,EACA0c,GAEA,IACIC,EADAC,EAAevmB,KAAKwmB,aAAatxB,GAEjCqxB,GACF5c,EAAQA,GAAS,GACb0c,IAOF1c,EAAQjP,EAAOA,EAAO,GAAI2rB,GAAa1c,IAEzC2c,EAAQC,EAAa5c,IAAUyc,GAE/BE,EAAQtmB,KAAKymB,OAAOvxB,IAASkxB,EAG/B,IAAIpxB,EAAS2U,GAASA,EAAMqb,KAC5B,OAAIhwB,EACKgL,KAAKkF,eAAe,WAAY,CAAE8f,KAAMhwB,GAAUsxB,GAElDA,EASX,SAASI,GAAergB,GACtB,OAAOsZ,GAAa3f,KAAK0C,SAAU,UAAW2D,IAAa2O,EAK7D,SAAS2R,GAAeC,EAAQC,GAC9B,OAAIhU,MAAMra,QAAQouB,IACmB,IAA5BA,EAAO9nB,QAAQ+nB,GAEfD,IAAWC,EAStB,SAASC,GACPC,EACArwB,EACAswB,EACAC,EACAC,GAEA,IAAIC,EAAgBvoB,EAAO4X,SAAS9f,IAAQswB,EAC5C,OAAIE,GAAkBD,IAAiBroB,EAAO4X,SAAS9f,GAC9CiwB,GAAcO,EAAgBD,GAC5BE,EACFR,GAAcQ,EAAeJ,GAC3BE,EACF7S,EAAU6S,KAAkBvwB,OAD9B,EAUT,SAAS0wB,GACPx1B,EACAsoB,EACA9jB,EACAixB,EACAC,GAEA,GAAIlxB,EACF,GAAKuC,EAASvC,GAKP,CAIL,IAAI6tB,EAHApR,MAAMra,QAAQpC,KAChBA,EAAQwe,EAASxe,IAGnB,IAAImxB,EAAO,SAAW7wB,GACpB,GACU,UAARA,GACQ,UAARA,GACA6c,EAAoB7c,GAEpButB,EAAOryB,MACF,CACL,IAAIkD,EAAOlD,EAAK+T,OAAS/T,EAAK+T,MAAM7Q,KACpCmvB,EAAOoD,GAAUzoB,EAAOkY,YAAYoD,EAAKplB,EAAM4B,GAC3C9E,EAAK41B,WAAa51B,EAAK41B,SAAW,IAClC51B,EAAK+T,QAAU/T,EAAK+T,MAAQ,IAElC,IAAI8hB,EAAe1T,EAASrd,GACxBgxB,EAAgBtT,EAAU1d,GACxB+wB,KAAgBxD,GAAWyD,KAAiBzD,IAChDA,EAAKvtB,GAAON,EAAMM,GAEd4wB,KACO11B,EAAKiU,KAAOjU,EAAKiU,GAAK,KAC3B,UAAYnP,GAAQ,SAAU+P,GAChCrQ,EAAMM,GAAO+P,MAMrB,IAAK,IAAI/P,KAAON,EAAOmxB,EAAM7wB,QAGjC,OAAO9E,EAQT,SAAS+1B,GACP9V,EACA+V,GAEA,IAAI/T,EAAS7T,KAAK6nB,eAAiB7nB,KAAK6nB,aAAe,IACnDC,EAAOjU,EAAOhC,GAGlB,OAAIiW,IAASF,GASbG,GALAD,EAAOjU,EAAOhC,GAAS7R,KAAK0C,SAASnB,gBAAgBsQ,GAAOtf,KAC1DyN,KAAKgoB,aACL,KACAhoB,MAEgB,aAAe6R,GAAQ,GARhCiW,EAgBX,SAASG,GACPH,EACAjW,EACAnb,GAGA,OADAqxB,GAAWD,EAAO,WAAajW,GAASnb,EAAO,IAAMA,EAAO,KAAM,GAC3DoxB,EAGT,SAASC,GACPD,EACApxB,EACAskB,GAEA,GAAInI,MAAMra,QAAQsvB,GAChB,IAAK,IAAI71B,EAAI,EAAGA,EAAI61B,EAAK31B,OAAQF,IAC3B61B,EAAK71B,IAAyB,iBAAZ61B,EAAK71B,IACzBi2B,GAAeJ,EAAK71B,GAAKyE,EAAM,IAAMzE,EAAI+oB,QAI7CkN,GAAeJ,EAAMpxB,EAAKskB,GAI9B,SAASkN,GAAgB5M,EAAM5kB,EAAKskB,GAClCM,EAAKV,UAAW,EAChBU,EAAK5kB,IAAMA,EACX4kB,EAAKN,OAASA,EAKhB,SAASmN,GAAqBv2B,EAAMwE,GAClC,GAAIA,EACF,GAAKkc,EAAclc,GAKZ,CACL,IAAIyP,EAAKjU,EAAKiU,GAAKjU,EAAKiU,GAAKnL,EAAO,GAAI9I,EAAKiU,IAAM,GACnD,IAAK,IAAInP,KAAON,EAAO,CACrB,IAAI2M,EAAW8C,EAAGnP,GACd0xB,EAAOhyB,EAAMM,GACjBmP,EAAGnP,GAAOqM,EAAW,GAAGE,OAAOF,EAAUqlB,GAAQA,QAIvD,OAAOx2B,EAKT,SAASy2B,GACPlF,EACAtO,EAEAyT,EACAC,GAEA1T,EAAMA,GAAO,CAAE2Q,SAAU8C,GACzB,IAAK,IAAIr2B,EAAI,EAAGA,EAAIkxB,EAAIhxB,OAAQF,IAAK,CACnC,IAAI+yB,EAAO7B,EAAIlxB,GACX4gB,MAAMra,QAAQwsB,GAChBqD,GAAmBrD,EAAMnQ,EAAKyT,GACrBtD,IAELA,EAAKe,QACPf,EAAKjsB,GAAGgtB,OAAQ,GAElBlR,EAAImQ,EAAKtuB,KAAOsuB,EAAKjsB,IAMzB,OAHIwvB,IACF,EAAM9C,KAAO8C,GAER1T,EAKT,SAAS2T,GAAiBC,EAASpY,GACjC,IAAK,IAAIpe,EAAI,EAAGA,EAAIoe,EAAOle,OAAQF,GAAK,EAAG,CACzC,IAAIyE,EAAM2Z,EAAOpe,GACE,iBAARyE,GAAoBA,IAC7B+xB,EAAQpY,EAAOpe,IAAMoe,EAAOpe,EAAI,IASpC,OAAOw2B,EAMT,SAASC,GAAiBtyB,EAAOuyB,GAC/B,MAAwB,iBAAVvyB,EAAqBuyB,EAASvyB,EAAQA,EAKtD,SAASwyB,GAAsB5zB,GAC7BA,EAAO6zB,GAAKZ,GACZjzB,EAAO8zB,GAAK9V,EACZhe,EAAOgR,GAAKzN,EACZvD,EAAO+zB,GAAK/C,GACZhxB,EAAOg0B,GAAK7C,GACZnxB,EAAOi0B,GAAKhU,EACZjgB,EAAOk0B,GAAKvT,EACZ3gB,EAAOm0B,GAAKxB,GACZ3yB,EAAOo0B,GAAK1C,GACZ1xB,EAAOq0B,GAAKvC,GACZ9xB,EAAOs0B,GAAKlC,GACZpyB,EAAO+Q,GAAKwV,GACZvmB,EAAOiR,GAAKoV,GACZrmB,EAAOu0B,GAAKlB,GACZrzB,EAAOw0B,GAAKrB,GACZnzB,EAAOy0B,GAAKjB,GACZxzB,EAAO00B,GAAKhB,GAKd,SAASiB,GACP/3B,EACA+X,EACAwQ,EACA/X,EACA0W,GAEA,IAKI8Q,EALAC,EAAS7pB,KAET3D,EAAUyc,EAAKzc,QAIfuX,EAAOxR,EAAQ,SACjBwnB,EAAYx3B,OAAOqE,OAAO2L,IAEhB0nB,UAAY1nB,GAKtBwnB,EAAYxnB,EAEZA,EAASA,EAAO0nB,WAElB,IAAIC,EAAa5X,EAAO9V,EAAQyF,WAC5BkoB,GAAqBD,EAEzB/pB,KAAKpO,KAAOA,EACZoO,KAAK2J,MAAQA,EACb3J,KAAKma,SAAWA,EAChBna,KAAKoC,OAASA,EACdpC,KAAKiqB,UAAYr4B,EAAKiU,IAAMiM,EAC5B9R,KAAKkqB,WAAaxF,GAAcroB,EAAQsiB,OAAQvc,GAChDpC,KAAK+kB,MAAQ,WAOX,OANK8E,EAAOpD,QACVtB,GACEvzB,EAAKu4B,YACLN,EAAOpD,OAAS3B,GAAa3K,EAAU/X,IAGpCynB,EAAOpD,QAGhBr0B,OAAO0D,eAAekK,KAAM,cAAe,CACzCjK,YAAY,EACZC,IAAK,WACH,OAAOmvB,GAAqBvzB,EAAKu4B,YAAanqB,KAAK+kB,YAKnDgF,IAEF/pB,KAAK0C,SAAWrG,EAEhB2D,KAAKymB,OAASzmB,KAAK+kB,QACnB/kB,KAAKwmB,aAAerB,GAAqBvzB,EAAKu4B,YAAanqB,KAAKymB,SAG9DpqB,EAAQ2F,SACVhC,KAAKmF,GAAK,SAAUxK,EAAGC,EAAGlF,EAAGC,GAC3B,IAAI8lB,EAAQ3nB,GAAc81B,EAAWjvB,EAAGC,EAAGlF,EAAGC,EAAGq0B,GAKjD,OAJIvO,IAAU5I,MAAMra,QAAQijB,KAC1BA,EAAMhB,UAAYpe,EAAQ2F,SAC1ByZ,EAAMlB,UAAYnY,GAEbqZ,GAGTzb,KAAKmF,GAAK,SAAUxK,EAAGC,EAAGlF,EAAGC,GAAK,OAAO7B,GAAc81B,EAAWjvB,EAAGC,EAAGlF,EAAGC,EAAGq0B,IA+ClF,SAASI,GAA8B3O,EAAO7pB,EAAMg4B,EAAWvtB,EAASguB,GAItE,IAAIC,EAAQ9O,GAAWC,GASvB,OARA6O,EAAM/P,UAAYqP,EAClBU,EAAM9P,UAAYne,EAIdzK,EAAKozB,QACNsF,EAAM14B,OAAS04B,EAAM14B,KAAO,KAAKozB,KAAOpzB,EAAKozB,MAEzCsF,EAGT,SAASC,GAAYzjB,EAAID,GACvB,IAAK,IAAInQ,KAAOmQ,EACdC,EAAGiN,EAASrd,IAAQmQ,EAAKnQ,GA7D7BkyB,GAAqBe,GAAwBt3B,WA0E7C,IAAIm4B,GAAsB,CACxBC,KAAM,SAAehP,EAAOiP,GAC1B,GACEjP,EAAMf,oBACLe,EAAMf,kBAAkBiQ,cACzBlP,EAAM7pB,KAAKg5B,UACX,CAEA,IAAIC,EAAcpP,EAClB+O,GAAoBM,SAASD,EAAaA,OACrC,EACOpP,EAAMf,kBA0JxB,SACEe,EACArZ,GAEA,IAAI/F,EAAU,CACZ0uB,cAAc,EACdC,aAAcvP,EACdrZ,OAAQA,GAGN6oB,EAAiBxP,EAAM7pB,KAAKq5B,eAC5B/Y,EAAM+Y,KACR5uB,EAAQiF,OAAS2pB,EAAe3pB,OAChCjF,EAAQkF,gBAAkB0pB,EAAe1pB,iBAE3C,OAAO,IAAIka,EAAMpB,iBAAiBvB,KAAKzc,GAzKG6uB,CACpCzP,EACA0P,KAEIphB,OAAO2gB,EAAYjP,EAAMrB,SAAMhlB,EAAWs1B,KAIpDI,SAAU,SAAmBM,EAAU3P,GACrC,IAAIpf,EAAUof,EAAMpB,kBAw8BxB,SACEhQ,EACAE,EACA0f,EACAoB,EACAC,GAEI,EAUJ,IAAIC,EAAiBF,EAAYz5B,KAAKu4B,YAClCqB,EAAiBnhB,EAAGmc,aACpBiF,KACDF,IAAmBA,EAAe/F,SAClCgG,IAAmB1Z,IAAgB0Z,EAAehG,SAClD+F,GAAkBlhB,EAAGmc,aAAaf,OAAS8F,EAAe9F,MAMzDiG,KACFJ,GACAjhB,EAAG3H,SAASipB,iBACZF,GAGFphB,EAAG3H,SAASsoB,aAAeK,EAC3BhhB,EAAGnI,OAASmpB,EAERhhB,EAAGuhB,SACLvhB,EAAGuhB,OAAOxpB,OAASipB,GAWrB,GATAhhB,EAAG3H,SAASipB,gBAAkBL,EAK9BjhB,EAAGwhB,OAASR,EAAYz5B,KAAK+T,OAASmM,EACtCzH,EAAGyhB,WAAa7B,GAAanY,EAGzBvH,GAAaF,EAAG3H,SAASiH,MAAO,CAClC6S,IAAgB,GAGhB,IAFA,IAAI7S,EAAQU,EAAGmW,OACXuL,EAAW1hB,EAAG3H,SAASspB,WAAa,GAC/B/5B,EAAI,EAAGA,EAAI85B,EAAS55B,OAAQF,IAAK,CACxC,IAAIyE,EAAMq1B,EAAS95B,GACfguB,EAAc5V,EAAG3H,SAASiH,MAC9BA,EAAMjT,GAAOspB,GAAatpB,EAAKupB,EAAa1V,EAAWF,GAEzDmS,IAAgB,GAEhBnS,EAAG3H,SAAS6H,UAAYA,EAI1B0f,EAAYA,GAAanY,EACzB,IAAIma,EAAe5hB,EAAG3H,SAASwpB,iBAC/B7hB,EAAG3H,SAASwpB,iBAAmBjC,EAC/BkC,GAAyB9hB,EAAI4f,EAAWgC,GAGpCP,IACFrhB,EAAGoc,OAAS3B,GAAawG,EAAgBD,EAAYppB,SACrDoI,EAAG+hB,gBAGD,EAjhCFC,CADY5Q,EAAMf,kBAAoB0Q,EAAS1Q,kBAG7Cre,EAAQkO,UACRlO,EAAQ4tB,UACRxO,EACApf,EAAQ8d,WAIZmS,OAAQ,SAAiB7Q,GACvB,IAysC8BpR,EAzsC1BpI,EAAUwZ,EAAMxZ,QAChByY,EAAoBe,EAAMf,kBACzBA,EAAkB6R,aACrB7R,EAAkB6R,YAAa,EAC/BC,GAAS9R,EAAmB,YAE1Be,EAAM7pB,KAAKg5B,YACT3oB,EAAQsqB,aAksCgBliB,EA5rCFqQ,GA+rC3B+R,WAAY,EACfC,GAAkBj6B,KAAK4X,IA9rCjBsiB,GAAuBjS,GAAmB,KAKhDkS,QAAS,SAAkBnR,GACzB,IAAIf,EAAoBe,EAAMf,kBACzBA,EAAkBiQ,eAChBlP,EAAM7pB,KAAKg5B,UA8gCtB,SAASiC,EAA0BxiB,EAAIyiB,GACrC,GAAIA,IACFziB,EAAG0iB,iBAAkB,EACjBC,GAAiB3iB,IACnB,OAGJ,IAAKA,EAAGoiB,UAAW,CACjBpiB,EAAGoiB,WAAY,EACf,IAAK,IAAIx6B,EAAI,EAAGA,EAAIoY,EAAG4iB,UAAU96B,OAAQF,IACvC46B,EAAyBxiB,EAAG4iB,UAAUh7B,IAExCu6B,GAASniB,EAAI,gBAvhCTwiB,CAAyBnS,GAAmB,GAF5CA,EAAkBwS,cAQtBC,GAAe/6B,OAAO2b,KAAKyc,IAE/B,SAAS4C,GACPtU,EACAlnB,EACAqQ,EACAkY,EACAD,GAEA,IAAIlI,EAAQ8G,GAAZ,CAIA,IAAIuU,EAAWprB,EAAQS,SAAS4c,MAShC,GANI3mB,EAASmgB,KACXA,EAAOuU,EAAS3yB,OAAOoe,IAKL,mBAATA,EAAX,CAQA,IAAIwB,EACJ,GAAItI,EAAQ8G,EAAKwU,WAGFl4B,KADb0jB,EA+ZJ,SACEyU,EACAF,GAEA,GAAIlb,EAAOob,EAAQj5B,QAAU4d,EAAMqb,EAAQC,WACzC,OAAOD,EAAQC,UAGjB,GAAItb,EAAMqb,EAAQE,UAChB,OAAOF,EAAQE,SAGjB,IAAIC,EAAQC,GACRD,GAASxb,EAAMqb,EAAQK,UAA8C,IAAnCL,EAAQK,OAAO9uB,QAAQ4uB,IAE3DH,EAAQK,OAAOn7B,KAAKi7B,GAGtB,GAAIvb,EAAOob,EAAQM,UAAY3b,EAAMqb,EAAQO,aAC3C,OAAOP,EAAQO,YAGjB,GAAIJ,IAAUxb,EAAMqb,EAAQK,QAAS,CACnC,IAAIA,EAASL,EAAQK,OAAS,CAACF,GAC3BK,GAAO,EACPC,EAAe,KACfC,EAAe,KAElB,EAAQC,IAAI,kBAAkB,WAAc,OAAO1a,EAAOoa,EAAQF,MAEnE,IAAIS,EAAc,SAAUC,GAC1B,IAAK,IAAIn8B,EAAI,EAAGkB,EAAIy6B,EAAOz7B,OAAQF,EAAIkB,EAAGlB,IACvC27B,EAAO37B,GAAIm6B,eAGVgC,IACFR,EAAOz7B,OAAS,EACK,OAAjB67B,IACFr5B,aAAaq5B,GACbA,EAAe,MAEI,OAAjBC,IACFt5B,aAAas5B,GACbA,EAAe,QAKjBx6B,EAAUmiB,GAAK,SAAUf,GAE3B0Y,EAAQE,SAAWY,GAAWxZ,EAAKwY,GAG9BU,EAGHH,EAAOz7B,OAAS,EAFhBg8B,GAAY,MAMZz6B,EAASkiB,GAAK,SAAU0Y,GAKtBpc,EAAMqb,EAAQC,aAChBD,EAAQj5B,OAAQ,EAChB65B,GAAY,OAIZtZ,EAAM0Y,EAAQ95B,EAASC,GA+C3B,OA7CIiF,EAASkc,KACPlC,EAAUkC,GAER7C,EAAQub,EAAQE,WAClB5Y,EAAI7M,KAAKvU,EAASC,GAEXif,EAAUkC,EAAI0Z,aACvB1Z,EAAI0Z,UAAUvmB,KAAKvU,EAASC,GAExBwe,EAAM2C,EAAIvgB,SACZi5B,EAAQC,UAAYa,GAAWxZ,EAAIvgB,MAAO+4B,IAGxCnb,EAAM2C,EAAIgZ,WACZN,EAAQO,YAAcO,GAAWxZ,EAAIgZ,QAASR,GAC5B,IAAdxY,EAAI2Z,MACNjB,EAAQM,SAAU,EAElBG,EAAe34B,YAAW,WACxB24B,EAAe,KACXhc,EAAQub,EAAQE,WAAazb,EAAQub,EAAQj5B,SAC/Ci5B,EAAQM,SAAU,EAClBM,GAAY,MAEbtZ,EAAI2Z,OAAS,MAIhBtc,EAAM2C,EAAI7gB,WACZi6B,EAAe54B,YAAW,WACxB44B,EAAe,KACXjc,EAAQub,EAAQE,WAClB/5B,EAGM,QAGPmhB,EAAI7gB,YAKb+5B,GAAO,EAEAR,EAAQM,QACXN,EAAQO,YACRP,EAAQE,UAvhBLgB,CADPnU,EAAexB,EAC4BuU,IAKzC,OA6YN,SACEE,EACA37B,EACAqQ,EACAkY,EACAD,GAEA,IAAIoB,EAAOD,KAGX,OAFAC,EAAKhB,aAAeiT,EACpBjS,EAAKL,UAAY,CAAErpB,KAAMA,EAAMqQ,QAASA,EAASkY,SAAUA,EAAUD,IAAKA,GACnEoB,EAvZIoT,CACLpU,EACA1oB,EACAqQ,EACAkY,EACAD,GAKNtoB,EAAOA,GAAQ,GAIf+8B,GAA0B7V,GAGtB5G,EAAMtgB,EAAKg9B,QAwFjB,SAAyBvyB,EAASzK,GAChC,IAAIsuB,EAAQ7jB,EAAQuyB,OAASvyB,EAAQuyB,MAAM1O,MAAS,QAChD1rB,EAAS6H,EAAQuyB,OAASvyB,EAAQuyB,MAAMp6B,OAAU,SACpD5C,EAAK+T,QAAU/T,EAAK+T,MAAQ,KAAKua,GAAQtuB,EAAKg9B,MAAMx4B,MACtD,IAAIyP,EAAKjU,EAAKiU,KAAOjU,EAAKiU,GAAK,IAC3B9C,EAAW8C,EAAGrR,GACdq6B,EAAWj9B,EAAKg9B,MAAMC,SACtB3c,EAAMnP,IAEN8P,MAAMra,QAAQuK,IACsB,IAAhCA,EAASjE,QAAQ+vB,GACjB9rB,IAAa8rB,KAEjBhpB,EAAGrR,GAAS,CAACq6B,GAAU5rB,OAAOF,IAGhC8C,EAAGrR,GAASq6B,EAvGZC,CAAehW,EAAKzc,QAASzK,GAI/B,IAAI2Y,EAr8BN,SACE3Y,EACAknB,EACAoB,GAKA,IAAI+F,EAAcnH,EAAKzc,QAAQsN,MAC/B,IAAIqI,EAAQiO,GAAZ,CAGA,IAAIpL,EAAM,GACNlP,EAAQ/T,EAAK+T,MACbgE,EAAQ/X,EAAK+X,MACjB,GAAIuI,EAAMvM,IAAUuM,EAAMvI,GACxB,IAAK,IAAIjT,KAAOupB,EAAa,CAC3B,IAAIiE,EAAS9P,EAAU1d,GAiBvBstB,GAAUnP,EAAKlL,EAAOjT,EAAKwtB,GAAQ,IACnCF,GAAUnP,EAAKlP,EAAOjP,EAAKwtB,GAAQ,GAGvC,OAAOrP,GA+5BSka,CAA0Bn9B,EAAMknB,GAGhD,GAAI3G,EAAO2G,EAAKzc,QAAQ0F,YACtB,OAxMJ,SACE+W,EACAvO,EACA3Y,EACAg4B,EACAzP,GAEA,IAAI9d,EAAUyc,EAAKzc,QACfsN,EAAQ,GACRsW,EAAc5jB,EAAQsN,MAC1B,GAAIuI,EAAM+N,GACR,IAAK,IAAIvpB,KAAOupB,EACdtW,EAAMjT,GAAOspB,GAAatpB,EAAKupB,EAAa1V,GAAauH,QAGvDI,EAAMtgB,EAAK+T,QAAU4kB,GAAW5gB,EAAO/X,EAAK+T,OAC5CuM,EAAMtgB,EAAK+X,QAAU4gB,GAAW5gB,EAAO/X,EAAK+X,OAGlD,IAAI0gB,EAAgB,IAAIV,GACtB/3B,EACA+X,EACAwQ,EACAyP,EACA9Q,GAGE2C,EAAQpf,EAAQiF,OAAO/O,KAAK,KAAM83B,EAAcllB,GAAIklB,GAExD,GAAI5O,aAAiBxB,GACnB,OAAOmQ,GAA6B3O,EAAO7pB,EAAMy4B,EAAcjoB,OAAQ/F,EAASguB,GAC3E,GAAIxX,MAAMra,QAAQijB,GAAQ,CAG/B,IAFA,IAAIuT,EAAS5K,GAAkB3I,IAAU,GACrC5G,EAAM,IAAIhC,MAAMmc,EAAO78B,QAClBF,EAAI,EAAGA,EAAI+8B,EAAO78B,OAAQF,IACjC4iB,EAAI5iB,GAAKm4B,GAA6B4E,EAAO/8B,GAAIL,EAAMy4B,EAAcjoB,OAAQ/F,EAASguB,GAExF,OAAOxV,GAmKAoa,CAA0BnW,EAAMvO,EAAW3Y,EAAMqQ,EAASkY,GAKnE,IAAI8P,EAAYr4B,EAAKiU,GAKrB,GAFAjU,EAAKiU,GAAKjU,EAAKs9B,SAEX/c,EAAO2G,EAAKzc,QAAQ8yB,UAAW,CAKjC,IAAInK,EAAOpzB,EAAKozB,KAChBpzB,EAAO,GACHozB,IACFpzB,EAAKozB,KAAOA,IAqClB,SAAgCpzB,GAE9B,IADA,IAAI2sB,EAAQ3sB,EAAKiQ,OAASjQ,EAAKiQ,KAAO,IAC7B5P,EAAI,EAAGA,EAAIk7B,GAAah7B,OAAQF,IAAK,CAC5C,IAAIyE,EAAMy2B,GAAal7B,GACnB8Q,EAAWwb,EAAM7nB,GACjB04B,EAAU5E,GAAoB9zB,GAC9BqM,IAAaqsB,GAAarsB,GAAYA,EAASssB,UACjD9Q,EAAM7nB,GAAOqM,EAAWusB,GAAYF,EAASrsB,GAAYqsB,IAvC7DG,CAAsB39B,GAGtB,IAAIsD,EAAO4jB,EAAKzc,QAAQnH,MAAQglB,EAQhC,OAPY,IAAID,GACb,iBAAoBnB,EAAQ,KAAK5jB,EAAQ,IAAMA,EAAQ,IACxDtD,OAAMwD,OAAWA,OAAWA,EAAW6M,EACvC,CAAE6W,KAAMA,EAAMvO,UAAWA,EAAW0f,UAAWA,EAAW/P,IAAKA,EAAKC,SAAUA,GAC9EG,KAoCJ,SAASgV,GAAaE,EAAIC,GACxB,IAAI1L,EAAS,SAAUppB,EAAGC,GAExB40B,EAAG70B,EAAGC,GACN60B,EAAG90B,EAAGC,IAGR,OADAmpB,EAAOsL,SAAU,EACVtL,EAgCT,SAASjwB,GACPmO,EACAiY,EACAtoB,EACAuoB,EACAuV,EACAC,GAUA,OARI9c,MAAMra,QAAQ5G,IAASwgB,EAAYxgB,MACrC89B,EAAoBvV,EACpBA,EAAWvoB,EACXA,OAAOwD,GAEL+c,EAAOwd,KACTD,EAlBmB,GAuBvB,SACEztB,EACAiY,EACAtoB,EACAuoB,EACAuV,GAEA,GAAIxd,EAAMtgB,IAASsgB,EAAM,EAAOgK,QAM9B,OAAOb,KAGLnJ,EAAMtgB,IAASsgB,EAAMtgB,EAAKg+B,MAC5B1V,EAAMtoB,EAAKg+B,IAEb,IAAK1V,EAEH,OAAOmB,KAGL,EAYAxI,MAAMra,QAAQ2hB,IACO,mBAAhBA,EAAS,MAEhBvoB,EAAOA,GAAQ,IACVu4B,YAAc,CAAEplB,QAASoV,EAAS,IACvCA,EAAShoB,OAAS,GAhEC,IAkEjBu9B,EACFvV,EAAWiK,GAAkBjK,GApEV,IAqEVuV,IACTvV,EApiCJ,SAAkCA,GAChC,IAAK,IAAIloB,EAAI,EAAGA,EAAIkoB,EAAShoB,OAAQF,IACnC,GAAI4gB,MAAMra,QAAQ2hB,EAASloB,IACzB,OAAO4gB,MAAMxgB,UAAU4Q,OAAOsI,MAAM,GAAI4O,GAG5C,OAAOA,EA8hCM0V,CAAwB1V,IAErC,IAAIsB,EAAOjlB,EACX,GAAmB,iBAAR0jB,EAAkB,CAC3B,IAAIpB,EACJtiB,EAAMyL,EAAQC,QAAUD,EAAQC,OAAO1L,IAAOoI,EAAOgY,gBAAgBsD,GASnEuB,EARE7c,EAAO6X,cAAcyD,GAQf,IAAID,GACVrb,EAAOiY,qBAAqBqD,GAAMtoB,EAAMuoB,OACxC/kB,OAAWA,EAAW6M,GAEbrQ,GAASA,EAAKk+B,MAAQ5d,EAAM4G,EAAO6G,GAAa1d,EAAQS,SAAU,aAAcwX,IAOnF,IAAID,GACVC,EAAKtoB,EAAMuoB,OACX/kB,OAAWA,EAAW6M,GAPhBmrB,GAAgBtU,EAAMlnB,EAAMqQ,EAASkY,EAAUD,QAYzDuB,EAAQ2R,GAAgBlT,EAAKtoB,EAAMqQ,EAASkY,GAE9C,OAAItH,MAAMra,QAAQijB,GACTA,EACEvJ,EAAMuJ,IACXvJ,EAAM1b,IAQd,SAASu5B,EAAStU,EAAOjlB,EAAIw5B,GAC3BvU,EAAMjlB,GAAKA,EACO,kBAAdilB,EAAMvB,MAER1jB,OAAKpB,EACL46B,GAAQ,GAEV,GAAI9d,EAAMuJ,EAAMtB,UACd,IAAK,IAAIloB,EAAI,EAAGkB,EAAIsoB,EAAMtB,SAAShoB,OAAQF,EAAIkB,EAAGlB,IAAK,CACrD,IAAImpB,EAAQK,EAAMtB,SAASloB,GACvBigB,EAAMkJ,EAAMlB,OACdlI,EAAQoJ,EAAM5kB,KAAQ2b,EAAO6d,IAAwB,QAAd5U,EAAMlB,MAC7C6V,EAAQ3U,EAAO5kB,EAAIw5B,IApBND,CAAQtU,EAAOjlB,GAC5B0b,EAAMtgB,IA4Bd,SAA+BA,GACzB+G,EAAS/G,EAAKqb,QAChBuV,GAAS5wB,EAAKqb,OAEZtU,EAAS/G,EAAKyT,QAChBmd,GAAS5wB,EAAKyT,OAjCK4qB,CAAqBr+B,GACjC6pB,GAEAJ,KA1FF6U,CAAejuB,EAASiY,EAAKtoB,EAAMuoB,EAAUuV,GAiKtD,IAkQI16B,GAlQA24B,GAA2B,KA4E/B,SAASU,GAAY8B,EAAMC,GAOzB,OALED,EAAK55B,YACJyiB,IAA0C,WAA7BmX,EAAKj6B,OAAOC,gBAE1Bg6B,EAAOA,EAAKprB,SAEPpM,EAASw3B,GACZC,EAAK11B,OAAOy1B,GACZA,EA8IN,SAASjV,GAAoBI,GAC3B,OAAOA,EAAKR,WAAaQ,EAAKhB,aAKhC,SAAS+V,GAAwBlW,GAC/B,GAAItH,MAAMra,QAAQ2hB,GAChB,IAAK,IAAIloB,EAAI,EAAGA,EAAIkoB,EAAShoB,OAAQF,IAAK,CACxC,IAAIyD,EAAIykB,EAASloB,GACjB,GAAIigB,EAAMxc,KAAOwc,EAAMxc,EAAE2kB,mBAAqBa,GAAmBxlB,IAC/D,OAAOA,GAsBf,SAAS6M,GAAK/N,EAAOuE,GACnB/D,GAAOk5B,IAAI15B,EAAOuE,GAGpB,SAASu3B,GAAU97B,EAAOuE,GACxB/D,GAAOu7B,KAAK/7B,EAAOuE,GAGrB,SAAS0qB,GAAmBjvB,EAAOuE,GACjC,IAAIy3B,EAAUx7B,GACd,OAAO,SAASy7B,IACd,IAAI5b,EAAM9b,EAAGwS,MAAM,KAAM/Q,WACb,OAARqa,GACF2b,EAAQD,KAAK/7B,EAAOi8B,IAK1B,SAAStE,GACP9hB,EACA4f,EACAgC,GAEAj3B,GAASqV,EACTiZ,GAAgB2G,EAAWgC,GAAgB,GAAI1pB,GAAK+tB,GAAU7M,GAAmBpZ,GACjFrV,QAASI,EAkGX,IAAI+1B,GAAiB,KAGrB,SAASuF,GAAkBrmB,GACzB,IAAIsmB,EAAqBxF,GAEzB,OADAA,GAAiB9gB,EACV,WACL8gB,GAAiBwF,GA2QrB,SAAS3D,GAAkB3iB,GACzB,KAAOA,IAAOA,EAAKA,EAAG4W,UACpB,GAAI5W,EAAGoiB,UAAa,OAAO,EAE7B,OAAO,EAGT,SAASE,GAAwBtiB,EAAIyiB,GACnC,GAAIA,GAEF,GADAziB,EAAG0iB,iBAAkB,EACjBC,GAAiB3iB,GACnB,YAEG,GAAIA,EAAG0iB,gBACZ,OAEF,GAAI1iB,EAAGoiB,WAA8B,OAAjBpiB,EAAGoiB,UAAoB,CACzCpiB,EAAGoiB,WAAY,EACf,IAAK,IAAIx6B,EAAI,EAAGA,EAAIoY,EAAG4iB,UAAU96B,OAAQF,IACvC06B,GAAuBtiB,EAAG4iB,UAAUh7B,IAEtCu6B,GAASniB,EAAI,cAoBjB,SAASmiB,GAAUniB,EAAIxI,GAErBkY,KACA,IAAI6W,EAAWvmB,EAAG3H,SAASb,GACvBkf,EAAOlf,EAAO,QAClB,GAAI+uB,EACF,IAAK,IAAI3+B,EAAI,EAAG4+B,EAAID,EAASz+B,OAAQF,EAAI4+B,EAAG5+B,IAC1CmvB,GAAwBwP,EAAS3+B,GAAIoY,EAAI,KAAMA,EAAI0W,GAGnD1W,EAAGymB,eACLzmB,EAAG0mB,MAAM,QAAUlvB,GAErBmY,KAKF,IAEIgX,GAAQ,GACRtE,GAAoB,GACpBh1B,GAAM,GAENu5B,IAAU,EACVC,IAAW,EACXrf,GAAQ,EAmBZ,IAAIsf,GAAwB,EAGxBC,GAAS7b,KAAK8b,IAQlB,GAAI7Z,IAAcO,EAAM,CACtB,IAAI3B,GAAcjf,OAAOif,YAEvBA,IAC2B,mBAApBA,GAAYib,KACnBD,KAAWv9B,SAASy9B,YAAY,SAASC,YAMzCH,GAAS,WAAc,OAAOhb,GAAYib,QAO9C,SAASG,KAGP,IAAIC,EAASprB,EAcb,IAhBA8qB,GAAwBC,KACxBF,IAAW,EAWXF,GAAMU,MAAK,SAAU/2B,EAAGC,GAAK,OAAOD,EAAE0L,GAAKzL,EAAEyL,MAIxCwL,GAAQ,EAAGA,GAAQmf,GAAM7+B,OAAQ0f,MACpC4f,EAAUT,GAAMnf,KACJ8f,QACVF,EAAQE,SAEVtrB,EAAKorB,EAAQprB,GACb3O,GAAI2O,GAAM,KACVorB,EAAQG,MAmBV,IAAIC,EAAiBnF,GAAkBr1B,QACnCy6B,EAAed,GAAM35B,QAtFzBwa,GAAQmf,GAAM7+B,OAASu6B,GAAkBv6B,OAAS,EAClDuF,GAAM,GAINu5B,GAAUC,IAAW,EAsHvB,SAA6BF,GAC3B,IAAK,IAAI/+B,EAAI,EAAGA,EAAI++B,EAAM7+B,OAAQF,IAChC++B,EAAM/+B,GAAGw6B,WAAY,EACrBE,GAAuBqE,EAAM/+B,IAAI,GAnCnC8/B,CAAmBF,GAUrB,SAA2Bb,GACzB,IAAI/+B,EAAI++B,EAAM7+B,OACd,KAAOF,KAAK,CACV,IAAIw/B,EAAUT,EAAM/+B,GAChBoY,EAAKonB,EAAQpnB,GACbA,EAAG2nB,WAAaP,GAAWpnB,EAAGkiB,aAAeliB,EAAGsgB,cAClD6B,GAASniB,EAAI,YAfjB4nB,CAAiBH,GAIb3b,IAAYvX,EAAOuX,UACrBA,GAAS3V,KAAK,SAsElB,IAAI0xB,GAAQ,EAORC,GAAU,SACZ9nB,EACA+nB,EACA/P,EACAhmB,EACAg2B,GAEAryB,KAAKqK,GAAKA,EACNgoB,IACFhoB,EAAG2nB,SAAWhyB,MAEhBqK,EAAGioB,UAAU7/B,KAAKuN,MAEd3D,GACF2D,KAAKuyB,OAASl2B,EAAQk2B,KACtBvyB,KAAKwyB,OAASn2B,EAAQm2B,KACtBxyB,KAAKyyB,OAASp2B,EAAQo2B,KACtBzyB,KAAK+tB,OAAS1xB,EAAQ0xB,KACtB/tB,KAAK2xB,OAASt1B,EAAQs1B,QAEtB3xB,KAAKuyB,KAAOvyB,KAAKwyB,KAAOxyB,KAAKyyB,KAAOzyB,KAAK+tB,MAAO,EAElD/tB,KAAKqiB,GAAKA,EACVriB,KAAKqG,KAAO6rB,GACZlyB,KAAK6J,QAAS,EACd7J,KAAK0yB,MAAQ1yB,KAAKyyB,KAClBzyB,KAAK2yB,KAAO,GACZ3yB,KAAK4yB,QAAU,GACf5yB,KAAK6yB,OAAS,IAAI9Z,GAClB/Y,KAAK8yB,UAAY,IAAI/Z,GACrB/Y,KAAKoG,WAED,GAEmB,mBAAZgsB,EACTpyB,KAAKpK,OAASw8B,GAEdpyB,KAAKpK,OAx3HT,SAAoBqO,GAClB,IAAIoT,EAAO9G,KAAKtM,GAAhB,CAGA,IAAI8uB,EAAW9uB,EAAKrE,MAAM,KAC1B,OAAO,SAAU9G,GACf,IAAK,IAAI7G,EAAI,EAAGA,EAAI8gC,EAAS5gC,OAAQF,IAAK,CACxC,IAAK6G,EAAO,OACZA,EAAMA,EAAIi6B,EAAS9gC,IAErB,OAAO6G,IA82HOk6B,CAAUZ,GACnBpyB,KAAKpK,SACRoK,KAAKpK,OAASkf,IASlB9U,KAAK5J,MAAQ4J,KAAKyyB,UACdr9B,EACA4K,KAAKhK,OAMXm8B,GAAQ9/B,UAAU2D,IAAM,WAEtB,IAAII,EADJ2jB,GAAW/Z,MAEX,IAAIqK,EAAKrK,KAAKqK,GACd,IACEjU,EAAQ4J,KAAKpK,OAAOrD,KAAK8X,EAAIA,GAC7B,MAAOjX,GACP,IAAI4M,KAAKwyB,KAGP,MAAMp/B,EAFN0tB,GAAY1tB,EAAGiX,EAAK,uBAA2BrK,KAAe,WAAI,KAIpE,QAGIA,KAAKuyB,MACP/P,GAASpsB,GAEX4jB,KACAha,KAAKizB,cAEP,OAAO78B,GAMT+7B,GAAQ9/B,UAAUsnB,OAAS,SAAiByC,GAC1C,IAAI/V,EAAK+V,EAAI/V,GACRrG,KAAK8yB,UAAUp7B,IAAI2O,KACtBrG,KAAK8yB,UAAUvwB,IAAI8D,GACnBrG,KAAK4yB,QAAQngC,KAAK2pB,GACbpc,KAAK6yB,OAAOn7B,IAAI2O,IACnB+V,EAAI7C,OAAOvZ,QAQjBmyB,GAAQ9/B,UAAU4gC,YAAc,WAE9B,IADA,IAAIhhC,EAAI+N,KAAK2yB,KAAKxgC,OACXF,KAAK,CACV,IAAImqB,EAAMpc,KAAK2yB,KAAK1gC,GACf+N,KAAK8yB,UAAUp7B,IAAI0kB,EAAI/V,KAC1B+V,EAAI3C,UAAUzZ,MAGlB,IAAIkzB,EAAMlzB,KAAK6yB,OACf7yB,KAAK6yB,OAAS7yB,KAAK8yB,UACnB9yB,KAAK8yB,UAAYI,EACjBlzB,KAAK8yB,UAAU1Z,QACf8Z,EAAMlzB,KAAK2yB,KACX3yB,KAAK2yB,KAAO3yB,KAAK4yB,QACjB5yB,KAAK4yB,QAAUM,EACflzB,KAAK4yB,QAAQzgC,OAAS,GAOxBggC,GAAQ9/B,UAAUwnB,OAAS,WAErB7Z,KAAKyyB,KACPzyB,KAAK0yB,OAAQ,EACJ1yB,KAAK+tB,KACd/tB,KAAK4xB,MAnKT,SAAuBH,GACrB,IAAIprB,EAAKorB,EAAQprB,GACjB,GAAe,MAAX3O,GAAI2O,GAAa,CAEnB,GADA3O,GAAI2O,IAAM,EACL6qB,GAEE,CAIL,IADA,IAAIj/B,EAAI++B,GAAM7+B,OAAS,EAChBF,EAAI4f,IAASmf,GAAM/+B,GAAGoU,GAAKorB,EAAQprB,IACxCpU,IAEF++B,GAAMrd,OAAO1hB,EAAI,EAAG,EAAGw/B,QARvBT,GAAMv+B,KAAKg/B,GAWRR,KACHA,IAAU,EAMV7O,GAASoP,MA8IX2B,CAAanzB,OAQjBmyB,GAAQ9/B,UAAUu/B,IAAM,WACtB,GAAI5xB,KAAK6J,OAAQ,CACf,IAAIzT,EAAQ4J,KAAKhK,MACjB,GACEI,IAAU4J,KAAK5J,OAIfuC,EAASvC,IACT4J,KAAKuyB,KACL,CAEA,IAAIa,EAAWpzB,KAAK5J,MAEpB,GADA4J,KAAK5J,MAAQA,EACT4J,KAAKwyB,KACP,IACExyB,KAAKqiB,GAAG9vB,KAAKyN,KAAKqK,GAAIjU,EAAOg9B,GAC7B,MAAOhgC,GACP0tB,GAAY1tB,EAAG4M,KAAKqK,GAAK,yBAA6BrK,KAAe,WAAI,UAG3EA,KAAKqiB,GAAG9vB,KAAKyN,KAAKqK,GAAIjU,EAAOg9B,MAUrCjB,GAAQ9/B,UAAUghC,SAAW,WAC3BrzB,KAAK5J,MAAQ4J,KAAKhK,MAClBgK,KAAK0yB,OAAQ,GAMfP,GAAQ9/B,UAAUqnB,OAAS,WAEzB,IADA,IAAIznB,EAAI+N,KAAK2yB,KAAKxgC,OACXF,KACL+N,KAAK2yB,KAAK1gC,GAAGynB,UAOjByY,GAAQ9/B,UAAUihC,SAAW,WAC3B,GAAItzB,KAAK6J,OAAQ,CAIV7J,KAAKqK,GAAGkpB,mBACX/f,EAAOxT,KAAKqK,GAAGioB,UAAWtyB,MAG5B,IADA,IAAI/N,EAAI+N,KAAK2yB,KAAKxgC,OACXF,KACL+N,KAAK2yB,KAAK1gC,GAAGwnB,UAAUzZ,MAEzBA,KAAK6J,QAAS,IAMlB,IAAI2pB,GAA2B,CAC7Bz9B,YAAY,EACZ2O,cAAc,EACd1O,IAAK8e,EACL5R,IAAK4R,GAGP,SAASiR,GAAO/wB,EAAQy+B,EAAW/8B,GACjC88B,GAAyBx9B,IAAM,WAC7B,OAAOgK,KAAKyzB,GAAW/8B,IAEzB88B,GAAyBtwB,IAAM,SAAsBzK,GACnDuH,KAAKyzB,GAAW/8B,GAAO+B,GAEzBrG,OAAO0D,eAAed,EAAQ0B,EAAK88B,IAGrC,SAASE,GAAWrpB,GAClBA,EAAGioB,UAAY,GACf,IAAI/Z,EAAOlO,EAAG3H,SACV6V,EAAK5O,OAaX,SAAoBU,EAAIspB,GACtB,IAAIppB,EAAYF,EAAG3H,SAAS6H,WAAa,GACrCZ,EAAQU,EAAGmW,OAAS,GAGpBzS,EAAO1D,EAAG3H,SAASspB,UAAY,GACrB3hB,EAAG4W,SAGfzE,IAAgB,GAElB,IAAI+K,EAAO,SAAW7wB,GACpBqX,EAAKtb,KAAKiE,GACV,IAAIN,EAAQ4pB,GAAatpB,EAAKi9B,EAAcppB,EAAWF,GAuBrD8S,GAAkBxT,EAAOjT,EAAKN,GAK1BM,KAAO2T,GACX0b,GAAM1b,EAAI,SAAU3T,IAIxB,IAAK,IAAIA,KAAOi9B,EAAcpM,EAAM7wB,GACpC8lB,IAAgB,GA5DEoX,CAAUvpB,EAAIkO,EAAK5O,OACjC4O,EAAK5I,SAoNX,SAAsBtF,EAAIsF,GACZtF,EAAG3H,SAASiH,MACxB,IAAK,IAAIjT,KAAOiZ,EAsBdtF,EAAG3T,GAA+B,mBAAjBiZ,EAAQjZ,GAAsBoe,EAAOne,EAAKgZ,EAAQjZ,GAAM2T,GA5OvDwpB,CAAYxpB,EAAIkO,EAAK5I,SACrC4I,EAAK3mB,KA6DX,SAAmByY,GACjB,IAAIzY,EAAOyY,EAAG3H,SAAS9Q,KAIlB0gB,EAHL1gB,EAAOyY,EAAGypB,MAAwB,mBAATliC,EAwC3B,SAAkBA,EAAMyY,GAEtB0P,KACA,IACE,OAAOnoB,EAAKW,KAAK8X,EAAIA,GACrB,MAAOjX,GAEP,OADA0tB,GAAY1tB,EAAGiX,EAAI,UACZ,GACP,QACA2P,MAhDE+Z,CAAQniC,EAAMyY,GACdzY,GAAQ,MAEVA,EAAO,IAQT,IAAImc,EAAO3b,OAAO2b,KAAKnc,GACnB+X,EAAQU,EAAG3H,SAASiH,MAEpB1X,GADUoY,EAAG3H,SAASiN,QAClB5B,EAAK5b,QACb,KAAOF,KAAK,CACV,IAAIyE,EAAMqX,EAAK9b,GACX,EAQA0X,GAASiK,EAAOjK,EAAOjT,IAMfwgB,EAAWxgB,IACrBqvB,GAAM1b,EAAI,QAAS3T,GAIvBqmB,GAAQnrB,GAAM,GAnGZoiC,CAAS3pB,GAET0S,GAAQ1S,EAAGypB,MAAQ,IAAI,GAErBvb,EAAKqG,UAiHX,SAAuBvU,EAAIuU,GAEzB,IAAIqV,EAAW5pB,EAAG6pB,kBAAoB9hC,OAAOqE,OAAO,MAEhD09B,EAAQ1b,KAEZ,IAAK,IAAI/hB,KAAOkoB,EAAU,CACxB,IAAIwV,EAAUxV,EAASloB,GACnBd,EAA4B,mBAAZw+B,EAAyBA,EAAUA,EAAQp+B,IAC3D,EAOCm+B,IAEHF,EAASv9B,GAAO,IAAIy7B,GAClB9nB,EACAzU,GAAUkf,EACVA,EACAuf,KAOE39B,KAAO2T,GACXiqB,GAAejqB,EAAI3T,EAAK09B,IA/IPG,CAAalqB,EAAIkO,EAAKqG,UACvCrG,EAAKF,OAASE,EAAKF,QAAUD,IAyOnC,SAAoB/N,EAAIgO,GACtB,IAAK,IAAI3hB,KAAO2hB,EAAO,CACrB,IAAIhY,EAAUgY,EAAM3hB,GACpB,GAAImc,MAAMra,QAAQ6H,GAChB,IAAK,IAAIpO,EAAI,EAAGA,EAAIoO,EAAQlO,OAAQF,IAClCuiC,GAAcnqB,EAAI3T,EAAK2J,EAAQpO,SAGjCuiC,GAAcnqB,EAAI3T,EAAK2J,IAhPzBo0B,CAAUpqB,EAAIkO,EAAKF,OA6GvB,IAAIgc,GAAyB,CAAE5B,MAAM,GA2CrC,SAAS6B,GACPt/B,EACA0B,EACA09B,GAEA,IAAIM,GAAejc,KACI,mBAAZ2b,GACTZ,GAAyBx9B,IAAM0+B,EAC3BC,GAAqBj+B,GACrBk+B,GAAoBR,GACxBZ,GAAyBtwB,IAAM4R,IAE/B0e,GAAyBx9B,IAAMo+B,EAAQp+B,IACnC0+B,IAAiC,IAAlBN,EAAQ5oB,MACrBmpB,GAAqBj+B,GACrBk+B,GAAoBR,EAAQp+B,KAC9B8e,EACJ0e,GAAyBtwB,IAAMkxB,EAAQlxB,KAAO4R,GAWhD1iB,OAAO0D,eAAed,EAAQ0B,EAAK88B,IAGrC,SAASmB,GAAsBj+B,GAC7B,OAAO,WACL,IAAI+6B,EAAUzxB,KAAKk0B,mBAAqBl0B,KAAKk0B,kBAAkBx9B,GAC/D,GAAI+6B,EAOF,OANIA,EAAQiB,OACVjB,EAAQ4B,WAENha,GAAIrkB,QACNy8B,EAAQ/X,SAEH+X,EAAQr7B,OAKrB,SAASw+B,GAAoB77B,GAC3B,OAAO,WACL,OAAOA,EAAGxG,KAAKyN,KAAMA,OA6CzB,SAASw0B,GACPnqB,EACA+nB,EACA/xB,EACAhE,GASA,OAPIiW,EAAcjS,KAChBhE,EAAUgE,EACVA,EAAUA,EAAQA,SAEG,iBAAZA,IACTA,EAAUgK,EAAGhK,IAERgK,EAAGwqB,OAAOzC,EAAS/xB,EAAShE,GAwDrC,IAAIy4B,GAAQ,EAgFZ,SAASnG,GAA2B7V,GAClC,IAAIzc,EAAUyc,EAAKzc,QACnB,GAAIyc,EAAKic,MAAO,CACd,IAAIC,EAAerG,GAA0B7V,EAAKic,OAElD,GAAIC,IADqBlc,EAAKkc,aACW,CAGvClc,EAAKkc,aAAeA,EAEpB,IAAIC,EAcV,SAAiCnc,GAC/B,IAAIoc,EACAC,EAASrc,EAAKzc,QACd+4B,EAAStc,EAAKuc,cAClB,IAAK,IAAI3+B,KAAOy+B,EACVA,EAAOz+B,KAAS0+B,EAAO1+B,KACpBw+B,IAAYA,EAAW,IAC5BA,EAASx+B,GAAOy+B,EAAOz+B,IAG3B,OAAOw+B,EAxBmBI,CAAuBxc,GAEzCmc,GACFv6B,EAAOoe,EAAKyc,cAAeN,IAE7B54B,EAAUyc,EAAKzc,QAAU0iB,GAAaiW,EAAclc,EAAKyc,gBAC7CrgC,OACVmH,EAAQm5B,WAAWn5B,EAAQnH,MAAQ4jB,IAIzC,OAAOzc,EAgBT,SAASoN,GAAKpN,GAMZ2D,KAAKy1B,MAAMp5B,GA0Cb,SAASq5B,GAAYjsB,GAMnBA,EAAI6jB,IAAM,EACV,IAAIA,EAAM,EAKV7jB,EAAI/O,OAAS,SAAU66B,GACrBA,EAAgBA,GAAiB,GACjC,IAAII,EAAQ31B,KACR41B,EAAUD,EAAMrI,IAChBuI,EAAcN,EAAcO,QAAUP,EAAcO,MAAQ,IAChE,GAAID,EAAYD,GACd,OAAOC,EAAYD,GAGrB,IAAI1gC,EAAOqgC,EAAcrgC,MAAQygC,EAAMt5B,QAAQnH,KAK/C,IAAI6gC,EAAM,SAAuB15B,GAC/B2D,KAAKy1B,MAAMp5B,IA6Cb,OA3CA05B,EAAI1jC,UAAYD,OAAOqE,OAAOk/B,EAAMtjC,YACtB6G,YAAc68B,EAC5BA,EAAIzI,IAAMA,IACVyI,EAAI15B,QAAU0iB,GACZ4W,EAAMt5B,QACNk5B,GAEFQ,EAAW,MAAIJ,EAKXI,EAAI15B,QAAQsN,OAmCpB,SAAsBqsB,GACpB,IAAIrsB,EAAQqsB,EAAK35B,QAAQsN,MACzB,IAAK,IAAIjT,KAAOiT,EACdoc,GAAMiQ,EAAK3jC,UAAW,SAAUqE,GArC9Bu/B,CAAYF,GAEVA,EAAI15B,QAAQuiB,UAuCpB,SAAyBoX,GACvB,IAAIpX,EAAWoX,EAAK35B,QAAQuiB,SAC5B,IAAK,IAAIloB,KAAOkoB,EACd0V,GAAe0B,EAAK3jC,UAAWqE,EAAKkoB,EAASloB,IAzC3Cw/B,CAAeH,GAIjBA,EAAIr7B,OAASi7B,EAAMj7B,OACnBq7B,EAAII,MAAQR,EAAMQ,MAClBJ,EAAIK,IAAMT,EAAMS,IAIhBtgB,EAAYjd,SAAQ,SAAU/D,GAC5BihC,EAAIjhC,GAAQ6gC,EAAM7gC,MAGhBI,IACF6gC,EAAI15B,QAAQm5B,WAAWtgC,GAAQ6gC,GAMjCA,EAAIf,aAAeW,EAAMt5B,QACzB05B,EAAIR,cAAgBA,EACpBQ,EAAIV,cAAgB36B,EAAO,GAAIq7B,EAAI15B,SAGnCw5B,EAAYD,GAAWG,EAChBA,GAsDX,SAASM,GAAkB9d,GACzB,OAAOA,IAASA,EAAKO,KAAKzc,QAAQnH,MAAQqjB,EAAK2B,KAGjD,SAASoc,GAASC,EAASrhC,GACzB,OAAI2d,MAAMra,QAAQ+9B,GACTA,EAAQz3B,QAAQ5J,IAAS,EACJ,iBAAZqhC,EACTA,EAAQ32B,MAAM,KAAKd,QAAQ5J,IAAS,IAClCqd,EAASgkB,IACXA,EAAQhmB,KAAKrb,GAMxB,SAASshC,GAAYC,EAAmBC,GACtC,IAAIlrB,EAAQirB,EAAkBjrB,MAC1BuC,EAAO0oB,EAAkB1oB,KACzB6d,EAAS6K,EAAkB7K,OAC/B,IAAK,IAAIl1B,KAAO8U,EAAO,CACrB,IAAImrB,EAAanrB,EAAM9U,GACvB,GAAIigC,EAAY,CACd,IAAIzhC,EAAOmhC,GAAiBM,EAAWtc,kBACnCnlB,IAASwhC,EAAOxhC,IAClB0hC,GAAgBprB,EAAO9U,EAAKqX,EAAM6d,KAM1C,SAASgL,GACPprB,EACA9U,EACAqX,EACAhH,GAEA,IAAI8vB,EAAYrrB,EAAM9U,IAClBmgC,GAAe9vB,GAAW8vB,EAAU3c,MAAQnT,EAAQmT,KACtD2c,EAAUnc,kBAAkBwS,WAE9B1hB,EAAM9U,GAAO,KACb8c,EAAOzF,EAAMrX,IA3Uf,SAAoB+S,GAClBA,EAAIpX,UAAUojC,MAAQ,SAAUp5B,GAC9B,IAAIgO,EAAKrK,KAETqK,EAAGysB,KAAOhC,KAWVzqB,EAAG6S,QAAS,EAER7gB,GAAWA,EAAQ0uB,aA0C3B,SAAgC1gB,EAAIhO,GAClC,IAAIkc,EAAOlO,EAAG3H,SAAWtQ,OAAOqE,OAAO4T,EAAGnR,YAAYmD,SAElDgvB,EAAchvB,EAAQ2uB,aAC1BzS,EAAKnW,OAAS/F,EAAQ+F,OACtBmW,EAAKyS,aAAeK,EAEpB,IAAI0L,EAAwB1L,EAAYhR,iBACxC9B,EAAKhO,UAAYwsB,EAAsBxsB,UACvCgO,EAAK2T,iBAAmB6K,EAAsB9M,UAC9C1R,EAAKoT,gBAAkBoL,EAAsB5c,SAC7C5B,EAAKye,cAAgBD,EAAsB7c,IAEvC7d,EAAQiF,SACViX,EAAKjX,OAASjF,EAAQiF,OACtBiX,EAAKhX,gBAAkBlF,EAAQkF,iBArD7B01B,CAAsB5sB,EAAIhO,GAE1BgO,EAAG3H,SAAWqc,GACZ4P,GAA0BtkB,EAAGnR,aAC7BmD,GAAW,GACXgO,GAOFA,EAAG2d,aAAe3d,EAGpBA,EAAGjF,MAAQiF,EApkCf,SAAwBA,GACtB,IAAIhO,EAAUgO,EAAG3H,SAGbN,EAAS/F,EAAQ+F,OACrB,GAAIA,IAAW/F,EAAQ8yB,SAAU,CAC/B,KAAO/sB,EAAOM,SAASysB,UAAY/sB,EAAO6e,SACxC7e,EAASA,EAAO6e,QAElB7e,EAAO6qB,UAAUx6B,KAAK4X,GAGxBA,EAAG4W,QAAU7e,EACbiI,EAAG5H,MAAQL,EAASA,EAAOK,MAAQ4H,EAEnCA,EAAG4iB,UAAY,GACf5iB,EAAG6sB,MAAQ,GAEX7sB,EAAG2nB,SAAW,KACd3nB,EAAGoiB,UAAY,KACfpiB,EAAG0iB,iBAAkB,EACrB1iB,EAAGkiB,YAAa,EAChBliB,EAAGsgB,cAAe,EAClBtgB,EAAGkpB,mBAAoB,EA8iCrB4D,CAAc9sB,GAvtClB,SAAqBA,GACnBA,EAAG+sB,QAAUhlC,OAAOqE,OAAO,MAC3B4T,EAAGymB,eAAgB,EAEnB,IAAI7G,EAAY5f,EAAG3H,SAASwpB,iBACxBjC,GACFkC,GAAyB9hB,EAAI4f,GAktC7BoN,CAAWhtB,GAn/Cf,SAAqBA,GACnBA,EAAGuhB,OAAS,KACZvhB,EAAGwd,aAAe,KAClB,IAAIxrB,EAAUgO,EAAG3H,SACb2oB,EAAchhB,EAAGnI,OAAS7F,EAAQ2uB,aAClCX,EAAgBgB,GAAeA,EAAYppB,QAC/CoI,EAAGoc,OAAS3B,GAAazoB,EAAQsvB,gBAAiBtB,GAClDhgB,EAAGmc,aAAe1U,EAKlBzH,EAAGlF,GAAK,SAAUxK,EAAGC,EAAGlF,EAAGC,GAAK,OAAO7B,GAAcuW,EAAI1P,EAAGC,EAAGlF,EAAGC,GAAG,IAGrE0U,EAAGnF,eAAiB,SAAUvK,EAAGC,EAAGlF,EAAGC,GAAK,OAAO7B,GAAcuW,EAAI1P,EAAGC,EAAGlF,EAAGC,GAAG,IAIjF,IAAI2hC,EAAajM,GAAeA,EAAYz5B,KAW1CurB,GAAkB9S,EAAI,SAAUitB,GAAcA,EAAW3xB,OAASmM,EAAa,MAAM,GACrFqL,GAAkB9S,EAAI,aAAchO,EAAQ6vB,kBAAoBpa,EAAa,MAAM,GAq9CnFylB,CAAWltB,GACXmiB,GAASniB,EAAI,gBAlhFjB,SAAyBA,GACvB,IAAI/P,EAASoqB,GAAcra,EAAG3H,SAASic,OAAQtU,GAC3C/P,IACFkiB,IAAgB,GAChBpqB,OAAO2b,KAAKzT,GAAQzB,SAAQ,SAAUnC,GAYlCymB,GAAkB9S,EAAI3T,EAAK4D,EAAO5D,OAGtC8lB,IAAgB,IAggFhBgb,CAAentB,GACfqpB,GAAUrpB,GA7hFd,SAAsBA,GACpB,IAAIwU,EAAUxU,EAAG3H,SAASmc,QACtBA,IACFxU,EAAGua,UAA+B,mBAAZ/F,EAClBA,EAAQtsB,KAAK8X,GACbwU,GAyhFJ4Y,CAAYptB,GACZmiB,GAASniB,EAAI,WASTA,EAAG3H,SAASwH,IACdG,EAAGN,OAAOM,EAAG3H,SAASwH,KAsE5BwtB,CAAUjuB,IAnLV,SAAqBA,GAInB,IAAIkuB,EAAU,CACd,IAAc,WAAc,OAAO33B,KAAK8zB,QACpC8D,EAAW,CACf,IAAe,WAAc,OAAO53B,KAAKwgB,SAazCpuB,OAAO0D,eAAe2T,EAAIpX,UAAW,QAASslC,GAC9CvlC,OAAO0D,eAAe2T,EAAIpX,UAAW,SAAUulC,GAE/CnuB,EAAIpX,UAAUwlC,KAAO30B,GACrBuG,EAAIpX,UAAUylC,QAAUna,GAExBlU,EAAIpX,UAAUwiC,OAAS,SACrBzC,EACA/P,EACAhmB,GAGA,GAAIiW,EAAc+P,GAChB,OAAOmS,GAFAx0B,KAEkBoyB,EAAS/P,EAAIhmB,IAExCA,EAAUA,GAAW,IACbm2B,MAAO,EACf,IAAIf,EAAU,IAAIU,GANTnyB,KAMqBoyB,EAAS/P,EAAIhmB,GAC3C,GAAIA,EAAQ07B,UACV,IACE1V,EAAG9vB,KATEyN,KASOyxB,EAAQr7B,OACpB,MAAO9B,GACPwsB,GAAYxsB,EAXP0L,KAWmB,mCAAuCyxB,EAAkB,WAAI,KAGzF,OAAO,WACLA,EAAQ6B,aAsId0E,CAAWvuB,IAvwCX,SAAsBA,GACpB,IAAIwuB,EAAS,SACbxuB,EAAIpX,UAAU67B,IAAM,SAAU15B,EAAOuE,GACnC,IAAIsR,EAAKrK,KACT,GAAI6S,MAAMra,QAAQhE,GAChB,IAAK,IAAIvC,EAAI,EAAGkB,EAAIqB,EAAMrC,OAAQF,EAAIkB,EAAGlB,IACvCoY,EAAG6jB,IAAI15B,EAAMvC,GAAI8G,QAGlBsR,EAAG+sB,QAAQ5iC,KAAW6V,EAAG+sB,QAAQ5iC,GAAS,KAAK/B,KAAKsG,GAGjDk/B,EAAO1nB,KAAK/b,KACd6V,EAAGymB,eAAgB,GAGvB,OAAOzmB,GAGTZ,EAAIpX,UAAU6lC,MAAQ,SAAU1jC,EAAOuE,GACrC,IAAIsR,EAAKrK,KACT,SAAS6F,IACPwE,EAAGkmB,KAAK/7B,EAAOqR,GACf9M,EAAGwS,MAAMlB,EAAI7P,WAIf,OAFAqL,EAAG9M,GAAKA,EACRsR,EAAG6jB,IAAI15B,EAAOqR,GACPwE,GAGTZ,EAAIpX,UAAUk+B,KAAO,SAAU/7B,EAAOuE,GACpC,IAAIsR,EAAKrK,KAET,IAAKxF,UAAUrI,OAEb,OADAkY,EAAG+sB,QAAUhlC,OAAOqE,OAAO,MACpB4T,EAGT,GAAIwI,MAAMra,QAAQhE,GAAQ,CACxB,IAAK,IAAI2jC,EAAM,EAAGhlC,EAAIqB,EAAMrC,OAAQgmC,EAAMhlC,EAAGglC,IAC3C9tB,EAAGkmB,KAAK/7B,EAAM2jC,GAAMp/B,GAEtB,OAAOsR,EAGT,IASIgY,EATA+V,EAAM/tB,EAAG+sB,QAAQ5iC,GACrB,IAAK4jC,EACH,OAAO/tB,EAET,IAAKtR,EAEH,OADAsR,EAAG+sB,QAAQ5iC,GAAS,KACb6V,EAKT,IADA,IAAIpY,EAAImmC,EAAIjmC,OACLF,KAEL,IADAowB,EAAK+V,EAAInmC,MACE8G,GAAMspB,EAAGtpB,KAAOA,EAAI,CAC7Bq/B,EAAIzkB,OAAO1hB,EAAG,GACd,MAGJ,OAAOoY,GAGTZ,EAAIpX,UAAU0+B,MAAQ,SAAUv8B,GAC9B,IAAI6V,EAAKrK,KAaLo4B,EAAM/tB,EAAG+sB,QAAQ5iC,GACrB,GAAI4jC,EAAK,CACPA,EAAMA,EAAIjmC,OAAS,EAAIqiB,EAAQ4jB,GAAOA,EAGtC,IAFA,IAAItc,EAAOtH,EAAQha,UAAW,GAC1BumB,EAAO,sBAAyBvsB,EAAQ,IACnCvC,EAAI,EAAGkB,EAAIilC,EAAIjmC,OAAQF,EAAIkB,EAAGlB,IACrCmvB,GAAwBgX,EAAInmC,GAAIoY,EAAIyR,EAAMzR,EAAI0W,GAGlD,OAAO1W,GA+qCXguB,CAAY5uB,IApoCZ,SAAyBA,GACvBA,EAAIpX,UAAUimC,QAAU,SAAU7c,EAAOiP,GACvC,IAAIrgB,EAAKrK,KACLu4B,EAASluB,EAAGG,IACZguB,EAAYnuB,EAAGuhB,OACf6M,EAAwB/H,GAAkBrmB,GAC9CA,EAAGuhB,OAASnQ,EAQVpR,EAAGG,IALAguB,EAKMnuB,EAAGquB,UAAUF,EAAW/c,GAHxBpR,EAAGquB,UAAUruB,EAAGG,IAAKiR,EAAOiP,GAAW,GAKlD+N,IAEIF,IACFA,EAAOI,QAAU,MAEftuB,EAAGG,MACLH,EAAGG,IAAImuB,QAAUtuB,GAGfA,EAAGnI,QAAUmI,EAAG4W,SAAW5W,EAAGnI,SAAWmI,EAAG4W,QAAQ2K,SACtDvhB,EAAG4W,QAAQzW,IAAMH,EAAGG,MAMxBf,EAAIpX,UAAU+5B,aAAe,WAClBpsB,KACFgyB,UADEhyB,KAEJgyB,SAASnY,UAIhBpQ,EAAIpX,UAAU66B,SAAW,WACvB,IAAI7iB,EAAKrK,KACT,IAAIqK,EAAGkpB,kBAAP,CAGA/G,GAASniB,EAAI,iBACbA,EAAGkpB,mBAAoB,EAEvB,IAAInxB,EAASiI,EAAG4W,SACZ7e,GAAWA,EAAOmxB,mBAAsBlpB,EAAG3H,SAASysB,UACtD3b,EAAOpR,EAAO6qB,UAAW5iB,GAGvBA,EAAG2nB,UACL3nB,EAAG2nB,SAASsB,WAGd,IADA,IAAIrhC,EAAIoY,EAAGioB,UAAUngC,OACdF,KACLoY,EAAGioB,UAAUrgC,GAAGqhC,WAIdjpB,EAAGypB,MAAM5X,QACX7R,EAAGypB,MAAM5X,OAAOQ,UAGlBrS,EAAGsgB,cAAe,EAElBtgB,EAAGquB,UAAUruB,EAAGuhB,OAAQ,MAExBY,GAASniB,EAAI,aAEbA,EAAGkmB,OAEClmB,EAAGG,MACLH,EAAGG,IAAImuB,QAAU,MAGftuB,EAAGnI,SACLmI,EAAGnI,OAAOE,OAAS,QAujCzBw2B,CAAenvB,IAviDf,SAAsBA,GAEpBmf,GAAqBnf,EAAIpX,WAEzBoX,EAAIpX,UAAUwmC,UAAY,SAAU9/B,GAClC,OAAOqpB,GAASrpB,EAAIiH,OAGtByJ,EAAIpX,UAAUymC,QAAU,WACtB,IAiBIrd,EAjBApR,EAAKrK,KACL+4B,EAAM1uB,EAAG3H,SACTpB,EAASy3B,EAAIz3B,OACb0pB,EAAe+N,EAAI/N,aAEnBA,IACF3gB,EAAGmc,aAAerB,GAChB6F,EAAap5B,KAAKu4B,YAClB9f,EAAGoc,OACHpc,EAAGmc,eAMPnc,EAAGnI,OAAS8oB,EAGZ,IAIE2C,GAA2BtjB,EAC3BoR,EAAQna,EAAO/O,KAAK8X,EAAG2d,aAAc3d,EAAGnF,gBACxC,MAAO9R,GACP0tB,GAAY1tB,EAAGiX,EAAI,UAYjBoR,EAAQpR,EAAGuhB,OAEb,QACA+B,GAA2B,KAmB7B,OAhBI9a,MAAMra,QAAQijB,IAA2B,IAAjBA,EAAMtpB,SAChCspB,EAAQA,EAAM,IAGVA,aAAiBxB,KAQrBwB,EAAQJ,MAGVI,EAAMrZ,OAAS4oB,EACRvP,GAo+CXud,CAAYvvB,IA8MZ,IAAIwvB,GAAe,CAAC/9B,OAAQ2V,OAAQgC,OAiFhCqmB,GAAoB,CACtBC,UAhFc,CACdjkC,KAAM,aACNi6B,UAAU,EAEVxlB,MAAO,CACLyvB,QAASH,GACTI,QAASJ,GACTvb,IAAK,CAACxiB,OAAQiT,SAGhBmrB,QAAS,WACPt5B,KAAKwL,MAAQpZ,OAAOqE,OAAO,MAC3BuJ,KAAK+N,KAAO,IAGdwrB,UAAW,WACT,IAAK,IAAI7iC,KAAOsJ,KAAKwL,MACnBorB,GAAgB52B,KAAKwL,MAAO9U,EAAKsJ,KAAK+N,OAI1CyrB,QAAS,WACP,IAAI3P,EAAS7pB,KAEbA,KAAK60B,OAAO,WAAW,SAAUp8B,GAC/B+9B,GAAW3M,GAAQ,SAAU30B,GAAQ,OAAOohC,GAAQ79B,EAAKvD,SAE3D8K,KAAK60B,OAAO,WAAW,SAAUp8B,GAC/B+9B,GAAW3M,GAAQ,SAAU30B,GAAQ,OAAQohC,GAAQ79B,EAAKvD,UAI9DoM,OAAQ,WACN,IAAI0jB,EAAOhlB,KAAKymB,OAAO1hB,QACnB0W,EAAQ4U,GAAuBrL,GAC/B3K,EAAmBoB,GAASA,EAAMpB,iBACtC,GAAIA,EAAkB,CAEpB,IAAInlB,EAAOmhC,GAAiBhc,GAExB+e,EADMp5B,KACQo5B,QACdC,EAFMr5B,KAEQq5B,QAClB,GAEGD,KAAalkC,IAASohC,GAAQ8C,EAASlkC,KAEvCmkC,GAAWnkC,GAAQohC,GAAQ+C,EAASnkC,GAErC,OAAOumB,EAGT,IACIjQ,EADQxL,KACMwL,MACduC,EAFQ/N,KAEK+N,KACbrX,EAAmB,MAAb+kB,EAAM/kB,IAGZ2jB,EAAiBvB,KAAKwU,KAAOjT,EAAiBH,IAAO,KAAQG,EAAoB,IAAK,IACtFoB,EAAM/kB,IACN8U,EAAM9U,IACR+kB,EAAMf,kBAAoBlP,EAAM9U,GAAKgkB,kBAErClH,EAAOzF,EAAMrX,GACbqX,EAAKtb,KAAKiE,KAEV8U,EAAM9U,GAAO+kB,EACb1N,EAAKtb,KAAKiE,GAENsJ,KAAK0d,KAAO3P,EAAK5b,OAASsnC,SAASz5B,KAAK0d,MAC1CkZ,GAAgBprB,EAAOuC,EAAK,GAAIA,EAAM/N,KAAK4rB,SAI/CnQ,EAAM7pB,KAAKg5B,WAAY,EAEzB,OAAOnP,GAAUuJ,GAAQA,EAAK,OAUlC,SAAwBvb,GAEtB,IAAIiwB,EAAY,CAChB,IAAgB,WAAc,OAAO96B,IAQrCxM,OAAO0D,eAAe2T,EAAK,SAAUiwB,GAKrCjwB,EAAIkwB,KAAO,CACT94B,KAAMA,GACNnG,OAAQA,EACRqkB,aAAcA,GACd6a,eAAgBzc,IAGlB1T,EAAIvG,IAAMA,GACVuG,EAAIowB,OAASlc,GACblU,EAAI2Y,SAAWA,GAGf3Y,EAAIqwB,WAAa,SAAUhhC,GAEzB,OADAikB,GAAQjkB,GACDA,GAGT2Q,EAAIpN,QAAUjK,OAAOqE,OAAO,MAC5Bqf,EAAYjd,SAAQ,SAAU/D,GAC5B2U,EAAIpN,QAAQvH,EAAO,KAAO1C,OAAOqE,OAAO,SAK1CgT,EAAIpN,QAAQijB,MAAQ7V,EAEpB/O,EAAO+O,EAAIpN,QAAQm5B,WAAY0D,IA3UjC,SAAkBzvB,GAChBA,EAAI2sB,IAAM,SAAU2D,GAClB,IAAIC,EAAoBh6B,KAAKi6B,oBAAsBj6B,KAAKi6B,kBAAoB,IAC5E,GAAID,EAAiBl7B,QAAQi7B,IAAW,EACtC,OAAO/5B,KAIT,IAAI8b,EAAOtH,EAAQha,UAAW,GAQ9B,OAPAshB,EAAKoe,QAAQl6B,MACiB,mBAAnB+5B,EAAOI,QAChBJ,EAAOI,QAAQ5uB,MAAMwuB,EAAQje,GACF,mBAAXie,GAChBA,EAAOxuB,MAAM,KAAMuQ,GAErBke,EAAiBvnC,KAAKsnC,GACf/5B,MA6TTo6B,CAAQ3wB,GAvTV,SAAsBA,GACpBA,EAAI0sB,MAAQ,SAAUA,GAEpB,OADAn2B,KAAK3D,QAAU0iB,GAAa/e,KAAK3D,QAAS85B,GACnCn2B,MAqTTq6B,CAAY5wB,GACZisB,GAAWjsB,GApNb,SAA6BA,GAI3BqM,EAAYjd,SAAQ,SAAU/D,GAC5B2U,EAAI3U,GAAQ,SACVuR,EACAi0B,GAEA,OAAKA,GAOU,cAATxlC,GAAwBwd,EAAcgoB,KACxCA,EAAWplC,KAAOolC,EAAWplC,MAAQmR,EACrCi0B,EAAat6B,KAAK3D,QAAQijB,MAAM5kB,OAAO4/B,IAE5B,cAATxlC,GAA8C,mBAAfwlC,IACjCA,EAAa,CAAE3jC,KAAM2jC,EAAYzgB,OAAQygB,IAE3Ct6B,KAAK3D,QAAQvH,EAAO,KAAKuR,GAAMi0B,EACxBA,GAdAt6B,KAAK3D,QAAQvH,EAAO,KAAKuR,OA2MtCk0B,CAAmB9wB,GAGrB+wB,CAAc/wB,IAEdrX,OAAO0D,eAAe2T,GAAIpX,UAAW,YAAa,CAChD2D,IAAKyiB,KAGPrmB,OAAO0D,eAAe2T,GAAIpX,UAAW,cAAe,CAClD2D,IAAK,WAEH,OAAOgK,KAAKkC,QAAUlC,KAAKkC,OAAOC,cAKtC/P,OAAO0D,eAAe2T,GAAK,0BAA2B,CACpDrT,MAAOuzB,KAGTlgB,GAAI1L,QAAU,SAMd,IAAI2Y,GAAiBzD,EAAQ,eAGzBwnB,GAAcxnB,EAAQ,yCACtB6D,GAAc,SAAUoD,EAAKplB,EAAM4lC,GACrC,MACY,UAATA,GAAoBD,GAAYvgB,IAAkB,WAATplB,GAChC,aAAT4lC,GAA+B,WAARxgB,GACd,YAATwgB,GAA8B,UAARxgB,GACb,UAATwgB,GAA4B,UAARxgB,GAIrBygB,GAAmB1nB,EAAQ,wCAE3B2nB,GAA8B3nB,EAAQ,sCAWtC4nB,GAAgB5nB,EAClB,wYAQE6nB,GAAU,+BAEVC,GAAU,SAAU7lC,GACtB,MAA0B,MAAnBA,EAAKwJ,OAAO,IAAmC,UAArBxJ,EAAKmC,MAAM,EAAG,IAG7C2jC,GAAe,SAAU9lC,GAC3B,OAAO6lC,GAAQ7lC,GAAQA,EAAKmC,MAAM,EAAGnC,EAAK/C,QAAU,IAGlD8oC,GAAmB,SAAUxiC,GAC/B,OAAc,MAAPA,IAAuB,IAARA,GAKxB,SAASyiC,GAAkBzf,GAIzB,IAHA,IAAI7pB,EAAO6pB,EAAM7pB,KACbupC,EAAa1f,EACb2f,EAAY3f,EACTvJ,EAAMkpB,EAAU1gB,qBACrB0gB,EAAYA,EAAU1gB,kBAAkBkR,SACvBwP,EAAUxpC,OACzBA,EAAOypC,GAAeD,EAAUxpC,KAAMA,IAG1C,KAAOsgB,EAAMipB,EAAaA,EAAW/4B,SAC/B+4B,GAAcA,EAAWvpC,OAC3BA,EAAOypC,GAAezpC,EAAMupC,EAAWvpC,OAG3C,OAYF,SACEgU,EACA01B,GAEA,GAAIppB,EAAMtM,IAAgBsM,EAAMopB,GAC9B,OAAOr4B,GAAO2C,EAAa21B,GAAeD,IAG5C,MAAO,GApBAE,CAAY5pC,EAAKgU,YAAahU,EAAKyT,OAG5C,SAASg2B,GAAgBjgB,EAAOhZ,GAC9B,MAAO,CACLwD,YAAa3C,GAAOmY,EAAMxV,YAAaxD,EAAOwD,aAC9CP,MAAO6M,EAAMkJ,EAAM/V,OACf,CAAC+V,EAAM/V,MAAOjD,EAAOiD,OACrBjD,EAAOiD,OAef,SAASpC,GAAQtI,EAAGC,GAClB,OAAOD,EAAIC,EAAKD,EAAI,IAAMC,EAAKD,EAAKC,GAAK,GAG3C,SAAS2gC,GAAgBnlC,GACvB,OAAIyc,MAAMra,QAAQpC,GAapB,SAAyBA,GAGvB,IAFA,IACIqlC,EADA5mB,EAAM,GAED5iB,EAAI,EAAGkB,EAAIiD,EAAMjE,OAAQF,EAAIkB,EAAGlB,IACnCigB,EAAMupB,EAAcF,GAAenlC,EAAMnE,MAAwB,KAAhBwpC,IAC/C5mB,IAAOA,GAAO,KAClBA,GAAO4mB,GAGX,OAAO5mB,EArBE6mB,CAAetlC,GAEpBuC,EAASvC,GAsBf,SAA0BA,GACxB,IAAIye,EAAM,GACV,IAAK,IAAIne,KAAON,EACVA,EAAMM,KACJme,IAAOA,GAAO,KAClBA,GAAOne,GAGX,OAAOme,EA7BE8mB,CAAgBvlC,GAEJ,iBAAVA,EACFA,EAGF,GA4BT,IAAIwlC,GAAe,CACjBC,IAAK,6BACLC,KAAM,sCAGJC,GAAY9oB,EACd,snBAeE+oB,GAAQ/oB,EACV,kNAGA,GAKEwD,GAAgB,SAAUyD,GAC5B,OAAO6hB,GAAU7hB,IAAQ8hB,GAAM9hB,IAGjC,SAAStD,GAAiBsD,GACxB,OAAI8hB,GAAM9hB,GACD,MAIG,SAARA,EACK,YADT,EAKF,IAAI+hB,GAAsB7pC,OAAOqE,OAAO,MA0BxC,IAAIylC,GAAkBjpB,EAAQ,6CAO9B,SAASkpB,GAAOjyB,GACd,GAAkB,iBAAPA,EAAiB,CAC1B,IAAIkyB,EAAWvoC,SAASwoC,cAAcnyB,GACtC,OAAKkyB,GAIIvoC,SAASC,cAAc,OAIhC,OAAOoW,EA8DX,IAAIoyB,GAAuBlqC,OAAO2f,OAAO,CACvCje,cAzDF,SAA0ByoC,EAAS9gB,GACjC,IAAIrB,EAAMvmB,SAASC,cAAcyoC,GACjC,MAAgB,WAAZA,GAIA9gB,EAAM7pB,MAAQ6pB,EAAM7pB,KAAK+T,YAAuCvQ,IAA9BqmB,EAAM7pB,KAAK+T,MAAM62B,UACrDpiB,EAAIlmB,aAAa,WAAY,YAJtBkmB,GAuDTqiB,gBA9CF,SAA0Br4B,EAAWm4B,GACnC,OAAO1oC,SAAS4oC,gBAAgBb,GAAax3B,GAAYm4B,IA8CzDra,eA3CF,SAAyB3jB,GACvB,OAAO1K,SAASquB,eAAe3jB,IA2C/Bm+B,cAxCF,SAAwBn+B,GACtB,OAAO1K,SAAS6oC,cAAcn+B,IAwC9Bo+B,aArCF,SAAuBxB,EAAYyB,EAASC,GAC1C1B,EAAWwB,aAAaC,EAASC,IAqCjCC,YAlCF,SAAsBxhB,EAAMF,GAC1BE,EAAKwhB,YAAY1hB,IAkCjB7lB,YA/BF,SAAsB+lB,EAAMF,GAC1BE,EAAK/lB,YAAY6lB,IA+BjB+f,WA5BF,SAAqB7f,GACnB,OAAOA,EAAK6f,YA4BZ4B,YAzBF,SAAsBzhB,GACpB,OAAOA,EAAKyhB,aAyBZR,QAtBF,SAAkBjhB,GAChB,OAAOA,EAAKihB,SAsBZS,eAnBF,SAAyB1hB,EAAM/c,GAC7B+c,EAAK2hB,YAAc1+B,GAmBnB2+B,cAhBF,SAAwB5hB,EAAM5Z,GAC5B4Z,EAAKpnB,aAAawN,EAAS,OAoBzBq3B,GAAM,CACRtiC,OAAQ,SAAiBud,EAAGyH,GAC1B0hB,GAAY1hB,IAEd5B,OAAQ,SAAiBuR,EAAU3P,GAC7B2P,EAASx5B,KAAKmnC,MAAQtd,EAAM7pB,KAAKmnC,MACnCoE,GAAY/R,GAAU,GACtB+R,GAAY1hB,KAGhBmR,QAAS,SAAkBnR,GACzB0hB,GAAY1hB,GAAO,KAIvB,SAAS0hB,GAAa1hB,EAAO2hB,GAC3B,IAAI1mC,EAAM+kB,EAAM7pB,KAAKmnC,IACrB,GAAK7mB,EAAMxb,GAAX,CAEA,IAAI2T,EAAKoR,EAAMxZ,QACX82B,EAAMtd,EAAMf,mBAAqBe,EAAMrB,IACvCijB,EAAOhzB,EAAG6sB,MACVkG,EACEvqB,MAAMra,QAAQ6kC,EAAK3mC,IACrB8c,EAAO6pB,EAAK3mC,GAAMqiC,GACTsE,EAAK3mC,KAASqiC,IACvBsE,EAAK3mC,QAAOtB,GAGVqmB,EAAM7pB,KAAK0rC,SACRzqB,MAAMra,QAAQ6kC,EAAK3mC,IAEb2mC,EAAK3mC,GAAKoI,QAAQi6B,GAAO,GAElCsE,EAAK3mC,GAAKjE,KAAKsmC,GAHfsE,EAAK3mC,GAAO,CAACqiC,GAMfsE,EAAK3mC,GAAOqiC,GAiBlB,IAAIwE,GAAY,IAAItjB,GAAM,GAAI,GAAI,IAE9BsE,GAAQ,CAAC,SAAU,WAAY,SAAU,SAAU,WAEvD,SAASif,GAAW7iC,EAAGC,GACrB,OACED,EAAEjE,MAAQkE,EAAElE,MAERiE,EAAEuf,MAAQtf,EAAEsf,KACZvf,EAAEmgB,YAAclgB,EAAEkgB,WAClB5I,EAAMvX,EAAE/I,QAAUsgB,EAAMtX,EAAEhJ,OAWlC,SAAwB+I,EAAGC,GACzB,GAAc,UAAVD,EAAEuf,IAAmB,OAAO,EAChC,IAAIjoB,EACAwrC,EAAQvrB,EAAMjgB,EAAI0I,EAAE/I,OAASsgB,EAAMjgB,EAAIA,EAAE0T,QAAU1T,EAAE6C,KACrD4oC,EAAQxrB,EAAMjgB,EAAI2I,EAAEhJ,OAASsgB,EAAMjgB,EAAIA,EAAE0T,QAAU1T,EAAE6C,KACzD,OAAO2oC,IAAUC,GAASxB,GAAgBuB,IAAUvB,GAAgBwB,GAf9DC,CAAchjC,EAAGC,IAEjBuX,EAAOxX,EAAEugB,qBACTvgB,EAAE2f,eAAiB1f,EAAE0f,cACrBtI,EAAQpX,EAAE0f,aAAahmB,QAc/B,SAASspC,GAAmBzjB,EAAU0jB,EAAUC,GAC9C,IAAI7rC,EAAGyE,EACHyc,EAAM,GACV,IAAKlhB,EAAI4rC,EAAU5rC,GAAK6rC,IAAU7rC,EAE5BigB,EADJxb,EAAMyjB,EAASloB,GAAGyE,OACAyc,EAAIzc,GAAOzE,GAE/B,OAAOkhB,EAqtBT,IAAIjN,GAAa,CACfzP,OAAQsnC,GACRlkB,OAAQkkB,GACRnR,QAAS,SAA2BnR,GAClCsiB,GAAiBtiB,EAAO8hB,MAI5B,SAASQ,GAAkB3S,EAAU3P,IAC/B2P,EAASx5B,KAAKsU,YAAcuV,EAAM7pB,KAAKsU,aAK7C,SAAkBklB,EAAU3P,GAC1B,IAQI/kB,EAAKsnC,EAAQj1B,EARbk1B,EAAW7S,IAAamS,GACxBW,EAAYziB,IAAU8hB,GACtBY,EAAUC,GAAsBhT,EAASx5B,KAAKsU,WAAYklB,EAASnpB,SACnEo8B,EAAUD,GAAsB3iB,EAAM7pB,KAAKsU,WAAYuV,EAAMxZ,SAE7Dq8B,EAAiB,GACjBC,EAAoB,GAGxB,IAAK7nC,KAAO2nC,EACVL,EAASG,EAAQznC,GACjBqS,EAAMs1B,EAAQ3nC,GACTsnC,GAQHj1B,EAAIqqB,SAAW4K,EAAO5nC,MACtB2S,EAAIy1B,OAASR,EAAOS,IACpBC,GAAW31B,EAAK,SAAU0S,EAAO2P,GAC7BriB,EAAIqO,KAAOrO,EAAIqO,IAAIunB,kBACrBJ,EAAkB9rC,KAAKsW,KAVzB21B,GAAW31B,EAAK,OAAQ0S,EAAO2P,GAC3BriB,EAAIqO,KAAOrO,EAAIqO,IAAI4E,UACrBsiB,EAAe7rC,KAAKsW,IAa1B,GAAIu1B,EAAensC,OAAQ,CACzB,IAAIysC,EAAa,WACf,IAAK,IAAI3sC,EAAI,EAAGA,EAAIqsC,EAAensC,OAAQF,IACzCysC,GAAWJ,EAAersC,GAAI,WAAYwpB,EAAO2P,IAGjD6S,EACFta,GAAelI,EAAO,SAAUmjB,GAEhCA,IAIAL,EAAkBpsC,QACpBwxB,GAAelI,EAAO,aAAa,WACjC,IAAK,IAAIxpB,EAAI,EAAGA,EAAIssC,EAAkBpsC,OAAQF,IAC5CysC,GAAWH,EAAkBtsC,GAAI,mBAAoBwpB,EAAO2P,MAKlE,IAAK6S,EACH,IAAKvnC,KAAOynC,EACLE,EAAQ3nC,IAEXgoC,GAAWP,EAAQznC,GAAM,SAAU00B,EAAUA,EAAU8S,GA3D3D5F,CAAQlN,EAAU3P,GAiEtB,IAAIojB,GAAiBzsC,OAAOqE,OAAO,MAEnC,SAAS2nC,GACPjf,EACA9U,GAEA,IAKIpY,EAAG8W,EALH8L,EAAMziB,OAAOqE,OAAO,MACxB,IAAK0oB,EAEH,OAAOtK,EAGT,IAAK5iB,EAAI,EAAGA,EAAIktB,EAAKhtB,OAAQF,KAC3B8W,EAAMoW,EAAKltB,IACF6sC,YAEP/1B,EAAI+1B,UAAYD,IAElBhqB,EAAIkqB,GAAch2B,IAAQA,EAC1BA,EAAIqO,IAAMuI,GAAatV,EAAG3H,SAAU,aAAcqG,EAAI7T,MAGxD,OAAO2f,EAGT,SAASkqB,GAAeh2B,GACtB,OAAOA,EAAI5C,SAAa4C,EAAQ,KAAI,IAAO3W,OAAO2b,KAAKhF,EAAI+1B,WAAa,IAAI/+B,KAAK,KAGnF,SAAS2+B,GAAY31B,EAAKlH,EAAM4Z,EAAO2P,EAAU8S,GAC/C,IAAInlC,EAAKgQ,EAAIqO,KAAOrO,EAAIqO,IAAIvV,GAC5B,GAAI9I,EACF,IACEA,EAAG0iB,EAAMrB,IAAKrR,EAAK0S,EAAO2P,EAAU8S,GACpC,MAAO9qC,GACP0tB,GAAY1tB,EAAGqoB,EAAMxZ,QAAU,aAAgB8G,EAAQ,KAAI,IAAMlH,EAAO,UAK9E,IAAIm9B,GAAc,CAChBjG,GACA7yB,IAKF,SAAS+4B,GAAa7T,EAAU3P,GAC9B,IAAIlD,EAAOkD,EAAMpB,iBACjB,KAAInI,EAAMqG,KAA4C,IAAnCA,EAAKO,KAAKzc,QAAQ6iC,cAGjCltB,EAAQoZ,EAASx5B,KAAK+T,QAAUqM,EAAQyJ,EAAM7pB,KAAK+T,QAAvD,CAGA,IAAIjP,EAAKsqB,EACL5G,EAAMqB,EAAMrB,IACZ+kB,EAAW/T,EAASx5B,KAAK+T,OAAS,GAClCA,EAAQ8V,EAAM7pB,KAAK+T,OAAS,GAMhC,IAAKjP,KAJDwb,EAAMvM,EAAMuW,UACdvW,EAAQ8V,EAAM7pB,KAAK+T,MAAQjL,EAAO,GAAIiL,IAG5BA,EACVqb,EAAMrb,EAAMjP,GACNyoC,EAASzoC,KACHsqB,GACVoe,GAAQhlB,EAAK1jB,EAAKsqB,GAStB,IAAKtqB,KAHAqhB,GAAQE,IAAWtS,EAAMvP,QAAU+oC,EAAS/oC,OAC/CgpC,GAAQhlB,EAAK,QAASzU,EAAMvP,OAElB+oC,EACNntB,EAAQrM,EAAMjP,MACZqkC,GAAQrkC,GACV0jB,EAAIilB,kBAAkBvE,GAASE,GAAatkC,IAClCikC,GAAiBjkC,IAC3B0jB,EAAIklB,gBAAgB5oC,KAM5B,SAAS0oC,GAASl1B,EAAIxT,EAAKN,GACrB8T,EAAGqyB,QAAQz9B,QAAQ,MAAQ,EAC7BygC,GAAYr1B,EAAIxT,EAAKN,GACZykC,GAAcnkC,GAGnBukC,GAAiB7kC,GACnB8T,EAAGo1B,gBAAgB5oC,IAInBN,EAAgB,oBAARM,GAA4C,UAAfwT,EAAGqyB,QACpC,OACA7lC,EACJwT,EAAGhW,aAAawC,EAAKN,IAEdukC,GAAiBjkC,GAC1BwT,EAAGhW,aAAawC,EA9vCS,SAAUA,EAAKN,GAC1C,OAAO6kC,GAAiB7kC,IAAoB,UAAVA,EAC9B,QAEQ,oBAARM,GAA6BkkC,GAA4BxkC,GACvDA,EACA,OAwvCiBopC,CAAuB9oC,EAAKN,IACxC2kC,GAAQrkC,GACbukC,GAAiB7kC,GACnB8T,EAAGm1B,kBAAkBvE,GAASE,GAAatkC,IAE3CwT,EAAGu1B,eAAe3E,GAASpkC,EAAKN,GAGlCmpC,GAAYr1B,EAAIxT,EAAKN,GAIzB,SAASmpC,GAAar1B,EAAIxT,EAAKN,GAC7B,GAAI6kC,GAAiB7kC,GACnB8T,EAAGo1B,gBAAgB5oC,OACd,CAKL,GACEqhB,IAASC,GACM,aAAf9N,EAAGqyB,SACK,gBAAR7lC,GAAmC,KAAVN,IAAiB8T,EAAGw1B,OAC7C,CACA,IAAIC,EAAU,SAAUvsC,GACtBA,EAAEwsC,2BACF11B,EAAG21B,oBAAoB,QAASF,IAElCz1B,EAAGsO,iBAAiB,QAASmnB,GAE7Bz1B,EAAGw1B,QAAS,EAEdx1B,EAAGhW,aAAawC,EAAKN,IAIzB,IAAIuP,GAAQ,CACVlP,OAAQwoC,GACRplB,OAAQolB,IAKV,SAASa,GAAa1U,EAAU3P,GAC9B,IAAIvR,EAAKuR,EAAMrB,IACXxoB,EAAO6pB,EAAM7pB,KACbmuC,EAAU3U,EAASx5B,KACvB,KACEogB,EAAQpgB,EAAKgU,cACboM,EAAQpgB,EAAKyT,SACX2M,EAAQ+tB,IACN/tB,EAAQ+tB,EAAQn6B,cAChBoM,EAAQ+tB,EAAQ16B,SALtB,CAYA,IAAI26B,EAAM9E,GAAiBzf,GAGvBwkB,EAAkB/1B,EAAGg2B,mBACrBhuB,EAAM+tB,KACRD,EAAM/8B,GAAO+8B,EAAKzE,GAAe0E,KAI/BD,IAAQ91B,EAAGi2B,aACbj2B,EAAGhW,aAAa,QAAS8rC,GACzB91B,EAAGi2B,WAAaH,IAIpB,IA4YIjkB,GAAKhhB,GAAKqlC,GAAKC,GAASC,GAAeC,GA5YvCC,GAAQ,CACV/pC,OAAQqpC,GACRjmB,OAAQimB,IAKNW,GAAsB,gBAE1B,SAASC,GAAcC,GACrB,IAQIjrC,EAAGkrC,EAAM3uC,EAAGmU,EAAYy6B,EARxBC,GAAW,EACXC,GAAW,EACXC,GAAmB,EACnBC,GAAU,EACVC,EAAQ,EACRC,EAAS,EACTC,EAAQ,EACRC,EAAkB,EAGtB,IAAKpvC,EAAI,EAAGA,EAAI0uC,EAAIxuC,OAAQF,IAG1B,GAFA2uC,EAAOlrC,EACPA,EAAIirC,EAAIxpB,WAAWllB,GACf6uC,EACQ,KAANprC,GAAuB,KAATkrC,IAAiBE,GAAW,QACzC,GAAIC,EACC,KAANrrC,GAAuB,KAATkrC,IAAiBG,GAAW,QACzC,GAAIC,EACC,KAANtrC,GAAuB,KAATkrC,IAAiBI,GAAmB,QACjD,GAAIC,EACC,KAANvrC,GAAuB,KAATkrC,IAAiBK,GAAU,QACxC,GACC,MAANvrC,GAC0B,MAA1BirC,EAAIxpB,WAAWllB,EAAI,IACO,MAA1B0uC,EAAIxpB,WAAWllB,EAAI,IAClBivC,GAAUC,GAAWC,EASjB,CACL,OAAQ1rC,GACN,KAAK,GAAMqrC,GAAW,EAAM,MAC5B,KAAK,GAAMD,GAAW,EAAM,MAC5B,KAAK,GAAME,GAAmB,EAAM,MACpC,KAAK,GAAMI,IAAS,MACpB,KAAK,GAAMA,IAAS,MACpB,KAAK,GAAMD,IAAU,MACrB,KAAK,GAAMA,IAAU,MACrB,KAAK,IAAMD,IAAS,MACpB,KAAK,IAAMA,IAEb,GAAU,KAANxrC,EAAY,CAId,IAHA,IAAIm7B,EAAI5+B,EAAI,EACRmC,OAAI,EAEDy8B,GAAK,GAEA,OADVz8B,EAAIusC,EAAIjiC,OAAOmyB,IADFA,KAIVz8B,GAAMqsC,GAAoBlwB,KAAKnc,KAClC6sC,GAAU,cA5BK7rC,IAAfgR,GAEFi7B,EAAkBpvC,EAAI,EACtBmU,EAAau6B,EAAItpC,MAAM,EAAGpF,GAAG6I,QAE7BwmC,IAmCN,SAASA,KACNT,IAAYA,EAAU,KAAKpuC,KAAKkuC,EAAItpC,MAAMgqC,EAAiBpvC,GAAG6I,QAC/DumC,EAAkBpvC,EAAI,EAGxB,QAXmBmD,IAAfgR,EACFA,EAAau6B,EAAItpC,MAAM,EAAGpF,GAAG6I,OACA,IAApBumC,GACTC,IAQET,EACF,IAAK5uC,EAAI,EAAGA,EAAI4uC,EAAQ1uC,OAAQF,IAC9BmU,EAAam7B,GAAWn7B,EAAYy6B,EAAQ5uC,IAIhD,OAAOmU,EAGT,SAASm7B,GAAYZ,EAAKjK,GACxB,IAAIzkC,EAAIykC,EAAO53B,QAAQ,KACvB,GAAI7M,EAAI,EAEN,MAAQ,OAAUykC,EAAS,MAASiK,EAAM,IAE1C,IAAIzrC,EAAOwhC,EAAOr/B,MAAM,EAAGpF,GACvB6pB,EAAO4a,EAAOr/B,MAAMpF,EAAI,GAC5B,MAAQ,OAAUiD,EAAO,MAASyrC,GAAgB,MAAT7kB,EAAe,IAAMA,EAAOA,GASzE,SAAS0lB,GAAUC,EAAKC,GACtBzqC,QAAQ3C,MAAO,mBAAqBmtC,GAItC,SAASE,GACPjvC,EACAgE,GAEA,OAAOhE,EACHA,EAAQygB,KAAI,SAAU1d,GAAK,OAAOA,EAAEiB,MAASggC,QAAO,SAAU1iB,GAAK,OAAOA,KAC1E,GAGN,SAAS4tB,GAAS13B,EAAIhV,EAAMkB,EAAOsrC,EAAOG,IACvC33B,EAAGP,QAAUO,EAAGP,MAAQ,KAAKlX,KAAKqvC,GAAa,CAAE5sC,KAAMA,EAAMkB,MAAOA,EAAOyrC,QAASA,GAAWH,IAChGx3B,EAAG63B,OAAQ,EAGb,SAASC,GAAS93B,EAAIhV,EAAMkB,EAAOsrC,EAAOG,IAC5BA,EACP33B,EAAG+3B,eAAiB/3B,EAAG+3B,aAAe,IACtC/3B,EAAGvE,QAAUuE,EAAGvE,MAAQ,KACvBlT,KAAKqvC,GAAa,CAAE5sC,KAAMA,EAAMkB,MAAOA,EAAOyrC,QAASA,GAAWH,IACxEx3B,EAAG63B,OAAQ,EAIb,SAASG,GAAYh4B,EAAIhV,EAAMkB,EAAOsrC,GACpCx3B,EAAGi4B,SAASjtC,GAAQkB,EACpB8T,EAAGk4B,UAAU3vC,KAAKqvC,GAAa,CAAE5sC,KAAMA,EAAMkB,MAAOA,GAASsrC,IAG/D,SAASW,GACPn4B,EACAhV,EACAiR,EACA/P,EACAqoC,EACA6D,EACAxD,EACA4C,IAECx3B,EAAGhE,aAAegE,EAAGhE,WAAa,KAAKzT,KAAKqvC,GAAa,CACxD5sC,KAAMA,EACNiR,QAASA,EACT/P,MAAOA,EACPqoC,IAAKA,EACL6D,aAAcA,EACdxD,UAAWA,GACV4C,IACHx3B,EAAG63B,OAAQ,EAGb,SAASQ,GAAuB5Z,EAAQzzB,EAAM2sC,GAC5C,OAAOA,EACF,MAAQ3sC,EAAO,KAAQyzB,EAAS,KACjCA,EAASzzB,EAGf,SAASstC,GACPt4B,EACAhV,EACAkB,EACA0oC,EACA2D,EACA5hC,EACA6gC,EACAG,GAiDA,IAAIa,GA/CJ5D,EAAYA,GAAahtB,GAiBX6wB,MACRd,EACF3sC,EAAO,IAAMA,EAAO,8BAAgCA,EAAO,IACzC,UAATA,IACTA,EAAO,qBACA4pC,EAAU6D,OAEV7D,EAAU8D,SACff,EACF3sC,EAAO,IAAMA,EAAO,0BAA4BA,EAAO,IACrC,UAATA,IACTA,EAAO,YAKP4pC,EAAU7b,iBACL6b,EAAU7b,QACjB/tB,EAAOqtC,GAAsB,IAAKrtC,EAAM2sC,IAEtC/C,EAAUlpB,cACLkpB,EAAUlpB,KACjB1gB,EAAOqtC,GAAsB,IAAKrtC,EAAM2sC,IAGtC/C,EAAU/b,iBACL+b,EAAU/b,QACjB7tB,EAAOqtC,GAAsB,IAAKrtC,EAAM2sC,IAItC/C,EAAU+D,eACL/D,EAAU+D,OACjBH,EAASx4B,EAAG44B,eAAiB54B,EAAG44B,aAAe,KAE/CJ,EAASx4B,EAAGw4B,SAAWx4B,EAAGw4B,OAAS,IAGrC,IAAIK,EAAajB,GAAa,CAAE1rC,MAAOA,EAAM0E,OAAQ+mC,QAASA,GAAWH,GACrE5C,IAAchtB,IAChBixB,EAAWjE,UAAYA,GAGzB,IAAIlO,EAAW8R,EAAOxtC,GAElB2d,MAAMra,QAAQo4B,GAChB6R,EAAY7R,EAASsJ,QAAQ6I,GAAcnS,EAASn+B,KAAKswC,GAEzDL,EAAOxtC,GADE07B,EACM6R,EAAY,CAACM,EAAYnS,GAAY,CAACA,EAAUmS,GAEhDA,EAGjB74B,EAAG63B,OAAQ,EAYb,SAASiB,GACP94B,EACAhV,EACA+tC,GAEA,IAAIC,EACFC,GAAiBj5B,EAAI,IAAMhV,IAC3BiuC,GAAiBj5B,EAAI,UAAYhV,GACnC,GAAoB,MAAhBguC,EACF,OAAOxC,GAAawC,GACf,IAAkB,IAAdD,EAAqB,CAC9B,IAAIG,EAAcD,GAAiBj5B,EAAIhV,GACvC,GAAmB,MAAfkuC,EACF,OAAOtwB,KAAKC,UAAUqwB,IAS5B,SAASD,GACPj5B,EACAhV,EACAmuC,GAEA,IAAI5qC,EACJ,GAAiC,OAA5BA,EAAMyR,EAAGi4B,SAASjtC,IAErB,IADA,IAAIke,EAAOlJ,EAAGk4B,UACLnwC,EAAI,EAAGkB,EAAIigB,EAAKjhB,OAAQF,EAAIkB,EAAGlB,IACtC,GAAImhB,EAAKnhB,GAAGiD,OAASA,EAAM,CACzBke,EAAKO,OAAO1hB,EAAG,GACf,MAON,OAHIoxC,UACKn5B,EAAGi4B,SAASjtC,GAEduD,EAGT,SAAS6qC,GACPp5B,EACAhV,GAGA,IADA,IAAIke,EAAOlJ,EAAGk4B,UACLnwC,EAAI,EAAGkB,EAAIigB,EAAKjhB,OAAQF,EAAIkB,EAAGlB,IAAK,CAC3C,IAAIyoC,EAAOtnB,EAAKnhB,GAChB,GAAIiD,EAAKqb,KAAKmqB,EAAKxlC,MAEjB,OADAke,EAAKO,OAAO1hB,EAAG,GACRyoC,GAKb,SAASoH,GACPpuB,EACAguB,GAUA,OARIA,IACiB,MAAfA,EAAMjtB,QACRf,EAAKe,MAAQitB,EAAMjtB,OAEJ,MAAbitB,EAAM6B,MACR7vB,EAAK6vB,IAAM7B,EAAM6B,MAGd7vB,EAQT,SAAS8vB,GACPt5B,EACA9T,EACA0oC,GAEA,IAAI/F,EAAM+F,GAAa,GACnB2E,EAAS1K,EAAI0K,OAIbC,EADsB,MAFf3K,EAAIj+B,OAKb4oC,EACE,8CAIAD,IACFC,EAAkB,MAAQA,EAAkB,KAE9C,IAAIC,EAAaC,GAAkBxtC,EAAOstC,GAE1Cx5B,EAAG0kB,MAAQ,CACTx4B,MAAQ,IAAMA,EAAQ,IACtBgQ,WAAY0M,KAAKC,UAAU3c,GAC3By4B,SAAW,mBAA6C8U,EAAa,KAOzE,SAASC,GACPxtC,EACAutC,GAEA,IAAI9uB,EA2BN,SAAqBpc,GAMnB,GAHAA,EAAMA,EAAIqC,OACVihB,GAAMtjB,EAAItG,OAENsG,EAAIqG,QAAQ,KAAO,GAAKrG,EAAIorC,YAAY,KAAO9nB,GAAM,EAEvD,OADAskB,GAAU5nC,EAAIorC,YAAY,OACX,EACN,CACLlD,IAAKloC,EAAIpB,MAAM,EAAGgpC,IAClB3pC,IAAK,IAAM+B,EAAIpB,MAAMgpC,GAAU,GAAK,KAG/B,CACLM,IAAKloC,EACL/B,IAAK,MAKXqE,GAAMtC,EACN4nC,GAAUC,GAAgBC,GAAmB,EAE7C,MAAQuD,MAGFC,GAFJ3D,GAAM9wB,MAGJ00B,GAAY5D,IACK,KAARA,IACT6D,GAAa7D,IAIjB,MAAO,CACLO,IAAKloC,EAAIpB,MAAM,EAAGipC,IAClB5pC,IAAK+B,EAAIpB,MAAMipC,GAAgB,EAAGC,KA/D1B2D,CAAW9tC,GACrB,OAAgB,OAAZye,EAAIne,IACEN,EAAQ,IAAMutC,EAEd,QAAW9uB,EAAO,IAAI,KAAQA,EAAO,IAAI,KAAO8uB,EAAa,IA+DzE,SAASr0B,KACP,OAAOvU,GAAIoc,aAAakpB,IAG1B,SAASyD,KACP,OAAOzD,IAAWtkB,GAGpB,SAASgoB,GAAe3D,GACtB,OAAe,KAARA,GAAwB,KAARA,EAGzB,SAAS6D,GAAc7D,GACrB,IAAI+D,EAAY,EAEhB,IADA7D,GAAgBD,IACRyD,MAEN,GAAIC,GADJ3D,EAAM9wB,MAEJ00B,GAAY5D,QAKd,GAFY,KAARA,GAAgB+D,IACR,KAAR/D,GAAgB+D,IACF,IAAdA,EAAiB,CACnB5D,GAAmBF,GACnB,OAKN,SAAS2D,GAAa5D,GAEpB,IADA,IAAIgE,EAAchE,GACV0D,OACN1D,EAAM9wB,QACM80B,KAYhB,IAgMIC,GAEJ,SAASC,GAAqB9vC,EAAO6L,EAAS4iB,GAC5C,IAAIuN,EAAU6T,GACd,OAAO,SAAS5T,IACd,IAAI5b,EAAMxU,EAAQkL,MAAM,KAAM/Q,WAClB,OAARqa,GACF0vB,GAAS/vC,EAAOi8B,EAAaxN,EAASuN,IAQ5C,IAAIgU,GAAkBhjB,MAAsBrJ,IAAQhK,OAAOgK,GAAK,KAAO,IAEvE,SAASssB,GACPvvC,EACAmL,EACA4iB,EACAF,GAQA,GAAIyhB,GAAiB,CACnB,IAAIE,EAAoBvT,GACpBtV,EAAWxb,EACfA,EAAUwb,EAAS8oB,SAAW,SAAUvxC,GACtC,GAIEA,EAAE4B,SAAW5B,EAAEwxC,eAEfxxC,EAAEm+B,WAAamT,GAIftxC,EAAEm+B,WAAa,GAIfn+B,EAAE4B,OAAO6vC,gBAAkBhxC,SAE3B,OAAOgoB,EAAStQ,MAAMvL,KAAMxF,YAIlC6pC,GAAS7rB,iBACPtjB,EACAmL,EACAiY,GACI,CAAE2K,QAASA,EAASF,QAASA,GAC7BE,GAIR,SAASshB,GACPrvC,EACAmL,EACA4iB,EACAuN,IAECA,GAAW6T,IAAUxE,oBACpB3qC,EACAmL,EAAQskC,UAAYtkC,EACpB4iB,GAIJ,SAAS6hB,GAAoB1Z,EAAU3P,GACrC,IAAIzJ,EAAQoZ,EAASx5B,KAAKiU,MAAOmM,EAAQyJ,EAAM7pB,KAAKiU,IAApD,CAGA,IAAIA,EAAK4V,EAAM7pB,KAAKiU,IAAM,GACtB0d,EAAQ6H,EAASx5B,KAAKiU,IAAM,GAChCw+B,GAAW5oB,EAAMrB,IAlGnB,SAA0BvU,GAExB,GAAIqM,EAAMrM,EAAc,KAAI,CAE1B,IAAIrR,EAAQujB,EAAO,SAAW,QAC9BlS,EAAGrR,GAAS,GAAGyO,OAAO4C,EAAc,IAAGA,EAAGrR,IAAU,WAC7CqR,EAAc,IAKnBqM,EAAMrM,EAAuB,OAC/BA,EAAGk/B,OAAS,GAAG9hC,OAAO4C,EAAuB,IAAGA,EAAGk/B,QAAU,WACtDl/B,EAAuB,KAsFhCm/B,CAAgBn/B,GAChByd,GAAgBzd,EAAI0d,EAAOkhB,GAAOF,GAAUD,GAAqB7oB,EAAMxZ,SACvEoiC,QAAWjvC,GAGb,IAOI6vC,GAPAvC,GAAS,CACXjsC,OAAQquC,GACRjrB,OAAQirB,IAOV,SAASI,GAAgB9Z,EAAU3P,GACjC,IAAIzJ,EAAQoZ,EAASx5B,KAAK41B,YAAaxV,EAAQyJ,EAAM7pB,KAAK41B,UAA1D,CAGA,IAAI9wB,EAAKsqB,EACL5G,EAAMqB,EAAMrB,IACZ+qB,EAAW/Z,EAASx5B,KAAK41B,UAAY,GACrC7d,EAAQ8R,EAAM7pB,KAAK41B,UAAY,GAMnC,IAAK9wB,KAJDwb,EAAMvI,EAAMuS,UACdvS,EAAQ8R,EAAM7pB,KAAK41B,SAAW9sB,EAAO,GAAIiP,IAG/Bw7B,EACJzuC,KAAOiT,IACXyQ,EAAI1jB,GAAO,IAIf,IAAKA,KAAOiT,EAAO,CAKjB,GAJAqX,EAAMrX,EAAMjT,GAIA,gBAARA,GAAiC,cAARA,EAAqB,CAEhD,GADI+kB,EAAMtB,WAAYsB,EAAMtB,SAAShoB,OAAS,GAC1C6uB,IAAQmkB,EAASzuC,GAAQ,SAGC,IAA1B0jB,EAAIgrB,WAAWjzC,QACjBioB,EAAI0iB,YAAY1iB,EAAIgrB,WAAW,IAInC,GAAY,UAAR1uC,GAAmC,aAAhB0jB,EAAImiB,QAAwB,CAGjDniB,EAAIirB,OAASrkB,EAEb,IAAIskB,EAAStzB,EAAQgP,GAAO,GAAK9lB,OAAO8lB,GACpCukB,GAAkBnrB,EAAKkrB,KACzBlrB,EAAIhkB,MAAQkvC,QAET,GAAY,cAAR5uC,GAAuBslC,GAAM5hB,EAAImiB,UAAYvqB,EAAQoI,EAAIorB,WAAY,EAE9EP,GAAeA,IAAgBpxC,SAASC,cAAc,QACzC0xC,UAAY,QAAUxkB,EAAM,SAEzC,IADA,IAAI6a,EAAMoJ,GAAaQ,WAChBrrB,EAAIqrB,YACTrrB,EAAI0iB,YAAY1iB,EAAIqrB,YAEtB,KAAO5J,EAAI4J,YACTrrB,EAAI7kB,YAAYsmC,EAAI4J,iBAEjB,GAKLzkB,IAAQmkB,EAASzuC,GAIjB,IACE0jB,EAAI1jB,GAAOsqB,EACX,MAAO5tB,OAQf,SAASmyC,GAAmBnrB,EAAKsrB,GAC/B,OAAStrB,EAAIurB,YACK,WAAhBvrB,EAAImiB,SAMR,SAA+BniB,EAAKsrB,GAGlC,IAAIE,GAAa,EAGjB,IAAMA,EAAa/xC,SAASgyC,gBAAkBzrB,EAAO,MAAOhnB,IAC5D,OAAOwyC,GAAcxrB,EAAIhkB,QAAUsvC,EAZjCI,CAAqB1rB,EAAKsrB,IAe9B,SAA+BtrB,EAAKqD,GAClC,IAAIrnB,EAAQgkB,EAAIhkB,MACZ0oC,EAAY1kB,EAAI2rB,YACpB,GAAI7zB,EAAM4sB,GAAY,CACpB,GAAIA,EAAU2E,OACZ,OAAOzwB,EAAS5c,KAAW4c,EAASyK,GAEtC,GAAIqhB,EAAUhkC,KACZ,OAAO1E,EAAM0E,SAAW2iB,EAAO3iB,OAGnC,OAAO1E,IAAUqnB,EAzBfuoB,CAAqB5rB,EAAKsrB,IA4B9B,IAAIle,GAAW,CACb/wB,OAAQyuC,GACRrrB,OAAQqrB,IAKNe,GAAiBpyB,GAAO,SAAUqyB,GACpC,IAAIrxB,EAAM,GAENsxB,EAAoB,QAOxB,OANAD,EAAQtmC,MAFY,iBAES/G,SAAQ,SAAU6a,GAC7C,GAAIA,EAAM,CACR,IAAIwf,EAAMxf,EAAK9T,MAAMumC,GACrBjT,EAAI/gC,OAAS,IAAM0iB,EAAIqe,EAAI,GAAGp4B,QAAUo4B,EAAI,GAAGp4B,YAG5C+Z,KAIT,SAASuxB,GAAoBx0C,GAC3B,IAAIqb,EAAQo5B,GAAsBz0C,EAAKqb,OAGvC,OAAOrb,EAAK00C,YACR5rC,EAAO9I,EAAK00C,YAAar5B,GACzBA,EAIN,SAASo5B,GAAuBE,GAC9B,OAAI1zB,MAAMra,QAAQ+tC,GACT3xB,EAAS2xB,GAEU,iBAAjBA,EACFN,GAAeM,GAEjBA,EAuCT,IAyBIC,GAzBAC,GAAW,MACXC,GAAc,iBACdC,GAAU,SAAUz8B,EAAIhV,EAAMuD,GAEhC,GAAIguC,GAASl2B,KAAKrb,GAChBgV,EAAG+C,MAAM25B,YAAY1xC,EAAMuD,QACtB,GAAIiuC,GAAYn2B,KAAK9X,GAC1ByR,EAAG+C,MAAM25B,YAAYxyB,EAAUlf,GAAOuD,EAAIuC,QAAQ0rC,GAAa,IAAK,iBAC/D,CACL,IAAIG,EAAiBC,GAAU5xC,GAC/B,GAAI2d,MAAMra,QAAQC,GAIhB,IAAK,IAAIxG,EAAI,EAAG8pB,EAAMtjB,EAAItG,OAAQF,EAAI8pB,EAAK9pB,IACzCiY,EAAG+C,MAAM45B,GAAkBpuC,EAAIxG,QAGjCiY,EAAG+C,MAAM45B,GAAkBpuC,IAK7BsuC,GAAc,CAAC,SAAU,MAAO,MAGhCD,GAAYjzB,GAAO,SAAUqM,GAG/B,GAFAsmB,GAAaA,IAAc3yC,SAASC,cAAc,OAAOmZ,MAE5C,YADbiT,EAAOnM,EAASmM,KACUA,KAAQsmB,GAChC,OAAOtmB,EAGT,IADA,IAAI8mB,EAAU9mB,EAAKxhB,OAAO,GAAGuV,cAAgBiM,EAAK7oB,MAAM,GAC/CpF,EAAI,EAAGA,EAAI80C,GAAY50C,OAAQF,IAAK,CAC3C,IAAIiD,EAAO6xC,GAAY90C,GAAK+0C,EAC5B,GAAI9xC,KAAQsxC,GACV,OAAOtxC,MAKb,SAAS+xC,GAAa7b,EAAU3P,GAC9B,IAAI7pB,EAAO6pB,EAAM7pB,KACbmuC,EAAU3U,EAASx5B,KAEvB,KAAIogB,EAAQpgB,EAAK00C,cAAgBt0B,EAAQpgB,EAAKqb,QAC5C+E,EAAQ+tB,EAAQuG,cAAgBt0B,EAAQ+tB,EAAQ9yB,QADlD,CAMA,IAAI+T,EAAK9rB,EACLgV,EAAKuR,EAAMrB,IACX8sB,EAAiBnH,EAAQuG,YACzBa,EAAkBpH,EAAQqH,iBAAmBrH,EAAQ9yB,OAAS,GAG9Do6B,EAAWH,GAAkBC,EAE7Bl6B,EAAQo5B,GAAsB5qB,EAAM7pB,KAAKqb,QAAU,GAKvDwO,EAAM7pB,KAAKw1C,gBAAkBl1B,EAAMjF,EAAMiP,QACrCxhB,EAAO,GAAIuS,GACXA,EAEJ,IAAIq6B,EApGN,SAAmB7rB,EAAO8rB,GACxB,IACIC,EADA3yB,EAAM,GAGV,GAAI0yB,EAEF,IADA,IAAInM,EAAY3f,EACT2f,EAAU1gB,oBACf0gB,EAAYA,EAAU1gB,kBAAkBkR,SAEzBwP,EAAUxpC,OACtB41C,EAAYpB,GAAmBhL,EAAUxpC,QAE1C8I,EAAOma,EAAK2yB,IAKbA,EAAYpB,GAAmB3qB,EAAM7pB,QACxC8I,EAAOma,EAAK2yB,GAId,IADA,IAAIrM,EAAa1f,EACT0f,EAAaA,EAAW/4B,QAC1B+4B,EAAWvpC,OAAS41C,EAAYpB,GAAmBjL,EAAWvpC,QAChE8I,EAAOma,EAAK2yB,GAGhB,OAAO3yB,EAyEQ4yB,CAAShsB,GAAO,GAE/B,IAAKvmB,KAAQmyC,EACPr1B,EAAQs1B,EAASpyC,KACnByxC,GAAQz8B,EAAIhV,EAAM,IAGtB,IAAKA,KAAQoyC,GACXtmB,EAAMsmB,EAASpyC,MACHmyC,EAASnyC,IAEnByxC,GAAQz8B,EAAIhV,EAAa,MAAP8rB,EAAc,GAAKA,IAK3C,IAAI/T,GAAQ,CACVxW,OAAQwwC,GACRptB,OAAQotB,IAKNS,GAAe,MAMnB,SAASC,GAAUz9B,EAAI81B,GAErB,GAAKA,IAASA,EAAMA,EAAIllC,QAKxB,GAAIoP,EAAG09B,UACD5H,EAAIlhC,QAAQ,MAAQ,EACtBkhC,EAAIpgC,MAAM8nC,IAAc7uC,SAAQ,SAAUnD,GAAK,OAAOwU,EAAG09B,UAAUrlC,IAAI7M,MAEvEwU,EAAG09B,UAAUrlC,IAAIy9B,OAEd,CACL,IAAIhf,EAAM,KAAO9W,EAAG29B,aAAa,UAAY,IAAM,IAC/C7mB,EAAIliB,QAAQ,IAAMkhC,EAAM,KAAO,GACjC91B,EAAGhW,aAAa,SAAU8sB,EAAMgf,GAAKllC,SAS3C,SAASgtC,GAAa59B,EAAI81B,GAExB,GAAKA,IAASA,EAAMA,EAAIllC,QAKxB,GAAIoP,EAAG09B,UACD5H,EAAIlhC,QAAQ,MAAQ,EACtBkhC,EAAIpgC,MAAM8nC,IAAc7uC,SAAQ,SAAUnD,GAAK,OAAOwU,EAAG09B,UAAUp0B,OAAO9d,MAE1EwU,EAAG09B,UAAUp0B,OAAOwsB,GAEjB91B,EAAG09B,UAAUz1C,QAChB+X,EAAGo1B,gBAAgB,aAEhB,CAGL,IAFA,IAAIte,EAAM,KAAO9W,EAAG29B,aAAa,UAAY,IAAM,IAC/CE,EAAM,IAAM/H,EAAM,IACfhf,EAAIliB,QAAQipC,IAAQ,GACzB/mB,EAAMA,EAAIhmB,QAAQ+sC,EAAK,MAEzB/mB,EAAMA,EAAIlmB,QAERoP,EAAGhW,aAAa,QAAS8sB,GAEzB9W,EAAGo1B,gBAAgB,UAOzB,SAAS0I,GAAmB5oB,GAC1B,GAAKA,EAAL,CAIA,GAAsB,iBAAXA,EAAqB,CAC9B,IAAIvK,EAAM,GAKV,OAJmB,IAAfuK,EAAO6oB,KACTvtC,EAAOma,EAAKqzB,GAAkB9oB,EAAOlqB,MAAQ,MAE/CwF,EAAOma,EAAKuK,GACLvK,EACF,MAAsB,iBAAXuK,EACT8oB,GAAkB9oB,QADpB,GAKT,IAAI8oB,GAAoBr0B,GAAO,SAAU3e,GACvC,MAAO,CACLizC,WAAajzC,EAAO,SACpBkzC,aAAelzC,EAAO,YACtBmzC,iBAAmBnzC,EAAO,gBAC1BozC,WAAapzC,EAAO,SACpBqzC,aAAerzC,EAAO,YACtBszC,iBAAmBtzC,EAAO,oBAI1BuzC,GAAgBjxB,IAAcQ,EAK9B0wB,GAAiB,aACjBC,GAAqB,gBACrBC,GAAgB,YAChBC,GAAoB,eACpBJ,UAE6BrzC,IAA3B+B,OAAO2xC,sBACwB1zC,IAAjC+B,OAAO4xC,wBAEPL,GAAiB,mBACjBC,GAAqB,4BAEOvzC,IAA1B+B,OAAO6xC,qBACuB5zC,IAAhC+B,OAAO8xC,uBAEPL,GAAgB,kBAChBC,GAAoB,uBAKxB,IAAIK,GAAM1xB,EACNrgB,OAAOgyC,sBACLhyC,OAAOgyC,sBAAsBxyC,KAAKQ,QAClC9B,WACyB,SAAU0D,GAAM,OAAOA,KAEtD,SAASqwC,GAAWrwC,GAClBmwC,IAAI,WACFA,GAAInwC,MAIR,SAASswC,GAAoBn/B,EAAI81B,GAC/B,IAAIsJ,EAAoBp/B,EAAGg2B,qBAAuBh2B,EAAGg2B,mBAAqB,IACtEoJ,EAAkBxqC,QAAQkhC,GAAO,IACnCsJ,EAAkB72C,KAAKutC,GACvB2H,GAASz9B,EAAI81B,IAIjB,SAASuJ,GAAuBr/B,EAAI81B,GAC9B91B,EAAGg2B,oBACL1sB,EAAOtJ,EAAGg2B,mBAAoBF,GAEhC8H,GAAY59B,EAAI81B,GAGlB,SAASwJ,GACPt/B,EACAu/B,EACApnB,GAEA,IAAI0W,EAAM2Q,GAAkBx/B,EAAIu/B,GAC5B30C,EAAOikC,EAAIjkC,KACXd,EAAU+kC,EAAI/kC,QACd21C,EAAY5Q,EAAI4Q,UACpB,IAAK70C,EAAQ,OAAOutB,IACpB,IAAI7tB,EA9DW,eA8DHM,EAAsB6zC,GAAqBE,GACnDe,EAAQ,EACRrG,EAAM,WACRr5B,EAAG21B,oBAAoBrrC,EAAOq1C,GAC9BxnB,KAEEwnB,EAAQ,SAAUz2C,GAChBA,EAAE4B,SAAWkV,KACT0/B,GAASD,GACbpG,KAINluC,YAAW,WACLu0C,EAAQD,GACVpG,MAEDvvC,EAAU,GACbkW,EAAGsO,iBAAiBhkB,EAAOq1C,GAG7B,IAAIC,GAAc,yBAElB,SAASJ,GAAmBx/B,EAAIu/B,GAC9B,IASI30C,EATAi1C,EAAS5yC,OAAO6yC,iBAAiB9/B,GAEjC+/B,GAAoBF,EAAOrB,GAAiB,UAAY,IAAI9oC,MAAM,MAClEsqC,GAAuBH,EAAOrB,GAAiB,aAAe,IAAI9oC,MAAM,MACxEuqC,EAAoBC,GAAWH,EAAkBC,GACjDG,GAAmBN,EAAOnB,GAAgB,UAAY,IAAIhpC,MAAM,MAChE0qC,GAAsBP,EAAOnB,GAAgB,aAAe,IAAIhpC,MAAM,MACtE2qC,EAAmBH,GAAWC,EAAiBC,GAG/Ct2C,EAAU,EACV21C,EAAY,EA8BhB,MA/He,eAmGXF,EACEU,EAAoB,IACtBr1C,EArGW,aAsGXd,EAAUm2C,EACVR,EAAYO,EAAoB/3C,QAtGtB,cAwGHs3C,EACLc,EAAmB,IACrBz1C,EA1GU,YA2GVd,EAAUu2C,EACVZ,EAAYW,EAAmBn4C,QASjCw3C,GALA70C,GADAd,EAAUkE,KAAKwlB,IAAIysB,EAAmBI,IACrB,EACbJ,EAAoBI,EAlHX,aACD,YAoHR,MArHS,eAuHTz1C,EACEo1C,EAAoB/3C,OACpBm4C,EAAmBn4C,OACrB,EAKC,CACL2C,KAAMA,EACNd,QAASA,EACT21C,UAAWA,EACXa,aAnIa,eA6Hb11C,GACAg1C,GAAYv5B,KAAKw5B,EAAOrB,GAAiB,cAS7C,SAAS0B,GAAYK,EAAQC,GAE3B,KAAOD,EAAOt4C,OAASu4C,EAAUv4C,QAC/Bs4C,EAASA,EAAOxnC,OAAOwnC,GAGzB,OAAOvyC,KAAKwlB,IAAInS,MAAM,KAAMm/B,EAAUv3B,KAAI,SAAUxd,EAAG1D,GACrD,OAAO04C,GAAKh1C,GAAKg1C,GAAKF,EAAOx4C,QAQjC,SAAS04C,GAAMrzC,GACb,OAAkD,IAA3C6W,OAAO7W,EAAED,MAAM,GAAI,GAAG2D,QAAQ,IAAK,MAK5C,SAAS4vC,GAAOnvB,EAAOovB,GACrB,IAAI3gC,EAAKuR,EAAMrB,IAGXlI,EAAMhI,EAAG4gC,YACX5gC,EAAG4gC,SAASC,WAAY,EACxB7gC,EAAG4gC,YAGL,IAAIl5C,EAAOo2C,GAAkBvsB,EAAM7pB,KAAKo5C,YACxC,IAAIh5B,EAAQpgB,KAKRsgB,EAAMhI,EAAG+gC,WAA6B,IAAhB/gC,EAAGghC,SAA7B,CA4BA,IAxBA,IAAIjD,EAAMr2C,EAAKq2C,IACXnzC,EAAOlD,EAAKkD,KACZqzC,EAAav2C,EAAKu2C,WAClBC,EAAex2C,EAAKw2C,aACpBC,EAAmBz2C,EAAKy2C,iBACxB8C,EAAcv5C,EAAKu5C,YACnBC,EAAgBx5C,EAAKw5C,cACrBC,EAAoBz5C,EAAKy5C,kBACzBC,EAAc15C,EAAK05C,YACnBV,EAAQh5C,EAAKg5C,MACbW,EAAa35C,EAAK25C,WAClBC,EAAiB55C,EAAK45C,eACtBC,EAAe75C,EAAK65C,aACpBC,EAAS95C,EAAK85C,OACdC,EAAc/5C,EAAK+5C,YACnBC,EAAkBh6C,EAAKg6C,gBACvBC,EAAWj6C,EAAKi6C,SAMhB5pC,EAAUkpB,GACV2gB,EAAiB3gB,GAAejpB,OAC7B4pC,GAAkBA,EAAe1pC,QACtCH,EAAU6pC,EAAe7pC,QACzB6pC,EAAiBA,EAAe1pC,OAGlC,IAAI2pC,GAAY9pC,EAAQsqB,aAAe9Q,EAAMZ,aAE7C,IAAIkxB,GAAaL,GAAqB,KAAXA,EAA3B,CAIA,IAAIM,EAAaD,GAAYZ,EACzBA,EACAhD,EACA8D,EAAcF,GAAYV,EAC1BA,EACAhD,EACA6D,EAAUH,GAAYX,EACtBA,EACAhD,EAEA+D,EAAkBJ,GACjBN,GACDH,EACAc,EAAYL,GACO,mBAAXL,EAAwBA,EAChCd,EACAyB,EAAiBN,GAChBJ,GACDJ,EACAe,EAAqBP,GACpBH,GACDJ,EAEAe,EAAwBv5B,EAC1Bra,EAASkzC,GACLA,EAASjB,MACTiB,GAGF,EAIJ,IAAIW,GAAqB,IAARvE,IAAkBjwB,EAC/By0B,EAAmBC,GAAuBN,GAE1C/pB,EAAKnY,EAAG+gC,SAAWr1B,GAAK,WACtB42B,IACFjD,GAAsBr/B,EAAIgiC,GAC1B3C,GAAsBr/B,EAAI+hC,IAExB5pB,EAAG0oB,WACDyB,GACFjD,GAAsBr/B,EAAI8hC,GAE5BM,GAAsBA,EAAmBpiC,IAEzCmiC,GAAkBA,EAAeniC,GAEnCA,EAAG+gC,SAAW,QAGXxvB,EAAM7pB,KAAK+6C,MAEdhpB,GAAelI,EAAO,UAAU,WAC9B,IAAIrZ,EAAS8H,EAAGixB,WACZyR,EAAcxqC,GAAUA,EAAOyqC,UAAYzqC,EAAOyqC,SAASpxB,EAAM/kB,KACjEk2C,GACFA,EAAY1yB,MAAQuB,EAAMvB,KAC1B0yB,EAAYxyB,IAAI0wB,UAEhB8B,EAAYxyB,IAAI0wB,WAElBsB,GAAaA,EAAUliC,EAAImY,MAK/B8pB,GAAmBA,EAAgBjiC,GAC/BsiC,IACFnD,GAAmBn/B,EAAI8hC,GACvB3C,GAAmBn/B,EAAI+hC,GACvB7C,IAAU,WACRG,GAAsBr/B,EAAI8hC,GACrB3pB,EAAG0oB,YACN1B,GAAmBn/B,EAAIgiC,GAClBO,IACCK,GAAgBP,GAClBl3C,WAAWgtB,EAAIkqB,GAEf/C,GAAmBt/B,EAAIpV,EAAMutB,SAOnC5G,EAAM7pB,KAAK+6C,OACb9B,GAAiBA,IACjBuB,GAAaA,EAAUliC,EAAImY,IAGxBmqB,GAAeC,GAClBpqB,MAIJ,SAAS0qB,GAAOtxB,EAAOuxB,GACrB,IAAI9iC,EAAKuR,EAAMrB,IAGXlI,EAAMhI,EAAG+gC,YACX/gC,EAAG+gC,SAASF,WAAY,EACxB7gC,EAAG+gC,YAGL,IAAIr5C,EAAOo2C,GAAkBvsB,EAAM7pB,KAAKo5C,YACxC,GAAIh5B,EAAQpgB,IAAyB,IAAhBsY,EAAGghC,SACtB,OAAO8B,IAIT,IAAI96B,EAAMhI,EAAG4gC,UAAb,CAIA,IAAI7C,EAAMr2C,EAAKq2C,IACXnzC,EAAOlD,EAAKkD,KACZwzC,EAAa12C,EAAK02C,WAClBC,EAAe32C,EAAK22C,aACpBC,EAAmB52C,EAAK42C,iBACxByE,EAAcr7C,EAAKq7C,YACnBF,EAAQn7C,EAAKm7C,MACbG,EAAat7C,EAAKs7C,WAClBC,EAAiBv7C,EAAKu7C,eACtBC,EAAax7C,EAAKw7C,WAClBvB,EAAWj6C,EAAKi6C,SAEhBW,GAAqB,IAARvE,IAAkBjwB,EAC/By0B,EAAmBC,GAAuBK,GAE1CM,EAAwBr6B,EAC1Bra,EAASkzC,GACLA,EAASkB,MACTlB,GAGF,EAIJ,IAAIxpB,EAAKnY,EAAG4gC,SAAWl1B,GAAK,WACtB1L,EAAGixB,YAAcjxB,EAAGixB,WAAW0R,WACjC3iC,EAAGixB,WAAW0R,SAASpxB,EAAM/kB,KAAO,MAElC81C,IACFjD,GAAsBr/B,EAAIq+B,GAC1BgB,GAAsBr/B,EAAIs+B,IAExBnmB,EAAG0oB,WACDyB,GACFjD,GAAsBr/B,EAAIo+B,GAE5B6E,GAAkBA,EAAejjC,KAEjC8iC,IACAE,GAAcA,EAAWhjC,IAE3BA,EAAG4gC,SAAW,QAGZsC,EACFA,EAAWE,GAEXA,IAGF,SAASA,IAEHjrB,EAAG0oB,aAIFtvB,EAAM7pB,KAAK+6C,MAAQziC,EAAGixB,cACxBjxB,EAAGixB,WAAW0R,WAAa3iC,EAAGixB,WAAW0R,SAAW,KAAMpxB,EAAS,KAAKA,GAE3EwxB,GAAeA,EAAY/iC,GACvBsiC,IACFnD,GAAmBn/B,EAAIo+B,GACvBe,GAAmBn/B,EAAIs+B,GACvBY,IAAU,WACRG,GAAsBr/B,EAAIo+B,GACrBjmB,EAAG0oB,YACN1B,GAAmBn/B,EAAIq+B,GAClBkE,IACCK,GAAgBO,GAClBh4C,WAAWgtB,EAAIgrB,GAEf7D,GAAmBt/B,EAAIpV,EAAMutB,SAMvC0qB,GAASA,EAAM7iC,EAAImY,GACdmqB,GAAeC,GAClBpqB,MAsBN,SAASyqB,GAAiBr0C,GACxB,MAAsB,iBAARA,IAAqB+L,MAAM/L,GAS3C,SAASi0C,GAAwB3zC,GAC/B,GAAIiZ,EAAQjZ,GACV,OAAO,EAET,IAAIw0C,EAAax0C,EAAGoqB,IACpB,OAAIjR,EAAMq7B,GAEDb,GACL75B,MAAMra,QAAQ+0C,GACVA,EAAW,GACXA,IAGEx0C,EAAGwb,SAAWxb,EAAG5G,QAAU,EAIvC,SAASq7C,GAAQx5B,EAAGyH,IACM,IAApBA,EAAM7pB,KAAK+6C,MACb/B,GAAMnvB,GAIV,IA4BIgyB,GA9iFJ,SAA8BC,GAC5B,IAAIz7C,EAAG4+B,EACHuH,EAAM,GAEN1lC,EAAUg7C,EAAQh7C,QAClB4pC,EAAUoR,EAAQpR,QAEtB,IAAKrqC,EAAI,EAAGA,EAAIssB,GAAMpsB,SAAUF,EAE9B,IADAmmC,EAAI7Z,GAAMtsB,IAAM,GACX4+B,EAAI,EAAGA,EAAIn+B,EAAQP,SAAU0+B,EAC5B3e,EAAMxf,EAAQm+B,GAAGtS,GAAMtsB,MACzBmmC,EAAI7Z,GAAMtsB,IAAIQ,KAAKC,EAAQm+B,GAAGtS,GAAMtsB,KAmB1C,SAAS07C,EAAYzjC,GACnB,IAAI9H,EAASk6B,EAAQnB,WAAWjxB,GAE5BgI,EAAM9P,IACRk6B,EAAQQ,YAAY16B,EAAQ8H,GAsBhC,SAAS0jC,EACPnyB,EACAoyB,EACAC,EACAC,EACAC,EACAC,EACAp8B,GAYA,GAVIK,EAAMuJ,EAAMrB,MAAQlI,EAAM+7B,KAM5BxyB,EAAQwyB,EAAWp8B,GAAS2J,GAAWC,IAGzCA,EAAMZ,cAAgBmzB,GAiDxB,SAA0BvyB,EAAOoyB,EAAoBC,EAAWC,GAC9D,IAAI97C,EAAIwpB,EAAM7pB,KACd,GAAIsgB,EAAMjgB,GAAI,CACZ,IAAIi8C,EAAgBh8B,EAAMuJ,EAAMf,oBAAsBzoB,EAAE24B,UAQxD,GAPI1Y,EAAMjgB,EAAIA,EAAE4P,OAASqQ,EAAMjgB,EAAIA,EAAEw4B,OACnCx4B,EAAEwpB,GAAO,GAMPvJ,EAAMuJ,EAAMf,mBAMd,OALAyzB,EAAc1yB,EAAOoyB,GACrBvhB,EAAOwhB,EAAWryB,EAAMrB,IAAK2zB,GACzB57B,EAAO+7B,IA0BjB,SAA8BzyB,EAAOoyB,EAAoBC,EAAWC,GAClE,IAAI97C,EAKAm8C,EAAY3yB,EAChB,KAAO2yB,EAAU1zB,mBAEf,GADA0zB,EAAYA,EAAU1zB,kBAAkBkR,OACpC1Z,EAAMjgB,EAAIm8C,EAAUx8C,OAASsgB,EAAMjgB,EAAIA,EAAE+4C,YAAa,CACxD,IAAK/4C,EAAI,EAAGA,EAAImmC,EAAIiW,SAASl8C,SAAUF,EACrCmmC,EAAIiW,SAASp8C,GAAGsrC,GAAW6Q,GAE7BP,EAAmBp7C,KAAK27C,GACxB,MAKJ9hB,EAAOwhB,EAAWryB,EAAMrB,IAAK2zB,GA5CvBO,CAAoB7yB,EAAOoyB,EAAoBC,EAAWC,IAErD,GAjEP3gB,CAAgB3R,EAAOoyB,EAAoBC,EAAWC,GAA1D,CAIA,IAAIn8C,EAAO6pB,EAAM7pB,KACbuoB,EAAWsB,EAAMtB,SACjBD,EAAMuB,EAAMvB,IACZhI,EAAMgI,IAeRuB,EAAMrB,IAAMqB,EAAMjlB,GACd8lC,EAAQG,gBAAgBhhB,EAAMjlB,GAAI0jB,GAClCoiB,EAAQxoC,cAAcomB,EAAKuB,GAC/B8yB,EAAS9yB,GAIP+yB,EAAe/yB,EAAOtB,EAAU0zB,GAC5B37B,EAAMtgB,IACR68C,EAAkBhzB,EAAOoyB,GAE3BvhB,EAAOwhB,EAAWryB,EAAMrB,IAAK2zB,IAMtB57B,EAAOsJ,EAAMX,YACtBW,EAAMrB,IAAMkiB,EAAQI,cAAcjhB,EAAMld,MACxC+tB,EAAOwhB,EAAWryB,EAAMrB,IAAK2zB,KAE7BtyB,EAAMrB,IAAMkiB,EAAQpa,eAAezG,EAAMld,MACzC+tB,EAAOwhB,EAAWryB,EAAMrB,IAAK2zB,KA0BjC,SAASI,EAAe1yB,EAAOoyB,GACzB37B,EAAMuJ,EAAM7pB,KAAK88C,iBACnBb,EAAmBp7C,KAAK8Y,MAAMsiC,EAAoBpyB,EAAM7pB,KAAK88C,eAC7DjzB,EAAM7pB,KAAK88C,cAAgB,MAE7BjzB,EAAMrB,IAAMqB,EAAMf,kBAAkBlQ,IAChCmkC,EAAYlzB,IACdgzB,EAAkBhzB,EAAOoyB,GACzBU,EAAS9yB,KAIT0hB,GAAY1hB,GAEZoyB,EAAmBp7C,KAAKgpB,IA0B5B,SAAS6Q,EAAQlqB,EAAQgY,EAAKw0B,GACxB18B,EAAM9P,KACJ8P,EAAM08B,GACJtS,EAAQnB,WAAWyT,KAAYxsC,GACjCk6B,EAAQK,aAAav6B,EAAQgY,EAAKw0B,GAGpCtS,EAAQ/mC,YAAY6M,EAAQgY,IAKlC,SAASo0B,EAAgB/yB,EAAOtB,EAAU0zB,GACxC,GAAIh7B,MAAMra,QAAQ2hB,GAAW,CACvB,EAGJ,IAAK,IAAIloB,EAAI,EAAGA,EAAIkoB,EAAShoB,SAAUF,EACrC27C,EAAUzzB,EAASloB,GAAI47C,EAAoBpyB,EAAMrB,IAAK,MAAM,EAAMD,EAAUloB,QAErEmgB,EAAYqJ,EAAMld,OAC3B+9B,EAAQ/mC,YAAYkmB,EAAMrB,IAAKkiB,EAAQpa,eAAehnB,OAAOugB,EAAMld,QAIvE,SAASowC,EAAalzB,GACpB,KAAOA,EAAMf,mBACXe,EAAQA,EAAMf,kBAAkBkR,OAElC,OAAO1Z,EAAMuJ,EAAMvB,KAGrB,SAASu0B,EAAmBhzB,EAAOoyB,GACjC,IAAK,IAAI1V,EAAM,EAAGA,EAAMC,EAAI3hC,OAAOtE,SAAUgmC,EAC3CC,EAAI3hC,OAAO0hC,GAAKoF,GAAW9hB,GAGzBvJ,EADJjgB,EAAIwpB,EAAM7pB,KAAKiQ,QAETqQ,EAAMjgB,EAAEwE,SAAWxE,EAAEwE,OAAO8mC,GAAW9hB,GACvCvJ,EAAMjgB,EAAEq6B,SAAWuhB,EAAmBp7C,KAAKgpB,IAOnD,SAAS8yB,EAAU9yB,GACjB,IAAIxpB,EACJ,GAAIigB,EAAMjgB,EAAIwpB,EAAMhB,WAClB6hB,EAAQY,cAAczhB,EAAMrB,IAAKnoB,QAGjC,IADA,IAAI48C,EAAWpzB,EACRozB,GACD38B,EAAMjgB,EAAI48C,EAAS5sC,UAAYiQ,EAAMjgB,EAAIA,EAAEyQ,SAASV,WACtDs6B,EAAQY,cAAczhB,EAAMrB,IAAKnoB,GAEnC48C,EAAWA,EAASzsC,OAIpB8P,EAAMjgB,EAAIk5B,KACZl5B,IAAMwpB,EAAMxZ,SACZhQ,IAAMwpB,EAAMlB,WACZrI,EAAMjgB,EAAIA,EAAEyQ,SAASV,WAErBs6B,EAAQY,cAAczhB,EAAMrB,IAAKnoB,GAIrC,SAAS68C,EAAWhB,EAAWC,EAAQ/e,EAAQ+f,EAAUjR,EAAQ+P,GAC/D,KAAOkB,GAAYjR,IAAUiR,EAC3BnB,EAAU5e,EAAO+f,GAAWlB,EAAoBC,EAAWC,GAAQ,EAAO/e,EAAQ+f,GAItF,SAASC,EAAmBvzB,GAC1B,IAAIxpB,EAAG4+B,EACHj/B,EAAO6pB,EAAM7pB,KACjB,GAAIsgB,EAAMtgB,GAER,IADIsgB,EAAMjgB,EAAIL,EAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAE26B,UAAY36B,EAAEwpB,GACjDxpB,EAAI,EAAGA,EAAImmC,EAAIxL,QAAQz6B,SAAUF,EAAKmmC,EAAIxL,QAAQ36B,GAAGwpB,GAE5D,GAAIvJ,EAAMjgB,EAAIwpB,EAAMtB,UAClB,IAAK0W,EAAI,EAAGA,EAAIpV,EAAMtB,SAAShoB,SAAU0+B,EACvCme,EAAkBvzB,EAAMtB,SAAS0W,IAKvC,SAASoe,EAAcjgB,EAAQ+f,EAAUjR,GACvC,KAAOiR,GAAYjR,IAAUiR,EAAU,CACrC,IAAIG,EAAKlgB,EAAO+f,GACZ78B,EAAMg9B,KACJh9B,EAAMg9B,EAAGh1B,MACXi1B,EAA0BD,GAC1BF,EAAkBE,IAElBvB,EAAWuB,EAAG90B,OAMtB,SAAS+0B,EAA2B1zB,EAAOuxB,GACzC,GAAI96B,EAAM86B,IAAO96B,EAAMuJ,EAAM7pB,MAAO,CAClC,IAAIK,EACAg4B,EAAYmO,EAAI5kB,OAAOrhB,OAAS,EAapC,IAZI+f,EAAM86B,GAGRA,EAAG/iB,WAAaA,EAGhB+iB,EAtRN,SAAqBoC,EAAUnlB,GAC7B,SAASzG,IACuB,KAAxBA,EAAUyG,WACd0jB,EAAWyB,GAIf,OADA5rB,EAAUyG,UAAYA,EACfzG,EA+QE6rB,CAAW5zB,EAAMrB,IAAK6P,GAGzB/X,EAAMjgB,EAAIwpB,EAAMf,oBAAsBxI,EAAMjgB,EAAIA,EAAE25B,SAAW1Z,EAAMjgB,EAAEL,OACvEu9C,EAA0Bl9C,EAAG+6C,GAE1B/6C,EAAI,EAAGA,EAAImmC,EAAI5kB,OAAOrhB,SAAUF,EACnCmmC,EAAI5kB,OAAOvhB,GAAGwpB,EAAOuxB,GAEnB96B,EAAMjgB,EAAIwpB,EAAM7pB,KAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAEuhB,QAC5CvhB,EAAEwpB,EAAOuxB,GAETA,SAGFW,EAAWlyB,EAAMrB,KA8FrB,SAASk1B,EAAch0B,EAAMi0B,EAAO96B,EAAO8uB,GACzC,IAAK,IAAItxC,EAAIwiB,EAAOxiB,EAAIsxC,EAAKtxC,IAAK,CAChC,IAAIyD,EAAI65C,EAAMt9C,GACd,GAAIigB,EAAMxc,IAAM8nC,GAAUliB,EAAM5lB,GAAM,OAAOzD,GAIjD,SAASu9C,EACPpkB,EACA3P,EACAoyB,EACAI,EACAp8B,EACA49B,GAEA,GAAIrkB,IAAa3P,EAAjB,CAIIvJ,EAAMuJ,EAAMrB,MAAQlI,EAAM+7B,KAE5BxyB,EAAQwyB,EAAWp8B,GAAS2J,GAAWC,IAGzC,IAAIrB,EAAMqB,EAAMrB,IAAMgR,EAAShR,IAE/B,GAAIjI,EAAOiZ,EAASlQ,oBACdhJ,EAAMuJ,EAAMnB,aAAamT,UAC3BiiB,EAAQtkB,EAAShR,IAAKqB,EAAOoyB,GAE7BpyB,EAAMP,oBAAqB,OAS/B,GAAI/I,EAAOsJ,EAAMb,WACfzI,EAAOiZ,EAASxQ,WAChBa,EAAM/kB,MAAQ00B,EAAS10B,MACtByb,EAAOsJ,EAAMV,WAAa5I,EAAOsJ,EAAMT,SAExCS,EAAMf,kBAAoB0Q,EAAS1Q,sBALrC,CASA,IAAIzoB,EACAL,EAAO6pB,EAAM7pB,KACbsgB,EAAMtgB,IAASsgB,EAAMjgB,EAAIL,EAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAE64B,WACrD74B,EAAEm5B,EAAU3P,GAGd,IAAI8zB,EAAQnkB,EAASjR,SACjB+0B,EAAKzzB,EAAMtB,SACf,GAAIjI,EAAMtgB,IAAS+8C,EAAYlzB,GAAQ,CACrC,IAAKxpB,EAAI,EAAGA,EAAImmC,EAAIve,OAAO1nB,SAAUF,EAAKmmC,EAAIve,OAAO5nB,GAAGm5B,EAAU3P,GAC9DvJ,EAAMjgB,EAAIL,EAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAE4nB,SAAW5nB,EAAEm5B,EAAU3P,GAE7DzJ,EAAQyJ,EAAMld,MACZ2T,EAAMq9B,IAAUr9B,EAAMg9B,GACpBK,IAAUL,GAxJpB,SAAyBpB,EAAWyB,EAAOI,EAAO9B,EAAoB4B,GACpE,IAQIG,EAAaC,EAAUC,EARvBC,EAAc,EACdC,EAAc,EACdC,EAAYV,EAAMp9C,OAAS,EAC3B+9C,EAAgBX,EAAM,GACtBY,EAAcZ,EAAMU,GACpBG,EAAYT,EAAMx9C,OAAS,EAC3Bk+C,EAAgBV,EAAM,GACtBW,EAAcX,EAAMS,GAMpBG,GAAWd,EAMf,IAJI,EAIGM,GAAeE,GAAaD,GAAeI,GAC5Cp+B,EAAQk+B,GACVA,EAAgBX,IAAQQ,GACf/9B,EAAQm+B,GACjBA,EAAcZ,IAAQU,GACbzS,GAAU0S,EAAeG,IAClCb,EAAWU,EAAeG,EAAexC,EAAoB8B,EAAOK,GACpEE,EAAgBX,IAAQQ,GACxBM,EAAgBV,IAAQK,IACfxS,GAAU2S,EAAaG,IAChCd,EAAWW,EAAaG,EAAazC,EAAoB8B,EAAOS,GAChED,EAAcZ,IAAQU,GACtBK,EAAcX,IAAQS,IACb5S,GAAU0S,EAAeI,IAClCd,EAAWU,EAAeI,EAAazC,EAAoB8B,EAAOS,GAClEG,GAAWjU,EAAQK,aAAamR,EAAWoC,EAAc91B,IAAKkiB,EAAQS,YAAYoT,EAAY/1B,MAC9F81B,EAAgBX,IAAQQ,GACxBO,EAAcX,IAAQS,IACb5S,GAAU2S,EAAaE,IAChCb,EAAWW,EAAaE,EAAexC,EAAoB8B,EAAOK,GAClEO,GAAWjU,EAAQK,aAAamR,EAAWqC,EAAY/1B,IAAK81B,EAAc91B,KAC1E+1B,EAAcZ,IAAQU,GACtBI,EAAgBV,IAAQK,KAEpBh+B,EAAQ49B,KAAgBA,EAAchS,GAAkB2R,EAAOQ,EAAaE,IAI5Ej+B,EAHJ69B,EAAW39B,EAAMm+B,EAAc35C,KAC3Bk5C,EAAYS,EAAc35C,KAC1B44C,EAAae,EAAed,EAAOQ,EAAaE,IAElDrC,EAAUyC,EAAexC,EAAoBC,EAAWoC,EAAc91B,KAAK,EAAOu1B,EAAOK,GAGrFxS,GADJsS,EAAcP,EAAMM,GACOQ,IACzBb,EAAWM,EAAaO,EAAexC,EAAoB8B,EAAOK,GAClET,EAAMM,QAAYz6C,EAClBm7C,GAAWjU,EAAQK,aAAamR,EAAWgC,EAAY11B,IAAK81B,EAAc91B,MAG1EwzB,EAAUyC,EAAexC,EAAoBC,EAAWoC,EAAc91B,KAAK,EAAOu1B,EAAOK,GAG7FK,EAAgBV,IAAQK,IAGxBD,EAAcE,EAEhBnB,EAAUhB,EADD97B,EAAQ29B,EAAMS,EAAY,IAAM,KAAOT,EAAMS,EAAY,GAAGh2B,IACxCu1B,EAAOK,EAAaI,EAAWvC,GACnDmC,EAAcI,GACvBnB,EAAaM,EAAOQ,EAAaE,GAoFXO,CAAep2B,EAAKm1B,EAAOL,EAAIrB,EAAoB4B,GAC9Dv9B,EAAMg9B,IAIXh9B,EAAMkZ,EAAS7sB,OAAS+9B,EAAQU,eAAe5iB,EAAK,IACxD00B,EAAU10B,EAAK,KAAM80B,EAAI,EAAGA,EAAG/8C,OAAS,EAAG07C,IAClC37B,EAAMq9B,GACfN,EAAaM,EAAO,EAAGA,EAAMp9C,OAAS,GAC7B+f,EAAMkZ,EAAS7sB,OACxB+9B,EAAQU,eAAe5iB,EAAK,IAErBgR,EAAS7sB,OAASkd,EAAMld,MACjC+9B,EAAQU,eAAe5iB,EAAKqB,EAAMld,MAEhC2T,EAAMtgB,IACJsgB,EAAMjgB,EAAIL,EAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAEw+C,YAAcx+C,EAAEm5B,EAAU3P,KAItE,SAASi1B,EAAkBj1B,EAAOuV,EAAO2f,GAGvC,GAAIx+B,EAAOw+B,IAAYz+B,EAAMuJ,EAAMrZ,QACjCqZ,EAAMrZ,OAAOxQ,KAAK88C,cAAgB1d,OAElC,IAAK,IAAI/+B,EAAI,EAAGA,EAAI++B,EAAM7+B,SAAUF,EAClC++B,EAAM/+B,GAAGL,KAAKiQ,KAAKyqB,OAAO0E,EAAM/+B,IAKtC,IAKI2+C,EAAmB39B,EAAQ,2CAG/B,SAASy8B,EAASt1B,EAAKqB,EAAOoyB,EAAoBgD,GAChD,IAAI5+C,EACAioB,EAAMuB,EAAMvB,IACZtoB,EAAO6pB,EAAM7pB,KACbuoB,EAAWsB,EAAMtB,SAIrB,GAHA02B,EAASA,GAAWj/C,GAAQA,EAAKk+B,IACjCrU,EAAMrB,IAAMA,EAERjI,EAAOsJ,EAAMX,YAAc5I,EAAMuJ,EAAMnB,cAEzC,OADAmB,EAAMP,oBAAqB,GACpB,EAQT,GAAIhJ,EAAMtgB,KACJsgB,EAAMjgB,EAAIL,EAAKiQ,OAASqQ,EAAMjgB,EAAIA,EAAEw4B,OAASx4B,EAAEwpB,GAAO,GACtDvJ,EAAMjgB,EAAIwpB,EAAMf,oBAGlB,OADAyzB,EAAc1yB,EAAOoyB,IACd,EAGX,GAAI37B,EAAMgI,GAAM,CACd,GAAIhI,EAAMiI,GAER,GAAKC,EAAI02B,gBAIP,GAAI5+B,EAAMjgB,EAAIL,IAASsgB,EAAMjgB,EAAIA,EAAEu1B,WAAatV,EAAMjgB,EAAIA,EAAEuzC,YAC1D,GAAIvzC,IAAMmoB,EAAIorB,UAWZ,OAAO,MAEJ,CAIL,IAFA,IAAIuL,GAAgB,EAChB3V,EAAYhhB,EAAIqrB,WACXtN,EAAM,EAAGA,EAAMhe,EAAShoB,OAAQgmC,IAAO,CAC9C,IAAKiD,IAAcsU,EAAQtU,EAAWjhB,EAASge,GAAM0V,EAAoBgD,GAAS,CAChFE,GAAgB,EAChB,MAEF3V,EAAYA,EAAU2B,YAIxB,IAAKgU,GAAiB3V,EAUpB,OAAO,OAxCXoT,EAAe/yB,EAAOtB,EAAU0zB,GA6CpC,GAAI37B,EAAMtgB,GAAO,CACf,IAAIo/C,GAAa,EACjB,IAAK,IAAIt6C,KAAO9E,EACd,IAAKg/C,EAAiBl6C,GAAM,CAC1Bs6C,GAAa,EACbvC,EAAkBhzB,EAAOoyB,GACzB,OAGCmD,GAAcp/C,EAAY,OAE7B4wB,GAAS5wB,EAAY,aAGhBwoB,EAAIxoB,OAAS6pB,EAAMld,OAC5B6b,EAAIxoB,KAAO6pB,EAAMld,MAEnB,OAAO,EAcT,OAAO,SAAgB6sB,EAAU3P,EAAOiP,EAAW+kB,GACjD,IAAIz9B,EAAQyJ,GAAZ,CAKA,IA7lBoBrB,EA6lBhB62B,GAAiB,EACjBpD,EAAqB,GAEzB,GAAI77B,EAAQoZ,GAEV6lB,GAAiB,EACjBrD,EAAUnyB,EAAOoyB,OACZ,CACL,IAAIqD,EAAgBh/B,EAAMkZ,EAAS8f,UACnC,IAAKgG,GAAiB1T,GAAUpS,EAAU3P,GAExC+zB,EAAWpkB,EAAU3P,EAAOoyB,EAAoB,KAAM,KAAM4B,OACvD,CACL,GAAIyB,EAAe,CAQjB,GAJ0B,IAAtB9lB,EAAS8f,UAAkB9f,EAAS+lB,aArgMnC,0BAsgMH/lB,EAASkU,gBAtgMN,wBAugMH5U,GAAY,GAEVvY,EAAOuY,IACLglB,EAAQtkB,EAAU3P,EAAOoyB,GAE3B,OADA6C,EAAiBj1B,EAAOoyB,GAAoB,GACrCziB,EArnBGhR,EAkoBSgR,EAAvBA,EAjoBC,IAAInR,GAAMqiB,EAAQC,QAAQniB,GAAK/G,cAAe,GAAI,QAAIje,EAAWglB,GAqoBpE,IAAIg3B,EAAShmB,EAAShR,IAClB0zB,EAAYxR,EAAQnB,WAAWiW,GAcnC,GAXAxD,EACEnyB,EACAoyB,EAIAuD,EAAOtG,SAAW,KAAOgD,EACzBxR,EAAQS,YAAYqU,IAIlBl/B,EAAMuJ,EAAMrZ,QAGd,IAFA,IAAIysC,EAAWpzB,EAAMrZ,OACjBivC,EAAY1C,EAAYlzB,GACrBozB,GAAU,CACf,IAAK,IAAI58C,EAAI,EAAGA,EAAImmC,EAAIxL,QAAQz6B,SAAUF,EACxCmmC,EAAIxL,QAAQ36B,GAAG48C,GAGjB,GADAA,EAASz0B,IAAMqB,EAAMrB,IACjBi3B,EAAW,CACb,IAAK,IAAIlZ,EAAM,EAAGA,EAAMC,EAAI3hC,OAAOtE,SAAUgmC,EAC3CC,EAAI3hC,OAAO0hC,GAAKoF,GAAWsR,GAK7B,IAAIviB,EAASuiB,EAASj9C,KAAKiQ,KAAKyqB,OAChC,GAAIA,EAAOvI,OAET,IAAK,IAAIutB,EAAM,EAAGA,EAAMhlB,EAAOnJ,IAAIhxB,OAAQm/C,IACzChlB,EAAOnJ,IAAImuB,UAIfnU,GAAY0R,GAEdA,EAAWA,EAASzsC,OAKpB8P,EAAM47B,GACRmB,EAAa,CAAC7jB,GAAW,EAAG,GACnBlZ,EAAMkZ,EAASlR,MACxB80B,EAAkB5jB,IAMxB,OADAslB,EAAiBj1B,EAAOoyB,EAAoBoD,GACrCx1B,EAAMrB,IAnGPlI,EAAMkZ,IAAa4jB,EAAkB5jB,IAq8DnCmmB,CAAoB,CAAEjV,QAASA,GAAS5pC,QAf9B,CACpBiT,GACA66B,GACAkC,GACAlb,GACAva,GAlBeuK,EAAY,CAC3B/gB,OAAQ+2C,GACRa,SAAUb,GACVh6B,OAAQ,SAAoBiI,EAAOuxB,IAET,IAApBvxB,EAAM7pB,KAAK+6C,KACbI,GAAMtxB,EAAOuxB,GAEbA,MAGF,IAe0B/pC,OAAO+7B,MAUjChnB,GAEFnkB,SAAS2kB,iBAAiB,mBAAmB,WAC3C,IAAItO,EAAKrW,SAASgyC,cACd37B,GAAMA,EAAGsnC,QACXC,GAAQvnC,EAAI,YAKlB,IAAIwnC,GAAY,CACd11B,SAAU,SAAmB9R,EAAIynC,EAASl2B,EAAO2P,GAC7B,WAAd3P,EAAMvB,KAEJkR,EAAShR,MAAQgR,EAAShR,IAAIw3B,UAChCjuB,GAAelI,EAAO,aAAa,WACjCi2B,GAAU/S,iBAAiBz0B,EAAIynC,EAASl2B,MAG1Co2B,GAAY3nC,EAAIynC,EAASl2B,EAAMxZ,SAEjCiI,EAAG0nC,UAAY,GAAGz+B,IAAI5gB,KAAK2X,EAAG7N,QAASy1C,MAChB,aAAdr2B,EAAMvB,KAAsBgiB,GAAgBhyB,EAAGpV,SACxDoV,EAAG67B,YAAc4L,EAAQ7S,UACpB6S,EAAQ7S,UAAUrM,OACrBvoB,EAAGsO,iBAAiB,mBAAoBu5B,IACxC7nC,EAAGsO,iBAAiB,iBAAkBw5B,IAKtC9nC,EAAGsO,iBAAiB,SAAUw5B,IAE1Bh6B,IACF9N,EAAGsnC,QAAS,MAMpB7S,iBAAkB,SAA2Bz0B,EAAIynC,EAASl2B,GACxD,GAAkB,WAAdA,EAAMvB,IAAkB,CAC1B23B,GAAY3nC,EAAIynC,EAASl2B,EAAMxZ,SAK/B,IAAIgwC,EAAc/nC,EAAG0nC,UACjBM,EAAahoC,EAAG0nC,UAAY,GAAGz+B,IAAI5gB,KAAK2X,EAAG7N,QAASy1C,IACxD,GAAII,EAAWC,MAAK,SAAUt8C,EAAG5D,GAAK,OAAQgjB,EAAWpf,EAAGo8C,EAAYhgD,QAGtDiY,EAAGsyB,SACfmV,EAAQv7C,MAAM+7C,MAAK,SAAUlgC,GAAK,OAAOmgC,GAAoBngC,EAAGigC,MAChEP,EAAQv7C,QAAUu7C,EAAQve,UAAYgf,GAAoBT,EAAQv7C,MAAO87C,KAE3ET,GAAQvnC,EAAI,aAOtB,SAAS2nC,GAAa3nC,EAAIynC,EAAStnC,GACjCgoC,GAAoBnoC,EAAIynC,EAAStnC,IAE7B0N,GAAQE,IACV5iB,YAAW,WACTg9C,GAAoBnoC,EAAIynC,EAAStnC,KAChC,GAIP,SAASgoC,GAAqBnoC,EAAIynC,EAAStnC,GACzC,IAAIjU,EAAQu7C,EAAQv7C,MAChBk8C,EAAapoC,EAAGsyB,SACpB,IAAI8V,GAAez/B,MAAMra,QAAQpC,GAAjC,CASA,IADA,IAAIgmC,EAAUmW,EACLtgD,EAAI,EAAGkB,EAAI+W,EAAG7N,QAAQlK,OAAQF,EAAIkB,EAAGlB,IAE5C,GADAsgD,EAASroC,EAAG7N,QAAQpK,GAChBqgD,EACFlW,EAAWzmB,EAAavf,EAAO07C,GAASS,KAAY,EAChDA,EAAOnW,WAAaA,IACtBmW,EAAOnW,SAAWA,QAGpB,GAAInnB,EAAW68B,GAASS,GAASn8C,GAI/B,YAHI8T,EAAGsoC,gBAAkBvgD,IACvBiY,EAAGsoC,cAAgBvgD,IAMtBqgD,IACHpoC,EAAGsoC,eAAiB,IAIxB,SAASJ,GAAqBh8C,EAAOiG,GACnC,OAAOA,EAAQiZ,OAAM,SAAUzf,GAAK,OAAQof,EAAWpf,EAAGO,MAG5D,SAAS07C,GAAUS,GACjB,MAAO,WAAYA,EACfA,EAAOlN,OACPkN,EAAOn8C,MAGb,SAAS27C,GAAoB3+C,GAC3BA,EAAE4B,OAAO2wC,WAAY,EAGvB,SAASqM,GAAkB5+C,GAEpBA,EAAE4B,OAAO2wC,YACdvyC,EAAE4B,OAAO2wC,WAAY,EACrB8L,GAAQr+C,EAAE4B,OAAQ,UAGpB,SAASy8C,GAASvnC,EAAIpV,GACpB,IAAI1B,EAAIS,SAASy9B,YAAY,cAC7Bl+B,EAAEq/C,UAAU39C,GAAM,GAAM,GACxBoV,EAAGwoC,cAAct/C,GAMnB,SAASu/C,GAAYl3B,GACnB,OAAOA,EAAMf,mBAAuBe,EAAM7pB,MAAS6pB,EAAM7pB,KAAKo5C,WAE1DvvB,EADAk3B,GAAWl3B,EAAMf,kBAAkBkR,QAIzC,IAuDIgnB,GAAqB,CACvBhkB,MAAO8iB,GACP/E,KAzDS,CACTh2C,KAAM,SAAeuT,EAAI6uB,EAAKtd,GAC5B,IAAIrlB,EAAQ2iC,EAAI3iC,MAGZy8C,GADJp3B,EAAQk3B,GAAWl3B,IACO7pB,MAAQ6pB,EAAM7pB,KAAKo5C,WACzC8H,EAAkB5oC,EAAG6oC,mBACF,SAArB7oC,EAAG+C,MAAMC,QAAqB,GAAKhD,EAAG+C,MAAMC,QAC1C9W,GAASy8C,GACXp3B,EAAM7pB,KAAK+6C,MAAO,EAClB/B,GAAMnvB,GAAO,WACXvR,EAAG+C,MAAMC,QAAU4lC,MAGrB5oC,EAAG+C,MAAMC,QAAU9W,EAAQ08C,EAAkB,QAIjDj5B,OAAQ,SAAiB3P,EAAI6uB,EAAKtd,GAChC,IAAIrlB,EAAQ2iC,EAAI3iC,OAIXA,IAHU2iC,EAAI3F,YAInB3X,EAAQk3B,GAAWl3B,IACO7pB,MAAQ6pB,EAAM7pB,KAAKo5C,YAE3CvvB,EAAM7pB,KAAK+6C,MAAO,EACdv2C,EACFw0C,GAAMnvB,GAAO,WACXvR,EAAG+C,MAAMC,QAAUhD,EAAG6oC,sBAGxBhG,GAAMtxB,GAAO,WACXvR,EAAG+C,MAAMC,QAAU,WAIvBhD,EAAG+C,MAAMC,QAAU9W,EAAQ8T,EAAG6oC,mBAAqB,SAIvDC,OAAQ,SACN9oC,EACAynC,EACAl2B,EACA2P,EACA8S,GAEKA,IACHh0B,EAAG+C,MAAMC,QAAUhD,EAAG6oC,uBAYxBE,GAAkB,CACpB/9C,KAAMgG,OACNwwC,OAAQprB,QACR2nB,IAAK3nB,QACLhqB,KAAM4E,OACNpG,KAAMoG,OACNitC,WAAYjtC,OACZotC,WAAYptC,OACZktC,aAAcltC,OACdqtC,aAAcrtC,OACdmtC,iBAAkBntC,OAClBstC,iBAAkBttC,OAClBiwC,YAAajwC,OACbmwC,kBAAmBnwC,OACnBkwC,cAAelwC,OACf2wC,SAAU,CAAC19B,OAAQjT,OAAQ9I,SAK7B,SAAS8gD,GAAcz3B,GACrB,IAAI03B,EAAc13B,GAASA,EAAMpB,iBACjC,OAAI84B,GAAeA,EAAYr6B,KAAKzc,QAAQ8yB,SACnC+jB,GAAa7iB,GAAuB8iB,EAAYh5B,WAEhDsB,EAIX,SAAS23B,GAAuBjjB,GAC9B,IAAIv+B,EAAO,GACPyK,EAAU8zB,EAAKztB,SAEnB,IAAK,IAAIhM,KAAO2F,EAAQkO,UACtB3Y,EAAK8E,GAAOy5B,EAAKz5B,GAInB,IAAIuzB,EAAY5tB,EAAQ6vB,iBACxB,IAAK,IAAIxN,KAASuL,EAChBr4B,EAAKmiB,EAAS2K,IAAUuL,EAAUvL,GAEpC,OAAO9sB,EAGT,SAASyhD,GAAavwC,EAAGwwC,GACvB,GAAI,iBAAiB/iC,KAAK+iC,EAASp5B,KACjC,OAAOpX,EAAE,aAAc,CACrB6G,MAAO2pC,EAASj5B,iBAAiB9P,YAiBvC,IAAIgpC,GAAgB,SAAU79C,GAAK,OAAOA,EAAEwkB,KAAOgB,GAAmBxlB,IAElE89C,GAAmB,SAAU79C,GAAK,MAAkB,SAAXA,EAAET,MAE3Cu+C,GAAa,CACfv+C,KAAM,aACNyU,MAAOspC,GACP9jB,UAAU,EAEV7tB,OAAQ,SAAiBwB,GACvB,IAAI+mB,EAAS7pB,KAETma,EAAWna,KAAKymB,OAAO1hB,QAC3B,GAAKoV,IAKLA,EAAWA,EAASuc,OAAO6c,KAEbphD,OAAd,CAKI,EAQJ,IAAImE,EAAO0J,KAAK1J,KAGZ,EASJ,IAAIg9C,EAAWn5B,EAAS,GAIxB,GA7DJ,SAA8BsB,GAC5B,KAAQA,EAAQA,EAAMrZ,QACpB,GAAIqZ,EAAM7pB,KAAKo5C,WACb,OAAO,EA0DL0I,CAAoB1zC,KAAKkC,QAC3B,OAAOoxC,EAKT,IAAIl4B,EAAQ83B,GAAaI,GAEzB,IAAKl4B,EACH,OAAOk4B,EAGT,GAAItzC,KAAK2zC,SACP,OAAON,GAAYvwC,EAAGwwC,GAMxB,IAAIjtC,EAAK,gBAAmBrG,KAAS,KAAI,IACzCob,EAAM1kB,IAAmB,MAAb0kB,EAAM1kB,IACd0kB,EAAMN,UACJzU,EAAK,UACLA,EAAK+U,EAAMlB,IACb9H,EAAYgJ,EAAM1kB,KACmB,IAAlCwE,OAAOkgB,EAAM1kB,KAAKoI,QAAQuH,GAAY+U,EAAM1kB,IAAM2P,EAAK+U,EAAM1kB,IAC9D0kB,EAAM1kB,IAEZ,IAAI9E,GAAQwpB,EAAMxpB,OAASwpB,EAAMxpB,KAAO,KAAKo5C,WAAaoI,GAAsBpzC,MAC5E4zC,EAAc5zC,KAAK4rB,OACnBioB,EAAWX,GAAaU,GAQ5B,GAJIx4B,EAAMxpB,KAAKsU,YAAckV,EAAMxpB,KAAKsU,WAAWisC,KAAKqB,MACtDp4B,EAAMxpB,KAAK+6C,MAAO,GAIlBkH,GACAA,EAASjiD,OA7Ff,SAAsBwpB,EAAOy4B,GAC3B,OAAOA,EAASn9C,MAAQ0kB,EAAM1kB,KAAOm9C,EAAS35B,MAAQkB,EAAMlB,IA6FvD45B,CAAY14B,EAAOy4B,KACnB34B,GAAmB24B,MAElBA,EAASn5B,oBAAqBm5B,EAASn5B,kBAAkBkR,OAAO9Q,WAClE,CAGA,IAAIilB,EAAU8T,EAASjiD,KAAKo5C,WAAatwC,EAAO,GAAI9I,GAEpD,GAAa,WAAT0E,EAOF,OALA0J,KAAK2zC,UAAW,EAChBhwB,GAAeoc,EAAS,cAAc,WACpClW,EAAO8pB,UAAW,EAClB9pB,EAAOuC,kBAEFinB,GAAYvwC,EAAGwwC,GACjB,GAAa,WAATh9C,EAAmB,CAC5B,GAAI4kB,GAAmBE,GACrB,OAAOw4B,EAET,IAAIG,EACAzG,EAAe,WAAcyG,KACjCpwB,GAAe/xB,EAAM,aAAc07C,GACnC3pB,GAAe/xB,EAAM,iBAAkB07C,GACvC3pB,GAAeoc,EAAS,cAAc,SAAUgN,GAASgH,EAAehH,MAI5E,OAAOuG,KAMP3pC,GAAQjP,EAAO,CACjBwf,IAAKhf,OACL84C,UAAW94C,QACV+3C,IAwIH,SAASgB,GAAgBv+C,GAEnBA,EAAE0kB,IAAI85B,SACRx+C,EAAE0kB,IAAI85B,UAGJx+C,EAAE0kB,IAAI6wB,UACRv1C,EAAE0kB,IAAI6wB,WAIV,SAASkJ,GAAgBz+C,GACvBA,EAAE9D,KAAKwiD,OAAS1+C,EAAE0kB,IAAIi6B,wBAGxB,SAASC,GAAkB5+C,GACzB,IAAI6+C,EAAS7+C,EAAE9D,KAAK4iD,IAChBJ,EAAS1+C,EAAE9D,KAAKwiD,OAChBK,EAAKF,EAAOG,KAAON,EAAOM,KAC1BC,EAAKJ,EAAOK,IAAMR,EAAOQ,IAC7B,GAAIH,GAAME,EAAI,CACZj/C,EAAE9D,KAAKijD,OAAQ,EACf,IAAIv9C,EAAI5B,EAAE0kB,IAAInN,MACd3V,EAAEw9C,UAAYx9C,EAAEy9C,gBAAkB,aAAeN,EAAK,MAAQE,EAAK,MACnEr9C,EAAE09C,mBAAqB,aA9JpBrrC,GAAMrT,KAkKb,IAAI2+C,GAAqB,CACvBxB,WAAYA,GACZyB,gBAlKoB,CACpBvrC,MAAOA,GAEPwrC,YAAa,WACX,IAAItrB,EAAS7pB,KAET6Z,EAAS7Z,KAAKs4B,QAClBt4B,KAAKs4B,QAAU,SAAU7c,EAAOiP,GAC9B,IAAI+N,EAAwB/H,GAAkB7G,GAE9CA,EAAO6O,UACL7O,EAAO+B,OACP/B,EAAOurB,MACP,GACA,GAEFvrB,EAAO+B,OAAS/B,EAAOurB,KACvB3c,IACA5e,EAAOtnB,KAAKs3B,EAAQpO,EAAOiP,KAI/BppB,OAAQ,SAAiBwB,GAQvB,IAPA,IAAIoX,EAAMla,KAAKka,KAAOla,KAAKkC,OAAOtQ,KAAKsoB,KAAO,OAC1C/G,EAAM/gB,OAAOqE,OAAO,MACpB4+C,EAAer1C,KAAKq1C,aAAer1C,KAAKma,SACxCm7B,EAAct1C,KAAKymB,OAAO1hB,SAAW,GACrCoV,EAAWna,KAAKma,SAAW,GAC3Bo7B,EAAiBnC,GAAsBpzC,MAElC/N,EAAI,EAAGA,EAAIqjD,EAAYnjD,OAAQF,IAAK,CAC3C,IAAIyD,EAAI4/C,EAAYrjD,GACpB,GAAIyD,EAAEwkB,IACJ,GAAa,MAATxkB,EAAEgB,KAAoD,IAArCwE,OAAOxF,EAAEgB,KAAKoI,QAAQ,WACzCqb,EAAS1nB,KAAKiD,GACdyd,EAAIzd,EAAEgB,KAAOhB,GACXA,EAAE9D,OAAS8D,EAAE9D,KAAO,KAAKo5C,WAAauK,QAS9C,GAAIF,EAAc,CAGhB,IAFA,IAAID,EAAO,GACPI,EAAU,GACLrd,EAAM,EAAGA,EAAMkd,EAAaljD,OAAQgmC,IAAO,CAClD,IAAIsd,EAAMJ,EAAald,GACvBsd,EAAI7jD,KAAKo5C,WAAauK,EACtBE,EAAI7jD,KAAK4iD,IAAMiB,EAAIr7B,IAAIi6B,wBACnBlhC,EAAIsiC,EAAI/+C,KACV0+C,EAAK3iD,KAAKgjD,GAEVD,EAAQ/iD,KAAKgjD,GAGjBz1C,KAAKo1C,KAAOtyC,EAAEoX,EAAK,KAAMk7B,GACzBp1C,KAAKw1C,QAAUA,EAGjB,OAAO1yC,EAAEoX,EAAK,KAAMC,IAGtBu7B,QAAS,WACP,IAAIv7B,EAAWna,KAAKq1C,aAChBrB,EAAYh0C,KAAKg0C,YAAeh0C,KAAK9K,MAAQ,KAAO,QACnDilB,EAAShoB,QAAW6N,KAAK21C,QAAQx7B,EAAS,GAAGC,IAAK45B,KAMvD75B,EAASthB,QAAQo7C,IACjB95B,EAASthB,QAAQs7C,IACjBh6B,EAASthB,QAAQy7C,IAKjBt0C,KAAK41C,QAAU/hD,SAASoV,KAAK4sC,aAE7B17B,EAASthB,SAAQ,SAAUnD,GACzB,GAAIA,EAAE9D,KAAKijD,MAAO,CAChB,IAAI3qC,EAAKxU,EAAE0kB,IACP9iB,EAAI4S,EAAG+C,MACXo8B,GAAmBn/B,EAAI8pC,GACvB18C,EAAEw9C,UAAYx9C,EAAEy9C,gBAAkBz9C,EAAE09C,mBAAqB,GACzD9qC,EAAGsO,iBAAiBmwB,GAAoBz+B,EAAGgqC,QAAU,SAAS7xB,EAAIjvB,GAC5DA,GAAKA,EAAE4B,SAAWkV,GAGjB9W,IAAK,aAAamd,KAAKnd,EAAE0iD,gBAC5B5rC,EAAG21B,oBAAoB8I,GAAoBtmB,GAC3CnY,EAAGgqC,QAAU,KACb3K,GAAsBr/B,EAAI8pC,YAOpCrkC,QAAS,CACPgmC,QAAS,SAAkBzrC,EAAI8pC,GAE7B,IAAKvL,GACH,OAAO,EAGT,GAAIzoC,KAAK+1C,SACP,OAAO/1C,KAAK+1C,SAOd,IAAIzrB,EAAQpgB,EAAG8rC,YACX9rC,EAAGg2B,oBACLh2B,EAAGg2B,mBAAmBrnC,SAAQ,SAAUmnC,GAAO8H,GAAYxd,EAAO0V,MAEpE2H,GAASrd,EAAO0pB,GAChB1pB,EAAMrd,MAAMC,QAAU,OACtBlN,KAAKwK,IAAIjV,YAAY+0B,GACrB,IAAIvJ,EAAO2oB,GAAkBpf,GAE7B,OADAtqB,KAAKwK,IAAIsyB,YAAYxS,GACbtqB,KAAK+1C,SAAWh1B,EAAKypB,iBAyCnC/gC,GAAI7K,OAAOkY,YAAcA,GACzBrN,GAAI7K,OAAO6X,cAAgBA,GAC3BhN,GAAI7K,OAAO8X,eAAiBA,GAC5BjN,GAAI7K,OAAOgY,gBAAkBA,GAC7BnN,GAAI7K,OAAO+X,iBA10GX,SAA2BuD,GAEzB,IAAK1C,EACH,OAAO,EAET,GAAIf,GAAcyD,GAChB,OAAO,EAIT,GAFAA,EAAMA,EAAI7G,cAEsB,MAA5B4oB,GAAoB/hB,GACtB,OAAO+hB,GAAoB/hB,GAE7B,IAAIhQ,EAAKrW,SAASC,cAAcomB,GAChC,OAAIA,EAAIpb,QAAQ,MAAQ,EAEdm9B,GAAoB/hB,GAC1BhQ,EAAGhR,cAAgB/B,OAAO8+C,oBAC1B/rC,EAAGhR,cAAgB/B,OAAO++C,YAGpBja,GAAoB/hB,GAAO,qBAAqB3J,KAAKrG,EAAG3R,aAwzGpEmC,EAAO+O,GAAIpN,QAAQ6J,WAAY0sC,IAC/Bl4C,EAAO+O,GAAIpN,QAAQm5B,WAAYyf,IAG/BxrC,GAAIpX,UAAUqmC,UAAYlhB,EAAYi2B,GAAQ34B,EAG9CrL,GAAIpX,UAAU0X,OAAS,SACrBG,EACAwgB,GAGA,OA76JF,SACErgB,EACAH,EACAwgB,GAyBA,IAAIyrB,EA2CJ,OAlEA9rC,EAAGG,IAAMN,EACJG,EAAG3H,SAASpB,SACf+I,EAAG3H,SAASpB,OAAS+Z,IAmBvBmR,GAASniB,EAAI,eAsBX8rC,EAAkB,WAChB9rC,EAAGiuB,QAAQjuB,EAAGyuB,UAAWpO,IAO7B,IAAIyH,GAAQ9nB,EAAI8rC,EAAiBrhC,EAAM,CACrC6c,OAAQ,WACFtnB,EAAGkiB,aAAeliB,EAAGsgB,cACvB6B,GAASniB,EAAI,mBAGhB,GACHqgB,GAAY,EAIK,MAAbrgB,EAAGnI,SACLmI,EAAGkiB,YAAa,EAChBC,GAASniB,EAAI,YAERA,EAs2JA+rC,CAAep2C,KADtBkK,EAAKA,GAAMsN,EAAY2kB,GAAMjyB,QAAM9U,EACHs1B,IAK9BlT,GACFniB,YAAW,WACLuJ,EAAOuX,UACLA,IACFA,GAAS3V,KAAK,OAAQiJ,MAsBzB,GAKL,IAAI4sC,GAAe,2BACfC,GAAgB,yBAEhBC,GAAa1iC,GAAO,SAAU2iC,GAChC,IAAIppC,EAAOopC,EAAW,GAAGx7C,QAAQs7C,GAAe,QAC5CzpC,EAAQ2pC,EAAW,GAAGx7C,QAAQs7C,GAAe,QACjD,OAAO,IAAIzlC,OAAOzD,EAAO,gBAAkBP,EAAO,QA6EpD,IAAI4pC,GAAU,CACZC,WAAY,CAAC,eACbC,cArCF,SAAwBzsC,EAAI7N,GACfA,EAAQwE,KAAnB,IACI+E,EAAcu9B,GAAiBj5B,EAAI,SAanCtE,IACFsE,EAAGtE,YAAckN,KAAKC,UAAUnN,IAElC,IAAIgxC,EAAe5T,GAAe94B,EAAI,SAAS,GAC3C0sC,IACF1sC,EAAG0sC,aAAeA,IAkBpBC,QAdF,SAAkB3sC,GAChB,IAAItY,EAAO,GAOX,OANIsY,EAAGtE,cACLhU,GAAQ,eAAkBsY,EAAc,YAAI,KAE1CA,EAAG0sC,eACLhlD,GAAQ,SAAYsY,EAAe,aAAI,KAElCtY,IAgDT,IAQIklD,GARAC,GAAU,CACZL,WAAY,CAAC,eACbC,cAvCF,SAA0BzsC,EAAI7N,GACjBA,EAAQwE,KAAnB,IACIylC,EAAcnD,GAAiBj5B,EAAI,SACnCo8B,IAcFp8B,EAAGo8B,YAAcxzB,KAAKC,UAAUkzB,GAAeK,KAGjD,IAAI0Q,EAAehU,GAAe94B,EAAI,SAAS,GAC3C8sC,IACF9sC,EAAG8sC,aAAeA,IAkBpBH,QAdF,SAAoB3sC,GAClB,IAAItY,EAAO,GAOX,OANIsY,EAAGo8B,cACL10C,GAAQ,eAAkBsY,EAAc,YAAI,KAE1CA,EAAG8sC,eACLplD,GAAQ,UAAasY,EAAe,aAAI,MAEnCtY,IAaLqlD,GACM,SAAiBhrC,GAGvB,OAFA6qC,GAAUA,IAAWjjD,SAASC,cAAc,QACpC0xC,UAAYv5B,EACb6qC,GAAQ7Z,aAMfia,GAAajkC,EACf,6FAMEkkC,GAAmBlkC,EACrB,2DAKEmkC,GAAmBnkC,EACrB,mSAYEokC,GAAY,4EACZC,GAAsB,wGACtBC,GAAS,6BAAgCtgC,EAAoB,OAAI,KACjEugC,GAAe,OAASD,GAAS,QAAUA,GAAS,IACpDE,GAAe,IAAI5mC,OAAQ,KAAO2mC,IAClCE,GAAgB,aAChBC,GAAS,IAAI9mC,OAAQ,QAAU2mC,GAAe,UAC9CI,GAAU,qBAEVC,GAAU,SACVC,GAAqB,QAGrBC,GAAqB9kC,EAAQ,yBAAyB,GACtD+kC,GAAU,GAEVC,GAAc,CAChB,OAAQ,IACR,OAAQ,IACR,SAAU,IACV,QAAS,IACT,QAAS,KACT,OAAQ,KACR,QAAS,KAEPC,GAAc,4BACdC,GAA0B,mCAG1BC,GAAqBnlC,EAAQ,gBAAgB,GAC7ColC,GAA2B,SAAUn+B,EAAKjO,GAAQ,OAAOiO,GAAOk+B,GAAmBl+B,IAAoB,OAAZjO,EAAK,IAEpG,SAASqsC,GAAYliD,EAAOmiD,GAC1B,IAAIhnC,EAAKgnC,EAAuBJ,GAA0BD,GAC1D,OAAO9hD,EAAM4E,QAAQuW,GAAI,SAAUD,GAAS,OAAO2mC,GAAY3mC,MAmQjE,IAuBIknC,GACAhC,GACAiC,GACAC,GACAC,GACAC,GACAC,GACAC,GA9BAC,GAAO,YACPC,GAAQ,eACRC,GAAa,qCACbC,GAAgB,iCAChBC,GAAgB,WAChBC,GAAe,WAEfC,GAAQ,SACRC,GAAS,kBACTC,GAAa,wBAEbC,GAAS,kBAETC,GAAc,SACdC,GAAiB,OAIjBC,GAAmB9lC,EAAOojC,IAe9B,SAAS2C,GACP1/B,EACAvU,EACAvD,GAEA,MAAO,CACLtN,KAAM,EACNolB,IAAKA,EACLkoB,UAAWz8B,EACXw8B,SAAU0X,GAAal0C,GACvBm0C,YAAa,GACb13C,OAAQA,EACR+X,SAAU,IAOd,SAAS4/B,GACPC,EACA39C,GAEAm8C,GAASn8C,EAAQwE,MAAQ2gC,GAEzBoX,GAAmBv8C,EAAQ49C,UAAYllC,EACvC8jC,GAAsBx8C,EAAQya,aAAe/B,EAC7C+jC,GAA0Bz8C,EAAQua,iBAAmB7B,EACrD,IAAI0B,EAAgBpa,EAAQoa,eAAiB1B,GAC5B,SAAU7K,GAAM,QAASA,EAAGqkB,YAAc9X,EAAcvM,EAAGgQ,OAE5Eu+B,GAAa9W,GAAoBtlC,EAAQ3J,QAAS,iBAClDgmD,GAAgB/W,GAAoBtlC,EAAQ3J,QAAS,oBACrDimD,GAAiBhX,GAAoBtlC,EAAQ3J,QAAS,qBAEtD8jD,GAAan6C,EAAQm6C,WAErB,IAGI0D,EACAC,EAJAC,EAAQ,GACRC,GAAoD,IAA/Bh+C,EAAQg+C,mBAC7BC,EAAmBj+C,EAAQk+C,WAG3B1J,GAAS,EACT2J,GAAQ,EAUZ,SAASC,EAAcC,GAyBrB,GAxBAC,EAAqBD,GAChB7J,GAAW6J,EAAQE,YACtBF,EAAUG,GAAeH,EAASr+C,IAG/B+9C,EAAMjoD,QAAUuoD,IAAYR,GAE3BA,EAAKY,KAAOJ,EAAQK,QAAUL,EAAQM,OAIxCC,GAAef,EAAM,CACnBvZ,IAAK+Z,EAAQK,OACbG,MAAOR,IAWTP,IAAkBO,EAAQS,UAC5B,GAAIT,EAAQK,QAAUL,EAAQM,KAqZN9wC,EApZFwwC,GAqZtB9Z,EAeN,SAA0BzmB,GAExB,IADA,IAAIloB,EAAIkoB,EAAShoB,OACVF,KAAK,CACV,GAAyB,IAArBkoB,EAASloB,GAAG6C,KACd,OAAOqlB,EAASloB,GAShBkoB,EAASnT,OA5BFo0C,CArZwBjB,EAqZDhgC,YACtBymB,EAAKka,IACfG,GAAera,EAAM,CACnBD,IAAKz2B,EAAG6wC,OACRG,MAAOhxC,QAxZA,CACL,GAAIwwC,EAAQW,UAAW,CAIrB,IAAInmD,EAAOwlD,EAAQY,YAAc,aAC/BnB,EAAchwB,cAAgBgwB,EAAchwB,YAAc,KAAKj1B,GAAQwlD,EAE3EP,EAAchgC,SAAS1nB,KAAKioD,GAC5BA,EAAQt4C,OAAS+3C,EA0YzB,IAA8BjwC,EACxB02B,EArYF8Z,EAAQvgC,SAAWugC,EAAQvgC,SAASuc,QAAO,SAAUhhC,GAAK,OAAQ,EAAI2lD,aAEtEV,EAAqBD,GAGjBA,EAAQ5qB,MACV+gB,GAAS,GAEP+H,GAAiB8B,EAAQxgC,OAC3BsgC,GAAQ,GAGV,IAAK,IAAIvoD,EAAI,EAAGA,EAAI0mD,GAAexmD,OAAQF,IACzC0mD,GAAe1mD,GAAGyoD,EAASr+C,GAI/B,SAASs+C,EAAsBzwC,GAE7B,IAAKswC,EAEH,IADA,IAAIe,GAEDA,EAAWrxC,EAAGiQ,SAASjQ,EAAGiQ,SAAShoB,OAAS,KAC3B,IAAlBopD,EAASzmD,MACS,MAAlBymD,EAASh9C,MAET2L,EAAGiQ,SAASnT,MAyNlB,OApnBF,SAAoBiF,EAAM5P,GAOxB,IANA,IAKIkoB,EAAMi3B,EALNpB,EAAQ,GACRqB,EAAap/C,EAAQo/C,WACrBC,EAAgBr/C,EAAQ66C,YAAcniC,EACtC4mC,EAAsBt/C,EAAQ86C,kBAAoBpiC,EAClDlD,EAAQ,EAEL5F,GAAM,CAGX,GAFAsY,EAAOtY,EAEFuvC,GAAYzD,GAAmByD,GAkF7B,CACL,IAAII,EAAe,EACfC,EAAaL,EAAQnoC,cACrByoC,EAAe9D,GAAQ6D,KAAgB7D,GAAQ6D,GAAc,IAAIhrC,OAAO,kBAAoBgrC,EAAa,UAAW,MACpHE,EAAS9vC,EAAKjR,QAAQ8gD,GAAc,SAAUtmD,EAAK+I,EAAMo5C,GAa3D,OAZAiE,EAAejE,EAAOxlD,OACjB4lD,GAAmB8D,IAA8B,aAAfA,IACrCt9C,EAAOA,EACJvD,QAAQ,sBAAuB,MAC/BA,QAAQ,4BAA6B,OAEtCq9C,GAAyBwD,EAAYt9C,KACvCA,EAAOA,EAAKlH,MAAM,IAEhBgF,EAAQ2/C,OACV3/C,EAAQ2/C,MAAMz9C,GAET,MAETsT,GAAS5F,EAAK9Z,OAAS4pD,EAAO5pD,OAC9B8Z,EAAO8vC,EACPE,EAAYJ,EAAYhqC,EAAQ+pC,EAAc/pC,OAvGF,CAC5C,IAAIqqC,EAAUjwC,EAAKnN,QAAQ,KAC3B,GAAgB,IAAZo9C,EAAe,CAEjB,GAAIrE,GAAQtnC,KAAKtE,GAAO,CACtB,IAAIkwC,EAAalwC,EAAKnN,QAAQ,UAE9B,GAAIq9C,GAAc,EAAG,CACf9/C,EAAQ+/C,mBACV//C,EAAQw7C,QAAQ5rC,EAAK/M,UAAU,EAAGi9C,GAAatqC,EAAOA,EAAQsqC,EAAa,GAE7EE,EAAQF,EAAa,GACrB,UAKJ,GAAIrE,GAAmBvnC,KAAKtE,GAAO,CACjC,IAAIqwC,EAAiBrwC,EAAKnN,QAAQ,MAElC,GAAIw9C,GAAkB,EAAG,CACvBD,EAAQC,EAAiB,GACzB,UAKJ,IAAIC,EAAetwC,EAAKqF,MAAMsmC,IAC9B,GAAI2E,EAAc,CAChBF,EAAQE,EAAa,GAAGpqD,QACxB,SAIF,IAAIqqD,EAAcvwC,EAAKqF,MAAMqmC,IAC7B,GAAI6E,EAAa,CACf,IAAIC,EAAW5qC,EACfwqC,EAAQG,EAAY,GAAGrqD,QACvB8pD,EAAYO,EAAY,GAAIC,EAAU5qC,GACtC,SAIF,IAAI6qC,EAAgBC,IACpB,GAAID,EAAe,CACjBE,EAAeF,GACXrE,GAAyBqE,EAAcngB,QAAStwB,IAClDowC,EAAQ,GAEV,UAIJ,IAAI99C,OAAO,EAAUs+C,OAAO,EAAUvtC,OAAO,EAC7C,GAAI4sC,GAAW,EAAG,CAEhB,IADAW,EAAO5wC,EAAK5U,MAAM6kD,KAEfvE,GAAOpnC,KAAKssC,IACZpF,GAAalnC,KAAKssC,IAClBhF,GAAQtnC,KAAKssC,IACb/E,GAAmBvnC,KAAKssC,KAGzBvtC,EAAOutC,EAAK/9C,QAAQ,IAAK,IACd,IACXo9C,GAAW5sC,EACXutC,EAAO5wC,EAAK5U,MAAM6kD,GAEpB39C,EAAO0N,EAAK/M,UAAU,EAAGg9C,GAGvBA,EAAU,IACZ39C,EAAO0N,GAGL1N,GACF89C,EAAQ99C,EAAKpM,QAGXkK,EAAQ2/C,OAASz9C,GACnBlC,EAAQ2/C,MAAMz9C,EAAMsT,EAAQtT,EAAKpM,OAAQ0f,GA0B7C,GAAI5F,IAASsY,EAAM,CACjBloB,EAAQ2/C,OAAS3/C,EAAQ2/C,MAAM/vC,GAI/B,OAOJ,SAASowC,EAASzlD,GAChBib,GAASjb,EACTqV,EAAOA,EAAK/M,UAAUtI,GAGxB,SAAS+lD,IACP,IAAIloC,EAAQxI,EAAKqF,MAAMmmC,IACvB,GAAIhjC,EAAO,CACT,IAMI8uB,EAAK7I,EANLppB,EAAQ,CACVirB,QAAS9nB,EAAM,GACf9O,MAAO,GACP8O,MAAO5C,GAIT,IAFAwqC,EAAQ5nC,EAAM,GAAGtiB,UAERoxC,EAAMt3B,EAAKqF,MAAMomC,OAAoBhd,EAAOzuB,EAAKqF,MAAMgmC,KAAwBrrC,EAAKqF,MAAM+lC,MACjG3c,EAAKjmB,MAAQ5C,EACbwqC,EAAQ3hB,EAAK,GAAGvoC,QAChBuoC,EAAK6I,IAAM1xB,EACXP,EAAM3L,MAAMlT,KAAKioC,GAEnB,GAAI6I,EAIF,OAHAjyB,EAAMwrC,WAAavZ,EAAI,GACvB8Y,EAAQ9Y,EAAI,GAAGpxC,QACfmf,EAAMiyB,IAAM1xB,EACLP,GAKb,SAASsrC,EAAgBtrC,GACvB,IAAIirB,EAAUjrB,EAAMirB,QAChBugB,EAAaxrC,EAAMwrC,WAEnBrB,IACc,MAAZD,GAAmBpE,GAAiB7a,IACtC0f,EAAYT,GAEVG,EAAoBpf,IAAYif,IAAYjf,GAC9C0f,EAAY1f,IAQhB,IAJA,IAAIwgB,EAAQrB,EAAcnf,MAAcugB,EAEpC3pD,EAAIme,EAAM3L,MAAMxT,OAChBwT,EAAQ,IAAIkN,MAAM1f,GACblB,EAAI,EAAGA,EAAIkB,EAAGlB,IAAK,CAC1B,IAAI6pB,EAAOxK,EAAM3L,MAAM1T,GACnBmE,EAAQ0lB,EAAK,IAAMA,EAAK,IAAMA,EAAK,IAAM,GACzCy8B,EAAmC,MAAZhc,GAA+B,SAAZzgB,EAAK,GAC/Czf,EAAQ2gD,4BACR3gD,EAAQk8C,qBACZ5yC,EAAM1T,GAAK,CACTiD,KAAM4mB,EAAK,GACX1lB,MAAOkiD,GAAWliD,EAAOmiD,IAQxBwE,IACH3C,EAAM3nD,KAAK,CAAEynB,IAAKqiB,EAAS0gB,cAAe1gB,EAAQlpB,cAAe1N,MAAOA,EAAO8O,MAAOnD,EAAMmD,MAAO8uB,IAAKjyB,EAAMiyB,MAC9GiY,EAAUjf,GAGRlgC,EAAQoY,OACVpY,EAAQoY,MAAM8nB,EAAS52B,EAAOo3C,EAAOzrC,EAAMmD,MAAOnD,EAAMiyB,KAI5D,SAAS0Y,EAAa1f,EAAS9nB,EAAO8uB,GACpC,IAAIiR,EAAK0I,EAKT,GAJa,MAATzoC,IAAiBA,EAAQ5C,GAClB,MAAP0xB,IAAeA,EAAM1xB,GAGrB0qB,EAEF,IADA2gB,EAAoB3gB,EAAQlpB,cACvBmhC,EAAM4F,EAAMjoD,OAAS,EAAGqiD,GAAO,GAC9B4F,EAAM5F,GAAKyI,gBAAkBC,EADI1I,UAOvCA,EAAM,EAGR,GAAIA,GAAO,EAAG,CAEZ,IAAK,IAAIviD,EAAImoD,EAAMjoD,OAAS,EAAGF,GAAKuiD,EAAKviD,IAUnCoK,EAAQknC,KACVlnC,EAAQknC,IAAI6W,EAAMnoD,GAAGioB,IAAKzF,EAAO8uB,GAKrC6W,EAAMjoD,OAASqiD,EACfgH,EAAUhH,GAAO4F,EAAM5F,EAAM,GAAGt6B,QACD,OAAtBgjC,EACL7gD,EAAQoY,OACVpY,EAAQoY,MAAM8nB,EAAS,IAAI,EAAM9nB,EAAO8uB,GAEX,MAAtB2Z,IACL7gD,EAAQoY,OACVpY,EAAQoY,MAAM8nB,EAAS,IAAI,EAAO9nB,EAAO8uB,GAEvClnC,EAAQknC,KACVlnC,EAAQknC,IAAIhH,EAAS9nB,EAAO8uB,IA1HlC0Y,IAmTAkB,CAAUnD,EAAU,CAClBn5C,KAAM23C,GACNiD,WAAYp/C,EAAQo/C,WACpBvE,WAAY76C,EAAQ66C,WACpBC,iBAAkB96C,EAAQ86C,iBAC1BoB,qBAAsBl8C,EAAQk8C,qBAC9ByE,4BAA6B3gD,EAAQ2gD,4BACrCZ,kBAAmB//C,EAAQ+gD,SAC3BC,kBAAmBhhD,EAAQghD,kBAC3B5oC,MAAO,SAAgByF,EAAKvU,EAAOo3C,EAAOO,EAAS/Z,GAGjD,IAAI/sC,EAAM2jD,GAAiBA,EAAc3jD,IAAOsiD,GAAwB5+B,GAIpEnC,GAAe,QAAPvhB,IACVmP,EAmtBR,SAAwBA,GAEtB,IADA,IAAIkP,EAAM,GACD5iB,EAAI,EAAGA,EAAI0T,EAAMxT,OAAQF,IAAK,CACrC,IAAIyoC,EAAO/0B,EAAM1T,GACZsrD,GAAQhtC,KAAKmqB,EAAKxlC,QACrBwlC,EAAKxlC,KAAOwlC,EAAKxlC,KAAK8F,QAAQwiD,GAAY,IAC1C3oC,EAAIpiB,KAAKioC,IAGb,OAAO7lB,EA5tBO4oC,CAAc93C,IAGxB,IAksBmBuE,EAlsBfwwC,EAAUd,GAAiB1/B,EAAKvU,EAAOw0C,GACvC3jD,IACFkkD,EAAQlkD,GAAKA,GAksBN,WAFU0T,EAtqBAwwC,GAwqBlBxgC,MACS,WAAXhQ,EAAGgQ,KACDhQ,EAAGi4B,SAASrtC,MACQ,oBAArBoV,EAAGi4B,SAASrtC,OA3qBoB2jB,OAC9BiiC,EAAQS,WAAY,GAUtB,IAAK,IAAIlpD,EAAI,EAAGA,EAAIymD,GAAcvmD,OAAQF,IACxCyoD,EAAUhC,GAAczmD,GAAGyoD,EAASr+C,IAAYq+C,EAG7C7J,KAuIX,SAAqB3mC,GACkB,MAAjCi5B,GAAiBj5B,EAAI,WACvBA,EAAG4lB,KAAM,GAxIL4tB,CAAWhD,GACPA,EAAQ5qB,MACV+gB,GAAS,IAGT+H,GAAiB8B,EAAQxgC,OAC3BsgC,GAAQ,GAEN3J,EAoIV,SAA0B3mC,GACxB,IAAIkJ,EAAOlJ,EAAGk4B,UACVrmB,EAAM3I,EAAKjhB,OACf,GAAI4pB,EAEF,IADA,IAAIpW,EAAQuE,EAAGvE,MAAQ,IAAIkN,MAAMkJ,GACxB9pB,EAAI,EAAGA,EAAI8pB,EAAK9pB,IACvB0T,EAAM1T,GAAK,CACTiD,KAAMke,EAAKnhB,GAAGiD,KACdkB,MAAO0c,KAAKC,UAAUK,EAAKnhB,GAAGmE,QAEX,MAAjBgd,EAAKnhB,GAAGwiB,QACV9O,EAAM1T,GAAGwiB,MAAQrB,EAAKnhB,GAAGwiB,MACzB9O,EAAM1T,GAAGsxC,IAAMnwB,EAAKnhB,GAAGsxC,UAGjBr5B,EAAG4lB,MAEb5lB,EAAG63B,OAAQ,GApJP4b,CAAgBjD,GACNA,EAAQE,YAElBgD,GAAWlD,GAqPnB,SAAoBxwC,GAClB,IAAIy2B,EAAMwC,GAAiBj5B,EAAI,QAC/B,GAAIy2B,EACFz2B,EAAG4wC,GAAKna,EACRsa,GAAe/wC,EAAI,CACjBy2B,IAAKA,EACLua,MAAOhxC,QAEJ,CACiC,MAAlCi5B,GAAiBj5B,EAAI,YACvBA,EAAG8wC,MAAO,GAEZ,IAAID,EAAS5X,GAAiBj5B,EAAI,aAC9B6wC,IACF7wC,EAAG6wC,OAASA,IAlQV8C,CAAUnD,GAgTlB,SAAsBxwC,GAEL,MADDi5B,GAAiBj5B,EAAI,YAEjCA,EAAG0L,MAAO,GAlTNkoC,CAAYpD,IAGTR,IACHA,EAAOQ,GAMJqC,EAIHtC,EAAaC,IAHbP,EAAgBO,EAChBN,EAAM3nD,KAAKioD,KAMfnX,IAAK,SAAcrpB,EAAKzF,EAAOspC,GAC7B,IAAIrD,EAAUN,EAAMA,EAAMjoD,OAAS,GAEnCioD,EAAMjoD,QAAU,EAChBgoD,EAAgBC,EAAMA,EAAMjoD,OAAS,GAIrCsoD,EAAaC,IAGfsB,MAAO,SAAgBz9C,EAAMkW,EAAO8uB,GAClC,GAAK4W,KAkBDpiC,GACoB,aAAtBoiC,EAAcjgC,KACdigC,EAAchY,SAASkR,cAAgB90C,GAFzC,CAMA,IA8kBc2L,EAxjBR2K,EACAuG,EAvBFjB,EAAWggC,EAAchgC,SAiB7B,GAfE5b,EADEi8C,GAASj8C,EAAKzD,OA8kBJ,YADAoP,EA5kBKiwC,GA6kBbjgC,KAA+B,UAAXhQ,EAAGgQ,IA7kBO3b,EAAOo7C,GAAiBp7C,GAChD4b,EAAShoB,OAGVmoD,EACgB,aAArBA,GAGKb,GAAYlpC,KAAKhS,GAAQ,GAEzB,IAGF87C,EAAqB,IAAM,GAV3B,GAaFG,GAA8B,aAArBF,IAEZ/7C,EAAOA,EAAKvD,QAAQ0+C,GAAgB,OAIjC7I,GAAmB,MAATtyC,IAAiBsW,EArxBxC,SACEtW,EACAi4C,GAEA,IAAIwH,EAAQxH,EAAaD,GAAWC,GAAcH,GAClD,GAAK2H,EAAMztC,KAAKhS,GAAhB,CAOA,IAJA,IAGI+S,EAAOO,EAAOosC,EAHdC,EAAS,GACTC,EAAY,GACZltC,EAAY+sC,EAAM/sC,UAAY,EAE1BK,EAAQ0sC,EAAMzmD,KAAKgH,IAAQ,EACjCsT,EAAQP,EAAMO,OAEFZ,IACVktC,EAAU1rD,KAAKwrD,EAAa1/C,EAAKlH,MAAM4Z,EAAWY,IAClDqsC,EAAOzrD,KAAKqgB,KAAKC,UAAUkrC,KAG7B,IAAItd,EAAMD,GAAapvB,EAAM,GAAGxW,QAChCojD,EAAOzrD,KAAM,MAAQkuC,EAAM,KAC3Bwd,EAAU1rD,KAAK,CAAE,WAAYkuC,IAC7B1vB,EAAYY,EAAQP,EAAM,GAAGnf,OAM/B,OAJI8e,EAAY1S,EAAKpM,SACnBgsD,EAAU1rD,KAAKwrD,EAAa1/C,EAAKlH,MAAM4Z,IACvCitC,EAAOzrD,KAAKqgB,KAAKC,UAAUkrC,KAEtB,CACL73C,WAAY83C,EAAOn+C,KAAK,KACxBm+C,OAAQC,IAsvBkCC,CAAU7/C,EAAMi4C,KACpDp7B,EAAQ,CACNtmB,KAAM,EACNsR,WAAYyO,EAAIzO,WAChB83C,OAAQrpC,EAAIqpC,OACZ3/C,KAAMA,GAEU,MAATA,GAAiB4b,EAAShoB,QAAiD,MAAvCgoB,EAASA,EAAShoB,OAAS,GAAGoM,OAC3E6c,EAAQ,CACNtmB,KAAM,EACNyJ,KAAMA,IAGN6c,GAKFjB,EAAS1nB,KAAK2oB,KAIpBy8B,QAAS,SAAkBt5C,EAAMkW,EAAO8uB,GAGtC,GAAI4W,EAAe,CACjB,IAAI/+B,EAAQ,CACVtmB,KAAM,EACNyJ,KAAMA,EACNuc,WAAW,GAET,EAIJq/B,EAAchgC,SAAS1nB,KAAK2oB,OAI3B8+B,EA8BT,SAASW,GACPH,EACAr+C,GA8SF,IAA4B6N,GAvR5B,SAAqBA,GACnB,IAAIy2B,EAAMqC,GAAe94B,EAAI,OAC7B,GAAIy2B,EAAK,CAqBPz2B,EAAGxT,IAAMiqC,GA5CX0d,CAAW3D,GAIXA,EAAQ3Y,OACL2Y,EAAQhkD,MACRgkD,EAAQvwB,cACRuwB,EAAQtY,UAAUjwC,OAyCvB,SAAqB+X,GACnB,IAAI6uB,EAAMiK,GAAe94B,EAAI,OACzB6uB,IACF7uB,EAAG6uB,IAAMA,EACT7uB,EAAGozB,SAsZP,SAAqBpzB,GACnB,IAAI9H,EAAS8H,EACb,KAAO9H,GAAQ,CACb,QAAmBhN,IAAfgN,EAAOk8C,IACT,OAAO,EAETl8C,EAASA,EAAOA,OAElB,OAAO,EA9ZSm8C,CAAWr0C,IA1C3Bs0C,CAAW9D,GAuJb,SAA6BxwC,GAC3B,IAAImxC,EACW,aAAXnxC,EAAGgQ,KACLmhC,EAAYlY,GAAiBj5B,EAAI,SAYjCA,EAAGmxC,UAAYA,GAAalY,GAAiBj5B,EAAI,gBACvCmxC,EAAYlY,GAAiBj5B,EAAI,iBAW3CA,EAAGmxC,UAAYA,GAIjB,IAAIC,EAAatY,GAAe94B,EAAI,QAChCoxC,IACFpxC,EAAGoxC,WAA4B,OAAfA,EAAsB,YAAcA,EACpDpxC,EAAGu0C,qBAAuBv0C,EAAGi4B,SAAS,WAAYj4B,EAAGi4B,SAAS,gBAG/C,aAAXj4B,EAAGgQ,KAAuBhQ,EAAGmxC,WAC/BrZ,GAAQ93B,EAAI,OAAQoxC,EA1gG1B,SACEpxC,EACAhV,GAEA,OAAOgV,EAAG4vC,YAAY,IAAM5kD,IAC1BgV,EAAG4vC,YAAY,UAAY5kD,IAC3BgV,EAAG4vC,YAAY5kD,GAogGmBwpD,CAAkBx0C,EAAI,UAMxD,GAAe,aAAXA,EAAGgQ,IAAoB,CAEzB,IAAIykC,EAAcrb,GAAwBp5B,EAAIsvC,IAC9C,GAAImF,EAAa,CACX,EAeJ,IAAI5lB,EAAM6lB,GAAYD,GAClBzpD,EAAO6jC,EAAI7jC,KACX2sC,EAAU9I,EAAI8I,QAClB33B,EAAGoxC,WAAapmD,EAChBgV,EAAGu0C,kBAAoB5c,EACvB33B,EAAGmxC,UAAYsD,EAAYvoD,OAvmBT,eAymBf,CAEL,IAAIyoD,EAAgBvb,GAAwBp5B,EAAIsvC,IAChD,GAAIqF,EAAe,CACb,EAsBJ,IAAI95B,EAAQ7a,EAAGigB,cAAgBjgB,EAAGigB,YAAc,IAC5C20B,EAAQF,GAAYC,GACpB55B,EAAS65B,EAAM5pD,KACf6pD,EAAYD,EAAMjd,QAClBmd,EAAgBj6B,EAAME,GAAU20B,GAAiB,WAAY,GAAI1vC,GACrE80C,EAAc1D,WAAar2B,EAC3B+5B,EAAcP,kBAAoBM,EAClCC,EAAc7kC,SAAWjQ,EAAGiQ,SAASuc,QAAO,SAAUhhC,GACpD,IAAKA,EAAE2lD,UAEL,OADA3lD,EAAE0M,OAAS48C,GACJ,KAGXA,EAAc3D,UAAYwD,EAAczoD,OAhpBtB,UAkpBlB8T,EAAGiQ,SAAW,GAEdjQ,EAAG63B,OAAQ,IAvQjBkd,CAAmBvE,GAkSJ,UADWxwC,EAhSRwwC,GAiSXxgC,MACLhQ,EAAGg1C,SAAWlc,GAAe94B,EAAI,SAYrC,SAA2BA,GACzB,IAAIynC,GACCA,EAAU3O,GAAe94B,EAAI,SAChCA,EAAGqkB,UAAYojB,GAE8B,MAA3CxO,GAAiBj5B,EAAI,qBACvBA,EAAG+gB,gBAAiB,GAnTtBk0B,CAAiBzE,GACjB,IAAK,IAAIzoD,EAAI,EAAGA,EAAIwmD,GAAWtmD,OAAQF,IACrCyoD,EAAUjC,GAAWxmD,GAAGyoD,EAASr+C,IAAYq+C,EAG/C,OAkTF,SAAuBxwC,GACrB,IACIjY,EAAGkB,EAAG+B,EAAMiR,EAAS/P,EAAO0oC,EAAWsgB,EAASC,EADhDjsC,EAAOlJ,EAAGk4B,UAEd,IAAKnwC,EAAI,EAAGkB,EAAIigB,EAAKjhB,OAAQF,EAAIkB,EAAGlB,IAAK,CAGvC,GAFAiD,EAAOiR,EAAUiN,EAAKnhB,GAAGiD,KACzBkB,EAAQgd,EAAKnhB,GAAGmE,MACZ4iD,GAAMzoC,KAAKrb,GASb,GAPAgV,EAAGo1C,aAAc,GAEjBxgB,EAAYygB,GAAerqD,EAAK8F,QAAQg+C,GAAO,QAG7C9jD,EAAOA,EAAK8F,QAAQu+C,GAAY,KAE9BD,GAAO/oC,KAAKrb,GACdA,EAAOA,EAAK8F,QAAQs+C,GAAQ,IAC5BljD,EAAQsqC,GAAatqC,IACrBipD,EAAYjG,GAAa7oC,KAAKrb,MAE5BA,EAAOA,EAAKmC,MAAM,GAAI,IAUpBynC,IACEA,EAAU5e,OAASm/B,GAER,eADbnqD,EAAO6e,EAAS7e,MACYA,EAAO,aAEjC4pC,EAAU0gB,QAAUH,IACtBnqD,EAAO6e,EAAS7e,IAEd4pC,EAAU/Q,OACZqxB,EAAUxb,GAAkBxtC,EAAO,UAC9BipD,EAuBH7c,GACEt4B,EACC,cAAkBhV,EAAO,IAC1BkqD,EACA,MACA,EACA5G,EACAplC,EAAKnhB,IACL,IA9BFuwC,GACEt4B,EACC,UAAa6J,EAAS7e,GACvBkqD,EACA,MACA,EACA5G,EACAplC,EAAKnhB,IAEHmiB,EAAUlf,KAAU6e,EAAS7e,IAC/BstC,GACEt4B,EACC,UAAakK,EAAUlf,GACxBkqD,EACA,MACA,EACA5G,EACAplC,EAAKnhB,OAkBV6sC,GAAaA,EAAU5e,OACzBhW,EAAGqkB,WAAasqB,GAAoB3uC,EAAGgQ,IAAKhQ,EAAGi4B,SAASrtC,KAAMI,GAE/D0sC,GAAQ13B,EAAIhV,EAAMkB,EAAOgd,EAAKnhB,GAAIotD,GAElCrd,GAAQ93B,EAAIhV,EAAMkB,EAAOgd,EAAKnhB,GAAIotD,QAE/B,GAAItG,GAAKxoC,KAAKrb,GACnBA,EAAOA,EAAK8F,QAAQ+9C,GAAM,KAC1BsG,EAAYjG,GAAa7oC,KAAKrb,MAE5BA,EAAOA,EAAKmC,MAAM,GAAI,IAExBmrC,GAAWt4B,EAAIhV,EAAMkB,EAAO0oC,GAAW,EAAO0Z,EAAQplC,EAAKnhB,GAAIotD,OAC1D,CAGL,IAAII,GAFJvqD,EAAOA,EAAK8F,QAAQg+C,GAAO,KAEP1nC,MAAM+nC,IACtB5a,EAAMghB,GAAYA,EAAS,GAC/BJ,GAAY,EACR5gB,IACFvpC,EAAOA,EAAKmC,MAAM,IAAKonC,EAAItsC,OAAS,IAChCinD,GAAa7oC,KAAKkuB,KACpBA,EAAMA,EAAIpnC,MAAM,GAAI,GACpBgoD,GAAY,IAGhBhd,GAAan4B,EAAIhV,EAAMiR,EAAS/P,EAAOqoC,EAAK4gB,EAAWvgB,EAAW1rB,EAAKnhB,SAmBzE+vC,GAAQ93B,EAAIhV,EAAM4d,KAAKC,UAAU3c,GAAQgd,EAAKnhB,KAGzCiY,EAAGqkB,WACK,UAATr5B,GACA2jD,GAAoB3uC,EAAGgQ,IAAKhQ,EAAGi4B,SAASrtC,KAAMI,IAChD0sC,GAAQ13B,EAAIhV,EAAM,OAAQke,EAAKnhB,KAnbrCytD,CAAahF,GACNA,EAsCT,SAASkD,GAAY1zC,GACnB,IAAIy2B,EACJ,GAAKA,EAAMwC,GAAiBj5B,EAAI,SAAW,CACzC,IAAI2K,EAcR,SAAmB8rB,GACjB,IAAIgf,EAAUhf,EAAIrvB,MAAM2nC,IACxB,IAAK0G,EAAW,OAChB,IAAI9qC,EAAM,GACVA,EAAIypC,IAAMqB,EAAQ,GAAG7kD,OACrB,IAAI8kD,EAAQD,EAAQ,GAAG7kD,OAAOE,QAAQm+C,GAAe,IACjD0G,EAAgBD,EAAMtuC,MAAM4nC,IAC5B2G,GACFhrC,EAAI+qC,MAAQA,EAAM5kD,QAAQk+C,GAAe,IAAIp+C,OAC7C+Z,EAAIirC,UAAYD,EAAc,GAAG/kD,OAC7B+kD,EAAc,KAChBhrC,EAAIkrC,UAAYF,EAAc,GAAG/kD,SAGnC+Z,EAAI+qC,MAAQA,EAEd,OAAO/qC,EA9BKmrC,CAASrf,GACf9rB,GACFna,EAAOwP,EAAI2K,IAoFjB,SAASomC,GAAgB/wC,EAAI+1C,GACtB/1C,EAAGg2C,eACNh2C,EAAGg2C,aAAe,IAEpBh2C,EAAGg2C,aAAaztD,KAAKwtD,GAmIvB,SAASrB,GAAajN,GACpB,IAAIz8C,EAAOy8C,EAAQz8C,KAAK8F,QAAQw+C,GAAQ,IAWxC,OAVKtkD,GACqB,MAApBy8C,EAAQz8C,KAAK,KACfA,EAAO,WAQJkkD,GAAa7oC,KAAKrb,GAErB,CAAEA,KAAMA,EAAKmC,MAAM,GAAI,GAAIwqC,SAAS,GAEpC,CAAE3sC,KAAO,IAAOA,EAAO,IAAO2sC,SAAS,GA6K7C,SAAS0d,GAAgBrqD,GACvB,IAAIoc,EAAQpc,EAAKoc,MAAMioC,IACvB,GAAIjoC,EAAO,CACT,IAAIoD,EAAM,GAEV,OADApD,EAAMzY,SAAQ,SAAUpD,GAAKif,EAAIjf,EAAE4B,MAAM,KAAM,KACxCqd,GAIX,SAASmlC,GAAcl0C,GAErB,IADA,IAAIwN,EAAM,GACDlhB,EAAI,EAAGkB,EAAIwS,EAAMxT,OAAQF,EAAIkB,EAAGlB,IAOvCkhB,EAAIxN,EAAM1T,GAAGiD,MAAQyQ,EAAM1T,GAAGmE,MAEhC,OAAO+c,EAkBT,IAAIoqC,GAAU,eACVC,GAAa,UAgGjB,SAAS2C,GAAiBj2C,GACxB,OAAO0vC,GAAiB1vC,EAAGgQ,IAAKhQ,EAAGk4B,UAAU/qC,QAAS6S,EAAG9H,QAG3D,IAIIg+C,GAAY,CACd3J,GACAM,GANY,CACZsJ,iBAnEF,SAA2Bn2C,EAAI7N,GAC7B,GAAe,UAAX6N,EAAGgQ,IAAiB,CACtB,IAKIomC,EALAntC,EAAMjJ,EAAGi4B,SACb,IAAKhvB,EAAI,WACP,OAWF,IAPIA,EAAI,UAAYA,EAAI,kBACtBmtC,EAActd,GAAe94B,EAAI,SAE9BiJ,EAAIre,MAASwrD,IAAentC,EAAI,YACnCmtC,EAAc,IAAOntC,EAAI,UAAa,UAGpCmtC,EAAa,CACf,IAAIC,EAAcpd,GAAiBj5B,EAAI,QAAQ,GAC3Cs2C,EAAmBD,EAAe,MAAQA,EAAc,IAAO,GAC/DE,EAAkD,MAAxCtd,GAAiBj5B,EAAI,UAAU,GACzCw2C,EAAkBvd,GAAiBj5B,EAAI,aAAa,GAEpDy2C,EAAUR,GAAgBj2C,GAE9B0zC,GAAW+C,GACXze,GAAWye,EAAS,OAAQ,YAC5B9F,GAAe8F,EAAStkD,GACxBskD,EAAQ/F,WAAY,EACpB+F,EAAQ7F,GAAK,IAAMwF,EAAc,iBAAmBE,EACpDvF,GAAe0F,EAAS,CACtBhgB,IAAKggB,EAAQ7F,GACbI,MAAOyF,IAGT,IAAIC,EAAUT,GAAgBj2C,GAC9Bi5B,GAAiByd,EAAS,SAAS,GACnC1e,GAAW0e,EAAS,OAAQ,SAC5B/F,GAAe+F,EAASvkD,GACxB4+C,GAAe0F,EAAS,CACtBhgB,IAAK,IAAM2f,EAAc,cAAgBE,EACzCtF,MAAO0F,IAGT,IAAIC,EAAUV,GAAgBj2C,GAe9B,OAdAi5B,GAAiB0d,EAAS,SAAS,GACnC3e,GAAW2e,EAAS,QAASP,GAC7BzF,GAAegG,EAASxkD,GACxB4+C,GAAe0F,EAAS,CACtBhgB,IAAK4f,EACLrF,MAAO2F,IAGLJ,EACFE,EAAQ3F,MAAO,EACN0F,IACTC,EAAQ5F,OAAS2F,GAGZC,OAmCb,IAuBIG,GACAC,GAhBAC,GAAc,CAChBvF,YAAY,EACZ/oD,QAAS0tD,GACTl6C,WAXiB,CACjB0oB,MAhtGF,SACE1kB,EACAnB,EACAk4C,GAESA,EACT,IAAI7qD,EAAQ2S,EAAI3S,MACZ0oC,EAAY/1B,EAAI+1B,UAChB5kB,EAAMhQ,EAAGgQ,IACTplB,EAAOoV,EAAGi4B,SAASrtC,KAcvB,GAAIoV,EAAGqkB,UAGL,OAFAiV,GAAkBt5B,EAAI9T,EAAO0oC,IAEtB,EACF,GAAY,WAAR5kB,GAqEb,SACEhQ,EACA9T,EACA0oC,GAEA,IAOIoiB,EAAO,8KAPEpiB,GAAaA,EAAU2E,OAIZ,UAAY,OAGzB,MACXyd,EAAOA,EAAO,IAAOtd,GAAkBxtC,EAFtB,6DAGjBosC,GAAWt4B,EAAI,SAAUg3C,EAAM,MAAM,GAlFnCC,CAAUj3C,EAAI9T,EAAO0oC,QAChB,GAAY,UAAR5kB,GAA4B,aAATplB,GAwBhC,SACEoV,EACA9T,EACA0oC,GAEA,IAAI2E,EAAS3E,GAAaA,EAAU2E,OAChC2d,EAAepe,GAAe94B,EAAI,UAAY,OAC9Cm3C,EAAmBre,GAAe94B,EAAI,eAAiB,OACvDo3C,EAAoBte,GAAe94B,EAAI,gBAAkB,QAC7D03B,GAAQ13B,EAAI,UACV,iBAAmB9T,EAAnB,QACSA,EAAQ,IAAMgrD,EAAe,QACf,SAArBC,EACK,KAAOjrD,EAAQ,IACf,OAASA,EAAQ,IAAMirD,EAAmB,MAGnD7e,GAAWt4B,EAAI,SACb,WAAa9T,EAAb,yCAE2BirD,EAAmB,MAAQC,EAFtD,qCAIgB7d,EAAS,MAAQ2d,EAAe,IAAMA,GAJtD,6CAMiCxd,GAAkBxtC,EAAO,qBAN1D,mBAOsBwtC,GAAkBxtC,EAAO,6CAP/C,WAQYwtC,GAAkBxtC,EAAO,OAAU,IAC/C,MAAM,GAlDNmrD,CAAiBr3C,EAAI9T,EAAO0oC,QACvB,GAAY,UAAR5kB,GAA4B,UAATplB,GAqDhC,SACEoV,EACA9T,EACA0oC,GAEA,IAAI2E,EAAS3E,GAAaA,EAAU2E,OAChC2d,EAAepe,GAAe94B,EAAI,UAAY,OAElD03B,GAAQ13B,EAAI,UAAY,MAAQ9T,EAAQ,KADxCgrD,EAAe3d,EAAU,MAAQ2d,EAAe,IAAOA,GACM,KAC7D5e,GAAWt4B,EAAI,SAAU05B,GAAkBxtC,EAAOgrD,GAAe,MAAM,GA7DrEI,CAAct3C,EAAI9T,EAAO0oC,QACpB,GAAY,UAAR5kB,GAA2B,aAARA,GAgFhC,SACEhQ,EACA9T,EACA0oC,GAEA,IAAIhqC,EAAOoV,EAAGi4B,SAASrtC,KAInB,EAaJ,IAAIikC,EAAM+F,GAAa,GACnBrM,EAAOsG,EAAItG,KACXgR,EAAS1K,EAAI0K,OACb3oC,EAAOi+B,EAAIj+B,KACX2mD,GAAwBhvB,GAAiB,UAAT39B,EAChCN,EAAQi+B,EACR,SACS,UAAT39B,EAjJY,MAmJV,QAEF4uC,EAAkB,sBAClB5oC,IACF4oC,EAAkB,8BAEhBD,IACFC,EAAkB,MAAQA,EAAkB,KAG9C,IAAIwd,EAAOtd,GAAkBxtC,EAAOstC,GAChC+d,IACFP,EAAO,qCAAuCA,GAGhDtf,GAAQ13B,EAAI,QAAU,IAAM9T,EAAQ,KACpCosC,GAAWt4B,EAAI1V,EAAO0sD,EAAM,MAAM,IAC9BpmD,GAAQ2oC,IACVjB,GAAWt4B,EAAI,OAAQ,kBAhIvBw3C,CAAgBx3C,EAAI9T,EAAO0oC,OACtB,KAAKlgC,EAAO6X,cAAcyD,GAG/B,OAFAspB,GAAkBt5B,EAAI9T,EAAO0oC,IAEtB,EAYT,OAAO,GA+pGPvgC,KAhBF,SAAe2L,EAAInB,GACbA,EAAI3S,OACNwrC,GAAQ13B,EAAI,cAAgB,MAASnB,EAAS,MAAI,IAAMA,IAe1DkD,KATF,SAAe/B,EAAInB,GACbA,EAAI3S,OACNwrC,GAAQ13B,EAAI,YAAc,MAASnB,EAAS,MAAI,IAAMA,KAgBxDkxC,SA93Ja,SAAU//B,GAAO,MAAe,QAARA,GA+3JrCg9B,WAAYA,GACZpgC,YAAaA,GACbqgC,iBAAkBA,GAClB1gC,cAAeA,GACfG,gBAAiBA,GACjB8/B,WAxmUF,SAAwBhkD,GACtB,OAAOA,EAAQivD,QAAO,SAAU5zC,EAAMtY,GACpC,OAAOsY,EAAK9K,OAAOxN,EAAEihD,YAAc,MAClC,IAAI32C,KAAK,KAqmUA6hD,CAAcxB,KAQxByB,GAAsBhuC,GAuB1B,SAA0B9F,GACxB,OAAOkF,EACL,iFACClF,EAAO,IAAMA,EAAO,QAbzB,SAAS+zC,GAAU5H,EAAM79C,GAClB69C,IACL4G,GAAce,GAAoBxlD,EAAQq6C,YAAc,IACxDqK,GAAwB1kD,EAAQoa,eAAiB1B,EAcnD,SAASgtC,EAAczmC,GAErB,GADAA,EAAK0mC,OA6DP,SAAmB1mC,GACjB,GAAkB,IAAdA,EAAKxmB,KACP,OAAO,EAET,GAAkB,IAAdwmB,EAAKxmB,KACP,OAAO,EAET,SAAUwmB,EAAKwU,MACZxU,EAAKgkC,aACLhkC,EAAKw/B,IAAOx/B,EAAKgjC,KACjBhrC,EAAagI,EAAKpB,OACnB6mC,GAAsBzlC,EAAKpB,MAM/B,SAAqCoB,GACnC,KAAOA,EAAKlZ,QAAQ,CAElB,GAAiB,cADjBkZ,EAAOA,EAAKlZ,QACH8X,IACP,OAAO,EAET,GAAIoB,EAAKgjC,IACP,OAAO,EAGX,OAAO,EAfJ2D,CAA2B3mC,KAC5BlpB,OAAO2b,KAAKuN,GAAMhG,MAAMwrC,MA1EZlmC,CAASU,GACL,IAAdA,EAAKxmB,KAAY,CAInB,IACGisD,GAAsBzlC,EAAKpB,MACf,SAAboB,EAAKpB,KAC+B,MAApCoB,EAAK6mB,SAAS,mBAEd,OAEF,IAAK,IAAIlwC,EAAI,EAAGkB,EAAImoB,EAAKnB,SAAShoB,OAAQF,EAAIkB,EAAGlB,IAAK,CACpD,IAAImpB,EAAQE,EAAKnB,SAASloB,GAC1B8vD,EAAa3mC,GACRA,EAAM4mC,SACT1mC,EAAK0mC,QAAS,GAGlB,GAAI1mC,EAAK4kC,aACP,IAAK,IAAI/nB,EAAM,EAAG+pB,EAAM5mC,EAAK4kC,aAAa/tD,OAAQgmC,EAAM+pB,EAAK/pB,IAAO,CAClE,IAAI+iB,EAAQ5/B,EAAK4kC,aAAa/nB,GAAK+iB,MACnC6G,EAAa7G,GACRA,EAAM8G,SACT1mC,EAAK0mC,QAAS,KArCtBD,CAAa7H,GA4Cf,SAASiI,EAAiB7mC,EAAMsM,GAC9B,GAAkB,IAAdtM,EAAKxmB,KAAY,CAOnB,IANIwmB,EAAK0mC,QAAU1mC,EAAK1F,QACtB0F,EAAK8mC,YAAcx6B,GAKjBtM,EAAK0mC,QAAU1mC,EAAKnB,SAAShoB,SACN,IAAzBmpB,EAAKnB,SAAShoB,QACY,IAA1BmpB,EAAKnB,SAAS,GAAGrlB,MAGjB,YADAwmB,EAAK+mC,YAAa,GAKpB,GAFE/mC,EAAK+mC,YAAa,EAEhB/mC,EAAKnB,SACP,IAAK,IAAIloB,EAAI,EAAGkB,EAAImoB,EAAKnB,SAAShoB,OAAQF,EAAIkB,EAAGlB,IAC/CkwD,EAAgB7mC,EAAKnB,SAASloB,GAAI21B,KAAatM,EAAKgjC,KAGxD,GAAIhjC,EAAK4kC,aACP,IAAK,IAAI/nB,EAAM,EAAG+pB,EAAM5mC,EAAK4kC,aAAa/tD,OAAQgmC,EAAM+pB,EAAK/pB,IAC3DgqB,EAAgB7mC,EAAK4kC,aAAa/nB,GAAK+iB,MAAOtzB,IAlEpDu6B,CAAgBjI,GAAM,IAwGxB,IAAIoI,GAAU,0DACVC,GAAa,gBACbC,GAAe,+FAGfhsC,GAAW,CACbisC,IAAK,GACLC,IAAK,EACL9X,MAAO,GACP+X,MAAO,GACPC,GAAI,GACJlO,KAAM,GACN/R,MAAO,GACPkgB,KAAM,GACN,OAAU,CAAC,EAAG,KAIZC,GAAW,CAEbL,IAAK,CAAC,MAAO,UACbC,IAAK,MACL9X,MAAO,QAEP+X,MAAO,CAAC,IAAK,YAEbC,GAAI,CAAC,KAAM,WACXlO,KAAM,CAAC,OAAQ,aACf/R,MAAO,CAAC,QAAS,cACjBkgB,KAAM,CAAC,OAAQ,aAEf,OAAU,CAAC,YAAa,SAAU,QAMhCE,GAAW,SAAU9C,GAAa,MAAQ,MAAQA,EAAY,iBAE9D+C,GAAe,CACjBC,KAAM,4BACNC,QAAS,2BACT7qD,KAAM0qD,GAAS,0CACfI,KAAMJ,GAAS,mBACfnwD,MAAOmwD,GAAS,oBAChBK,IAAKL,GAAS,kBACdM,KAAMN,GAAS,mBACfrO,KAAMqO,GAAS,6CACfngB,OAAQmgB,GAAS,6CACjBpgB,MAAOogB,GAAS,8CAGlB,SAASO,GACP5gB,EACA7pB,GAEA,IAAI0qC,EAAS1qC,EAAW,YAAc,MAClC2qC,EAAiB,GACjBC,EAAkB,GACtB,IAAK,IAAIvuD,KAAQwtC,EAAQ,CACvB,IAAIghB,EAAcC,GAAWjhB,EAAOxtC,IAChCwtC,EAAOxtC,IAASwtC,EAAOxtC,GAAM2sC,QAC/B4hB,GAAmBvuD,EAAO,IAAMwuD,EAAc,IAE9CF,GAAkB,IAAOtuD,EAAO,KAAQwuD,EAAc,IAI1D,OADAF,EAAiB,IAAOA,EAAensD,MAAM,GAAI,GAAM,IACnDosD,EACKF,EAAS,MAAQC,EAAiB,KAAQC,EAAgBpsD,MAAM,GAAI,GAAM,KAE1EksD,EAASC,EAIpB,SAASG,GAAYtjD,GACnB,IAAKA,EACH,MAAO,eAGT,GAAIwS,MAAMra,QAAQ6H,GAChB,MAAQ,IAAOA,EAAQ8S,KAAI,SAAU9S,GAAW,OAAOsjD,GAAWtjD,MAAaN,KAAK,KAAQ,IAG9F,IAAI6jD,EAAepB,GAAajyC,KAAKlQ,EAAQjK,OACzCytD,EAAuBvB,GAAQ/xC,KAAKlQ,EAAQjK,OAC5C0tD,EAAuBtB,GAAajyC,KAAKlQ,EAAQjK,MAAM4E,QAAQunD,GAAY,KAE/E,GAAKliD,EAAQy+B,UAKN,CACL,IAAIoiB,EAAO,GACP6C,EAAkB,GAClBh2C,EAAO,GACX,IAAK,IAAIrX,KAAO2J,EAAQy+B,UACtB,GAAIkkB,GAAatsD,GACfqtD,GAAmBf,GAAatsD,GAE5B8f,GAAS9f,IACXqX,EAAKtb,KAAKiE,QAEP,GAAY,UAARA,EAAiB,CAC1B,IAAIooC,EAAaz+B,EAAiB,UAClC0jD,GAAmBhB,GACjB,CAAC,OAAQ,QAAS,MAAO,QACtBrsB,QAAO,SAAUstB,GAAe,OAAQllB,EAAUklB,MAClD7wC,KAAI,SAAU6wC,GAAe,MAAQ,UAAYA,EAAc,SAC/DjkD,KAAK,YAGVgO,EAAKtb,KAAKiE,GAiBd,OAdIqX,EAAK5b,SACP+uD,GAiBN,SAAuBnzC,GACrB,MAIE,mCACCA,EAAKoF,IAAI8wC,IAAelkD,KAAK,MAAS,gBAvB7BmkD,CAAan2C,IAGnBg2C,IACF7C,GAAQ6C,GASF,oBAAsB7C,GAPZ0C,EACb,UAAavjD,EAAa,MAAI,WAC/BwjD,EACG,WAAcxjD,EAAa,MAAI,YAChCyjD,EACG,UAAazjD,EAAa,MAC3BA,EAAQjK,OACmC,IAzCnD,OAAIwtD,GAAgBC,EACXxjD,EAAQjK,MAET,qBAAuB0tD,EAAwB,UAAazjD,EAAa,MAAKA,EAAQjK,OAAS,IAoD3G,SAAS6tD,GAAevtD,GACtB,IAAIytD,EAAS1qB,SAAS/iC,EAAK,IAC3B,GAAIytD,EACF,MAAQ,oBAAsBA,EAEhC,IAAIC,EAAU5tC,GAAS9f,GACnB2tD,EAAUvB,GAASpsD,GACvB,MACE,qBACCoc,KAAKC,UAAUrc,GAAQ,IACvBoc,KAAKC,UAAUqxC,GAFhB,eAIMtxC,KAAKC,UAAUsxC,GACrB,IAuBJ,IAAIC,GAAiB,CACnBz+C,GAlBF,SAAaqE,EAAInB,GAIfmB,EAAGq6C,cAAgB,SAAUrD,GAAQ,MAAQ,MAAQA,EAAO,IAAOn4C,EAAS,MAAI,MAehFpS,KAVF,SAAiBuT,EAAInB,GACnBmB,EAAGs6C,SAAW,SAAUtD,GACtB,MAAQ,MAAQA,EAAO,KAAQh3C,EAAM,IAAI,KAAQnB,EAAS,MAAI,KAAOA,EAAI+1B,WAAa/1B,EAAI+1B,UAAU5e,KAAO,OAAS,UAAYnX,EAAI+1B,WAAa/1B,EAAI+1B,UAAU/Q,KAAO,QAAU,IAAM,MASxL02B,MAAO3vC,GASL4vC,GAAe,SAAuBroD,GACxC2D,KAAK3D,QAAUA,EACf2D,KAAKa,KAAOxE,EAAQwE,MAAQ2gC,GAC5BxhC,KAAKy4C,WAAa9W,GAAoBtlC,EAAQ3J,QAAS,iBACvDsN,KAAK2kD,WAAahjB,GAAoBtlC,EAAQ3J,QAAS,WACvDsN,KAAKkG,WAAaxL,EAAOA,EAAO,GAAI4pD,IAAiBjoD,EAAQ6J,YAC7D,IAAIuQ,EAAgBpa,EAAQoa,eAAiB1B,EAC7C/U,KAAK4kD,eAAiB,SAAU16C,GAAM,QAASA,EAAGqkB,YAAc9X,EAAcvM,EAAGgQ,MACjFla,KAAK6kD,OAAS,EACd7kD,KAAKuB,gBAAkB,GACvBvB,KAAK8vB,KAAM,GAKb,SAASg1B,GACPC,EACA1oD,GAEA,IAAI2H,EAAQ,IAAI0gD,GAAaroD,GAE7B,MAAO,CACLiF,OAAS,sBAFAyjD,EAAMC,GAAWD,EAAK/gD,GAAS,aAED,IACvCzC,gBAAiByC,EAAMzC,iBAI3B,SAASyjD,GAAY96C,EAAIlG,GAKvB,GAJIkG,EAAG9H,SACL8H,EAAG4lB,IAAM5lB,EAAG4lB,KAAO5lB,EAAG9H,OAAO0tB,KAG3B5lB,EAAGm4C,aAAen4C,EAAG+6C,gBACvB,OAAOC,GAAUh7C,EAAIlG,GAChB,GAAIkG,EAAG0L,OAAS1L,EAAGi7C,cACxB,OAAOC,GAAQl7C,EAAIlG,GACd,GAAIkG,EAAGo0C,MAAQp0C,EAAGm7C,aACvB,OAAOC,GAAOp7C,EAAIlG,GACb,GAAIkG,EAAG4wC,KAAO5wC,EAAGq7C,YACtB,OAAOC,GAAMt7C,EAAIlG,GACZ,GAAe,aAAXkG,EAAGgQ,KAAuBhQ,EAAGoxC,YAAet3C,EAAM8rB,IAEtD,IAAe,SAAX5lB,EAAGgQ,IACZ,OAubJ,SAAkBhQ,EAAIlG,GACpB,IAAIk7C,EAAWh1C,EAAGg1C,UAAY,YAC1B/kC,EAAWsrC,GAAYv7C,EAAIlG,GAC3B6Q,EAAM,MAAQqqC,GAAY/kC,EAAY,IAAMA,EAAY,IACxDxU,EAAQuE,EAAGvE,OAASuE,EAAG+3B,aACvByjB,IAAUx7C,EAAGvE,OAAS,IAAI1C,OAAOiH,EAAG+3B,cAAgB,IAAI9uB,KAAI,SAAUunB,GAAQ,MAAO,CAEnFxlC,KAAM6e,EAAS2mB,EAAKxlC,MACpBkB,MAAOskC,EAAKtkC,MACZyrC,QAASnH,EAAKmH,aAEhB,KACA8jB,EAAUz7C,EAAGi4B,SAAS,WACrBx8B,IAASggD,GAAaxrC,IACzBtF,GAAO,SAELlP,IACFkP,GAAO,IAAMlP,GAEXggD,IACF9wC,IAAQlP,EAAQ,GAAK,SAAW,IAAMggD,GAExC,OAAO9wC,EAAM,IA7cJ+wC,CAAQ17C,EAAIlG,GAGnB,IAAIk9C,EACJ,GAAIh3C,EAAGqkB,UACL2yB,EA4cN,SACE2E,EACA37C,EACAlG,GAEA,IAAImW,EAAWjQ,EAAG+gB,eAAiB,KAAOw6B,GAAYv7C,EAAIlG,GAAO,GACjE,MAAQ,MAAQ6hD,EAAgB,IAAOC,GAAU57C,EAAIlG,IAAWmW,EAAY,IAAMA,EAAY,IAAM,IAldzF4rC,CAAa77C,EAAGqkB,UAAWrkB,EAAIlG,OACjC,CACL,IAAIpS,IACCsY,EAAG63B,OAAU73B,EAAG4lB,KAAO9rB,EAAM4gD,eAAe16C,MAC/CtY,EAAOk0D,GAAU57C,EAAIlG,IAGvB,IAAImW,EAAWjQ,EAAG+gB,eAAiB,KAAOw6B,GAAYv7C,EAAIlG,GAAO,GACjEk9C,EAAO,OAAUh3C,EAAM,IAAI,KAAOtY,EAAQ,IAAMA,EAAQ,KAAOuoB,EAAY,IAAMA,EAAY,IAAM,IAGrG,IAAK,IAAIloB,EAAI,EAAGA,EAAI+R,EAAMy0C,WAAWtmD,OAAQF,IAC3CivD,EAAOl9C,EAAMy0C,WAAWxmD,GAAGiY,EAAIg3C,GAEjC,OAAOA,EArBP,OAAOuE,GAAYv7C,EAAIlG,IAAU,SA0BrC,SAASkhD,GAAWh7C,EAAIlG,GACtBkG,EAAG+6C,iBAAkB,EAIrB,IAAIe,EAAmBhiD,EAAM8rB,IAM7B,OALI5lB,EAAG4lB,MACL9rB,EAAM8rB,IAAM5lB,EAAG4lB,KAEjB9rB,EAAMzC,gBAAgB9O,KAAM,qBAAwBuyD,GAAW96C,EAAIlG,GAAU,KAC7EA,EAAM8rB,IAAMk2B,EACJ,OAAShiD,EAAMzC,gBAAgBpP,OAAS,IAAM+X,EAAGk4C,YAAc,QAAU,IAAM,IAIzF,SAASgD,GAASl7C,EAAIlG,GAEpB,GADAkG,EAAGi7C,eAAgB,EACfj7C,EAAG4wC,KAAO5wC,EAAGq7C,YACf,OAAOC,GAAMt7C,EAAIlG,GACZ,GAAIkG,EAAGk4C,YAAa,CAGzB,IAFA,IAAI1rD,EAAM,GACN0L,EAAS8H,EAAG9H,OACTA,GAAQ,CACb,GAAIA,EAAOk8C,IAAK,CACd5nD,EAAM0L,EAAO1L,IACb,MAEF0L,EAASA,EAAOA,OAElB,OAAK1L,EAOG,MAASsuD,GAAW96C,EAAIlG,GAAU,IAAOA,EAAM6gD,SAAY,IAAMnuD,EAAM,IAFtEsuD,GAAW96C,EAAIlG,GAIxB,OAAOkhD,GAAUh7C,EAAIlG,GAIzB,SAASwhD,GACPt7C,EACAlG,EACAiiD,EACAC,GAGA,OADAh8C,EAAGq7C,aAAc,EAInB,SAASY,EACPC,EACApiD,EACAiiD,EACAC,GAEA,IAAKE,EAAWj0D,OACd,OAAO+zD,GAAY,OAGrB,IAAIjG,EAAYmG,EAAWxzD,QAC3B,OAAIqtD,EAAUtf,IACJ,IAAOsf,EAAa,IAAI,KAAQoG,EAAcpG,EAAU/E,OAAU,IAAOiL,EAAgBC,EAAYpiD,EAAOiiD,EAAQC,GAEpH,GAAMG,EAAcpG,EAAU/E,OAIxC,SAASmL,EAAen8C,GACtB,OAAO+7C,EACHA,EAAO/7C,EAAIlG,GACXkG,EAAG0L,KACDwvC,GAAQl7C,EAAIlG,GACZghD,GAAW96C,EAAIlG,IA1BhBmiD,CAAgBj8C,EAAGg2C,aAAa7oD,QAAS2M,EAAOiiD,EAAQC,GA8BjE,SAASZ,GACPp7C,EACAlG,EACAiiD,EACAK,GAEA,IAAI3lB,EAAMz2B,EAAGo0C,IACTsB,EAAQ11C,EAAG01C,MACXE,EAAY51C,EAAG41C,UAAa,IAAO51C,EAAY,UAAK,GACpD61C,EAAY71C,EAAG61C,UAAa,IAAO71C,EAAY,UAAK,GAkBxD,OADAA,EAAGm7C,cAAe,GACViB,GAAa,MAAQ,KAAO3lB,EAA7B,cACSif,EAAQE,EAAYC,EAD7B,aAEWkG,GAAUjB,IAAY96C,EAAIlG,GAC1C,KAGJ,SAAS8hD,GAAW57C,EAAIlG,GACtB,IAAIpS,EAAO,IAIPutB,EA+EN,SAAwBjV,EAAIlG,GAC1B,IAAImb,EAAOjV,EAAGhE,WACd,IAAKiZ,EAAQ,OACb,IAEIltB,EAAGkB,EAAG4V,EAAKw9C,EAFX1xC,EAAM,eACN2xC,GAAa,EAEjB,IAAKv0D,EAAI,EAAGkB,EAAIgsB,EAAKhtB,OAAQF,EAAIkB,EAAGlB,IAAK,CACvC8W,EAAMoW,EAAKltB,GACXs0D,GAAc,EACd,IAAIE,EAAMziD,EAAMkC,WAAW6C,EAAI7T,MAC3BuxD,IAGFF,IAAgBE,EAAIv8C,EAAInB,EAAK/E,EAAMnD,OAEjC0lD,IACFC,GAAa,EACb3xC,GAAO,UAAc9L,EAAQ,KAAI,cAAmBA,EAAW,QAAI,KAAQA,EAAI3S,MAAS,WAAc2S,EAAS,MAAI,gBAAmB+J,KAAKC,UAAUhK,EAAI3S,OAAW,KAAO2S,EAAI01B,IAAO,SAAW11B,EAAIu5B,aAAev5B,EAAI01B,IAAO,IAAQ11B,EAAO,IAAI,KAAU,KAAOA,EAAI+1B,UAAa,cAAiBhsB,KAAKC,UAAUhK,EAAI+1B,WAAe,IAAM,MAGjV,GAAI0nB,EACF,OAAO3xC,EAAIxd,MAAM,GAAI,GAAK,IApGjBqvD,CAAcx8C,EAAIlG,GACzBmb,IAAQvtB,GAAQutB,EAAO,KAGvBjV,EAAGxT,MACL9E,GAAQ,OAAUsY,EAAM,IAAI,KAG1BA,EAAG6uB,MACLnnC,GAAQ,OAAUsY,EAAM,IAAI,KAE1BA,EAAGozB,WACL1rC,GAAQ,kBAGNsY,EAAG4lB,MACLl+B,GAAQ,aAGNsY,EAAGqkB,YACL38B,GAAQ,QAAYsY,EAAM,IAAI,MAGhC,IAAK,IAAIjY,EAAI,EAAGA,EAAI+R,EAAM2gD,WAAWxyD,OAAQF,IAC3CL,GAAQoS,EAAM2gD,WAAW1yD,GAAGiY,GA+B9B,GA5BIA,EAAGvE,QACL/T,GAAQ,SAAY8zD,GAASx7C,EAAGvE,OAAU,KAGxCuE,EAAGP,QACL/X,GAAQ,YAAe8zD,GAASx7C,EAAGP,OAAU,KAG3CO,EAAGw4B,SACL9wC,GAAS0xD,GAAYp5C,EAAGw4B,QAAQ,GAAU,KAExCx4B,EAAG44B,eACLlxC,GAAS0xD,GAAYp5C,EAAG44B,cAAc,GAAS,KAI7C54B,EAAGoxC,aAAepxC,EAAGmxC,YACvBzpD,GAAQ,QAAWsY,EAAa,WAAI,KAGlCA,EAAGigB,cACLv4B,GAwEJ,SACEsY,EACA6a,EACA/gB,GAMA,IAAI0nB,EAAmBxhB,EAAGo0C,KAAOlsD,OAAO2b,KAAKgX,GAAOotB,MAAK,SAAUz7C,GACjE,IAAIsuB,EAAOD,EAAMruB,GACjB,OACEsuB,EAAKy5B,mBACLz5B,EAAK81B,IACL91B,EAAKs5B,KACLqI,GAAkB3hC,MAQlB4hC,IAAa18C,EAAG4wC,GAOpB,IAAKpvB,EAEH,IADA,IAAItpB,EAAS8H,EAAG9H,OACTA,GAAQ,CACb,GACGA,EAAOi5C,WApqDU,YAoqDGj5C,EAAOi5C,WAC5Bj5C,EAAOk8C,IACP,CACA5yB,GAAmB,EACnB,MAEEtpB,EAAO04C,KACT8L,GAAW,GAEbxkD,EAASA,EAAOA,OAIpB,IAAIykD,EAAiBz0D,OAAO2b,KAAKgX,GAC9B5R,KAAI,SAAUzc,GAAO,OAAOowD,GAAc/hC,EAAMruB,GAAMsN,MACtDjE,KAAK,KAER,MAAQ,mBAAqB8mD,EAAiB,KAAOn7B,EAAmB,aAAe,MAAQA,GAAoBk7B,EAAY,eAGjI,SAAc7rD,GACZ,IAAIkpB,EAAO,KACPhyB,EAAI8I,EAAI5I,OACZ,KAAMF,GACJgyB,EAAe,GAAPA,EAAalpB,EAAIoc,aAAallB,GAExC,OAAOgyB,IAAS,EATgI,CAAM4iC,GAAoB,IAAM,IA3HtK,CAAgB38C,EAAIA,EAAGigB,YAAanmB,GAAU,KAGpDkG,EAAG0kB,QACLh9B,GAAQ,gBAAmBsY,EAAG0kB,MAAW,MAAI,aAAgB1kB,EAAG0kB,MAAc,SAAI,eAAkB1kB,EAAG0kB,MAAgB,WAAI,MAGzH1kB,EAAG+gB,eAAgB,CACrB,IAAIA,EAgDR,SAA4B/gB,EAAIlG,GAC9B,IAAI+gD,EAAM76C,EAAGiQ,SAAS,GAClB,EAQJ,GAAI4qC,GAAoB,IAAbA,EAAIjwD,KAAY,CACzB,IAAIiyD,EAAkBjC,GAASC,EAAK/gD,EAAM3H,SAC1C,MAAQ,qCAAwC0qD,EAAsB,OAAI,sBAAyBA,EAAgBxlD,gBAAgB4R,KAAI,SAAU+tC,GAAQ,MAAQ,cAAgBA,EAAO,OAASnhD,KAAK,KAAQ,MA5DzLinD,CAAkB98C,EAAIlG,GACvCinB,IACFr5B,GAAQq5B,EAAiB,KAkB7B,OAfAr5B,EAAOA,EAAKoJ,QAAQ,KAAM,IAAM,IAI5BkP,EAAG+3B,eACLrwC,EAAO,MAAQA,EAAO,KAASsY,EAAM,IAAI,KAASw7C,GAASx7C,EAAG+3B,cAAiB,KAG7E/3B,EAAGs6C,WACL5yD,EAAOsY,EAAGs6C,SAAS5yD,IAGjBsY,EAAGq6C,gBACL3yD,EAAOsY,EAAGq6C,cAAc3yD,IAEnBA,EA2GT,SAAS+0D,GAAmBz8C,GAC1B,OAAgB,IAAZA,EAAGpV,OACU,SAAXoV,EAAGgQ,KAGAhQ,EAAGiQ,SAASg4B,KAAKwU,KAK5B,SAASG,GACP58C,EACAlG,GAEA,IAAIijD,EAAiB/8C,EAAGi4B,SAAS,cACjC,GAAIj4B,EAAG4wC,KAAO5wC,EAAGq7C,cAAgB0B,EAC/B,OAAOzB,GAAMt7C,EAAIlG,EAAO8iD,GAAe,QAEzC,GAAI58C,EAAGo0C,MAAQp0C,EAAGm7C,aAChB,OAAOC,GAAOp7C,EAAIlG,EAAO8iD,IAE3B,IAAIzL,EAttDoB,YAstDRnxC,EAAGmxC,UACf,GACAngD,OAAOgP,EAAGmxC,WACVtiD,EAAK,YAAcsiD,EAAd,aACiB,aAAXnxC,EAAGgQ,IACZhQ,EAAG4wC,IAAMmM,EACN,IAAO/8C,EAAK,GAAI,MAAQu7C,GAAYv7C,EAAIlG,IAAU,aAAe,aAClEyhD,GAAYv7C,EAAIlG,IAAU,YAC5BghD,GAAW96C,EAAIlG,IAAU,IAE3BkjD,EAAe7L,EAAY,GAAK,cACpC,MAAQ,SAAWnxC,EAAGoxC,YAAc,aAAiB,OAASviD,EAAKmuD,EAAe,IAGpF,SAASzB,GACPv7C,EACAlG,EACAmjD,EACAC,EACAC,GAEA,IAAIltC,EAAWjQ,EAAGiQ,SAClB,GAAIA,EAAShoB,OAAQ,CACnB,IAAIm1D,EAAOntC,EAAS,GAEpB,GAAwB,IAApBA,EAAShoB,QACXm1D,EAAKhJ,KACQ,aAAbgJ,EAAKptC,KACQ,SAAbotC,EAAKptC,IACL,CACA,IAAIwV,EAAoBy3B,EACpBnjD,EAAM4gD,eAAe0C,GAAQ,KAAO,KACpC,GACJ,MAAQ,IAAOF,GAAiBpC,IAAYsC,EAAMtjD,GAAU0rB,EAE9D,IAAI63B,EAAsBJ,EAY9B,SACEhtC,EACAyqC,GAGA,IADA,IAAI/vC,EAAM,EACD5iB,EAAI,EAAGA,EAAIkoB,EAAShoB,OAAQF,IAAK,CACxC,IAAIiY,EAAKiQ,EAASloB,GAClB,GAAgB,IAAZiY,EAAGpV,KAAP,CAGA,GAAI0yD,GAAmBt9C,IAClBA,EAAGg2C,cAAgBh2C,EAAGg2C,aAAa/N,MAAK,SAAUz8C,GAAK,OAAO8xD,GAAmB9xD,EAAEwlD,UAAa,CACnGrmC,EAAM,EACN,OAEE+vC,EAAe16C,IACdA,EAAGg2C,cAAgBh2C,EAAGg2C,aAAa/N,MAAK,SAAUz8C,GAAK,OAAOkvD,EAAelvD,EAAEwlD,aAClFrmC,EAAM,IAGV,OAAOA,EA/BD4yC,CAAqBttC,EAAUnW,EAAM4gD,gBACrC,EACA6B,EAAMY,GAAcK,GACxB,MAAQ,IAAOvtC,EAAShH,KAAI,SAAUzd,GAAK,OAAO+wD,EAAI/wD,EAAGsO,MAAWjE,KAAK,KAAQ,KAAOwnD,EAAuB,IAAMA,EAAuB,KA+BhJ,SAASC,GAAoBt9C,GAC3B,YAAkB9U,IAAX8U,EAAGo0C,KAAgC,aAAXp0C,EAAGgQ,KAAiC,SAAXhQ,EAAGgQ,IAG7D,SAASwtC,GAASpsC,EAAMtX,GACtB,OAAkB,IAAdsX,EAAKxmB,KACAkwD,GAAW1pC,EAAMtX,GACD,IAAdsX,EAAKxmB,MAAcwmB,EAAKR,UAarC,SAAqB+8B,GACnB,MAAQ,MAAS/kC,KAAKC,UAAU8kC,EAAQt5C,MAAS,IAbxCopD,CAAWrsC,GAMtB,SAAkB/c,GAChB,MAAQ,OAAuB,IAAdA,EAAKzJ,KAClByJ,EAAK6H,WACLwhD,GAAyB90C,KAAKC,UAAUxU,EAAKA,QAAU,IAPlDspD,CAAQvsC,GAiDnB,SAASoqC,GAAU/7C,GAGjB,IAFA,IAAIm+C,EAAc,GACdC,EAAe,GACV91D,EAAI,EAAGA,EAAI0X,EAAMxX,OAAQF,IAAK,CACrC,IAAIiuB,EAAOvW,EAAM1X,GACbmE,EAAQwxD,GAAyB1nC,EAAK9pB,OACtC8pB,EAAK2hB,QACPkmB,GAAiB7nC,EAAS,KAAI,IAAM9pB,EAAQ,IAE5C0xD,GAAe,IAAQ5nC,EAAS,KAAI,KAAQ9pB,EAAQ,IAIxD,OADA0xD,EAAc,IAAOA,EAAYzwD,MAAM,GAAI,GAAM,IAC7C0wD,EACM,MAAQD,EAAc,KAAQC,EAAa1wD,MAAM,GAAI,GAAM,KAE5DywD,EAKX,SAASF,GAA0BrpD,GACjC,OAAOA,EACJvD,QAAQ,UAAW,WACnBA,QAAQ,UAAW,WASE,IAAI6V,OAAO,MAAQ,iMAI3CjR,MAAM,KAAKG,KAAK,WAAa,OAGR,IAAI8Q,OAAO,MAAQ,qBAExCjR,MAAM,KAAKG,KAAK,yBAA2B,qBA0K7C,SAASioD,GAAgB9G,EAAM+G,GAC7B,IACE,OAAO,IAAI3vD,SAAS4oD,GACpB,MAAOlqD,GAEP,OADAixD,EAAOx1D,KAAK,CAAEuE,IAAKA,EAAKkqD,KAAMA,IACvBpsC,GAIX,SAASozC,GAA2BC,GAClC,IAAI38C,EAAQpZ,OAAOqE,OAAO,MAE1B,OAAO,SACLujD,EACA39C,EACAgO,IAEAhO,EAAU3B,EAAO,GAAI2B,IACCwE,YACfxE,EAAQwE,KAqBf,IAAInK,EAAM2F,EAAQm6C,WACdt7C,OAAOmB,EAAQm6C,YAAcwD,EAC7BA,EACJ,GAAIxuC,EAAM9U,GACR,OAAO8U,EAAM9U,GAIf,IAAI0xD,EAAWD,EAAQnO,EAAU39C,GA+BjC,IAAIwY,EAAM,GACNwzC,EAAc,GAyBlB,OAxBAxzC,EAAIvT,OAAS0mD,GAAeI,EAAS9mD,OAAQ+mD,GAC7CxzC,EAAItT,gBAAkB6mD,EAAS7mD,gBAAgB4R,KAAI,SAAU+tC,GAC3D,OAAO8G,GAAe9G,EAAMmH,MAsBtB78C,EAAM9U,GAAOme,GAiFzB,IA3EgCyzC,GAoG5BC,GAPAzJ,IA7F4BwJ,GA2EW,SACzCtO,EACA39C,GAEA,IAAI0oD,EAAMhL,GAAMC,EAASl/C,OAAQuB,IACR,IAArBA,EAAQylD,UACVA,GAASiD,EAAK1oD,GAEhB,IAAI6kD,EAAO4D,GAASC,EAAK1oD,GACzB,MAAO,CACL0oD,IAAKA,EACLzjD,OAAQ4/C,EAAK5/C,OACbC,gBAAiB2/C,EAAK3/C,kBAtFjB,SAAyBy/C,GAC9B,SAASmH,EACPnO,EACA39C,GAEA,IAAImsD,EAAep2D,OAAOqE,OAAOuqD,GAC7BiH,EAAS,GACTQ,EAAO,GAMX,GAAIpsD,EA+BF,IAAK,IAAI3F,KAZL2F,EAAQ3J,UACV81D,EAAa91D,SACVsuD,EAAYtuD,SAAW,IAAIuQ,OAAO5G,EAAQ3J,UAG3C2J,EAAQ6J,aACVsiD,EAAatiD,WAAaxL,EACxBtI,OAAOqE,OAAOuqD,EAAY96C,YAAc,MACxC7J,EAAQ6J,aAII7J,EACF,YAAR3F,GAA6B,eAARA,IACvB8xD,EAAa9xD,GAAO2F,EAAQ3F,IAKlC8xD,EAAa3nD,KA1CF,SAAU4gC,EAAKC,EAAOgnB,IAC9BA,EAAMD,EAAOR,GAAQx1D,KAAKgvC,IA2C7B,IAAI2mB,EAAWE,GAAYtO,EAASl/C,OAAQ0tD,GAM5C,OAFAJ,EAASH,OAASA,EAClBG,EAASK,KAAOA,EACTL,EAGT,MAAO,CACLD,QAASA,EACTQ,mBAAoBT,GAA0BC,MA4BzBnH,IAEvB2H,IADU7J,GAAMqJ,QACKrJ,GAAM6J,oBAM/B,SAASC,GAAiBC,GAGxB,OAFAN,GAAMA,IAAO10D,SAASC,cAAc,QAChC0xC,UAAYqjB,EAAO,iBAAqB,gBACrCN,GAAI/iB,UAAU1mC,QAAQ,SAAW,EAI1C,IAAIy5C,KAAuB/gC,GAAYoxC,IAAgB,GAEnD5L,KAA8BxlC,GAAYoxC,IAAgB,GAI1DE,GAAej1C,GAAO,SAAUxN,GAClC,IAAI6D,EAAKiyB,GAAM91B,GACf,OAAO6D,GAAMA,EAAGs7B,aAGdujB,GAAQt/C,GAAIpX,UAAU0X,OAC1BN,GAAIpX,UAAU0X,OAAS,SACrBG,EACAwgB,GAKA,IAHAxgB,EAAKA,GAAMiyB,GAAMjyB,MAGNrW,SAASoV,MAAQiB,IAAOrW,SAASm1D,gBAI1C,OAAOhpD,KAGT,IAAI3D,EAAU2D,KAAK0C,SAEnB,IAAKrG,EAAQiF,OAAQ,CACnB,IAAI04C,EAAW39C,EAAQ29C,SACvB,GAAIA,EACF,GAAwB,iBAAbA,EACkB,MAAvBA,EAASt7C,OAAO,KAClBs7C,EAAW8O,GAAa9O,QASrB,KAAIA,EAAS9O,SAMlB,OAAOlrC,KALPg6C,EAAWA,EAASxU,eAObt7B,IACT8vC,EAkCN,SAAuB9vC,GACrB,GAAIA,EAAG++C,UACL,OAAO/+C,EAAG++C,UAEV,IAAIC,EAAYr1D,SAASC,cAAc,OAEvC,OADAo1D,EAAU3zD,YAAY2U,EAAG8rC,WAAU,IAC5BkT,EAAU1jB,UAxCJ2jB,CAAaj/C,IAE1B,GAAI8vC,EAAU,CAER,EAIJ,IAAIjhB,EAAM4vB,GAAmB3O,EAAU,CACrCqD,mBAAmB,EACnB9E,qBAAsBA,GACtByE,4BAA6BA,GAC7BxG,WAAYn6C,EAAQm6C,WACpB4G,SAAU/gD,EAAQ+gD,UACjBp9C,MACCsB,EAASy3B,EAAIz3B,OACbC,EAAkBw3B,EAAIx3B,gBAC1BlF,EAAQiF,OAASA,EACjBjF,EAAQkF,gBAAkBA,GAS9B,OAAOwnD,GAAMx2D,KAAKyN,KAAMkK,EAAIwgB,IAiB9BjhB,GAAI0+C,QAAUQ,GAEC,c,oDCxtXf,IAAIS,EAGJA,EAAI,WACH,OAAOppD,KADJ,GAIJ,IAECopD,EAAIA,GAAK,IAAI9wD,SAAS,cAAb,GACR,MAAOlF,GAEc,iBAAX+D,SAAqBiyD,EAAIjyD,QAOrCjE,EAAOD,QAAUm2D,G,cClBjB,IAOIC,EACAC,EARAC,EAAUr2D,EAAOD,QAAU,GAU/B,SAASu2D,IACL,MAAM,IAAIj1D,MAAM,mCAEpB,SAASk1D,IACL,MAAM,IAAIl1D,MAAM,qCAsBpB,SAASm1D,EAAWC,GAChB,GAAIN,IAAqBh0D,WAErB,OAAOA,WAAWs0D,EAAK,GAG3B,IAAKN,IAAqBG,IAAqBH,IAAqBh0D,WAEhE,OADAg0D,EAAmBh0D,WACZA,WAAWs0D,EAAK,GAE3B,IAEI,OAAON,EAAiBM,EAAK,GAC/B,MAAMv2D,GACJ,IAEI,OAAOi2D,EAAiB92D,KAAK,KAAMo3D,EAAK,GAC1C,MAAMv2D,GAEJ,OAAOi2D,EAAiB92D,KAAKyN,KAAM2pD,EAAK,MAvCnD,WACG,IAEQN,EADsB,mBAAfh0D,WACYA,WAEAm0D,EAEzB,MAAOp2D,GACLi2D,EAAmBG,EAEvB,IAEQF,EADwB,mBAAjB30D,aACcA,aAEA80D,EAE3B,MAAOr2D,GACLk2D,EAAqBG,GAjB7B,GAwEA,IAEIG,EAFA54B,EAAQ,GACR64B,GAAW,EAEXC,GAAc,EAElB,SAASC,IACAF,GAAaD,IAGlBC,GAAW,EACPD,EAAaz3D,OACb6+B,EAAQ44B,EAAa3mD,OAAO+tB,GAE5B84B,GAAc,EAEd94B,EAAM7+B,QACN63D,KAIR,SAASA,IACL,IAAIH,EAAJ,CAGA,IAAI71D,EAAU01D,EAAWK,GACzBF,GAAW,EAGX,IADA,IAAI9tC,EAAMiV,EAAM7+B,OACV4pB,GAAK,CAGP,IAFA6tC,EAAe54B,EACfA,EAAQ,KACC84B,EAAa/tC,GACd6tC,GACAA,EAAaE,GAAYl4B,MAGjCk4B,GAAc,EACd/tC,EAAMiV,EAAM7+B,OAEhBy3D,EAAe,KACfC,GAAW,EAnEf,SAAyBI,GACrB,GAAIX,IAAuB30D,aAEvB,OAAOA,aAAas1D,GAGxB,IAAKX,IAAuBG,IAAwBH,IAAuB30D,aAEvE,OADA20D,EAAqB30D,aACdA,aAAas1D,GAExB,IAEWX,EAAmBW,GAC5B,MAAO72D,GACL,IAEI,OAAOk2D,EAAmB/2D,KAAK,KAAM03D,GACvC,MAAO72D,GAGL,OAAOk2D,EAAmB/2D,KAAKyN,KAAMiqD,KAgD7CC,CAAgBl2D,IAiBpB,SAASm2D,EAAKR,EAAKS,GACfpqD,KAAK2pD,IAAMA,EACX3pD,KAAKoqD,MAAQA,EAYjB,SAASt1C,KA5BTy0C,EAAQnnC,SAAW,SAAUunC,GACzB,IAAI7tC,EAAO,IAAIjJ,MAAMrY,UAAUrI,OAAS,GACxC,GAAIqI,UAAUrI,OAAS,EACnB,IAAK,IAAIF,EAAI,EAAGA,EAAIuI,UAAUrI,OAAQF,IAClC6pB,EAAK7pB,EAAI,GAAKuI,UAAUvI,GAGhC++B,EAAMv+B,KAAK,IAAI03D,EAAKR,EAAK7tC,IACJ,IAAjBkV,EAAM7+B,QAAiB03D,GACvBH,EAAWM,IASnBG,EAAK93D,UAAUu/B,IAAM,WACjB5xB,KAAK2pD,IAAIp+C,MAAM,KAAMvL,KAAKoqD,QAE9Bb,EAAQc,MAAQ,UAChBd,EAAQe,SAAU,EAClBf,EAAQ7wC,IAAM,GACd6wC,EAAQgB,KAAO,GACfhB,EAAQxrD,QAAU,GAClBwrD,EAAQiB,SAAW,GAInBjB,EAAQ1jD,GAAKiP,EACby0C,EAAQkB,YAAc31C,EACtBy0C,EAAQ3zC,KAAOd,EACfy0C,EAAQmB,IAAM51C,EACdy0C,EAAQoB,eAAiB71C,EACzBy0C,EAAQqB,mBAAqB91C,EAC7By0C,EAAQ/oD,KAAOsU,EACfy0C,EAAQsB,gBAAkB/1C,EAC1By0C,EAAQuB,oBAAsBh2C,EAE9By0C,EAAQt/B,UAAY,SAAU/0B,GAAQ,MAAO,IAE7Cq0D,EAAQ5X,QAAU,SAAUz8C,GACxB,MAAM,IAAIX,MAAM,qCAGpBg1D,EAAQwB,IAAM,WAAc,MAAO,KACnCxB,EAAQyB,MAAQ,SAAUjiD,GACtB,MAAM,IAAIxU,MAAM,mCAEpBg1D,EAAQ0B,MAAQ,WAAa,OAAO,I,6BCrLpC,EAAQ,IAER74D,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQi4D,UAOR,SAAmB1tD,EAAK9G,GACtB,IAAIy0D,EAAOt3D,SAASwoC,cAAc,kBAAkBp5B,OAAOzF,EAAK,KAAKyF,OAAOvM,IAE5E,GAAa,OAATy0D,EACF,MAAM,IAAI52D,MAAM,gCAAgC0O,OAAOvM,EAAK,QAAQuM,OAAOzF,IAG7E,IACE,OAAOsV,KAAKinC,MAAMqR,KAAKD,EAAK/0D,QAC5B,MAAOhD,GACP,MAAM,IAAImB,MAAM,iCAAiC0O,OAAOvM,EAAK,QAAQuM,OAAOzF,O,6BChBhFtK,EAAOD,QAAU,SAAUo4D,GACzB,IAAIj4C,EAAO,GAuDX,OArDAA,EAAK7a,SAAW,WACd,OAAOyH,KAAKmT,KAAI,SAAUO,GACxB,IAAI7O,EAsDV,SAAgC6O,EAAM23C,GACpC,IAAIxmD,EAAU6O,EAAK,IAAM,GAErB43C,EAAa53C,EAAK,GAEtB,IAAK43C,EACH,OAAOzmD,EAGT,GAAIwmD,GAAgC,mBAATE,KAAqB,CAC9C,IAAIC,GAWWC,EAXeH,EAa5BI,EAASH,KAAKI,SAASltD,mBAAmBqU,KAAKC,UAAU04C,MACzD75D,EAAO,+DAA+DqR,OAAOyoD,GAC1E,OAAOzoD,OAAOrR,EAAM,QAdrBg6D,EAAaN,EAAWO,QAAQ14C,KAAI,SAAU7W,GAChD,MAAO,iBAAiB2G,OAAOqoD,EAAWQ,YAAc,IAAI7oD,OAAO3G,EAAQ,UAE7E,MAAO,CAACuI,GAAS5B,OAAO2oD,GAAY3oD,OAAO,CAACuoD,IAAgBzrD,KAAK,MAOrE,IAAmB0rD,EAEbC,EACA95D,EAPJ,MAAO,CAACiT,GAAS9E,KAAK,MAvEJgsD,CAAuBr4C,EAAM23C,GAE3C,OAAI33C,EAAK,GACA,UAAUzQ,OAAOyQ,EAAK,GAAI,MAAMzQ,OAAO4B,EAAS,KAGlDA,KACN9E,KAAK,KAKVqT,EAAKnhB,EAAI,SAAUS,EAASs5D,EAAYC,GACf,iBAAZv5D,IAETA,EAAU,CAAC,CAAC,KAAMA,EAAS,MAG7B,IAAIw5D,EAAyB,GAE7B,GAAID,EACF,IAAK,IAAIh6D,EAAI,EAAGA,EAAI+N,KAAK7N,OAAQF,IAAK,CAEpC,IAAIoU,EAAKrG,KAAK/N,GAAG,GAEP,MAANoU,IACF6lD,EAAuB7lD,IAAM,GAKnC,IAAK,IAAI6iB,EAAK,EAAGA,EAAKx2B,EAAQP,OAAQ+2B,IAAM,CAC1C,IAAIxV,EAAO,GAAGzQ,OAAOvQ,EAAQw2B,IAEzB+iC,GAAUC,EAAuBx4C,EAAK,MAKtCs4C,IACGt4C,EAAK,GAGRA,EAAK,GAAK,GAAGzQ,OAAO+oD,EAAY,SAAS/oD,OAAOyQ,EAAK,IAFrDA,EAAK,GAAKs4C,GAMd54C,EAAK3gB,KAAKihB,MAIPN,I,6BC5DM,SAAS+4C,EAAcC,EAAUh5C,GAG9C,IAFA,IAAI22B,EAAS,GACTsiB,EAAY,GACPp6D,EAAI,EAAGA,EAAImhB,EAAKjhB,OAAQF,IAAK,CACpC,IAAIyhB,EAAON,EAAKnhB,GACZoU,EAAKqN,EAAK,GAIV44C,EAAO,CACTjmD,GAAI+lD,EAAW,IAAMn6D,EACrBg2C,IALQv0B,EAAK,GAMb64C,MALU74C,EAAK,GAMf+3C,UALc/3C,EAAK,IAOhB24C,EAAUhmD,GAGbgmD,EAAUhmD,GAAImmD,MAAM/5D,KAAK65D,GAFzBviB,EAAOt3C,KAAK45D,EAAUhmD,GAAM,CAAEA,GAAIA,EAAImmD,MAAO,CAACF,KAKlD,OAAOviB,E,+CCjBT,IAAI0iB,EAAkC,oBAAb54D,SAEzB,GAAqB,oBAAV64D,OAAyBA,QAC7BD,EACH,MAAM,IAAIl4D,MACV,2JAkBJ,IAAIo4D,EAAc,GAQdr3D,EAAOm3D,IAAgB54D,SAASyB,MAAQzB,SAAS+4D,qBAAqB,QAAQ,IAC9EC,EAAmB,KACnBC,EAAmB,EACnBC,GAAe,EACfj4C,EAAO,aACPzY,EAAU,KAKV2wD,EAA+B,oBAAd7yD,WAA6B,eAAeoW,KAAKpW,UAAU2d,UAAUzE,eAE3E,SAAS45C,EAAiBb,EAAUh5C,EAAM85C,EAAeC,GACtEJ,EAAeG,EAEf7wD,EAAU8wD,GAAY,GAEtB,IAAIpjB,EAASoiB,EAAaC,EAAUh5C,GAGpC,OAFAg6C,EAAerjB,GAER,SAAiBsjB,GAEtB,IADA,IAAIC,EAAY,GACPr7D,EAAI,EAAGA,EAAI83C,EAAO53C,OAAQF,IAAK,CACtC,IAAIyhB,EAAOq2B,EAAO93C,IACds7D,EAAWZ,EAAYj5C,EAAKrN,KACvBg3B,OACTiwB,EAAU76D,KAAK86D,GAEbF,EAEFD,EADArjB,EAASoiB,EAAaC,EAAUiB,IAGhCtjB,EAAS,GAEX,IAAS93C,EAAI,EAAGA,EAAIq7D,EAAUn7D,OAAQF,IAAK,CACzC,IAAIs7D,EACJ,GAAsB,KADlBA,EAAWD,EAAUr7D,IACZorC,KAAY,CACvB,IAAK,IAAIxM,EAAI,EAAGA,EAAI08B,EAASf,MAAMr6D,OAAQ0+B,IACzC08B,EAASf,MAAM37B,YAEV87B,EAAYY,EAASlnD,OAMpC,SAAS+mD,EAAgBrjB,GACvB,IAAK,IAAI93C,EAAI,EAAGA,EAAI83C,EAAO53C,OAAQF,IAAK,CACtC,IAAIyhB,EAAOq2B,EAAO93C,GACds7D,EAAWZ,EAAYj5C,EAAKrN,IAChC,GAAIknD,EAAU,CACZA,EAASlwB,OACT,IAAK,IAAIxM,EAAI,EAAGA,EAAI08B,EAASf,MAAMr6D,OAAQ0+B,IACzC08B,EAASf,MAAM37B,GAAGnd,EAAK84C,MAAM37B,IAE/B,KAAOA,EAAInd,EAAK84C,MAAMr6D,OAAQ0+B,IAC5B08B,EAASf,MAAM/5D,KAAK+6D,EAAS95C,EAAK84C,MAAM37B,KAEtC08B,EAASf,MAAMr6D,OAASuhB,EAAK84C,MAAMr6D,SACrCo7D,EAASf,MAAMr6D,OAASuhB,EAAK84C,MAAMr6D,YAEhC,CACL,IAAIq6D,EAAQ,GACZ,IAAS37B,EAAI,EAAGA,EAAInd,EAAK84C,MAAMr6D,OAAQ0+B,IACrC27B,EAAM/5D,KAAK+6D,EAAS95C,EAAK84C,MAAM37B,KAEjC87B,EAAYj5C,EAAKrN,IAAM,CAAEA,GAAIqN,EAAKrN,GAAIg3B,KAAM,EAAGmvB,MAAOA,KAK5D,SAASiB,IACP,IAAIC,EAAe75D,SAASC,cAAc,SAG1C,OAFA45D,EAAa54D,KAAO,WACpBQ,EAAKC,YAAYm4D,GACVA,EAGT,SAASF,EAAU10D,GACjB,IAAI+gB,EAAQrG,EACRk6C,EAAe75D,SAASwoC,cAAc,2BAA8BvjC,EAAIuN,GAAK,MAEjF,GAAIqnD,EAAc,CAChB,GAAIX,EAGF,OAAOj4C,EAOP44C,EAAavyB,WAAW2B,YAAY4wB,GAIxC,GAAIV,EAAS,CAEX,IAAIW,EAAab,IACjBY,EAAeb,IAAqBA,EAAmBY,KACvD5zC,EAAS+zC,EAAoBj3D,KAAK,KAAM+2D,EAAcC,GAAY,GAClEn6C,EAASo6C,EAAoBj3D,KAAK,KAAM+2D,EAAcC,GAAY,QAGlED,EAAeD,IACf5zC,EAASg0C,EAAWl3D,KAAK,KAAM+2D,GAC/Bl6C,EAAS,WACPk6C,EAAavyB,WAAW2B,YAAY4wB,IAMxC,OAFA7zC,EAAO/gB,GAEA,SAAsBg1D,GAC3B,GAAIA,EAAQ,CACV,GAAIA,EAAO7lB,MAAQnvC,EAAImvC,KACnB6lB,EAAOvB,QAAUzzD,EAAIyzD,OACrBuB,EAAOrC,YAAc3yD,EAAI2yD,UAC3B,OAEF5xC,EAAO/gB,EAAMg1D,QAEbt6C,KAKN,IACMu6C,EADFC,GACED,EAAY,GAET,SAAUl8C,EAAOo8C,GAEtB,OADAF,EAAUl8C,GAASo8C,EACZF,EAAUr3B,OAAOpW,SAASvgB,KAAK,QAI1C,SAAS6tD,EAAqBF,EAAc77C,EAAO2B,EAAQ1a,GACzD,IAAImvC,EAAMz0B,EAAS,GAAK1a,EAAImvC,IAE5B,GAAIylB,EAAaQ,WACfR,EAAaQ,WAAWhoB,QAAU8nB,EAAYn8C,EAAOo2B,OAChD,CACL,IAAIkmB,EAAUt6D,SAASquB,eAAe+lB,GAClC7C,EAAasoB,EAAatoB,WAC1BA,EAAWvzB,IAAQ67C,EAAa5wB,YAAYsI,EAAWvzB,IACvDuzB,EAAWjzC,OACbu7D,EAAa/wB,aAAawxB,EAAS/oB,EAAWvzB,IAE9C67C,EAAan4D,YAAY44D,IAK/B,SAASN,EAAYH,EAAc50D,GACjC,IAAImvC,EAAMnvC,EAAImvC,IACVskB,EAAQzzD,EAAIyzD,MACZd,EAAY3yD,EAAI2yD,UAiBpB,GAfIc,GACFmB,EAAax5D,aAAa,QAASq4D,GAEjClwD,EAAQ+xD,OACVV,EAAax5D,aA7JF,kBA6JyB4E,EAAIuN,IAGtColD,IAGFxjB,GAAO,mBAAqBwjB,EAAUI,QAAQ,GAAK,MAEnD5jB,GAAO,uDAAyDsjB,KAAKI,SAASltD,mBAAmBqU,KAAKC,UAAU04C,MAAgB,OAG9HiC,EAAaQ,WACfR,EAAaQ,WAAWhoB,QAAU+B,MAC7B,CACL,KAAOylB,EAAajoB,YAClBioB,EAAa5wB,YAAY4wB,EAAajoB,YAExCioB,EAAan4D,YAAY1B,SAASquB,eAAe+lB,O,gBC3NrD,IAAIomB,EAAwB,EAAQ,IAChCpyD,EAAW,EAAQ,IACnB1D,EAAW,EAAQ,KAIlB81D,GACHpyD,EAAS7J,OAAOC,UAAW,WAAYkG,EAAU,CAAEsH,QAAQ,K,6BCN7D,IAAIyuD,EAAkB,EAAQ,IAC1BC,EAAmB,EAAQ,KAC3B1/C,EAAY,EAAQ,IACpBtP,EAAsB,EAAQ,IAC9BivD,EAAiB,EAAQ,IAGzBC,EAAmBlvD,EAAoB2D,IACvC1D,EAAmBD,EAAoBuE,UAFtB,kBAcrB5Q,EAAOD,QAAUu7D,EAAe37C,MAAO,SAAS,SAAU67C,EAAUC,GAClEF,EAAiBzuD,KAAM,CACrBlL,KAhBiB,iBAiBjBE,OAAQs5D,EAAgBI,GACxB78C,MAAO,EACP88C,KAAMA,OAIP,WACD,IAAI3qD,EAAQxE,EAAiBQ,MACzBhL,EAASgP,EAAMhP,OACf25D,EAAO3qD,EAAM2qD,KACb98C,EAAQ7N,EAAM6N,QAClB,OAAK7c,GAAU6c,GAAS7c,EAAO7C,QAC7B6R,EAAMhP,YAASI,EACR,CAAEgB,WAAOhB,EAAW8wB,MAAM,IAEvB,QAARyoC,EAAuB,CAAEv4D,MAAOyb,EAAOqU,MAAM,GACrC,UAARyoC,EAAyB,CAAEv4D,MAAOpB,EAAO6c,GAAQqU,MAAM,GACpD,CAAE9vB,MAAO,CAACyb,EAAO7c,EAAO6c,IAASqU,MAAM,KAC7C,UAKHrX,EAAU+/C,UAAY//C,EAAUgE,MAGhC07C,EAAiB,QACjBA,EAAiB,UACjBA,EAAiB,Y,6BCnDjB,IAAIhgD,EAAI,EAAQ,IACZhX,EAAO,EAAQ,IAEnBgX,EAAE,CAAEvZ,OAAQ,SAAUsb,OAAO,EAAMvT,OAAQ,IAAIxF,OAASA,GAAQ,CAC9DA,KAAMA,K,gBCLR,IAAI6D,EAAc,EAAQ,GACtByzD,EAA6B,EAAQ,IACrC/yD,EAA2B,EAAQ,IACnCwyD,EAAkB,EAAQ,IAC1B/yD,EAAc,EAAQ,IACtB7D,EAAM,EAAQ,GACd2D,EAAiB,EAAQ,IAEzByzD,EAAiC18D,OAAO2J,yBAI5C9I,EAAQwI,EAAIL,EAAc0zD,EAAiC,SAAkCpzD,EAAGC,GAG9F,GAFAD,EAAI4yD,EAAgB5yD,GACpBC,EAAIJ,EAAYI,GAAG,GACfN,EAAgB,IAClB,OAAOyzD,EAA+BpzD,EAAGC,GACzC,MAAOrH,IACT,GAAIoD,EAAIgE,EAAGC,GAAI,OAAOG,GAA0B+yD,EAA2BpzD,EAAElJ,KAAKmJ,EAAGC,GAAID,EAAEC,M,gBClB7F,IAAIR,EAAQ,EAAQ,GAEhB8yD,EAAc,kBAEd7xD,EAAW,SAAU2yD,EAASC,GAChC,IAAI54D,EAAQxE,EAAKk1C,EAAUioB,IAC3B,OAAO34D,GAAS64D,GACZ74D,GAAS84D,IACW,mBAAbF,EAA0B7zD,EAAM6zD,KACrCA,IAGJloB,EAAY1qC,EAAS0qC,UAAY,SAAUqoB,GAC7C,OAAOj0D,OAAOi0D,GAAQn0D,QAAQizD,EAAa,KAAK56C,eAG9CzhB,EAAOwK,EAASxK,KAAO,GACvBs9D,EAAS9yD,EAAS8yD,OAAS,IAC3BD,EAAW7yD,EAAS6yD,SAAW,IAEnC/7D,EAAOD,QAAUmJ,G,gBCpBjB,IAAIjB,EAAQ,EAAQ,GAChBqS,EAAkB,EAAQ,GAC1B4hD,EAAa,EAAQ,IAErBC,EAAU7hD,EAAgB,WAE9Bta,EAAOD,QAAU,SAAUyY,GAIzB,OAAO0jD,GAAc,KAAOj0D,GAAM,WAChC,IAAIivD,EAAQ,GAKZ,OAJkBA,EAAMlxD,YAAc,IAC1Bm2D,GAAW,WACrB,MAAO,CAAEC,IAAK,IAE2B,IAApClF,EAAM1+C,GAAa4U,SAASgvC,S,cChBvCp8D,EAAOD,QAAU,SAAUgF,EAAIs3D,EAAar6D,GAC1C,KAAM+C,aAAcs3D,GAClB,MAAMt0D,UAAU,cAAgB/F,EAAOA,EAAO,IAAM,IAAM,cAC1D,OAAO+C,I,gBCHX,IAAIsW,EAAI,EAAQ,IACZpQ,EAAS,EAAQ,KAIrBoQ,EAAE,CAAEvZ,OAAQ,SAAU6H,MAAM,EAAME,OAAQ3K,OAAO+L,SAAWA,GAAU,CACpEA,OAAQA,K,gBCNV,IAAIxF,EAAW,EAAQ,GACnBH,EAAU,EAAQ,IAGlB62D,EAFkB,EAAQ,EAEhB7hD,CAAgB,WAI9Bta,EAAOD,QAAU,SAAUu8D,EAAer9D,GACxC,IAAIs9D,EASF,OAREj3D,EAAQg3D,KAGM,mBAFhBC,EAAID,EAAct2D,cAEau2D,IAAM58C,QAASra,EAAQi3D,EAAEp9D,WAC/CsG,EAAS82D,IAEN,QADVA,EAAIA,EAAEJ,MACUI,OAAIr6D,GAH+Cq6D,OAAIr6D,GAKlE,SAAWA,IAANq6D,EAAkB58C,MAAQ48C,GAAc,IAAXt9D,EAAe,EAAIA,K,6BCjBhE,IAAIoc,EAAI,EAAQ,IACZpT,EAAQ,EAAQ,GAChB3C,EAAU,EAAQ,IAClBG,EAAW,EAAQ,GACnBic,EAAW,EAAQ,IACnB86C,EAAW,EAAQ,IACnBC,EAAiB,EAAQ,KACzBC,EAAqB,EAAQ,IAC7BC,EAA+B,EAAQ,IACvCriD,EAAkB,EAAQ,GAC1B4hD,EAAa,EAAQ,IAErBU,EAAuBtiD,EAAgB,sBAOvCuiD,EAA+BX,GAAc,KAAOj0D,GAAM,WAC5D,IAAIivD,EAAQ,GAEZ,OADAA,EAAM0F,IAAwB,EACvB1F,EAAMnnD,SAAS,KAAOmnD,KAG3B4F,EAAkBH,EAA6B,UAE/CI,EAAqB,SAAUv0D,GACjC,IAAK/C,EAAS+C,GAAI,OAAO,EACzB,IAAIw0D,EAAax0D,EAAEo0D,GACnB,YAAsB16D,IAAf86D,IAA6BA,EAAa13D,EAAQkD,IAQ3D6S,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,QALpBgzD,IAAiCC,GAKK,CAClD/sD,OAAQ,SAAgBw7B,GACtB,IAGIxsC,EAAGk+D,EAAGh+D,EAAQ4pB,EAAKq0C,EAHnB10D,EAAIkZ,EAAS5U,MACbqwD,EAAIT,EAAmBl0D,EAAG,GAC1B9E,EAAI,EAER,IAAK3E,GAAK,EAAGE,EAASqI,UAAUrI,OAAQF,EAAIE,EAAQF,IAElD,GAAIg+D,EADJG,GAAW,IAAPn+D,EAAWyJ,EAAIlB,UAAUvI,IACF,CAEzB,GAAI2E,GADJmlB,EAAM2zC,EAASU,EAAEj+D,SAlCF,iBAmCiB,MAAM8I,UAlCT,kCAmC7B,IAAKk1D,EAAI,EAAGA,EAAIp0C,EAAKo0C,IAAKv5D,IAASu5D,KAAKC,GAAGT,EAAeU,EAAGz5D,EAAGw5D,EAAED,QAC7D,CACL,GAAIv5D,GAtCW,iBAsCY,MAAMqE,UArCJ,kCAsC7B00D,EAAeU,EAAGz5D,IAAKw5D,GAI3B,OADAC,EAAEl+D,OAASyE,EACJy5D,M,gBCzDX,IAAI74D,EAAS,EAAQ,GACjBmB,EAAW,EAAQ,GAEnB9E,EAAW2D,EAAO3D,SAElBy8D,EAAS33D,EAAS9E,IAAa8E,EAAS9E,EAASC,eAErDZ,EAAOD,QAAU,SAAUgF,GACzB,OAAOq4D,EAASz8D,EAASC,cAAcmE,GAAM,K,gBCR/C,IAAIuL,EAAQ,EAAQ,IAEhB+sD,EAAmBj4D,SAASC,SAGE,mBAAvBiL,EAAMlE,gBACfkE,EAAMlE,cAAgB,SAAUrH,GAC9B,OAAOs4D,EAAiBh+D,KAAK0F,KAIjC/E,EAAOD,QAAUuQ,EAAMlE,e,gBCXvB,IAAI3I,EAAO,EAAQ,IACfiO,EAAgB,EAAQ,IACxBgQ,EAAW,EAAQ,IACnB86C,EAAW,EAAQ,IACnBE,EAAqB,EAAQ,IAE7Bn9D,EAAO,GAAGA,KAGV+9D,EAAe,SAAUzsD,GAC3B,IAAI0sD,EAAiB,GAAR1sD,EACT2sD,EAAoB,GAAR3sD,EACZ4sD,EAAkB,GAAR5sD,EACV6sD,EAAmB,GAAR7sD,EACX8sD,EAAwB,GAAR9sD,EAChB+sD,EAAmB,GAAR/sD,GAAa8sD,EAC5B,OAAO,SAAUE,EAAOC,EAAY1lD,EAAM2lD,GASxC,IARA,IAOI76D,EAAOkE,EAPPoB,EAAIkZ,EAASm8C,GACb14D,EAAOuM,EAAclJ,GACrBw1D,EAAgBv6D,EAAKq6D,EAAY1lD,EAAM,GACvCnZ,EAASu9D,EAASr3D,EAAKlG,QACvB0f,EAAQ,EACRpb,EAASw6D,GAAkBrB,EAC3B56D,EAASy7D,EAASh6D,EAAOs6D,EAAO5+D,GAAUu+D,EAAYj6D,EAAOs6D,EAAO,QAAK37D,EAEvEjD,EAAS0f,EAAOA,IAAS,IAAIi/C,GAAYj/C,KAASxZ,KAEtDiC,EAAS42D,EADT96D,EAAQiC,EAAKwZ,GACiBA,EAAOnW,GACjCqI,GACF,GAAI0sD,EAAQz7D,EAAO6c,GAASvX,OACvB,GAAIA,EAAQ,OAAQyJ,GACvB,KAAK,EAAG,OAAO,EACf,KAAK,EAAG,OAAO3N,EACf,KAAK,EAAG,OAAOyb,EACf,KAAK,EAAGpf,EAAKF,KAAKyC,EAAQoB,QACrB,GAAIw6D,EAAU,OAAO,EAGhC,OAAOC,GAAiB,EAAIF,GAAWC,EAAWA,EAAW57D,IAIjE9B,EAAOD,QAAU,CAGf4F,QAAS23D,EAAa,GAGtBr9C,IAAKq9C,EAAa,GAGlB95B,OAAQ85B,EAAa,GAGrBre,KAAMqe,EAAa,GAGnBl7C,MAAOk7C,EAAa,GAGpBW,KAAMX,EAAa,GAGnBY,UAAWZ,EAAa,K,6BC9D1B,IAAIr1D,EAAQ,EAAQ,GAEpBjI,EAAOD,QAAU,SAAUyY,EAAaxK,GACtC,IAAImD,EAAS,GAAGqH,GAChB,QAASrH,GAAUlJ,GAAM,WAEvBkJ,EAAO9R,KAAK,KAAM2O,GAAY,WAAc,MAAM,GAAM,Q,gBCP5D,IAMIoQ,EAAOvT,EANPvG,EAAS,EAAQ,GACjBsgB,EAAY,EAAQ,KAEpByxC,EAAU/xD,EAAO+xD,QACjBiB,EAAWjB,GAAWA,EAAQiB,SAC9B6G,EAAK7G,GAAYA,EAAS6G,GAG1BA,EAEFtzD,GADAuT,EAAQ+/C,EAAGzxD,MAAM,MACD,GAAK0R,EAAM,GAClBwG,MACTxG,EAAQwG,EAAUxG,MAAM,iBACVA,EAAM,IAAM,MACxBA,EAAQwG,EAAUxG,MAAM,oBACbvT,EAAUuT,EAAM,IAI/Bpe,EAAOD,QAAU8K,IAAYA,G,gBCnB7B,IAAIzC,EAAW,EAAQ,GACnBg2D,EAAwB,EAAQ,KAChC5B,EAAW,EAAQ,IACnB/4D,EAAO,EAAQ,IACf46D,EAAoB,EAAQ,KAC5BC,EAA+B,EAAQ,KAEvCC,EAAS,SAAUC,EAASp3D,GAC9B0F,KAAK0xD,QAAUA,EACf1xD,KAAK1F,OAASA,IAGFpH,EAAOD,QAAU,SAAU0+D,EAAU54D,EAAIuS,EAAMsmD,EAAYC,GACvE,IACI5rC,EAAU6rC,EAAQjgD,EAAO1f,EAAQmI,EAAQgV,EAAMyiD,EAD/Cb,EAAgBv6D,EAAKoC,EAAIuS,EAAMsmD,EAAa,EAAI,GAGpD,GAAIC,EACF5rC,EAAW0rC,MACN,CAEL,GAAqB,mBADrBG,EAASP,EAAkBI,IACM,MAAM12D,UAAU,0BAEjD,GAAIq2D,EAAsBQ,GAAS,CACjC,IAAKjgD,EAAQ,EAAG1f,EAASu9D,EAASiC,EAASx/D,QAASA,EAAS0f,EAAOA,IAIlE,IAHAvX,EAASs3D,EACLV,EAAc51D,EAASy2D,EAAOJ,EAAS9/C,IAAQ,GAAIkgD,EAAK,IACxDb,EAAcS,EAAS9/C,MACbvX,aAAkBm3D,EAAQ,OAAOn3D,EAC/C,OAAO,IAAIm3D,GAAO,GAEtBxrC,EAAW6rC,EAAOv/D,KAAKo/D,GAIzB,IADAriD,EAAO2W,EAAS3W,OACPyiD,EAAOziD,EAAK/c,KAAK0zB,IAAWC,MAEnC,GAAqB,iBADrB5rB,EAASk3D,EAA6BvrC,EAAUirC,EAAea,EAAK37D,MAAOw7D,KAC1Ct3D,GAAUA,aAAkBm3D,EAAQ,OAAOn3D,EAC5E,OAAO,IAAIm3D,GAAO,KAGdxO,KAAO,SAAU3oD,GACvB,OAAO,IAAIm3D,GAAO,EAAMn3D,K,gBCzC1B,IAAI+zD,EAAwB,EAAQ,IAChC2D,EAAa,EAAQ,IAGrBzkD,EAFkB,EAAQ,EAEVC,CAAgB,eAEhCykD,EAAuE,aAAnDD,EAAW,WAAc,OAAOx3D,UAArB,IAUnCtH,EAAOD,QAAUo7D,EAAwB2D,EAAa,SAAU/5D,GAC9D,IAAIyD,EAAGwe,EAAK5f,EACZ,YAAclF,IAAP6C,EAAmB,YAAqB,OAAPA,EAAc,OAEM,iBAAhDiiB,EAXD,SAAUjiB,EAAIvB,GACzB,IACE,OAAOuB,EAAGvB,GACV,MAAOpC,KAQS49D,CAAOx2D,EAAItJ,OAAO6F,GAAKsV,IAA8B2M,EAEnE+3C,EAAoBD,EAAWt2D,GAEH,WAA3BpB,EAAS03D,EAAWt2D,KAAsC,mBAAZA,EAAEy2D,OAAuB,YAAc73D,I,gBCxB5F,IAAI0G,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IAGjCqvD,EAAe,SAAU4B,GAC3B,OAAO,SAAUrB,EAAOvc,GACtB,IAGI6d,EAAOC,EAHPC,EAAIr3D,OAAOiG,EAAuB4vD,IAClCyB,EAAWxxD,EAAUwzC,GACrBie,EAAOF,EAAEpgE,OAEb,OAAIqgE,EAAW,GAAKA,GAAYC,EAAaL,EAAoB,QAAKh9D,GACtEi9D,EAAQE,EAAEp7C,WAAWq7C,IACN,OAAUH,EAAQ,OAAUG,EAAW,IAAMC,IACtDH,EAASC,EAAEp7C,WAAWq7C,EAAW,IAAM,OAAUF,EAAS,MAC1DF,EAAoBG,EAAE7zD,OAAO8zD,GAAYH,EACzCD,EAAoBG,EAAEl7D,MAAMm7D,EAAUA,EAAW,GAA+BF,EAAS,OAAlCD,EAAQ,OAAU,IAA0B,QAI7Gn/D,EAAOD,QAAU,CAGfy/D,OAAQlC,GAAa,GAGrB9xD,OAAQ8xD,GAAa,K,6BCxBvB,IAAImC,EAA6B,GAAG7kD,qBAChC/R,EAA2B3J,OAAO2J,yBAGlC62D,EAAc72D,IAA6B42D,EAA2BpgE,KAAK,CAAEsgE,EAAG,GAAK,GAIzF5/D,EAAQwI,EAAIm3D,EAAc,SAA8BE,GACtD,IAAIr2D,EAAaV,EAAyBiE,KAAM8yD,GAChD,QAASr2D,GAAcA,EAAW1G,YAChC48D,G,gBCZJ,IAAIv3D,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChBrH,EAAgB,EAAQ,IAG5BZ,EAAOD,SAAWmI,IAAgBD,GAAM,WACtC,OAEQ,GAFD/I,OAAO0D,eAAehC,EAAc,OAAQ,IAAK,CACtDkC,IAAK,WAAc,OAAO,KACzB2E,M,gBCRL,IAAInD,EAAS,EAAQ,GACjB0E,EAAY,EAAQ,IAGpBsH,EAAQhM,EADC,uBACiB0E,EADjB,qBACmC,IAEhDhJ,EAAOD,QAAUuQ,G,gBCNjB,IAAIoL,EAAU,EAAQ,IAClBpL,EAAQ,EAAQ,KAEnBtQ,EAAOD,QAAU,SAAUyD,EAAKN,GAC/B,OAAOoN,EAAM9M,KAAS8M,EAAM9M,QAAiBtB,IAAVgB,EAAsBA,EAAQ,MAChE,WAAY,IAAI3D,KAAK,CACtBsL,QAAS,QACTzH,KAAMsY,EAAU,OAAS,SACzBmkD,UAAW,0C,gBCRb,IAAIr7D,EAAM,EAAQ,GACd42D,EAAkB,EAAQ,IAC1BxvD,EAAU,EAAQ,IAA+BA,QACjDwE,EAAa,EAAQ,IAEzBpQ,EAAOD,QAAU,SAAU4D,EAAQm8D,GACjC,IAGIt8D,EAHAgF,EAAI4yD,EAAgBz3D,GACpB5E,EAAI,EACJqI,EAAS,GAEb,IAAK5D,KAAOgF,GAAIhE,EAAI4L,EAAY5M,IAAQgB,EAAIgE,EAAGhF,IAAQ4D,EAAO7H,KAAKiE,GAEnE,KAAOs8D,EAAM7gE,OAASF,GAAOyF,EAAIgE,EAAGhF,EAAMs8D,EAAM/gE,SAC7C6M,EAAQxE,EAAQ5D,IAAQ4D,EAAO7H,KAAKiE,IAEvC,OAAO4D,I,gBCfT,IAAIg0D,EAAkB,EAAQ,IAC1BoB,EAAW,EAAQ,IACnBuD,EAAkB,EAAQ,KAG1BzC,EAAe,SAAU0C,GAC3B,OAAO,SAAUnC,EAAO7mD,EAAIipD,GAC1B,IAGI/8D,EAHAsF,EAAI4yD,EAAgByC,GACpB5+D,EAASu9D,EAASh0D,EAAEvJ,QACpB0f,EAAQohD,EAAgBE,EAAWhhE,GAIvC,GAAI+gE,GAAehpD,GAAMA,GAAI,KAAO/X,EAAS0f,GAG3C,IAFAzb,EAAQsF,EAAEmW,OAEGzb,EAAO,OAAO,OAEtB,KAAMjE,EAAS0f,EAAOA,IAC3B,IAAKqhD,GAAerhD,KAASnW,IAAMA,EAAEmW,KAAW3H,EAAI,OAAOgpD,GAAerhD,GAAS,EACnF,OAAQqhD,IAAgB,IAI9BhgE,EAAOD,QAAU,CAGfmgE,SAAU5C,GAAa,GAGvB1xD,QAAS0xD,GAAa,K,cC9BxBv9D,EAAQwI,EAAIrJ,OAAOihE,uB,gBCAnB,IAAIC,EAAqB,EAAQ,IAC7BtnD,EAAc,EAAQ,IAI1B9Y,EAAOD,QAAUb,OAAO2b,MAAQ,SAAcrS,GAC5C,OAAO43D,EAAmB53D,EAAGsQ,K,6BCJ/B9Y,EAAOD,QAAU,SAAc8F,EAAI8B,GACjC,OAAO,WAEL,IADA,IAAIihB,EAAO,IAAIjJ,MAAMrY,UAAUrI,QACtBF,EAAI,EAAGA,EAAI6pB,EAAK3pB,OAAQF,IAC/B6pB,EAAK7pB,GAAKuI,UAAUvI,GAEtB,OAAO8G,EAAGwS,MAAM1Q,EAASihB,M,6BCN7B,IAAIy3C,EAAQ,EAAQ,GAEpB,SAASC,EAAO/6D,GACd,OAAOgG,mBAAmBhG,GACxBuC,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KAUrB9H,EAAOD,QAAU,SAAkB+K,EAAKC,EAAQw1D,GAE9C,IAAKx1D,EACH,OAAOD,EAGT,IAAI01D,EACJ,GAAID,EACFC,EAAmBD,EAAiBx1D,QAC/B,GAAIs1D,EAAMv5D,kBAAkBiE,GACjCy1D,EAAmBz1D,EAAO1F,eACrB,CACL,IAAIi0D,EAAQ,GAEZ+G,EAAM16D,QAAQoF,GAAQ,SAAmBxF,EAAK/B,GACxC+B,UAIA86D,EAAM/6D,QAAQC,GAChB/B,GAAY,KAEZ+B,EAAM,CAACA,GAGT86D,EAAM16D,QAAQJ,GAAK,SAAoBwZ,GACjCshD,EAAM55D,OAAOsY,GACfA,EAAIA,EAAE0hD,cACGJ,EAAM56D,SAASsZ,KACxBA,EAAIa,KAAKC,UAAUd,IAErBu6C,EAAM/5D,KAAK+gE,EAAO98D,GAAO,IAAM88D,EAAOvhD,WAI1CyhD,EAAmBlH,EAAMzsD,KAAK,KAGhC,GAAI2zD,EAAkB,CACpB,IAAIE,EAAgB51D,EAAIc,QAAQ,MACT,IAAnB80D,IACF51D,EAAMA,EAAI3G,MAAM,EAAGu8D,IAGrB51D,KAA8B,IAAtBA,EAAIc,QAAQ,KAAc,IAAM,KAAO40D,EAGjD,OAAO11D,I,6BCnET9K,EAAOD,QAAU,SAAkBmD,GACjC,SAAUA,IAASA,EAAMy9D,c,8BCH3B,YAEA,IAAIN,EAAQ,EAAQ,GAChBO,EAAsB,EAAQ,KAE9BC,EAAuB,CACzB,eAAgB,qCAGlB,SAASC,EAAsBppD,EAASxU,IACjCm9D,EAAM76D,YAAYkS,IAAY2oD,EAAM76D,YAAYkS,EAAQ,mBAC3DA,EAAQ,gBAAkBxU,GAgB9B,IAXM69D,EAWF7oD,EAAW,CACb6oD,UAX8B,oBAAnBC,qBAGmB,IAAZ3K,GAAuE,qBAA5Cn3D,OAAOC,UAAUkG,SAAShG,KAAKg3D,MAD1E0K,EAAU,EAAQ,KAKbA,GAMPE,iBAAkB,CAAC,SAA0BviE,EAAMgZ,GAGjD,OAFAkpD,EAAoBlpD,EAAS,UAC7BkpD,EAAoBlpD,EAAS,gBACzB2oD,EAAMp6D,WAAWvH,IACnB2hE,EAAMv6D,cAAcpH,IACpB2hE,EAAMt6D,SAASrH,IACf2hE,EAAMz5D,SAASlI,IACf2hE,EAAM35D,OAAOhI,IACb2hE,EAAM15D,OAAOjI,GAENA,EAEL2hE,EAAMl6D,kBAAkBzH,GACnBA,EAAK4H,OAEV+5D,EAAMv5D,kBAAkBpI,IAC1BoiE,EAAsBppD,EAAS,mDACxBhZ,EAAK2G,YAEVg7D,EAAM56D,SAAS/G,IACjBoiE,EAAsBppD,EAAS,kCACxBkI,KAAKC,UAAUnhB,IAEjBA,IAGTwiE,kBAAmB,CAAC,SAA2BxiE,GAE7C,GAAoB,iBAATA,EACT,IACEA,EAAOkhB,KAAKinC,MAAMnoD,GAClB,MAAOwB,IAEX,OAAOxB,IAOToC,QAAS,EAETqgE,eAAgB,aAChBC,eAAgB,eAEhBC,kBAAmB,EAEnBC,eAAgB,SAAwBvsD,GACtC,OAAOA,GAAU,KAAOA,EAAS,MAIrCmD,EAASR,QAAU,CACjB6pD,OAAQ,CACN,OAAU,sCAIdlB,EAAM16D,QAAQ,CAAC,SAAU,MAAO,SAAS,SAA6BwL,GACpE+G,EAASR,QAAQvG,GAAU,MAG7BkvD,EAAM16D,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BwL,GACrE+G,EAASR,QAAQvG,GAAUkvD,EAAMl5D,MAAM05D,MAGzC7gE,EAAOD,QAAUmY,I,+CC9FjB,IAAImoD,EAAQ,EAAQ,GAChBmB,EAAS,EAAQ,KACjBC,EAAW,EAAQ,IACnBC,EAAgB,EAAQ,KACxBC,EAAe,EAAQ,KACvBC,EAAkB,EAAQ,KAC1BC,EAAc,EAAQ,IAE1B7hE,EAAOD,QAAU,SAAoB2L,GACnC,OAAO,IAAIpL,SAAQ,SAA4BC,EAASC,GACtD,IAAIshE,EAAcp2D,EAAOhN,KACrBqjE,EAAiBr2D,EAAOgM,QAExB2oD,EAAMp6D,WAAW67D,WACZC,EAAe,gBAGxB,IAAI9/D,EAAU,IAAI++D,eAGlB,GAAIt1D,EAAOs2D,KAAM,CACf,IAAIC,EAAWv2D,EAAOs2D,KAAKC,UAAY,GACnCC,EAAWx2D,EAAOs2D,KAAKE,UAAY,GACvCH,EAAeI,cAAgB,SAAW9J,KAAK4J,EAAW,IAAMC,GAGlE,IAAIE,EAAWV,EAAch2D,EAAO22D,QAAS32D,EAAOZ,KA4EpD,GA3EA7I,EAAQiY,KAAKxO,EAAOyF,OAAO4P,cAAe0gD,EAASW,EAAU12D,EAAOX,OAAQW,EAAO60D,mBAAmB,GAGtGt+D,EAAQnB,QAAU4K,EAAO5K,QAGzBmB,EAAQqgE,mBAAqB,WAC3B,GAAKrgE,GAAkC,IAAvBA,EAAQsgE,aAQD,IAAnBtgE,EAAQ8S,QAAkB9S,EAAQugE,aAAwD,IAAzCvgE,EAAQugE,YAAY52D,QAAQ,UAAjF,CAKA,IAAI62D,EAAkB,0BAA2BxgE,EAAU0/D,EAAa1/D,EAAQygE,yBAA2B,KAEvGC,EAAW,CACbjkE,KAFkBgN,EAAOk3D,cAAwC,SAAxBl3D,EAAOk3D,aAAiD3gE,EAAQ0gE,SAA/B1gE,EAAQ4gE,aAGlF9tD,OAAQ9S,EAAQ8S,OAChB+tD,WAAY7gE,EAAQ6gE,WACpBprD,QAAS+qD,EACT/2D,OAAQA,EACRzJ,QAASA,GAGXu/D,EAAOjhE,EAASC,EAAQmiE,GAGxB1gE,EAAU,OAIZA,EAAQ8gE,QAAU,WACX9gE,IAILzB,EAAOqhE,EAAY,kBAAmBn2D,EAAQ,eAAgBzJ,IAG9DA,EAAU,OAIZA,EAAQV,QAAU,WAGhBf,EAAOqhE,EAAY,gBAAiBn2D,EAAQ,KAAMzJ,IAGlDA,EAAU,MAIZA,EAAQ+gE,UAAY,WAClB,IAAIC,EAAsB,cAAgBv3D,EAAO5K,QAAU,cACvD4K,EAAOu3D,sBACTA,EAAsBv3D,EAAOu3D,qBAE/BziE,EAAOqhE,EAAYoB,EAAqBv3D,EAAQ,eAC9CzJ,IAGFA,EAAU,MAMRo+D,EAAMr5D,uBAAwB,CAChC,IAAIk8D,EAAU,EAAQ,KAGlBC,GAAaz3D,EAAO03D,iBAAmBxB,EAAgBQ,KAAc12D,EAAOy1D,eAC9E+B,EAAQG,KAAK33D,EAAOy1D,qBACpBj/D,EAEEihE,IACFpB,EAAer2D,EAAO01D,gBAAkB+B,GAuB5C,GAlBI,qBAAsBlhE,GACxBo+D,EAAM16D,QAAQo8D,GAAgB,SAA0Bx8D,EAAK/B,QAChC,IAAhBs+D,GAAqD,iBAAtBt+D,EAAI2c,qBAErC4hD,EAAev+D,GAGtBvB,EAAQqhE,iBAAiB9/D,EAAK+B,MAM/B86D,EAAM76D,YAAYkG,EAAO03D,mBAC5BnhE,EAAQmhE,kBAAoB13D,EAAO03D,iBAIjC13D,EAAOk3D,aACT,IACE3gE,EAAQ2gE,aAAel3D,EAAOk3D,aAC9B,MAAO1iE,GAGP,GAA4B,SAAxBwL,EAAOk3D,aACT,MAAM1iE,EAM6B,mBAA9BwL,EAAO63D,oBAChBthE,EAAQqjB,iBAAiB,WAAY5Z,EAAO63D,oBAIP,mBAA5B73D,EAAO83D,kBAAmCvhE,EAAQwhE,QAC3DxhE,EAAQwhE,OAAOn+C,iBAAiB,WAAY5Z,EAAO83D,kBAGjD93D,EAAOg4D,aAETh4D,EAAOg4D,YAAYrjE,QAAQyU,MAAK,SAAoB6uD,GAC7C1hE,IAILA,EAAQ2hE,QACRpjE,EAAOmjE,GAEP1hE,EAAU,cAIMC,IAAhB4/D,IACFA,EAAc,MAIhB7/D,EAAQ4hE,KAAK/B,Q,6BC/KjB,IAAIgC,EAAe,EAAQ,KAY3B9jE,EAAOD,QAAU,SAAqBgC,EAAS2J,EAAQsiD,EAAM/rD,EAAS0gE,GACpE,IAAIvhE,EAAQ,IAAIC,MAAMU,GACtB,OAAO+hE,EAAa1iE,EAAOsK,EAAQsiD,EAAM/rD,EAAS0gE,K,6BCdpD,IAAItC,EAAQ,EAAQ,GAUpBrgE,EAAOD,QAAU,SAAqBgkE,EAASC,GAE7CA,EAAUA,GAAW,GACrB,IAAIt4D,EAAS,GAETu4D,EAAuB,CAAC,MAAO,SAAU,SAAU,QACnDC,EAA0B,CAAC,UAAW,OAAQ,SAC9CC,EAAuB,CACzB,UAAW,MAAO,mBAAoB,oBAAqB,mBAC3D,UAAW,kBAAmB,UAAW,eAAgB,iBACzD,iBAAkB,mBAAoB,qBACtC,mBAAoB,iBAAkB,eAAgB,YACtD,aAAc,cAAe,cAG/B9D,EAAM16D,QAAQs+D,GAAsB,SAA0Bj3C,QAC/B,IAAlBg3C,EAAQh3C,KACjBthB,EAAOshB,GAAQg3C,EAAQh3C,OAI3BqzC,EAAM16D,QAAQu+D,GAAyB,SAA6Bl3C,GAC9DqzC,EAAM56D,SAASu+D,EAAQh3C,IACzBthB,EAAOshB,GAAQqzC,EAAM94D,UAAUw8D,EAAQ/2C,GAAOg3C,EAAQh3C,SACpB,IAAlBg3C,EAAQh3C,GACxBthB,EAAOshB,GAAQg3C,EAAQh3C,GACdqzC,EAAM56D,SAASs+D,EAAQ/2C,IAChCthB,EAAOshB,GAAQqzC,EAAM94D,UAAUw8D,EAAQ/2C,SACL,IAAlB+2C,EAAQ/2C,KACxBthB,EAAOshB,GAAQ+2C,EAAQ/2C,OAI3BqzC,EAAM16D,QAAQw+D,GAAsB,SAA0Bn3C,QAC/B,IAAlBg3C,EAAQh3C,GACjBthB,EAAOshB,GAAQg3C,EAAQh3C,QACW,IAAlB+2C,EAAQ/2C,KACxBthB,EAAOshB,GAAQ+2C,EAAQ/2C,OAI3B,IAAIo3C,EAAYH,EACbl0D,OAAOm0D,GACPn0D,OAAOo0D,GAENE,EAAYnlE,OACb2b,KAAKmpD,GACLxgC,QAAO,SAAyBhgC,GAC/B,OAAmC,IAA5B4gE,EAAUx4D,QAAQpI,MAW7B,OARA68D,EAAM16D,QAAQ0+D,GAAW,SAAmCr3C,QAC7B,IAAlBg3C,EAAQh3C,GACjBthB,EAAOshB,GAAQg3C,EAAQh3C,QACW,IAAlB+2C,EAAQ/2C,KACxBthB,EAAOshB,GAAQ+2C,EAAQ/2C,OAIpBthB,I,6BC/DT,SAAS44D,EAAOviE,GACd+K,KAAK/K,QAAUA,EAGjBuiE,EAAOnlE,UAAUkG,SAAW,WAC1B,MAAO,UAAYyH,KAAK/K,QAAU,KAAO+K,KAAK/K,QAAU,KAG1DuiE,EAAOnlE,UAAUwhE,YAAa,EAE9B3gE,EAAOD,QAAUukE,G,6BCjBjB,IAAIjpD,EAAI,EAAQ,IACZ1V,EAAU,EAAQ,IAItB0V,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,OAAQ,GAAGlE,SAAWA,GAAW,CACjEA,QAASA,K,6BCNX,IAAI4+D,EAAW,EAAQ,IAAgC5+D,QACnD6+D,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElCC,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,WAI7CzkE,EAAOD,QAAY2kE,GAAkBC,EAEjC,GAAGh/D,QAFgD,SAAiBm4D,GACtE,OAAOyG,EAASz3D,KAAMgxD,EAAYx2D,UAAUrI,OAAS,EAAIqI,UAAU,QAAKpF,K,gBCX1E,IAAIyY,EAAU,EAAQ,IAItB3a,EAAOD,QAAU4f,MAAMra,SAAW,SAAiBimC,GACjD,MAAuB,SAAhB5wB,EAAQ4wB,K,gBCLjB,IAAItjC,EAAQ,EAAQ,GAEpBjI,EAAOD,UAAYb,OAAOihE,wBAA0Bl4D,GAAM,WAGxD,OAAQD,OAAOhF,c,gBCLjB,MAAM,0BAAEoY,GAA8B,EAAQ,IACxCwpD,EAAQ,EAAQ,IAIhBvmD,GAHNte,EAAUC,EAAOD,QAAU,IAGRse,GAAK,GAClBpd,EAAMlB,EAAQkB,IAAM,GACpBkC,EAAIpD,EAAQoD,EAAI,GACtB,IAAI0hE,EAAI,EAER,MAAMC,EAAc,CAAC9iE,EAAMkB,EAAO6hE,KAChC,MAAMpmD,EAAQkmD,IACdD,EAAMjmD,EAAOzb,GACbC,EAAEnB,GAAQ2c,EACV1d,EAAI0d,GAASzb,EACbmb,EAAGM,GAAS,IAAIhB,OAAOza,EAAO6hE,EAAW,SAAM7iE,IASjD4iE,EAAY,oBAAqB,eACjCA,EAAY,yBAA0B,UAMtCA,EAAY,uBAAwB,8BAKpCA,EAAY,cAAe,IAAI7jE,EAAIkC,EAAE6hE,0BACd/jE,EAAIkC,EAAE6hE,0BACN/jE,EAAIkC,EAAE6hE,uBAE7BF,EAAY,mBAAoB,IAAI7jE,EAAIkC,EAAE8hE,+BACdhkE,EAAIkC,EAAE8hE,+BACNhkE,EAAIkC,EAAE8hE,4BAKlCH,EAAY,uBAAwB,MAAM7jE,EAAIkC,EAAE6hE,sBAC5C/jE,EAAIkC,EAAE+hE,0BAEVJ,EAAY,4BAA6B,MAAM7jE,EAAIkC,EAAE8hE,2BACjDhkE,EAAIkC,EAAE+hE,0BAMVJ,EAAY,aAAc,QAAQ7jE,EAAIkC,EAAEgiE,8BAC/BlkE,EAAIkC,EAAEgiE,6BAEfL,EAAY,kBAAmB,SAAS7jE,EAAIkC,EAAEiiE,mCACrCnkE,EAAIkC,EAAEiiE,kCAKfN,EAAY,kBAAmB,iBAM/BA,EAAY,QAAS,UAAU7jE,EAAIkC,EAAEkiE,yBAC5BpkE,EAAIkC,EAAEkiE,wBAWfP,EAAY,YAAa,KAAK7jE,EAAIkC,EAAEmiE,eACjCrkE,EAAIkC,EAAEoiE,eACPtkE,EAAIkC,EAAEqiE,WAERV,EAAY,OAAQ,IAAI7jE,EAAIkC,EAAEsiE,eAK9BX,EAAY,aAAc,WAAW7jE,EAAIkC,EAAEuiE,oBACxCzkE,EAAIkC,EAAEwiE,oBACP1kE,EAAIkC,EAAEqiE,WAERV,EAAY,QAAS,IAAI7jE,EAAIkC,EAAEyiE,gBAE/Bd,EAAY,OAAQ,gBAKpBA,EAAY,wBAA4B7jE,EAAIkC,EAAE8hE,wBAAT,YACrCH,EAAY,mBAAuB7jE,EAAIkC,EAAE6hE,mBAAT,YAEhCF,EAAY,cAAe,YAAY7jE,EAAIkC,EAAE0iE,4BAChB5kE,EAAIkC,EAAE0iE,4BACN5kE,EAAIkC,EAAE0iE,wBACV5kE,EAAIkC,EAAEoiE,gBACVtkE,EAAIkC,EAAEqiE,eAG3BV,EAAY,mBAAoB,YAAY7jE,EAAIkC,EAAE2iE,iCAChB7kE,EAAIkC,EAAE2iE,iCACN7kE,EAAIkC,EAAE2iE,6BACV7kE,EAAIkC,EAAEwiE,qBACV1kE,EAAIkC,EAAEqiE,eAGhCV,EAAY,SAAU,IAAI7jE,EAAIkC,EAAE4iE,YAAY9kE,EAAIkC,EAAE6iE,iBAClDlB,EAAY,cAAe,IAAI7jE,EAAIkC,EAAE4iE,YAAY9kE,EAAIkC,EAAE8iE,sBAIvDnB,EAAY,SAAU,oBACI1pD,mBACIA,qBACAA,qBAE9B0pD,EAAY,YAAa7jE,EAAIkC,EAAE+iE,SAAS,GAIxCpB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAAS7jE,EAAIkC,EAAEgjE,kBAAkB,GAC1DpmE,EAAQqmE,iBAAmB,MAE3BtB,EAAY,QAAS,IAAI7jE,EAAIkC,EAAEgjE,aAAallE,EAAIkC,EAAE6iE,iBAClDlB,EAAY,aAAc,IAAI7jE,EAAIkC,EAAEgjE,aAAallE,EAAIkC,EAAE8iE,sBAIvDnB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAAS7jE,EAAIkC,EAAEkjE,kBAAkB,GAC1DtmE,EAAQumE,iBAAmB,MAE3BxB,EAAY,QAAS,IAAI7jE,EAAIkC,EAAEkjE,aAAaplE,EAAIkC,EAAE6iE,iBAClDlB,EAAY,aAAc,IAAI7jE,EAAIkC,EAAEkjE,aAAaplE,EAAIkC,EAAE8iE,sBAGvDnB,EAAY,kBAAmB,IAAI7jE,EAAIkC,EAAE4iE,aAAa9kE,EAAIkC,EAAEyiE,oBAC5Dd,EAAY,aAAc,IAAI7jE,EAAIkC,EAAE4iE,aAAa9kE,EAAIkC,EAAEsiE,mBAIvDX,EAAY,iBAAkB,SAAS7jE,EAAIkC,EAAE4iE,aACrC9kE,EAAIkC,EAAEyiE,eAAe3kE,EAAIkC,EAAE6iE,iBAAiB,GACpDjmE,EAAQwmE,sBAAwB,SAMhCzB,EAAY,cAAe,SAAS7jE,EAAIkC,EAAE6iE,0BAEnB/kE,EAAIkC,EAAE6iE,sBAG7BlB,EAAY,mBAAoB,SAAS7jE,EAAIkC,EAAE8iE,+BAEnBhlE,EAAIkC,EAAE8iE,2BAIlCnB,EAAY,OAAQ,mBAEpBA,EAAY,OAAQ,yBACpBA,EAAY,UAAW,4B,iBCrLvB,oBACqB,iBAAZzO,GACPA,EAAQ7wC,KACR6wC,EAAQ7wC,IAAIghD,YACZ,cAAcnpD,KAAKg5C,EAAQ7wC,IAAIghD,YAC7B,IAAI59C,IAAS7kB,QAAQ3C,MAAM,YAAawnB,GACxC,OAEJ5oB,EAAOD,QAAU6kE,I,kCCRjB,MAAMA,EAAQ,EAAQ,KAChB,WAAEzpD,EAAU,iBAAEH,GAAqB,EAAQ,KAC3C,GAAEqD,EAAE,EAAElb,GAAM,EAAQ,KAEpB,mBAAEsjE,GAAuB,EAAQ,KACvC,MAAMC,EACJ,YAAa77D,EAAS1B,GAOpB,GANKA,GAA8B,iBAAZA,IACrBA,EAAU,CACRw9D,QAASx9D,EACTy9D,mBAAmB,IAGnB/7D,aAAmB67D,EAAQ,CAC7B,GAAI77D,EAAQ87D,UAAYx9D,EAAQw9D,OAC5B97D,EAAQ+7D,sBAAwBz9D,EAAQy9D,kBAC1C,OAAO/7D,EAEPA,EAAUA,EAAQA,aAEf,GAAuB,iBAAZA,EAChB,MAAM,IAAI9C,UAAU,oBAAoB8C,GAG1C,GAAIA,EAAQ5L,OAASkc,EACnB,MAAM,IAAIpT,UACR,0BAA0BoT,gBAI9BypD,EAAM,SAAU/5D,EAAS1B,GACzB2D,KAAK3D,QAAUA,EACf2D,KAAK65D,QAAUx9D,EAAQw9D,MAGvB75D,KAAK85D,oBAAsBz9D,EAAQy9D,kBAEnC,MAAMrkE,EAAIsI,EAAQjD,OAAOwW,MAAMjV,EAAQw9D,MAAQtoD,EAAGlb,EAAE0jE,OAASxoD,EAAGlb,EAAE2jE,OAElE,IAAKvkE,EACH,MAAM,IAAIwF,UAAU,oBAAoB8C,GAU1C,GAPAiC,KAAK2a,IAAM5c,EAGXiC,KAAKi6D,OAASxkE,EAAE,GAChBuK,KAAKk6D,OAASzkE,EAAE,GAChBuK,KAAKytC,OAASh4C,EAAE,GAEZuK,KAAKi6D,MAAQ/rD,GAAoBlO,KAAKi6D,MAAQ,EAChD,MAAM,IAAIh/D,UAAU,yBAGtB,GAAI+E,KAAKk6D,MAAQhsD,GAAoBlO,KAAKk6D,MAAQ,EAChD,MAAM,IAAIj/D,UAAU,yBAGtB,GAAI+E,KAAKytC,MAAQv/B,GAAoBlO,KAAKytC,MAAQ,EAChD,MAAM,IAAIxyC,UAAU,yBAIjBxF,EAAE,GAGLuK,KAAKm6D,WAAa1kE,EAAE,GAAGmK,MAAM,KAAKuT,IAAK9M,IACrC,GAAI,WAAWkK,KAAKlK,GAAK,CACvB,MAAM+zD,GAAO/zD,EACb,GAAI+zD,GAAO,GAAKA,EAAMlsD,EACpB,OAAOksD,EAGX,OAAO/zD,IATTrG,KAAKm6D,WAAa,GAapBn6D,KAAKq6D,MAAQ5kE,EAAE,GAAKA,EAAE,GAAGmK,MAAM,KAAO,GACtCI,KAAKs6D,SAGP,SAKE,OAJAt6D,KAAKjC,QAAU,GAAGiC,KAAKi6D,SAASj6D,KAAKk6D,SAASl6D,KAAKytC,QAC/CztC,KAAKm6D,WAAWhoE,SAClB6N,KAAKjC,SAAW,IAAIiC,KAAKm6D,WAAWp6D,KAAK,MAEpCC,KAAKjC,QAGd,WACE,OAAOiC,KAAKjC,QAGd,QAASw8D,GAEP,GADAzC,EAAM,iBAAkB93D,KAAKjC,QAASiC,KAAK3D,QAASk+D,KAC9CA,aAAiBX,GAAS,CAC9B,GAAqB,iBAAVW,GAAsBA,IAAUv6D,KAAKjC,QAC9C,OAAO,EAETw8D,EAAQ,IAAIX,EAAOW,EAAOv6D,KAAK3D,SAGjC,OAAIk+D,EAAMx8D,UAAYiC,KAAKjC,QAClB,EAGFiC,KAAKw6D,YAAYD,IAAUv6D,KAAKy6D,WAAWF,GAGpD,YAAaA,GAKX,OAJMA,aAAiBX,IACrBW,EAAQ,IAAIX,EAAOW,EAAOv6D,KAAK3D,UAI/Bs9D,EAAmB35D,KAAKi6D,MAAOM,EAAMN,QACrCN,EAAmB35D,KAAKk6D,MAAOK,EAAML,QACrCP,EAAmB35D,KAAKytC,MAAO8sB,EAAM9sB,OAIzC,WAAY8sB,GAMV,GALMA,aAAiBX,IACrBW,EAAQ,IAAIX,EAAOW,EAAOv6D,KAAK3D,UAI7B2D,KAAKm6D,WAAWhoE,SAAWooE,EAAMJ,WAAWhoE,OAC9C,OAAQ,EACH,IAAK6N,KAAKm6D,WAAWhoE,QAAUooE,EAAMJ,WAAWhoE,OACrD,OAAO,EACF,IAAK6N,KAAKm6D,WAAWhoE,SAAWooE,EAAMJ,WAAWhoE,OACtD,OAAO,EAGT,IAAIF,EAAI,EACR,EAAG,CACD,MAAM0I,EAAIqF,KAAKm6D,WAAWloE,GACpB2I,EAAI2/D,EAAMJ,WAAWloE,GAE3B,GADA6lE,EAAM,qBAAsB7lE,EAAG0I,EAAGC,QACxBxF,IAANuF,QAAyBvF,IAANwF,EACrB,OAAO,EACF,QAAUxF,IAANwF,EACT,OAAO,EACF,QAAUxF,IAANuF,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAO++D,EAAmBh/D,EAAGC,WAEtB3I,GAGb,aAAcsoE,GACNA,aAAiBX,IACrBW,EAAQ,IAAIX,EAAOW,EAAOv6D,KAAK3D,UAGjC,IAAIpK,EAAI,EACR,EAAG,CACD,MAAM0I,EAAIqF,KAAKq6D,MAAMpoE,GACf2I,EAAI2/D,EAAMF,MAAMpoE,GAEtB,GADA6lE,EAAM,qBAAsB7lE,EAAG0I,EAAGC,QACxBxF,IAANuF,QAAyBvF,IAANwF,EACrB,OAAO,EACF,QAAUxF,IAANwF,EACT,OAAO,EACF,QAAUxF,IAANuF,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAO++D,EAAmBh/D,EAAGC,WAEtB3I,GAKb,IAAKyoE,EAASC,GACZ,OAAQD,GACN,IAAK,WACH16D,KAAKm6D,WAAWhoE,OAAS,EACzB6N,KAAKytC,MAAQ,EACbztC,KAAKk6D,MAAQ,EACbl6D,KAAKi6D,QACLj6D,KAAK46D,IAAI,MAAOD,GAChB,MACF,IAAK,WACH36D,KAAKm6D,WAAWhoE,OAAS,EACzB6N,KAAKytC,MAAQ,EACbztC,KAAKk6D,QACLl6D,KAAK46D,IAAI,MAAOD,GAChB,MACF,IAAK,WAIH36D,KAAKm6D,WAAWhoE,OAAS,EACzB6N,KAAK46D,IAAI,QAASD,GAClB36D,KAAK46D,IAAI,MAAOD,GAChB,MAGF,IAAK,aAC4B,IAA3B36D,KAAKm6D,WAAWhoE,QAClB6N,KAAK46D,IAAI,QAASD,GAEpB36D,KAAK46D,IAAI,MAAOD,GAChB,MAEF,IAAK,QAMc,IAAf36D,KAAKk6D,OACU,IAAfl6D,KAAKytC,OACsB,IAA3BztC,KAAKm6D,WAAWhoE,QAEhB6N,KAAKi6D,QAEPj6D,KAAKk6D,MAAQ,EACbl6D,KAAKytC,MAAQ,EACbztC,KAAKm6D,WAAa,GAClB,MACF,IAAK,QAKgB,IAAfn6D,KAAKytC,OAA0C,IAA3BztC,KAAKm6D,WAAWhoE,QACtC6N,KAAKk6D,QAEPl6D,KAAKytC,MAAQ,EACbztC,KAAKm6D,WAAa,GAClB,MACF,IAAK,QAK4B,IAA3Bn6D,KAAKm6D,WAAWhoE,QAClB6N,KAAKytC,QAEPztC,KAAKm6D,WAAa,GAClB,MAGF,IAAK,MACH,GAA+B,IAA3Bn6D,KAAKm6D,WAAWhoE,OAClB6N,KAAKm6D,WAAa,CAAC,OACd,CACL,IAAIloE,EAAI+N,KAAKm6D,WAAWhoE,OACxB,OAASF,GAAK,GACsB,iBAAvB+N,KAAKm6D,WAAWloE,KACzB+N,KAAKm6D,WAAWloE,KAChBA,GAAK,IAGE,IAAPA,GAEF+N,KAAKm6D,WAAW1nE,KAAK,GAGrBkoE,IAGE36D,KAAKm6D,WAAW,KAAOQ,EACrBn2D,MAAMxE,KAAKm6D,WAAW,MACxBn6D,KAAKm6D,WAAa,CAACQ,EAAY,IAGjC36D,KAAKm6D,WAAa,CAACQ,EAAY,IAGnC,MAEF,QACE,MAAM,IAAIpmE,MAAM,+BAA+BmmE,GAInD,OAFA16D,KAAKs6D,SACLt6D,KAAK2a,IAAM3a,KAAKjC,QACTiC,MAIX9M,EAAOD,QAAU2mE,G,6BChSjB,IAaI7qD,EAAmB8rD,EAAmCC,EAbtDrsD,EAAiB,EAAQ,IACzBzS,EAA8B,EAAQ,GACtCtE,EAAM,EAAQ,GACd8V,EAAkB,EAAQ,GAC1BoB,EAAU,EAAQ,IAElBK,EAAWzB,EAAgB,YAC3BwB,GAAyB,EAQzB,GAAGjB,OAGC,SAFN+sD,EAAgB,GAAG/sD,SAIjB8sD,EAAoCpsD,EAAeA,EAAeqsD,OACxB1oE,OAAOC,YAAW0c,EAAoB8rD,GAHlD7rD,GAAyB,GAOlC5Z,MAArB2Z,IAAgCA,EAAoB,IAGnDH,GAAYlX,EAAIqX,EAAmBE,IACtCjT,EAA4B+S,EAAmBE,GApBhC,WAAc,OAAOjP,QAuBtC9M,EAAOD,QAAU,CACf8b,kBAAmBA,EACnBC,uBAAwBA,I,gBCnC1B,IAAItX,EAAM,EAAQ,GACdkd,EAAW,EAAQ,IACnBvR,EAAY,EAAQ,IACpB03D,EAA2B,EAAQ,KAEnC5uD,EAAW9I,EAAU,YACrB23D,EAAkB5oE,OAAOC,UAI7Ba,EAAOD,QAAU8nE,EAA2B3oE,OAAOqc,eAAiB,SAAU/S,GAE5E,OADAA,EAAIkZ,EAASlZ,GACThE,EAAIgE,EAAGyQ,GAAkBzQ,EAAEyQ,GACH,mBAAjBzQ,EAAExC,aAA6BwC,aAAaA,EAAExC,YAChDwC,EAAExC,YAAY7G,UACdqJ,aAAatJ,OAAS4oE,EAAkB,O,gBCfnD,IAAI1/D,EAAW,EAAQ,GACnB2/D,EAAqB,EAAQ,KAMjC/nE,EAAOD,QAAUb,OAAOsc,iBAAmB,aAAe,GAAK,WAC7D,IAEI4O,EAFA49C,GAAiB,EACjB3qD,EAAO,GAEX,KACE+M,EAASlrB,OAAO2J,yBAAyB3J,OAAOC,UAAW,aAAa6Q,KACjE3Q,KAAKge,EAAM,IAClB2qD,EAAiB3qD,aAAgBsC,MACjC,MAAOve,IACT,OAAO,SAAwBoH,EAAG4U,GAKhC,OAJAhV,EAASI,GACTu/D,EAAmB3qD,GACf4qD,EAAgB59C,EAAO/qB,KAAKmJ,EAAG4U,GAC9B5U,EAAEihB,UAAYrM,EACZ5U,GAdoD,QAgBzDtG,I,gBCvBN,IAAIkO,EAAa,EAAQ,IACrB3K,EAAW,EAAQ,GACnBjB,EAAM,EAAQ,GACd5B,EAAiB,EAAQ,GAAuC2F,EAChE9D,EAAM,EAAQ,IACdwjE,EAAW,EAAQ,KAEnBC,EAAWzjE,EAAI,QACf0O,EAAK,EAEL4W,EAAe7qB,OAAO6qB,cAAgB,WACxC,OAAO,GAGLo+C,EAAc,SAAUpjE,GAC1BnC,EAAemC,EAAImjE,EAAU,CAAEhlE,MAAO,CACpCklE,SAAU,OAAQj1D,EAClBk1D,SAAU,OAoCVlY,EAAOnwD,EAAOD,QAAU,CAC1BuoE,UAAU,EACVC,QAlCY,SAAUxjE,EAAIxB,GAE1B,IAAKkC,EAASV,GAAK,MAAoB,iBAANA,EAAiBA,GAAmB,iBAANA,EAAiB,IAAM,KAAOA,EAC7F,IAAKP,EAAIO,EAAImjE,GAAW,CAEtB,IAAKn+C,EAAahlB,GAAK,MAAO,IAE9B,IAAKxB,EAAQ,MAAO,IAEpB4kE,EAAYpjE,GAEZ,OAAOA,EAAGmjE,GAAUE,UAwBtBI,YArBgB,SAAUzjE,EAAIxB,GAC9B,IAAKiB,EAAIO,EAAImjE,GAAW,CAEtB,IAAKn+C,EAAahlB,GAAK,OAAO,EAE9B,IAAKxB,EAAQ,OAAO,EAEpB4kE,EAAYpjE,GAEZ,OAAOA,EAAGmjE,GAAUG,UAatBI,SATa,SAAU1jE,GAEvB,OADIkjE,GAAY9X,EAAKmY,UAAYv+C,EAAahlB,KAAQP,EAAIO,EAAImjE,IAAWC,EAAYpjE,GAC9EA,IAUTqL,EAAW83D,IAAY,G,cC1DvBloE,EAAOD,QAAU,CACf2oE,YAAa,EACbC,oBAAqB,EACrBC,aAAc,EACdC,eAAgB,EAChBC,YAAa,EACbC,cAAe,EACfC,aAAc,EACdC,qBAAsB,EACtB9yD,SAAU,EACV+yD,kBAAmB,EACnBC,eAAgB,EAChBC,gBAAiB,EACjBC,kBAAmB,EACnBC,UAAW,EACXC,cAAe,EACfC,aAAc,EACdC,SAAU,EACVC,iBAAkB,EAClBC,OAAQ,EACRC,YAAa,EACbC,cAAe,EACfC,cAAe,EACfC,eAAgB,EAChBC,aAAc,EACdC,cAAe,EACfC,iBAAkB,EAClBC,iBAAkB,EAClBC,eAAgB,EAChBC,iBAAkB,EAClBC,cAAe,EACfC,UAAW,I,6BChCb,IAAIniE,EAAW,EAAQ,GAIvBpI,EAAOD,QAAU,WACf,IAAIqY,EAAOhQ,EAAS0E,MAChB1F,EAAS,GAOb,OANIgR,EAAK9T,SAAQ8C,GAAU,KACvBgR,EAAKoyD,aAAYpjE,GAAU,KAC3BgR,EAAKsG,YAAWtX,GAAU,KAC1BgR,EAAKqyD,SAAQrjE,GAAU,KACvBgR,EAAKsyD,UAAStjE,GAAU,KACxBgR,EAAKkG,SAAQlX,GAAU,KACpBA,I,8BCbT,IAAI2B,EAAW,EAAQ,IACnBX,EAAW,EAAQ,GACnBH,EAAQ,EAAQ,GAChBsW,EAAQ,EAAQ,IAGhBosD,EAAkBhtD,OAAOxe,UACzByrE,EAAiBD,EAAyB,SAE1CE,EAAc5iE,GAAM,WAAc,MAA2D,QAApD2iE,EAAevrE,KAAK,CAAE+J,OAAQ,IAAKmV,MAAO,SAEnFusD,EANY,YAMKF,EAAe5oE,MAIhC6oE,GAAeC,IACjB/hE,EAAS4U,OAAOxe,UAXF,YAWwB,WACpC,IAAI0lE,EAAIz8D,EAAS0E,MACb5L,EAAI8G,OAAO68D,EAAEz7D,QACb2hE,EAAKlG,EAAEtmD,MAEX,MAAO,IAAMrd,EAAI,IADT8G,YAAc9F,IAAP6oE,GAAoBlG,aAAalnD,UAAY,UAAWgtD,GAAmBpsD,EAAMlf,KAAKwlE,GAAKkG,KAEzG,CAAEp+D,QAAQ,K,6BCtBf,IAAInB,EAAS,EAAQ,IAAiCA,OAClDa,EAAsB,EAAQ,IAC9BivD,EAAiB,EAAQ,IAGzBC,EAAmBlvD,EAAoB2D,IACvC1D,EAAmBD,EAAoBuE,UAFrB,mBAMtB0qD,EAAetzD,OAAQ,UAAU,SAAUwzD,GACzCD,EAAiBzuD,KAAM,CACrBlL,KARkB,kBASlBq6D,OAAQj0D,OAAOwzD,GACf78C,MAAO,OAIR,WACD,IAGIqsD,EAHAl6D,EAAQxE,EAAiBQ,MACzBmvD,EAASnrD,EAAMmrD,OACft9C,EAAQ7N,EAAM6N,MAElB,OAAIA,GAASs9C,EAAOh9D,OAAe,CAAEiE,WAAOhB,EAAW8wB,MAAM,IAC7Dg4C,EAAQx/D,EAAOywD,EAAQt9C,GACvB7N,EAAM6N,OAASqsD,EAAM/rE,OACd,CAAEiE,MAAO8nE,EAAOh4C,MAAM,Q,6BC1B/B,IAAIi4C,EAAgC,EAAQ,KACxC7iE,EAAW,EAAQ,GACnBsZ,EAAW,EAAQ,IACnB86C,EAAW,EAAQ,IACnB1uD,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IACjCi9D,EAAqB,EAAQ,KAC7BC,EAAa,EAAQ,KAErB3gD,EAAMxlB,KAAKwlB,IACXzc,EAAM/I,KAAK+I,IACXsD,EAAQrM,KAAKqM,MACb+5D,EAAuB,4BACvBC,EAAgC,oBAOpCJ,EAA8B,UAAW,GAAG,SAAUK,EAAS1tD,EAAe2tD,EAAiBnwC,GAC7F,IAAIowC,EAA+CpwC,EAAOowC,6CACtDC,EAAmBrwC,EAAOqwC,iBAC1BC,EAAoBF,EAA+C,IAAM,KAE7E,MAAO,CAGL,SAAiBG,EAAaC,GAC5B,IAAIpjE,EAAIyF,EAAuBnB,MAC3B++D,EAA0B3pE,MAAfypE,OAA2BzpE,EAAYypE,EAAYL,GAClE,YAAoBppE,IAAb2pE,EACHA,EAASxsE,KAAKssE,EAAanjE,EAAGojE,GAC9BhuD,EAAcve,KAAK2I,OAAOQ,GAAImjE,EAAaC,IAIjD,SAAUE,EAAQF,GAChB,IACIJ,GAAgDC,GACzB,iBAAjBG,IAA0E,IAA7CA,EAAahgE,QAAQ8/D,GAC1D,CACA,IAAI/pD,EAAM4pD,EAAgB3tD,EAAekuD,EAAQh/D,KAAM8+D,GACvD,GAAIjqD,EAAIqR,KAAM,OAAOrR,EAAIze,MAG3B,IAAI6oE,EAAK3jE,EAAS0jE,GACdzM,EAAIr3D,OAAO8E,MAEXk/D,EAA4C,mBAAjBJ,EAC1BI,IAAmBJ,EAAe5jE,OAAO4jE,IAE9C,IAAItnE,EAASynE,EAAGznE,OAChB,GAAIA,EAAQ,CACV,IAAI2nE,EAAcF,EAAGrB,QACrBqB,EAAGhuD,UAAY,EAGjB,IADA,IAAImuD,EAAU,KACD,CACX,IAAI9kE,EAAS+jE,EAAWY,EAAI1M,GAC5B,GAAe,OAAXj4D,EAAiB,MAGrB,GADA8kE,EAAQ3sE,KAAK6H,IACR9C,EAAQ,MAGI,KADF0D,OAAOZ,EAAO,MACR2kE,EAAGhuD,UAAYmtD,EAAmB7L,EAAG7C,EAASuP,EAAGhuD,WAAYkuD,IAKpF,IAFA,IAtDwBlnE,EAsDpBonE,EAAoB,GACpBC,EAAqB,EAChBrtE,EAAI,EAAGA,EAAImtE,EAAQjtE,OAAQF,IAAK,CACvCqI,EAAS8kE,EAAQntE,GAUjB,IARA,IAAIstE,EAAUrkE,OAAOZ,EAAO,IACxBk4D,EAAW90C,EAAIzc,EAAID,EAAU1G,EAAOuX,OAAQ0gD,EAAEpgE,QAAS,GACvDqtE,EAAW,GAMN3uC,EAAI,EAAGA,EAAIv2B,EAAOnI,OAAQ0+B,IAAK2uC,EAAS/sE,UAlEzC2C,KADc6C,EAmE8CqC,EAAOu2B,IAlEvD54B,EAAKiD,OAAOjD,IAmEhC,IAAIwnE,EAAgBnlE,EAAOolE,OAC3B,GAAIR,EAAmB,CACrB,IAAIS,EAAe,CAACJ,GAASt8D,OAAOu8D,EAAUhN,EAAUD,QAClCn9D,IAAlBqqE,GAA6BE,EAAaltE,KAAKgtE,GACnD,IAAIxR,EAAc/yD,OAAO4jE,EAAavzD,WAAMnW,EAAWuqE,SAEvD1R,EAAc2R,EAAgBL,EAAShN,EAAGC,EAAUgN,EAAUC,EAAeX,GAE3EtM,GAAY8M,IACdD,GAAqB9M,EAAEl7D,MAAMioE,EAAoB9M,GAAYvE,EAC7DqR,EAAqB9M,EAAW+M,EAAQptE,QAG5C,OAAOktE,EAAoB9M,EAAEl7D,MAAMioE,KAKvC,SAASM,EAAgBL,EAASxkE,EAAKy3D,EAAUgN,EAAUC,EAAexR,GACxE,IAAI4R,EAAUrN,EAAW+M,EAAQptE,OAC7BsD,EAAI+pE,EAASrtE,OACb2tE,EAAUvB,EAKd,YAJsBnpE,IAAlBqqE,IACFA,EAAgB7qD,EAAS6qD,GACzBK,EAAUxB,GAELxtD,EAAcve,KAAK07D,EAAa6R,GAAS,SAAUxuD,EAAO49B,GAC/D,IAAIjsB,EACJ,OAAQisB,EAAGxwC,OAAO,IAChB,IAAK,IAAK,MAAO,IACjB,IAAK,IAAK,OAAO6gE,EACjB,IAAK,IAAK,OAAOxkE,EAAI1D,MAAM,EAAGm7D,GAC9B,IAAK,IAAK,OAAOz3D,EAAI1D,MAAMwoE,GAC3B,IAAK,IACH58C,EAAUw8C,EAAcvwB,EAAG73C,MAAM,GAAI,IACrC,MACF,QACE,IAAIT,GAAKs4C,EACT,GAAU,IAANt4C,EAAS,OAAO0a,EACpB,GAAI1a,EAAInB,EAAG,CACT,IAAIgG,EAAI8I,EAAM3N,EAAI,IAClB,OAAU,IAAN6E,EAAgB6V,EAChB7V,GAAKhG,OAA8BL,IAApBoqE,EAAS/jE,EAAI,GAAmByzC,EAAGxwC,OAAO,GAAK8gE,EAAS/jE,EAAI,GAAKyzC,EAAGxwC,OAAO,GACvF4S,EAET2R,EAAUu8C,EAAS5oE,EAAI,GAE3B,YAAmBxB,IAAZ6tB,EAAwB,GAAKA,U,gBCnI1C,IAAIzrB,EAAS,EAAQ,GACjBuoE,EAAe,EAAQ,IACvBC,EAAuB,EAAQ,IAC/BhkE,EAA8B,EAAQ,GACtCwR,EAAkB,EAAQ,GAE1ByB,EAAWzB,EAAgB,YAC3BD,EAAgBC,EAAgB,eAChCyyD,EAAcD,EAAqB3vD,OAEvC,IAAK,IAAI6vD,KAAmBH,EAAc,CACxC,IAAII,EAAa3oE,EAAO0oE,GACpBE,EAAsBD,GAAcA,EAAW9tE,UACnD,GAAI+tE,EAAqB,CAEvB,GAAIA,EAAoBnxD,KAAcgxD,EAAa,IACjDjkE,EAA4BokE,EAAqBnxD,EAAUgxD,GAC3D,MAAO3rE,GACP8rE,EAAoBnxD,GAAYgxD,EAKlC,GAHKG,EAAoB7yD,IACvBvR,EAA4BokE,EAAqB7yD,EAAe2yD,GAE9DH,EAAaG,GAAkB,IAAK,IAAIx0D,KAAes0D,EAEzD,GAAII,EAAoB10D,KAAiBs0D,EAAqBt0D,GAAc,IAC1E1P,EAA4BokE,EAAqB10D,EAAas0D,EAAqBt0D,IACnF,MAAOpX,GACP8rE,EAAoB10D,GAAes0D,EAAqBt0D,O,6BC3BhE,IAAI6C,EAAI,EAAQ,IACZ8xD,EAAW,EAAQ,IAA+BvhE,QAClD44D,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElC2I,EAAgB,GAAGxhE,QAEnByhE,IAAkBD,GAAiB,EAAI,CAAC,GAAGxhE,QAAQ,GAAI,GAAK,EAC5D84D,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,UAAW,CAAEhsD,WAAW,EAAMknD,EAAG,IAI9EtkD,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,OAAQwjE,IAAkB3I,IAAkBC,GAAkB,CAC9F/4D,QAAS,SAAiB0hE,GACxB,OAAOD,EAEHD,EAAc/0D,MAAMvL,KAAMxF,YAAc,EACxC6lE,EAASrgE,KAAMwgE,EAAehmE,UAAUrI,OAAS,EAAIqI,UAAU,QAAKpF,O,cCnB5ElC,EAAOD,QAAU,SAAUgF,GACzB,GAAiB,mBAANA,EACT,MAAMgD,UAAUC,OAAOjD,GAAM,sBAC7B,OAAOA,I,6BCFX,IAAIsD,EAAc,EAAQ,IACtBM,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC5I,EAAOD,QAAU,SAAU4D,EAAQH,EAAKN,GACtC,IAAIqqE,EAAcllE,EAAY7E,GAC1B+pE,KAAe5pE,EAAQgF,EAAqBJ,EAAE5E,EAAQ4pE,EAAa3kE,EAAyB,EAAG1F,IAC9FS,EAAO4pE,GAAerqE,I,gBCR7B,IAAIyX,EAAU,EAAQ,IAClBgB,EAAY,EAAQ,IAGpBI,EAFkB,EAAQ,EAEfzB,CAAgB,YAE/Bta,EAAOD,QAAU,SAAUgF,GACzB,GAAU7C,MAAN6C,EAAiB,OAAOA,EAAGgX,IAC1BhX,EAAG,eACH4W,EAAUhB,EAAQ5V,M,gBCTzB,IAAIq7D,EAAqB,EAAQ,IAG7BhwD,EAFc,EAAQ,IAEGL,OAAO,SAAU,aAI9ChQ,EAAQwI,EAAIrJ,OAAOkqB,qBAAuB,SAA6B5gB,GACrE,OAAO43D,EAAmB53D,EAAG4H,K,gBCR/B,IAAItC,EAAY,EAAQ,IAEpB0c,EAAMxlB,KAAKwlB,IACXzc,EAAM/I,KAAK+I,IAKf/N,EAAOD,QAAU,SAAU4e,EAAO1f,GAChC,IAAIuuE,EAAU1/D,EAAU6Q,GACxB,OAAO6uD,EAAU,EAAIhjD,EAAIgjD,EAAUvuE,EAAQ,GAAK8O,EAAIy/D,EAASvuE,K,6BCR/DC,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAEThE,OAAO0D,eAAe7C,EAAS,kBAAmB,CAChD8C,YAAY,EACZC,IAAK,WACH,OAAO2qE,EAAc71D,mBAGzB1Y,OAAO0D,eAAe7C,EAAS,uBAAwB,CACrD8C,YAAY,EACZC,IAAK,WACH,OAAO2qE,EAAcz1D,wBAGzB9Y,OAAO0D,eAAe7C,EAAS,iBAAkB,CAC/C8C,YAAY,EACZC,IAAK,WACH,OAAO4qE,EAAMC,kBAIjB,IAAIF,EAAgB,EAAQ,KAExBC,EAAQ,EAAQ,M,6BCzBpB,IAAIryD,EAAI,EAAQ,IACZuyD,EAAU,EAAQ,IAAgCpqC,OAClDm5B,EAA+B,EAAQ,IACvC8H,EAA0B,EAAQ,IAElCoJ,EAAsBlR,EAA6B,UAEnDgI,EAAiBF,EAAwB,UAK7CppD,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,QAASgkE,IAAwBlJ,GAAkB,CACnFnhC,OAAQ,SAAgBs6B,GACtB,OAAO8P,EAAQ9gE,KAAMgxD,EAAYx2D,UAAUrI,OAAS,EAAIqI,UAAU,QAAKpF,O,gBCf3E,IAAIuD,EAAW,EAAQ,GACnB+V,EAAiB,EAAQ,IAG7Bxb,EAAOD,QAAU,SAAU89D,EAAOiQ,EAAOC,GACvC,IAAIC,EAAWC,EAUf,OAPEzyD,GAE0C,mBAAlCwyD,EAAYF,EAAM9nE,cAC1BgoE,IAAcD,GACdtoE,EAASwoE,EAAqBD,EAAU7uE,YACxC8uE,IAAuBF,EAAQ5uE,WAC/Bqc,EAAeqiD,EAAOoQ,GACjBpQ,I,gBCfT,IAAI90D,EAAW,EAAQ,IAEvB/I,EAAOD,QAAU,SAAU+B,EAAQb,EAAKkI,GACtC,IAAK,IAAI3F,KAAOvC,EAAK8H,EAASjH,EAAQ0B,EAAKvC,EAAIuC,GAAM2F,GACrD,OAAOrH,I,6BCHT,IAAIoG,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChBimE,EAAa,EAAQ,IACrBC,EAA8B,EAAQ,IACtCxS,EAA6B,EAAQ,IACrCj6C,EAAW,EAAQ,IACnBhQ,EAAgB,EAAQ,IAExB08D,EAAelvE,OAAO+L,OACtBrI,EAAiB1D,OAAO0D,eAI5B5C,EAAOD,SAAWquE,GAAgBnmE,GAAM,WAEtC,GAAIC,GAQiB,IARFkmE,EAAa,CAAE1mE,EAAG,GAAK0mE,EAAaxrE,EAAe,GAAI,IAAK,CAC7EC,YAAY,EACZC,IAAK,WACHF,EAAekK,KAAM,IAAK,CACxB5J,MAAO,EACPL,YAAY,OAGd,CAAE6E,EAAG,KAAMA,EAAS,OAAO,EAE/B,IAAIy1D,EAAI,GACJkR,EAAI,GAEJ54C,EAASzyB,SAIb,OAFAm6D,EAAE1nC,GAAU,EADG,uBAEN/oB,MAAM,IAAI/G,SAAQ,SAAUunC,GAAOmhC,EAAEnhC,GAAOA,KACf,GAA/BkhC,EAAa,GAAIjR,GAAG1nC,IAHZ,wBAG4By4C,EAAWE,EAAa,GAAIC,IAAIxhE,KAAK,OAC7E,SAAgB/K,EAAQsH,GAM3B,IALA,IAAIklE,EAAI5sD,EAAS5f,GACbysE,EAAkBjnE,UAAUrI,OAC5B0f,EAAQ,EACRwhD,EAAwBgO,EAA4B5lE,EACpDqS,EAAuB+gD,EAA2BpzD,EAC/CgmE,EAAkB5vD,GAMvB,IALA,IAIInb,EAJA67D,EAAI3tD,EAAcpK,UAAUqX,MAC5B9D,EAAOslD,EAAwB+N,EAAW7O,GAAGtvD,OAAOowD,EAAsBd,IAAM6O,EAAW7O,GAC3FpgE,EAAS4b,EAAK5b,OACd0+B,EAAI,EAED1+B,EAAS0+B,GACdn6B,EAAMqX,EAAK8iB,KACNz1B,IAAe0S,EAAqBvb,KAAKggE,EAAG77D,KAAM8qE,EAAE9qE,GAAO67D,EAAE77D,IAEpE,OAAO8qE,GACPF,G,gBCnDJ,IAAII,EAAa,EAAQ,IAEzBxuE,EAAOD,QAAUyuE,EAAW,YAAa,cAAgB,I,gBCFzD,IAAItmE,EAAc,EAAQ,GACtBS,EAAuB,EAAQ,GAC/BP,EAAW,EAAQ,GACnB8lE,EAAa,EAAQ,IAIzBluE,EAAOD,QAAUmI,EAAchJ,OAAO2Z,iBAAmB,SAA0BrQ,EAAG4R,GACpFhS,EAASI,GAKT,IAJA,IAGIhF,EAHAqX,EAAOqzD,EAAW9zD,GAClBnb,EAAS4b,EAAK5b,OACd0f,EAAQ,EAEL1f,EAAS0f,GAAOhW,EAAqBJ,EAAEC,EAAGhF,EAAMqX,EAAK8D,KAAUvE,EAAW5W,IACjF,OAAOgF,I,gBCdT,IAAIgmE,EAAa,EAAQ,IAEzBxuE,EAAOD,QAAUyuE,EAAW,WAAY,oB,6BCDxC,IAAI3yD,EAAoB,EAAQ,IAA+BA,kBAC3DtY,EAAS,EAAQ,IACjBqF,EAA2B,EAAQ,IACnC6S,EAAiB,EAAQ,IACzBE,EAAY,EAAQ,IAEpBK,EAAa,WAAc,OAAOlP,MAEtC9M,EAAOD,QAAU,SAAUoc,EAAqBD,EAAME,GACpD,IAAI/B,EAAgB6B,EAAO,YAI3B,OAHAC,EAAoBhd,UAAYoE,EAAOsY,EAAmB,CAAEO,KAAMxT,EAAyB,EAAGwT,KAC9FX,EAAeU,EAAqB9B,GAAe,GAAO,GAC1DsB,EAAUtB,GAAiB2B,EACpBG,I,gBCdT,IAAI7B,EAAkB,EAAQ,GAC1BqB,EAAY,EAAQ,IAEpBI,EAAWzB,EAAgB,YAC3Bm0D,EAAiB9uD,MAAMxgB,UAG3Ba,EAAOD,QAAU,SAAUgF,GACzB,YAAc7C,IAAP6C,IAAqB4W,EAAUgE,QAAU5a,GAAM0pE,EAAe1yD,KAAchX,K,gBCRrF,IAAIqD,EAAW,EAAQ,GAGvBpI,EAAOD,QAAU,SAAUgzB,EAAUltB,EAAI3C,EAAOwrE,GAC9C,IACE,OAAOA,EAAU7oE,EAAGuC,EAASlF,GAAO,GAAIA,EAAM,IAAM2C,EAAG3C,GAEvD,MAAO9B,GACP,IAAIutE,EAAe57C,EAAiB,OAEpC,WADqB7wB,IAAjBysE,GAA4BvmE,EAASumE,EAAatvE,KAAK0zB,IACrD3xB,K,gBCVV,IAEI2a,EAFkB,EAAQ,EAEfzB,CAAgB,YAC3Bs0D,GAAe,EAEnB,IACE,IAAIjsD,EAAS,EACTksD,EAAqB,CACvBzyD,KAAM,WACJ,MAAO,CAAE4W,OAAQrQ,MAEnB,OAAU,WACRisD,GAAe,IAGnBC,EAAmB9yD,GAAY,WAC7B,OAAOjP,MAGT6S,MAAMhM,KAAKk7D,GAAoB,WAAc,MAAM,KACnD,MAAOztE,IAETpB,EAAOD,QAAU,SAAUsE,EAAMyqE,GAC/B,IAAKA,IAAiBF,EAAc,OAAO,EAC3C,IAAIG,GAAoB,EACxB,IACE,IAAIprE,EAAS,GACbA,EAAOoY,GAAY,WACjB,MAAO,CACLK,KAAM,WACJ,MAAO,CAAE4W,KAAM+7C,GAAoB,MAIzC1qE,EAAKV,GACL,MAAOvC,IACT,OAAO2tE,I,6BCnCT,IAAIP,EAAa,EAAQ,IACrB7lE,EAAuB,EAAQ,GAC/B2R,EAAkB,EAAQ,GAC1BpS,EAAc,EAAQ,GAEtBi0D,EAAU7hD,EAAgB,WAE9Bta,EAAOD,QAAU,SAAUivE,GACzB,IAAI3S,EAAcmS,EAAWQ,GACzBpsE,EAAiB+F,EAAqBJ,EAEtCL,GAAem0D,IAAgBA,EAAYF,IAC7Cv5D,EAAey5D,EAAaF,EAAS,CACnC3qD,cAAc,EACd1O,IAAK,WAAc,OAAOgK,U,6BCbhC,EAAQ,IACR,IAAI/D,EAAW,EAAQ,IACnBd,EAAQ,EAAQ,GAChBqS,EAAkB,EAAQ,GAC1B20D,EAAa,EAAQ,IACrBnmE,EAA8B,EAAQ,GAEtCqzD,EAAU7hD,EAAgB,WAE1B40D,GAAiCjnE,GAAM,WAIzC,IAAIoW,EAAK,IAMT,OALAA,EAAGha,KAAO,WACR,IAAI+C,EAAS,GAEb,OADAA,EAAOolE,OAAS,CAAE/kE,EAAG,KACdL,GAEyB,MAA3B,GAAGU,QAAQuW,EAAI,WAKpBotD,EACgC,OAA3B,IAAI3jE,QAAQ,IAAK,MAGtBwjE,EAAUhxD,EAAgB,WAE1BkxD,IACE,IAAIF,IAC6B,KAA5B,IAAIA,GAAS,IAAK,MAOzB6D,GAAqClnE,GAAM,WAC7C,IAAIoW,EAAK,OACL+wD,EAAe/wD,EAAGha,KACtBga,EAAGha,KAAO,WAAc,OAAO+qE,EAAa/2D,MAAMvL,KAAMxF,YACxD,IAAIF,EAAS,KAAKsF,MAAM2R,GACxB,OAAyB,IAAlBjX,EAAOnI,QAA8B,MAAdmI,EAAO,IAA4B,MAAdA,EAAO,MAG5DpH,EAAOD,QAAU,SAAU2c,EAAKzd,EAAQoF,EAAMyF,GAC5C,IAAIulE,EAAS/0D,EAAgBoC,GAEzB4yD,GAAuBrnE,GAAM,WAE/B,IAAIO,EAAI,GAER,OADAA,EAAE6mE,GAAU,WAAc,OAAO,GACZ,GAAd,GAAG3yD,GAAKlU,MAGb+mE,EAAoBD,IAAwBrnE,GAAM,WAEpD,IAAIunE,GAAa,EACbnxD,EAAK,IAkBT,MAhBY,UAAR3B,KAIF2B,EAAK,IAGFrY,YAAc,GACjBqY,EAAGrY,YAAYm2D,GAAW,WAAc,OAAO99C,GAC/CA,EAAGE,MAAQ,GACXF,EAAGgxD,GAAU,IAAIA,IAGnBhxD,EAAGha,KAAO,WAAiC,OAAnBmrE,GAAa,EAAa,MAElDnxD,EAAGgxD,GAAQ,KACHG,KAGV,IACGF,IACAC,GACQ,YAAR7yD,KACCwyD,IACAzD,GACCD,IAEM,UAAR9uD,IAAoByyD,EACrB,CACA,IAAIM,EAAqB,IAAIJ,GACzB5yD,EAAUpY,EAAKgrE,EAAQ,GAAG3yD,IAAM,SAAUgzD,EAAc5D,EAAQjkE,EAAK8nE,EAAMC,GAC7E,OAAI9D,EAAOznE,OAAS4qE,EACdK,IAAwBM,EAInB,CAAE58C,MAAM,EAAM9vB,MAAOusE,EAAmBpwE,KAAKysE,EAAQjkE,EAAK8nE,IAE5D,CAAE38C,MAAM,EAAM9vB,MAAOwsE,EAAarwE,KAAKwI,EAAKikE,EAAQ6D,IAEtD,CAAE38C,MAAM,KACd,CACDy4C,iBAAkBA,EAClBD,6CAA8CA,IAE5CqE,EAAepzD,EAAQ,GACvBqzD,EAAcrzD,EAAQ,GAE1B1T,EAASf,OAAO7I,UAAWud,EAAKmzD,GAChC9mE,EAAS4U,OAAOxe,UAAWkwE,EAAkB,GAAVpwE,EAG/B,SAAUg9D,EAAQ1wB,GAAO,OAAOukC,EAAYzwE,KAAK48D,EAAQnvD,KAAMy+B,IAG/D,SAAU0wB,GAAU,OAAO6T,EAAYzwE,KAAK48D,EAAQnvD,QAItDhD,GAAMhB,EAA4B6U,OAAOxe,UAAUkwE,GAAS,QAAQ,K,6BC1H1E,IAAI7jE,EAAS,EAAQ,IAAiCA,OAItDxL,EAAOD,QAAU,SAAUs/D,EAAG1gD,EAAO+rD,GACnC,OAAO/rD,GAAS+rD,EAAUl/D,EAAO6zD,EAAG1gD,GAAO1f,OAAS,K,gBCNtD,IAAI0b,EAAU,EAAQ,IAClBs0D,EAAa,EAAQ,IAIzBjvE,EAAOD,QAAU,SAAU8kE,EAAGxF,GAC5B,IAAIh7D,EAAOwgE,EAAExgE,KACb,GAAoB,mBAATA,EAAqB,CAC9B,IAAI+C,EAAS/C,EAAKhF,KAAKwlE,EAAGxF,GAC1B,GAAsB,iBAAXj4D,EACT,MAAMW,UAAU,sEAElB,OAAOX,EAGT,GAAmB,WAAfuT,EAAQkqD,GACV,MAAM98D,UAAU,+CAGlB,OAAOknE,EAAW5vE,KAAKwlE,EAAGxF,K,gBCnB5B,IAAI/6D,EAAS,EAAQ,GACjB8H,EAAgB,EAAQ,IAExBiE,EAAU/L,EAAO+L,QAErBrQ,EAAOD,QAA6B,mBAAZsQ,GAA0B,cAAcgN,KAAKjR,EAAciE,K,gBCLnF,IAAI7L,EAAM,EAAQ,GACdwhB,EAAU,EAAQ,KAClB+pD,EAAiC,EAAQ,IACzCpnE,EAAuB,EAAQ,GAEnC3I,EAAOD,QAAU,SAAU+B,EAAQsH,GAIjC,IAHA,IAAIyR,EAAOmL,EAAQ5c,GACfxG,EAAiB+F,EAAqBJ,EACtCM,EAA2BknE,EAA+BxnE,EACrDxJ,EAAI,EAAGA,EAAI8b,EAAK5b,OAAQF,IAAK,CACpC,IAAIyE,EAAMqX,EAAK9b,GACVyF,EAAI1C,EAAQ0B,IAAMZ,EAAed,EAAQ0B,EAAKqF,EAAyBO,EAAQ5F,O,gBCXxF,IAAIgrE,EAAa,EAAQ,IACrBwB,EAA4B,EAAQ,KACpC7B,EAA8B,EAAQ,IACtC/lE,EAAW,EAAQ,GAGvBpI,EAAOD,QAAUyuE,EAAW,UAAW,YAAc,SAAiBzpE,GACpE,IAAI8V,EAAOm1D,EAA0BznE,EAAEH,EAASrD,IAC5Co7D,EAAwBgO,EAA4B5lE,EACxD,OAAO43D,EAAwBtlD,EAAK9K,OAAOowD,EAAsBp7D,IAAO8V,I,gBCT1E,IAAIvW,EAAS,EAAQ,GAErBtE,EAAOD,QAAUuE,G,gBCFjBtE,EAAOD,QAAU,EAAQ,M,6BCEzB,IAAIsgE,EAAQ,EAAQ,GAChB58D,EAAO,EAAQ,IACfwsE,EAAQ,EAAQ,KAChBC,EAAc,EAAQ,IAS1B,SAASC,EAAeC,GACtB,IAAIrhE,EAAU,IAAIkhE,EAAMG,GACpBC,EAAW5sE,EAAKwsE,EAAM9wE,UAAU8C,QAAS8M,GAQ7C,OALAsxD,EAAM74D,OAAO6oE,EAAUJ,EAAM9wE,UAAW4P,GAGxCsxD,EAAM74D,OAAO6oE,EAAUthE,GAEhBshE,EAIT,IAAIC,EAAQH,EAtBG,EAAQ,KAyBvBG,EAAML,MAAQA,EAGdK,EAAM/sE,OAAS,SAAgBgtE,GAC7B,OAAOJ,EAAeD,EAAYI,EAAMp4D,SAAUq4D,KAIpDD,EAAMhM,OAAS,EAAQ,IACvBgM,EAAMx4D,YAAc,EAAQ,KAC5Bw4D,EAAMv4D,SAAW,EAAQ,IAGzBu4D,EAAMhuE,IAAM,SAAanC,GACvB,OAAOG,QAAQgC,IAAInC,IAErBmwE,EAAME,OAAS,EAAQ,KAEvBxwE,EAAOD,QAAUuwE,EAGjBtwE,EAAOD,QAAQ8R,QAAUy+D,G,6BClDzB,IAAIjQ,EAAQ,EAAQ,GAChBoB,EAAW,EAAQ,IACnBgP,EAAqB,EAAQ,KAC7BC,EAAkB,EAAQ,KAC1BR,EAAc,EAAQ,IAO1B,SAASD,EAAMM,GACbzjE,KAAKoL,SAAWq4D,EAChBzjE,KAAK6jE,aAAe,CAClB1uE,QAAS,IAAIwuE,EACb9N,SAAU,IAAI8N,GASlBR,EAAM9wE,UAAU8C,QAAU,SAAiByJ,GAGnB,iBAAXA,GACTA,EAASpE,UAAU,IAAM,IAClBwD,IAAMxD,UAAU,GAEvBoE,EAASA,GAAU,IAGrBA,EAASwkE,EAAYpjE,KAAKoL,SAAUxM,IAGzByF,OACTzF,EAAOyF,OAASzF,EAAOyF,OAAOgP,cACrBrT,KAAKoL,SAAS/G,OACvBzF,EAAOyF,OAASrE,KAAKoL,SAAS/G,OAAOgP,cAErCzU,EAAOyF,OAAS,MAIlB,IAAIy/D,EAAQ,CAACF,OAAiBxuE,GAC1B7B,EAAUC,QAAQC,QAAQmL,GAU9B,IARAoB,KAAK6jE,aAAa1uE,QAAQ0D,SAAQ,SAAoCkrE,GACpED,EAAM5pC,QAAQ6pC,EAAYC,UAAWD,EAAYE,aAGnDjkE,KAAK6jE,aAAahO,SAASh9D,SAAQ,SAAkCkrE,GACnED,EAAMrxE,KAAKsxE,EAAYC,UAAWD,EAAYE,aAGzCH,EAAM3xE,QACXoB,EAAUA,EAAQyU,KAAK87D,EAAMlxE,QAASkxE,EAAMlxE,SAG9C,OAAOW,GAGT4vE,EAAM9wE,UAAU6xE,OAAS,SAAgBtlE,GAEvC,OADAA,EAASwkE,EAAYpjE,KAAKoL,SAAUxM,GAC7B+1D,EAAS/1D,EAAOZ,IAAKY,EAAOX,OAAQW,EAAO60D,kBAAkBz4D,QAAQ,MAAO,KAIrFu4D,EAAM16D,QAAQ,CAAC,SAAU,MAAO,OAAQ,YAAY,SAA6BwL,GAE/E8+D,EAAM9wE,UAAUgS,GAAU,SAASrG,EAAKY,GACtC,OAAOoB,KAAK7K,QAAQo+D,EAAMl5D,MAAMuE,GAAU,GAAI,CAC5CyF,OAAQA,EACRrG,IAAKA,SAKXu1D,EAAM16D,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BwL,GAErE8+D,EAAM9wE,UAAUgS,GAAU,SAASrG,EAAKpM,EAAMgN,GAC5C,OAAOoB,KAAK7K,QAAQo+D,EAAMl5D,MAAMuE,GAAU,GAAI,CAC5CyF,OAAQA,EACRrG,IAAKA,EACLpM,KAAMA,SAKZsB,EAAOD,QAAUkwE,G,6BC3FjB,IAAI5P,EAAQ,EAAQ,GAEpB,SAASoQ,IACP3jE,KAAK4wB,SAAW,GAWlB+yC,EAAmBtxE,UAAU+jC,IAAM,SAAa4tC,EAAWC,GAKzD,OAJAjkE,KAAK4wB,SAASn+B,KAAK,CACjBuxE,UAAWA,EACXC,SAAUA,IAELjkE,KAAK4wB,SAASz+B,OAAS,GAQhCwxE,EAAmBtxE,UAAU8xE,MAAQ,SAAe99D,GAC9CrG,KAAK4wB,SAASvqB,KAChBrG,KAAK4wB,SAASvqB,GAAM,OAYxBs9D,EAAmBtxE,UAAUwG,QAAU,SAAiBE,GACtDw6D,EAAM16D,QAAQmH,KAAK4wB,UAAU,SAAwB9tB,GACzC,OAANA,GACF/J,EAAG+J,OAKT5P,EAAOD,QAAU0wE,G,6BCjDjB,IAAIpQ,EAAQ,EAAQ,GAChB6Q,EAAgB,EAAQ,KACxBn5D,EAAW,EAAQ,IACnBG,EAAW,EAAQ,IAKvB,SAASi5D,EAA6BzlE,GAChCA,EAAOg4D,aACTh4D,EAAOg4D,YAAY0N,mBAUvBpxE,EAAOD,QAAU,SAAyB2L,GA6BxC,OA5BAylE,EAA6BzlE,GAG7BA,EAAOgM,QAAUhM,EAAOgM,SAAW,GAGnChM,EAAOhN,KAAOwyE,EACZxlE,EAAOhN,KACPgN,EAAOgM,QACPhM,EAAOu1D,kBAITv1D,EAAOgM,QAAU2oD,EAAMl5D,MACrBuE,EAAOgM,QAAQ6pD,QAAU,GACzB71D,EAAOgM,QAAQhM,EAAOyF,SAAW,GACjCzF,EAAOgM,SAGT2oD,EAAM16D,QACJ,CAAC,SAAU,MAAO,OAAQ,OAAQ,MAAO,QAAS,WAClD,SAA2BwL,UAClBzF,EAAOgM,QAAQvG,OAIZzF,EAAOq1D,SAAW7oD,EAAS6oD,SAE1Br1D,GAAQoJ,MAAK,SAA6B6tD,GAUvD,OATAwO,EAA6BzlE,GAG7Bi3D,EAASjkE,KAAOwyE,EACdvO,EAASjkE,KACTikE,EAASjrD,QACThM,EAAOw1D,mBAGFyB,KACN,SAA4BvnC,GAc7B,OAbKrjB,EAASqjB,KACZ+1C,EAA6BzlE,GAGzB0vB,GAAUA,EAAOunC,WACnBvnC,EAAOunC,SAASjkE,KAAOwyE,EACrB91C,EAAOunC,SAASjkE,KAChB08B,EAAOunC,SAASjrD,QAChBhM,EAAOw1D,qBAKN5gE,QAAQE,OAAO46B,Q,6BC1E1B,IAAIilC,EAAQ,EAAQ,GAUpBrgE,EAAOD,QAAU,SAAuBrB,EAAMgZ,EAASuY,GAMrD,OAJAowC,EAAM16D,QAAQsqB,GAAK,SAAmBpqB,GACpCnH,EAAOmH,EAAGnH,EAAMgZ,MAGXhZ,I,6BChBT,IAAI2hE,EAAQ,EAAQ,GAEpBrgE,EAAOD,QAAU,SAA6B2X,EAASi8B,GACrD0sB,EAAM16D,QAAQ+R,GAAS,SAAuBxU,EAAOlB,GAC/CA,IAAS2xC,GAAkB3xC,EAAK+e,gBAAkB4yB,EAAe5yB,gBACnErJ,EAAQi8B,GAAkBzwC,SACnBwU,EAAQ1V,S,6BCNrB,IAAI6/D,EAAc,EAAQ,IAS1B7hE,EAAOD,QAAU,SAAgBQ,EAASC,EAAQmiE,GAChD,IAAIrB,EAAiBqB,EAASj3D,OAAO41D,gBAChCA,GAAkBA,EAAeqB,EAAS5tD,QAC7CxU,EAAQoiE,GAERniE,EAAOqhE,EACL,mCAAqCc,EAAS5tD,OAC9C4tD,EAASj3D,OACT,KACAi3D,EAAS1gE,QACT0gE,M,6BCTN3iE,EAAOD,QAAU,SAAsBqB,EAAOsK,EAAQsiD,EAAM/rD,EAAS0gE,GA4BnE,OA3BAvhE,EAAMsK,OAASA,EACXsiD,IACF5sD,EAAM4sD,KAAOA,GAGf5sD,EAAMa,QAAUA,EAChBb,EAAMuhE,SAAWA,EACjBvhE,EAAMiwE,cAAe,EAErBjwE,EAAMkwE,OAAS,WACb,MAAO,CAELvvE,QAAS+K,KAAK/K,QACdC,KAAM8K,KAAK9K,KAEXuvE,YAAazkE,KAAKykE,YAClBhhC,OAAQzjC,KAAKyjC,OAEbihC,SAAU1kE,KAAK0kE,SACfC,WAAY3kE,KAAK2kE,WACjBC,aAAc5kE,KAAK4kE,aACnBxqB,MAAOp6C,KAAKo6C,MAEZx7C,OAAQoB,KAAKpB,OACbsiD,KAAMlhD,KAAKkhD,OAGR5sD,I,6BCtCT,IAAIuwE,EAAgB,EAAQ,KACxBC,EAAc,EAAQ,KAW1B5xE,EAAOD,QAAU,SAAuBsiE,EAASwP,GAC/C,OAAIxP,IAAYsP,EAAcE,GACrBD,EAAYvP,EAASwP,GAEvBA,I,6BCVT7xE,EAAOD,QAAU,SAAuB+K,GAItC,MAAO,gCAAgCuS,KAAKvS,K,6BCH9C9K,EAAOD,QAAU,SAAqBsiE,EAASyP,GAC7C,OAAOA,EACHzP,EAAQv6D,QAAQ,OAAQ,IAAM,IAAMgqE,EAAYhqE,QAAQ,OAAQ,IAChEu6D,I,6BCVN,IAAIhC,EAAQ,EAAQ,GAIhB0R,EAAoB,CACtB,MAAO,gBAAiB,iBAAkB,eAAgB,OAC1D,UAAW,OAAQ,OAAQ,oBAAqB,sBAChD,gBAAiB,WAAY,eAAgB,sBAC7C,UAAW,cAAe,cAgB5B/xE,EAAOD,QAAU,SAAsB2X,GACrC,IACIlU,EACA+B,EACAxG,EAHAizE,EAAS,GAKb,OAAKt6D,GAEL2oD,EAAM16D,QAAQ+R,EAAQhL,MAAM,OAAO,SAAgBulE,GAKjD,GAJAlzE,EAAIkzE,EAAKrmE,QAAQ,KACjBpI,EAAM68D,EAAMz4D,KAAKqqE,EAAKC,OAAO,EAAGnzE,IAAIohB,cACpC5a,EAAM86D,EAAMz4D,KAAKqqE,EAAKC,OAAOnzE,EAAI,IAE7ByE,EAAK,CACP,GAAIwuE,EAAOxuE,IAAQuuE,EAAkBnmE,QAAQpI,IAAQ,EACnD,OAGAwuE,EAAOxuE,GADG,eAARA,GACawuE,EAAOxuE,GAAOwuE,EAAOxuE,GAAO,IAAIuM,OAAO,CAACxK,IAEzCysE,EAAOxuE,GAAOwuE,EAAOxuE,GAAO,KAAO+B,EAAMA,MAKtDysE,GAnBgBA,I,6BC9BzB,IAAI3R,EAAQ,EAAQ,GAEpBrgE,EAAOD,QACLsgE,EAAMr5D,uBAIJ,WACE,IAEImrE,EAFAC,EAAO,kBAAkB/0D,KAAKpW,UAAU2d,WACxCytD,EAAiB1xE,SAASC,cAAc,KAS5C,SAAS0xE,EAAWxnE,GAClB,IAAI6qD,EAAO7qD,EAWX,OATIsnE,IAEFC,EAAerxE,aAAa,OAAQ20D,GACpCA,EAAO0c,EAAe1c,MAGxB0c,EAAerxE,aAAa,OAAQ20D,GAG7B,CACLA,KAAM0c,EAAe1c,KACrBjrD,SAAU2nE,EAAe3nE,SAAW2nE,EAAe3nE,SAAS5C,QAAQ,KAAM,IAAM,GAChF6C,KAAM0nE,EAAe1nE,KACrB4nE,OAAQF,EAAeE,OAASF,EAAeE,OAAOzqE,QAAQ,MAAO,IAAM,GAC3EipB,KAAMshD,EAAethD,KAAOshD,EAAethD,KAAKjpB,QAAQ,KAAM,IAAM,GACpE0qE,SAAUH,EAAeG,SACzBC,KAAMJ,EAAeI,KACrBC,SAAiD,MAAtCL,EAAeK,SAASlnE,OAAO,GACxC6mE,EAAeK,SACf,IAAML,EAAeK,UAY3B,OARAP,EAAYG,EAAWruE,OAAOwG,SAASkrD,MAQhC,SAAyBgd,GAC9B,IAAIX,EAAU3R,EAAM95D,SAASosE,GAAeL,EAAWK,GAAcA,EACrE,OAAQX,EAAOtnE,WAAaynE,EAAUznE,UAClCsnE,EAAOrnE,OAASwnE,EAAUxnE,MAhDlC,GAsDS,WACL,OAAO,I,6BC9Df,IAAI01D,EAAQ,EAAQ,GAEpBrgE,EAAOD,QACLsgE,EAAMr5D,uBAIK,CACL0S,MAAO,SAAe1X,EAAMkB,EAAO0vE,EAAS7hE,EAAMuI,EAAQu5D,GACxD,IAAIC,EAAS,GACbA,EAAOvzE,KAAKyC,EAAO,IAAMuJ,mBAAmBrI,IAExCm9D,EAAM75D,SAASosE,IACjBE,EAAOvzE,KAAK,WAAa,IAAI8iB,KAAKuwD,GAASG,eAGzC1S,EAAM95D,SAASwK,IACjB+hE,EAAOvzE,KAAK,QAAUwR,GAGpBsvD,EAAM95D,SAAS+S,IACjBw5D,EAAOvzE,KAAK,UAAY+Z,IAGX,IAAXu5D,GACFC,EAAOvzE,KAAK,UAGdoB,SAASmyE,OAASA,EAAOjmE,KAAK,OAGhCw2D,KAAM,SAAcrhE,GAClB,IAAIoc,EAAQzd,SAASmyE,OAAO10D,MAAM,IAAIT,OAAO,aAAe3b,EAAO,cACnE,OAAQoc,EAAQ40D,mBAAmB50D,EAAM,IAAM,MAGjDkC,OAAQ,SAAgBte,GACtB8K,KAAK4M,MAAM1X,EAAM,GAAIqgB,KAAK8b,MAAQ,SAO/B,CACLzkB,MAAO,aACP2pD,KAAM,WAAkB,OAAO,MAC/B/iD,OAAQ,e,6BC/ChB,IAAIgkD,EAAS,EAAQ,IAQrB,SAASxsD,EAAYm7D,GACnB,GAAwB,mBAAbA,EACT,MAAM,IAAIlrE,UAAU,gCAGtB,IAAImrE,EACJpmE,KAAKzM,QAAU,IAAIC,SAAQ,SAAyBC,GAClD2yE,EAAiB3yE,KAGnB,IAAI0X,EAAQnL,KACZmmE,GAAS,SAAgBlxE,GACnBkW,EAAMmjB,SAKVnjB,EAAMmjB,OAAS,IAAIkpC,EAAOviE,GAC1BmxE,EAAej7D,EAAMmjB,YAOzBtjB,EAAY3Y,UAAUiyE,iBAAmB,WACvC,GAAItkE,KAAKsuB,OACP,MAAMtuB,KAAKsuB,QAQftjB,EAAY1O,OAAS,WACnB,IAAIu6D,EAIJ,MAAO,CACL1rD,MAJU,IAAIH,GAAY,SAAkBtV,GAC5CmhE,EAASnhE,KAITmhE,OAAQA,IAIZ3jE,EAAOD,QAAU+X,G,6BClCjB9X,EAAOD,QAAU,SAAgB47B,GAC/B,OAAO,SAAcpb,GACnB,OAAOob,EAAStjB,MAAM,KAAMkI,M,6BCtBhC,EAAQ,IAERrhB,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ6X,gBASR,WACE,OAAOK,GATTlY,EAAQiY,qBAYR,SAA8B8W,GAC5BqkD,EAAU5zE,KAAKuvB,IAXjB,IAAIrhB,EAAY,EAAQ,IAEpB2lE,EAAezyE,SAAS+4D,qBAAqB,QAAQ,GACrDzhD,EAAQm7D,EAAeA,EAAaz+B,aAAa,qBAAuB,KACxEw+B,EAAY,IAWhB,EAAI1lE,EAAUP,WAAW,qBAAqB,SAAUhN,GACtD+X,EAAQ/X,EAAE+X,MACVk7D,EAAUxtE,SAAQ,SAAUmpB,GAC1B,IACEA,EAAS5uB,EAAE+X,OACX,MAAO/X,GACP6D,QAAQ3C,MAAM,qCAAsClB,W,gBC/B1D,IAAIwE,EAAgB,EAAQ,IAE5B1E,EAAOD,QAAU2E,IAEX1B,OAAO8G,MAEkB,iBAAnB9G,OAAO+vB,U,6BCJnB7zB,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ6N,cAAW,EAEnB,IAAIylE,EAASC,EAAuB,EAAQ,MAExCC,EAASD,EAAuB,EAAQ,MAE5C,SAASA,EAAuB1tE,GAAO,OAAOA,GAAOA,EAAIvC,WAAauC,EAAM,CAAEiM,QAASjM,GAIvF,SAAS4tE,EAAkB1xE,EAAQ2U,GAAS,IAAK,IAAI1X,EAAI,EAAGA,EAAI0X,EAAMxX,OAAQF,IAAK,CAAE,IAAIwK,EAAakN,EAAM1X,GAAIwK,EAAW1G,WAAa0G,EAAW1G,aAAc,EAAO0G,EAAWiI,cAAe,EAAU,UAAWjI,IAAYA,EAAWkI,UAAW,GAAMvS,OAAO0D,eAAed,EAAQyH,EAAW/F,IAAK+F,IAM7S,IAAIkqE,EAEO,QAyCP7lE,EAAwB,WAC1B,SAASA,EAASR,GA9CpB,IAAyBxH,EAAKpC,EAAKN,GANnC,SAAyBmtE,EAAUhU,GAAe,KAAMgU,aAAoBhU,GAAgB,MAAM,IAAIt0D,UAAU,qCAqD5G2rE,CAAgB5mE,KAAMc,GA/CS1K,OAiDF,GAjDHM,EAiDJ,SAjDDoC,EAiDLkH,MAjD0C5N,OAAO0D,eAAegD,EAAKpC,EAAK,CAAEN,MAAOA,EAAOL,YAAY,EAAM2O,cAAc,EAAMC,UAAU,IAAkB7L,EAAIpC,GAAON,EAmDzJ,mBAAnBkK,EAAIumE,aAA8B,EAAIN,EAAOxhE,SAASzE,EAAIumE,eAE1D,EAAIJ,EAAO1hE,SAASzE,EAAIumE,iBAAkB,EAAIJ,EAAO1hE,SAAS/E,KAAK6mE,eAC5E5vE,QAAQ4J,KAAK,oCAAsCP,EAAIumE,aAAe,SAAW7mE,KAAK6mE,cAFtF5vE,QAAQ4J,KAAK,4DAKfb,KAAKM,IAAMA,EA3Df,IAAsBivD,EAAauX,EAAYhf,EAoF7C,OApFoByH,EA8DPzuD,GA9DoBgmE,EA8DV,CAAC,CACtBpwE,IAAK,aACLN,MAAO,WACL,OAAOuwE,IAER,CACDjwE,IAAK,YACLN,MAAO,SAAmBlB,EAAMmL,GAC9BL,KAAKM,IAAIF,UAAUlL,EAAMmL,KAE1B,CACD3J,IAAK,cACLN,MAAO,SAAqBlB,EAAMmL,GAChCL,KAAKM,IAAIC,YAAYrL,EAAMmL,KAE5B,CACD3J,IAAK,OACLN,MAAO,SAAclB,EAAMV,GACzBwL,KAAKM,IAAIE,KAAKtL,EAAMV,QAhFoDkyE,EAAkBnX,EAAYl9D,UAAWy0E,GAAiBhf,GAAa4e,EAAkBnX,EAAazH,GAoF3KhnD,EArCmB,GAwC5B7N,EAAQ6N,SAAWA,G,gBCxGnB,MAAMi5C,EAAQ,EAAQ,KAKtB7mD,EAAOD,QAJO,CAAC8K,EAAS1B,KACtB,MAAM4V,EAAI8nC,EAAMh8C,EAAS1B,GACzB,OAAO4V,EAAIA,EAAElU,QAAU,O,gBCHzB,MAAM,WAACsQ,GAAc,EAAQ,KACvB,GAAEkD,EAAE,EAAElb,GAAM,EAAQ,IACpBujE,EAAS,EAAQ,IAkCvB1mE,EAAOD,QAhCO,CAAC8K,EAAS1B,KAQtB,GAPKA,GAA8B,iBAAZA,IACrBA,EAAU,CACRw9D,QAASx9D,EACTy9D,mBAAmB,IAInB/7D,aAAmB67D,EACrB,OAAO77D,EAGT,GAAuB,iBAAZA,EACT,OAAO,KAGT,GAAIA,EAAQ5L,OAASkc,EACnB,OAAO,KAIT,KADUhS,EAAQw9D,MAAQtoD,EAAGlb,EAAE0jE,OAASxoD,EAAGlb,EAAE2jE,OACtCzpD,KAAKxS,GACV,OAAO,KAGT,IACE,OAAO,IAAI67D,EAAO77D,EAAS1B,GAC3B,MAAO0qE,GACP,OAAO,Q,cChCX,MAAMC,EAAU,WACVrN,EAAqB,CAACh/D,EAAGC,KAC7B,MAAMqsE,EAAOD,EAAQz2D,KAAK5V,GACpBusE,EAAOF,EAAQz2D,KAAK3V,GAO1B,OALIqsE,GAAQC,IACVvsE,GAAKA,EACLC,GAAKA,GAGAD,IAAMC,EAAI,EACZqsE,IAASC,GAAS,EAClBA,IAASD,EAAQ,EAClBtsE,EAAIC,GAAK,EACT,GAKN1H,EAAOD,QAAU,CACf0mE,qBACAwN,oBAJ0B,CAACxsE,EAAGC,IAAM++D,EAAmB/+D,EAAGD,K,gBCjB5D,MAAMi/D,EAAS,EAAQ,IAEvB1mE,EAAOD,QADO,CAAC0H,EAAGk/D,IAAU,IAAID,EAAOj/D,EAAGk/D,GAAOI,O,6BC0BjD,SAASyM,EAAkB1xE,EAAQ2U,GAAS,IAAK,IAAI1X,EAAI,EAAGA,EAAI0X,EAAMxX,OAAQF,IAAK,CAAE,IAAIwK,EAAakN,EAAM1X,GAAIwK,EAAW1G,WAAa0G,EAAW1G,aAAc,EAAO0G,EAAWiI,cAAe,EAAU,UAAWjI,IAAYA,EAAWkI,UAAW,GAAMvS,OAAO0D,eAAed,EAAQyH,EAAW/F,IAAK+F,IAzB7S,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,KAER,EAAQ,KAER,EAAQ,KAERrK,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ8N,eAAY,EAUpB,IAAI4lE,EAEO,QAyCP5lE,EAAyB,WAC3B,SAASA,IA9CX,IAAyBjI,EAAKpC,EAAKN,GANnC,SAAyBmtE,EAAUhU,GAAe,KAAMgU,aAAoBhU,GAAgB,MAAM,IAAIt0D,UAAU,qCAqD5G2rE,CAAgB5mE,KAAMe,GA/CDjI,EAiDLkH,KAjDUtJ,EAiDJ,WAjDSN,EAiDG,IAAIgxE,IAjDM1wE,KAAOoC,EAAO1G,OAAO0D,eAAegD,EAAKpC,EAAK,CAAEN,MAAOA,EAAOL,YAAY,EAAM2O,cAAc,EAAMC,UAAU,IAAkB7L,EAAIpC,GAAON,EAF3L,IAAsBm5D,EAAauX,EAAYhf,EAoF7C,OApFoByH,EAsDPxuD,GAtDoB+lE,EAsDT,CAAC,CACvBpwE,IAAK,aACLN,MAAO,WACL,OAAOuwE,IAER,CACDjwE,IAAK,YACLN,MAAO,SAAmBlB,EAAMmL,GAC9BL,KAAK4wB,SAAS1tB,IAAIhO,GAAO8K,KAAK4wB,SAAS56B,IAAId,IAAS,IAAI+N,OAAO5C,MAEhE,CACD3J,IAAK,cACLN,MAAO,SAAqBlB,EAAMmL,GAChCL,KAAK4wB,SAAS1tB,IAAIhO,GAAO8K,KAAK4wB,SAAS56B,IAAId,IAAS,IAAIwhC,QAAO,SAAU5zB,GACvE,OAAOA,GAAKzC,QAGf,CACD3J,IAAK,OACLN,MAAO,SAAclB,EAAMV,IACxBwL,KAAK4wB,SAAS56B,IAAId,IAAS,IAAI2D,SAAQ,SAAUiK,GAChD,IACEA,EAAEtO,GACF,MAAOpB,GACP6D,QAAQ3C,MAAM,kCAAmClB,YA9EmBszE,EAAkBnX,EAAYl9D,UAAWy0E,GAAiBhf,GAAa4e,EAAkBnX,EAAazH,GAoF3K/mD,EArCoB,GAwC7B9N,EAAQ8N,UAAYA,G,gBCpHpB,IAAIyM,EAAkB,EAAQ,GAC1B/W,EAAS,EAAQ,IACjBoF,EAAuB,EAAQ,GAE/BwrE,EAAc75D,EAAgB,eAC9Bm0D,EAAiB9uD,MAAMxgB,UAIQ+C,MAA/BusE,EAAe0F,IACjBxrE,EAAqBJ,EAAEkmE,EAAgB0F,EAAa,CAClD3iE,cAAc,EACdtO,MAAOK,EAAO,QAKlBvD,EAAOD,QAAU,SAAUyD,GACzBirE,EAAe0F,GAAa3wE,IAAO,I,gBClBrC,IAAIyE,EAAQ,EAAQ,GAEpBjI,EAAOD,SAAWkI,GAAM,WACtB,SAASkS,KAET,OADAA,EAAEhb,UAAU6G,YAAc,KACnB9G,OAAOqc,eAAe,IAAIpB,KAASA,EAAEhb,c,gBCL9C,IAAIsG,EAAW,EAAQ,GAEvBzF,EAAOD,QAAU,SAAUgF,GACzB,IAAKU,EAASV,IAAc,OAAPA,EACnB,MAAMgD,UAAU,aAAeC,OAAOjD,GAAM,mBAC5C,OAAOA,I,6BCJX,IAAIqvE,EAAa,EAAQ,KACrBC,EAAmB,EAAQ,KAI/Br0E,EAAOD,QAAUq0E,EAAW,OAAO,SAAU78C,GAC3C,OAAO,WAAiB,OAAOA,EAAKzqB,KAAMxF,UAAUrI,OAASqI,UAAU,QAAKpF,MAC3EmyE,I,6BCPH,IAAIh5D,EAAI,EAAQ,IACZ/W,EAAS,EAAQ,GACjB4E,EAAW,EAAQ,IACnBH,EAAW,EAAQ,IACnBurE,EAAyB,EAAQ,IACjCC,EAAU,EAAQ,IAClBC,EAAa,EAAQ,IACrB/uE,EAAW,EAAQ,GACnBwC,EAAQ,EAAQ,GAChBwsE,EAA8B,EAAQ,KACtCh5D,EAAiB,EAAQ,IACzBi5D,EAAoB,EAAQ,KAEhC10E,EAAOD,QAAU,SAAUivE,EAAkB2F,EAASpT,GACpD,IAAIhE,GAA8C,IAArCyR,EAAiBpjE,QAAQ,OAClCgpE,GAAgD,IAAtC5F,EAAiBpjE,QAAQ,QACnCipE,EAAQtX,EAAS,MAAQ,MACzBuX,EAAoBxwE,EAAO0qE,GAC3B+F,EAAkBD,GAAqBA,EAAkB31E,UACzDk9D,EAAcyY,EACdE,EAAW,GAEXC,EAAY,SAAUv4D,GACxB,IAAIgzD,EAAeqF,EAAgBr4D,GACnC3T,EAASgsE,EAAiBr4D,EACjB,OAAPA,EAAe,SAAaxZ,GAE1B,OADAwsE,EAAarwE,KAAKyN,KAAgB,IAAV5J,EAAc,EAAIA,GACnC4J,MACE,UAAP4P,EAAkB,SAAUlZ,GAC9B,QAAOoxE,IAAYnvE,EAASjC,KAAeksE,EAAarwE,KAAKyN,KAAc,IAARtJ,EAAY,EAAIA,IAC1E,OAAPkZ,EAAe,SAAalZ,GAC9B,OAAOoxE,IAAYnvE,EAASjC,QAAOtB,EAAYwtE,EAAarwE,KAAKyN,KAAc,IAARtJ,EAAY,EAAIA,IAC9E,OAAPkZ,EAAe,SAAalZ,GAC9B,QAAOoxE,IAAYnvE,EAASjC,KAAeksE,EAAarwE,KAAKyN,KAAc,IAARtJ,EAAY,EAAIA,IACjF,SAAaA,EAAKN,GAEpB,OADAwsE,EAAarwE,KAAKyN,KAAc,IAARtJ,EAAY,EAAIA,EAAKN,GACtC4J,QAMb,GAAI5D,EAAS8lE,EAA8C,mBAArB8F,KAAqCF,GAAWG,EAAgBpvE,UAAYsC,GAAM,YACtH,IAAI6sE,GAAoB53D,UAAUd,YAGlCigD,EAAckF,EAAO2T,eAAeP,EAAS3F,EAAkBzR,EAAQsX,GACvEP,EAAuBhM,UAAW,OAC7B,GAAIp/D,EAAS8lE,GAAkB,GAAO,CAC3C,IAAIqB,EAAW,IAAIhU,EAEf8Y,EAAiB9E,EAASwE,GAAOD,EAAU,IAAM,EAAG,IAAMvE,EAE1D+E,EAAuBntE,GAAM,WAAcooE,EAAS7rE,IAAI,MAGxD6wE,EAAmBZ,GAA4B,SAAUhW,GAAY,IAAIqW,EAAkBrW,MAE3F6W,GAAcV,GAAW3sE,GAAM,WAIjC,IAFA,IAAIstE,EAAY,IAAIT,EAChBn2D,EAAQ,EACLA,KAAS42D,EAAUV,GAAOl2D,EAAOA,GACxC,OAAQ42D,EAAU/wE,KAAK,MAGpB6wE,KACHhZ,EAAcsY,GAAQ,SAAU7G,EAAOrP,GACrC+V,EAAW1G,EAAOzR,EAAa2S,GAC/B,IAAI52D,EAAOs8D,EAAkB,IAAII,EAAqBhH,EAAOzR,GAE7D,OADgBn6D,MAAZu8D,GAAuB8V,EAAQ9V,EAAUrmD,EAAKy8D,GAAQz8D,EAAMmlD,GACzDnlD,MAEGjZ,UAAY41E,EACxBA,EAAgB/uE,YAAcq2D,IAG5B+Y,GAAwBE,KAC1BL,EAAU,UACVA,EAAU,OACV1X,GAAU0X,EAAU,SAGlBK,GAAcH,IAAgBF,EAAUJ,GAGxCD,GAAWG,EAAgB7uD,cAAc6uD,EAAgB7uD,MAU/D,OAPA8uD,EAAShG,GAAoB3S,EAC7BhhD,EAAE,CAAE/W,QAAQ,EAAMuF,OAAQwyD,GAAeyY,GAAqBE,GAE9Dv5D,EAAe4gD,EAAa2S,GAEvB4F,GAASrT,EAAOiU,UAAUnZ,EAAa2S,EAAkBzR,GAEvDlB,I,gBCjGT,IAAIp0D,EAAQ,EAAQ,GAEpBjI,EAAOD,SAAWkI,GAAM,WACtB,OAAO/I,OAAO6qB,aAAa7qB,OAAOu2E,kBAAkB,S,6BCFtD,IAAI7yE,EAAiB,EAAQ,GAAuC2F,EAChEhF,EAAS,EAAQ,IACjBmyE,EAAc,EAAQ,KACtBjyE,EAAO,EAAQ,IACf+wE,EAAa,EAAQ,IACrBD,EAAU,EAAQ,IAClBjZ,EAAiB,EAAQ,IACzBqa,EAAa,EAAQ,KACrBztE,EAAc,EAAQ,GACtBqgE,EAAU,EAAQ,IAAkCA,QACpDl8D,EAAsB,EAAQ,IAE9BkvD,EAAmBlvD,EAAoB2D,IACvC4lE,EAAyBvpE,EAAoBuE,UAEjD5Q,EAAOD,QAAU,CACfm1E,eAAgB,SAAUP,EAAS3F,EAAkBzR,EAAQsX,GAC3D,IAAItY,EAAIoY,GAAQ,SAAUv8D,EAAMqmD,GAC9B+V,EAAWp8D,EAAMmkD,EAAGyS,GACpBzT,EAAiBnjD,EAAM,CACrBxW,KAAMotE,EACNrwD,MAAOpb,EAAO,MACd47D,WAAOj9D,EACPmvB,UAAMnvB,EACNq9D,KAAM,IAEHr3D,IAAakQ,EAAKmnD,KAAO,GACdr9D,MAAZu8D,GAAuB8V,EAAQ9V,EAAUrmD,EAAKy8D,GAAQz8D,EAAMmlD,MAG9DjxD,EAAmBspE,EAAuB5G,GAE1C6G,EAAS,SAAUz9D,EAAM5U,EAAKN,GAChC,IAEI4yE,EAAUn3D,EAFV7N,EAAQxE,EAAiB8L,GACzB29D,EAAQC,EAAS59D,EAAM5U,GAqBzB,OAlBEuyE,EACFA,EAAM7yE,MAAQA,GAGd4N,EAAMugB,KAAO0kD,EAAQ,CACnBp3D,MAAOA,EAAQ4pD,EAAQ/kE,GAAK,GAC5BA,IAAKA,EACLN,MAAOA,EACP4yE,SAAUA,EAAWhlE,EAAMugB,KAC3BjV,UAAMla,EACNogD,SAAS,GAENxxC,EAAMquD,QAAOruD,EAAMquD,MAAQ4W,GAC5BD,IAAUA,EAAS15D,KAAO25D,GAC1B7tE,EAAa4I,EAAMyuD,OAClBnnD,EAAKmnD,OAEI,MAAV5gD,IAAe7N,EAAM6N,MAAMA,GAASo3D,IACjC39D,GAGP49D,EAAW,SAAU59D,EAAM5U,GAC7B,IAGIuyE,EAHAjlE,EAAQxE,EAAiB8L,GAEzBuG,EAAQ4pD,EAAQ/kE,GAEpB,GAAc,MAAVmb,EAAe,OAAO7N,EAAM6N,MAAMA,GAEtC,IAAKo3D,EAAQjlE,EAAMquD,MAAO4W,EAAOA,EAAQA,EAAM35D,KAC7C,GAAI25D,EAAMvyE,KAAOA,EAAK,OAAOuyE,GAiFjC,OA7EAL,EAAYnZ,EAAEp9D,UAAW,CAGvB+mB,MAAO,WAKL,IAJA,IACIpV,EAAQxE,EADDQ,MAEPpO,EAAOoS,EAAM6N,MACbo3D,EAAQjlE,EAAMquD,MACX4W,GACLA,EAAMzzB,SAAU,EACZyzB,EAAMD,WAAUC,EAAMD,SAAWC,EAAMD,SAAS15D,UAAOla,UACpDxD,EAAKq3E,EAAMp3D,OAClBo3D,EAAQA,EAAM35D,KAEhBtL,EAAMquD,MAAQruD,EAAMugB,UAAOnvB,EACvBgG,EAAa4I,EAAMyuD,KAAO,EAXnBzyD,KAYDyyD,KAAO,GAInB,OAAU,SAAU/7D,GAClB,IACIsN,EAAQxE,EADDQ,MAEPipE,EAAQC,EAFDlpE,KAEgBtJ,GAC3B,GAAIuyE,EAAO,CACT,IAAI35D,EAAO25D,EAAM35D,KACbsxB,EAAOqoC,EAAMD,gBACVhlE,EAAM6N,MAAMo3D,EAAMp3D,OACzBo3D,EAAMzzB,SAAU,EACZ5U,IAAMA,EAAKtxB,KAAOA,GAClBA,IAAMA,EAAK05D,SAAWpoC,GACtB58B,EAAMquD,OAAS4W,IAAOjlE,EAAMquD,MAAQ/iD,GACpCtL,EAAMugB,MAAQ0kD,IAAOjlE,EAAMugB,KAAOqc,GAClCxlC,EAAa4I,EAAMyuD,OAZdzyD,KAaCyyD,OACV,QAASwW,GAIbpwE,QAAS,SAAiBm4D,GAIxB,IAHA,IAEIiY,EAFAjlE,EAAQxE,EAAiBQ,MACzBkxD,EAAgBv6D,EAAKq6D,EAAYx2D,UAAUrI,OAAS,EAAIqI,UAAU,QAAKpF,EAAW,GAE/E6zE,EAAQA,EAAQA,EAAM35D,KAAOtL,EAAMquD,OAGxC,IAFAnB,EAAc+X,EAAM7yE,MAAO6yE,EAAMvyE,IAAKsJ,MAE/BipE,GAASA,EAAMzzB,SAASyzB,EAAQA,EAAMD,UAKjDtxE,IAAK,SAAahB,GAChB,QAASwyE,EAASlpE,KAAMtJ,MAI5BkyE,EAAYnZ,EAAEp9D,UAAWo+D,EAAS,CAEhCz6D,IAAK,SAAaU,GAChB,IAAIuyE,EAAQC,EAASlpE,KAAMtJ,GAC3B,OAAOuyE,GAASA,EAAM7yE,OAGxB8M,IAAK,SAAaxM,EAAKN,GACrB,OAAO2yE,EAAO/oE,KAAc,IAARtJ,EAAY,EAAIA,EAAKN,KAEzC,CAEFmM,IAAK,SAAanM,GAChB,OAAO2yE,EAAO/oE,KAAM5J,EAAkB,IAAVA,EAAc,EAAIA,EAAOA,MAGrDgF,GAAatF,EAAe25D,EAAEp9D,UAAW,OAAQ,CACnD2D,IAAK,WACH,OAAOwJ,EAAiBQ,MAAMyyD,QAG3BhD,GAETiZ,UAAW,SAAUjZ,EAAGyS,EAAkBzR,GACxC,IAAI0Y,EAAgBjH,EAAmB,YACnCkH,EAA6BN,EAAuB5G,GACpDmH,EAA2BP,EAAuBK,GAGtD3a,EAAeiB,EAAGyS,GAAkB,SAAUxT,EAAUC,GACtDF,EAAiBzuD,KAAM,CACrBlL,KAAMq0E,EACNn0E,OAAQ05D,EACR1qD,MAAOolE,EAA2B1a,GAClCC,KAAMA,EACNpqC,UAAMnvB,OAEP,WAKD,IAJA,IAAI4O,EAAQqlE,EAAyBrpE,MACjC2uD,EAAO3qD,EAAM2qD,KACbsa,EAAQjlE,EAAMugB,KAEX0kD,GAASA,EAAMzzB,SAASyzB,EAAQA,EAAMD,SAE7C,OAAKhlE,EAAMhP,SAAYgP,EAAMugB,KAAO0kD,EAAQA,EAAQA,EAAM35D,KAAOtL,EAAMA,MAAMquD,OAMjE,QAAR1D,EAAuB,CAAEv4D,MAAO6yE,EAAMvyE,IAAKwvB,MAAM,GACzC,UAARyoC,EAAyB,CAAEv4D,MAAO6yE,EAAM7yE,MAAO8vB,MAAM,GAClD,CAAE9vB,MAAO,CAAC6yE,EAAMvyE,IAAKuyE,EAAM7yE,OAAQ8vB,MAAM,IAN9CliB,EAAMhP,YAASI,EACR,CAAEgB,WAAOhB,EAAW8wB,MAAM,MAMlCuqC,EAAS,UAAY,UAAWA,GAAQ,GAG3CoY,EAAW3G,M,6BCtLf,IAAI7T,EAAwB,EAAQ,IAChCxgD,EAAU,EAAQ,IAItB3a,EAAOD,QAAUo7D,EAAwB,GAAG91D,SAAW,WACrD,MAAO,WAAasV,EAAQ7N,MAAQ,M,gBCPtC,IAAIxI,EAAS,EAAQ,GACjBuoE,EAAe,EAAQ,IACvBlnE,EAAU,EAAQ,IAClBmD,EAA8B,EAAQ,GAE1C,IAAK,IAAIkkE,KAAmBH,EAAc,CACxC,IAAII,EAAa3oE,EAAO0oE,GACpBE,EAAsBD,GAAcA,EAAW9tE,UAEnD,GAAI+tE,GAAuBA,EAAoBvnE,UAAYA,EAAS,IAClEmD,EAA4BokE,EAAqB,UAAWvnE,GAC5D,MAAOvE,GACP8rE,EAAoBvnE,QAAUA,K,6BCVlCzG,OAAO0D,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ4tE,eAQR,WACE,GAAY,OAARlpE,EACF,OAAO,KAGT,MAAO,CACLA,IAAKA,EACL+P,YAAaA,EACb4hE,QAASA,IAdb,IAAIC,EAAa11E,SAAS+4D,qBAAqB,QAAQ,GACnDj1D,EAAM4xE,EAAaA,EAAW1hC,aAAa,aAAe,KAC1D2hC,EAAqB31E,SAAS+4D,qBAAqB,QAAQ,GAC3DllD,EAAc8hE,EAAqBA,EAAmB3hC,aAAa,yBAA2B,KAC9FyhC,EAAwB,oBAAP3qE,IAA6BA,GAAG8qE,e,6BCTrD,IAAItuE,EAAQ,EAAQ,GAIpB,SAASuuE,EAAGpyE,EAAGmE,GACb,OAAOoV,OAAOvZ,EAAGmE,GAGnBxI,EAAQie,cAAgB/V,GAAM,WAE5B,IAAIoW,EAAKm4D,EAAG,IAAK,KAEjB,OADAn4D,EAAGN,UAAY,EACW,MAAnBM,EAAGha,KAAK,WAGjBtE,EAAQke,aAAehW,GAAM,WAE3B,IAAIoW,EAAKm4D,EAAG,KAAM,MAElB,OADAn4D,EAAGN,UAAY,EACU,MAAlBM,EAAGha,KAAK,W,6BCrBjB,mBAAmX,G,iBCEnXtE,EADkC,EAAQ,GAChC02E,EAA4B,IAE9Bl3E,KAAK,CAACS,EAAOjB,EAAI,wtDAAytD,KAElvDiB,EAAOD,QAAUA,G,kBCNjB,iCAC6B,oBAAToF,MAAwBA,MAChClB,OACRoU,EAAQjT,SAASjG,UAAUkZ,MAiB/B,SAASq+D,EAAQvjE,EAAIwjE,GACnB7pE,KAAK8pE,IAAMzjE,EACXrG,KAAK+pE,SAAWF,EAflB52E,EAAQoC,WAAa,WACnB,OAAO,IAAIu0E,EAAQr+D,EAAMhZ,KAAK8C,WAAY20E,EAAOxvE,WAAY7F,eAE/D1B,EAAQg3E,YAAc,WACpB,OAAO,IAAIL,EAAQr+D,EAAMhZ,KAAK03E,YAAaD,EAAOxvE,WAAY0vE,gBAEhEj3E,EAAQ0B,aACR1B,EAAQi3E,cAAgB,SAASl2E,GAC3BA,GACFA,EAAQ6Y,SAQZ+8D,EAAQv3E,UAAU83E,MAAQP,EAAQv3E,UAAU0mC,IAAM,aAClD6wC,EAAQv3E,UAAUwa,MAAQ,WACxB7M,KAAK+pE,SAASx3E,KAAKy3E,EAAOhqE,KAAK8pE,MAIjC72E,EAAQm3E,OAAS,SAAS12D,EAAM22D,GAC9B11E,aAAa+e,EAAK42D,gBAClB52D,EAAK62D,aAAeF,GAGtBp3E,EAAQu3E,SAAW,SAAS92D,GAC1B/e,aAAa+e,EAAK42D,gBAClB52D,EAAK62D,cAAgB,GAGvBt3E,EAAQw3E,aAAex3E,EAAQ4W,OAAS,SAAS6J,GAC/C/e,aAAa+e,EAAK42D,gBAElB,IAAID,EAAQ32D,EAAK62D,aACbF,GAAS,IACX32D,EAAK42D,eAAiBj1E,YAAW,WAC3Bqe,EAAKg3D,YACPh3D,EAAKg3D,eACNL,KAKP,EAAQ,KAIRp3E,EAAQ6uB,aAAgC,oBAATzpB,MAAwBA,KAAKypB,mBAClB,IAAXtqB,GAA0BA,EAAOsqB,cACxC9hB,MAAQA,KAAK8hB,aACrC7uB,EAAQ03E,eAAkC,oBAATtyE,MAAwBA,KAAKsyE,qBAClB,IAAXnzE,GAA0BA,EAAOmzE,gBACxC3qE,MAAQA,KAAK2qE,iB,mCC9DvC,6BACI,aAEA,IAAInzE,EAAOsqB,aAAX,CAIA,IAII8oD,EA6HI3+D,EAZA4+D,EArBAC,EACAC,EAjGJC,EAAa,EACbC,EAAgB,GAChBC,GAAwB,EACxBC,EAAM3zE,EAAO3D,SAoJbu3E,EAAWh5E,OAAOqc,gBAAkBrc,OAAOqc,eAAejX,GAC9D4zE,EAAWA,GAAYA,EAAS/1E,WAAa+1E,EAAW5zE,EAGf,qBAArC,GAAGe,SAAShG,KAAKiF,EAAO+xD,SApFxBqhB,EAAoB,SAASS,GACzB9hB,EAAQnnC,UAAS,WAAckpD,EAAaD,QAIpD,WAGI,GAAI7zE,EAAO+zE,cAAgB/zE,EAAOg0E,cAAe,CAC7C,IAAIC,GAA4B,EAC5BC,EAAel0E,EAAOm0E,UAM1B,OALAn0E,EAAOm0E,UAAY,WACfF,GAA4B,GAEhCj0E,EAAO+zE,YAAY,GAAI,KACvB/zE,EAAOm0E,UAAYD,EACZD,GAwEJG,GAIAp0E,EAAOq0E,iBA9CVhB,EAAU,IAAIgB,gBACVC,MAAMH,UAAY,SAASn3E,GAE/B82E,EADa92E,EAAM5C,OAIvBg5E,EAAoB,SAASS,GACzBR,EAAQkB,MAAMR,YAAYF,KA2CvBF,GAAO,uBAAwBA,EAAIr3E,cAAc,WAtCpDmY,EAAOk/D,EAAIniB,gBACf4hB,EAAoB,SAASS,GAGzB,IAAIz3E,EAASu3E,EAAIr3E,cAAc,UAC/BF,EAAO4hE,mBAAqB,WACxB8V,EAAaD,GACbz3E,EAAO4hE,mBAAqB,KAC5BvpD,EAAK6wB,YAAYlpC,GACjBA,EAAS,MAEbqY,EAAK1W,YAAY3B,KAKrBg3E,EAAoB,SAASS,GACzBh2E,WAAWi2E,EAAc,EAAGD,KAlD5BP,EAAgB,gBAAkB5yE,KAAK+V,SAAW,IAClD88D,EAAkB,SAASv2E,GACvBA,EAAM8H,SAAW9E,GACK,iBAAfhD,EAAM5C,MACyB,IAAtC4C,EAAM5C,KAAKkN,QAAQgsE,IACnBQ,GAAc92E,EAAM5C,KAAKyF,MAAMyzE,EAAc34E,UAIjDqF,EAAOghB,iBACPhhB,EAAOghB,iBAAiB,UAAWuyD,GAAiB,GAEpDvzE,EAAOw0E,YAAY,YAAajB,GAGpCH,EAAoB,SAASS,GACzB7zE,EAAO+zE,YAAYT,EAAgBO,EAAQ,OAgEnDD,EAAStpD,aA1KT,SAAsB+M,GAEI,mBAAbA,IACTA,EAAW,IAAIv2B,SAAS,GAAKu2B,IAI/B,IADA,IAAI/S,EAAO,IAAIjJ,MAAMrY,UAAUrI,OAAS,GAC/BF,EAAI,EAAGA,EAAI6pB,EAAK3pB,OAAQF,IAC7B6pB,EAAK7pB,GAAKuI,UAAUvI,EAAI,GAG5B,IAAIg6E,EAAO,CAAEp9C,SAAUA,EAAU/S,KAAMA,GAGvC,OAFAmvD,EAAcD,GAAciB,EAC5BrB,EAAkBI,GACXA,KA6JTI,EAAST,eAAiBA,EA1J1B,SAASA,EAAeU,UACbJ,EAAcI,GAyBzB,SAASC,EAAaD,GAGlB,GAAIH,EAGA71E,WAAWi2E,EAAc,EAAGD,OACzB,CACH,IAAIY,EAAOhB,EAAcI,GACzB,GAAIY,EAAM,CACNf,GAAwB,EACxB,KAjCZ,SAAae,GACT,IAAIp9C,EAAWo9C,EAAKp9C,SAChB/S,EAAOmwD,EAAKnwD,KAChB,OAAQA,EAAK3pB,QACb,KAAK,EACD08B,IACA,MACJ,KAAK,EACDA,EAAS/S,EAAK,IACd,MACJ,KAAK,EACD+S,EAAS/S,EAAK,GAAIA,EAAK,IACvB,MACJ,KAAK,EACD+S,EAAS/S,EAAK,GAAIA,EAAK,GAAIA,EAAK,IAChC,MACJ,QACI+S,EAAStjB,WAnDrB,EAmDsCuQ,IAiBlB8V,CAAIq6C,GACN,QACEtB,EAAeU,GACfH,GAAwB,MAvE5C,CAyLkB,oBAAT7yE,UAAyC,IAAXb,EAAyBwI,KAAOxI,EAASa,Q,qHCzL6G,ECqC7L,CACA,qBACA,KAFA,WAGA,OACA,8CAGA,SACA,OADA,WAGA,oBACA,0CACA,uDACA,wBACA,cAGA,0CACA,uDACA,wBACA,gB,QCvCe,EAXC,YACd,GCRW,WAAa,IAAI2M,EAAIhF,KAASiF,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAOE,EAAG,MAAM,CAACQ,MAAM,CAAC,GAAK,gCAAgC,CAACR,EAAG,QAAQ,CAACe,WAAW,CAAC,CAAChR,KAAK,QAAQiR,QAAQ,UAAU/P,MAAO4O,EAAiB,cAAEoB,WAAW,kBAAkBR,YAAY,WAAWD,MAAM,CAAC,GAAK,2BAA2B,KAAO,YAAY6hB,SAAS,CAAC,QAAU3U,MAAMra,QAAQwM,EAAIknE,eAAelnE,EAAIkkB,GAAGlkB,EAAIknE,cAAc,OAAO,EAAGlnE,EAAiB,eAAGa,GAAG,CAAC,OAAS,CAAC,SAASY,GAAQ,IAAI0lE,EAAInnE,EAAIknE,cAAcE,EAAK3lE,EAAOzR,OAAOq3E,IAAID,EAAKE,QAAuB,GAAGz5D,MAAMra,QAAQ2zE,GAAK,CAAC,IAAaI,EAAIvnE,EAAIkkB,GAAGijD,EAAhB,MAA4BC,EAAKE,QAASC,EAAI,IAAIvnE,EAAIknE,cAAcC,EAAIlpE,OAAO,CAA/E,QAA4FspE,GAAK,IAAIvnE,EAAIknE,cAAcC,EAAI90E,MAAM,EAAEk1E,GAAKtpE,OAAOkpE,EAAI90E,MAAMk1E,EAAI,UAAWvnE,EAAIknE,cAAcG,GAAMrnE,EAAIwnE,WAAWxnE,EAAIe,GAAG,KAAKZ,EAAG,QAAQ,CAACQ,MAAM,CAAC,IAAM,6BAA6B,CAACX,EAAIe,GAAGf,EAAIgB,GAAGhB,EAAI3O,EAAE,OAAQ,gCACt2B,IDUpB,EACA,KACA,KACA,M;;;;;;;;;;;;;;;;;;;;;;AEcFo2E,KAAoBlhB,KAAK5sD,GAAG+tE,cAC5BC,IAA0BpvE,iBAAO,OAAQ,OAEzC,IAAMqvE,EAAqB1hB,oBAAU,OAAQ,uBACvC2hB,EAAmB3hB,oBAAU,OAAQ,qBAE3C9jD,cAEAvT,SAAS2kB,iBAAiB,oBAAoB,WAM7C,QAL0B,IAAfrQ,IAAIG,SACdrR,QAAQ3C,MAAM,+BACdqU,eAGGikE,GAAsBzkE,KAAOA,IAAIC,OAASD,IAAIC,MAAM0kE,SAAU,CACjErjE,UAAIpX,UAAUgE,EAAIc,OAAOd,EACzBoT,UAAIpX,UAAUuE,EAAIO,OAAOP,EACzB6S,UAAIpX,UAAU8V,IAAMhR,OAAOgR,IAC3B,IAGM+B,EAHK,IAAIT,UAAI,CAClBnI,OAAQ,SAAAwB,GAAC,OAAIA,EAAEiqE,EAAe,OAEjBhjE,SAASS,IACvBrC,IAAIC,MAAM0kE,SAASpkE,SAAS,IAAIP,IAAIC,MAAM0kE,SAASE,QAAQ,OAAQ,CAClE9iE,GAAI,WAAQ,OAAOA,UAKlB0iE,GACHjuE,GAAG8J,QAAQC,SAAS,qBAAsBuB,KAG3C9B,IAAI8kE,KAAO,CACVC,qBAAsBL","file":"files.js","sourcesContent":[" \t// install a JSONP callback for chunk loading\n \tfunction webpackJsonpCallback(data) {\n \t\tvar chunkIds = data[0];\n \t\tvar moreModules = data[1];\n\n\n \t\t// add \"moreModules\" to the modules object,\n \t\t// then flag all \"chunkIds\" as loaded and fire callback\n \t\tvar moduleId, chunkId, i = 0, resolves = [];\n \t\tfor(;i < chunkIds.length; i++) {\n \t\t\tchunkId = chunkIds[i];\n \t\t\tif(Object.prototype.hasOwnProperty.call(installedChunks, chunkId) && installedChunks[chunkId]) {\n \t\t\t\tresolves.push(installedChunks[chunkId][0]);\n \t\t\t}\n \t\t\tinstalledChunks[chunkId] = 0;\n \t\t}\n \t\tfor(moduleId in moreModules) {\n \t\t\tif(Object.prototype.hasOwnProperty.call(moreModules, moduleId)) {\n \t\t\t\tmodules[moduleId] = moreModules[moduleId];\n \t\t\t}\n \t\t}\n \t\tif(parentJsonpFunction) parentJsonpFunction(data);\n\n \t\twhile(resolves.length) {\n \t\t\tresolves.shift()();\n \t\t}\n\n \t};\n\n\n \t// The module cache\n \tvar installedModules = {};\n\n \t// object to store loaded and loading chunks\n \t// undefined = chunk not loaded, null = chunk preloaded/prefetched\n \t// Promise = chunk loading, 0 = chunk loaded\n \tvar installedChunks = {\n \t\t193: 0,\n \t\t0: 0\n \t};\n\n\n\n \t// script path function\n \tfunction jsonpScriptSrc(chunkId) {\n \t\treturn __webpack_require__.p + \"\" + ({\"0\":\"vendor\",\"1\":\"vendors~editor-collab~editor-guest~editor-rich~files-modal\",\"2\":\"highlight/1c\",\"3\":\"highlight/abnf\",\"4\":\"highlight/accesslog\",\"5\":\"highlight/actionscript\",\"6\":\"highlight/ada\",\"7\":\"highlight/angelscript\",\"8\":\"highlight/apache\",\"9\":\"highlight/applescript\",\"10\":\"highlight/arcade\",\"11\":\"highlight/arduino\",\"12\":\"highlight/armasm\",\"13\":\"highlight/asciidoc\",\"14\":\"highlight/aspectj\",\"15\":\"highlight/autohotkey\",\"16\":\"highlight/autoit\",\"17\":\"highlight/avrasm\",\"18\":\"highlight/awk\",\"19\":\"highlight/axapta\",\"20\":\"highlight/bash\",\"21\":\"highlight/basic\",\"22\":\"highlight/bnf\",\"23\":\"highlight/brainfuck\",\"24\":\"highlight/cal\",\"25\":\"highlight/capnproto\",\"26\":\"highlight/ceylon\",\"27\":\"highlight/clean\",\"28\":\"highlight/clojure\",\"29\":\"highlight/clojure-repl\",\"30\":\"highlight/cmake\",\"31\":\"highlight/coffeescript\",\"32\":\"highlight/coq\",\"33\":\"highlight/cos\",\"34\":\"highlight/cpp\",\"35\":\"highlight/crmsh\",\"36\":\"highlight/crystal\",\"37\":\"highlight/cs\",\"38\":\"highlight/csp\",\"39\":\"highlight/css\",\"40\":\"highlight/d\",\"41\":\"highlight/dart\",\"42\":\"highlight/delphi\",\"43\":\"highlight/diff\",\"44\":\"highlight/django\",\"45\":\"highlight/dns\",\"46\":\"highlight/dockerfile\",\"47\":\"highlight/dos\",\"48\":\"highlight/dsconfig\",\"49\":\"highlight/dts\",\"50\":\"highlight/dust\",\"51\":\"highlight/ebnf\",\"52\":\"highlight/elixir\",\"53\":\"highlight/elm\",\"54\":\"highlight/erb\",\"55\":\"highlight/erlang\",\"56\":\"highlight/erlang-repl\",\"57\":\"highlight/excel\",\"58\":\"highlight/fix\",\"59\":\"highlight/flix\",\"60\":\"highlight/fortran\",\"61\":\"highlight/fsharp\",\"62\":\"highlight/gams\",\"63\":\"highlight/gauss\",\"64\":\"highlight/gcode\",\"65\":\"highlight/gherkin\",\"66\":\"highlight/glsl\",\"67\":\"highlight/gml\",\"68\":\"highlight/go\",\"69\":\"highlight/golo\",\"70\":\"highlight/gradle\",\"71\":\"highlight/groovy\",\"72\":\"highlight/haml\",\"73\":\"highlight/handlebars\",\"74\":\"highlight/haskell\",\"75\":\"highlight/haxe\",\"76\":\"highlight/hsp\",\"77\":\"highlight/htmlbars\",\"78\":\"highlight/http\",\"79\":\"highlight/hy\",\"80\":\"highlight/inform7\",\"81\":\"highlight/ini\",\"82\":\"highlight/irpf90\",\"83\":\"highlight/isbl\",\"84\":\"highlight/java\",\"85\":\"highlight/javascript\",\"86\":\"highlight/jboss-cli\",\"87\":\"highlight/json\",\"88\":\"highlight/julia\",\"89\":\"highlight/julia-repl\",\"90\":\"highlight/kotlin\",\"91\":\"highlight/lasso\",\"92\":\"highlight/ldif\",\"93\":\"highlight/leaf\",\"94\":\"highlight/less\",\"95\":\"highlight/lisp\",\"96\":\"highlight/livecodeserver\",\"97\":\"highlight/livescript\",\"98\":\"highlight/llvm\",\"99\":\"highlight/lsl\",\"100\":\"highlight/lua\",\"101\":\"highlight/makefile\",\"102\":\"highlight/markdown\",\"103\":\"highlight/mathematica\",\"104\":\"highlight/matlab\",\"105\":\"highlight/maxima\",\"106\":\"highlight/mel\",\"107\":\"highlight/mercury\",\"108\":\"highlight/mipsasm\",\"109\":\"highlight/mizar\",\"110\":\"highlight/mojolicious\",\"111\":\"highlight/monkey\",\"112\":\"highlight/moonscript\",\"113\":\"highlight/n1ql\",\"114\":\"highlight/nginx\",\"115\":\"highlight/nimrod\",\"116\":\"highlight/nix\",\"117\":\"highlight/nsis\",\"118\":\"highlight/objectivec\",\"119\":\"highlight/ocaml\",\"120\":\"highlight/openscad\",\"121\":\"highlight/oxygene\",\"122\":\"highlight/parser3\",\"123\":\"highlight/perl\",\"124\":\"highlight/pf\",\"125\":\"highlight/pgsql\",\"126\":\"highlight/php\",\"127\":\"highlight/plaintext\",\"128\":\"highlight/pony\",\"129\":\"highlight/powershell\",\"130\":\"highlight/processing\",\"131\":\"highlight/profile\",\"132\":\"highlight/prolog\",\"133\":\"highlight/properties\",\"134\":\"highlight/protobuf\",\"135\":\"highlight/puppet\",\"136\":\"highlight/purebasic\",\"137\":\"highlight/python\",\"138\":\"highlight/q\",\"139\":\"highlight/qml\",\"140\":\"highlight/r\",\"141\":\"highlight/reasonml\",\"142\":\"highlight/rib\",\"143\":\"highlight/roboconf\",\"144\":\"highlight/routeros\",\"145\":\"highlight/rsl\",\"146\":\"highlight/ruby\",\"147\":\"highlight/ruleslanguage\",\"148\":\"highlight/rust\",\"149\":\"highlight/sas\",\"150\":\"highlight/scala\",\"151\":\"highlight/scheme\",\"152\":\"highlight/scilab\",\"153\":\"highlight/scss\",\"154\":\"highlight/shell\",\"155\":\"highlight/smali\",\"156\":\"highlight/smalltalk\",\"157\":\"highlight/sml\",\"158\":\"highlight/sqf\",\"159\":\"highlight/sql\",\"160\":\"highlight/stan\",\"161\":\"highlight/stata\",\"162\":\"highlight/step21\",\"163\":\"highlight/stylus\",\"164\":\"highlight/subunit\",\"165\":\"highlight/swift\",\"166\":\"highlight/taggerscript\",\"167\":\"highlight/tap\",\"168\":\"highlight/tcl\",\"169\":\"highlight/tex\",\"170\":\"highlight/thrift\",\"171\":\"highlight/tp\",\"172\":\"highlight/twig\",\"173\":\"highlight/typescript\",\"174\":\"highlight/vala\",\"175\":\"highlight/vbnet\",\"176\":\"highlight/vbscript\",\"177\":\"highlight/vbscript-html\",\"178\":\"highlight/verilog\",\"179\":\"highlight/vhdl\",\"180\":\"highlight/vim\",\"181\":\"highlight/x86asm\",\"182\":\"highlight/xl\",\"183\":\"highlight/xml\",\"184\":\"highlight/xquery\",\"185\":\"highlight/yaml\",\"186\":\"highlight/zephir\",\"187\":\"vendors~editor-collab~editor-guest\",\"188\":\"vendors~editor~files-modal\",\"189\":\"editor\",\"190\":\"editor-collab\",\"191\":\"editor-guest\",\"192\":\"editor-rich\",\"194\":\"files-modal\",\"197\":\"vendors~editor\",\"198\":\"vendors~editor-rich\",\"199\":\"vendors~files-modal\"}[chunkId]||chunkId) + \".js?v=\" + {\"0\":\"b6a87e35c196cb18a193\",\"1\":\"feb5918c44017d3ba256\",\"2\":\"071b3beac1c09e6dc1f3\",\"3\":\"02b6bf25401bff70e1ce\",\"4\":\"06349a10fcba1fef2b08\",\"5\":\"6f038c3b24b5205c8575\",\"6\":\"facf339fc268c8300b58\",\"7\":\"af2f947766977426f44f\",\"8\":\"3a8af9aa690812789651\",\"9\":\"3fa6a2dd879cbdba71ff\",\"10\":\"24c8182859e17c1c1fca\",\"11\":\"3cc7f34e3359919e423e\",\"12\":\"c0eb0a244d0cb39704e6\",\"13\":\"ca2812e6dfd669b9ed9e\",\"14\":\"80494a33a16258364dd1\",\"15\":\"eb67f56dc4c79e97cfb0\",\"16\":\"b1c2c197768faebf2efc\",\"17\":\"03440adea0408be2ab13\",\"18\":\"f14a658a37d21c4300ae\",\"19\":\"06a1e9a27797e6036fb9\",\"20\":\"63e8c97d235cf8f2ef15\",\"21\":\"05e2ec59a02550149906\",\"22\":\"4d6660fa6ec96528db4a\",\"23\":\"bb63714d6fef384603dd\",\"24\":\"6f4fd50b6a017394d4ef\",\"25\":\"407e150d0cddfc330a11\",\"26\":\"ce3ee1e47a64b4c9d026\",\"27\":\"2d664db16483c452e781\",\"28\":\"a3a920317593707a929f\",\"29\":\"1202ed203adda685cb20\",\"30\":\"09e7844c496dacc56656\",\"31\":\"3cdfa9538f890fa46bb1\",\"32\":\"ebfcf67e77ab98acbcd1\",\"33\":\"b8d0058fb8891ff39f37\",\"34\":\"30d813319f34a0cddfeb\",\"35\":\"1f15edbd9461b481ce4c\",\"36\":\"897ee94b0f1b39f3d24a\",\"37\":\"f492adc31805a0412549\",\"38\":\"fe3bd1b963f4b889cf8e\",\"39\":\"239e072113e160b67e91\",\"40\":\"f39807cf7bdaf8526a15\",\"41\":\"9b1df6b3d1dd1115e1e8\",\"42\":\"09b2d711deb25f958472\",\"43\":\"0a4af5e0ac26e74fb458\",\"44\":\"eca9bda3374febe1408f\",\"45\":\"bfd30fc702acf9b5b234\",\"46\":\"459bafbfedeedec393f2\",\"47\":\"e8fe346d7dd1651dc9fc\",\"48\":\"a7a41eca2b432ecb456c\",\"49\":\"78f8dd3917437cc93e18\",\"50\":\"ee32b8fb945aa398bec1\",\"51\":\"bcbee3a03044a8950774\",\"52\":\"7b450fbefd2e3706ec70\",\"53\":\"2926d9f5b9996ea173dc\",\"54\":\"528937053c9973030a88\",\"55\":\"2b7f25a3f54ef89fa6e3\",\"56\":\"9260d01a166e0b5a57a6\",\"57\":\"be8879bc01887c64e79b\",\"58\":\"996d2460737c6c1b0e81\",\"59\":\"9a35d6dc0ded8bce2582\",\"60\":\"a4301b687567a5797eae\",\"61\":\"fa47cb385b5375960fea\",\"62\":\"9a0bf8a54e622f03528d\",\"63\":\"3cee1c94793426c6fe0c\",\"64\":\"10f4e9c5ca4207cf2739\",\"65\":\"3985cce434296c7eb5c2\",\"66\":\"f199328703a109721e77\",\"67\":\"3e195b8b02db26ba5c7a\",\"68\":\"8ba3db8152267cfaeb1b\",\"69\":\"2dbea908dd7c4a0e74a1\",\"70\":\"c4208dc434db3ef75467\",\"71\":\"1bea4a55bf6330dd337c\",\"72\":\"5403fd41ba6dadfb0069\",\"73\":\"c6726e29b97cafb89aa2\",\"74\":\"b50375f6d30f874d112b\",\"75\":\"18918d37d2462dedbe24\",\"76\":\"104df33b1e29b92c7e05\",\"77\":\"230f0f7e75220878d753\",\"78\":\"3620b0f6777c0d97d088\",\"79\":\"e5519677d9528132d1b2\",\"80\":\"6a6088db96341850bc6c\",\"81\":\"5e0594b511ddf2286b2b\",\"82\":\"a5f154fc0aec26d1af48\",\"83\":\"4fea3cfbb47bcfc112f0\",\"84\":\"6365dabe44f0ef66ded3\",\"85\":\"0e1f9cfb325c38778c49\",\"86\":\"737c3cc7bf7ec7e294eb\",\"87\":\"829ab49f163323ee3597\",\"88\":\"8dd0066b61f6587f1521\",\"89\":\"8e6c3e163fdfd0858593\",\"90\":\"908502cdb9c2f5fa2f14\",\"91\":\"bb9a0eb8fe9fc64468cd\",\"92\":\"b691a0396ca5de03ccd0\",\"93\":\"9b1fcbab9c153d40a96b\",\"94\":\"40d3ddabc330eb532413\",\"95\":\"6bf858fab0e8d2e0283c\",\"96\":\"4fe5a2c2f2d3e9759ff9\",\"97\":\"12080a42229846062a9e\",\"98\":\"53196626494a177837ab\",\"99\":\"e6b4f915ea180b8d3b8a\",\"100\":\"3a5f0e3c9c3b2d169fa5\",\"101\":\"f20d61205575024461a4\",\"102\":\"c176b1db2cd4865699c5\",\"103\":\"483c94b31a85fa90f286\",\"104\":\"e8ce50b033797edb4dab\",\"105\":\"fac046a1f51230c115ad\",\"106\":\"93c1bcf6baa80a731571\",\"107\":\"414e423c5fed49ecb7a7\",\"108\":\"9d5609deeea481d092a0\",\"109\":\"6a29b8b667b4e9803831\",\"110\":\"85f3d6a6fa8c94b59441\",\"111\":\"4a3f216687a251cca431\",\"112\":\"55d2478df875c48f0655\",\"113\":\"3eadea73e515fd20b16e\",\"114\":\"49b0f012825d96845ad4\",\"115\":\"614d2341ad81de37a0a4\",\"116\":\"543a514ee50dabba2c30\",\"117\":\"5399d10990ccbbed2bc7\",\"118\":\"dc7961e08feeaf5cdc07\",\"119\":\"a02034d17acf2dfff765\",\"120\":\"1a3f064db30c34258c09\",\"121\":\"ba5037471c571831e853\",\"122\":\"339b50117d4d287cf385\",\"123\":\"bd941caa5d2f53d1d4b0\",\"124\":\"07ab8fb74ff9bea845d5\",\"125\":\"d9951f80e532cb8787b6\",\"126\":\"8e97e0f2a5e2dbf035c8\",\"127\":\"fbdfa92af2a410a157a5\",\"128\":\"646d098d07d6d4da4fed\",\"129\":\"3b4d9a58526a7741dcc7\",\"130\":\"7948820b23eb0c62404f\",\"131\":\"fdf3ed035e0369367eeb\",\"132\":\"cdd8ccb02fffc1349738\",\"133\":\"233db3ec5ccf7b2f5678\",\"134\":\"3bf8fd57b29d88129b8f\",\"135\":\"c492283b4dd2f97fcc07\",\"136\":\"6c054c58d6a66b30d59f\",\"137\":\"84414e9cc771f4cd88cf\",\"138\":\"cfc52fa64ad538a93956\",\"139\":\"a084023e960fba8dd47a\",\"140\":\"10eec06a86dfeed3f165\",\"141\":\"fe58cb5669ed32875a14\",\"142\":\"58da31919b9b8a36647c\",\"143\":\"6f6f8504ef26f304d74a\",\"144\":\"98591744cbe1686b2e09\",\"145\":\"54ebb876ef10f26f55f1\",\"146\":\"cd038323b8dc2746f9ff\",\"147\":\"ed71a0b324668c95b36d\",\"148\":\"a3b4445f438d4ad1a303\",\"149\":\"e42f265b747fb02b2df0\",\"150\":\"fdb9f0a690d8cedb78c0\",\"151\":\"4b3f9672baef038f2fbb\",\"152\":\"4ed66f30d02c3d664950\",\"153\":\"96dd81098350c7a5d92f\",\"154\":\"1f4a8a17e05fbb11d688\",\"155\":\"e3e6a82a8a5388a962b5\",\"156\":\"1ca3a978cfdc9b49c6ec\",\"157\":\"5da35776878ca5d6695f\",\"158\":\"c99e663a86f2f2812d8c\",\"159\":\"90c3cd67631dc8669de1\",\"160\":\"9dd3a4734930fcff429e\",\"161\":\"e4dfcdbed07b2fc923a4\",\"162\":\"27eb26d60b4ed52a8cfe\",\"163\":\"56a827ad5ecdb729d8e0\",\"164\":\"77e46e77ce7f848e97e0\",\"165\":\"e53f833abdedc4f118f9\",\"166\":\"2e6a7aab79d8f765e78d\",\"167\":\"82205764ac320b4a5907\",\"168\":\"e5184691fd9e113a0b51\",\"169\":\"57946c72e9f255a3184d\",\"170\":\"b299c11dfb1a95970d0e\",\"171\":\"d2822f4dfe393b7f225f\",\"172\":\"be02ab9602ea44f5b8b7\",\"173\":\"3edb6a685de2ba7d9067\",\"174\":\"4b5209018d66028ae9d5\",\"175\":\"e77f394c4ae6478b2c82\",\"176\":\"63548036ec688dd55835\",\"177\":\"73060daa5328bd173d80\",\"178\":\"3f5c84f2ffb2818d5b42\",\"179\":\"ba92adfe7ff24de3a002\",\"180\":\"b85fdd69c3163b23cd4f\",\"181\":\"f0569dd5247f481195bd\",\"182\":\"f957f27aa1e0aad8b63e\",\"183\":\"b4ed61a972de16719173\",\"184\":\"b4400b1574e079783a8c\",\"185\":\"5bccbb3a33a0c2898445\",\"186\":\"b7ed8a9b4a93f789e4bd\",\"187\":\"c9868841dbd0da14f4c2\",\"188\":\"941e6f21e484ae4d0350\",\"189\":\"6ee3c36190dc54802157\",\"190\":\"7113b14aff5f5f406e65\",\"191\":\"18fd63d85d2025596fb4\",\"192\":\"e3894d020f96c922f4df\",\"194\":\"10f4a3e4df93b5fd8e9a\",\"197\":\"4ca0f6ac0902477b585a\",\"198\":\"29d438f918f0be3868ae\",\"199\":\"974d8a5edd0f4a8a98d0\"}[chunkId] + \"\"\n \t}\n\n \t// The require function\n \tfunction __webpack_require__(moduleId) {\n\n \t\t// Check if module is in cache\n \t\tif(installedModules[moduleId]) {\n \t\t\treturn installedModules[moduleId].exports;\n \t\t}\n \t\t// Create a new module (and put it into the cache)\n \t\tvar module = installedModules[moduleId] = {\n \t\t\ti: moduleId,\n \t\t\tl: false,\n \t\t\texports: {}\n \t\t};\n\n \t\t// Execute the module function\n \t\tmodules[moduleId].call(module.exports, module, module.exports, __webpack_require__);\n\n \t\t// Flag the module as loaded\n \t\tmodule.l = true;\n\n \t\t// Return the exports of the module\n \t\treturn module.exports;\n \t}\n\n \t// This file contains only the entry chunk.\n \t// The chunk loading function for additional chunks\n \t__webpack_require__.e = function requireEnsure(chunkId) {\n \t\tvar promises = [];\n\n\n \t\t// JSONP chunk loading for javascript\n\n \t\tvar installedChunkData = installedChunks[chunkId];\n \t\tif(installedChunkData !== 0) { // 0 means \"already installed\".\n\n \t\t\t// a Promise means \"currently loading\".\n \t\t\tif(installedChunkData) {\n \t\t\t\tpromises.push(installedChunkData[2]);\n \t\t\t} else {\n \t\t\t\t// setup Promise in chunk cache\n \t\t\t\tvar promise = new Promise(function(resolve, reject) {\n \t\t\t\t\tinstalledChunkData = installedChunks[chunkId] = [resolve, reject];\n \t\t\t\t});\n \t\t\t\tpromises.push(installedChunkData[2] = promise);\n\n \t\t\t\t// start chunk loading\n \t\t\t\tvar script = document.createElement('script');\n \t\t\t\tvar onScriptComplete;\n\n \t\t\t\tscript.charset = 'utf-8';\n \t\t\t\tscript.timeout = 120;\n \t\t\t\tif (__webpack_require__.nc) {\n \t\t\t\t\tscript.setAttribute(\"nonce\", __webpack_require__.nc);\n \t\t\t\t}\n \t\t\t\tscript.src = jsonpScriptSrc(chunkId);\n\n \t\t\t\t// create error before stack unwound to get useful stacktrace later\n \t\t\t\tvar error = new Error();\n \t\t\t\tonScriptComplete = function (event) {\n \t\t\t\t\t// avoid mem leaks in IE.\n \t\t\t\t\tscript.onerror = script.onload = null;\n \t\t\t\t\tclearTimeout(timeout);\n \t\t\t\t\tvar chunk = installedChunks[chunkId];\n \t\t\t\t\tif(chunk !== 0) {\n \t\t\t\t\t\tif(chunk) {\n \t\t\t\t\t\t\tvar errorType = event && (event.type === 'load' ? 'missing' : event.type);\n \t\t\t\t\t\t\tvar realSrc = event && event.target && event.target.src;\n \t\t\t\t\t\t\terror.message = 'Loading chunk ' + chunkId + ' failed.\\n(' + errorType + ': ' + realSrc + ')';\n \t\t\t\t\t\t\terror.name = 'ChunkLoadError';\n \t\t\t\t\t\t\terror.type = errorType;\n \t\t\t\t\t\t\terror.request = realSrc;\n \t\t\t\t\t\t\tchunk[1](error);\n \t\t\t\t\t\t}\n \t\t\t\t\t\tinstalledChunks[chunkId] = undefined;\n \t\t\t\t\t}\n \t\t\t\t};\n \t\t\t\tvar timeout = setTimeout(function(){\n \t\t\t\t\tonScriptComplete({ type: 'timeout', target: script });\n \t\t\t\t}, 120000);\n \t\t\t\tscript.onerror = script.onload = onScriptComplete;\n \t\t\t\tdocument.head.appendChild(script);\n \t\t\t}\n \t\t}\n \t\treturn Promise.all(promises);\n \t};\n\n \t// expose the modules object (__webpack_modules__)\n \t__webpack_require__.m = modules;\n\n \t// expose the module cache\n \t__webpack_require__.c = installedModules;\n\n \t// define getter function for harmony exports\n \t__webpack_require__.d = function(exports, name, getter) {\n \t\tif(!__webpack_require__.o(exports, name)) {\n \t\t\tObject.defineProperty(exports, name, { enumerable: true, get: getter });\n \t\t}\n \t};\n\n \t// define __esModule on exports\n \t__webpack_require__.r = function(exports) {\n \t\tif(typeof Symbol !== 'undefined' && Symbol.toStringTag) {\n \t\t\tObject.defineProperty(exports, Symbol.toStringTag, { value: 'Module' });\n \t\t}\n \t\tObject.defineProperty(exports, '__esModule', { value: true });\n \t};\n\n \t// create a fake namespace object\n \t// mode & 1: value is a module id, require it\n \t// mode & 2: merge all properties of value into the ns\n \t// mode & 4: return value when already ns object\n \t// mode & 8|1: behave like require\n \t__webpack_require__.t = function(value, mode) {\n \t\tif(mode & 1) value = __webpack_require__(value);\n \t\tif(mode & 8) return value;\n \t\tif((mode & 4) && typeof value === 'object' && value && value.__esModule) return value;\n \t\tvar ns = Object.create(null);\n \t\t__webpack_require__.r(ns);\n \t\tObject.defineProperty(ns, 'default', { enumerable: true, value: value });\n \t\tif(mode & 2 && typeof value != 'string') for(var key in value) __webpack_require__.d(ns, key, function(key) { return value[key]; }.bind(null, key));\n \t\treturn ns;\n \t};\n\n \t// getDefaultExport function for compatibility with non-harmony modules\n \t__webpack_require__.n = function(module) {\n \t\tvar getter = module && module.__esModule ?\n \t\t\tfunction getDefault() { return module['default']; } :\n \t\t\tfunction getModuleExports() { return module; };\n \t\t__webpack_require__.d(getter, 'a', getter);\n \t\treturn getter;\n \t};\n\n \t// Object.prototype.hasOwnProperty.call\n \t__webpack_require__.o = function(object, property) { return Object.prototype.hasOwnProperty.call(object, property); };\n\n \t// __webpack_public_path__\n \t__webpack_require__.p = \"/js/\";\n\n \t// on error function for async loading\n \t__webpack_require__.oe = function(err) { console.error(err); throw err; };\n\n \tvar jsonpArray = window[\"textWebpackJsonp\"] = window[\"textWebpackJsonp\"] || [];\n \tvar oldJsonpFunction = jsonpArray.push.bind(jsonpArray);\n \tjsonpArray.push = webpackJsonpCallback;\n \tjsonpArray = jsonpArray.slice();\n \tfor(var i = 0; i < jsonpArray.length; i++) webpackJsonpCallback(jsonpArray[i]);\n \tvar parentJsonpFunction = oldJsonpFunction;\n\n\n \t// Load entry module and return exports\n \treturn __webpack_require__(__webpack_require__.s = 174);\n","module.exports = function (exec) {\n try {\n return !!exec();\n } catch (error) {\n return true;\n }\n};\n","var global = require('../internals/global');\nvar shared = require('../internals/shared');\nvar has = require('../internals/has');\nvar uid = require('../internals/uid');\nvar NATIVE_SYMBOL = require('../internals/native-symbol');\nvar USE_SYMBOL_AS_UID = require('../internals/use-symbol-as-uid');\n\nvar WellKnownSymbolsStore = shared('wks');\nvar Symbol = global.Symbol;\nvar createWellKnownSymbol = USE_SYMBOL_AS_UID ? Symbol : Symbol && Symbol.withoutSetter || uid;\n\nmodule.exports = function (name) {\n if (!has(WellKnownSymbolsStore, name)) {\n if (NATIVE_SYMBOL && has(Symbol, name)) WellKnownSymbolsStore[name] = Symbol[name];\n else WellKnownSymbolsStore[name] = createWellKnownSymbol('Symbol.' + name);\n } return WellKnownSymbolsStore[name];\n};\n","var check = function (it) {\n return it && it.Math == Math && it;\n};\n\n// https://github.com/zloirock/core-js/issues/86#issuecomment-115759028\nmodule.exports =\n // eslint-disable-next-line no-undef\n check(typeof globalThis == 'object' && globalThis) ||\n check(typeof window == 'object' && window) ||\n check(typeof self == 'object' && self) ||\n check(typeof global == 'object' && global) ||\n // eslint-disable-next-line no-new-func\n Function('return this')();\n","'use strict';\n\nvar bind = require('./helpers/bind');\n\n/*global toString:true*/\n\n// utils is a library of generic helper functions non-specific to axios\n\nvar toString = Object.prototype.toString;\n\n/**\n * Determine if a value is an Array\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Array, otherwise false\n */\nfunction isArray(val) {\n return toString.call(val) === '[object Array]';\n}\n\n/**\n * Determine if a value is undefined\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if the value is undefined, otherwise false\n */\nfunction isUndefined(val) {\n return typeof val === 'undefined';\n}\n\n/**\n * Determine if a value is a Buffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Buffer, otherwise false\n */\nfunction isBuffer(val) {\n return val !== null && !isUndefined(val) && val.constructor !== null && !isUndefined(val.constructor)\n && typeof val.constructor.isBuffer === 'function' && val.constructor.isBuffer(val);\n}\n\n/**\n * Determine if a value is an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an ArrayBuffer, otherwise false\n */\nfunction isArrayBuffer(val) {\n return toString.call(val) === '[object ArrayBuffer]';\n}\n\n/**\n * Determine if a value is a FormData\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an FormData, otherwise false\n */\nfunction isFormData(val) {\n return (typeof FormData !== 'undefined') && (val instanceof FormData);\n}\n\n/**\n * Determine if a value is a view on an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a view on an ArrayBuffer, otherwise false\n */\nfunction isArrayBufferView(val) {\n var result;\n if ((typeof ArrayBuffer !== 'undefined') && (ArrayBuffer.isView)) {\n result = ArrayBuffer.isView(val);\n } else {\n result = (val) && (val.buffer) && (val.buffer instanceof ArrayBuffer);\n }\n return result;\n}\n\n/**\n * Determine if a value is a String\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a String, otherwise false\n */\nfunction isString(val) {\n return typeof val === 'string';\n}\n\n/**\n * Determine if a value is a Number\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Number, otherwise false\n */\nfunction isNumber(val) {\n return typeof val === 'number';\n}\n\n/**\n * Determine if a value is an Object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Object, otherwise false\n */\nfunction isObject(val) {\n return val !== null && typeof val === 'object';\n}\n\n/**\n * Determine if a value is a Date\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Date, otherwise false\n */\nfunction isDate(val) {\n return toString.call(val) === '[object Date]';\n}\n\n/**\n * Determine if a value is a File\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a File, otherwise false\n */\nfunction isFile(val) {\n return toString.call(val) === '[object File]';\n}\n\n/**\n * Determine if a value is a Blob\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Blob, otherwise false\n */\nfunction isBlob(val) {\n return toString.call(val) === '[object Blob]';\n}\n\n/**\n * Determine if a value is a Function\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Function, otherwise false\n */\nfunction isFunction(val) {\n return toString.call(val) === '[object Function]';\n}\n\n/**\n * Determine if a value is a Stream\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Stream, otherwise false\n */\nfunction isStream(val) {\n return isObject(val) && isFunction(val.pipe);\n}\n\n/**\n * Determine if a value is a URLSearchParams object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a URLSearchParams object, otherwise false\n */\nfunction isURLSearchParams(val) {\n return typeof URLSearchParams !== 'undefined' && val instanceof URLSearchParams;\n}\n\n/**\n * Trim excess whitespace off the beginning and end of a string\n *\n * @param {String} str The String to trim\n * @returns {String} The String freed of excess whitespace\n */\nfunction trim(str) {\n return str.replace(/^\\s*/, '').replace(/\\s*$/, '');\n}\n\n/**\n * Determine if we're running in a standard browser environment\n *\n * This allows axios to run in a web worker, and react-native.\n * Both environments support XMLHttpRequest, but not fully standard globals.\n *\n * web workers:\n * typeof window -> undefined\n * typeof document -> undefined\n *\n * react-native:\n * navigator.product -> 'ReactNative'\n * nativescript\n * navigator.product -> 'NativeScript' or 'NS'\n */\nfunction isStandardBrowserEnv() {\n if (typeof navigator !== 'undefined' && (navigator.product === 'ReactNative' ||\n navigator.product === 'NativeScript' ||\n navigator.product === 'NS')) {\n return false;\n }\n return (\n typeof window !== 'undefined' &&\n typeof document !== 'undefined'\n );\n}\n\n/**\n * Iterate over an Array or an Object invoking a function for each item.\n *\n * If `obj` is an Array callback will be called passing\n * the value, index, and complete array for each item.\n *\n * If 'obj' is an Object callback will be called passing\n * the value, key, and complete object for each property.\n *\n * @param {Object|Array} obj The object to iterate\n * @param {Function} fn The callback to invoke for each item\n */\nfunction forEach(obj, fn) {\n // Don't bother if no value provided\n if (obj === null || typeof obj === 'undefined') {\n return;\n }\n\n // Force an array if not already something iterable\n if (typeof obj !== 'object') {\n /*eslint no-param-reassign:0*/\n obj = [obj];\n }\n\n if (isArray(obj)) {\n // Iterate over array values\n for (var i = 0, l = obj.length; i < l; i++) {\n fn.call(null, obj[i], i, obj);\n }\n } else {\n // Iterate over object keys\n for (var key in obj) {\n if (Object.prototype.hasOwnProperty.call(obj, key)) {\n fn.call(null, obj[key], key, obj);\n }\n }\n }\n}\n\n/**\n * Accepts varargs expecting each argument to be an object, then\n * immutably merges the properties of each object and returns result.\n *\n * When multiple objects contain the same key the later object in\n * the arguments list will take precedence.\n *\n * Example:\n *\n * ```js\n * var result = merge({foo: 123}, {foo: 456});\n * console.log(result.foo); // outputs 456\n * ```\n *\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction merge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = merge(result[key], val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Function equal to merge with the difference being that no reference\n * to original objects is kept.\n *\n * @see merge\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction deepMerge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = deepMerge(result[key], val);\n } else if (typeof val === 'object') {\n result[key] = deepMerge({}, val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Extends object a by mutably adding to it the properties of object b.\n *\n * @param {Object} a The object to be extended\n * @param {Object} b The object to copy properties from\n * @param {Object} thisArg The object to bind function to\n * @return {Object} The resulting value of object a\n */\nfunction extend(a, b, thisArg) {\n forEach(b, function assignValue(val, key) {\n if (thisArg && typeof val === 'function') {\n a[key] = bind(val, thisArg);\n } else {\n a[key] = val;\n }\n });\n return a;\n}\n\nmodule.exports = {\n isArray: isArray,\n isArrayBuffer: isArrayBuffer,\n isBuffer: isBuffer,\n isFormData: isFormData,\n isArrayBufferView: isArrayBufferView,\n isString: isString,\n isNumber: isNumber,\n isObject: isObject,\n isUndefined: isUndefined,\n isDate: isDate,\n isFile: isFile,\n isBlob: isBlob,\n isFunction: isFunction,\n isStream: isStream,\n isURLSearchParams: isURLSearchParams,\n isStandardBrowserEnv: isStandardBrowserEnv,\n forEach: forEach,\n merge: merge,\n deepMerge: deepMerge,\n extend: extend,\n trim: trim\n};\n","var hasOwnProperty = {}.hasOwnProperty;\n\nmodule.exports = function (it, key) {\n return hasOwnProperty.call(it, key);\n};\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it)) {\n throw TypeError(String(it) + ' is not an object');\n } return it;\n};\n","module.exports = function (it) {\n return typeof it === 'object' ? it !== null : typeof it === 'function';\n};\n","var fails = require('../internals/fails');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !fails(function () {\n return Object.defineProperty({}, 1, { get: function () { return 7; } })[1] != 7;\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\nvar anObject = require('../internals/an-object');\nvar toPrimitive = require('../internals/to-primitive');\n\nvar nativeDefineProperty = Object.defineProperty;\n\n// `Object.defineProperty` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperty\nexports.f = DESCRIPTORS ? nativeDefineProperty : function defineProperty(O, P, Attributes) {\n anObject(O);\n P = toPrimitive(P, true);\n anObject(Attributes);\n if (IE8_DOM_DEFINE) try {\n return nativeDefineProperty(O, P, Attributes);\n } catch (error) { /* empty */ }\n if ('get' in Attributes || 'set' in Attributes) throw TypeError('Accessors not supported');\n if ('value' in Attributes) O[P] = Attributes.value;\n return O;\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = DESCRIPTORS ? function (object, key, value) {\n return definePropertyModule.f(object, key, createPropertyDescriptor(1, value));\n} : function (object, key, value) {\n object[key] = value;\n return object;\n};\n","var global = require('../internals/global');\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar setGlobal = require('../internals/set-global');\nvar copyConstructorProperties = require('../internals/copy-constructor-properties');\nvar isForced = require('../internals/is-forced');\n\n/*\n options.target - name of the target object\n options.global - target is the global object\n options.stat - export as static methods of target\n options.proto - export as prototype methods of target\n options.real - real prototype method for the `pure` version\n options.forced - export even if the native feature is available\n options.bind - bind methods to the target, required for the `pure` version\n options.wrap - wrap constructors to preventing global pollution, required for the `pure` version\n options.unsafe - use the simple assignment of property instead of delete + defineProperty\n options.sham - add a flag to not completely full polyfills\n options.enumerable - export as enumerable property\n options.noTargetGet - prevent calling a getter on target\n*/\nmodule.exports = function (options, source) {\n var TARGET = options.target;\n var GLOBAL = options.global;\n var STATIC = options.stat;\n var FORCED, target, key, targetProperty, sourceProperty, descriptor;\n if (GLOBAL) {\n target = global;\n } else if (STATIC) {\n target = global[TARGET] || setGlobal(TARGET, {});\n } else {\n target = (global[TARGET] || {}).prototype;\n }\n if (target) for (key in source) {\n sourceProperty = source[key];\n if (options.noTargetGet) {\n descriptor = getOwnPropertyDescriptor(target, key);\n targetProperty = descriptor && descriptor.value;\n } else targetProperty = target[key];\n FORCED = isForced(GLOBAL ? key : TARGET + (STATIC ? '.' : '#') + key, options.forced);\n // contained in target\n if (!FORCED && targetProperty !== undefined) {\n if (typeof sourceProperty === typeof targetProperty) continue;\n copyConstructorProperties(sourceProperty, targetProperty);\n }\n // add a flag to not completely full polyfills\n if (options.sham || (targetProperty && targetProperty.sham)) {\n createNonEnumerableProperty(sourceProperty, 'sham', true);\n }\n // extend global\n redefine(target, key, sourceProperty, options);\n }\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.index-of\");\n\nrequire(\"core-js/modules/es.object.assign\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.regexp.to-string\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRootUrl = exports.generateFilePath = exports.imagePath = exports.generateUrl = exports.generateOcsUrl = exports.generateRemoteUrl = exports.linkTo = void 0;\n\n/// <reference types=\"@nextcloud/typings\" />\n\n/**\n * Get an absolute url to a file in an app\n *\n * @param {string} app the id of the app the file belongs to\n * @param {string} file the file path relative to the app folder\n * @return {string} Absolute URL to a file\n */\nvar linkTo = function linkTo(app, file) {\n return generateFilePath(app, '', file);\n};\n/**\n * Creates a relative url for remote use\n *\n * @param {string} service id\n * @return {string} the url\n */\n\n\nexports.linkTo = linkTo;\n\nvar linkToRemoteBase = function linkToRemoteBase(service) {\n return getRootUrl() + '/remote.php/' + service;\n};\n/**\n * @brief Creates an absolute url for remote use\n * @param {string} service id\n * @return {string} the url\n */\n\n\nvar generateRemoteUrl = function generateRemoteUrl(service) {\n return window.location.protocol + '//' + window.location.host + linkToRemoteBase(service);\n};\n/**\n * Get the base path for the given OCS API service\n *\n * @param {string} service name\n * @param {int} version OCS API version\n * @return {string} OCS API base path\n */\n\n\nexports.generateRemoteUrl = generateRemoteUrl;\n\nvar generateOcsUrl = function generateOcsUrl(service, version) {\n version = version !== 2 ? 1 : 2;\n return window.location.protocol + '//' + window.location.host + getRootUrl() + '/ocs/v' + version + '.php/' + service + '/';\n};\n\nexports.generateOcsUrl = generateOcsUrl;\n\n/**\n * Generate the absolute url for the given relative url, which can contain parameters\n *\n * Parameters will be URL encoded automatically\n *\n * @return {string} Absolute URL for the given relative URL\n */\nvar generateUrl = function generateUrl(url, params, options) {\n var allOptions = Object.assign({\n escape: true,\n noRewrite: false\n }, options || {});\n\n var _build = function _build(text, vars) {\n vars = vars || {};\n return text.replace(/{([^{}]*)}/g, function (a, b) {\n var r = vars[b];\n\n if (allOptions.escape) {\n return typeof r === 'string' || typeof r === 'number' ? encodeURIComponent(r.toString()) : encodeURIComponent(a);\n } else {\n return typeof r === 'string' || typeof r === 'number' ? r.toString() : a;\n }\n });\n };\n\n if (url.charAt(0) !== '/') {\n url = '/' + url;\n }\n\n if (OC.config.modRewriteWorking === true && !allOptions.noRewrite) {\n return getRootUrl() + _build(url, params || {});\n }\n\n return getRootUrl() + '/index.php' + _build(url, params || {});\n};\n/**\n * Get the absolute path to an image file\n * if no extension is given for the image, it will automatically decide\n * between .png and .svg based on what the browser supports\n *\n * @param {string} app the app id to which the image belongs\n * @param {string} file the name of the image file\n * @return {string}\n */\n\n\nexports.generateUrl = generateUrl;\n\nvar imagePath = function imagePath(app, file) {\n if (file.indexOf('.') === -1) {\n //if no extension is given, use svg\n return generateFilePath(app, 'img', file + '.svg');\n }\n\n return generateFilePath(app, 'img', file);\n};\n/**\n * Get the absolute url for a file in an app\n *\n * @param {string} app the id of the app\n * @param {string} type the type of the file to link to (e.g. css,img,ajax.template)\n * @param {string} file the filename\n * @return {string} Absolute URL for a file in an app\n */\n\n\nexports.imagePath = imagePath;\n\nvar generateFilePath = function generateFilePath(app, type, file) {\n var isCore = OC.coreApps.indexOf(app) !== -1;\n var link = getRootUrl();\n\n if (file.substring(file.length - 3) === 'php' && !isCore) {\n link += '/index.php/apps/' + app;\n\n if (file !== 'index.php') {\n link += '/';\n\n if (type) {\n link += encodeURI(type + '/');\n }\n\n link += file;\n }\n } else if (file.substring(file.length - 3) !== 'php' && !isCore) {\n link = OC.appswebroots[app];\n\n if (type) {\n link += '/' + type + '/';\n }\n\n if (link.substring(link.length - 1) !== '/') {\n link += '/';\n }\n\n link += file;\n } else {\n if ((app === 'settings' || app === 'core' || app === 'search') && type === 'ajax') {\n link += '/index.php/';\n } else {\n link += '/';\n }\n\n if (!isCore) {\n link += 'apps/';\n }\n\n if (app !== '') {\n app += '/';\n link += app;\n }\n\n if (type) {\n link += type + '/';\n }\n\n link += file;\n }\n\n return link;\n};\n/**\n * Return the web root path where this Nextcloud instance\n * is accessible, with a leading slash.\n * For example \"/nextcloud\".\n *\n * @return {string} web root path\n */\n\n\nexports.generateFilePath = generateFilePath;\n\nvar getRootUrl = function getRootUrl() {\n return OC.webroot;\n};\n\nexports.getRootUrl = getRootUrl;\n//# sourceMappingURL=index.js.map","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar setGlobal = require('../internals/set-global');\nvar inspectSource = require('../internals/inspect-source');\nvar InternalStateModule = require('../internals/internal-state');\n\nvar getInternalState = InternalStateModule.get;\nvar enforceInternalState = InternalStateModule.enforce;\nvar TEMPLATE = String(String).split('String');\n\n(module.exports = function (O, key, value, options) {\n var unsafe = options ? !!options.unsafe : false;\n var simple = options ? !!options.enumerable : false;\n var noTargetGet = options ? !!options.noTargetGet : false;\n if (typeof value == 'function') {\n if (typeof key == 'string' && !has(value, 'name')) createNonEnumerableProperty(value, 'name', key);\n enforceInternalState(value).source = TEMPLATE.join(typeof key == 'string' ? key : '');\n }\n if (O === global) {\n if (simple) O[key] = value;\n else setGlobal(key, value);\n return;\n } else if (!unsafe) {\n delete O[key];\n } else if (!noTargetGet && O[key]) {\n simple = true;\n }\n if (simple) O[key] = value;\n else createNonEnumerableProperty(O, key, value);\n// add fake Function#toString for correct work wrapped methods / constructors with methods like LoDash isNative\n})(Function.prototype, 'toString', function toString() {\n return typeof this == 'function' && getInternalState(this).source || inspectSource(this);\n});\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nconst openMimetypesMarkdown = [\n\t'text/markdown',\n]\n\nconst openMimetypesPlainText = [\n\t'text/plain',\n\t'application/cmd',\n\t'application/x-empty',\n\t'application/x-msdos-program',\n\t'application/epub+zip',\n\t'application/javascript',\n\t'application/json',\n\t'application/x-perl',\n\t'application/x-php',\n\t'application/x-tex',\n\t'application/xml',\n\t'application/yaml',\n\t'text/css',\n\t'text/csv',\n\t'text/html',\n\t'text/x-c',\n\t'text/x-c++src',\n\t'text/x-h',\n\t'text/x-java-source',\n\t'text/x-ldif',\n\t'text/x-python',\n\t'text/x-shellscript',\n]\n\nconst openMimetypes = [...openMimetypesMarkdown, ...openMimetypesPlainText]\n\nexport {\n\topenMimetypes,\n\topenMimetypesMarkdown,\n\topenMimetypesPlainText,\n}\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.subscribe = subscribe;\nexports.unsubscribe = unsubscribe;\nexports.emit = emit;\n\nvar _ProxyBus = require(\"./ProxyBus\");\n\nvar _SimpleBus = require(\"./SimpleBus\");\n\nfunction getBus() {\n if (typeof window.OC !== 'undefined' && window.OC._eventBus && typeof window._nc_event_bus === 'undefined') {\n console.warn('found old event bus instance at OC._eventBus. Update your version!');\n window._nc_event_bus = window.OC._eventBus;\n } // Either use an existing event bus instance or create one\n\n\n if (typeof window._nc_event_bus !== 'undefined') {\n return new _ProxyBus.ProxyBus(window._nc_event_bus);\n } else {\n return window._nc_event_bus = new _SimpleBus.SimpleBus();\n }\n}\n\nvar bus = getBus();\n/**\n * Register an event listener\n *\n * @param name name of the event\n * @param handler callback invoked for every matching event emitted on the bus\n */\n\nfunction subscribe(name, handler) {\n bus.subscribe(name, handler);\n}\n/**\n * Unregister a previously registered event listener\n *\n * Note: doesn't work with anonymous functions (closures). Use method of an object or store listener function in variable.\n *\n * @param name name of the event\n * @param handler callback passed to `subscribed`\n */\n\n\nfunction unsubscribe(name, handler) {\n bus.unsubscribe(name, handler);\n}\n/**\n * Emit an event\n *\n * @param name name of the event\n * @param event event payload\n */\n\n\nfunction emit(name, event) {\n bus.emit(name, event);\n}\n//# sourceMappingURL=index.js.map","var toInteger = require('../internals/to-integer');\n\nvar min = Math.min;\n\n// `ToLength` abstract operation\n// https://tc39.github.io/ecma262/#sec-tolength\nmodule.exports = function (argument) {\n return argument > 0 ? min(toInteger(argument), 0x1FFFFFFFFFFFFF) : 0; // 2 ** 53 - 1 == 9007199254740991\n};\n","var requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `ToObject` abstract operation\n// https://tc39.github.io/ecma262/#sec-toobject\nmodule.exports = function (argument) {\n return Object(requireObjectCoercible(argument));\n};\n","module.exports = {};\n","/* globals __VUE_SSR_CONTEXT__ */\n\n// IMPORTANT: Do NOT use ES2015 features in this file (except for modules).\n// This module is a runtime utility for cleaner component module output and will\n// be included in the final webpack user bundle.\n\nexport default function normalizeComponent (\n scriptExports,\n render,\n staticRenderFns,\n functionalTemplate,\n injectStyles,\n scopeId,\n moduleIdentifier, /* server only */\n shadowMode /* vue-cli only */\n) {\n // Vue.extend constructor export interop\n var options = typeof scriptExports === 'function'\n ? scriptExports.options\n : scriptExports\n\n // render functions\n if (render) {\n options.render = render\n options.staticRenderFns = staticRenderFns\n options._compiled = true\n }\n\n // functional template\n if (functionalTemplate) {\n options.functional = true\n }\n\n // scopedId\n if (scopeId) {\n options._scopeId = 'data-v-' + scopeId\n }\n\n var hook\n if (moduleIdentifier) { // server build\n hook = function (context) {\n // 2.3 injection\n context =\n context || // cached call\n (this.$vnode && this.$vnode.ssrContext) || // stateful\n (this.parent && this.parent.$vnode && this.parent.$vnode.ssrContext) // functional\n // 2.2 with runInNewContext: true\n if (!context && typeof __VUE_SSR_CONTEXT__ !== 'undefined') {\n context = __VUE_SSR_CONTEXT__\n }\n // inject component styles\n if (injectStyles) {\n injectStyles.call(this, context)\n }\n // register component module identifier for async chunk inferrence\n if (context && context._registeredComponents) {\n context._registeredComponents.add(moduleIdentifier)\n }\n }\n // used by ssr in case component is cached and beforeCreate\n // never gets called\n options._ssrRegister = hook\n } else if (injectStyles) {\n hook = shadowMode\n ? function () {\n injectStyles.call(\n this,\n (options.functional ? this.parent : this).$root.$options.shadowRoot\n )\n }\n : injectStyles\n }\n\n if (hook) {\n if (options.functional) {\n // for template-only hot-reload because in that case the render fn doesn't\n // go through the normalizer\n options._injectStyles = hook\n // register for functional component in vue file\n var originalRender = options.render\n options.render = function renderWithStyleInjection (h, context) {\n hook.call(context)\n return originalRender(h, context)\n }\n } else {\n // inject component registration as beforeCreate hook\n var existing = options.beforeCreate\n options.beforeCreate = existing\n ? [].concat(existing, hook)\n : [hook]\n }\n }\n\n return {\n exports: scriptExports,\n options: options\n }\n}\n","var toString = {}.toString;\n\nmodule.exports = function (it) {\n return toString.call(it).slice(8, -1);\n};\n","var NATIVE_WEAK_MAP = require('../internals/native-weak-map');\nvar global = require('../internals/global');\nvar isObject = require('../internals/is-object');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar objectHas = require('../internals/has');\nvar sharedKey = require('../internals/shared-key');\nvar hiddenKeys = require('../internals/hidden-keys');\n\nvar WeakMap = global.WeakMap;\nvar set, get, has;\n\nvar enforce = function (it) {\n return has(it) ? get(it) : set(it, {});\n};\n\nvar getterFor = function (TYPE) {\n return function (it) {\n var state;\n if (!isObject(it) || (state = get(it)).type !== TYPE) {\n throw TypeError('Incompatible receiver, ' + TYPE + ' required');\n } return state;\n };\n};\n\nif (NATIVE_WEAK_MAP) {\n var store = new WeakMap();\n var wmget = store.get;\n var wmhas = store.has;\n var wmset = store.set;\n set = function (it, metadata) {\n wmset.call(store, it, metadata);\n return metadata;\n };\n get = function (it) {\n return wmget.call(store, it) || {};\n };\n has = function (it) {\n return wmhas.call(store, it);\n };\n} else {\n var STATE = sharedKey('state');\n hiddenKeys[STATE] = true;\n set = function (it, metadata) {\n createNonEnumerableProperty(it, STATE, metadata);\n return metadata;\n };\n get = function (it) {\n return objectHas(it, STATE) ? it[STATE] : {};\n };\n has = function (it) {\n return objectHas(it, STATE);\n };\n}\n\nmodule.exports = {\n set: set,\n get: get,\n has: has,\n enforce: enforce,\n getterFor: getterFor\n};\n","// `RequireObjectCoercible` abstract operation\n// https://tc39.github.io/ecma262/#sec-requireobjectcoercible\nmodule.exports = function (it) {\n if (it == undefined) throw TypeError(\"Can't call method on \" + it);\n return it;\n};\n","var path = require('../internals/path');\nvar global = require('../internals/global');\n\nvar aFunction = function (variable) {\n return typeof variable == 'function' ? variable : undefined;\n};\n\nmodule.exports = function (namespace, method) {\n return arguments.length < 2 ? aFunction(path[namespace]) || aFunction(global[namespace])\n : path[namespace] && path[namespace][method] || global[namespace] && global[namespace][method];\n};\n","var ceil = Math.ceil;\nvar floor = Math.floor;\n\n// `ToInteger` abstract operation\n// https://tc39.github.io/ecma262/#sec-tointeger\nmodule.exports = function (argument) {\n return isNaN(argument = +argument) ? 0 : (argument > 0 ? floor : ceil)(argument);\n};\n","module.exports = function (bitmap, value) {\n return {\n enumerable: !(bitmap & 1),\n configurable: !(bitmap & 2),\n writable: !(bitmap & 4),\n value: value\n };\n};\n","// toObject with fallback for non-array-like ES3 strings\nvar IndexedObject = require('../internals/indexed-object');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\nmodule.exports = function (it) {\n return IndexedObject(requireObjectCoercible(it));\n};\n","module.exports = {};\n","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"df9632ee\", content, true, {});","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div v-if=\"enabled\" id=\"rich-workspace\" :class=\"{'icon-loading': !loaded || !ready, 'focus': focus, 'dark': darkTheme, 'creatable': canCreate}\">\n\t\t<div v-if=\"showEmptyWorkspace\" class=\"empty-workspace\" @click=\"createNew\">\n\t\t\t<p class=\"placeholder\">\n\t\t\t\t{{ t('text', 'Add notes, lists or links …') }}\n\t\t\t</p>\n\t\t</div>\n\n\t\t<EditorWrapper v-if=\"file\"\n\t\t\tv-show=\"ready\"\n\t\t\t:key=\"file.id\"\n\t\t\t:file-id=\"file.id\"\n\t\t\t:relative-path=\"file.path\"\n\t\t\t:share-token=\"shareToken\"\n\t\t\t:active=\"true\"\n\t\t\t:autohide=\"true\"\n\t\t\t:mime=\"file.mimetype\"\n\t\t\t:autofocus=\"autofocus\"\n\t\t\t@ready=\"ready=true\"\n\t\t\t@focus=\"focus=true\"\n\t\t\t@blur=\"unfocus\"\n\t\t\t@error=\"reset\" />\n\t</div>\n</template>\n\n<script>\nimport axios from '@nextcloud/axios'\nimport { generateOcsUrl } from '@nextcloud/router'\nimport { subscribe } from '@nextcloud/event-bus'\n\nconst IS_PUBLIC = !!(document.getElementById('isPublic'))\nconst WORKSPACE_URL = generateOcsUrl('apps/text' + (IS_PUBLIC ? '/public' : ''), 2) + 'workspace'\n\nexport default {\n\tname: 'RichWorkspace',\n\tcomponents: {\n\t\tEditorWrapper: () => import(/* webpackChunkName: \"editor\" */'./../components/EditorWrapper'),\n\t},\n\tprops: {\n\t\tpath: {\n\t\t\ttype: String,\n\t\t\trequired: true,\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\tfocus: false,\n\t\t\tfolder: null,\n\t\t\tfile: null,\n\t\t\tloaded: false,\n\t\t\tready: false,\n\t\t\tautofocus: false,\n\t\t\tdarkTheme: OCA.Accessibility && OCA.Accessibility.theme === 'dark',\n\t\t\tenabled: OCA.Text.RichWorkspaceEnabled,\n\t\t}\n\t},\n\tcomputed: {\n\t\tshareToken() {\n\t\t\treturn document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\t\t},\n\t\tcanCreate() {\n\t\t\treturn !!(this.folder && (this.folder.permissions & OC.PERMISSION_CREATE))\n\t\t},\n\t\tshowEmptyWorkspace() {\n\t\t\treturn (!this.file || (this.autofocus && !this.ready)) && this.canCreate\n\t\t},\n\t},\n\twatch: {\n\t\tpath() {\n\t\t\tthis.getFileInfo()\n\t\t},\n\t\tfocus(newValue) {\n\t\t\tif (!newValue) {\n\t\t\t\tdocument.querySelector('#editor').scrollTo(0, 0)\n\t\t\t}\n\t\t},\n\t},\n\tasync mounted() {\n\t\tif (this.enabled) {\n\t\t\tthis.getFileInfo()\n\t\t}\n\t\tsubscribe('Text::showRichWorkspace', () => {\n\t\t\tthis.enabled = true\n\t\t\tthis.getFileInfo()\n\t\t})\n\t\tsubscribe('Text::hideRichWorkspace', () => {\n\t\t\tthis.enabled = false\n\t\t})\n\t},\n\tmethods: {\n\t\tunfocus() {\n\t\t\t// setTimeout(() => this.focus = false, 2000)\n\t\t},\n\t\treset() {\n\t\t\tthis.file = null\n\t\t\tthis.focus = false\n\t\t\tthis.$nextTick(() => {\n\t\t\t\tthis.creating = false\n\t\t\t\tthis.getFileInfo()\n\t\t\t})\n\t\t},\n\t\tgetFileInfo() {\n\t\t\tthis.loaded = false\n\t\t\tthis.autofocus = false\n\t\t\tthis.ready = false\n\t\t\tconst params = { path: this.path }\n\t\t\tif (IS_PUBLIC) {\n\t\t\t\tparams.shareToken = this.shareToken\n\t\t\t}\n\t\t\treturn axios.get(WORKSPACE_URL, { params }).then((response) => {\n\t\t\t\tconst data = response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = data.file\n\t\t\t\tthis.editing = true\n\t\t\t\tthis.loaded = true\n\t\t\t\treturn true\n\t\t\t}).catch((error) => {\n\t\t\t\tconst data = error.response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = null\n\t\t\t\tthis.loaded = true\n\t\t\t\tthis.ready = true\n\t\t\t\tthis.creating = false\n\t\t\t\treturn false\n\t\t\t})\n\t\t},\n\t\tcreateNew() {\n\t\t\tif (this.creating) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tthis.creating = true\n\t\t\tthis.getFileInfo().then((workspaceFileExists) => {\n\t\t\t\tthis.autofocus = true\n\t\t\t\tif (!workspaceFileExists) {\n\t\t\t\t\twindow.FileList.createFile('Readme.md', { scrollTo: false, animate: false }).then((status, data) => {\n\t\t\t\t\t\tthis.getFileInfo()\n\t\t\t\t\t})\n\t\t\t\t}\n\t\t\t})\n\t\t},\n\t},\n}\n</script>\n\n<style lang=\"scss\" scoped>\n\t#rich-workspace {\n\t\tpadding: 0 60px;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -24px;\n\t\ttext-align: left;\n\t\tmax-height: 0;\n\t\ttransition: max-height 0.5s cubic-bezier(0, 1, 0, 1);\n\t\t&.creatable {\n\t\t\tmin-height: 90px;\n\t\t}\n\t}\n\n\t/* For subfolders, where there are no Recommendations */\n\t#rich-workspace:only-child {\n\t\tmargin-bottom: 0;\n\t}\n\n\t.empty-workspace {\n\t\tpadding-top: 43px;\n\t\tcolor: var(--color-text-maxcontrast);\n\t\theight: 0;\n\t}\n\n\t#rich-workspace::v-deep div[contenteditable=false] {\n\t\twidth: 100%;\n\t\tpadding: 0px;\n\t\tbackground-color: var(--color-main-background);\n\t\topacity: 1;\n\t\tborder: none;\n\t}\n\n\t#rich-workspace::v-deep #editor-container {\n\t\theight: 100%;\n\t\tposition: unset !important;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper {\n\t\tposition: unset !important;\n\t\toverflow: visible;\n\t}\n\n\t#rich-workspace::v-deep #editor {\n\t\toverflow: scroll !important;\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper .ProseMirror {\n\t\tpadding: 0px;\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace::v-deep .menubar {\n\t\tz-index: 50;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -10px;\n\t}\n\n\t#rich-workspace::v-deep .menubar .menubar-icons {\n\t\tmargin-left: 0;\n\t}\n\n\t#rich-workspace::v-deep .editor__content {\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace.focus {\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace:not(.focus) {\n\t\tmax-height: 30vh;\n\t\tposition: relative;\n\t\toverflow: hidden;\n\t}\n\n\t#rich-workspace:not(.focus):not(.icon-loading):after {\n\t\tcontent: '';\n\t\tposition: absolute;\n\t\tz-index: 1;\n\t\tbottom: 0;\n\t\tleft: 0;\n\t\tpointer-events: none;\n\t\tbackground-image: linear-gradient(to bottom, rgba(255, 255, 255, 0), var(--color-main-background));\n\t\twidth: 100%;\n\t\theight: 4em;\n\t}\n\n\t#rich-workspace.dark:not(.focus):not(.icon-loading):after {\n\t\tbackground-image: linear-gradient(to bottom, rgba(0, 0, 0, 0), var(--color-main-background));\n\t}\n\n\t@media only screen and (max-width: 1024px) {\n\t\t#rich-workspace:not(.focus) {\n\t\t\tmax-height: 30vh;\n\t\t}\n\t}\n\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./RichWorkspace.vue?vue&type=template&id=4bea3b82&scoped=true&\"\nimport script from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nexport * from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nimport style0 from \"./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"4bea3b82\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return (_vm.enabled)?_c('div',{class:{'icon-loading': !_vm.loaded || !_vm.ready, 'focus': _vm.focus, 'dark': _vm.darkTheme, 'creatable': _vm.canCreate},attrs:{\"id\":\"rich-workspace\"}},[(_vm.showEmptyWorkspace)?_c('div',{staticClass:\"empty-workspace\",on:{\"click\":_vm.createNew}},[_c('p',{staticClass:\"placeholder\"},[_vm._v(\"\\n\\t\\t\\t\"+_vm._s(_vm.t('text', 'Add notes, lists or links …'))+\"\\n\\t\\t\")])]):_vm._e(),_vm._v(\" \"),(_vm.file)?_c('EditorWrapper',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.ready),expression:\"ready\"}],key:_vm.file.id,attrs:{\"file-id\":_vm.file.id,\"relative-path\":_vm.file.path,\"share-token\":_vm.shareToken,\"active\":true,\"autohide\":true,\"mime\":_vm.file.mimetype,\"autofocus\":_vm.autofocus},on:{\"ready\":function($event){_vm.ready=true},\"focus\":function($event){_vm.focus=true},\"blur\":_vm.unfocus,\"error\":_vm.reset}}):_vm._e()],1):_vm._e()}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { openMimetypes } from './mime'\nimport RichWorkspace from '../views/RichWorkspace'\nimport { imagePath } from '@nextcloud/router'\n\nconst FILE_ACTION_IDENTIFIER = 'Edit with text app'\n\nconst optimalPath = function(from, to) {\n\tconst current = from.split('/')\n\tconst target = to.split('/')\n\tcurrent.pop() // ignore filename\n\twhile (current[0] === target[0]) {\n\t\tcurrent.shift()\n\t\ttarget.shift()\n\t}\n\tconst relativePath = current.fill('..').concat(target)\n\tconst absolutePath = to.split('/')\n\treturn relativePath.length < absolutePath.length\n\t\t? relativePath.join('/')\n\t\t: to\n}\n\nconst registerFileCreate = () => {\n\tconst newFileMenuPlugin = {\n\t\tattach(menu) {\n\t\t\tconst fileList = menu.fileList\n\n\t\t\t// only attach to main file list, public view is not supported yet\n\t\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\t\treturn\n\t\t\t}\n\n\t\t\t// register the new menu entry\n\t\t\tmenu.addMenuEntry({\n\t\t\t\tid: 'file',\n\t\t\t\tdisplayName: t('text', 'New text document'),\n\t\t\t\ttemplateName: t('text', 'New text document') + '.md',\n\t\t\t\ticonClass: 'icon-filetype-text',\n\t\t\t\tfileType: 'file',\n\t\t\t\tactionHandler(name) {\n\t\t\t\t\tfileList.createFile(name).then(function(status, data) {\n\t\t\t\t\t\tconst fileInfoModel = new OCA.Files.FileInfoModel(data)\n\t\t\t\t\t\tif (typeof OCA.Viewer !== 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction('view', fileInfoModel, fileList)\n\t\t\t\t\t\t} else if (typeof OCA.Viewer === 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction(FILE_ACTION_IDENTIFIER, fileInfoModel, fileList)\n\t\t\t\t\t\t}\n\t\t\t\t\t})\n\t\t\t\t},\n\t\t\t})\n\t\t},\n\t}\n\tOC.Plugins.register('OCA.Files.NewFileMenu', newFileMenuPlugin)\n}\n\nconst registerFileActionFallback = () => {\n\tconst sharingToken = document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\tconst dir = document.getElementById('dir').value\n\n\tif (!sharingToken || dir !== '') {\n\t\tconst ViewerRoot = document.createElement('div')\n\t\tViewerRoot.id = 'text-viewer-fallback'\n\t\tdocument.body.appendChild(ViewerRoot)\n\t\tconst registerAction = (mime) => OCA.Files.fileActions.register(\n\t\t\tmime,\n\t\t\tFILE_ACTION_IDENTIFIER,\n\t\t\tOC.PERMISSION_UPDATE | OC.PERMISSION_READ,\n\t\t\timagePath('core', 'actions/rename'),\n\t\t\t(filename) => {\n\t\t\t\tconst file = window.FileList.findFile(filename)\n\t\t\t\tPromise.all([\n\t\t\t\t\timport('vue'),\n\t\t\t\t\timport(/* webpackChunkName: \"files-modal\" */'./../components/PublicFilesEditor'),\n\t\t\t\t]).then((imports) => {\n\t\t\t\t\tconst path = window.FileList.getCurrentDirectory() + '/' + filename\n\t\t\t\t\tconst Vue = imports[0].default\n\t\t\t\t\tVue.prototype.t = window.t\n\t\t\t\t\tVue.prototype.n = window.n\n\t\t\t\t\tVue.prototype.OCA = window.OCA\n\t\t\t\t\tconst Editor = imports[1].default\n\t\t\t\t\tconst vm = new Vue({\n\t\t\t\t\t\trender: h => h(Editor, {\n\t\t\t\t\t\t\tprops: {\n\t\t\t\t\t\t\t\tfileId: file ? file.id : null,\n\t\t\t\t\t\t\t\tactive: true,\n\t\t\t\t\t\t\t\tshareToken: sharingToken,\n\t\t\t\t\t\t\t\trelativePath: path,\n\t\t\t\t\t\t\t\tmimeType: file.mimetype,\n\t\t\t\t\t\t\t},\n\t\t\t\t\t\t}),\n\t\t\t\t\t})\n\t\t\t\t\tvm.$mount(ViewerRoot)\n\t\t\t\t})\n\t\t\t},\n\t\t\tt('text', 'Edit')\n\t\t)\n\n\t\tfor (let i = 0; i < openMimetypes.length; i++) {\n\t\t\tregisterAction(openMimetypes[i])\n\t\t\tOCA.Files.fileActions.setDefault(openMimetypes[i], FILE_ACTION_IDENTIFIER)\n\t\t}\n\t}\n\n}\n\nconst FilesWorkspacePlugin = {\n\n\tel: null,\n\n\tattach(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\tthis.el = document.createElement('div')\n\t\tfileList.registerHeader({\n\t\t\tid: 'workspace',\n\t\t\tel: this.el,\n\t\t\trender: this.render.bind(this),\n\t\t\tpriority: 10,\n\t\t})\n\t},\n\n\trender(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\timport('vue').then((module) => {\n\t\t\tconst Vue = module.default\n\t\t\tthis.el.id = 'files-workspace-wrapper'\n\t\t\tVue.prototype.t = window.t\n\t\t\tVue.prototype.n = window.n\n\t\t\tVue.prototype.OCA = window.OCA\n\t\t\tconst View = Vue.extend(RichWorkspace)\n\t\t\tconst vm = new View({\n\t\t\t\tpropsData: {\n\t\t\t\t\tpath: fileList.getCurrentDirectory(),\n\t\t\t\t},\n\t\t\t}).$mount(this.el)\n\n\t\t\tfileList.$el.on('changeDirectory', data => {\n\t\t\t\tvm.path = data.dir.toString()\n\t\t\t})\n\t\t})\n\t},\n}\n\nexport {\n\toptimalPath,\n\tregisterFileActionFallback,\n\tregisterFileCreate,\n\tFilesWorkspacePlugin,\n\tFILE_ACTION_IDENTIFIER,\n}\n","\"use strict\";\n\nrequire(\"core-js/modules/es.object.assign\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.default = void 0;\n\nvar _axios = _interopRequireDefault(require(\"axios\"));\n\nvar _auth = require(\"@nextcloud/auth\");\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nvar client = _axios.default.create({\n headers: {\n requesttoken: (0, _auth.getRequestToken)()\n }\n});\n\nvar cancelableClient = Object.assign(client, {\n CancelToken: _axios.default.CancelToken,\n isCancel: _axios.default.isCancel\n});\n(0, _auth.onRequestTokenUpdate)(function (token) {\n return client.defaults.headers.requesttoken = token;\n});\nvar _default = cancelableClient;\nexports.default = _default;\n//# sourceMappingURL=index.js.map","var aFunction = require('../internals/a-function');\n\n// optional / simple context binding\nmodule.exports = function (fn, that, length) {\n aFunction(fn);\n if (that === undefined) return fn;\n switch (length) {\n case 0: return function () {\n return fn.call(that);\n };\n case 1: return function (a) {\n return fn.call(that, a);\n };\n case 2: return function (a, b) {\n return fn.call(that, a, b);\n };\n case 3: return function (a, b, c) {\n return fn.call(that, a, b, c);\n };\n }\n return function (/* ...args */) {\n return fn.apply(that, arguments);\n };\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar has = require('../internals/has');\n\nvar defineProperty = Object.defineProperty;\nvar cache = {};\n\nvar thrower = function (it) { throw it; };\n\nmodule.exports = function (METHOD_NAME, options) {\n if (has(cache, METHOD_NAME)) return cache[METHOD_NAME];\n if (!options) options = {};\n var method = [][METHOD_NAME];\n var ACCESSORS = has(options, 'ACCESSORS') ? options.ACCESSORS : false;\n var argument0 = has(options, 0) ? options[0] : thrower;\n var argument1 = has(options, 1) ? options[1] : undefined;\n\n return cache[METHOD_NAME] = !!method && !fails(function () {\n if (ACCESSORS && !DESCRIPTORS) return true;\n var O = { length: -1 };\n\n if (ACCESSORS) defineProperty(O, 1, { enumerable: true, get: thrower });\n else O[1] = 1;\n\n method.call(O, argument0, argument1);\n });\n};\n","var anObject = require('../internals/an-object');\nvar defineProperties = require('../internals/object-define-properties');\nvar enumBugKeys = require('../internals/enum-bug-keys');\nvar hiddenKeys = require('../internals/hidden-keys');\nvar html = require('../internals/html');\nvar documentCreateElement = require('../internals/document-create-element');\nvar sharedKey = require('../internals/shared-key');\n\nvar GT = '>';\nvar LT = '<';\nvar PROTOTYPE = 'prototype';\nvar SCRIPT = 'script';\nvar IE_PROTO = sharedKey('IE_PROTO');\n\nvar EmptyConstructor = function () { /* empty */ };\n\nvar scriptTag = function (content) {\n return LT + SCRIPT + GT + content + LT + '/' + SCRIPT + GT;\n};\n\n// Create object with fake `null` prototype: use ActiveX Object with cleared prototype\nvar NullProtoObjectViaActiveX = function (activeXDocument) {\n activeXDocument.write(scriptTag(''));\n activeXDocument.close();\n var temp = activeXDocument.parentWindow.Object;\n activeXDocument = null; // avoid memory leak\n return temp;\n};\n\n// Create object with fake `null` prototype: use iframe Object with cleared prototype\nvar NullProtoObjectViaIFrame = function () {\n // Thrash, waste and sodomy: IE GC bug\n var iframe = documentCreateElement('iframe');\n var JS = 'java' + SCRIPT + ':';\n var iframeDocument;\n iframe.style.display = 'none';\n html.appendChild(iframe);\n // https://github.com/zloirock/core-js/issues/475\n iframe.src = String(JS);\n iframeDocument = iframe.contentWindow.document;\n iframeDocument.open();\n iframeDocument.write(scriptTag('document.F=Object'));\n iframeDocument.close();\n return iframeDocument.F;\n};\n\n// Check for document.domain and active x support\n// No need to use active x approach when document.domain is not set\n// see https://github.com/es-shims/es5-shim/issues/150\n// variation of https://github.com/kitcambridge/es5-shim/commit/4f738ac066346\n// avoid IE GC bug\nvar activeXDocument;\nvar NullProtoObject = function () {\n try {\n /* global ActiveXObject */\n activeXDocument = document.domain && new ActiveXObject('htmlfile');\n } catch (error) { /* ignore */ }\n NullProtoObject = activeXDocument ? NullProtoObjectViaActiveX(activeXDocument) : NullProtoObjectViaIFrame();\n var length = enumBugKeys.length;\n while (length--) delete NullProtoObject[PROTOTYPE][enumBugKeys[length]];\n return NullProtoObject();\n};\n\nhiddenKeys[IE_PROTO] = true;\n\n// `Object.create` method\n// https://tc39.github.io/ecma262/#sec-object.create\nmodule.exports = Object.create || function create(O, Properties) {\n var result;\n if (O !== null) {\n EmptyConstructor[PROTOTYPE] = anObject(O);\n result = new EmptyConstructor();\n EmptyConstructor[PROTOTYPE] = null;\n // add \"__proto__\" for Object.getPrototypeOf polyfill\n result[IE_PROTO] = O;\n } else result = NullProtoObject();\n return Properties === undefined ? result : defineProperties(result, Properties);\n};\n","var defineProperty = require('../internals/object-define-property').f;\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n\nmodule.exports = function (it, TAG, STATIC) {\n if (it && !has(it = STATIC ? it : it.prototype, TO_STRING_TAG)) {\n defineProperty(it, TO_STRING_TAG, { configurable: true, value: TAG });\n }\n};\n","var isObject = require('../internals/is-object');\n\n// `ToPrimitive` abstract operation\n// https://tc39.github.io/ecma262/#sec-toprimitive\n// instead of the ES6 spec version, we didn't implement @@toPrimitive case\n// and the second argument - flag - preferred type is a string\nmodule.exports = function (input, PREFERRED_STRING) {\n if (!isObject(input)) return input;\n var fn, val;\n if (PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n if (typeof (fn = input.valueOf) == 'function' && !isObject(val = fn.call(input))) return val;\n if (!PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n throw TypeError(\"Can't convert object to primitive value\");\n};\n","module.exports = false;\n","var fails = require('../internals/fails');\nvar classof = require('../internals/classof-raw');\n\nvar split = ''.split;\n\n// fallback for non-array-like ES3 and non-enumerable old V8 strings\nmodule.exports = fails(function () {\n // throws an error in rhino, see https://github.com/mozilla/rhino/issues/346\n // eslint-disable-next-line no-prototype-builtins\n return !Object('z').propertyIsEnumerable(0);\n}) ? function (it) {\n return classof(it) == 'String' ? split.call(it, '') : Object(it);\n} : Object;\n","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nmodule.exports = function (key, value) {\n try {\n createNonEnumerableProperty(global, key, value);\n } catch (error) {\n global[key] = value;\n } return value;\n};\n","var shared = require('../internals/shared');\nvar uid = require('../internals/uid');\n\nvar keys = shared('keys');\n\nmodule.exports = function (key) {\n return keys[key] || (keys[key] = uid(key));\n};\n","var id = 0;\nvar postfix = Math.random();\n\nmodule.exports = function (key) {\n return 'Symbol(' + String(key === undefined ? '' : key) + ')_' + (++id + postfix).toString(36);\n};\n","// IE8- don't enum bug keys\nmodule.exports = [\n 'constructor',\n 'hasOwnProperty',\n 'isPrototypeOf',\n 'propertyIsEnumerable',\n 'toLocaleString',\n 'toString',\n 'valueOf'\n];\n","// Note: this is the semver.org version of the spec that it implements\n// Not necessarily the package version of this code.\nconst SEMVER_SPEC_VERSION = '2.0.0'\n\nconst MAX_LENGTH = 256\nconst MAX_SAFE_INTEGER = Number.MAX_SAFE_INTEGER ||\n /* istanbul ignore next */ 9007199254740991\n\n// Max safe segment length for coercion.\nconst MAX_SAFE_COMPONENT_LENGTH = 16\n\nmodule.exports = {\n SEMVER_SPEC_VERSION,\n MAX_LENGTH,\n MAX_SAFE_INTEGER,\n MAX_SAFE_COMPONENT_LENGTH\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar createIteratorConstructor = require('../internals/create-iterator-constructor');\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\nvar Iterators = require('../internals/iterators');\nvar IteratorsCore = require('../internals/iterators-core');\n\nvar IteratorPrototype = IteratorsCore.IteratorPrototype;\nvar BUGGY_SAFARI_ITERATORS = IteratorsCore.BUGGY_SAFARI_ITERATORS;\nvar ITERATOR = wellKnownSymbol('iterator');\nvar KEYS = 'keys';\nvar VALUES = 'values';\nvar ENTRIES = 'entries';\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (Iterable, NAME, IteratorConstructor, next, DEFAULT, IS_SET, FORCED) {\n createIteratorConstructor(IteratorConstructor, NAME, next);\n\n var getIterationMethod = function (KIND) {\n if (KIND === DEFAULT && defaultIterator) return defaultIterator;\n if (!BUGGY_SAFARI_ITERATORS && KIND in IterablePrototype) return IterablePrototype[KIND];\n switch (KIND) {\n case KEYS: return function keys() { return new IteratorConstructor(this, KIND); };\n case VALUES: return function values() { return new IteratorConstructor(this, KIND); };\n case ENTRIES: return function entries() { return new IteratorConstructor(this, KIND); };\n } return function () { return new IteratorConstructor(this); };\n };\n\n var TO_STRING_TAG = NAME + ' Iterator';\n var INCORRECT_VALUES_NAME = false;\n var IterablePrototype = Iterable.prototype;\n var nativeIterator = IterablePrototype[ITERATOR]\n || IterablePrototype['@@iterator']\n || DEFAULT && IterablePrototype[DEFAULT];\n var defaultIterator = !BUGGY_SAFARI_ITERATORS && nativeIterator || getIterationMethod(DEFAULT);\n var anyNativeIterator = NAME == 'Array' ? IterablePrototype.entries || nativeIterator : nativeIterator;\n var CurrentIteratorPrototype, methods, KEY;\n\n // fix native\n if (anyNativeIterator) {\n CurrentIteratorPrototype = getPrototypeOf(anyNativeIterator.call(new Iterable()));\n if (IteratorPrototype !== Object.prototype && CurrentIteratorPrototype.next) {\n if (!IS_PURE && getPrototypeOf(CurrentIteratorPrototype) !== IteratorPrototype) {\n if (setPrototypeOf) {\n setPrototypeOf(CurrentIteratorPrototype, IteratorPrototype);\n } else if (typeof CurrentIteratorPrototype[ITERATOR] != 'function') {\n createNonEnumerableProperty(CurrentIteratorPrototype, ITERATOR, returnThis);\n }\n }\n // Set @@toStringTag to native iterators\n setToStringTag(CurrentIteratorPrototype, TO_STRING_TAG, true, true);\n if (IS_PURE) Iterators[TO_STRING_TAG] = returnThis;\n }\n }\n\n // fix Array#{values, @@iterator}.name in V8 / FF\n if (DEFAULT == VALUES && nativeIterator && nativeIterator.name !== VALUES) {\n INCORRECT_VALUES_NAME = true;\n defaultIterator = function values() { return nativeIterator.call(this); };\n }\n\n // define iterator\n if ((!IS_PURE || FORCED) && IterablePrototype[ITERATOR] !== defaultIterator) {\n createNonEnumerableProperty(IterablePrototype, ITERATOR, defaultIterator);\n }\n Iterators[NAME] = defaultIterator;\n\n // export additional methods\n if (DEFAULT) {\n methods = {\n values: getIterationMethod(VALUES),\n keys: IS_SET ? defaultIterator : getIterationMethod(KEYS),\n entries: getIterationMethod(ENTRIES)\n };\n if (FORCED) for (KEY in methods) {\n if (BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME || !(KEY in IterablePrototype)) {\n redefine(IterablePrototype, KEY, methods[KEY]);\n }\n } else $({ target: NAME, proto: true, forced: BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME }, methods);\n }\n\n return methods;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar test = {};\n\ntest[TO_STRING_TAG] = 'z';\n\nmodule.exports = String(test) === '[object z]';\n","'use strict';\nvar regexpFlags = require('./regexp-flags');\nvar stickyHelpers = require('./regexp-sticky-helpers');\n\nvar nativeExec = RegExp.prototype.exec;\n// This always refers to the native implementation, because the\n// String#replace polyfill uses ./fix-regexp-well-known-symbol-logic.js,\n// which loads this file before patching the method.\nvar nativeReplace = String.prototype.replace;\n\nvar patchedExec = nativeExec;\n\nvar UPDATES_LAST_INDEX_WRONG = (function () {\n var re1 = /a/;\n var re2 = /b*/g;\n nativeExec.call(re1, 'a');\n nativeExec.call(re2, 'a');\n return re1.lastIndex !== 0 || re2.lastIndex !== 0;\n})();\n\nvar UNSUPPORTED_Y = stickyHelpers.UNSUPPORTED_Y || stickyHelpers.BROKEN_CARET;\n\n// nonparticipating capturing group, copied from es5-shim's String#split patch.\nvar NPCG_INCLUDED = /()??/.exec('')[1] !== undefined;\n\nvar PATCH = UPDATES_LAST_INDEX_WRONG || NPCG_INCLUDED || UNSUPPORTED_Y;\n\nif (PATCH) {\n patchedExec = function exec(str) {\n var re = this;\n var lastIndex, reCopy, match, i;\n var sticky = UNSUPPORTED_Y && re.sticky;\n var flags = regexpFlags.call(re);\n var source = re.source;\n var charsAdded = 0;\n var strCopy = str;\n\n if (sticky) {\n flags = flags.replace('y', '');\n if (flags.indexOf('g') === -1) {\n flags += 'g';\n }\n\n strCopy = String(str).slice(re.lastIndex);\n // Support anchored sticky behavior.\n if (re.lastIndex > 0 && (!re.multiline || re.multiline && str[re.lastIndex - 1] !== '\\n')) {\n source = '(?: ' + source + ')';\n strCopy = ' ' + strCopy;\n charsAdded++;\n }\n // ^(? + rx + ) is needed, in combination with some str slicing, to\n // simulate the 'y' flag.\n reCopy = new RegExp('^(?:' + source + ')', flags);\n }\n\n if (NPCG_INCLUDED) {\n reCopy = new RegExp('^' + source + '$(?!\\\\s)', flags);\n }\n if (UPDATES_LAST_INDEX_WRONG) lastIndex = re.lastIndex;\n\n match = nativeExec.call(sticky ? reCopy : re, strCopy);\n\n if (sticky) {\n if (match) {\n match.input = match.input.slice(charsAdded);\n match[0] = match[0].slice(charsAdded);\n match.index = re.lastIndex;\n re.lastIndex += match[0].length;\n } else re.lastIndex = 0;\n } else if (UPDATES_LAST_INDEX_WRONG && match) {\n re.lastIndex = re.global ? match.index + match[0].length : lastIndex;\n }\n if (NPCG_INCLUDED && match && match.length > 1) {\n // Fix browsers whose `exec` methods don't consistently return `undefined`\n // for NPCG, like IE8. NOTE: This doesn' work for /(.?)?/\n nativeReplace.call(match[0], reCopy, function () {\n for (i = 1; i < arguments.length - 2; i++) {\n if (arguments[i] === undefined) match[i] = undefined;\n }\n });\n }\n\n return match;\n };\n}\n\nmodule.exports = patchedExec;\n","/*!\n * Vue.js v2.6.11\n * (c) 2014-2019 Evan You\n * Released under the MIT License.\n */\n/* */\n\nvar emptyObject = Object.freeze({});\n\n// These helpers produce better VM code in JS engines due to their\n// explicitness and function inlining.\nfunction isUndef (v) {\n return v === undefined || v === null\n}\n\nfunction isDef (v) {\n return v !== undefined && v !== null\n}\n\nfunction isTrue (v) {\n return v === true\n}\n\nfunction isFalse (v) {\n return v === false\n}\n\n/**\n * Check if value is primitive.\n */\nfunction isPrimitive (value) {\n return (\n typeof value === 'string' ||\n typeof value === 'number' ||\n // $flow-disable-line\n typeof value === 'symbol' ||\n typeof value === 'boolean'\n )\n}\n\n/**\n * Quick object check - this is primarily used to tell\n * Objects from primitive values when we know the value\n * is a JSON-compliant type.\n */\nfunction isObject (obj) {\n return obj !== null && typeof obj === 'object'\n}\n\n/**\n * Get the raw type string of a value, e.g., [object Object].\n */\nvar _toString = Object.prototype.toString;\n\nfunction toRawType (value) {\n return _toString.call(value).slice(8, -1)\n}\n\n/**\n * Strict object type check. Only returns true\n * for plain JavaScript objects.\n */\nfunction isPlainObject (obj) {\n return _toString.call(obj) === '[object Object]'\n}\n\nfunction isRegExp (v) {\n return _toString.call(v) === '[object RegExp]'\n}\n\n/**\n * Check if val is a valid array index.\n */\nfunction isValidArrayIndex (val) {\n var n = parseFloat(String(val));\n return n >= 0 && Math.floor(n) === n && isFinite(val)\n}\n\nfunction isPromise (val) {\n return (\n isDef(val) &&\n typeof val.then === 'function' &&\n typeof val.catch === 'function'\n )\n}\n\n/**\n * Convert a value to a string that is actually rendered.\n */\nfunction toString (val) {\n return val == null\n ? ''\n : Array.isArray(val) || (isPlainObject(val) && val.toString === _toString)\n ? JSON.stringify(val, null, 2)\n : String(val)\n}\n\n/**\n * Convert an input value to a number for persistence.\n * If the conversion fails, return original string.\n */\nfunction toNumber (val) {\n var n = parseFloat(val);\n return isNaN(n) ? val : n\n}\n\n/**\n * Make a map and return a function for checking if a key\n * is in that map.\n */\nfunction makeMap (\n str,\n expectsLowerCase\n) {\n var map = Object.create(null);\n var list = str.split(',');\n for (var i = 0; i < list.length; i++) {\n map[list[i]] = true;\n }\n return expectsLowerCase\n ? function (val) { return map[val.toLowerCase()]; }\n : function (val) { return map[val]; }\n}\n\n/**\n * Check if a tag is a built-in tag.\n */\nvar isBuiltInTag = makeMap('slot,component', true);\n\n/**\n * Check if an attribute is a reserved attribute.\n */\nvar isReservedAttribute = makeMap('key,ref,slot,slot-scope,is');\n\n/**\n * Remove an item from an array.\n */\nfunction remove (arr, item) {\n if (arr.length) {\n var index = arr.indexOf(item);\n if (index > -1) {\n return arr.splice(index, 1)\n }\n }\n}\n\n/**\n * Check whether an object has the property.\n */\nvar hasOwnProperty = Object.prototype.hasOwnProperty;\nfunction hasOwn (obj, key) {\n return hasOwnProperty.call(obj, key)\n}\n\n/**\n * Create a cached version of a pure function.\n */\nfunction cached (fn) {\n var cache = Object.create(null);\n return (function cachedFn (str) {\n var hit = cache[str];\n return hit || (cache[str] = fn(str))\n })\n}\n\n/**\n * Camelize a hyphen-delimited string.\n */\nvar camelizeRE = /-(\\w)/g;\nvar camelize = cached(function (str) {\n return str.replace(camelizeRE, function (_, c) { return c ? c.toUpperCase() : ''; })\n});\n\n/**\n * Capitalize a string.\n */\nvar capitalize = cached(function (str) {\n return str.charAt(0).toUpperCase() + str.slice(1)\n});\n\n/**\n * Hyphenate a camelCase string.\n */\nvar hyphenateRE = /\\B([A-Z])/g;\nvar hyphenate = cached(function (str) {\n return str.replace(hyphenateRE, '-$1').toLowerCase()\n});\n\n/**\n * Simple bind polyfill for environments that do not support it,\n * e.g., PhantomJS 1.x. Technically, we don't need this anymore\n * since native bind is now performant enough in most browsers.\n * But removing it would mean breaking code that was able to run in\n * PhantomJS 1.x, so this must be kept for backward compatibility.\n */\n\n/* istanbul ignore next */\nfunction polyfillBind (fn, ctx) {\n function boundFn (a) {\n var l = arguments.length;\n return l\n ? l > 1\n ? fn.apply(ctx, arguments)\n : fn.call(ctx, a)\n : fn.call(ctx)\n }\n\n boundFn._length = fn.length;\n return boundFn\n}\n\nfunction nativeBind (fn, ctx) {\n return fn.bind(ctx)\n}\n\nvar bind = Function.prototype.bind\n ? nativeBind\n : polyfillBind;\n\n/**\n * Convert an Array-like object to a real Array.\n */\nfunction toArray (list, start) {\n start = start || 0;\n var i = list.length - start;\n var ret = new Array(i);\n while (i--) {\n ret[i] = list[i + start];\n }\n return ret\n}\n\n/**\n * Mix properties into target object.\n */\nfunction extend (to, _from) {\n for (var key in _from) {\n to[key] = _from[key];\n }\n return to\n}\n\n/**\n * Merge an Array of Objects into a single Object.\n */\nfunction toObject (arr) {\n var res = {};\n for (var i = 0; i < arr.length; i++) {\n if (arr[i]) {\n extend(res, arr[i]);\n }\n }\n return res\n}\n\n/* eslint-disable no-unused-vars */\n\n/**\n * Perform no operation.\n * Stubbing args to make Flow happy without leaving useless transpiled code\n * with ...rest (https://flow.org/blog/2017/05/07/Strict-Function-Call-Arity/).\n */\nfunction noop (a, b, c) {}\n\n/**\n * Always return false.\n */\nvar no = function (a, b, c) { return false; };\n\n/* eslint-enable no-unused-vars */\n\n/**\n * Return the same value.\n */\nvar identity = function (_) { return _; };\n\n/**\n * Generate a string containing static keys from compiler modules.\n */\nfunction genStaticKeys (modules) {\n return modules.reduce(function (keys, m) {\n return keys.concat(m.staticKeys || [])\n }, []).join(',')\n}\n\n/**\n * Check if two values are loosely equal - that is,\n * if they are plain objects, do they have the same shape?\n */\nfunction looseEqual (a, b) {\n if (a === b) { return true }\n var isObjectA = isObject(a);\n var isObjectB = isObject(b);\n if (isObjectA && isObjectB) {\n try {\n var isArrayA = Array.isArray(a);\n var isArrayB = Array.isArray(b);\n if (isArrayA && isArrayB) {\n return a.length === b.length && a.every(function (e, i) {\n return looseEqual(e, b[i])\n })\n } else if (a instanceof Date && b instanceof Date) {\n return a.getTime() === b.getTime()\n } else if (!isArrayA && !isArrayB) {\n var keysA = Object.keys(a);\n var keysB = Object.keys(b);\n return keysA.length === keysB.length && keysA.every(function (key) {\n return looseEqual(a[key], b[key])\n })\n } else {\n /* istanbul ignore next */\n return false\n }\n } catch (e) {\n /* istanbul ignore next */\n return false\n }\n } else if (!isObjectA && !isObjectB) {\n return String(a) === String(b)\n } else {\n return false\n }\n}\n\n/**\n * Return the first index at which a loosely equal value can be\n * found in the array (if value is a plain object, the array must\n * contain an object of the same shape), or -1 if it is not present.\n */\nfunction looseIndexOf (arr, val) {\n for (var i = 0; i < arr.length; i++) {\n if (looseEqual(arr[i], val)) { return i }\n }\n return -1\n}\n\n/**\n * Ensure a function is called only once.\n */\nfunction once (fn) {\n var called = false;\n return function () {\n if (!called) {\n called = true;\n fn.apply(this, arguments);\n }\n }\n}\n\nvar SSR_ATTR = 'data-server-rendered';\n\nvar ASSET_TYPES = [\n 'component',\n 'directive',\n 'filter'\n];\n\nvar LIFECYCLE_HOOKS = [\n 'beforeCreate',\n 'created',\n 'beforeMount',\n 'mounted',\n 'beforeUpdate',\n 'updated',\n 'beforeDestroy',\n 'destroyed',\n 'activated',\n 'deactivated',\n 'errorCaptured',\n 'serverPrefetch'\n];\n\n/* */\n\n\n\nvar config = ({\n /**\n * Option merge strategies (used in core/util/options)\n */\n // $flow-disable-line\n optionMergeStrategies: Object.create(null),\n\n /**\n * Whether to suppress warnings.\n */\n silent: false,\n\n /**\n * Show production mode tip message on boot?\n */\n productionTip: process.env.NODE_ENV !== 'production',\n\n /**\n * Whether to enable devtools\n */\n devtools: process.env.NODE_ENV !== 'production',\n\n /**\n * Whether to record perf\n */\n performance: false,\n\n /**\n * Error handler for watcher errors\n */\n errorHandler: null,\n\n /**\n * Warn handler for watcher warns\n */\n warnHandler: null,\n\n /**\n * Ignore certain custom elements\n */\n ignoredElements: [],\n\n /**\n * Custom user key aliases for v-on\n */\n // $flow-disable-line\n keyCodes: Object.create(null),\n\n /**\n * Check if a tag is reserved so that it cannot be registered as a\n * component. This is platform-dependent and may be overwritten.\n */\n isReservedTag: no,\n\n /**\n * Check if an attribute is reserved so that it cannot be used as a component\n * prop. This is platform-dependent and may be overwritten.\n */\n isReservedAttr: no,\n\n /**\n * Check if a tag is an unknown element.\n * Platform-dependent.\n */\n isUnknownElement: no,\n\n /**\n * Get the namespace of an element\n */\n getTagNamespace: noop,\n\n /**\n * Parse the real tag name for the specific platform.\n */\n parsePlatformTagName: identity,\n\n /**\n * Check if an attribute must be bound using property, e.g. value\n * Platform-dependent.\n */\n mustUseProp: no,\n\n /**\n * Perform updates asynchronously. Intended to be used by Vue Test Utils\n * This will significantly reduce performance if set to false.\n */\n async: true,\n\n /**\n * Exposed for legacy reasons\n */\n _lifecycleHooks: LIFECYCLE_HOOKS\n});\n\n/* */\n\n/**\n * unicode letters used for parsing html tags, component names and property paths.\n * using https://www.w3.org/TR/html53/semantics-scripting.html#potentialcustomelementname\n * skipping \\u10000-\\uEFFFF due to it freezing up PhantomJS\n */\nvar unicodeRegExp = /a-zA-Z\\u00B7\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u203F-\\u2040\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD/;\n\n/**\n * Check if a string starts with $ or _\n */\nfunction isReserved (str) {\n var c = (str + '').charCodeAt(0);\n return c === 0x24 || c === 0x5F\n}\n\n/**\n * Define a property.\n */\nfunction def (obj, key, val, enumerable) {\n Object.defineProperty(obj, key, {\n value: val,\n enumerable: !!enumerable,\n writable: true,\n configurable: true\n });\n}\n\n/**\n * Parse simple path.\n */\nvar bailRE = new RegExp((\"[^\" + (unicodeRegExp.source) + \".$_\\\\d]\"));\nfunction parsePath (path) {\n if (bailRE.test(path)) {\n return\n }\n var segments = path.split('.');\n return function (obj) {\n for (var i = 0; i < segments.length; i++) {\n if (!obj) { return }\n obj = obj[segments[i]];\n }\n return obj\n }\n}\n\n/* */\n\n// can we use __proto__?\nvar hasProto = '__proto__' in {};\n\n// Browser environment sniffing\nvar inBrowser = typeof window !== 'undefined';\nvar inWeex = typeof WXEnvironment !== 'undefined' && !!WXEnvironment.platform;\nvar weexPlatform = inWeex && WXEnvironment.platform.toLowerCase();\nvar UA = inBrowser && window.navigator.userAgent.toLowerCase();\nvar isIE = UA && /msie|trident/.test(UA);\nvar isIE9 = UA && UA.indexOf('msie 9.0') > 0;\nvar isEdge = UA && UA.indexOf('edge/') > 0;\nvar isAndroid = (UA && UA.indexOf('android') > 0) || (weexPlatform === 'android');\nvar isIOS = (UA && /iphone|ipad|ipod|ios/.test(UA)) || (weexPlatform === 'ios');\nvar isChrome = UA && /chrome\\/\\d+/.test(UA) && !isEdge;\nvar isPhantomJS = UA && /phantomjs/.test(UA);\nvar isFF = UA && UA.match(/firefox\\/(\\d+)/);\n\n// Firefox has a \"watch\" function on Object.prototype...\nvar nativeWatch = ({}).watch;\n\nvar supportsPassive = false;\nif (inBrowser) {\n try {\n var opts = {};\n Object.defineProperty(opts, 'passive', ({\n get: function get () {\n /* istanbul ignore next */\n supportsPassive = true;\n }\n })); // https://github.com/facebook/flow/issues/285\n window.addEventListener('test-passive', null, opts);\n } catch (e) {}\n}\n\n// this needs to be lazy-evaled because vue may be required before\n// vue-server-renderer can set VUE_ENV\nvar _isServer;\nvar isServerRendering = function () {\n if (_isServer === undefined) {\n /* istanbul ignore if */\n if (!inBrowser && !inWeex && typeof global !== 'undefined') {\n // detect presence of vue-server-renderer and avoid\n // Webpack shimming the process\n _isServer = global['process'] && global['process'].env.VUE_ENV === 'server';\n } else {\n _isServer = false;\n }\n }\n return _isServer\n};\n\n// detect devtools\nvar devtools = inBrowser && window.__VUE_DEVTOOLS_GLOBAL_HOOK__;\n\n/* istanbul ignore next */\nfunction isNative (Ctor) {\n return typeof Ctor === 'function' && /native code/.test(Ctor.toString())\n}\n\nvar hasSymbol =\n typeof Symbol !== 'undefined' && isNative(Symbol) &&\n typeof Reflect !== 'undefined' && isNative(Reflect.ownKeys);\n\nvar _Set;\n/* istanbul ignore if */ // $flow-disable-line\nif (typeof Set !== 'undefined' && isNative(Set)) {\n // use native Set when available.\n _Set = Set;\n} else {\n // a non-standard Set polyfill that only works with primitive keys.\n _Set = /*@__PURE__*/(function () {\n function Set () {\n this.set = Object.create(null);\n }\n Set.prototype.has = function has (key) {\n return this.set[key] === true\n };\n Set.prototype.add = function add (key) {\n this.set[key] = true;\n };\n Set.prototype.clear = function clear () {\n this.set = Object.create(null);\n };\n\n return Set;\n }());\n}\n\n/* */\n\nvar warn = noop;\nvar tip = noop;\nvar generateComponentTrace = (noop); // work around flow check\nvar formatComponentName = (noop);\n\nif (process.env.NODE_ENV !== 'production') {\n var hasConsole = typeof console !== 'undefined';\n var classifyRE = /(?:^|[-_])(\\w)/g;\n var classify = function (str) { return str\n .replace(classifyRE, function (c) { return c.toUpperCase(); })\n .replace(/[-_]/g, ''); };\n\n warn = function (msg, vm) {\n var trace = vm ? generateComponentTrace(vm) : '';\n\n if (config.warnHandler) {\n config.warnHandler.call(null, msg, vm, trace);\n } else if (hasConsole && (!config.silent)) {\n console.error((\"[Vue warn]: \" + msg + trace));\n }\n };\n\n tip = function (msg, vm) {\n if (hasConsole && (!config.silent)) {\n console.warn(\"[Vue tip]: \" + msg + (\n vm ? generateComponentTrace(vm) : ''\n ));\n }\n };\n\n formatComponentName = function (vm, includeFile) {\n if (vm.$root === vm) {\n return '<Root>'\n }\n var options = typeof vm === 'function' && vm.cid != null\n ? vm.options\n : vm._isVue\n ? vm.$options || vm.constructor.options\n : vm;\n var name = options.name || options._componentTag;\n var file = options.__file;\n if (!name && file) {\n var match = file.match(/([^/\\\\]+)\\.vue$/);\n name = match && match[1];\n }\n\n return (\n (name ? (\"<\" + (classify(name)) + \">\") : \"<Anonymous>\") +\n (file && includeFile !== false ? (\" at \" + file) : '')\n )\n };\n\n var repeat = function (str, n) {\n var res = '';\n while (n) {\n if (n % 2 === 1) { res += str; }\n if (n > 1) { str += str; }\n n >>= 1;\n }\n return res\n };\n\n generateComponentTrace = function (vm) {\n if (vm._isVue && vm.$parent) {\n var tree = [];\n var currentRecursiveSequence = 0;\n while (vm) {\n if (tree.length > 0) {\n var last = tree[tree.length - 1];\n if (last.constructor === vm.constructor) {\n currentRecursiveSequence++;\n vm = vm.$parent;\n continue\n } else if (currentRecursiveSequence > 0) {\n tree[tree.length - 1] = [last, currentRecursiveSequence];\n currentRecursiveSequence = 0;\n }\n }\n tree.push(vm);\n vm = vm.$parent;\n }\n return '\\n\\nfound in\\n\\n' + tree\n .map(function (vm, i) { return (\"\" + (i === 0 ? '---> ' : repeat(' ', 5 + i * 2)) + (Array.isArray(vm)\n ? ((formatComponentName(vm[0])) + \"... (\" + (vm[1]) + \" recursive calls)\")\n : formatComponentName(vm))); })\n .join('\\n')\n } else {\n return (\"\\n\\n(found in \" + (formatComponentName(vm)) + \")\")\n }\n };\n}\n\n/* */\n\nvar uid = 0;\n\n/**\n * A dep is an observable that can have multiple\n * directives subscribing to it.\n */\nvar Dep = function Dep () {\n this.id = uid++;\n this.subs = [];\n};\n\nDep.prototype.addSub = function addSub (sub) {\n this.subs.push(sub);\n};\n\nDep.prototype.removeSub = function removeSub (sub) {\n remove(this.subs, sub);\n};\n\nDep.prototype.depend = function depend () {\n if (Dep.target) {\n Dep.target.addDep(this);\n }\n};\n\nDep.prototype.notify = function notify () {\n // stabilize the subscriber list first\n var subs = this.subs.slice();\n if (process.env.NODE_ENV !== 'production' && !config.async) {\n // subs aren't sorted in scheduler if not running async\n // we need to sort them now to make sure they fire in correct\n // order\n subs.sort(function (a, b) { return a.id - b.id; });\n }\n for (var i = 0, l = subs.length; i < l; i++) {\n subs[i].update();\n }\n};\n\n// The current target watcher being evaluated.\n// This is globally unique because only one watcher\n// can be evaluated at a time.\nDep.target = null;\nvar targetStack = [];\n\nfunction pushTarget (target) {\n targetStack.push(target);\n Dep.target = target;\n}\n\nfunction popTarget () {\n targetStack.pop();\n Dep.target = targetStack[targetStack.length - 1];\n}\n\n/* */\n\nvar VNode = function VNode (\n tag,\n data,\n children,\n text,\n elm,\n context,\n componentOptions,\n asyncFactory\n) {\n this.tag = tag;\n this.data = data;\n this.children = children;\n this.text = text;\n this.elm = elm;\n this.ns = undefined;\n this.context = context;\n this.fnContext = undefined;\n this.fnOptions = undefined;\n this.fnScopeId = undefined;\n this.key = data && data.key;\n this.componentOptions = componentOptions;\n this.componentInstance = undefined;\n this.parent = undefined;\n this.raw = false;\n this.isStatic = false;\n this.isRootInsert = true;\n this.isComment = false;\n this.isCloned = false;\n this.isOnce = false;\n this.asyncFactory = asyncFactory;\n this.asyncMeta = undefined;\n this.isAsyncPlaceholder = false;\n};\n\nvar prototypeAccessors = { child: { configurable: true } };\n\n// DEPRECATED: alias for componentInstance for backwards compat.\n/* istanbul ignore next */\nprototypeAccessors.child.get = function () {\n return this.componentInstance\n};\n\nObject.defineProperties( VNode.prototype, prototypeAccessors );\n\nvar createEmptyVNode = function (text) {\n if ( text === void 0 ) text = '';\n\n var node = new VNode();\n node.text = text;\n node.isComment = true;\n return node\n};\n\nfunction createTextVNode (val) {\n return new VNode(undefined, undefined, undefined, String(val))\n}\n\n// optimized shallow clone\n// used for static nodes and slot nodes because they may be reused across\n// multiple renders, cloning them avoids errors when DOM manipulations rely\n// on their elm reference.\nfunction cloneVNode (vnode) {\n var cloned = new VNode(\n vnode.tag,\n vnode.data,\n // #7975\n // clone children array to avoid mutating original in case of cloning\n // a child.\n vnode.children && vnode.children.slice(),\n vnode.text,\n vnode.elm,\n vnode.context,\n vnode.componentOptions,\n vnode.asyncFactory\n );\n cloned.ns = vnode.ns;\n cloned.isStatic = vnode.isStatic;\n cloned.key = vnode.key;\n cloned.isComment = vnode.isComment;\n cloned.fnContext = vnode.fnContext;\n cloned.fnOptions = vnode.fnOptions;\n cloned.fnScopeId = vnode.fnScopeId;\n cloned.asyncMeta = vnode.asyncMeta;\n cloned.isCloned = true;\n return cloned\n}\n\n/*\n * not type checking this file because flow doesn't play well with\n * dynamically accessing methods on Array prototype\n */\n\nvar arrayProto = Array.prototype;\nvar arrayMethods = Object.create(arrayProto);\n\nvar methodsToPatch = [\n 'push',\n 'pop',\n 'shift',\n 'unshift',\n 'splice',\n 'sort',\n 'reverse'\n];\n\n/**\n * Intercept mutating methods and emit events\n */\nmethodsToPatch.forEach(function (method) {\n // cache original method\n var original = arrayProto[method];\n def(arrayMethods, method, function mutator () {\n var args = [], len = arguments.length;\n while ( len-- ) args[ len ] = arguments[ len ];\n\n var result = original.apply(this, args);\n var ob = this.__ob__;\n var inserted;\n switch (method) {\n case 'push':\n case 'unshift':\n inserted = args;\n break\n case 'splice':\n inserted = args.slice(2);\n break\n }\n if (inserted) { ob.observeArray(inserted); }\n // notify change\n ob.dep.notify();\n return result\n });\n});\n\n/* */\n\nvar arrayKeys = Object.getOwnPropertyNames(arrayMethods);\n\n/**\n * In some cases we may want to disable observation inside a component's\n * update computation.\n */\nvar shouldObserve = true;\n\nfunction toggleObserving (value) {\n shouldObserve = value;\n}\n\n/**\n * Observer class that is attached to each observed\n * object. Once attached, the observer converts the target\n * object's property keys into getter/setters that\n * collect dependencies and dispatch updates.\n */\nvar Observer = function Observer (value) {\n this.value = value;\n this.dep = new Dep();\n this.vmCount = 0;\n def(value, '__ob__', this);\n if (Array.isArray(value)) {\n if (hasProto) {\n protoAugment(value, arrayMethods);\n } else {\n copyAugment(value, arrayMethods, arrayKeys);\n }\n this.observeArray(value);\n } else {\n this.walk(value);\n }\n};\n\n/**\n * Walk through all properties and convert them into\n * getter/setters. This method should only be called when\n * value type is Object.\n */\nObserver.prototype.walk = function walk (obj) {\n var keys = Object.keys(obj);\n for (var i = 0; i < keys.length; i++) {\n defineReactive$$1(obj, keys[i]);\n }\n};\n\n/**\n * Observe a list of Array items.\n */\nObserver.prototype.observeArray = function observeArray (items) {\n for (var i = 0, l = items.length; i < l; i++) {\n observe(items[i]);\n }\n};\n\n// helpers\n\n/**\n * Augment a target Object or Array by intercepting\n * the prototype chain using __proto__\n */\nfunction protoAugment (target, src) {\n /* eslint-disable no-proto */\n target.__proto__ = src;\n /* eslint-enable no-proto */\n}\n\n/**\n * Augment a target Object or Array by defining\n * hidden properties.\n */\n/* istanbul ignore next */\nfunction copyAugment (target, src, keys) {\n for (var i = 0, l = keys.length; i < l; i++) {\n var key = keys[i];\n def(target, key, src[key]);\n }\n}\n\n/**\n * Attempt to create an observer instance for a value,\n * returns the new observer if successfully observed,\n * or the existing observer if the value already has one.\n */\nfunction observe (value, asRootData) {\n if (!isObject(value) || value instanceof VNode) {\n return\n }\n var ob;\n if (hasOwn(value, '__ob__') && value.__ob__ instanceof Observer) {\n ob = value.__ob__;\n } else if (\n shouldObserve &&\n !isServerRendering() &&\n (Array.isArray(value) || isPlainObject(value)) &&\n Object.isExtensible(value) &&\n !value._isVue\n ) {\n ob = new Observer(value);\n }\n if (asRootData && ob) {\n ob.vmCount++;\n }\n return ob\n}\n\n/**\n * Define a reactive property on an Object.\n */\nfunction defineReactive$$1 (\n obj,\n key,\n val,\n customSetter,\n shallow\n) {\n var dep = new Dep();\n\n var property = Object.getOwnPropertyDescriptor(obj, key);\n if (property && property.configurable === false) {\n return\n }\n\n // cater for pre-defined getter/setters\n var getter = property && property.get;\n var setter = property && property.set;\n if ((!getter || setter) && arguments.length === 2) {\n val = obj[key];\n }\n\n var childOb = !shallow && observe(val);\n Object.defineProperty(obj, key, {\n enumerable: true,\n configurable: true,\n get: function reactiveGetter () {\n var value = getter ? getter.call(obj) : val;\n if (Dep.target) {\n dep.depend();\n if (childOb) {\n childOb.dep.depend();\n if (Array.isArray(value)) {\n dependArray(value);\n }\n }\n }\n return value\n },\n set: function reactiveSetter (newVal) {\n var value = getter ? getter.call(obj) : val;\n /* eslint-disable no-self-compare */\n if (newVal === value || (newVal !== newVal && value !== value)) {\n return\n }\n /* eslint-enable no-self-compare */\n if (process.env.NODE_ENV !== 'production' && customSetter) {\n customSetter();\n }\n // #7981: for accessor properties without setter\n if (getter && !setter) { return }\n if (setter) {\n setter.call(obj, newVal);\n } else {\n val = newVal;\n }\n childOb = !shallow && observe(newVal);\n dep.notify();\n }\n });\n}\n\n/**\n * Set a property on an object. Adds the new property and\n * triggers change notification if the property doesn't\n * already exist.\n */\nfunction set (target, key, val) {\n if (process.env.NODE_ENV !== 'production' &&\n (isUndef(target) || isPrimitive(target))\n ) {\n warn((\"Cannot set reactive property on undefined, null, or primitive value: \" + ((target))));\n }\n if (Array.isArray(target) && isValidArrayIndex(key)) {\n target.length = Math.max(target.length, key);\n target.splice(key, 1, val);\n return val\n }\n if (key in target && !(key in Object.prototype)) {\n target[key] = val;\n return val\n }\n var ob = (target).__ob__;\n if (target._isVue || (ob && ob.vmCount)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Avoid adding reactive properties to a Vue instance or its root $data ' +\n 'at runtime - declare it upfront in the data option.'\n );\n return val\n }\n if (!ob) {\n target[key] = val;\n return val\n }\n defineReactive$$1(ob.value, key, val);\n ob.dep.notify();\n return val\n}\n\n/**\n * Delete a property and trigger change if necessary.\n */\nfunction del (target, key) {\n if (process.env.NODE_ENV !== 'production' &&\n (isUndef(target) || isPrimitive(target))\n ) {\n warn((\"Cannot delete reactive property on undefined, null, or primitive value: \" + ((target))));\n }\n if (Array.isArray(target) && isValidArrayIndex(key)) {\n target.splice(key, 1);\n return\n }\n var ob = (target).__ob__;\n if (target._isVue || (ob && ob.vmCount)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Avoid deleting properties on a Vue instance or its root $data ' +\n '- just set it to null.'\n );\n return\n }\n if (!hasOwn(target, key)) {\n return\n }\n delete target[key];\n if (!ob) {\n return\n }\n ob.dep.notify();\n}\n\n/**\n * Collect dependencies on array elements when the array is touched, since\n * we cannot intercept array element access like property getters.\n */\nfunction dependArray (value) {\n for (var e = (void 0), i = 0, l = value.length; i < l; i++) {\n e = value[i];\n e && e.__ob__ && e.__ob__.dep.depend();\n if (Array.isArray(e)) {\n dependArray(e);\n }\n }\n}\n\n/* */\n\n/**\n * Option overwriting strategies are functions that handle\n * how to merge a parent option value and a child option\n * value into the final value.\n */\nvar strats = config.optionMergeStrategies;\n\n/**\n * Options with restrictions\n */\nif (process.env.NODE_ENV !== 'production') {\n strats.el = strats.propsData = function (parent, child, vm, key) {\n if (!vm) {\n warn(\n \"option \\\"\" + key + \"\\\" can only be used during instance \" +\n 'creation with the `new` keyword.'\n );\n }\n return defaultStrat(parent, child)\n };\n}\n\n/**\n * Helper that recursively merges two data objects together.\n */\nfunction mergeData (to, from) {\n if (!from) { return to }\n var key, toVal, fromVal;\n\n var keys = hasSymbol\n ? Reflect.ownKeys(from)\n : Object.keys(from);\n\n for (var i = 0; i < keys.length; i++) {\n key = keys[i];\n // in case the object is already observed...\n if (key === '__ob__') { continue }\n toVal = to[key];\n fromVal = from[key];\n if (!hasOwn(to, key)) {\n set(to, key, fromVal);\n } else if (\n toVal !== fromVal &&\n isPlainObject(toVal) &&\n isPlainObject(fromVal)\n ) {\n mergeData(toVal, fromVal);\n }\n }\n return to\n}\n\n/**\n * Data\n */\nfunction mergeDataOrFn (\n parentVal,\n childVal,\n vm\n) {\n if (!vm) {\n // in a Vue.extend merge, both should be functions\n if (!childVal) {\n return parentVal\n }\n if (!parentVal) {\n return childVal\n }\n // when parentVal & childVal are both present,\n // we need to return a function that returns the\n // merged result of both functions... no need to\n // check if parentVal is a function here because\n // it has to be a function to pass previous merges.\n return function mergedDataFn () {\n return mergeData(\n typeof childVal === 'function' ? childVal.call(this, this) : childVal,\n typeof parentVal === 'function' ? parentVal.call(this, this) : parentVal\n )\n }\n } else {\n return function mergedInstanceDataFn () {\n // instance merge\n var instanceData = typeof childVal === 'function'\n ? childVal.call(vm, vm)\n : childVal;\n var defaultData = typeof parentVal === 'function'\n ? parentVal.call(vm, vm)\n : parentVal;\n if (instanceData) {\n return mergeData(instanceData, defaultData)\n } else {\n return defaultData\n }\n }\n }\n}\n\nstrats.data = function (\n parentVal,\n childVal,\n vm\n) {\n if (!vm) {\n if (childVal && typeof childVal !== 'function') {\n process.env.NODE_ENV !== 'production' && warn(\n 'The \"data\" option should be a function ' +\n 'that returns a per-instance value in component ' +\n 'definitions.',\n vm\n );\n\n return parentVal\n }\n return mergeDataOrFn(parentVal, childVal)\n }\n\n return mergeDataOrFn(parentVal, childVal, vm)\n};\n\n/**\n * Hooks and props are merged as arrays.\n */\nfunction mergeHook (\n parentVal,\n childVal\n) {\n var res = childVal\n ? parentVal\n ? parentVal.concat(childVal)\n : Array.isArray(childVal)\n ? childVal\n : [childVal]\n : parentVal;\n return res\n ? dedupeHooks(res)\n : res\n}\n\nfunction dedupeHooks (hooks) {\n var res = [];\n for (var i = 0; i < hooks.length; i++) {\n if (res.indexOf(hooks[i]) === -1) {\n res.push(hooks[i]);\n }\n }\n return res\n}\n\nLIFECYCLE_HOOKS.forEach(function (hook) {\n strats[hook] = mergeHook;\n});\n\n/**\n * Assets\n *\n * When a vm is present (instance creation), we need to do\n * a three-way merge between constructor options, instance\n * options and parent options.\n */\nfunction mergeAssets (\n parentVal,\n childVal,\n vm,\n key\n) {\n var res = Object.create(parentVal || null);\n if (childVal) {\n process.env.NODE_ENV !== 'production' && assertObjectType(key, childVal, vm);\n return extend(res, childVal)\n } else {\n return res\n }\n}\n\nASSET_TYPES.forEach(function (type) {\n strats[type + 's'] = mergeAssets;\n});\n\n/**\n * Watchers.\n *\n * Watchers hashes should not overwrite one\n * another, so we merge them as arrays.\n */\nstrats.watch = function (\n parentVal,\n childVal,\n vm,\n key\n) {\n // work around Firefox's Object.prototype.watch...\n if (parentVal === nativeWatch) { parentVal = undefined; }\n if (childVal === nativeWatch) { childVal = undefined; }\n /* istanbul ignore if */\n if (!childVal) { return Object.create(parentVal || null) }\n if (process.env.NODE_ENV !== 'production') {\n assertObjectType(key, childVal, vm);\n }\n if (!parentVal) { return childVal }\n var ret = {};\n extend(ret, parentVal);\n for (var key$1 in childVal) {\n var parent = ret[key$1];\n var child = childVal[key$1];\n if (parent && !Array.isArray(parent)) {\n parent = [parent];\n }\n ret[key$1] = parent\n ? parent.concat(child)\n : Array.isArray(child) ? child : [child];\n }\n return ret\n};\n\n/**\n * Other object hashes.\n */\nstrats.props =\nstrats.methods =\nstrats.inject =\nstrats.computed = function (\n parentVal,\n childVal,\n vm,\n key\n) {\n if (childVal && process.env.NODE_ENV !== 'production') {\n assertObjectType(key, childVal, vm);\n }\n if (!parentVal) { return childVal }\n var ret = Object.create(null);\n extend(ret, parentVal);\n if (childVal) { extend(ret, childVal); }\n return ret\n};\nstrats.provide = mergeDataOrFn;\n\n/**\n * Default strategy.\n */\nvar defaultStrat = function (parentVal, childVal) {\n return childVal === undefined\n ? parentVal\n : childVal\n};\n\n/**\n * Validate component names\n */\nfunction checkComponents (options) {\n for (var key in options.components) {\n validateComponentName(key);\n }\n}\n\nfunction validateComponentName (name) {\n if (!new RegExp((\"^[a-zA-Z][\\\\-\\\\.0-9_\" + (unicodeRegExp.source) + \"]*$\")).test(name)) {\n warn(\n 'Invalid component name: \"' + name + '\". Component names ' +\n 'should conform to valid custom element name in html5 specification.'\n );\n }\n if (isBuiltInTag(name) || config.isReservedTag(name)) {\n warn(\n 'Do not use built-in or reserved HTML elements as component ' +\n 'id: ' + name\n );\n }\n}\n\n/**\n * Ensure all props option syntax are normalized into the\n * Object-based format.\n */\nfunction normalizeProps (options, vm) {\n var props = options.props;\n if (!props) { return }\n var res = {};\n var i, val, name;\n if (Array.isArray(props)) {\n i = props.length;\n while (i--) {\n val = props[i];\n if (typeof val === 'string') {\n name = camelize(val);\n res[name] = { type: null };\n } else if (process.env.NODE_ENV !== 'production') {\n warn('props must be strings when using array syntax.');\n }\n }\n } else if (isPlainObject(props)) {\n for (var key in props) {\n val = props[key];\n name = camelize(key);\n res[name] = isPlainObject(val)\n ? val\n : { type: val };\n }\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n \"Invalid value for option \\\"props\\\": expected an Array or an Object, \" +\n \"but got \" + (toRawType(props)) + \".\",\n vm\n );\n }\n options.props = res;\n}\n\n/**\n * Normalize all injections into Object-based format\n */\nfunction normalizeInject (options, vm) {\n var inject = options.inject;\n if (!inject) { return }\n var normalized = options.inject = {};\n if (Array.isArray(inject)) {\n for (var i = 0; i < inject.length; i++) {\n normalized[inject[i]] = { from: inject[i] };\n }\n } else if (isPlainObject(inject)) {\n for (var key in inject) {\n var val = inject[key];\n normalized[key] = isPlainObject(val)\n ? extend({ from: key }, val)\n : { from: val };\n }\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n \"Invalid value for option \\\"inject\\\": expected an Array or an Object, \" +\n \"but got \" + (toRawType(inject)) + \".\",\n vm\n );\n }\n}\n\n/**\n * Normalize raw function directives into object format.\n */\nfunction normalizeDirectives (options) {\n var dirs = options.directives;\n if (dirs) {\n for (var key in dirs) {\n var def$$1 = dirs[key];\n if (typeof def$$1 === 'function') {\n dirs[key] = { bind: def$$1, update: def$$1 };\n }\n }\n }\n}\n\nfunction assertObjectType (name, value, vm) {\n if (!isPlainObject(value)) {\n warn(\n \"Invalid value for option \\\"\" + name + \"\\\": expected an Object, \" +\n \"but got \" + (toRawType(value)) + \".\",\n vm\n );\n }\n}\n\n/**\n * Merge two option objects into a new one.\n * Core utility used in both instantiation and inheritance.\n */\nfunction mergeOptions (\n parent,\n child,\n vm\n) {\n if (process.env.NODE_ENV !== 'production') {\n checkComponents(child);\n }\n\n if (typeof child === 'function') {\n child = child.options;\n }\n\n normalizeProps(child, vm);\n normalizeInject(child, vm);\n normalizeDirectives(child);\n\n // Apply extends and mixins on the child options,\n // but only if it is a raw options object that isn't\n // the result of another mergeOptions call.\n // Only merged options has the _base property.\n if (!child._base) {\n if (child.extends) {\n parent = mergeOptions(parent, child.extends, vm);\n }\n if (child.mixins) {\n for (var i = 0, l = child.mixins.length; i < l; i++) {\n parent = mergeOptions(parent, child.mixins[i], vm);\n }\n }\n }\n\n var options = {};\n var key;\n for (key in parent) {\n mergeField(key);\n }\n for (key in child) {\n if (!hasOwn(parent, key)) {\n mergeField(key);\n }\n }\n function mergeField (key) {\n var strat = strats[key] || defaultStrat;\n options[key] = strat(parent[key], child[key], vm, key);\n }\n return options\n}\n\n/**\n * Resolve an asset.\n * This function is used because child instances need access\n * to assets defined in its ancestor chain.\n */\nfunction resolveAsset (\n options,\n type,\n id,\n warnMissing\n) {\n /* istanbul ignore if */\n if (typeof id !== 'string') {\n return\n }\n var assets = options[type];\n // check local registration variations first\n if (hasOwn(assets, id)) { return assets[id] }\n var camelizedId = camelize(id);\n if (hasOwn(assets, camelizedId)) { return assets[camelizedId] }\n var PascalCaseId = capitalize(camelizedId);\n if (hasOwn(assets, PascalCaseId)) { return assets[PascalCaseId] }\n // fallback to prototype chain\n var res = assets[id] || assets[camelizedId] || assets[PascalCaseId];\n if (process.env.NODE_ENV !== 'production' && warnMissing && !res) {\n warn(\n 'Failed to resolve ' + type.slice(0, -1) + ': ' + id,\n options\n );\n }\n return res\n}\n\n/* */\n\n\n\nfunction validateProp (\n key,\n propOptions,\n propsData,\n vm\n) {\n var prop = propOptions[key];\n var absent = !hasOwn(propsData, key);\n var value = propsData[key];\n // boolean casting\n var booleanIndex = getTypeIndex(Boolean, prop.type);\n if (booleanIndex > -1) {\n if (absent && !hasOwn(prop, 'default')) {\n value = false;\n } else if (value === '' || value === hyphenate(key)) {\n // only cast empty string / same name to boolean if\n // boolean has higher priority\n var stringIndex = getTypeIndex(String, prop.type);\n if (stringIndex < 0 || booleanIndex < stringIndex) {\n value = true;\n }\n }\n }\n // check default value\n if (value === undefined) {\n value = getPropDefaultValue(vm, prop, key);\n // since the default value is a fresh copy,\n // make sure to observe it.\n var prevShouldObserve = shouldObserve;\n toggleObserving(true);\n observe(value);\n toggleObserving(prevShouldObserve);\n }\n if (\n process.env.NODE_ENV !== 'production' &&\n // skip validation for weex recycle-list child component props\n !(false)\n ) {\n assertProp(prop, key, value, vm, absent);\n }\n return value\n}\n\n/**\n * Get the default value of a prop.\n */\nfunction getPropDefaultValue (vm, prop, key) {\n // no default, return undefined\n if (!hasOwn(prop, 'default')) {\n return undefined\n }\n var def = prop.default;\n // warn against non-factory defaults for Object & Array\n if (process.env.NODE_ENV !== 'production' && isObject(def)) {\n warn(\n 'Invalid default value for prop \"' + key + '\": ' +\n 'Props with type Object/Array must use a factory function ' +\n 'to return the default value.',\n vm\n );\n }\n // the raw prop value was also undefined from previous render,\n // return previous default value to avoid unnecessary watcher trigger\n if (vm && vm.$options.propsData &&\n vm.$options.propsData[key] === undefined &&\n vm._props[key] !== undefined\n ) {\n return vm._props[key]\n }\n // call factory function for non-Function types\n // a value is Function if its prototype is function even across different execution context\n return typeof def === 'function' && getType(prop.type) !== 'Function'\n ? def.call(vm)\n : def\n}\n\n/**\n * Assert whether a prop is valid.\n */\nfunction assertProp (\n prop,\n name,\n value,\n vm,\n absent\n) {\n if (prop.required && absent) {\n warn(\n 'Missing required prop: \"' + name + '\"',\n vm\n );\n return\n }\n if (value == null && !prop.required) {\n return\n }\n var type = prop.type;\n var valid = !type || type === true;\n var expectedTypes = [];\n if (type) {\n if (!Array.isArray(type)) {\n type = [type];\n }\n for (var i = 0; i < type.length && !valid; i++) {\n var assertedType = assertType(value, type[i]);\n expectedTypes.push(assertedType.expectedType || '');\n valid = assertedType.valid;\n }\n }\n\n if (!valid) {\n warn(\n getInvalidTypeMessage(name, value, expectedTypes),\n vm\n );\n return\n }\n var validator = prop.validator;\n if (validator) {\n if (!validator(value)) {\n warn(\n 'Invalid prop: custom validator check failed for prop \"' + name + '\".',\n vm\n );\n }\n }\n}\n\nvar simpleCheckRE = /^(String|Number|Boolean|Function|Symbol)$/;\n\nfunction assertType (value, type) {\n var valid;\n var expectedType = getType(type);\n if (simpleCheckRE.test(expectedType)) {\n var t = typeof value;\n valid = t === expectedType.toLowerCase();\n // for primitive wrapper objects\n if (!valid && t === 'object') {\n valid = value instanceof type;\n }\n } else if (expectedType === 'Object') {\n valid = isPlainObject(value);\n } else if (expectedType === 'Array') {\n valid = Array.isArray(value);\n } else {\n valid = value instanceof type;\n }\n return {\n valid: valid,\n expectedType: expectedType\n }\n}\n\n/**\n * Use function string name to check built-in types,\n * because a simple equality check will fail when running\n * across different vms / iframes.\n */\nfunction getType (fn) {\n var match = fn && fn.toString().match(/^\\s*function (\\w+)/);\n return match ? match[1] : ''\n}\n\nfunction isSameType (a, b) {\n return getType(a) === getType(b)\n}\n\nfunction getTypeIndex (type, expectedTypes) {\n if (!Array.isArray(expectedTypes)) {\n return isSameType(expectedTypes, type) ? 0 : -1\n }\n for (var i = 0, len = expectedTypes.length; i < len; i++) {\n if (isSameType(expectedTypes[i], type)) {\n return i\n }\n }\n return -1\n}\n\nfunction getInvalidTypeMessage (name, value, expectedTypes) {\n var message = \"Invalid prop: type check failed for prop \\\"\" + name + \"\\\".\" +\n \" Expected \" + (expectedTypes.map(capitalize).join(', '));\n var expectedType = expectedTypes[0];\n var receivedType = toRawType(value);\n var expectedValue = styleValue(value, expectedType);\n var receivedValue = styleValue(value, receivedType);\n // check if we need to specify expected value\n if (expectedTypes.length === 1 &&\n isExplicable(expectedType) &&\n !isBoolean(expectedType, receivedType)) {\n message += \" with value \" + expectedValue;\n }\n message += \", got \" + receivedType + \" \";\n // check if we need to specify received value\n if (isExplicable(receivedType)) {\n message += \"with value \" + receivedValue + \".\";\n }\n return message\n}\n\nfunction styleValue (value, type) {\n if (type === 'String') {\n return (\"\\\"\" + value + \"\\\"\")\n } else if (type === 'Number') {\n return (\"\" + (Number(value)))\n } else {\n return (\"\" + value)\n }\n}\n\nfunction isExplicable (value) {\n var explicitTypes = ['string', 'number', 'boolean'];\n return explicitTypes.some(function (elem) { return value.toLowerCase() === elem; })\n}\n\nfunction isBoolean () {\n var args = [], len = arguments.length;\n while ( len-- ) args[ len ] = arguments[ len ];\n\n return args.some(function (elem) { return elem.toLowerCase() === 'boolean'; })\n}\n\n/* */\n\nfunction handleError (err, vm, info) {\n // Deactivate deps tracking while processing error handler to avoid possible infinite rendering.\n // See: https://github.com/vuejs/vuex/issues/1505\n pushTarget();\n try {\n if (vm) {\n var cur = vm;\n while ((cur = cur.$parent)) {\n var hooks = cur.$options.errorCaptured;\n if (hooks) {\n for (var i = 0; i < hooks.length; i++) {\n try {\n var capture = hooks[i].call(cur, err, vm, info) === false;\n if (capture) { return }\n } catch (e) {\n globalHandleError(e, cur, 'errorCaptured hook');\n }\n }\n }\n }\n }\n globalHandleError(err, vm, info);\n } finally {\n popTarget();\n }\n}\n\nfunction invokeWithErrorHandling (\n handler,\n context,\n args,\n vm,\n info\n) {\n var res;\n try {\n res = args ? handler.apply(context, args) : handler.call(context);\n if (res && !res._isVue && isPromise(res) && !res._handled) {\n res.catch(function (e) { return handleError(e, vm, info + \" (Promise/async)\"); });\n // issue #9511\n // avoid catch triggering multiple times when nested calls\n res._handled = true;\n }\n } catch (e) {\n handleError(e, vm, info);\n }\n return res\n}\n\nfunction globalHandleError (err, vm, info) {\n if (config.errorHandler) {\n try {\n return config.errorHandler.call(null, err, vm, info)\n } catch (e) {\n // if the user intentionally throws the original error in the handler,\n // do not log it twice\n if (e !== err) {\n logError(e, null, 'config.errorHandler');\n }\n }\n }\n logError(err, vm, info);\n}\n\nfunction logError (err, vm, info) {\n if (process.env.NODE_ENV !== 'production') {\n warn((\"Error in \" + info + \": \\\"\" + (err.toString()) + \"\\\"\"), vm);\n }\n /* istanbul ignore else */\n if ((inBrowser || inWeex) && typeof console !== 'undefined') {\n console.error(err);\n } else {\n throw err\n }\n}\n\n/* */\n\nvar isUsingMicroTask = false;\n\nvar callbacks = [];\nvar pending = false;\n\nfunction flushCallbacks () {\n pending = false;\n var copies = callbacks.slice(0);\n callbacks.length = 0;\n for (var i = 0; i < copies.length; i++) {\n copies[i]();\n }\n}\n\n// Here we have async deferring wrappers using microtasks.\n// In 2.5 we used (macro) tasks (in combination with microtasks).\n// However, it has subtle problems when state is changed right before repaint\n// (e.g. #6813, out-in transitions).\n// Also, using (macro) tasks in event handler would cause some weird behaviors\n// that cannot be circumvented (e.g. #7109, #7153, #7546, #7834, #8109).\n// So we now use microtasks everywhere, again.\n// A major drawback of this tradeoff is that there are some scenarios\n// where microtasks have too high a priority and fire in between supposedly\n// sequential events (e.g. #4521, #6690, which have workarounds)\n// or even between bubbling of the same event (#6566).\nvar timerFunc;\n\n// The nextTick behavior leverages the microtask queue, which can be accessed\n// via either native Promise.then or MutationObserver.\n// MutationObserver has wider support, however it is seriously bugged in\n// UIWebView in iOS >= 9.3.3 when triggered in touch event handlers. It\n// completely stops working after triggering a few times... so, if native\n// Promise is available, we will use it:\n/* istanbul ignore next, $flow-disable-line */\nif (typeof Promise !== 'undefined' && isNative(Promise)) {\n var p = Promise.resolve();\n timerFunc = function () {\n p.then(flushCallbacks);\n // In problematic UIWebViews, Promise.then doesn't completely break, but\n // it can get stuck in a weird state where callbacks are pushed into the\n // microtask queue but the queue isn't being flushed, until the browser\n // needs to do some other work, e.g. handle a timer. Therefore we can\n // \"force\" the microtask queue to be flushed by adding an empty timer.\n if (isIOS) { setTimeout(noop); }\n };\n isUsingMicroTask = true;\n} else if (!isIE && typeof MutationObserver !== 'undefined' && (\n isNative(MutationObserver) ||\n // PhantomJS and iOS 7.x\n MutationObserver.toString() === '[object MutationObserverConstructor]'\n)) {\n // Use MutationObserver where native Promise is not available,\n // e.g. PhantomJS, iOS7, Android 4.4\n // (#6466 MutationObserver is unreliable in IE11)\n var counter = 1;\n var observer = new MutationObserver(flushCallbacks);\n var textNode = document.createTextNode(String(counter));\n observer.observe(textNode, {\n characterData: true\n });\n timerFunc = function () {\n counter = (counter + 1) % 2;\n textNode.data = String(counter);\n };\n isUsingMicroTask = true;\n} else if (typeof setImmediate !== 'undefined' && isNative(setImmediate)) {\n // Fallback to setImmediate.\n // Technically it leverages the (macro) task queue,\n // but it is still a better choice than setTimeout.\n timerFunc = function () {\n setImmediate(flushCallbacks);\n };\n} else {\n // Fallback to setTimeout.\n timerFunc = function () {\n setTimeout(flushCallbacks, 0);\n };\n}\n\nfunction nextTick (cb, ctx) {\n var _resolve;\n callbacks.push(function () {\n if (cb) {\n try {\n cb.call(ctx);\n } catch (e) {\n handleError(e, ctx, 'nextTick');\n }\n } else if (_resolve) {\n _resolve(ctx);\n }\n });\n if (!pending) {\n pending = true;\n timerFunc();\n }\n // $flow-disable-line\n if (!cb && typeof Promise !== 'undefined') {\n return new Promise(function (resolve) {\n _resolve = resolve;\n })\n }\n}\n\n/* */\n\nvar mark;\nvar measure;\n\nif (process.env.NODE_ENV !== 'production') {\n var perf = inBrowser && window.performance;\n /* istanbul ignore if */\n if (\n perf &&\n perf.mark &&\n perf.measure &&\n perf.clearMarks &&\n perf.clearMeasures\n ) {\n mark = function (tag) { return perf.mark(tag); };\n measure = function (name, startTag, endTag) {\n perf.measure(name, startTag, endTag);\n perf.clearMarks(startTag);\n perf.clearMarks(endTag);\n // perf.clearMeasures(name)\n };\n }\n}\n\n/* not type checking this file because flow doesn't play well with Proxy */\n\nvar initProxy;\n\nif (process.env.NODE_ENV !== 'production') {\n var allowedGlobals = makeMap(\n 'Infinity,undefined,NaN,isFinite,isNaN,' +\n 'parseFloat,parseInt,decodeURI,decodeURIComponent,encodeURI,encodeURIComponent,' +\n 'Math,Number,Date,Array,Object,Boolean,String,RegExp,Map,Set,JSON,Intl,' +\n 'require' // for Webpack/Browserify\n );\n\n var warnNonPresent = function (target, key) {\n warn(\n \"Property or method \\\"\" + key + \"\\\" is not defined on the instance but \" +\n 'referenced during render. Make sure that this property is reactive, ' +\n 'either in the data option, or for class-based components, by ' +\n 'initializing the property. ' +\n 'See: https://vuejs.org/v2/guide/reactivity.html#Declaring-Reactive-Properties.',\n target\n );\n };\n\n var warnReservedPrefix = function (target, key) {\n warn(\n \"Property \\\"\" + key + \"\\\" must be accessed with \\\"$data.\" + key + \"\\\" because \" +\n 'properties starting with \"$\" or \"_\" are not proxied in the Vue instance to ' +\n 'prevent conflicts with Vue internals. ' +\n 'See: https://vuejs.org/v2/api/#data',\n target\n );\n };\n\n var hasProxy =\n typeof Proxy !== 'undefined' && isNative(Proxy);\n\n if (hasProxy) {\n var isBuiltInModifier = makeMap('stop,prevent,self,ctrl,shift,alt,meta,exact');\n config.keyCodes = new Proxy(config.keyCodes, {\n set: function set (target, key, value) {\n if (isBuiltInModifier(key)) {\n warn((\"Avoid overwriting built-in modifier in config.keyCodes: .\" + key));\n return false\n } else {\n target[key] = value;\n return true\n }\n }\n });\n }\n\n var hasHandler = {\n has: function has (target, key) {\n var has = key in target;\n var isAllowed = allowedGlobals(key) ||\n (typeof key === 'string' && key.charAt(0) === '_' && !(key in target.$data));\n if (!has && !isAllowed) {\n if (key in target.$data) { warnReservedPrefix(target, key); }\n else { warnNonPresent(target, key); }\n }\n return has || !isAllowed\n }\n };\n\n var getHandler = {\n get: function get (target, key) {\n if (typeof key === 'string' && !(key in target)) {\n if (key in target.$data) { warnReservedPrefix(target, key); }\n else { warnNonPresent(target, key); }\n }\n return target[key]\n }\n };\n\n initProxy = function initProxy (vm) {\n if (hasProxy) {\n // determine which proxy handler to use\n var options = vm.$options;\n var handlers = options.render && options.render._withStripped\n ? getHandler\n : hasHandler;\n vm._renderProxy = new Proxy(vm, handlers);\n } else {\n vm._renderProxy = vm;\n }\n };\n}\n\n/* */\n\nvar seenObjects = new _Set();\n\n/**\n * Recursively traverse an object to evoke all converted\n * getters, so that every nested property inside the object\n * is collected as a \"deep\" dependency.\n */\nfunction traverse (val) {\n _traverse(val, seenObjects);\n seenObjects.clear();\n}\n\nfunction _traverse (val, seen) {\n var i, keys;\n var isA = Array.isArray(val);\n if ((!isA && !isObject(val)) || Object.isFrozen(val) || val instanceof VNode) {\n return\n }\n if (val.__ob__) {\n var depId = val.__ob__.dep.id;\n if (seen.has(depId)) {\n return\n }\n seen.add(depId);\n }\n if (isA) {\n i = val.length;\n while (i--) { _traverse(val[i], seen); }\n } else {\n keys = Object.keys(val);\n i = keys.length;\n while (i--) { _traverse(val[keys[i]], seen); }\n }\n}\n\n/* */\n\nvar normalizeEvent = cached(function (name) {\n var passive = name.charAt(0) === '&';\n name = passive ? name.slice(1) : name;\n var once$$1 = name.charAt(0) === '~'; // Prefixed last, checked first\n name = once$$1 ? name.slice(1) : name;\n var capture = name.charAt(0) === '!';\n name = capture ? name.slice(1) : name;\n return {\n name: name,\n once: once$$1,\n capture: capture,\n passive: passive\n }\n});\n\nfunction createFnInvoker (fns, vm) {\n function invoker () {\n var arguments$1 = arguments;\n\n var fns = invoker.fns;\n if (Array.isArray(fns)) {\n var cloned = fns.slice();\n for (var i = 0; i < cloned.length; i++) {\n invokeWithErrorHandling(cloned[i], null, arguments$1, vm, \"v-on handler\");\n }\n } else {\n // return handler return value for single handlers\n return invokeWithErrorHandling(fns, null, arguments, vm, \"v-on handler\")\n }\n }\n invoker.fns = fns;\n return invoker\n}\n\nfunction updateListeners (\n on,\n oldOn,\n add,\n remove$$1,\n createOnceHandler,\n vm\n) {\n var name, def$$1, cur, old, event;\n for (name in on) {\n def$$1 = cur = on[name];\n old = oldOn[name];\n event = normalizeEvent(name);\n if (isUndef(cur)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Invalid handler for event \\\"\" + (event.name) + \"\\\": got \" + String(cur),\n vm\n );\n } else if (isUndef(old)) {\n if (isUndef(cur.fns)) {\n cur = on[name] = createFnInvoker(cur, vm);\n }\n if (isTrue(event.once)) {\n cur = on[name] = createOnceHandler(event.name, cur, event.capture);\n }\n add(event.name, cur, event.capture, event.passive, event.params);\n } else if (cur !== old) {\n old.fns = cur;\n on[name] = old;\n }\n }\n for (name in oldOn) {\n if (isUndef(on[name])) {\n event = normalizeEvent(name);\n remove$$1(event.name, oldOn[name], event.capture);\n }\n }\n}\n\n/* */\n\nfunction mergeVNodeHook (def, hookKey, hook) {\n if (def instanceof VNode) {\n def = def.data.hook || (def.data.hook = {});\n }\n var invoker;\n var oldHook = def[hookKey];\n\n function wrappedHook () {\n hook.apply(this, arguments);\n // important: remove merged hook to ensure it's called only once\n // and prevent memory leak\n remove(invoker.fns, wrappedHook);\n }\n\n if (isUndef(oldHook)) {\n // no existing hook\n invoker = createFnInvoker([wrappedHook]);\n } else {\n /* istanbul ignore if */\n if (isDef(oldHook.fns) && isTrue(oldHook.merged)) {\n // already a merged invoker\n invoker = oldHook;\n invoker.fns.push(wrappedHook);\n } else {\n // existing plain hook\n invoker = createFnInvoker([oldHook, wrappedHook]);\n }\n }\n\n invoker.merged = true;\n def[hookKey] = invoker;\n}\n\n/* */\n\nfunction extractPropsFromVNodeData (\n data,\n Ctor,\n tag\n) {\n // we are only extracting raw values here.\n // validation and default values are handled in the child\n // component itself.\n var propOptions = Ctor.options.props;\n if (isUndef(propOptions)) {\n return\n }\n var res = {};\n var attrs = data.attrs;\n var props = data.props;\n if (isDef(attrs) || isDef(props)) {\n for (var key in propOptions) {\n var altKey = hyphenate(key);\n if (process.env.NODE_ENV !== 'production') {\n var keyInLowerCase = key.toLowerCase();\n if (\n key !== keyInLowerCase &&\n attrs && hasOwn(attrs, keyInLowerCase)\n ) {\n tip(\n \"Prop \\\"\" + keyInLowerCase + \"\\\" is passed to component \" +\n (formatComponentName(tag || Ctor)) + \", but the declared prop name is\" +\n \" \\\"\" + key + \"\\\". \" +\n \"Note that HTML attributes are case-insensitive and camelCased \" +\n \"props need to use their kebab-case equivalents when using in-DOM \" +\n \"templates. You should probably use \\\"\" + altKey + \"\\\" instead of \\\"\" + key + \"\\\".\"\n );\n }\n }\n checkProp(res, props, key, altKey, true) ||\n checkProp(res, attrs, key, altKey, false);\n }\n }\n return res\n}\n\nfunction checkProp (\n res,\n hash,\n key,\n altKey,\n preserve\n) {\n if (isDef(hash)) {\n if (hasOwn(hash, key)) {\n res[key] = hash[key];\n if (!preserve) {\n delete hash[key];\n }\n return true\n } else if (hasOwn(hash, altKey)) {\n res[key] = hash[altKey];\n if (!preserve) {\n delete hash[altKey];\n }\n return true\n }\n }\n return false\n}\n\n/* */\n\n// The template compiler attempts to minimize the need for normalization by\n// statically analyzing the template at compile time.\n//\n// For plain HTML markup, normalization can be completely skipped because the\n// generated render function is guaranteed to return Array<VNode>. There are\n// two cases where extra normalization is needed:\n\n// 1. When the children contains components - because a functional component\n// may return an Array instead of a single root. In this case, just a simple\n// normalization is needed - if any child is an Array, we flatten the whole\n// thing with Array.prototype.concat. It is guaranteed to be only 1-level deep\n// because functional components already normalize their own children.\nfunction simpleNormalizeChildren (children) {\n for (var i = 0; i < children.length; i++) {\n if (Array.isArray(children[i])) {\n return Array.prototype.concat.apply([], children)\n }\n }\n return children\n}\n\n// 2. When the children contains constructs that always generated nested Arrays,\n// e.g. <template>, <slot>, v-for, or when the children is provided by user\n// with hand-written render functions / JSX. In such cases a full normalization\n// is needed to cater to all possible types of children values.\nfunction normalizeChildren (children) {\n return isPrimitive(children)\n ? [createTextVNode(children)]\n : Array.isArray(children)\n ? normalizeArrayChildren(children)\n : undefined\n}\n\nfunction isTextNode (node) {\n return isDef(node) && isDef(node.text) && isFalse(node.isComment)\n}\n\nfunction normalizeArrayChildren (children, nestedIndex) {\n var res = [];\n var i, c, lastIndex, last;\n for (i = 0; i < children.length; i++) {\n c = children[i];\n if (isUndef(c) || typeof c === 'boolean') { continue }\n lastIndex = res.length - 1;\n last = res[lastIndex];\n // nested\n if (Array.isArray(c)) {\n if (c.length > 0) {\n c = normalizeArrayChildren(c, ((nestedIndex || '') + \"_\" + i));\n // merge adjacent text nodes\n if (isTextNode(c[0]) && isTextNode(last)) {\n res[lastIndex] = createTextVNode(last.text + (c[0]).text);\n c.shift();\n }\n res.push.apply(res, c);\n }\n } else if (isPrimitive(c)) {\n if (isTextNode(last)) {\n // merge adjacent text nodes\n // this is necessary for SSR hydration because text nodes are\n // essentially merged when rendered to HTML strings\n res[lastIndex] = createTextVNode(last.text + c);\n } else if (c !== '') {\n // convert primitive to vnode\n res.push(createTextVNode(c));\n }\n } else {\n if (isTextNode(c) && isTextNode(last)) {\n // merge adjacent text nodes\n res[lastIndex] = createTextVNode(last.text + c.text);\n } else {\n // default key for nested array children (likely generated by v-for)\n if (isTrue(children._isVList) &&\n isDef(c.tag) &&\n isUndef(c.key) &&\n isDef(nestedIndex)) {\n c.key = \"__vlist\" + nestedIndex + \"_\" + i + \"__\";\n }\n res.push(c);\n }\n }\n }\n return res\n}\n\n/* */\n\nfunction initProvide (vm) {\n var provide = vm.$options.provide;\n if (provide) {\n vm._provided = typeof provide === 'function'\n ? provide.call(vm)\n : provide;\n }\n}\n\nfunction initInjections (vm) {\n var result = resolveInject(vm.$options.inject, vm);\n if (result) {\n toggleObserving(false);\n Object.keys(result).forEach(function (key) {\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n defineReactive$$1(vm, key, result[key], function () {\n warn(\n \"Avoid mutating an injected value directly since the changes will be \" +\n \"overwritten whenever the provided component re-renders. \" +\n \"injection being mutated: \\\"\" + key + \"\\\"\",\n vm\n );\n });\n } else {\n defineReactive$$1(vm, key, result[key]);\n }\n });\n toggleObserving(true);\n }\n}\n\nfunction resolveInject (inject, vm) {\n if (inject) {\n // inject is :any because flow is not smart enough to figure out cached\n var result = Object.create(null);\n var keys = hasSymbol\n ? Reflect.ownKeys(inject)\n : Object.keys(inject);\n\n for (var i = 0; i < keys.length; i++) {\n var key = keys[i];\n // #6574 in case the inject object is observed...\n if (key === '__ob__') { continue }\n var provideKey = inject[key].from;\n var source = vm;\n while (source) {\n if (source._provided && hasOwn(source._provided, provideKey)) {\n result[key] = source._provided[provideKey];\n break\n }\n source = source.$parent;\n }\n if (!source) {\n if ('default' in inject[key]) {\n var provideDefault = inject[key].default;\n result[key] = typeof provideDefault === 'function'\n ? provideDefault.call(vm)\n : provideDefault;\n } else if (process.env.NODE_ENV !== 'production') {\n warn((\"Injection \\\"\" + key + \"\\\" not found\"), vm);\n }\n }\n }\n return result\n }\n}\n\n/* */\n\n\n\n/**\n * Runtime helper for resolving raw children VNodes into a slot object.\n */\nfunction resolveSlots (\n children,\n context\n) {\n if (!children || !children.length) {\n return {}\n }\n var slots = {};\n for (var i = 0, l = children.length; i < l; i++) {\n var child = children[i];\n var data = child.data;\n // remove slot attribute if the node is resolved as a Vue slot node\n if (data && data.attrs && data.attrs.slot) {\n delete data.attrs.slot;\n }\n // named slots should only be respected if the vnode was rendered in the\n // same context.\n if ((child.context === context || child.fnContext === context) &&\n data && data.slot != null\n ) {\n var name = data.slot;\n var slot = (slots[name] || (slots[name] = []));\n if (child.tag === 'template') {\n slot.push.apply(slot, child.children || []);\n } else {\n slot.push(child);\n }\n } else {\n (slots.default || (slots.default = [])).push(child);\n }\n }\n // ignore slots that contains only whitespace\n for (var name$1 in slots) {\n if (slots[name$1].every(isWhitespace)) {\n delete slots[name$1];\n }\n }\n return slots\n}\n\nfunction isWhitespace (node) {\n return (node.isComment && !node.asyncFactory) || node.text === ' '\n}\n\n/* */\n\nfunction normalizeScopedSlots (\n slots,\n normalSlots,\n prevSlots\n) {\n var res;\n var hasNormalSlots = Object.keys(normalSlots).length > 0;\n var isStable = slots ? !!slots.$stable : !hasNormalSlots;\n var key = slots && slots.$key;\n if (!slots) {\n res = {};\n } else if (slots._normalized) {\n // fast path 1: child component re-render only, parent did not change\n return slots._normalized\n } else if (\n isStable &&\n prevSlots &&\n prevSlots !== emptyObject &&\n key === prevSlots.$key &&\n !hasNormalSlots &&\n !prevSlots.$hasNormal\n ) {\n // fast path 2: stable scoped slots w/ no normal slots to proxy,\n // only need to normalize once\n return prevSlots\n } else {\n res = {};\n for (var key$1 in slots) {\n if (slots[key$1] && key$1[0] !== '$') {\n res[key$1] = normalizeScopedSlot(normalSlots, key$1, slots[key$1]);\n }\n }\n }\n // expose normal slots on scopedSlots\n for (var key$2 in normalSlots) {\n if (!(key$2 in res)) {\n res[key$2] = proxyNormalSlot(normalSlots, key$2);\n }\n }\n // avoriaz seems to mock a non-extensible $scopedSlots object\n // and when that is passed down this would cause an error\n if (slots && Object.isExtensible(slots)) {\n (slots)._normalized = res;\n }\n def(res, '$stable', isStable);\n def(res, '$key', key);\n def(res, '$hasNormal', hasNormalSlots);\n return res\n}\n\nfunction normalizeScopedSlot(normalSlots, key, fn) {\n var normalized = function () {\n var res = arguments.length ? fn.apply(null, arguments) : fn({});\n res = res && typeof res === 'object' && !Array.isArray(res)\n ? [res] // single vnode\n : normalizeChildren(res);\n return res && (\n res.length === 0 ||\n (res.length === 1 && res[0].isComment) // #9658\n ) ? undefined\n : res\n };\n // this is a slot using the new v-slot syntax without scope. although it is\n // compiled as a scoped slot, render fn users would expect it to be present\n // on this.$slots because the usage is semantically a normal slot.\n if (fn.proxy) {\n Object.defineProperty(normalSlots, key, {\n get: normalized,\n enumerable: true,\n configurable: true\n });\n }\n return normalized\n}\n\nfunction proxyNormalSlot(slots, key) {\n return function () { return slots[key]; }\n}\n\n/* */\n\n/**\n * Runtime helper for rendering v-for lists.\n */\nfunction renderList (\n val,\n render\n) {\n var ret, i, l, keys, key;\n if (Array.isArray(val) || typeof val === 'string') {\n ret = new Array(val.length);\n for (i = 0, l = val.length; i < l; i++) {\n ret[i] = render(val[i], i);\n }\n } else if (typeof val === 'number') {\n ret = new Array(val);\n for (i = 0; i < val; i++) {\n ret[i] = render(i + 1, i);\n }\n } else if (isObject(val)) {\n if (hasSymbol && val[Symbol.iterator]) {\n ret = [];\n var iterator = val[Symbol.iterator]();\n var result = iterator.next();\n while (!result.done) {\n ret.push(render(result.value, ret.length));\n result = iterator.next();\n }\n } else {\n keys = Object.keys(val);\n ret = new Array(keys.length);\n for (i = 0, l = keys.length; i < l; i++) {\n key = keys[i];\n ret[i] = render(val[key], key, i);\n }\n }\n }\n if (!isDef(ret)) {\n ret = [];\n }\n (ret)._isVList = true;\n return ret\n}\n\n/* */\n\n/**\n * Runtime helper for rendering <slot>\n */\nfunction renderSlot (\n name,\n fallback,\n props,\n bindObject\n) {\n var scopedSlotFn = this.$scopedSlots[name];\n var nodes;\n if (scopedSlotFn) { // scoped slot\n props = props || {};\n if (bindObject) {\n if (process.env.NODE_ENV !== 'production' && !isObject(bindObject)) {\n warn(\n 'slot v-bind without argument expects an Object',\n this\n );\n }\n props = extend(extend({}, bindObject), props);\n }\n nodes = scopedSlotFn(props) || fallback;\n } else {\n nodes = this.$slots[name] || fallback;\n }\n\n var target = props && props.slot;\n if (target) {\n return this.$createElement('template', { slot: target }, nodes)\n } else {\n return nodes\n }\n}\n\n/* */\n\n/**\n * Runtime helper for resolving filters\n */\nfunction resolveFilter (id) {\n return resolveAsset(this.$options, 'filters', id, true) || identity\n}\n\n/* */\n\nfunction isKeyNotMatch (expect, actual) {\n if (Array.isArray(expect)) {\n return expect.indexOf(actual) === -1\n } else {\n return expect !== actual\n }\n}\n\n/**\n * Runtime helper for checking keyCodes from config.\n * exposed as Vue.prototype._k\n * passing in eventKeyName as last argument separately for backwards compat\n */\nfunction checkKeyCodes (\n eventKeyCode,\n key,\n builtInKeyCode,\n eventKeyName,\n builtInKeyName\n) {\n var mappedKeyCode = config.keyCodes[key] || builtInKeyCode;\n if (builtInKeyName && eventKeyName && !config.keyCodes[key]) {\n return isKeyNotMatch(builtInKeyName, eventKeyName)\n } else if (mappedKeyCode) {\n return isKeyNotMatch(mappedKeyCode, eventKeyCode)\n } else if (eventKeyName) {\n return hyphenate(eventKeyName) !== key\n }\n}\n\n/* */\n\n/**\n * Runtime helper for merging v-bind=\"object\" into a VNode's data.\n */\nfunction bindObjectProps (\n data,\n tag,\n value,\n asProp,\n isSync\n) {\n if (value) {\n if (!isObject(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'v-bind without argument expects an Object or Array value',\n this\n );\n } else {\n if (Array.isArray(value)) {\n value = toObject(value);\n }\n var hash;\n var loop = function ( key ) {\n if (\n key === 'class' ||\n key === 'style' ||\n isReservedAttribute(key)\n ) {\n hash = data;\n } else {\n var type = data.attrs && data.attrs.type;\n hash = asProp || config.mustUseProp(tag, type, key)\n ? data.domProps || (data.domProps = {})\n : data.attrs || (data.attrs = {});\n }\n var camelizedKey = camelize(key);\n var hyphenatedKey = hyphenate(key);\n if (!(camelizedKey in hash) && !(hyphenatedKey in hash)) {\n hash[key] = value[key];\n\n if (isSync) {\n var on = data.on || (data.on = {});\n on[(\"update:\" + key)] = function ($event) {\n value[key] = $event;\n };\n }\n }\n };\n\n for (var key in value) loop( key );\n }\n }\n return data\n}\n\n/* */\n\n/**\n * Runtime helper for rendering static trees.\n */\nfunction renderStatic (\n index,\n isInFor\n) {\n var cached = this._staticTrees || (this._staticTrees = []);\n var tree = cached[index];\n // if has already-rendered static tree and not inside v-for,\n // we can reuse the same tree.\n if (tree && !isInFor) {\n return tree\n }\n // otherwise, render a fresh tree.\n tree = cached[index] = this.$options.staticRenderFns[index].call(\n this._renderProxy,\n null,\n this // for render fns generated for functional component templates\n );\n markStatic(tree, (\"__static__\" + index), false);\n return tree\n}\n\n/**\n * Runtime helper for v-once.\n * Effectively it means marking the node as static with a unique key.\n */\nfunction markOnce (\n tree,\n index,\n key\n) {\n markStatic(tree, (\"__once__\" + index + (key ? (\"_\" + key) : \"\")), true);\n return tree\n}\n\nfunction markStatic (\n tree,\n key,\n isOnce\n) {\n if (Array.isArray(tree)) {\n for (var i = 0; i < tree.length; i++) {\n if (tree[i] && typeof tree[i] !== 'string') {\n markStaticNode(tree[i], (key + \"_\" + i), isOnce);\n }\n }\n } else {\n markStaticNode(tree, key, isOnce);\n }\n}\n\nfunction markStaticNode (node, key, isOnce) {\n node.isStatic = true;\n node.key = key;\n node.isOnce = isOnce;\n}\n\n/* */\n\nfunction bindObjectListeners (data, value) {\n if (value) {\n if (!isPlainObject(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'v-on without argument expects an Object value',\n this\n );\n } else {\n var on = data.on = data.on ? extend({}, data.on) : {};\n for (var key in value) {\n var existing = on[key];\n var ours = value[key];\n on[key] = existing ? [].concat(existing, ours) : ours;\n }\n }\n }\n return data\n}\n\n/* */\n\nfunction resolveScopedSlots (\n fns, // see flow/vnode\n res,\n // the following are added in 2.6\n hasDynamicKeys,\n contentHashKey\n) {\n res = res || { $stable: !hasDynamicKeys };\n for (var i = 0; i < fns.length; i++) {\n var slot = fns[i];\n if (Array.isArray(slot)) {\n resolveScopedSlots(slot, res, hasDynamicKeys);\n } else if (slot) {\n // marker for reverse proxying v-slot without scope on this.$slots\n if (slot.proxy) {\n slot.fn.proxy = true;\n }\n res[slot.key] = slot.fn;\n }\n }\n if (contentHashKey) {\n (res).$key = contentHashKey;\n }\n return res\n}\n\n/* */\n\nfunction bindDynamicKeys (baseObj, values) {\n for (var i = 0; i < values.length; i += 2) {\n var key = values[i];\n if (typeof key === 'string' && key) {\n baseObj[values[i]] = values[i + 1];\n } else if (process.env.NODE_ENV !== 'production' && key !== '' && key !== null) {\n // null is a special value for explicitly removing a binding\n warn(\n (\"Invalid value for dynamic directive argument (expected string or null): \" + key),\n this\n );\n }\n }\n return baseObj\n}\n\n// helper to dynamically append modifier runtime markers to event names.\n// ensure only append when value is already string, otherwise it will be cast\n// to string and cause the type check to miss.\nfunction prependModifier (value, symbol) {\n return typeof value === 'string' ? symbol + value : value\n}\n\n/* */\n\nfunction installRenderHelpers (target) {\n target._o = markOnce;\n target._n = toNumber;\n target._s = toString;\n target._l = renderList;\n target._t = renderSlot;\n target._q = looseEqual;\n target._i = looseIndexOf;\n target._m = renderStatic;\n target._f = resolveFilter;\n target._k = checkKeyCodes;\n target._b = bindObjectProps;\n target._v = createTextVNode;\n target._e = createEmptyVNode;\n target._u = resolveScopedSlots;\n target._g = bindObjectListeners;\n target._d = bindDynamicKeys;\n target._p = prependModifier;\n}\n\n/* */\n\nfunction FunctionalRenderContext (\n data,\n props,\n children,\n parent,\n Ctor\n) {\n var this$1 = this;\n\n var options = Ctor.options;\n // ensure the createElement function in functional components\n // gets a unique context - this is necessary for correct named slot check\n var contextVm;\n if (hasOwn(parent, '_uid')) {\n contextVm = Object.create(parent);\n // $flow-disable-line\n contextVm._original = parent;\n } else {\n // the context vm passed in is a functional context as well.\n // in this case we want to make sure we are able to get a hold to the\n // real context instance.\n contextVm = parent;\n // $flow-disable-line\n parent = parent._original;\n }\n var isCompiled = isTrue(options._compiled);\n var needNormalization = !isCompiled;\n\n this.data = data;\n this.props = props;\n this.children = children;\n this.parent = parent;\n this.listeners = data.on || emptyObject;\n this.injections = resolveInject(options.inject, parent);\n this.slots = function () {\n if (!this$1.$slots) {\n normalizeScopedSlots(\n data.scopedSlots,\n this$1.$slots = resolveSlots(children, parent)\n );\n }\n return this$1.$slots\n };\n\n Object.defineProperty(this, 'scopedSlots', ({\n enumerable: true,\n get: function get () {\n return normalizeScopedSlots(data.scopedSlots, this.slots())\n }\n }));\n\n // support for compiled functional template\n if (isCompiled) {\n // exposing $options for renderStatic()\n this.$options = options;\n // pre-resolve slots for renderSlot()\n this.$slots = this.slots();\n this.$scopedSlots = normalizeScopedSlots(data.scopedSlots, this.$slots);\n }\n\n if (options._scopeId) {\n this._c = function (a, b, c, d) {\n var vnode = createElement(contextVm, a, b, c, d, needNormalization);\n if (vnode && !Array.isArray(vnode)) {\n vnode.fnScopeId = options._scopeId;\n vnode.fnContext = parent;\n }\n return vnode\n };\n } else {\n this._c = function (a, b, c, d) { return createElement(contextVm, a, b, c, d, needNormalization); };\n }\n}\n\ninstallRenderHelpers(FunctionalRenderContext.prototype);\n\nfunction createFunctionalComponent (\n Ctor,\n propsData,\n data,\n contextVm,\n children\n) {\n var options = Ctor.options;\n var props = {};\n var propOptions = options.props;\n if (isDef(propOptions)) {\n for (var key in propOptions) {\n props[key] = validateProp(key, propOptions, propsData || emptyObject);\n }\n } else {\n if (isDef(data.attrs)) { mergeProps(props, data.attrs); }\n if (isDef(data.props)) { mergeProps(props, data.props); }\n }\n\n var renderContext = new FunctionalRenderContext(\n data,\n props,\n children,\n contextVm,\n Ctor\n );\n\n var vnode = options.render.call(null, renderContext._c, renderContext);\n\n if (vnode instanceof VNode) {\n return cloneAndMarkFunctionalResult(vnode, data, renderContext.parent, options, renderContext)\n } else if (Array.isArray(vnode)) {\n var vnodes = normalizeChildren(vnode) || [];\n var res = new Array(vnodes.length);\n for (var i = 0; i < vnodes.length; i++) {\n res[i] = cloneAndMarkFunctionalResult(vnodes[i], data, renderContext.parent, options, renderContext);\n }\n return res\n }\n}\n\nfunction cloneAndMarkFunctionalResult (vnode, data, contextVm, options, renderContext) {\n // #7817 clone node before setting fnContext, otherwise if the node is reused\n // (e.g. it was from a cached normal slot) the fnContext causes named slots\n // that should not be matched to match.\n var clone = cloneVNode(vnode);\n clone.fnContext = contextVm;\n clone.fnOptions = options;\n if (process.env.NODE_ENV !== 'production') {\n (clone.devtoolsMeta = clone.devtoolsMeta || {}).renderContext = renderContext;\n }\n if (data.slot) {\n (clone.data || (clone.data = {})).slot = data.slot;\n }\n return clone\n}\n\nfunction mergeProps (to, from) {\n for (var key in from) {\n to[camelize(key)] = from[key];\n }\n}\n\n/* */\n\n/* */\n\n/* */\n\n/* */\n\n// inline hooks to be invoked on component VNodes during patch\nvar componentVNodeHooks = {\n init: function init (vnode, hydrating) {\n if (\n vnode.componentInstance &&\n !vnode.componentInstance._isDestroyed &&\n vnode.data.keepAlive\n ) {\n // kept-alive components, treat as a patch\n var mountedNode = vnode; // work around flow\n componentVNodeHooks.prepatch(mountedNode, mountedNode);\n } else {\n var child = vnode.componentInstance = createComponentInstanceForVnode(\n vnode,\n activeInstance\n );\n child.$mount(hydrating ? vnode.elm : undefined, hydrating);\n }\n },\n\n prepatch: function prepatch (oldVnode, vnode) {\n var options = vnode.componentOptions;\n var child = vnode.componentInstance = oldVnode.componentInstance;\n updateChildComponent(\n child,\n options.propsData, // updated props\n options.listeners, // updated listeners\n vnode, // new parent vnode\n options.children // new children\n );\n },\n\n insert: function insert (vnode) {\n var context = vnode.context;\n var componentInstance = vnode.componentInstance;\n if (!componentInstance._isMounted) {\n componentInstance._isMounted = true;\n callHook(componentInstance, 'mounted');\n }\n if (vnode.data.keepAlive) {\n if (context._isMounted) {\n // vue-router#1212\n // During updates, a kept-alive component's child components may\n // change, so directly walking the tree here may call activated hooks\n // on incorrect children. Instead we push them into a queue which will\n // be processed after the whole patch process ended.\n queueActivatedComponent(componentInstance);\n } else {\n activateChildComponent(componentInstance, true /* direct */);\n }\n }\n },\n\n destroy: function destroy (vnode) {\n var componentInstance = vnode.componentInstance;\n if (!componentInstance._isDestroyed) {\n if (!vnode.data.keepAlive) {\n componentInstance.$destroy();\n } else {\n deactivateChildComponent(componentInstance, true /* direct */);\n }\n }\n }\n};\n\nvar hooksToMerge = Object.keys(componentVNodeHooks);\n\nfunction createComponent (\n Ctor,\n data,\n context,\n children,\n tag\n) {\n if (isUndef(Ctor)) {\n return\n }\n\n var baseCtor = context.$options._base;\n\n // plain options object: turn it into a constructor\n if (isObject(Ctor)) {\n Ctor = baseCtor.extend(Ctor);\n }\n\n // if at this stage it's not a constructor or an async component factory,\n // reject.\n if (typeof Ctor !== 'function') {\n if (process.env.NODE_ENV !== 'production') {\n warn((\"Invalid Component definition: \" + (String(Ctor))), context);\n }\n return\n }\n\n // async component\n var asyncFactory;\n if (isUndef(Ctor.cid)) {\n asyncFactory = Ctor;\n Ctor = resolveAsyncComponent(asyncFactory, baseCtor);\n if (Ctor === undefined) {\n // return a placeholder node for async component, which is rendered\n // as a comment node but preserves all the raw information for the node.\n // the information will be used for async server-rendering and hydration.\n return createAsyncPlaceholder(\n asyncFactory,\n data,\n context,\n children,\n tag\n )\n }\n }\n\n data = data || {};\n\n // resolve constructor options in case global mixins are applied after\n // component constructor creation\n resolveConstructorOptions(Ctor);\n\n // transform component v-model data into props & events\n if (isDef(data.model)) {\n transformModel(Ctor.options, data);\n }\n\n // extract props\n var propsData = extractPropsFromVNodeData(data, Ctor, tag);\n\n // functional component\n if (isTrue(Ctor.options.functional)) {\n return createFunctionalComponent(Ctor, propsData, data, context, children)\n }\n\n // extract listeners, since these needs to be treated as\n // child component listeners instead of DOM listeners\n var listeners = data.on;\n // replace with listeners with .native modifier\n // so it gets processed during parent component patch.\n data.on = data.nativeOn;\n\n if (isTrue(Ctor.options.abstract)) {\n // abstract components do not keep anything\n // other than props & listeners & slot\n\n // work around flow\n var slot = data.slot;\n data = {};\n if (slot) {\n data.slot = slot;\n }\n }\n\n // install component management hooks onto the placeholder node\n installComponentHooks(data);\n\n // return a placeholder vnode\n var name = Ctor.options.name || tag;\n var vnode = new VNode(\n (\"vue-component-\" + (Ctor.cid) + (name ? (\"-\" + name) : '')),\n data, undefined, undefined, undefined, context,\n { Ctor: Ctor, propsData: propsData, listeners: listeners, tag: tag, children: children },\n asyncFactory\n );\n\n return vnode\n}\n\nfunction createComponentInstanceForVnode (\n vnode, // we know it's MountedComponentVNode but flow doesn't\n parent // activeInstance in lifecycle state\n) {\n var options = {\n _isComponent: true,\n _parentVnode: vnode,\n parent: parent\n };\n // check inline-template render functions\n var inlineTemplate = vnode.data.inlineTemplate;\n if (isDef(inlineTemplate)) {\n options.render = inlineTemplate.render;\n options.staticRenderFns = inlineTemplate.staticRenderFns;\n }\n return new vnode.componentOptions.Ctor(options)\n}\n\nfunction installComponentHooks (data) {\n var hooks = data.hook || (data.hook = {});\n for (var i = 0; i < hooksToMerge.length; i++) {\n var key = hooksToMerge[i];\n var existing = hooks[key];\n var toMerge = componentVNodeHooks[key];\n if (existing !== toMerge && !(existing && existing._merged)) {\n hooks[key] = existing ? mergeHook$1(toMerge, existing) : toMerge;\n }\n }\n}\n\nfunction mergeHook$1 (f1, f2) {\n var merged = function (a, b) {\n // flow complains about extra args which is why we use any\n f1(a, b);\n f2(a, b);\n };\n merged._merged = true;\n return merged\n}\n\n// transform component v-model info (value and callback) into\n// prop and event handler respectively.\nfunction transformModel (options, data) {\n var prop = (options.model && options.model.prop) || 'value';\n var event = (options.model && options.model.event) || 'input'\n ;(data.attrs || (data.attrs = {}))[prop] = data.model.value;\n var on = data.on || (data.on = {});\n var existing = on[event];\n var callback = data.model.callback;\n if (isDef(existing)) {\n if (\n Array.isArray(existing)\n ? existing.indexOf(callback) === -1\n : existing !== callback\n ) {\n on[event] = [callback].concat(existing);\n }\n } else {\n on[event] = callback;\n }\n}\n\n/* */\n\nvar SIMPLE_NORMALIZE = 1;\nvar ALWAYS_NORMALIZE = 2;\n\n// wrapper function for providing a more flexible interface\n// without getting yelled at by flow\nfunction createElement (\n context,\n tag,\n data,\n children,\n normalizationType,\n alwaysNormalize\n) {\n if (Array.isArray(data) || isPrimitive(data)) {\n normalizationType = children;\n children = data;\n data = undefined;\n }\n if (isTrue(alwaysNormalize)) {\n normalizationType = ALWAYS_NORMALIZE;\n }\n return _createElement(context, tag, data, children, normalizationType)\n}\n\nfunction _createElement (\n context,\n tag,\n data,\n children,\n normalizationType\n) {\n if (isDef(data) && isDef((data).__ob__)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Avoid using observed data object as vnode data: \" + (JSON.stringify(data)) + \"\\n\" +\n 'Always create fresh vnode data objects in each render!',\n context\n );\n return createEmptyVNode()\n }\n // object syntax in v-bind\n if (isDef(data) && isDef(data.is)) {\n tag = data.is;\n }\n if (!tag) {\n // in case of component :is set to falsy value\n return createEmptyVNode()\n }\n // warn against non-primitive key\n if (process.env.NODE_ENV !== 'production' &&\n isDef(data) && isDef(data.key) && !isPrimitive(data.key)\n ) {\n {\n warn(\n 'Avoid using non-primitive value as key, ' +\n 'use string/number value instead.',\n context\n );\n }\n }\n // support single function children as default scoped slot\n if (Array.isArray(children) &&\n typeof children[0] === 'function'\n ) {\n data = data || {};\n data.scopedSlots = { default: children[0] };\n children.length = 0;\n }\n if (normalizationType === ALWAYS_NORMALIZE) {\n children = normalizeChildren(children);\n } else if (normalizationType === SIMPLE_NORMALIZE) {\n children = simpleNormalizeChildren(children);\n }\n var vnode, ns;\n if (typeof tag === 'string') {\n var Ctor;\n ns = (context.$vnode && context.$vnode.ns) || config.getTagNamespace(tag);\n if (config.isReservedTag(tag)) {\n // platform built-in elements\n if (process.env.NODE_ENV !== 'production' && isDef(data) && isDef(data.nativeOn)) {\n warn(\n (\"The .native modifier for v-on is only valid on components but it was used on <\" + tag + \">.\"),\n context\n );\n }\n vnode = new VNode(\n config.parsePlatformTagName(tag), data, children,\n undefined, undefined, context\n );\n } else if ((!data || !data.pre) && isDef(Ctor = resolveAsset(context.$options, 'components', tag))) {\n // component\n vnode = createComponent(Ctor, data, context, children, tag);\n } else {\n // unknown or unlisted namespaced elements\n // check at runtime because it may get assigned a namespace when its\n // parent normalizes children\n vnode = new VNode(\n tag, data, children,\n undefined, undefined, context\n );\n }\n } else {\n // direct component options / constructor\n vnode = createComponent(tag, data, context, children);\n }\n if (Array.isArray(vnode)) {\n return vnode\n } else if (isDef(vnode)) {\n if (isDef(ns)) { applyNS(vnode, ns); }\n if (isDef(data)) { registerDeepBindings(data); }\n return vnode\n } else {\n return createEmptyVNode()\n }\n}\n\nfunction applyNS (vnode, ns, force) {\n vnode.ns = ns;\n if (vnode.tag === 'foreignObject') {\n // use default namespace inside foreignObject\n ns = undefined;\n force = true;\n }\n if (isDef(vnode.children)) {\n for (var i = 0, l = vnode.children.length; i < l; i++) {\n var child = vnode.children[i];\n if (isDef(child.tag) && (\n isUndef(child.ns) || (isTrue(force) && child.tag !== 'svg'))) {\n applyNS(child, ns, force);\n }\n }\n }\n}\n\n// ref #5318\n// necessary to ensure parent re-render when deep bindings like :style and\n// :class are used on slot nodes\nfunction registerDeepBindings (data) {\n if (isObject(data.style)) {\n traverse(data.style);\n }\n if (isObject(data.class)) {\n traverse(data.class);\n }\n}\n\n/* */\n\nfunction initRender (vm) {\n vm._vnode = null; // the root of the child tree\n vm._staticTrees = null; // v-once cached trees\n var options = vm.$options;\n var parentVnode = vm.$vnode = options._parentVnode; // the placeholder node in parent tree\n var renderContext = parentVnode && parentVnode.context;\n vm.$slots = resolveSlots(options._renderChildren, renderContext);\n vm.$scopedSlots = emptyObject;\n // bind the createElement fn to this instance\n // so that we get proper render context inside it.\n // args order: tag, data, children, normalizationType, alwaysNormalize\n // internal version is used by render functions compiled from templates\n vm._c = function (a, b, c, d) { return createElement(vm, a, b, c, d, false); };\n // normalization is always applied for the public version, used in\n // user-written render functions.\n vm.$createElement = function (a, b, c, d) { return createElement(vm, a, b, c, d, true); };\n\n // $attrs & $listeners are exposed for easier HOC creation.\n // they need to be reactive so that HOCs using them are always updated\n var parentData = parentVnode && parentVnode.data;\n\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n defineReactive$$1(vm, '$attrs', parentData && parentData.attrs || emptyObject, function () {\n !isUpdatingChildComponent && warn(\"$attrs is readonly.\", vm);\n }, true);\n defineReactive$$1(vm, '$listeners', options._parentListeners || emptyObject, function () {\n !isUpdatingChildComponent && warn(\"$listeners is readonly.\", vm);\n }, true);\n } else {\n defineReactive$$1(vm, '$attrs', parentData && parentData.attrs || emptyObject, null, true);\n defineReactive$$1(vm, '$listeners', options._parentListeners || emptyObject, null, true);\n }\n}\n\nvar currentRenderingInstance = null;\n\nfunction renderMixin (Vue) {\n // install runtime convenience helpers\n installRenderHelpers(Vue.prototype);\n\n Vue.prototype.$nextTick = function (fn) {\n return nextTick(fn, this)\n };\n\n Vue.prototype._render = function () {\n var vm = this;\n var ref = vm.$options;\n var render = ref.render;\n var _parentVnode = ref._parentVnode;\n\n if (_parentVnode) {\n vm.$scopedSlots = normalizeScopedSlots(\n _parentVnode.data.scopedSlots,\n vm.$slots,\n vm.$scopedSlots\n );\n }\n\n // set parent vnode. this allows render functions to have access\n // to the data on the placeholder node.\n vm.$vnode = _parentVnode;\n // render self\n var vnode;\n try {\n // There's no need to maintain a stack because all render fns are called\n // separately from one another. Nested component's render fns are called\n // when parent component is patched.\n currentRenderingInstance = vm;\n vnode = render.call(vm._renderProxy, vm.$createElement);\n } catch (e) {\n handleError(e, vm, \"render\");\n // return error render result,\n // or previous vnode to prevent render error causing blank component\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production' && vm.$options.renderError) {\n try {\n vnode = vm.$options.renderError.call(vm._renderProxy, vm.$createElement, e);\n } catch (e) {\n handleError(e, vm, \"renderError\");\n vnode = vm._vnode;\n }\n } else {\n vnode = vm._vnode;\n }\n } finally {\n currentRenderingInstance = null;\n }\n // if the returned array contains only a single node, allow it\n if (Array.isArray(vnode) && vnode.length === 1) {\n vnode = vnode[0];\n }\n // return empty vnode in case the render function errored out\n if (!(vnode instanceof VNode)) {\n if (process.env.NODE_ENV !== 'production' && Array.isArray(vnode)) {\n warn(\n 'Multiple root nodes returned from render function. Render function ' +\n 'should return a single root node.',\n vm\n );\n }\n vnode = createEmptyVNode();\n }\n // set parent\n vnode.parent = _parentVnode;\n return vnode\n };\n}\n\n/* */\n\nfunction ensureCtor (comp, base) {\n if (\n comp.__esModule ||\n (hasSymbol && comp[Symbol.toStringTag] === 'Module')\n ) {\n comp = comp.default;\n }\n return isObject(comp)\n ? base.extend(comp)\n : comp\n}\n\nfunction createAsyncPlaceholder (\n factory,\n data,\n context,\n children,\n tag\n) {\n var node = createEmptyVNode();\n node.asyncFactory = factory;\n node.asyncMeta = { data: data, context: context, children: children, tag: tag };\n return node\n}\n\nfunction resolveAsyncComponent (\n factory,\n baseCtor\n) {\n if (isTrue(factory.error) && isDef(factory.errorComp)) {\n return factory.errorComp\n }\n\n if (isDef(factory.resolved)) {\n return factory.resolved\n }\n\n var owner = currentRenderingInstance;\n if (owner && isDef(factory.owners) && factory.owners.indexOf(owner) === -1) {\n // already pending\n factory.owners.push(owner);\n }\n\n if (isTrue(factory.loading) && isDef(factory.loadingComp)) {\n return factory.loadingComp\n }\n\n if (owner && !isDef(factory.owners)) {\n var owners = factory.owners = [owner];\n var sync = true;\n var timerLoading = null;\n var timerTimeout = null\n\n ;(owner).$on('hook:destroyed', function () { return remove(owners, owner); });\n\n var forceRender = function (renderCompleted) {\n for (var i = 0, l = owners.length; i < l; i++) {\n (owners[i]).$forceUpdate();\n }\n\n if (renderCompleted) {\n owners.length = 0;\n if (timerLoading !== null) {\n clearTimeout(timerLoading);\n timerLoading = null;\n }\n if (timerTimeout !== null) {\n clearTimeout(timerTimeout);\n timerTimeout = null;\n }\n }\n };\n\n var resolve = once(function (res) {\n // cache resolved\n factory.resolved = ensureCtor(res, baseCtor);\n // invoke callbacks only if this is not a synchronous resolve\n // (async resolves are shimmed as synchronous during SSR)\n if (!sync) {\n forceRender(true);\n } else {\n owners.length = 0;\n }\n });\n\n var reject = once(function (reason) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Failed to resolve async component: \" + (String(factory)) +\n (reason ? (\"\\nReason: \" + reason) : '')\n );\n if (isDef(factory.errorComp)) {\n factory.error = true;\n forceRender(true);\n }\n });\n\n var res = factory(resolve, reject);\n\n if (isObject(res)) {\n if (isPromise(res)) {\n // () => Promise\n if (isUndef(factory.resolved)) {\n res.then(resolve, reject);\n }\n } else if (isPromise(res.component)) {\n res.component.then(resolve, reject);\n\n if (isDef(res.error)) {\n factory.errorComp = ensureCtor(res.error, baseCtor);\n }\n\n if (isDef(res.loading)) {\n factory.loadingComp = ensureCtor(res.loading, baseCtor);\n if (res.delay === 0) {\n factory.loading = true;\n } else {\n timerLoading = setTimeout(function () {\n timerLoading = null;\n if (isUndef(factory.resolved) && isUndef(factory.error)) {\n factory.loading = true;\n forceRender(false);\n }\n }, res.delay || 200);\n }\n }\n\n if (isDef(res.timeout)) {\n timerTimeout = setTimeout(function () {\n timerTimeout = null;\n if (isUndef(factory.resolved)) {\n reject(\n process.env.NODE_ENV !== 'production'\n ? (\"timeout (\" + (res.timeout) + \"ms)\")\n : null\n );\n }\n }, res.timeout);\n }\n }\n }\n\n sync = false;\n // return in case resolved synchronously\n return factory.loading\n ? factory.loadingComp\n : factory.resolved\n }\n}\n\n/* */\n\nfunction isAsyncPlaceholder (node) {\n return node.isComment && node.asyncFactory\n}\n\n/* */\n\nfunction getFirstComponentChild (children) {\n if (Array.isArray(children)) {\n for (var i = 0; i < children.length; i++) {\n var c = children[i];\n if (isDef(c) && (isDef(c.componentOptions) || isAsyncPlaceholder(c))) {\n return c\n }\n }\n }\n}\n\n/* */\n\n/* */\n\nfunction initEvents (vm) {\n vm._events = Object.create(null);\n vm._hasHookEvent = false;\n // init parent attached events\n var listeners = vm.$options._parentListeners;\n if (listeners) {\n updateComponentListeners(vm, listeners);\n }\n}\n\nvar target;\n\nfunction add (event, fn) {\n target.$on(event, fn);\n}\n\nfunction remove$1 (event, fn) {\n target.$off(event, fn);\n}\n\nfunction createOnceHandler (event, fn) {\n var _target = target;\n return function onceHandler () {\n var res = fn.apply(null, arguments);\n if (res !== null) {\n _target.$off(event, onceHandler);\n }\n }\n}\n\nfunction updateComponentListeners (\n vm,\n listeners,\n oldListeners\n) {\n target = vm;\n updateListeners(listeners, oldListeners || {}, add, remove$1, createOnceHandler, vm);\n target = undefined;\n}\n\nfunction eventsMixin (Vue) {\n var hookRE = /^hook:/;\n Vue.prototype.$on = function (event, fn) {\n var vm = this;\n if (Array.isArray(event)) {\n for (var i = 0, l = event.length; i < l; i++) {\n vm.$on(event[i], fn);\n }\n } else {\n (vm._events[event] || (vm._events[event] = [])).push(fn);\n // optimize hook:event cost by using a boolean flag marked at registration\n // instead of a hash lookup\n if (hookRE.test(event)) {\n vm._hasHookEvent = true;\n }\n }\n return vm\n };\n\n Vue.prototype.$once = function (event, fn) {\n var vm = this;\n function on () {\n vm.$off(event, on);\n fn.apply(vm, arguments);\n }\n on.fn = fn;\n vm.$on(event, on);\n return vm\n };\n\n Vue.prototype.$off = function (event, fn) {\n var vm = this;\n // all\n if (!arguments.length) {\n vm._events = Object.create(null);\n return vm\n }\n // array of events\n if (Array.isArray(event)) {\n for (var i$1 = 0, l = event.length; i$1 < l; i$1++) {\n vm.$off(event[i$1], fn);\n }\n return vm\n }\n // specific event\n var cbs = vm._events[event];\n if (!cbs) {\n return vm\n }\n if (!fn) {\n vm._events[event] = null;\n return vm\n }\n // specific handler\n var cb;\n var i = cbs.length;\n while (i--) {\n cb = cbs[i];\n if (cb === fn || cb.fn === fn) {\n cbs.splice(i, 1);\n break\n }\n }\n return vm\n };\n\n Vue.prototype.$emit = function (event) {\n var vm = this;\n if (process.env.NODE_ENV !== 'production') {\n var lowerCaseEvent = event.toLowerCase();\n if (lowerCaseEvent !== event && vm._events[lowerCaseEvent]) {\n tip(\n \"Event \\\"\" + lowerCaseEvent + \"\\\" is emitted in component \" +\n (formatComponentName(vm)) + \" but the handler is registered for \\\"\" + event + \"\\\". \" +\n \"Note that HTML attributes are case-insensitive and you cannot use \" +\n \"v-on to listen to camelCase events when using in-DOM templates. \" +\n \"You should probably use \\\"\" + (hyphenate(event)) + \"\\\" instead of \\\"\" + event + \"\\\".\"\n );\n }\n }\n var cbs = vm._events[event];\n if (cbs) {\n cbs = cbs.length > 1 ? toArray(cbs) : cbs;\n var args = toArray(arguments, 1);\n var info = \"event handler for \\\"\" + event + \"\\\"\";\n for (var i = 0, l = cbs.length; i < l; i++) {\n invokeWithErrorHandling(cbs[i], vm, args, vm, info);\n }\n }\n return vm\n };\n}\n\n/* */\n\nvar activeInstance = null;\nvar isUpdatingChildComponent = false;\n\nfunction setActiveInstance(vm) {\n var prevActiveInstance = activeInstance;\n activeInstance = vm;\n return function () {\n activeInstance = prevActiveInstance;\n }\n}\n\nfunction initLifecycle (vm) {\n var options = vm.$options;\n\n // locate first non-abstract parent\n var parent = options.parent;\n if (parent && !options.abstract) {\n while (parent.$options.abstract && parent.$parent) {\n parent = parent.$parent;\n }\n parent.$children.push(vm);\n }\n\n vm.$parent = parent;\n vm.$root = parent ? parent.$root : vm;\n\n vm.$children = [];\n vm.$refs = {};\n\n vm._watcher = null;\n vm._inactive = null;\n vm._directInactive = false;\n vm._isMounted = false;\n vm._isDestroyed = false;\n vm._isBeingDestroyed = false;\n}\n\nfunction lifecycleMixin (Vue) {\n Vue.prototype._update = function (vnode, hydrating) {\n var vm = this;\n var prevEl = vm.$el;\n var prevVnode = vm._vnode;\n var restoreActiveInstance = setActiveInstance(vm);\n vm._vnode = vnode;\n // Vue.prototype.__patch__ is injected in entry points\n // based on the rendering backend used.\n if (!prevVnode) {\n // initial render\n vm.$el = vm.__patch__(vm.$el, vnode, hydrating, false /* removeOnly */);\n } else {\n // updates\n vm.$el = vm.__patch__(prevVnode, vnode);\n }\n restoreActiveInstance();\n // update __vue__ reference\n if (prevEl) {\n prevEl.__vue__ = null;\n }\n if (vm.$el) {\n vm.$el.__vue__ = vm;\n }\n // if parent is an HOC, update its $el as well\n if (vm.$vnode && vm.$parent && vm.$vnode === vm.$parent._vnode) {\n vm.$parent.$el = vm.$el;\n }\n // updated hook is called by the scheduler to ensure that children are\n // updated in a parent's updated hook.\n };\n\n Vue.prototype.$forceUpdate = function () {\n var vm = this;\n if (vm._watcher) {\n vm._watcher.update();\n }\n };\n\n Vue.prototype.$destroy = function () {\n var vm = this;\n if (vm._isBeingDestroyed) {\n return\n }\n callHook(vm, 'beforeDestroy');\n vm._isBeingDestroyed = true;\n // remove self from parent\n var parent = vm.$parent;\n if (parent && !parent._isBeingDestroyed && !vm.$options.abstract) {\n remove(parent.$children, vm);\n }\n // teardown watchers\n if (vm._watcher) {\n vm._watcher.teardown();\n }\n var i = vm._watchers.length;\n while (i--) {\n vm._watchers[i].teardown();\n }\n // remove reference from data ob\n // frozen object may not have observer.\n if (vm._data.__ob__) {\n vm._data.__ob__.vmCount--;\n }\n // call the last hook...\n vm._isDestroyed = true;\n // invoke destroy hooks on current rendered tree\n vm.__patch__(vm._vnode, null);\n // fire destroyed hook\n callHook(vm, 'destroyed');\n // turn off all instance listeners.\n vm.$off();\n // remove __vue__ reference\n if (vm.$el) {\n vm.$el.__vue__ = null;\n }\n // release circular reference (#6759)\n if (vm.$vnode) {\n vm.$vnode.parent = null;\n }\n };\n}\n\nfunction mountComponent (\n vm,\n el,\n hydrating\n) {\n vm.$el = el;\n if (!vm.$options.render) {\n vm.$options.render = createEmptyVNode;\n if (process.env.NODE_ENV !== 'production') {\n /* istanbul ignore if */\n if ((vm.$options.template && vm.$options.template.charAt(0) !== '#') ||\n vm.$options.el || el) {\n warn(\n 'You are using the runtime-only build of Vue where the template ' +\n 'compiler is not available. Either pre-compile the templates into ' +\n 'render functions, or use the compiler-included build.',\n vm\n );\n } else {\n warn(\n 'Failed to mount component: template or render function not defined.',\n vm\n );\n }\n }\n }\n callHook(vm, 'beforeMount');\n\n var updateComponent;\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n updateComponent = function () {\n var name = vm._name;\n var id = vm._uid;\n var startTag = \"vue-perf-start:\" + id;\n var endTag = \"vue-perf-end:\" + id;\n\n mark(startTag);\n var vnode = vm._render();\n mark(endTag);\n measure((\"vue \" + name + \" render\"), startTag, endTag);\n\n mark(startTag);\n vm._update(vnode, hydrating);\n mark(endTag);\n measure((\"vue \" + name + \" patch\"), startTag, endTag);\n };\n } else {\n updateComponent = function () {\n vm._update(vm._render(), hydrating);\n };\n }\n\n // we set this to vm._watcher inside the watcher's constructor\n // since the watcher's initial patch may call $forceUpdate (e.g. inside child\n // component's mounted hook), which relies on vm._watcher being already defined\n new Watcher(vm, updateComponent, noop, {\n before: function before () {\n if (vm._isMounted && !vm._isDestroyed) {\n callHook(vm, 'beforeUpdate');\n }\n }\n }, true /* isRenderWatcher */);\n hydrating = false;\n\n // manually mounted instance, call mounted on self\n // mounted is called for render-created child components in its inserted hook\n if (vm.$vnode == null) {\n vm._isMounted = true;\n callHook(vm, 'mounted');\n }\n return vm\n}\n\nfunction updateChildComponent (\n vm,\n propsData,\n listeners,\n parentVnode,\n renderChildren\n) {\n if (process.env.NODE_ENV !== 'production') {\n isUpdatingChildComponent = true;\n }\n\n // determine whether component has slot children\n // we need to do this before overwriting $options._renderChildren.\n\n // check if there are dynamic scopedSlots (hand-written or compiled but with\n // dynamic slot names). Static scoped slots compiled from template has the\n // \"$stable\" marker.\n var newScopedSlots = parentVnode.data.scopedSlots;\n var oldScopedSlots = vm.$scopedSlots;\n var hasDynamicScopedSlot = !!(\n (newScopedSlots && !newScopedSlots.$stable) ||\n (oldScopedSlots !== emptyObject && !oldScopedSlots.$stable) ||\n (newScopedSlots && vm.$scopedSlots.$key !== newScopedSlots.$key)\n );\n\n // Any static slot children from the parent may have changed during parent's\n // update. Dynamic scoped slots may also have changed. In such cases, a forced\n // update is necessary to ensure correctness.\n var needsForceUpdate = !!(\n renderChildren || // has new static slots\n vm.$options._renderChildren || // has old static slots\n hasDynamicScopedSlot\n );\n\n vm.$options._parentVnode = parentVnode;\n vm.$vnode = parentVnode; // update vm's placeholder node without re-render\n\n if (vm._vnode) { // update child tree's parent\n vm._vnode.parent = parentVnode;\n }\n vm.$options._renderChildren = renderChildren;\n\n // update $attrs and $listeners hash\n // these are also reactive so they may trigger child update if the child\n // used them during render\n vm.$attrs = parentVnode.data.attrs || emptyObject;\n vm.$listeners = listeners || emptyObject;\n\n // update props\n if (propsData && vm.$options.props) {\n toggleObserving(false);\n var props = vm._props;\n var propKeys = vm.$options._propKeys || [];\n for (var i = 0; i < propKeys.length; i++) {\n var key = propKeys[i];\n var propOptions = vm.$options.props; // wtf flow?\n props[key] = validateProp(key, propOptions, propsData, vm);\n }\n toggleObserving(true);\n // keep a copy of raw propsData\n vm.$options.propsData = propsData;\n }\n\n // update listeners\n listeners = listeners || emptyObject;\n var oldListeners = vm.$options._parentListeners;\n vm.$options._parentListeners = listeners;\n updateComponentListeners(vm, listeners, oldListeners);\n\n // resolve slots + force update if has children\n if (needsForceUpdate) {\n vm.$slots = resolveSlots(renderChildren, parentVnode.context);\n vm.$forceUpdate();\n }\n\n if (process.env.NODE_ENV !== 'production') {\n isUpdatingChildComponent = false;\n }\n}\n\nfunction isInInactiveTree (vm) {\n while (vm && (vm = vm.$parent)) {\n if (vm._inactive) { return true }\n }\n return false\n}\n\nfunction activateChildComponent (vm, direct) {\n if (direct) {\n vm._directInactive = false;\n if (isInInactiveTree(vm)) {\n return\n }\n } else if (vm._directInactive) {\n return\n }\n if (vm._inactive || vm._inactive === null) {\n vm._inactive = false;\n for (var i = 0; i < vm.$children.length; i++) {\n activateChildComponent(vm.$children[i]);\n }\n callHook(vm, 'activated');\n }\n}\n\nfunction deactivateChildComponent (vm, direct) {\n if (direct) {\n vm._directInactive = true;\n if (isInInactiveTree(vm)) {\n return\n }\n }\n if (!vm._inactive) {\n vm._inactive = true;\n for (var i = 0; i < vm.$children.length; i++) {\n deactivateChildComponent(vm.$children[i]);\n }\n callHook(vm, 'deactivated');\n }\n}\n\nfunction callHook (vm, hook) {\n // #7573 disable dep collection when invoking lifecycle hooks\n pushTarget();\n var handlers = vm.$options[hook];\n var info = hook + \" hook\";\n if (handlers) {\n for (var i = 0, j = handlers.length; i < j; i++) {\n invokeWithErrorHandling(handlers[i], vm, null, vm, info);\n }\n }\n if (vm._hasHookEvent) {\n vm.$emit('hook:' + hook);\n }\n popTarget();\n}\n\n/* */\n\nvar MAX_UPDATE_COUNT = 100;\n\nvar queue = [];\nvar activatedChildren = [];\nvar has = {};\nvar circular = {};\nvar waiting = false;\nvar flushing = false;\nvar index = 0;\n\n/**\n * Reset the scheduler's state.\n */\nfunction resetSchedulerState () {\n index = queue.length = activatedChildren.length = 0;\n has = {};\n if (process.env.NODE_ENV !== 'production') {\n circular = {};\n }\n waiting = flushing = false;\n}\n\n// Async edge case #6566 requires saving the timestamp when event listeners are\n// attached. However, calling performance.now() has a perf overhead especially\n// if the page has thousands of event listeners. Instead, we take a timestamp\n// every time the scheduler flushes and use that for all event listeners\n// attached during that flush.\nvar currentFlushTimestamp = 0;\n\n// Async edge case fix requires storing an event listener's attach timestamp.\nvar getNow = Date.now;\n\n// Determine what event timestamp the browser is using. Annoyingly, the\n// timestamp can either be hi-res (relative to page load) or low-res\n// (relative to UNIX epoch), so in order to compare time we have to use the\n// same timestamp type when saving the flush timestamp.\n// All IE versions use low-res event timestamps, and have problematic clock\n// implementations (#9632)\nif (inBrowser && !isIE) {\n var performance = window.performance;\n if (\n performance &&\n typeof performance.now === 'function' &&\n getNow() > document.createEvent('Event').timeStamp\n ) {\n // if the event timestamp, although evaluated AFTER the Date.now(), is\n // smaller than it, it means the event is using a hi-res timestamp,\n // and we need to use the hi-res version for event listener timestamps as\n // well.\n getNow = function () { return performance.now(); };\n }\n}\n\n/**\n * Flush both queues and run the watchers.\n */\nfunction flushSchedulerQueue () {\n currentFlushTimestamp = getNow();\n flushing = true;\n var watcher, id;\n\n // Sort queue before flush.\n // This ensures that:\n // 1. Components are updated from parent to child. (because parent is always\n // created before the child)\n // 2. A component's user watchers are run before its render watcher (because\n // user watchers are created before the render watcher)\n // 3. If a component is destroyed during a parent component's watcher run,\n // its watchers can be skipped.\n queue.sort(function (a, b) { return a.id - b.id; });\n\n // do not cache length because more watchers might be pushed\n // as we run existing watchers\n for (index = 0; index < queue.length; index++) {\n watcher = queue[index];\n if (watcher.before) {\n watcher.before();\n }\n id = watcher.id;\n has[id] = null;\n watcher.run();\n // in dev build, check and stop circular updates.\n if (process.env.NODE_ENV !== 'production' && has[id] != null) {\n circular[id] = (circular[id] || 0) + 1;\n if (circular[id] > MAX_UPDATE_COUNT) {\n warn(\n 'You may have an infinite update loop ' + (\n watcher.user\n ? (\"in watcher with expression \\\"\" + (watcher.expression) + \"\\\"\")\n : \"in a component render function.\"\n ),\n watcher.vm\n );\n break\n }\n }\n }\n\n // keep copies of post queues before resetting state\n var activatedQueue = activatedChildren.slice();\n var updatedQueue = queue.slice();\n\n resetSchedulerState();\n\n // call component updated and activated hooks\n callActivatedHooks(activatedQueue);\n callUpdatedHooks(updatedQueue);\n\n // devtool hook\n /* istanbul ignore if */\n if (devtools && config.devtools) {\n devtools.emit('flush');\n }\n}\n\nfunction callUpdatedHooks (queue) {\n var i = queue.length;\n while (i--) {\n var watcher = queue[i];\n var vm = watcher.vm;\n if (vm._watcher === watcher && vm._isMounted && !vm._isDestroyed) {\n callHook(vm, 'updated');\n }\n }\n}\n\n/**\n * Queue a kept-alive component that was activated during patch.\n * The queue will be processed after the entire tree has been patched.\n */\nfunction queueActivatedComponent (vm) {\n // setting _inactive to false here so that a render function can\n // rely on checking whether it's in an inactive tree (e.g. router-view)\n vm._inactive = false;\n activatedChildren.push(vm);\n}\n\nfunction callActivatedHooks (queue) {\n for (var i = 0; i < queue.length; i++) {\n queue[i]._inactive = true;\n activateChildComponent(queue[i], true /* true */);\n }\n}\n\n/**\n * Push a watcher into the watcher queue.\n * Jobs with duplicate IDs will be skipped unless it's\n * pushed when the queue is being flushed.\n */\nfunction queueWatcher (watcher) {\n var id = watcher.id;\n if (has[id] == null) {\n has[id] = true;\n if (!flushing) {\n queue.push(watcher);\n } else {\n // if already flushing, splice the watcher based on its id\n // if already past its id, it will be run next immediately.\n var i = queue.length - 1;\n while (i > index && queue[i].id > watcher.id) {\n i--;\n }\n queue.splice(i + 1, 0, watcher);\n }\n // queue the flush\n if (!waiting) {\n waiting = true;\n\n if (process.env.NODE_ENV !== 'production' && !config.async) {\n flushSchedulerQueue();\n return\n }\n nextTick(flushSchedulerQueue);\n }\n }\n}\n\n/* */\n\n\n\nvar uid$2 = 0;\n\n/**\n * A watcher parses an expression, collects dependencies,\n * and fires callback when the expression value changes.\n * This is used for both the $watch() api and directives.\n */\nvar Watcher = function Watcher (\n vm,\n expOrFn,\n cb,\n options,\n isRenderWatcher\n) {\n this.vm = vm;\n if (isRenderWatcher) {\n vm._watcher = this;\n }\n vm._watchers.push(this);\n // options\n if (options) {\n this.deep = !!options.deep;\n this.user = !!options.user;\n this.lazy = !!options.lazy;\n this.sync = !!options.sync;\n this.before = options.before;\n } else {\n this.deep = this.user = this.lazy = this.sync = false;\n }\n this.cb = cb;\n this.id = ++uid$2; // uid for batching\n this.active = true;\n this.dirty = this.lazy; // for lazy watchers\n this.deps = [];\n this.newDeps = [];\n this.depIds = new _Set();\n this.newDepIds = new _Set();\n this.expression = process.env.NODE_ENV !== 'production'\n ? expOrFn.toString()\n : '';\n // parse expression for getter\n if (typeof expOrFn === 'function') {\n this.getter = expOrFn;\n } else {\n this.getter = parsePath(expOrFn);\n if (!this.getter) {\n this.getter = noop;\n process.env.NODE_ENV !== 'production' && warn(\n \"Failed watching path: \\\"\" + expOrFn + \"\\\" \" +\n 'Watcher only accepts simple dot-delimited paths. ' +\n 'For full control, use a function instead.',\n vm\n );\n }\n }\n this.value = this.lazy\n ? undefined\n : this.get();\n};\n\n/**\n * Evaluate the getter, and re-collect dependencies.\n */\nWatcher.prototype.get = function get () {\n pushTarget(this);\n var value;\n var vm = this.vm;\n try {\n value = this.getter.call(vm, vm);\n } catch (e) {\n if (this.user) {\n handleError(e, vm, (\"getter for watcher \\\"\" + (this.expression) + \"\\\"\"));\n } else {\n throw e\n }\n } finally {\n // \"touch\" every property so they are all tracked as\n // dependencies for deep watching\n if (this.deep) {\n traverse(value);\n }\n popTarget();\n this.cleanupDeps();\n }\n return value\n};\n\n/**\n * Add a dependency to this directive.\n */\nWatcher.prototype.addDep = function addDep (dep) {\n var id = dep.id;\n if (!this.newDepIds.has(id)) {\n this.newDepIds.add(id);\n this.newDeps.push(dep);\n if (!this.depIds.has(id)) {\n dep.addSub(this);\n }\n }\n};\n\n/**\n * Clean up for dependency collection.\n */\nWatcher.prototype.cleanupDeps = function cleanupDeps () {\n var i = this.deps.length;\n while (i--) {\n var dep = this.deps[i];\n if (!this.newDepIds.has(dep.id)) {\n dep.removeSub(this);\n }\n }\n var tmp = this.depIds;\n this.depIds = this.newDepIds;\n this.newDepIds = tmp;\n this.newDepIds.clear();\n tmp = this.deps;\n this.deps = this.newDeps;\n this.newDeps = tmp;\n this.newDeps.length = 0;\n};\n\n/**\n * Subscriber interface.\n * Will be called when a dependency changes.\n */\nWatcher.prototype.update = function update () {\n /* istanbul ignore else */\n if (this.lazy) {\n this.dirty = true;\n } else if (this.sync) {\n this.run();\n } else {\n queueWatcher(this);\n }\n};\n\n/**\n * Scheduler job interface.\n * Will be called by the scheduler.\n */\nWatcher.prototype.run = function run () {\n if (this.active) {\n var value = this.get();\n if (\n value !== this.value ||\n // Deep watchers and watchers on Object/Arrays should fire even\n // when the value is the same, because the value may\n // have mutated.\n isObject(value) ||\n this.deep\n ) {\n // set new value\n var oldValue = this.value;\n this.value = value;\n if (this.user) {\n try {\n this.cb.call(this.vm, value, oldValue);\n } catch (e) {\n handleError(e, this.vm, (\"callback for watcher \\\"\" + (this.expression) + \"\\\"\"));\n }\n } else {\n this.cb.call(this.vm, value, oldValue);\n }\n }\n }\n};\n\n/**\n * Evaluate the value of the watcher.\n * This only gets called for lazy watchers.\n */\nWatcher.prototype.evaluate = function evaluate () {\n this.value = this.get();\n this.dirty = false;\n};\n\n/**\n * Depend on all deps collected by this watcher.\n */\nWatcher.prototype.depend = function depend () {\n var i = this.deps.length;\n while (i--) {\n this.deps[i].depend();\n }\n};\n\n/**\n * Remove self from all dependencies' subscriber list.\n */\nWatcher.prototype.teardown = function teardown () {\n if (this.active) {\n // remove self from vm's watcher list\n // this is a somewhat expensive operation so we skip it\n // if the vm is being destroyed.\n if (!this.vm._isBeingDestroyed) {\n remove(this.vm._watchers, this);\n }\n var i = this.deps.length;\n while (i--) {\n this.deps[i].removeSub(this);\n }\n this.active = false;\n }\n};\n\n/* */\n\nvar sharedPropertyDefinition = {\n enumerable: true,\n configurable: true,\n get: noop,\n set: noop\n};\n\nfunction proxy (target, sourceKey, key) {\n sharedPropertyDefinition.get = function proxyGetter () {\n return this[sourceKey][key]\n };\n sharedPropertyDefinition.set = function proxySetter (val) {\n this[sourceKey][key] = val;\n };\n Object.defineProperty(target, key, sharedPropertyDefinition);\n}\n\nfunction initState (vm) {\n vm._watchers = [];\n var opts = vm.$options;\n if (opts.props) { initProps(vm, opts.props); }\n if (opts.methods) { initMethods(vm, opts.methods); }\n if (opts.data) {\n initData(vm);\n } else {\n observe(vm._data = {}, true /* asRootData */);\n }\n if (opts.computed) { initComputed(vm, opts.computed); }\n if (opts.watch && opts.watch !== nativeWatch) {\n initWatch(vm, opts.watch);\n }\n}\n\nfunction initProps (vm, propsOptions) {\n var propsData = vm.$options.propsData || {};\n var props = vm._props = {};\n // cache prop keys so that future props updates can iterate using Array\n // instead of dynamic object key enumeration.\n var keys = vm.$options._propKeys = [];\n var isRoot = !vm.$parent;\n // root instance props should be converted\n if (!isRoot) {\n toggleObserving(false);\n }\n var loop = function ( key ) {\n keys.push(key);\n var value = validateProp(key, propsOptions, propsData, vm);\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n var hyphenatedKey = hyphenate(key);\n if (isReservedAttribute(hyphenatedKey) ||\n config.isReservedAttr(hyphenatedKey)) {\n warn(\n (\"\\\"\" + hyphenatedKey + \"\\\" is a reserved attribute and cannot be used as component prop.\"),\n vm\n );\n }\n defineReactive$$1(props, key, value, function () {\n if (!isRoot && !isUpdatingChildComponent) {\n warn(\n \"Avoid mutating a prop directly since the value will be \" +\n \"overwritten whenever the parent component re-renders. \" +\n \"Instead, use a data or computed property based on the prop's \" +\n \"value. Prop being mutated: \\\"\" + key + \"\\\"\",\n vm\n );\n }\n });\n } else {\n defineReactive$$1(props, key, value);\n }\n // static props are already proxied on the component's prototype\n // during Vue.extend(). We only need to proxy props defined at\n // instantiation here.\n if (!(key in vm)) {\n proxy(vm, \"_props\", key);\n }\n };\n\n for (var key in propsOptions) loop( key );\n toggleObserving(true);\n}\n\nfunction initData (vm) {\n var data = vm.$options.data;\n data = vm._data = typeof data === 'function'\n ? getData(data, vm)\n : data || {};\n if (!isPlainObject(data)) {\n data = {};\n process.env.NODE_ENV !== 'production' && warn(\n 'data functions should return an object:\\n' +\n 'https://vuejs.org/v2/guide/components.html#data-Must-Be-a-Function',\n vm\n );\n }\n // proxy data on instance\n var keys = Object.keys(data);\n var props = vm.$options.props;\n var methods = vm.$options.methods;\n var i = keys.length;\n while (i--) {\n var key = keys[i];\n if (process.env.NODE_ENV !== 'production') {\n if (methods && hasOwn(methods, key)) {\n warn(\n (\"Method \\\"\" + key + \"\\\" has already been defined as a data property.\"),\n vm\n );\n }\n }\n if (props && hasOwn(props, key)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"The data property \\\"\" + key + \"\\\" is already declared as a prop. \" +\n \"Use prop default value instead.\",\n vm\n );\n } else if (!isReserved(key)) {\n proxy(vm, \"_data\", key);\n }\n }\n // observe data\n observe(data, true /* asRootData */);\n}\n\nfunction getData (data, vm) {\n // #7573 disable dep collection when invoking data getters\n pushTarget();\n try {\n return data.call(vm, vm)\n } catch (e) {\n handleError(e, vm, \"data()\");\n return {}\n } finally {\n popTarget();\n }\n}\n\nvar computedWatcherOptions = { lazy: true };\n\nfunction initComputed (vm, computed) {\n // $flow-disable-line\n var watchers = vm._computedWatchers = Object.create(null);\n // computed properties are just getters during SSR\n var isSSR = isServerRendering();\n\n for (var key in computed) {\n var userDef = computed[key];\n var getter = typeof userDef === 'function' ? userDef : userDef.get;\n if (process.env.NODE_ENV !== 'production' && getter == null) {\n warn(\n (\"Getter is missing for computed property \\\"\" + key + \"\\\".\"),\n vm\n );\n }\n\n if (!isSSR) {\n // create internal watcher for the computed property.\n watchers[key] = new Watcher(\n vm,\n getter || noop,\n noop,\n computedWatcherOptions\n );\n }\n\n // component-defined computed properties are already defined on the\n // component prototype. We only need to define computed properties defined\n // at instantiation here.\n if (!(key in vm)) {\n defineComputed(vm, key, userDef);\n } else if (process.env.NODE_ENV !== 'production') {\n if (key in vm.$data) {\n warn((\"The computed property \\\"\" + key + \"\\\" is already defined in data.\"), vm);\n } else if (vm.$options.props && key in vm.$options.props) {\n warn((\"The computed property \\\"\" + key + \"\\\" is already defined as a prop.\"), vm);\n }\n }\n }\n}\n\nfunction defineComputed (\n target,\n key,\n userDef\n) {\n var shouldCache = !isServerRendering();\n if (typeof userDef === 'function') {\n sharedPropertyDefinition.get = shouldCache\n ? createComputedGetter(key)\n : createGetterInvoker(userDef);\n sharedPropertyDefinition.set = noop;\n } else {\n sharedPropertyDefinition.get = userDef.get\n ? shouldCache && userDef.cache !== false\n ? createComputedGetter(key)\n : createGetterInvoker(userDef.get)\n : noop;\n sharedPropertyDefinition.set = userDef.set || noop;\n }\n if (process.env.NODE_ENV !== 'production' &&\n sharedPropertyDefinition.set === noop) {\n sharedPropertyDefinition.set = function () {\n warn(\n (\"Computed property \\\"\" + key + \"\\\" was assigned to but it has no setter.\"),\n this\n );\n };\n }\n Object.defineProperty(target, key, sharedPropertyDefinition);\n}\n\nfunction createComputedGetter (key) {\n return function computedGetter () {\n var watcher = this._computedWatchers && this._computedWatchers[key];\n if (watcher) {\n if (watcher.dirty) {\n watcher.evaluate();\n }\n if (Dep.target) {\n watcher.depend();\n }\n return watcher.value\n }\n }\n}\n\nfunction createGetterInvoker(fn) {\n return function computedGetter () {\n return fn.call(this, this)\n }\n}\n\nfunction initMethods (vm, methods) {\n var props = vm.$options.props;\n for (var key in methods) {\n if (process.env.NODE_ENV !== 'production') {\n if (typeof methods[key] !== 'function') {\n warn(\n \"Method \\\"\" + key + \"\\\" has type \\\"\" + (typeof methods[key]) + \"\\\" in the component definition. \" +\n \"Did you reference the function correctly?\",\n vm\n );\n }\n if (props && hasOwn(props, key)) {\n warn(\n (\"Method \\\"\" + key + \"\\\" has already been defined as a prop.\"),\n vm\n );\n }\n if ((key in vm) && isReserved(key)) {\n warn(\n \"Method \\\"\" + key + \"\\\" conflicts with an existing Vue instance method. \" +\n \"Avoid defining component methods that start with _ or $.\"\n );\n }\n }\n vm[key] = typeof methods[key] !== 'function' ? noop : bind(methods[key], vm);\n }\n}\n\nfunction initWatch (vm, watch) {\n for (var key in watch) {\n var handler = watch[key];\n if (Array.isArray(handler)) {\n for (var i = 0; i < handler.length; i++) {\n createWatcher(vm, key, handler[i]);\n }\n } else {\n createWatcher(vm, key, handler);\n }\n }\n}\n\nfunction createWatcher (\n vm,\n expOrFn,\n handler,\n options\n) {\n if (isPlainObject(handler)) {\n options = handler;\n handler = handler.handler;\n }\n if (typeof handler === 'string') {\n handler = vm[handler];\n }\n return vm.$watch(expOrFn, handler, options)\n}\n\nfunction stateMixin (Vue) {\n // flow somehow has problems with directly declared definition object\n // when using Object.defineProperty, so we have to procedurally build up\n // the object here.\n var dataDef = {};\n dataDef.get = function () { return this._data };\n var propsDef = {};\n propsDef.get = function () { return this._props };\n if (process.env.NODE_ENV !== 'production') {\n dataDef.set = function () {\n warn(\n 'Avoid replacing instance root $data. ' +\n 'Use nested data properties instead.',\n this\n );\n };\n propsDef.set = function () {\n warn(\"$props is readonly.\", this);\n };\n }\n Object.defineProperty(Vue.prototype, '$data', dataDef);\n Object.defineProperty(Vue.prototype, '$props', propsDef);\n\n Vue.prototype.$set = set;\n Vue.prototype.$delete = del;\n\n Vue.prototype.$watch = function (\n expOrFn,\n cb,\n options\n ) {\n var vm = this;\n if (isPlainObject(cb)) {\n return createWatcher(vm, expOrFn, cb, options)\n }\n options = options || {};\n options.user = true;\n var watcher = new Watcher(vm, expOrFn, cb, options);\n if (options.immediate) {\n try {\n cb.call(vm, watcher.value);\n } catch (error) {\n handleError(error, vm, (\"callback for immediate watcher \\\"\" + (watcher.expression) + \"\\\"\"));\n }\n }\n return function unwatchFn () {\n watcher.teardown();\n }\n };\n}\n\n/* */\n\nvar uid$3 = 0;\n\nfunction initMixin (Vue) {\n Vue.prototype._init = function (options) {\n var vm = this;\n // a uid\n vm._uid = uid$3++;\n\n var startTag, endTag;\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n startTag = \"vue-perf-start:\" + (vm._uid);\n endTag = \"vue-perf-end:\" + (vm._uid);\n mark(startTag);\n }\n\n // a flag to avoid this being observed\n vm._isVue = true;\n // merge options\n if (options && options._isComponent) {\n // optimize internal component instantiation\n // since dynamic options merging is pretty slow, and none of the\n // internal component options needs special treatment.\n initInternalComponent(vm, options);\n } else {\n vm.$options = mergeOptions(\n resolveConstructorOptions(vm.constructor),\n options || {},\n vm\n );\n }\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n initProxy(vm);\n } else {\n vm._renderProxy = vm;\n }\n // expose real self\n vm._self = vm;\n initLifecycle(vm);\n initEvents(vm);\n initRender(vm);\n callHook(vm, 'beforeCreate');\n initInjections(vm); // resolve injections before data/props\n initState(vm);\n initProvide(vm); // resolve provide after data/props\n callHook(vm, 'created');\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n vm._name = formatComponentName(vm, false);\n mark(endTag);\n measure((\"vue \" + (vm._name) + \" init\"), startTag, endTag);\n }\n\n if (vm.$options.el) {\n vm.$mount(vm.$options.el);\n }\n };\n}\n\nfunction initInternalComponent (vm, options) {\n var opts = vm.$options = Object.create(vm.constructor.options);\n // doing this because it's faster than dynamic enumeration.\n var parentVnode = options._parentVnode;\n opts.parent = options.parent;\n opts._parentVnode = parentVnode;\n\n var vnodeComponentOptions = parentVnode.componentOptions;\n opts.propsData = vnodeComponentOptions.propsData;\n opts._parentListeners = vnodeComponentOptions.listeners;\n opts._renderChildren = vnodeComponentOptions.children;\n opts._componentTag = vnodeComponentOptions.tag;\n\n if (options.render) {\n opts.render = options.render;\n opts.staticRenderFns = options.staticRenderFns;\n }\n}\n\nfunction resolveConstructorOptions (Ctor) {\n var options = Ctor.options;\n if (Ctor.super) {\n var superOptions = resolveConstructorOptions(Ctor.super);\n var cachedSuperOptions = Ctor.superOptions;\n if (superOptions !== cachedSuperOptions) {\n // super option changed,\n // need to resolve new options.\n Ctor.superOptions = superOptions;\n // check if there are any late-modified/attached options (#4976)\n var modifiedOptions = resolveModifiedOptions(Ctor);\n // update base extend options\n if (modifiedOptions) {\n extend(Ctor.extendOptions, modifiedOptions);\n }\n options = Ctor.options = mergeOptions(superOptions, Ctor.extendOptions);\n if (options.name) {\n options.components[options.name] = Ctor;\n }\n }\n }\n return options\n}\n\nfunction resolveModifiedOptions (Ctor) {\n var modified;\n var latest = Ctor.options;\n var sealed = Ctor.sealedOptions;\n for (var key in latest) {\n if (latest[key] !== sealed[key]) {\n if (!modified) { modified = {}; }\n modified[key] = latest[key];\n }\n }\n return modified\n}\n\nfunction Vue (options) {\n if (process.env.NODE_ENV !== 'production' &&\n !(this instanceof Vue)\n ) {\n warn('Vue is a constructor and should be called with the `new` keyword');\n }\n this._init(options);\n}\n\ninitMixin(Vue);\nstateMixin(Vue);\neventsMixin(Vue);\nlifecycleMixin(Vue);\nrenderMixin(Vue);\n\n/* */\n\nfunction initUse (Vue) {\n Vue.use = function (plugin) {\n var installedPlugins = (this._installedPlugins || (this._installedPlugins = []));\n if (installedPlugins.indexOf(plugin) > -1) {\n return this\n }\n\n // additional parameters\n var args = toArray(arguments, 1);\n args.unshift(this);\n if (typeof plugin.install === 'function') {\n plugin.install.apply(plugin, args);\n } else if (typeof plugin === 'function') {\n plugin.apply(null, args);\n }\n installedPlugins.push(plugin);\n return this\n };\n}\n\n/* */\n\nfunction initMixin$1 (Vue) {\n Vue.mixin = function (mixin) {\n this.options = mergeOptions(this.options, mixin);\n return this\n };\n}\n\n/* */\n\nfunction initExtend (Vue) {\n /**\n * Each instance constructor, including Vue, has a unique\n * cid. This enables us to create wrapped \"child\n * constructors\" for prototypal inheritance and cache them.\n */\n Vue.cid = 0;\n var cid = 1;\n\n /**\n * Class inheritance\n */\n Vue.extend = function (extendOptions) {\n extendOptions = extendOptions || {};\n var Super = this;\n var SuperId = Super.cid;\n var cachedCtors = extendOptions._Ctor || (extendOptions._Ctor = {});\n if (cachedCtors[SuperId]) {\n return cachedCtors[SuperId]\n }\n\n var name = extendOptions.name || Super.options.name;\n if (process.env.NODE_ENV !== 'production' && name) {\n validateComponentName(name);\n }\n\n var Sub = function VueComponent (options) {\n this._init(options);\n };\n Sub.prototype = Object.create(Super.prototype);\n Sub.prototype.constructor = Sub;\n Sub.cid = cid++;\n Sub.options = mergeOptions(\n Super.options,\n extendOptions\n );\n Sub['super'] = Super;\n\n // For props and computed properties, we define the proxy getters on\n // the Vue instances at extension time, on the extended prototype. This\n // avoids Object.defineProperty calls for each instance created.\n if (Sub.options.props) {\n initProps$1(Sub);\n }\n if (Sub.options.computed) {\n initComputed$1(Sub);\n }\n\n // allow further extension/mixin/plugin usage\n Sub.extend = Super.extend;\n Sub.mixin = Super.mixin;\n Sub.use = Super.use;\n\n // create asset registers, so extended classes\n // can have their private assets too.\n ASSET_TYPES.forEach(function (type) {\n Sub[type] = Super[type];\n });\n // enable recursive self-lookup\n if (name) {\n Sub.options.components[name] = Sub;\n }\n\n // keep a reference to the super options at extension time.\n // later at instantiation we can check if Super's options have\n // been updated.\n Sub.superOptions = Super.options;\n Sub.extendOptions = extendOptions;\n Sub.sealedOptions = extend({}, Sub.options);\n\n // cache constructor\n cachedCtors[SuperId] = Sub;\n return Sub\n };\n}\n\nfunction initProps$1 (Comp) {\n var props = Comp.options.props;\n for (var key in props) {\n proxy(Comp.prototype, \"_props\", key);\n }\n}\n\nfunction initComputed$1 (Comp) {\n var computed = Comp.options.computed;\n for (var key in computed) {\n defineComputed(Comp.prototype, key, computed[key]);\n }\n}\n\n/* */\n\nfunction initAssetRegisters (Vue) {\n /**\n * Create asset registration methods.\n */\n ASSET_TYPES.forEach(function (type) {\n Vue[type] = function (\n id,\n definition\n ) {\n if (!definition) {\n return this.options[type + 's'][id]\n } else {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && type === 'component') {\n validateComponentName(id);\n }\n if (type === 'component' && isPlainObject(definition)) {\n definition.name = definition.name || id;\n definition = this.options._base.extend(definition);\n }\n if (type === 'directive' && typeof definition === 'function') {\n definition = { bind: definition, update: definition };\n }\n this.options[type + 's'][id] = definition;\n return definition\n }\n };\n });\n}\n\n/* */\n\n\n\nfunction getComponentName (opts) {\n return opts && (opts.Ctor.options.name || opts.tag)\n}\n\nfunction matches (pattern, name) {\n if (Array.isArray(pattern)) {\n return pattern.indexOf(name) > -1\n } else if (typeof pattern === 'string') {\n return pattern.split(',').indexOf(name) > -1\n } else if (isRegExp(pattern)) {\n return pattern.test(name)\n }\n /* istanbul ignore next */\n return false\n}\n\nfunction pruneCache (keepAliveInstance, filter) {\n var cache = keepAliveInstance.cache;\n var keys = keepAliveInstance.keys;\n var _vnode = keepAliveInstance._vnode;\n for (var key in cache) {\n var cachedNode = cache[key];\n if (cachedNode) {\n var name = getComponentName(cachedNode.componentOptions);\n if (name && !filter(name)) {\n pruneCacheEntry(cache, key, keys, _vnode);\n }\n }\n }\n}\n\nfunction pruneCacheEntry (\n cache,\n key,\n keys,\n current\n) {\n var cached$$1 = cache[key];\n if (cached$$1 && (!current || cached$$1.tag !== current.tag)) {\n cached$$1.componentInstance.$destroy();\n }\n cache[key] = null;\n remove(keys, key);\n}\n\nvar patternTypes = [String, RegExp, Array];\n\nvar KeepAlive = {\n name: 'keep-alive',\n abstract: true,\n\n props: {\n include: patternTypes,\n exclude: patternTypes,\n max: [String, Number]\n },\n\n created: function created () {\n this.cache = Object.create(null);\n this.keys = [];\n },\n\n destroyed: function destroyed () {\n for (var key in this.cache) {\n pruneCacheEntry(this.cache, key, this.keys);\n }\n },\n\n mounted: function mounted () {\n var this$1 = this;\n\n this.$watch('include', function (val) {\n pruneCache(this$1, function (name) { return matches(val, name); });\n });\n this.$watch('exclude', function (val) {\n pruneCache(this$1, function (name) { return !matches(val, name); });\n });\n },\n\n render: function render () {\n var slot = this.$slots.default;\n var vnode = getFirstComponentChild(slot);\n var componentOptions = vnode && vnode.componentOptions;\n if (componentOptions) {\n // check pattern\n var name = getComponentName(componentOptions);\n var ref = this;\n var include = ref.include;\n var exclude = ref.exclude;\n if (\n // not included\n (include && (!name || !matches(include, name))) ||\n // excluded\n (exclude && name && matches(exclude, name))\n ) {\n return vnode\n }\n\n var ref$1 = this;\n var cache = ref$1.cache;\n var keys = ref$1.keys;\n var key = vnode.key == null\n // same constructor may get registered as different local components\n // so cid alone is not enough (#3269)\n ? componentOptions.Ctor.cid + (componentOptions.tag ? (\"::\" + (componentOptions.tag)) : '')\n : vnode.key;\n if (cache[key]) {\n vnode.componentInstance = cache[key].componentInstance;\n // make current key freshest\n remove(keys, key);\n keys.push(key);\n } else {\n cache[key] = vnode;\n keys.push(key);\n // prune oldest entry\n if (this.max && keys.length > parseInt(this.max)) {\n pruneCacheEntry(cache, keys[0], keys, this._vnode);\n }\n }\n\n vnode.data.keepAlive = true;\n }\n return vnode || (slot && slot[0])\n }\n};\n\nvar builtInComponents = {\n KeepAlive: KeepAlive\n};\n\n/* */\n\nfunction initGlobalAPI (Vue) {\n // config\n var configDef = {};\n configDef.get = function () { return config; };\n if (process.env.NODE_ENV !== 'production') {\n configDef.set = function () {\n warn(\n 'Do not replace the Vue.config object, set individual fields instead.'\n );\n };\n }\n Object.defineProperty(Vue, 'config', configDef);\n\n // exposed util methods.\n // NOTE: these are not considered part of the public API - avoid relying on\n // them unless you are aware of the risk.\n Vue.util = {\n warn: warn,\n extend: extend,\n mergeOptions: mergeOptions,\n defineReactive: defineReactive$$1\n };\n\n Vue.set = set;\n Vue.delete = del;\n Vue.nextTick = nextTick;\n\n // 2.6 explicit observable API\n Vue.observable = function (obj) {\n observe(obj);\n return obj\n };\n\n Vue.options = Object.create(null);\n ASSET_TYPES.forEach(function (type) {\n Vue.options[type + 's'] = Object.create(null);\n });\n\n // this is used to identify the \"base\" constructor to extend all plain-object\n // components with in Weex's multi-instance scenarios.\n Vue.options._base = Vue;\n\n extend(Vue.options.components, builtInComponents);\n\n initUse(Vue);\n initMixin$1(Vue);\n initExtend(Vue);\n initAssetRegisters(Vue);\n}\n\ninitGlobalAPI(Vue);\n\nObject.defineProperty(Vue.prototype, '$isServer', {\n get: isServerRendering\n});\n\nObject.defineProperty(Vue.prototype, '$ssrContext', {\n get: function get () {\n /* istanbul ignore next */\n return this.$vnode && this.$vnode.ssrContext\n }\n});\n\n// expose FunctionalRenderContext for ssr runtime helper installation\nObject.defineProperty(Vue, 'FunctionalRenderContext', {\n value: FunctionalRenderContext\n});\n\nVue.version = '2.6.11';\n\n/* */\n\n// these are reserved for web because they are directly compiled away\n// during template compilation\nvar isReservedAttr = makeMap('style,class');\n\n// attributes that should be using props for binding\nvar acceptValue = makeMap('input,textarea,option,select,progress');\nvar mustUseProp = function (tag, type, attr) {\n return (\n (attr === 'value' && acceptValue(tag)) && type !== 'button' ||\n (attr === 'selected' && tag === 'option') ||\n (attr === 'checked' && tag === 'input') ||\n (attr === 'muted' && tag === 'video')\n )\n};\n\nvar isEnumeratedAttr = makeMap('contenteditable,draggable,spellcheck');\n\nvar isValidContentEditableValue = makeMap('events,caret,typing,plaintext-only');\n\nvar convertEnumeratedValue = function (key, value) {\n return isFalsyAttrValue(value) || value === 'false'\n ? 'false'\n // allow arbitrary string value for contenteditable\n : key === 'contenteditable' && isValidContentEditableValue(value)\n ? value\n : 'true'\n};\n\nvar isBooleanAttr = makeMap(\n 'allowfullscreen,async,autofocus,autoplay,checked,compact,controls,declare,' +\n 'default,defaultchecked,defaultmuted,defaultselected,defer,disabled,' +\n 'enabled,formnovalidate,hidden,indeterminate,inert,ismap,itemscope,loop,multiple,' +\n 'muted,nohref,noresize,noshade,novalidate,nowrap,open,pauseonexit,readonly,' +\n 'required,reversed,scoped,seamless,selected,sortable,translate,' +\n 'truespeed,typemustmatch,visible'\n);\n\nvar xlinkNS = 'http://www.w3.org/1999/xlink';\n\nvar isXlink = function (name) {\n return name.charAt(5) === ':' && name.slice(0, 5) === 'xlink'\n};\n\nvar getXlinkProp = function (name) {\n return isXlink(name) ? name.slice(6, name.length) : ''\n};\n\nvar isFalsyAttrValue = function (val) {\n return val == null || val === false\n};\n\n/* */\n\nfunction genClassForVnode (vnode) {\n var data = vnode.data;\n var parentNode = vnode;\n var childNode = vnode;\n while (isDef(childNode.componentInstance)) {\n childNode = childNode.componentInstance._vnode;\n if (childNode && childNode.data) {\n data = mergeClassData(childNode.data, data);\n }\n }\n while (isDef(parentNode = parentNode.parent)) {\n if (parentNode && parentNode.data) {\n data = mergeClassData(data, parentNode.data);\n }\n }\n return renderClass(data.staticClass, data.class)\n}\n\nfunction mergeClassData (child, parent) {\n return {\n staticClass: concat(child.staticClass, parent.staticClass),\n class: isDef(child.class)\n ? [child.class, parent.class]\n : parent.class\n }\n}\n\nfunction renderClass (\n staticClass,\n dynamicClass\n) {\n if (isDef(staticClass) || isDef(dynamicClass)) {\n return concat(staticClass, stringifyClass(dynamicClass))\n }\n /* istanbul ignore next */\n return ''\n}\n\nfunction concat (a, b) {\n return a ? b ? (a + ' ' + b) : a : (b || '')\n}\n\nfunction stringifyClass (value) {\n if (Array.isArray(value)) {\n return stringifyArray(value)\n }\n if (isObject(value)) {\n return stringifyObject(value)\n }\n if (typeof value === 'string') {\n return value\n }\n /* istanbul ignore next */\n return ''\n}\n\nfunction stringifyArray (value) {\n var res = '';\n var stringified;\n for (var i = 0, l = value.length; i < l; i++) {\n if (isDef(stringified = stringifyClass(value[i])) && stringified !== '') {\n if (res) { res += ' '; }\n res += stringified;\n }\n }\n return res\n}\n\nfunction stringifyObject (value) {\n var res = '';\n for (var key in value) {\n if (value[key]) {\n if (res) { res += ' '; }\n res += key;\n }\n }\n return res\n}\n\n/* */\n\nvar namespaceMap = {\n svg: 'http://www.w3.org/2000/svg',\n math: 'http://www.w3.org/1998/Math/MathML'\n};\n\nvar isHTMLTag = makeMap(\n 'html,body,base,head,link,meta,style,title,' +\n 'address,article,aside,footer,header,h1,h2,h3,h4,h5,h6,hgroup,nav,section,' +\n 'div,dd,dl,dt,figcaption,figure,picture,hr,img,li,main,ol,p,pre,ul,' +\n 'a,b,abbr,bdi,bdo,br,cite,code,data,dfn,em,i,kbd,mark,q,rp,rt,rtc,ruby,' +\n 's,samp,small,span,strong,sub,sup,time,u,var,wbr,area,audio,map,track,video,' +\n 'embed,object,param,source,canvas,script,noscript,del,ins,' +\n 'caption,col,colgroup,table,thead,tbody,td,th,tr,' +\n 'button,datalist,fieldset,form,input,label,legend,meter,optgroup,option,' +\n 'output,progress,select,textarea,' +\n 'details,dialog,menu,menuitem,summary,' +\n 'content,element,shadow,template,blockquote,iframe,tfoot'\n);\n\n// this map is intentionally selective, only covering SVG elements that may\n// contain child elements.\nvar isSVG = makeMap(\n 'svg,animate,circle,clippath,cursor,defs,desc,ellipse,filter,font-face,' +\n 'foreignObject,g,glyph,image,line,marker,mask,missing-glyph,path,pattern,' +\n 'polygon,polyline,rect,switch,symbol,text,textpath,tspan,use,view',\n true\n);\n\nvar isPreTag = function (tag) { return tag === 'pre'; };\n\nvar isReservedTag = function (tag) {\n return isHTMLTag(tag) || isSVG(tag)\n};\n\nfunction getTagNamespace (tag) {\n if (isSVG(tag)) {\n return 'svg'\n }\n // basic support for MathML\n // note it doesn't support other MathML elements being component roots\n if (tag === 'math') {\n return 'math'\n }\n}\n\nvar unknownElementCache = Object.create(null);\nfunction isUnknownElement (tag) {\n /* istanbul ignore if */\n if (!inBrowser) {\n return true\n }\n if (isReservedTag(tag)) {\n return false\n }\n tag = tag.toLowerCase();\n /* istanbul ignore if */\n if (unknownElementCache[tag] != null) {\n return unknownElementCache[tag]\n }\n var el = document.createElement(tag);\n if (tag.indexOf('-') > -1) {\n // http://stackoverflow.com/a/28210364/1070244\n return (unknownElementCache[tag] = (\n el.constructor === window.HTMLUnknownElement ||\n el.constructor === window.HTMLElement\n ))\n } else {\n return (unknownElementCache[tag] = /HTMLUnknownElement/.test(el.toString()))\n }\n}\n\nvar isTextInputType = makeMap('text,number,password,search,email,tel,url');\n\n/* */\n\n/**\n * Query an element selector if it's not an element already.\n */\nfunction query (el) {\n if (typeof el === 'string') {\n var selected = document.querySelector(el);\n if (!selected) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Cannot find element: ' + el\n );\n return document.createElement('div')\n }\n return selected\n } else {\n return el\n }\n}\n\n/* */\n\nfunction createElement$1 (tagName, vnode) {\n var elm = document.createElement(tagName);\n if (tagName !== 'select') {\n return elm\n }\n // false or null will remove the attribute but undefined will not\n if (vnode.data && vnode.data.attrs && vnode.data.attrs.multiple !== undefined) {\n elm.setAttribute('multiple', 'multiple');\n }\n return elm\n}\n\nfunction createElementNS (namespace, tagName) {\n return document.createElementNS(namespaceMap[namespace], tagName)\n}\n\nfunction createTextNode (text) {\n return document.createTextNode(text)\n}\n\nfunction createComment (text) {\n return document.createComment(text)\n}\n\nfunction insertBefore (parentNode, newNode, referenceNode) {\n parentNode.insertBefore(newNode, referenceNode);\n}\n\nfunction removeChild (node, child) {\n node.removeChild(child);\n}\n\nfunction appendChild (node, child) {\n node.appendChild(child);\n}\n\nfunction parentNode (node) {\n return node.parentNode\n}\n\nfunction nextSibling (node) {\n return node.nextSibling\n}\n\nfunction tagName (node) {\n return node.tagName\n}\n\nfunction setTextContent (node, text) {\n node.textContent = text;\n}\n\nfunction setStyleScope (node, scopeId) {\n node.setAttribute(scopeId, '');\n}\n\nvar nodeOps = /*#__PURE__*/Object.freeze({\n createElement: createElement$1,\n createElementNS: createElementNS,\n createTextNode: createTextNode,\n createComment: createComment,\n insertBefore: insertBefore,\n removeChild: removeChild,\n appendChild: appendChild,\n parentNode: parentNode,\n nextSibling: nextSibling,\n tagName: tagName,\n setTextContent: setTextContent,\n setStyleScope: setStyleScope\n});\n\n/* */\n\nvar ref = {\n create: function create (_, vnode) {\n registerRef(vnode);\n },\n update: function update (oldVnode, vnode) {\n if (oldVnode.data.ref !== vnode.data.ref) {\n registerRef(oldVnode, true);\n registerRef(vnode);\n }\n },\n destroy: function destroy (vnode) {\n registerRef(vnode, true);\n }\n};\n\nfunction registerRef (vnode, isRemoval) {\n var key = vnode.data.ref;\n if (!isDef(key)) { return }\n\n var vm = vnode.context;\n var ref = vnode.componentInstance || vnode.elm;\n var refs = vm.$refs;\n if (isRemoval) {\n if (Array.isArray(refs[key])) {\n remove(refs[key], ref);\n } else if (refs[key] === ref) {\n refs[key] = undefined;\n }\n } else {\n if (vnode.data.refInFor) {\n if (!Array.isArray(refs[key])) {\n refs[key] = [ref];\n } else if (refs[key].indexOf(ref) < 0) {\n // $flow-disable-line\n refs[key].push(ref);\n }\n } else {\n refs[key] = ref;\n }\n }\n}\n\n/**\n * Virtual DOM patching algorithm based on Snabbdom by\n * Simon Friis Vindum (@paldepind)\n * Licensed under the MIT License\n * https://github.com/paldepind/snabbdom/blob/master/LICENSE\n *\n * modified by Evan You (@yyx990803)\n *\n * Not type-checking this because this file is perf-critical and the cost\n * of making flow understand it is not worth it.\n */\n\nvar emptyNode = new VNode('', {}, []);\n\nvar hooks = ['create', 'activate', 'update', 'remove', 'destroy'];\n\nfunction sameVnode (a, b) {\n return (\n a.key === b.key && (\n (\n a.tag === b.tag &&\n a.isComment === b.isComment &&\n isDef(a.data) === isDef(b.data) &&\n sameInputType(a, b)\n ) || (\n isTrue(a.isAsyncPlaceholder) &&\n a.asyncFactory === b.asyncFactory &&\n isUndef(b.asyncFactory.error)\n )\n )\n )\n}\n\nfunction sameInputType (a, b) {\n if (a.tag !== 'input') { return true }\n var i;\n var typeA = isDef(i = a.data) && isDef(i = i.attrs) && i.type;\n var typeB = isDef(i = b.data) && isDef(i = i.attrs) && i.type;\n return typeA === typeB || isTextInputType(typeA) && isTextInputType(typeB)\n}\n\nfunction createKeyToOldIdx (children, beginIdx, endIdx) {\n var i, key;\n var map = {};\n for (i = beginIdx; i <= endIdx; ++i) {\n key = children[i].key;\n if (isDef(key)) { map[key] = i; }\n }\n return map\n}\n\nfunction createPatchFunction (backend) {\n var i, j;\n var cbs = {};\n\n var modules = backend.modules;\n var nodeOps = backend.nodeOps;\n\n for (i = 0; i < hooks.length; ++i) {\n cbs[hooks[i]] = [];\n for (j = 0; j < modules.length; ++j) {\n if (isDef(modules[j][hooks[i]])) {\n cbs[hooks[i]].push(modules[j][hooks[i]]);\n }\n }\n }\n\n function emptyNodeAt (elm) {\n return new VNode(nodeOps.tagName(elm).toLowerCase(), {}, [], undefined, elm)\n }\n\n function createRmCb (childElm, listeners) {\n function remove$$1 () {\n if (--remove$$1.listeners === 0) {\n removeNode(childElm);\n }\n }\n remove$$1.listeners = listeners;\n return remove$$1\n }\n\n function removeNode (el) {\n var parent = nodeOps.parentNode(el);\n // element may have already been removed due to v-html / v-text\n if (isDef(parent)) {\n nodeOps.removeChild(parent, el);\n }\n }\n\n function isUnknownElement$$1 (vnode, inVPre) {\n return (\n !inVPre &&\n !vnode.ns &&\n !(\n config.ignoredElements.length &&\n config.ignoredElements.some(function (ignore) {\n return isRegExp(ignore)\n ? ignore.test(vnode.tag)\n : ignore === vnode.tag\n })\n ) &&\n config.isUnknownElement(vnode.tag)\n )\n }\n\n var creatingElmInVPre = 0;\n\n function createElm (\n vnode,\n insertedVnodeQueue,\n parentElm,\n refElm,\n nested,\n ownerArray,\n index\n ) {\n if (isDef(vnode.elm) && isDef(ownerArray)) {\n // This vnode was used in a previous render!\n // now it's used as a new node, overwriting its elm would cause\n // potential patch errors down the road when it's used as an insertion\n // reference node. Instead, we clone the node on-demand before creating\n // associated DOM element for it.\n vnode = ownerArray[index] = cloneVNode(vnode);\n }\n\n vnode.isRootInsert = !nested; // for transition enter check\n if (createComponent(vnode, insertedVnodeQueue, parentElm, refElm)) {\n return\n }\n\n var data = vnode.data;\n var children = vnode.children;\n var tag = vnode.tag;\n if (isDef(tag)) {\n if (process.env.NODE_ENV !== 'production') {\n if (data && data.pre) {\n creatingElmInVPre++;\n }\n if (isUnknownElement$$1(vnode, creatingElmInVPre)) {\n warn(\n 'Unknown custom element: <' + tag + '> - did you ' +\n 'register the component correctly? For recursive components, ' +\n 'make sure to provide the \"name\" option.',\n vnode.context\n );\n }\n }\n\n vnode.elm = vnode.ns\n ? nodeOps.createElementNS(vnode.ns, tag)\n : nodeOps.createElement(tag, vnode);\n setScope(vnode);\n\n /* istanbul ignore if */\n {\n createChildren(vnode, children, insertedVnodeQueue);\n if (isDef(data)) {\n invokeCreateHooks(vnode, insertedVnodeQueue);\n }\n insert(parentElm, vnode.elm, refElm);\n }\n\n if (process.env.NODE_ENV !== 'production' && data && data.pre) {\n creatingElmInVPre--;\n }\n } else if (isTrue(vnode.isComment)) {\n vnode.elm = nodeOps.createComment(vnode.text);\n insert(parentElm, vnode.elm, refElm);\n } else {\n vnode.elm = nodeOps.createTextNode(vnode.text);\n insert(parentElm, vnode.elm, refElm);\n }\n }\n\n function createComponent (vnode, insertedVnodeQueue, parentElm, refElm) {\n var i = vnode.data;\n if (isDef(i)) {\n var isReactivated = isDef(vnode.componentInstance) && i.keepAlive;\n if (isDef(i = i.hook) && isDef(i = i.init)) {\n i(vnode, false /* hydrating */);\n }\n // after calling the init hook, if the vnode is a child component\n // it should've created a child instance and mounted it. the child\n // component also has set the placeholder vnode's elm.\n // in that case we can just return the element and be done.\n if (isDef(vnode.componentInstance)) {\n initComponent(vnode, insertedVnodeQueue);\n insert(parentElm, vnode.elm, refElm);\n if (isTrue(isReactivated)) {\n reactivateComponent(vnode, insertedVnodeQueue, parentElm, refElm);\n }\n return true\n }\n }\n }\n\n function initComponent (vnode, insertedVnodeQueue) {\n if (isDef(vnode.data.pendingInsert)) {\n insertedVnodeQueue.push.apply(insertedVnodeQueue, vnode.data.pendingInsert);\n vnode.data.pendingInsert = null;\n }\n vnode.elm = vnode.componentInstance.$el;\n if (isPatchable(vnode)) {\n invokeCreateHooks(vnode, insertedVnodeQueue);\n setScope(vnode);\n } else {\n // empty component root.\n // skip all element-related modules except for ref (#3455)\n registerRef(vnode);\n // make sure to invoke the insert hook\n insertedVnodeQueue.push(vnode);\n }\n }\n\n function reactivateComponent (vnode, insertedVnodeQueue, parentElm, refElm) {\n var i;\n // hack for #4339: a reactivated component with inner transition\n // does not trigger because the inner node's created hooks are not called\n // again. It's not ideal to involve module-specific logic in here but\n // there doesn't seem to be a better way to do it.\n var innerNode = vnode;\n while (innerNode.componentInstance) {\n innerNode = innerNode.componentInstance._vnode;\n if (isDef(i = innerNode.data) && isDef(i = i.transition)) {\n for (i = 0; i < cbs.activate.length; ++i) {\n cbs.activate[i](emptyNode, innerNode);\n }\n insertedVnodeQueue.push(innerNode);\n break\n }\n }\n // unlike a newly created component,\n // a reactivated keep-alive component doesn't insert itself\n insert(parentElm, vnode.elm, refElm);\n }\n\n function insert (parent, elm, ref$$1) {\n if (isDef(parent)) {\n if (isDef(ref$$1)) {\n if (nodeOps.parentNode(ref$$1) === parent) {\n nodeOps.insertBefore(parent, elm, ref$$1);\n }\n } else {\n nodeOps.appendChild(parent, elm);\n }\n }\n }\n\n function createChildren (vnode, children, insertedVnodeQueue) {\n if (Array.isArray(children)) {\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(children);\n }\n for (var i = 0; i < children.length; ++i) {\n createElm(children[i], insertedVnodeQueue, vnode.elm, null, true, children, i);\n }\n } else if (isPrimitive(vnode.text)) {\n nodeOps.appendChild(vnode.elm, nodeOps.createTextNode(String(vnode.text)));\n }\n }\n\n function isPatchable (vnode) {\n while (vnode.componentInstance) {\n vnode = vnode.componentInstance._vnode;\n }\n return isDef(vnode.tag)\n }\n\n function invokeCreateHooks (vnode, insertedVnodeQueue) {\n for (var i$1 = 0; i$1 < cbs.create.length; ++i$1) {\n cbs.create[i$1](emptyNode, vnode);\n }\n i = vnode.data.hook; // Reuse variable\n if (isDef(i)) {\n if (isDef(i.create)) { i.create(emptyNode, vnode); }\n if (isDef(i.insert)) { insertedVnodeQueue.push(vnode); }\n }\n }\n\n // set scope id attribute for scoped CSS.\n // this is implemented as a special case to avoid the overhead\n // of going through the normal attribute patching process.\n function setScope (vnode) {\n var i;\n if (isDef(i = vnode.fnScopeId)) {\n nodeOps.setStyleScope(vnode.elm, i);\n } else {\n var ancestor = vnode;\n while (ancestor) {\n if (isDef(i = ancestor.context) && isDef(i = i.$options._scopeId)) {\n nodeOps.setStyleScope(vnode.elm, i);\n }\n ancestor = ancestor.parent;\n }\n }\n // for slot content they should also get the scopeId from the host instance.\n if (isDef(i = activeInstance) &&\n i !== vnode.context &&\n i !== vnode.fnContext &&\n isDef(i = i.$options._scopeId)\n ) {\n nodeOps.setStyleScope(vnode.elm, i);\n }\n }\n\n function addVnodes (parentElm, refElm, vnodes, startIdx, endIdx, insertedVnodeQueue) {\n for (; startIdx <= endIdx; ++startIdx) {\n createElm(vnodes[startIdx], insertedVnodeQueue, parentElm, refElm, false, vnodes, startIdx);\n }\n }\n\n function invokeDestroyHook (vnode) {\n var i, j;\n var data = vnode.data;\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.destroy)) { i(vnode); }\n for (i = 0; i < cbs.destroy.length; ++i) { cbs.destroy[i](vnode); }\n }\n if (isDef(i = vnode.children)) {\n for (j = 0; j < vnode.children.length; ++j) {\n invokeDestroyHook(vnode.children[j]);\n }\n }\n }\n\n function removeVnodes (vnodes, startIdx, endIdx) {\n for (; startIdx <= endIdx; ++startIdx) {\n var ch = vnodes[startIdx];\n if (isDef(ch)) {\n if (isDef(ch.tag)) {\n removeAndInvokeRemoveHook(ch);\n invokeDestroyHook(ch);\n } else { // Text node\n removeNode(ch.elm);\n }\n }\n }\n }\n\n function removeAndInvokeRemoveHook (vnode, rm) {\n if (isDef(rm) || isDef(vnode.data)) {\n var i;\n var listeners = cbs.remove.length + 1;\n if (isDef(rm)) {\n // we have a recursively passed down rm callback\n // increase the listeners count\n rm.listeners += listeners;\n } else {\n // directly removing\n rm = createRmCb(vnode.elm, listeners);\n }\n // recursively invoke hooks on child component root node\n if (isDef(i = vnode.componentInstance) && isDef(i = i._vnode) && isDef(i.data)) {\n removeAndInvokeRemoveHook(i, rm);\n }\n for (i = 0; i < cbs.remove.length; ++i) {\n cbs.remove[i](vnode, rm);\n }\n if (isDef(i = vnode.data.hook) && isDef(i = i.remove)) {\n i(vnode, rm);\n } else {\n rm();\n }\n } else {\n removeNode(vnode.elm);\n }\n }\n\n function updateChildren (parentElm, oldCh, newCh, insertedVnodeQueue, removeOnly) {\n var oldStartIdx = 0;\n var newStartIdx = 0;\n var oldEndIdx = oldCh.length - 1;\n var oldStartVnode = oldCh[0];\n var oldEndVnode = oldCh[oldEndIdx];\n var newEndIdx = newCh.length - 1;\n var newStartVnode = newCh[0];\n var newEndVnode = newCh[newEndIdx];\n var oldKeyToIdx, idxInOld, vnodeToMove, refElm;\n\n // removeOnly is a special flag used only by <transition-group>\n // to ensure removed elements stay in correct relative positions\n // during leaving transitions\n var canMove = !removeOnly;\n\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(newCh);\n }\n\n while (oldStartIdx <= oldEndIdx && newStartIdx <= newEndIdx) {\n if (isUndef(oldStartVnode)) {\n oldStartVnode = oldCh[++oldStartIdx]; // Vnode has been moved left\n } else if (isUndef(oldEndVnode)) {\n oldEndVnode = oldCh[--oldEndIdx];\n } else if (sameVnode(oldStartVnode, newStartVnode)) {\n patchVnode(oldStartVnode, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n oldStartVnode = oldCh[++oldStartIdx];\n newStartVnode = newCh[++newStartIdx];\n } else if (sameVnode(oldEndVnode, newEndVnode)) {\n patchVnode(oldEndVnode, newEndVnode, insertedVnodeQueue, newCh, newEndIdx);\n oldEndVnode = oldCh[--oldEndIdx];\n newEndVnode = newCh[--newEndIdx];\n } else if (sameVnode(oldStartVnode, newEndVnode)) { // Vnode moved right\n patchVnode(oldStartVnode, newEndVnode, insertedVnodeQueue, newCh, newEndIdx);\n canMove && nodeOps.insertBefore(parentElm, oldStartVnode.elm, nodeOps.nextSibling(oldEndVnode.elm));\n oldStartVnode = oldCh[++oldStartIdx];\n newEndVnode = newCh[--newEndIdx];\n } else if (sameVnode(oldEndVnode, newStartVnode)) { // Vnode moved left\n patchVnode(oldEndVnode, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n canMove && nodeOps.insertBefore(parentElm, oldEndVnode.elm, oldStartVnode.elm);\n oldEndVnode = oldCh[--oldEndIdx];\n newStartVnode = newCh[++newStartIdx];\n } else {\n if (isUndef(oldKeyToIdx)) { oldKeyToIdx = createKeyToOldIdx(oldCh, oldStartIdx, oldEndIdx); }\n idxInOld = isDef(newStartVnode.key)\n ? oldKeyToIdx[newStartVnode.key]\n : findIdxInOld(newStartVnode, oldCh, oldStartIdx, oldEndIdx);\n if (isUndef(idxInOld)) { // New element\n createElm(newStartVnode, insertedVnodeQueue, parentElm, oldStartVnode.elm, false, newCh, newStartIdx);\n } else {\n vnodeToMove = oldCh[idxInOld];\n if (sameVnode(vnodeToMove, newStartVnode)) {\n patchVnode(vnodeToMove, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n oldCh[idxInOld] = undefined;\n canMove && nodeOps.insertBefore(parentElm, vnodeToMove.elm, oldStartVnode.elm);\n } else {\n // same key but different element. treat as new element\n createElm(newStartVnode, insertedVnodeQueue, parentElm, oldStartVnode.elm, false, newCh, newStartIdx);\n }\n }\n newStartVnode = newCh[++newStartIdx];\n }\n }\n if (oldStartIdx > oldEndIdx) {\n refElm = isUndef(newCh[newEndIdx + 1]) ? null : newCh[newEndIdx + 1].elm;\n addVnodes(parentElm, refElm, newCh, newStartIdx, newEndIdx, insertedVnodeQueue);\n } else if (newStartIdx > newEndIdx) {\n removeVnodes(oldCh, oldStartIdx, oldEndIdx);\n }\n }\n\n function checkDuplicateKeys (children) {\n var seenKeys = {};\n for (var i = 0; i < children.length; i++) {\n var vnode = children[i];\n var key = vnode.key;\n if (isDef(key)) {\n if (seenKeys[key]) {\n warn(\n (\"Duplicate keys detected: '\" + key + \"'. This may cause an update error.\"),\n vnode.context\n );\n } else {\n seenKeys[key] = true;\n }\n }\n }\n }\n\n function findIdxInOld (node, oldCh, start, end) {\n for (var i = start; i < end; i++) {\n var c = oldCh[i];\n if (isDef(c) && sameVnode(node, c)) { return i }\n }\n }\n\n function patchVnode (\n oldVnode,\n vnode,\n insertedVnodeQueue,\n ownerArray,\n index,\n removeOnly\n ) {\n if (oldVnode === vnode) {\n return\n }\n\n if (isDef(vnode.elm) && isDef(ownerArray)) {\n // clone reused vnode\n vnode = ownerArray[index] = cloneVNode(vnode);\n }\n\n var elm = vnode.elm = oldVnode.elm;\n\n if (isTrue(oldVnode.isAsyncPlaceholder)) {\n if (isDef(vnode.asyncFactory.resolved)) {\n hydrate(oldVnode.elm, vnode, insertedVnodeQueue);\n } else {\n vnode.isAsyncPlaceholder = true;\n }\n return\n }\n\n // reuse element for static trees.\n // note we only do this if the vnode is cloned -\n // if the new node is not cloned it means the render functions have been\n // reset by the hot-reload-api and we need to do a proper re-render.\n if (isTrue(vnode.isStatic) &&\n isTrue(oldVnode.isStatic) &&\n vnode.key === oldVnode.key &&\n (isTrue(vnode.isCloned) || isTrue(vnode.isOnce))\n ) {\n vnode.componentInstance = oldVnode.componentInstance;\n return\n }\n\n var i;\n var data = vnode.data;\n if (isDef(data) && isDef(i = data.hook) && isDef(i = i.prepatch)) {\n i(oldVnode, vnode);\n }\n\n var oldCh = oldVnode.children;\n var ch = vnode.children;\n if (isDef(data) && isPatchable(vnode)) {\n for (i = 0; i < cbs.update.length; ++i) { cbs.update[i](oldVnode, vnode); }\n if (isDef(i = data.hook) && isDef(i = i.update)) { i(oldVnode, vnode); }\n }\n if (isUndef(vnode.text)) {\n if (isDef(oldCh) && isDef(ch)) {\n if (oldCh !== ch) { updateChildren(elm, oldCh, ch, insertedVnodeQueue, removeOnly); }\n } else if (isDef(ch)) {\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(ch);\n }\n if (isDef(oldVnode.text)) { nodeOps.setTextContent(elm, ''); }\n addVnodes(elm, null, ch, 0, ch.length - 1, insertedVnodeQueue);\n } else if (isDef(oldCh)) {\n removeVnodes(oldCh, 0, oldCh.length - 1);\n } else if (isDef(oldVnode.text)) {\n nodeOps.setTextContent(elm, '');\n }\n } else if (oldVnode.text !== vnode.text) {\n nodeOps.setTextContent(elm, vnode.text);\n }\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.postpatch)) { i(oldVnode, vnode); }\n }\n }\n\n function invokeInsertHook (vnode, queue, initial) {\n // delay insert hooks for component root nodes, invoke them after the\n // element is really inserted\n if (isTrue(initial) && isDef(vnode.parent)) {\n vnode.parent.data.pendingInsert = queue;\n } else {\n for (var i = 0; i < queue.length; ++i) {\n queue[i].data.hook.insert(queue[i]);\n }\n }\n }\n\n var hydrationBailed = false;\n // list of modules that can skip create hook during hydration because they\n // are already rendered on the client or has no need for initialization\n // Note: style is excluded because it relies on initial clone for future\n // deep updates (#7063).\n var isRenderedModule = makeMap('attrs,class,staticClass,staticStyle,key');\n\n // Note: this is a browser-only function so we can assume elms are DOM nodes.\n function hydrate (elm, vnode, insertedVnodeQueue, inVPre) {\n var i;\n var tag = vnode.tag;\n var data = vnode.data;\n var children = vnode.children;\n inVPre = inVPre || (data && data.pre);\n vnode.elm = elm;\n\n if (isTrue(vnode.isComment) && isDef(vnode.asyncFactory)) {\n vnode.isAsyncPlaceholder = true;\n return true\n }\n // assert node match\n if (process.env.NODE_ENV !== 'production') {\n if (!assertNodeMatch(elm, vnode, inVPre)) {\n return false\n }\n }\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.init)) { i(vnode, true /* hydrating */); }\n if (isDef(i = vnode.componentInstance)) {\n // child component. it should have hydrated its own tree.\n initComponent(vnode, insertedVnodeQueue);\n return true\n }\n }\n if (isDef(tag)) {\n if (isDef(children)) {\n // empty element, allow client to pick up and populate children\n if (!elm.hasChildNodes()) {\n createChildren(vnode, children, insertedVnodeQueue);\n } else {\n // v-html and domProps: innerHTML\n if (isDef(i = data) && isDef(i = i.domProps) && isDef(i = i.innerHTML)) {\n if (i !== elm.innerHTML) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' &&\n typeof console !== 'undefined' &&\n !hydrationBailed\n ) {\n hydrationBailed = true;\n console.warn('Parent: ', elm);\n console.warn('server innerHTML: ', i);\n console.warn('client innerHTML: ', elm.innerHTML);\n }\n return false\n }\n } else {\n // iterate and compare children lists\n var childrenMatch = true;\n var childNode = elm.firstChild;\n for (var i$1 = 0; i$1 < children.length; i$1++) {\n if (!childNode || !hydrate(childNode, children[i$1], insertedVnodeQueue, inVPre)) {\n childrenMatch = false;\n break\n }\n childNode = childNode.nextSibling;\n }\n // if childNode is not null, it means the actual childNodes list is\n // longer than the virtual children list.\n if (!childrenMatch || childNode) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' &&\n typeof console !== 'undefined' &&\n !hydrationBailed\n ) {\n hydrationBailed = true;\n console.warn('Parent: ', elm);\n console.warn('Mismatching childNodes vs. VNodes: ', elm.childNodes, children);\n }\n return false\n }\n }\n }\n }\n if (isDef(data)) {\n var fullInvoke = false;\n for (var key in data) {\n if (!isRenderedModule(key)) {\n fullInvoke = true;\n invokeCreateHooks(vnode, insertedVnodeQueue);\n break\n }\n }\n if (!fullInvoke && data['class']) {\n // ensure collecting deps for deep class bindings for future updates\n traverse(data['class']);\n }\n }\n } else if (elm.data !== vnode.text) {\n elm.data = vnode.text;\n }\n return true\n }\n\n function assertNodeMatch (node, vnode, inVPre) {\n if (isDef(vnode.tag)) {\n return vnode.tag.indexOf('vue-component') === 0 || (\n !isUnknownElement$$1(vnode, inVPre) &&\n vnode.tag.toLowerCase() === (node.tagName && node.tagName.toLowerCase())\n )\n } else {\n return node.nodeType === (vnode.isComment ? 8 : 3)\n }\n }\n\n return function patch (oldVnode, vnode, hydrating, removeOnly) {\n if (isUndef(vnode)) {\n if (isDef(oldVnode)) { invokeDestroyHook(oldVnode); }\n return\n }\n\n var isInitialPatch = false;\n var insertedVnodeQueue = [];\n\n if (isUndef(oldVnode)) {\n // empty mount (likely as component), create new root element\n isInitialPatch = true;\n createElm(vnode, insertedVnodeQueue);\n } else {\n var isRealElement = isDef(oldVnode.nodeType);\n if (!isRealElement && sameVnode(oldVnode, vnode)) {\n // patch existing root node\n patchVnode(oldVnode, vnode, insertedVnodeQueue, null, null, removeOnly);\n } else {\n if (isRealElement) {\n // mounting to a real element\n // check if this is server-rendered content and if we can perform\n // a successful hydration.\n if (oldVnode.nodeType === 1 && oldVnode.hasAttribute(SSR_ATTR)) {\n oldVnode.removeAttribute(SSR_ATTR);\n hydrating = true;\n }\n if (isTrue(hydrating)) {\n if (hydrate(oldVnode, vnode, insertedVnodeQueue)) {\n invokeInsertHook(vnode, insertedVnodeQueue, true);\n return oldVnode\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n 'The client-side rendered virtual DOM tree is not matching ' +\n 'server-rendered content. This is likely caused by incorrect ' +\n 'HTML markup, for example nesting block-level elements inside ' +\n '<p>, or missing <tbody>. Bailing hydration and performing ' +\n 'full client-side render.'\n );\n }\n }\n // either not server-rendered, or hydration failed.\n // create an empty node and replace it\n oldVnode = emptyNodeAt(oldVnode);\n }\n\n // replacing existing element\n var oldElm = oldVnode.elm;\n var parentElm = nodeOps.parentNode(oldElm);\n\n // create new node\n createElm(\n vnode,\n insertedVnodeQueue,\n // extremely rare edge case: do not insert if old element is in a\n // leaving transition. Only happens when combining transition +\n // keep-alive + HOCs. (#4590)\n oldElm._leaveCb ? null : parentElm,\n nodeOps.nextSibling(oldElm)\n );\n\n // update parent placeholder node element, recursively\n if (isDef(vnode.parent)) {\n var ancestor = vnode.parent;\n var patchable = isPatchable(vnode);\n while (ancestor) {\n for (var i = 0; i < cbs.destroy.length; ++i) {\n cbs.destroy[i](ancestor);\n }\n ancestor.elm = vnode.elm;\n if (patchable) {\n for (var i$1 = 0; i$1 < cbs.create.length; ++i$1) {\n cbs.create[i$1](emptyNode, ancestor);\n }\n // #6513\n // invoke insert hooks that may have been merged by create hooks.\n // e.g. for directives that uses the \"inserted\" hook.\n var insert = ancestor.data.hook.insert;\n if (insert.merged) {\n // start at index 1 to avoid re-invoking component mounted hook\n for (var i$2 = 1; i$2 < insert.fns.length; i$2++) {\n insert.fns[i$2]();\n }\n }\n } else {\n registerRef(ancestor);\n }\n ancestor = ancestor.parent;\n }\n }\n\n // destroy old node\n if (isDef(parentElm)) {\n removeVnodes([oldVnode], 0, 0);\n } else if (isDef(oldVnode.tag)) {\n invokeDestroyHook(oldVnode);\n }\n }\n }\n\n invokeInsertHook(vnode, insertedVnodeQueue, isInitialPatch);\n return vnode.elm\n }\n}\n\n/* */\n\nvar directives = {\n create: updateDirectives,\n update: updateDirectives,\n destroy: function unbindDirectives (vnode) {\n updateDirectives(vnode, emptyNode);\n }\n};\n\nfunction updateDirectives (oldVnode, vnode) {\n if (oldVnode.data.directives || vnode.data.directives) {\n _update(oldVnode, vnode);\n }\n}\n\nfunction _update (oldVnode, vnode) {\n var isCreate = oldVnode === emptyNode;\n var isDestroy = vnode === emptyNode;\n var oldDirs = normalizeDirectives$1(oldVnode.data.directives, oldVnode.context);\n var newDirs = normalizeDirectives$1(vnode.data.directives, vnode.context);\n\n var dirsWithInsert = [];\n var dirsWithPostpatch = [];\n\n var key, oldDir, dir;\n for (key in newDirs) {\n oldDir = oldDirs[key];\n dir = newDirs[key];\n if (!oldDir) {\n // new directive, bind\n callHook$1(dir, 'bind', vnode, oldVnode);\n if (dir.def && dir.def.inserted) {\n dirsWithInsert.push(dir);\n }\n } else {\n // existing directive, update\n dir.oldValue = oldDir.value;\n dir.oldArg = oldDir.arg;\n callHook$1(dir, 'update', vnode, oldVnode);\n if (dir.def && dir.def.componentUpdated) {\n dirsWithPostpatch.push(dir);\n }\n }\n }\n\n if (dirsWithInsert.length) {\n var callInsert = function () {\n for (var i = 0; i < dirsWithInsert.length; i++) {\n callHook$1(dirsWithInsert[i], 'inserted', vnode, oldVnode);\n }\n };\n if (isCreate) {\n mergeVNodeHook(vnode, 'insert', callInsert);\n } else {\n callInsert();\n }\n }\n\n if (dirsWithPostpatch.length) {\n mergeVNodeHook(vnode, 'postpatch', function () {\n for (var i = 0; i < dirsWithPostpatch.length; i++) {\n callHook$1(dirsWithPostpatch[i], 'componentUpdated', vnode, oldVnode);\n }\n });\n }\n\n if (!isCreate) {\n for (key in oldDirs) {\n if (!newDirs[key]) {\n // no longer present, unbind\n callHook$1(oldDirs[key], 'unbind', oldVnode, oldVnode, isDestroy);\n }\n }\n }\n}\n\nvar emptyModifiers = Object.create(null);\n\nfunction normalizeDirectives$1 (\n dirs,\n vm\n) {\n var res = Object.create(null);\n if (!dirs) {\n // $flow-disable-line\n return res\n }\n var i, dir;\n for (i = 0; i < dirs.length; i++) {\n dir = dirs[i];\n if (!dir.modifiers) {\n // $flow-disable-line\n dir.modifiers = emptyModifiers;\n }\n res[getRawDirName(dir)] = dir;\n dir.def = resolveAsset(vm.$options, 'directives', dir.name, true);\n }\n // $flow-disable-line\n return res\n}\n\nfunction getRawDirName (dir) {\n return dir.rawName || ((dir.name) + \".\" + (Object.keys(dir.modifiers || {}).join('.')))\n}\n\nfunction callHook$1 (dir, hook, vnode, oldVnode, isDestroy) {\n var fn = dir.def && dir.def[hook];\n if (fn) {\n try {\n fn(vnode.elm, dir, vnode, oldVnode, isDestroy);\n } catch (e) {\n handleError(e, vnode.context, (\"directive \" + (dir.name) + \" \" + hook + \" hook\"));\n }\n }\n}\n\nvar baseModules = [\n ref,\n directives\n];\n\n/* */\n\nfunction updateAttrs (oldVnode, vnode) {\n var opts = vnode.componentOptions;\n if (isDef(opts) && opts.Ctor.options.inheritAttrs === false) {\n return\n }\n if (isUndef(oldVnode.data.attrs) && isUndef(vnode.data.attrs)) {\n return\n }\n var key, cur, old;\n var elm = vnode.elm;\n var oldAttrs = oldVnode.data.attrs || {};\n var attrs = vnode.data.attrs || {};\n // clone observed objects, as the user probably wants to mutate it\n if (isDef(attrs.__ob__)) {\n attrs = vnode.data.attrs = extend({}, attrs);\n }\n\n for (key in attrs) {\n cur = attrs[key];\n old = oldAttrs[key];\n if (old !== cur) {\n setAttr(elm, key, cur);\n }\n }\n // #4391: in IE9, setting type can reset value for input[type=radio]\n // #6666: IE/Edge forces progress value down to 1 before setting a max\n /* istanbul ignore if */\n if ((isIE || isEdge) && attrs.value !== oldAttrs.value) {\n setAttr(elm, 'value', attrs.value);\n }\n for (key in oldAttrs) {\n if (isUndef(attrs[key])) {\n if (isXlink(key)) {\n elm.removeAttributeNS(xlinkNS, getXlinkProp(key));\n } else if (!isEnumeratedAttr(key)) {\n elm.removeAttribute(key);\n }\n }\n }\n}\n\nfunction setAttr (el, key, value) {\n if (el.tagName.indexOf('-') > -1) {\n baseSetAttr(el, key, value);\n } else if (isBooleanAttr(key)) {\n // set attribute for blank value\n // e.g. <option disabled>Select one</option>\n if (isFalsyAttrValue(value)) {\n el.removeAttribute(key);\n } else {\n // technically allowfullscreen is a boolean attribute for <iframe>,\n // but Flash expects a value of \"true\" when used on <embed> tag\n value = key === 'allowfullscreen' && el.tagName === 'EMBED'\n ? 'true'\n : key;\n el.setAttribute(key, value);\n }\n } else if (isEnumeratedAttr(key)) {\n el.setAttribute(key, convertEnumeratedValue(key, value));\n } else if (isXlink(key)) {\n if (isFalsyAttrValue(value)) {\n el.removeAttributeNS(xlinkNS, getXlinkProp(key));\n } else {\n el.setAttributeNS(xlinkNS, key, value);\n }\n } else {\n baseSetAttr(el, key, value);\n }\n}\n\nfunction baseSetAttr (el, key, value) {\n if (isFalsyAttrValue(value)) {\n el.removeAttribute(key);\n } else {\n // #7138: IE10 & 11 fires input event when setting placeholder on\n // <textarea>... block the first input event and remove the blocker\n // immediately.\n /* istanbul ignore if */\n if (\n isIE && !isIE9 &&\n el.tagName === 'TEXTAREA' &&\n key === 'placeholder' && value !== '' && !el.__ieph\n ) {\n var blocker = function (e) {\n e.stopImmediatePropagation();\n el.removeEventListener('input', blocker);\n };\n el.addEventListener('input', blocker);\n // $flow-disable-line\n el.__ieph = true; /* IE placeholder patched */\n }\n el.setAttribute(key, value);\n }\n}\n\nvar attrs = {\n create: updateAttrs,\n update: updateAttrs\n};\n\n/* */\n\nfunction updateClass (oldVnode, vnode) {\n var el = vnode.elm;\n var data = vnode.data;\n var oldData = oldVnode.data;\n if (\n isUndef(data.staticClass) &&\n isUndef(data.class) && (\n isUndef(oldData) || (\n isUndef(oldData.staticClass) &&\n isUndef(oldData.class)\n )\n )\n ) {\n return\n }\n\n var cls = genClassForVnode(vnode);\n\n // handle transition classes\n var transitionClass = el._transitionClasses;\n if (isDef(transitionClass)) {\n cls = concat(cls, stringifyClass(transitionClass));\n }\n\n // set the class\n if (cls !== el._prevClass) {\n el.setAttribute('class', cls);\n el._prevClass = cls;\n }\n}\n\nvar klass = {\n create: updateClass,\n update: updateClass\n};\n\n/* */\n\nvar validDivisionCharRE = /[\\w).+\\-_$\\]]/;\n\nfunction parseFilters (exp) {\n var inSingle = false;\n var inDouble = false;\n var inTemplateString = false;\n var inRegex = false;\n var curly = 0;\n var square = 0;\n var paren = 0;\n var lastFilterIndex = 0;\n var c, prev, i, expression, filters;\n\n for (i = 0; i < exp.length; i++) {\n prev = c;\n c = exp.charCodeAt(i);\n if (inSingle) {\n if (c === 0x27 && prev !== 0x5C) { inSingle = false; }\n } else if (inDouble) {\n if (c === 0x22 && prev !== 0x5C) { inDouble = false; }\n } else if (inTemplateString) {\n if (c === 0x60 && prev !== 0x5C) { inTemplateString = false; }\n } else if (inRegex) {\n if (c === 0x2f && prev !== 0x5C) { inRegex = false; }\n } else if (\n c === 0x7C && // pipe\n exp.charCodeAt(i + 1) !== 0x7C &&\n exp.charCodeAt(i - 1) !== 0x7C &&\n !curly && !square && !paren\n ) {\n if (expression === undefined) {\n // first filter, end of expression\n lastFilterIndex = i + 1;\n expression = exp.slice(0, i).trim();\n } else {\n pushFilter();\n }\n } else {\n switch (c) {\n case 0x22: inDouble = true; break // \"\n case 0x27: inSingle = true; break // '\n case 0x60: inTemplateString = true; break // `\n case 0x28: paren++; break // (\n case 0x29: paren--; break // )\n case 0x5B: square++; break // [\n case 0x5D: square--; break // ]\n case 0x7B: curly++; break // {\n case 0x7D: curly--; break // }\n }\n if (c === 0x2f) { // /\n var j = i - 1;\n var p = (void 0);\n // find first non-whitespace prev char\n for (; j >= 0; j--) {\n p = exp.charAt(j);\n if (p !== ' ') { break }\n }\n if (!p || !validDivisionCharRE.test(p)) {\n inRegex = true;\n }\n }\n }\n }\n\n if (expression === undefined) {\n expression = exp.slice(0, i).trim();\n } else if (lastFilterIndex !== 0) {\n pushFilter();\n }\n\n function pushFilter () {\n (filters || (filters = [])).push(exp.slice(lastFilterIndex, i).trim());\n lastFilterIndex = i + 1;\n }\n\n if (filters) {\n for (i = 0; i < filters.length; i++) {\n expression = wrapFilter(expression, filters[i]);\n }\n }\n\n return expression\n}\n\nfunction wrapFilter (exp, filter) {\n var i = filter.indexOf('(');\n if (i < 0) {\n // _f: resolveFilter\n return (\"_f(\\\"\" + filter + \"\\\")(\" + exp + \")\")\n } else {\n var name = filter.slice(0, i);\n var args = filter.slice(i + 1);\n return (\"_f(\\\"\" + name + \"\\\")(\" + exp + (args !== ')' ? ',' + args : args))\n }\n}\n\n/* */\n\n\n\n/* eslint-disable no-unused-vars */\nfunction baseWarn (msg, range) {\n console.error((\"[Vue compiler]: \" + msg));\n}\n/* eslint-enable no-unused-vars */\n\nfunction pluckModuleFunction (\n modules,\n key\n) {\n return modules\n ? modules.map(function (m) { return m[key]; }).filter(function (_) { return _; })\n : []\n}\n\nfunction addProp (el, name, value, range, dynamic) {\n (el.props || (el.props = [])).push(rangeSetItem({ name: name, value: value, dynamic: dynamic }, range));\n el.plain = false;\n}\n\nfunction addAttr (el, name, value, range, dynamic) {\n var attrs = dynamic\n ? (el.dynamicAttrs || (el.dynamicAttrs = []))\n : (el.attrs || (el.attrs = []));\n attrs.push(rangeSetItem({ name: name, value: value, dynamic: dynamic }, range));\n el.plain = false;\n}\n\n// add a raw attr (use this in preTransforms)\nfunction addRawAttr (el, name, value, range) {\n el.attrsMap[name] = value;\n el.attrsList.push(rangeSetItem({ name: name, value: value }, range));\n}\n\nfunction addDirective (\n el,\n name,\n rawName,\n value,\n arg,\n isDynamicArg,\n modifiers,\n range\n) {\n (el.directives || (el.directives = [])).push(rangeSetItem({\n name: name,\n rawName: rawName,\n value: value,\n arg: arg,\n isDynamicArg: isDynamicArg,\n modifiers: modifiers\n }, range));\n el.plain = false;\n}\n\nfunction prependModifierMarker (symbol, name, dynamic) {\n return dynamic\n ? (\"_p(\" + name + \",\\\"\" + symbol + \"\\\")\")\n : symbol + name // mark the event as captured\n}\n\nfunction addHandler (\n el,\n name,\n value,\n modifiers,\n important,\n warn,\n range,\n dynamic\n) {\n modifiers = modifiers || emptyObject;\n // warn prevent and passive modifier\n /* istanbul ignore if */\n if (\n process.env.NODE_ENV !== 'production' && warn &&\n modifiers.prevent && modifiers.passive\n ) {\n warn(\n 'passive and prevent can\\'t be used together. ' +\n 'Passive handler can\\'t prevent default event.',\n range\n );\n }\n\n // normalize click.right and click.middle since they don't actually fire\n // this is technically browser-specific, but at least for now browsers are\n // the only target envs that have right/middle clicks.\n if (modifiers.right) {\n if (dynamic) {\n name = \"(\" + name + \")==='click'?'contextmenu':(\" + name + \")\";\n } else if (name === 'click') {\n name = 'contextmenu';\n delete modifiers.right;\n }\n } else if (modifiers.middle) {\n if (dynamic) {\n name = \"(\" + name + \")==='click'?'mouseup':(\" + name + \")\";\n } else if (name === 'click') {\n name = 'mouseup';\n }\n }\n\n // check capture modifier\n if (modifiers.capture) {\n delete modifiers.capture;\n name = prependModifierMarker('!', name, dynamic);\n }\n if (modifiers.once) {\n delete modifiers.once;\n name = prependModifierMarker('~', name, dynamic);\n }\n /* istanbul ignore if */\n if (modifiers.passive) {\n delete modifiers.passive;\n name = prependModifierMarker('&', name, dynamic);\n }\n\n var events;\n if (modifiers.native) {\n delete modifiers.native;\n events = el.nativeEvents || (el.nativeEvents = {});\n } else {\n events = el.events || (el.events = {});\n }\n\n var newHandler = rangeSetItem({ value: value.trim(), dynamic: dynamic }, range);\n if (modifiers !== emptyObject) {\n newHandler.modifiers = modifiers;\n }\n\n var handlers = events[name];\n /* istanbul ignore if */\n if (Array.isArray(handlers)) {\n important ? handlers.unshift(newHandler) : handlers.push(newHandler);\n } else if (handlers) {\n events[name] = important ? [newHandler, handlers] : [handlers, newHandler];\n } else {\n events[name] = newHandler;\n }\n\n el.plain = false;\n}\n\nfunction getRawBindingAttr (\n el,\n name\n) {\n return el.rawAttrsMap[':' + name] ||\n el.rawAttrsMap['v-bind:' + name] ||\n el.rawAttrsMap[name]\n}\n\nfunction getBindingAttr (\n el,\n name,\n getStatic\n) {\n var dynamicValue =\n getAndRemoveAttr(el, ':' + name) ||\n getAndRemoveAttr(el, 'v-bind:' + name);\n if (dynamicValue != null) {\n return parseFilters(dynamicValue)\n } else if (getStatic !== false) {\n var staticValue = getAndRemoveAttr(el, name);\n if (staticValue != null) {\n return JSON.stringify(staticValue)\n }\n }\n}\n\n// note: this only removes the attr from the Array (attrsList) so that it\n// doesn't get processed by processAttrs.\n// By default it does NOT remove it from the map (attrsMap) because the map is\n// needed during codegen.\nfunction getAndRemoveAttr (\n el,\n name,\n removeFromMap\n) {\n var val;\n if ((val = el.attrsMap[name]) != null) {\n var list = el.attrsList;\n for (var i = 0, l = list.length; i < l; i++) {\n if (list[i].name === name) {\n list.splice(i, 1);\n break\n }\n }\n }\n if (removeFromMap) {\n delete el.attrsMap[name];\n }\n return val\n}\n\nfunction getAndRemoveAttrByRegex (\n el,\n name\n) {\n var list = el.attrsList;\n for (var i = 0, l = list.length; i < l; i++) {\n var attr = list[i];\n if (name.test(attr.name)) {\n list.splice(i, 1);\n return attr\n }\n }\n}\n\nfunction rangeSetItem (\n item,\n range\n) {\n if (range) {\n if (range.start != null) {\n item.start = range.start;\n }\n if (range.end != null) {\n item.end = range.end;\n }\n }\n return item\n}\n\n/* */\n\n/**\n * Cross-platform code generation for component v-model\n */\nfunction genComponentModel (\n el,\n value,\n modifiers\n) {\n var ref = modifiers || {};\n var number = ref.number;\n var trim = ref.trim;\n\n var baseValueExpression = '$$v';\n var valueExpression = baseValueExpression;\n if (trim) {\n valueExpression =\n \"(typeof \" + baseValueExpression + \" === 'string'\" +\n \"? \" + baseValueExpression + \".trim()\" +\n \": \" + baseValueExpression + \")\";\n }\n if (number) {\n valueExpression = \"_n(\" + valueExpression + \")\";\n }\n var assignment = genAssignmentCode(value, valueExpression);\n\n el.model = {\n value: (\"(\" + value + \")\"),\n expression: JSON.stringify(value),\n callback: (\"function (\" + baseValueExpression + \") {\" + assignment + \"}\")\n };\n}\n\n/**\n * Cross-platform codegen helper for generating v-model value assignment code.\n */\nfunction genAssignmentCode (\n value,\n assignment\n) {\n var res = parseModel(value);\n if (res.key === null) {\n return (value + \"=\" + assignment)\n } else {\n return (\"$set(\" + (res.exp) + \", \" + (res.key) + \", \" + assignment + \")\")\n }\n}\n\n/**\n * Parse a v-model expression into a base path and a final key segment.\n * Handles both dot-path and possible square brackets.\n *\n * Possible cases:\n *\n * - test\n * - test[key]\n * - test[test1[key]]\n * - test[\"a\"][key]\n * - xxx.test[a[a].test1[key]]\n * - test.xxx.a[\"asa\"][test1[key]]\n *\n */\n\nvar len, str, chr, index$1, expressionPos, expressionEndPos;\n\n\n\nfunction parseModel (val) {\n // Fix https://github.com/vuejs/vue/pull/7730\n // allow v-model=\"obj.val \" (trailing whitespace)\n val = val.trim();\n len = val.length;\n\n if (val.indexOf('[') < 0 || val.lastIndexOf(']') < len - 1) {\n index$1 = val.lastIndexOf('.');\n if (index$1 > -1) {\n return {\n exp: val.slice(0, index$1),\n key: '\"' + val.slice(index$1 + 1) + '\"'\n }\n } else {\n return {\n exp: val,\n key: null\n }\n }\n }\n\n str = val;\n index$1 = expressionPos = expressionEndPos = 0;\n\n while (!eof()) {\n chr = next();\n /* istanbul ignore if */\n if (isStringStart(chr)) {\n parseString(chr);\n } else if (chr === 0x5B) {\n parseBracket(chr);\n }\n }\n\n return {\n exp: val.slice(0, expressionPos),\n key: val.slice(expressionPos + 1, expressionEndPos)\n }\n}\n\nfunction next () {\n return str.charCodeAt(++index$1)\n}\n\nfunction eof () {\n return index$1 >= len\n}\n\nfunction isStringStart (chr) {\n return chr === 0x22 || chr === 0x27\n}\n\nfunction parseBracket (chr) {\n var inBracket = 1;\n expressionPos = index$1;\n while (!eof()) {\n chr = next();\n if (isStringStart(chr)) {\n parseString(chr);\n continue\n }\n if (chr === 0x5B) { inBracket++; }\n if (chr === 0x5D) { inBracket--; }\n if (inBracket === 0) {\n expressionEndPos = index$1;\n break\n }\n }\n}\n\nfunction parseString (chr) {\n var stringQuote = chr;\n while (!eof()) {\n chr = next();\n if (chr === stringQuote) {\n break\n }\n }\n}\n\n/* */\n\nvar warn$1;\n\n// in some cases, the event used has to be determined at runtime\n// so we used some reserved tokens during compile.\nvar RANGE_TOKEN = '__r';\nvar CHECKBOX_RADIO_TOKEN = '__c';\n\nfunction model (\n el,\n dir,\n _warn\n) {\n warn$1 = _warn;\n var value = dir.value;\n var modifiers = dir.modifiers;\n var tag = el.tag;\n var type = el.attrsMap.type;\n\n if (process.env.NODE_ENV !== 'production') {\n // inputs with type=\"file\" are read only and setting the input's\n // value will throw an error.\n if (tag === 'input' && type === 'file') {\n warn$1(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\" type=\\\"file\\\">:\\n\" +\n \"File inputs are read only. Use a v-on:change listener instead.\",\n el.rawAttrsMap['v-model']\n );\n }\n }\n\n if (el.component) {\n genComponentModel(el, value, modifiers);\n // component v-model doesn't need extra runtime\n return false\n } else if (tag === 'select') {\n genSelect(el, value, modifiers);\n } else if (tag === 'input' && type === 'checkbox') {\n genCheckboxModel(el, value, modifiers);\n } else if (tag === 'input' && type === 'radio') {\n genRadioModel(el, value, modifiers);\n } else if (tag === 'input' || tag === 'textarea') {\n genDefaultModel(el, value, modifiers);\n } else if (!config.isReservedTag(tag)) {\n genComponentModel(el, value, modifiers);\n // component v-model doesn't need extra runtime\n return false\n } else if (process.env.NODE_ENV !== 'production') {\n warn$1(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\">: \" +\n \"v-model is not supported on this element type. \" +\n 'If you are working with contenteditable, it\\'s recommended to ' +\n 'wrap a library dedicated for that purpose inside a custom component.',\n el.rawAttrsMap['v-model']\n );\n }\n\n // ensure runtime directive metadata\n return true\n}\n\nfunction genCheckboxModel (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var valueBinding = getBindingAttr(el, 'value') || 'null';\n var trueValueBinding = getBindingAttr(el, 'true-value') || 'true';\n var falseValueBinding = getBindingAttr(el, 'false-value') || 'false';\n addProp(el, 'checked',\n \"Array.isArray(\" + value + \")\" +\n \"?_i(\" + value + \",\" + valueBinding + \")>-1\" + (\n trueValueBinding === 'true'\n ? (\":(\" + value + \")\")\n : (\":_q(\" + value + \",\" + trueValueBinding + \")\")\n )\n );\n addHandler(el, 'change',\n \"var $$a=\" + value + \",\" +\n '$$el=$event.target,' +\n \"$$c=$$el.checked?(\" + trueValueBinding + \"):(\" + falseValueBinding + \");\" +\n 'if(Array.isArray($$a)){' +\n \"var $$v=\" + (number ? '_n(' + valueBinding + ')' : valueBinding) + \",\" +\n '$$i=_i($$a,$$v);' +\n \"if($$el.checked){$$i<0&&(\" + (genAssignmentCode(value, '$$a.concat([$$v])')) + \")}\" +\n \"else{$$i>-1&&(\" + (genAssignmentCode(value, '$$a.slice(0,$$i).concat($$a.slice($$i+1))')) + \")}\" +\n \"}else{\" + (genAssignmentCode(value, '$$c')) + \"}\",\n null, true\n );\n}\n\nfunction genRadioModel (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var valueBinding = getBindingAttr(el, 'value') || 'null';\n valueBinding = number ? (\"_n(\" + valueBinding + \")\") : valueBinding;\n addProp(el, 'checked', (\"_q(\" + value + \",\" + valueBinding + \")\"));\n addHandler(el, 'change', genAssignmentCode(value, valueBinding), null, true);\n}\n\nfunction genSelect (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var selectedVal = \"Array.prototype.filter\" +\n \".call($event.target.options,function(o){return o.selected})\" +\n \".map(function(o){var val = \\\"_value\\\" in o ? o._value : o.value;\" +\n \"return \" + (number ? '_n(val)' : 'val') + \"})\";\n\n var assignment = '$event.target.multiple ? $$selectedVal : $$selectedVal[0]';\n var code = \"var $$selectedVal = \" + selectedVal + \";\";\n code = code + \" \" + (genAssignmentCode(value, assignment));\n addHandler(el, 'change', code, null, true);\n}\n\nfunction genDefaultModel (\n el,\n value,\n modifiers\n) {\n var type = el.attrsMap.type;\n\n // warn if v-bind:value conflicts with v-model\n // except for inputs with v-bind:type\n if (process.env.NODE_ENV !== 'production') {\n var value$1 = el.attrsMap['v-bind:value'] || el.attrsMap[':value'];\n var typeBinding = el.attrsMap['v-bind:type'] || el.attrsMap[':type'];\n if (value$1 && !typeBinding) {\n var binding = el.attrsMap['v-bind:value'] ? 'v-bind:value' : ':value';\n warn$1(\n binding + \"=\\\"\" + value$1 + \"\\\" conflicts with v-model on the same element \" +\n 'because the latter already expands to a value binding internally',\n el.rawAttrsMap[binding]\n );\n }\n }\n\n var ref = modifiers || {};\n var lazy = ref.lazy;\n var number = ref.number;\n var trim = ref.trim;\n var needCompositionGuard = !lazy && type !== 'range';\n var event = lazy\n ? 'change'\n : type === 'range'\n ? RANGE_TOKEN\n : 'input';\n\n var valueExpression = '$event.target.value';\n if (trim) {\n valueExpression = \"$event.target.value.trim()\";\n }\n if (number) {\n valueExpression = \"_n(\" + valueExpression + \")\";\n }\n\n var code = genAssignmentCode(value, valueExpression);\n if (needCompositionGuard) {\n code = \"if($event.target.composing)return;\" + code;\n }\n\n addProp(el, 'value', (\"(\" + value + \")\"));\n addHandler(el, event, code, null, true);\n if (trim || number) {\n addHandler(el, 'blur', '$forceUpdate()');\n }\n}\n\n/* */\n\n// normalize v-model event tokens that can only be determined at runtime.\n// it's important to place the event as the first in the array because\n// the whole point is ensuring the v-model callback gets called before\n// user-attached handlers.\nfunction normalizeEvents (on) {\n /* istanbul ignore if */\n if (isDef(on[RANGE_TOKEN])) {\n // IE input[type=range] only supports `change` event\n var event = isIE ? 'change' : 'input';\n on[event] = [].concat(on[RANGE_TOKEN], on[event] || []);\n delete on[RANGE_TOKEN];\n }\n // This was originally intended to fix #4521 but no longer necessary\n // after 2.5. Keeping it for backwards compat with generated code from < 2.4\n /* istanbul ignore if */\n if (isDef(on[CHECKBOX_RADIO_TOKEN])) {\n on.change = [].concat(on[CHECKBOX_RADIO_TOKEN], on.change || []);\n delete on[CHECKBOX_RADIO_TOKEN];\n }\n}\n\nvar target$1;\n\nfunction createOnceHandler$1 (event, handler, capture) {\n var _target = target$1; // save current target element in closure\n return function onceHandler () {\n var res = handler.apply(null, arguments);\n if (res !== null) {\n remove$2(event, onceHandler, capture, _target);\n }\n }\n}\n\n// #9446: Firefox <= 53 (in particular, ESR 52) has incorrect Event.timeStamp\n// implementation and does not fire microtasks in between event propagation, so\n// safe to exclude.\nvar useMicrotaskFix = isUsingMicroTask && !(isFF && Number(isFF[1]) <= 53);\n\nfunction add$1 (\n name,\n handler,\n capture,\n passive\n) {\n // async edge case #6566: inner click event triggers patch, event handler\n // attached to outer element during patch, and triggered again. This\n // happens because browsers fire microtask ticks between event propagation.\n // the solution is simple: we save the timestamp when a handler is attached,\n // and the handler would only fire if the event passed to it was fired\n // AFTER it was attached.\n if (useMicrotaskFix) {\n var attachedTimestamp = currentFlushTimestamp;\n var original = handler;\n handler = original._wrapper = function (e) {\n if (\n // no bubbling, should always fire.\n // this is just a safety net in case event.timeStamp is unreliable in\n // certain weird environments...\n e.target === e.currentTarget ||\n // event is fired after handler attachment\n e.timeStamp >= attachedTimestamp ||\n // bail for environments that have buggy event.timeStamp implementations\n // #9462 iOS 9 bug: event.timeStamp is 0 after history.pushState\n // #9681 QtWebEngine event.timeStamp is negative value\n e.timeStamp <= 0 ||\n // #9448 bail if event is fired in another document in a multi-page\n // electron/nw.js app, since event.timeStamp will be using a different\n // starting reference\n e.target.ownerDocument !== document\n ) {\n return original.apply(this, arguments)\n }\n };\n }\n target$1.addEventListener(\n name,\n handler,\n supportsPassive\n ? { capture: capture, passive: passive }\n : capture\n );\n}\n\nfunction remove$2 (\n name,\n handler,\n capture,\n _target\n) {\n (_target || target$1).removeEventListener(\n name,\n handler._wrapper || handler,\n capture\n );\n}\n\nfunction updateDOMListeners (oldVnode, vnode) {\n if (isUndef(oldVnode.data.on) && isUndef(vnode.data.on)) {\n return\n }\n var on = vnode.data.on || {};\n var oldOn = oldVnode.data.on || {};\n target$1 = vnode.elm;\n normalizeEvents(on);\n updateListeners(on, oldOn, add$1, remove$2, createOnceHandler$1, vnode.context);\n target$1 = undefined;\n}\n\nvar events = {\n create: updateDOMListeners,\n update: updateDOMListeners\n};\n\n/* */\n\nvar svgContainer;\n\nfunction updateDOMProps (oldVnode, vnode) {\n if (isUndef(oldVnode.data.domProps) && isUndef(vnode.data.domProps)) {\n return\n }\n var key, cur;\n var elm = vnode.elm;\n var oldProps = oldVnode.data.domProps || {};\n var props = vnode.data.domProps || {};\n // clone observed objects, as the user probably wants to mutate it\n if (isDef(props.__ob__)) {\n props = vnode.data.domProps = extend({}, props);\n }\n\n for (key in oldProps) {\n if (!(key in props)) {\n elm[key] = '';\n }\n }\n\n for (key in props) {\n cur = props[key];\n // ignore children if the node has textContent or innerHTML,\n // as these will throw away existing DOM nodes and cause removal errors\n // on subsequent patches (#3360)\n if (key === 'textContent' || key === 'innerHTML') {\n if (vnode.children) { vnode.children.length = 0; }\n if (cur === oldProps[key]) { continue }\n // #6601 work around Chrome version <= 55 bug where single textNode\n // replaced by innerHTML/textContent retains its parentNode property\n if (elm.childNodes.length === 1) {\n elm.removeChild(elm.childNodes[0]);\n }\n }\n\n if (key === 'value' && elm.tagName !== 'PROGRESS') {\n // store value as _value as well since\n // non-string values will be stringified\n elm._value = cur;\n // avoid resetting cursor position when value is the same\n var strCur = isUndef(cur) ? '' : String(cur);\n if (shouldUpdateValue(elm, strCur)) {\n elm.value = strCur;\n }\n } else if (key === 'innerHTML' && isSVG(elm.tagName) && isUndef(elm.innerHTML)) {\n // IE doesn't support innerHTML for SVG elements\n svgContainer = svgContainer || document.createElement('div');\n svgContainer.innerHTML = \"<svg>\" + cur + \"</svg>\";\n var svg = svgContainer.firstChild;\n while (elm.firstChild) {\n elm.removeChild(elm.firstChild);\n }\n while (svg.firstChild) {\n elm.appendChild(svg.firstChild);\n }\n } else if (\n // skip the update if old and new VDOM state is the same.\n // `value` is handled separately because the DOM value may be temporarily\n // out of sync with VDOM state due to focus, composition and modifiers.\n // This #4521 by skipping the unnecesarry `checked` update.\n cur !== oldProps[key]\n ) {\n // some property updates can throw\n // e.g. `value` on <progress> w/ non-finite value\n try {\n elm[key] = cur;\n } catch (e) {}\n }\n }\n}\n\n// check platforms/web/util/attrs.js acceptValue\n\n\nfunction shouldUpdateValue (elm, checkVal) {\n return (!elm.composing && (\n elm.tagName === 'OPTION' ||\n isNotInFocusAndDirty(elm, checkVal) ||\n isDirtyWithModifiers(elm, checkVal)\n ))\n}\n\nfunction isNotInFocusAndDirty (elm, checkVal) {\n // return true when textbox (.number and .trim) loses focus and its value is\n // not equal to the updated value\n var notInFocus = true;\n // #6157\n // work around IE bug when accessing document.activeElement in an iframe\n try { notInFocus = document.activeElement !== elm; } catch (e) {}\n return notInFocus && elm.value !== checkVal\n}\n\nfunction isDirtyWithModifiers (elm, newVal) {\n var value = elm.value;\n var modifiers = elm._vModifiers; // injected by v-model runtime\n if (isDef(modifiers)) {\n if (modifiers.number) {\n return toNumber(value) !== toNumber(newVal)\n }\n if (modifiers.trim) {\n return value.trim() !== newVal.trim()\n }\n }\n return value !== newVal\n}\n\nvar domProps = {\n create: updateDOMProps,\n update: updateDOMProps\n};\n\n/* */\n\nvar parseStyleText = cached(function (cssText) {\n var res = {};\n var listDelimiter = /;(?![^(]*\\))/g;\n var propertyDelimiter = /:(.+)/;\n cssText.split(listDelimiter).forEach(function (item) {\n if (item) {\n var tmp = item.split(propertyDelimiter);\n tmp.length > 1 && (res[tmp[0].trim()] = tmp[1].trim());\n }\n });\n return res\n});\n\n// merge static and dynamic style data on the same vnode\nfunction normalizeStyleData (data) {\n var style = normalizeStyleBinding(data.style);\n // static style is pre-processed into an object during compilation\n // and is always a fresh object, so it's safe to merge into it\n return data.staticStyle\n ? extend(data.staticStyle, style)\n : style\n}\n\n// normalize possible array / string values into Object\nfunction normalizeStyleBinding (bindingStyle) {\n if (Array.isArray(bindingStyle)) {\n return toObject(bindingStyle)\n }\n if (typeof bindingStyle === 'string') {\n return parseStyleText(bindingStyle)\n }\n return bindingStyle\n}\n\n/**\n * parent component style should be after child's\n * so that parent component's style could override it\n */\nfunction getStyle (vnode, checkChild) {\n var res = {};\n var styleData;\n\n if (checkChild) {\n var childNode = vnode;\n while (childNode.componentInstance) {\n childNode = childNode.componentInstance._vnode;\n if (\n childNode && childNode.data &&\n (styleData = normalizeStyleData(childNode.data))\n ) {\n extend(res, styleData);\n }\n }\n }\n\n if ((styleData = normalizeStyleData(vnode.data))) {\n extend(res, styleData);\n }\n\n var parentNode = vnode;\n while ((parentNode = parentNode.parent)) {\n if (parentNode.data && (styleData = normalizeStyleData(parentNode.data))) {\n extend(res, styleData);\n }\n }\n return res\n}\n\n/* */\n\nvar cssVarRE = /^--/;\nvar importantRE = /\\s*!important$/;\nvar setProp = function (el, name, val) {\n /* istanbul ignore if */\n if (cssVarRE.test(name)) {\n el.style.setProperty(name, val);\n } else if (importantRE.test(val)) {\n el.style.setProperty(hyphenate(name), val.replace(importantRE, ''), 'important');\n } else {\n var normalizedName = normalize(name);\n if (Array.isArray(val)) {\n // Support values array created by autoprefixer, e.g.\n // {display: [\"-webkit-box\", \"-ms-flexbox\", \"flex\"]}\n // Set them one by one, and the browser will only set those it can recognize\n for (var i = 0, len = val.length; i < len; i++) {\n el.style[normalizedName] = val[i];\n }\n } else {\n el.style[normalizedName] = val;\n }\n }\n};\n\nvar vendorNames = ['Webkit', 'Moz', 'ms'];\n\nvar emptyStyle;\nvar normalize = cached(function (prop) {\n emptyStyle = emptyStyle || document.createElement('div').style;\n prop = camelize(prop);\n if (prop !== 'filter' && (prop in emptyStyle)) {\n return prop\n }\n var capName = prop.charAt(0).toUpperCase() + prop.slice(1);\n for (var i = 0; i < vendorNames.length; i++) {\n var name = vendorNames[i] + capName;\n if (name in emptyStyle) {\n return name\n }\n }\n});\n\nfunction updateStyle (oldVnode, vnode) {\n var data = vnode.data;\n var oldData = oldVnode.data;\n\n if (isUndef(data.staticStyle) && isUndef(data.style) &&\n isUndef(oldData.staticStyle) && isUndef(oldData.style)\n ) {\n return\n }\n\n var cur, name;\n var el = vnode.elm;\n var oldStaticStyle = oldData.staticStyle;\n var oldStyleBinding = oldData.normalizedStyle || oldData.style || {};\n\n // if static style exists, stylebinding already merged into it when doing normalizeStyleData\n var oldStyle = oldStaticStyle || oldStyleBinding;\n\n var style = normalizeStyleBinding(vnode.data.style) || {};\n\n // store normalized style under a different key for next diff\n // make sure to clone it if it's reactive, since the user likely wants\n // to mutate it.\n vnode.data.normalizedStyle = isDef(style.__ob__)\n ? extend({}, style)\n : style;\n\n var newStyle = getStyle(vnode, true);\n\n for (name in oldStyle) {\n if (isUndef(newStyle[name])) {\n setProp(el, name, '');\n }\n }\n for (name in newStyle) {\n cur = newStyle[name];\n if (cur !== oldStyle[name]) {\n // ie9 setting to null has no effect, must use empty string\n setProp(el, name, cur == null ? '' : cur);\n }\n }\n}\n\nvar style = {\n create: updateStyle,\n update: updateStyle\n};\n\n/* */\n\nvar whitespaceRE = /\\s+/;\n\n/**\n * Add class with compatibility for SVG since classList is not supported on\n * SVG elements in IE\n */\nfunction addClass (el, cls) {\n /* istanbul ignore if */\n if (!cls || !(cls = cls.trim())) {\n return\n }\n\n /* istanbul ignore else */\n if (el.classList) {\n if (cls.indexOf(' ') > -1) {\n cls.split(whitespaceRE).forEach(function (c) { return el.classList.add(c); });\n } else {\n el.classList.add(cls);\n }\n } else {\n var cur = \" \" + (el.getAttribute('class') || '') + \" \";\n if (cur.indexOf(' ' + cls + ' ') < 0) {\n el.setAttribute('class', (cur + cls).trim());\n }\n }\n}\n\n/**\n * Remove class with compatibility for SVG since classList is not supported on\n * SVG elements in IE\n */\nfunction removeClass (el, cls) {\n /* istanbul ignore if */\n if (!cls || !(cls = cls.trim())) {\n return\n }\n\n /* istanbul ignore else */\n if (el.classList) {\n if (cls.indexOf(' ') > -1) {\n cls.split(whitespaceRE).forEach(function (c) { return el.classList.remove(c); });\n } else {\n el.classList.remove(cls);\n }\n if (!el.classList.length) {\n el.removeAttribute('class');\n }\n } else {\n var cur = \" \" + (el.getAttribute('class') || '') + \" \";\n var tar = ' ' + cls + ' ';\n while (cur.indexOf(tar) >= 0) {\n cur = cur.replace(tar, ' ');\n }\n cur = cur.trim();\n if (cur) {\n el.setAttribute('class', cur);\n } else {\n el.removeAttribute('class');\n }\n }\n}\n\n/* */\n\nfunction resolveTransition (def$$1) {\n if (!def$$1) {\n return\n }\n /* istanbul ignore else */\n if (typeof def$$1 === 'object') {\n var res = {};\n if (def$$1.css !== false) {\n extend(res, autoCssTransition(def$$1.name || 'v'));\n }\n extend(res, def$$1);\n return res\n } else if (typeof def$$1 === 'string') {\n return autoCssTransition(def$$1)\n }\n}\n\nvar autoCssTransition = cached(function (name) {\n return {\n enterClass: (name + \"-enter\"),\n enterToClass: (name + \"-enter-to\"),\n enterActiveClass: (name + \"-enter-active\"),\n leaveClass: (name + \"-leave\"),\n leaveToClass: (name + \"-leave-to\"),\n leaveActiveClass: (name + \"-leave-active\")\n }\n});\n\nvar hasTransition = inBrowser && !isIE9;\nvar TRANSITION = 'transition';\nvar ANIMATION = 'animation';\n\n// Transition property/event sniffing\nvar transitionProp = 'transition';\nvar transitionEndEvent = 'transitionend';\nvar animationProp = 'animation';\nvar animationEndEvent = 'animationend';\nif (hasTransition) {\n /* istanbul ignore if */\n if (window.ontransitionend === undefined &&\n window.onwebkittransitionend !== undefined\n ) {\n transitionProp = 'WebkitTransition';\n transitionEndEvent = 'webkitTransitionEnd';\n }\n if (window.onanimationend === undefined &&\n window.onwebkitanimationend !== undefined\n ) {\n animationProp = 'WebkitAnimation';\n animationEndEvent = 'webkitAnimationEnd';\n }\n}\n\n// binding to window is necessary to make hot reload work in IE in strict mode\nvar raf = inBrowser\n ? window.requestAnimationFrame\n ? window.requestAnimationFrame.bind(window)\n : setTimeout\n : /* istanbul ignore next */ function (fn) { return fn(); };\n\nfunction nextFrame (fn) {\n raf(function () {\n raf(fn);\n });\n}\n\nfunction addTransitionClass (el, cls) {\n var transitionClasses = el._transitionClasses || (el._transitionClasses = []);\n if (transitionClasses.indexOf(cls) < 0) {\n transitionClasses.push(cls);\n addClass(el, cls);\n }\n}\n\nfunction removeTransitionClass (el, cls) {\n if (el._transitionClasses) {\n remove(el._transitionClasses, cls);\n }\n removeClass(el, cls);\n}\n\nfunction whenTransitionEnds (\n el,\n expectedType,\n cb\n) {\n var ref = getTransitionInfo(el, expectedType);\n var type = ref.type;\n var timeout = ref.timeout;\n var propCount = ref.propCount;\n if (!type) { return cb() }\n var event = type === TRANSITION ? transitionEndEvent : animationEndEvent;\n var ended = 0;\n var end = function () {\n el.removeEventListener(event, onEnd);\n cb();\n };\n var onEnd = function (e) {\n if (e.target === el) {\n if (++ended >= propCount) {\n end();\n }\n }\n };\n setTimeout(function () {\n if (ended < propCount) {\n end();\n }\n }, timeout + 1);\n el.addEventListener(event, onEnd);\n}\n\nvar transformRE = /\\b(transform|all)(,|$)/;\n\nfunction getTransitionInfo (el, expectedType) {\n var styles = window.getComputedStyle(el);\n // JSDOM may return undefined for transition properties\n var transitionDelays = (styles[transitionProp + 'Delay'] || '').split(', ');\n var transitionDurations = (styles[transitionProp + 'Duration'] || '').split(', ');\n var transitionTimeout = getTimeout(transitionDelays, transitionDurations);\n var animationDelays = (styles[animationProp + 'Delay'] || '').split(', ');\n var animationDurations = (styles[animationProp + 'Duration'] || '').split(', ');\n var animationTimeout = getTimeout(animationDelays, animationDurations);\n\n var type;\n var timeout = 0;\n var propCount = 0;\n /* istanbul ignore if */\n if (expectedType === TRANSITION) {\n if (transitionTimeout > 0) {\n type = TRANSITION;\n timeout = transitionTimeout;\n propCount = transitionDurations.length;\n }\n } else if (expectedType === ANIMATION) {\n if (animationTimeout > 0) {\n type = ANIMATION;\n timeout = animationTimeout;\n propCount = animationDurations.length;\n }\n } else {\n timeout = Math.max(transitionTimeout, animationTimeout);\n type = timeout > 0\n ? transitionTimeout > animationTimeout\n ? TRANSITION\n : ANIMATION\n : null;\n propCount = type\n ? type === TRANSITION\n ? transitionDurations.length\n : animationDurations.length\n : 0;\n }\n var hasTransform =\n type === TRANSITION &&\n transformRE.test(styles[transitionProp + 'Property']);\n return {\n type: type,\n timeout: timeout,\n propCount: propCount,\n hasTransform: hasTransform\n }\n}\n\nfunction getTimeout (delays, durations) {\n /* istanbul ignore next */\n while (delays.length < durations.length) {\n delays = delays.concat(delays);\n }\n\n return Math.max.apply(null, durations.map(function (d, i) {\n return toMs(d) + toMs(delays[i])\n }))\n}\n\n// Old versions of Chromium (below 61.0.3163.100) formats floating pointer numbers\n// in a locale-dependent way, using a comma instead of a dot.\n// If comma is not replaced with a dot, the input will be rounded down (i.e. acting\n// as a floor function) causing unexpected behaviors\nfunction toMs (s) {\n return Number(s.slice(0, -1).replace(',', '.')) * 1000\n}\n\n/* */\n\nfunction enter (vnode, toggleDisplay) {\n var el = vnode.elm;\n\n // call leave callback now\n if (isDef(el._leaveCb)) {\n el._leaveCb.cancelled = true;\n el._leaveCb();\n }\n\n var data = resolveTransition(vnode.data.transition);\n if (isUndef(data)) {\n return\n }\n\n /* istanbul ignore if */\n if (isDef(el._enterCb) || el.nodeType !== 1) {\n return\n }\n\n var css = data.css;\n var type = data.type;\n var enterClass = data.enterClass;\n var enterToClass = data.enterToClass;\n var enterActiveClass = data.enterActiveClass;\n var appearClass = data.appearClass;\n var appearToClass = data.appearToClass;\n var appearActiveClass = data.appearActiveClass;\n var beforeEnter = data.beforeEnter;\n var enter = data.enter;\n var afterEnter = data.afterEnter;\n var enterCancelled = data.enterCancelled;\n var beforeAppear = data.beforeAppear;\n var appear = data.appear;\n var afterAppear = data.afterAppear;\n var appearCancelled = data.appearCancelled;\n var duration = data.duration;\n\n // activeInstance will always be the <transition> component managing this\n // transition. One edge case to check is when the <transition> is placed\n // as the root node of a child component. In that case we need to check\n // <transition>'s parent for appear check.\n var context = activeInstance;\n var transitionNode = activeInstance.$vnode;\n while (transitionNode && transitionNode.parent) {\n context = transitionNode.context;\n transitionNode = transitionNode.parent;\n }\n\n var isAppear = !context._isMounted || !vnode.isRootInsert;\n\n if (isAppear && !appear && appear !== '') {\n return\n }\n\n var startClass = isAppear && appearClass\n ? appearClass\n : enterClass;\n var activeClass = isAppear && appearActiveClass\n ? appearActiveClass\n : enterActiveClass;\n var toClass = isAppear && appearToClass\n ? appearToClass\n : enterToClass;\n\n var beforeEnterHook = isAppear\n ? (beforeAppear || beforeEnter)\n : beforeEnter;\n var enterHook = isAppear\n ? (typeof appear === 'function' ? appear : enter)\n : enter;\n var afterEnterHook = isAppear\n ? (afterAppear || afterEnter)\n : afterEnter;\n var enterCancelledHook = isAppear\n ? (appearCancelled || enterCancelled)\n : enterCancelled;\n\n var explicitEnterDuration = toNumber(\n isObject(duration)\n ? duration.enter\n : duration\n );\n\n if (process.env.NODE_ENV !== 'production' && explicitEnterDuration != null) {\n checkDuration(explicitEnterDuration, 'enter', vnode);\n }\n\n var expectsCSS = css !== false && !isIE9;\n var userWantsControl = getHookArgumentsLength(enterHook);\n\n var cb = el._enterCb = once(function () {\n if (expectsCSS) {\n removeTransitionClass(el, toClass);\n removeTransitionClass(el, activeClass);\n }\n if (cb.cancelled) {\n if (expectsCSS) {\n removeTransitionClass(el, startClass);\n }\n enterCancelledHook && enterCancelledHook(el);\n } else {\n afterEnterHook && afterEnterHook(el);\n }\n el._enterCb = null;\n });\n\n if (!vnode.data.show) {\n // remove pending leave element on enter by injecting an insert hook\n mergeVNodeHook(vnode, 'insert', function () {\n var parent = el.parentNode;\n var pendingNode = parent && parent._pending && parent._pending[vnode.key];\n if (pendingNode &&\n pendingNode.tag === vnode.tag &&\n pendingNode.elm._leaveCb\n ) {\n pendingNode.elm._leaveCb();\n }\n enterHook && enterHook(el, cb);\n });\n }\n\n // start enter transition\n beforeEnterHook && beforeEnterHook(el);\n if (expectsCSS) {\n addTransitionClass(el, startClass);\n addTransitionClass(el, activeClass);\n nextFrame(function () {\n removeTransitionClass(el, startClass);\n if (!cb.cancelled) {\n addTransitionClass(el, toClass);\n if (!userWantsControl) {\n if (isValidDuration(explicitEnterDuration)) {\n setTimeout(cb, explicitEnterDuration);\n } else {\n whenTransitionEnds(el, type, cb);\n }\n }\n }\n });\n }\n\n if (vnode.data.show) {\n toggleDisplay && toggleDisplay();\n enterHook && enterHook(el, cb);\n }\n\n if (!expectsCSS && !userWantsControl) {\n cb();\n }\n}\n\nfunction leave (vnode, rm) {\n var el = vnode.elm;\n\n // call enter callback now\n if (isDef(el._enterCb)) {\n el._enterCb.cancelled = true;\n el._enterCb();\n }\n\n var data = resolveTransition(vnode.data.transition);\n if (isUndef(data) || el.nodeType !== 1) {\n return rm()\n }\n\n /* istanbul ignore if */\n if (isDef(el._leaveCb)) {\n return\n }\n\n var css = data.css;\n var type = data.type;\n var leaveClass = data.leaveClass;\n var leaveToClass = data.leaveToClass;\n var leaveActiveClass = data.leaveActiveClass;\n var beforeLeave = data.beforeLeave;\n var leave = data.leave;\n var afterLeave = data.afterLeave;\n var leaveCancelled = data.leaveCancelled;\n var delayLeave = data.delayLeave;\n var duration = data.duration;\n\n var expectsCSS = css !== false && !isIE9;\n var userWantsControl = getHookArgumentsLength(leave);\n\n var explicitLeaveDuration = toNumber(\n isObject(duration)\n ? duration.leave\n : duration\n );\n\n if (process.env.NODE_ENV !== 'production' && isDef(explicitLeaveDuration)) {\n checkDuration(explicitLeaveDuration, 'leave', vnode);\n }\n\n var cb = el._leaveCb = once(function () {\n if (el.parentNode && el.parentNode._pending) {\n el.parentNode._pending[vnode.key] = null;\n }\n if (expectsCSS) {\n removeTransitionClass(el, leaveToClass);\n removeTransitionClass(el, leaveActiveClass);\n }\n if (cb.cancelled) {\n if (expectsCSS) {\n removeTransitionClass(el, leaveClass);\n }\n leaveCancelled && leaveCancelled(el);\n } else {\n rm();\n afterLeave && afterLeave(el);\n }\n el._leaveCb = null;\n });\n\n if (delayLeave) {\n delayLeave(performLeave);\n } else {\n performLeave();\n }\n\n function performLeave () {\n // the delayed leave may have already been cancelled\n if (cb.cancelled) {\n return\n }\n // record leaving element\n if (!vnode.data.show && el.parentNode) {\n (el.parentNode._pending || (el.parentNode._pending = {}))[(vnode.key)] = vnode;\n }\n beforeLeave && beforeLeave(el);\n if (expectsCSS) {\n addTransitionClass(el, leaveClass);\n addTransitionClass(el, leaveActiveClass);\n nextFrame(function () {\n removeTransitionClass(el, leaveClass);\n if (!cb.cancelled) {\n addTransitionClass(el, leaveToClass);\n if (!userWantsControl) {\n if (isValidDuration(explicitLeaveDuration)) {\n setTimeout(cb, explicitLeaveDuration);\n } else {\n whenTransitionEnds(el, type, cb);\n }\n }\n }\n });\n }\n leave && leave(el, cb);\n if (!expectsCSS && !userWantsControl) {\n cb();\n }\n }\n}\n\n// only used in dev mode\nfunction checkDuration (val, name, vnode) {\n if (typeof val !== 'number') {\n warn(\n \"<transition> explicit \" + name + \" duration is not a valid number - \" +\n \"got \" + (JSON.stringify(val)) + \".\",\n vnode.context\n );\n } else if (isNaN(val)) {\n warn(\n \"<transition> explicit \" + name + \" duration is NaN - \" +\n 'the duration expression might be incorrect.',\n vnode.context\n );\n }\n}\n\nfunction isValidDuration (val) {\n return typeof val === 'number' && !isNaN(val)\n}\n\n/**\n * Normalize a transition hook's argument length. The hook may be:\n * - a merged hook (invoker) with the original in .fns\n * - a wrapped component method (check ._length)\n * - a plain function (.length)\n */\nfunction getHookArgumentsLength (fn) {\n if (isUndef(fn)) {\n return false\n }\n var invokerFns = fn.fns;\n if (isDef(invokerFns)) {\n // invoker\n return getHookArgumentsLength(\n Array.isArray(invokerFns)\n ? invokerFns[0]\n : invokerFns\n )\n } else {\n return (fn._length || fn.length) > 1\n }\n}\n\nfunction _enter (_, vnode) {\n if (vnode.data.show !== true) {\n enter(vnode);\n }\n}\n\nvar transition = inBrowser ? {\n create: _enter,\n activate: _enter,\n remove: function remove$$1 (vnode, rm) {\n /* istanbul ignore else */\n if (vnode.data.show !== true) {\n leave(vnode, rm);\n } else {\n rm();\n }\n }\n} : {};\n\nvar platformModules = [\n attrs,\n klass,\n events,\n domProps,\n style,\n transition\n];\n\n/* */\n\n// the directive module should be applied last, after all\n// built-in modules have been applied.\nvar modules = platformModules.concat(baseModules);\n\nvar patch = createPatchFunction({ nodeOps: nodeOps, modules: modules });\n\n/**\n * Not type checking this file because flow doesn't like attaching\n * properties to Elements.\n */\n\n/* istanbul ignore if */\nif (isIE9) {\n // http://www.matts411.com/post/internet-explorer-9-oninput/\n document.addEventListener('selectionchange', function () {\n var el = document.activeElement;\n if (el && el.vmodel) {\n trigger(el, 'input');\n }\n });\n}\n\nvar directive = {\n inserted: function inserted (el, binding, vnode, oldVnode) {\n if (vnode.tag === 'select') {\n // #6903\n if (oldVnode.elm && !oldVnode.elm._vOptions) {\n mergeVNodeHook(vnode, 'postpatch', function () {\n directive.componentUpdated(el, binding, vnode);\n });\n } else {\n setSelected(el, binding, vnode.context);\n }\n el._vOptions = [].map.call(el.options, getValue);\n } else if (vnode.tag === 'textarea' || isTextInputType(el.type)) {\n el._vModifiers = binding.modifiers;\n if (!binding.modifiers.lazy) {\n el.addEventListener('compositionstart', onCompositionStart);\n el.addEventListener('compositionend', onCompositionEnd);\n // Safari < 10.2 & UIWebView doesn't fire compositionend when\n // switching focus before confirming composition choice\n // this also fixes the issue where some browsers e.g. iOS Chrome\n // fires \"change\" instead of \"input\" on autocomplete.\n el.addEventListener('change', onCompositionEnd);\n /* istanbul ignore if */\n if (isIE9) {\n el.vmodel = true;\n }\n }\n }\n },\n\n componentUpdated: function componentUpdated (el, binding, vnode) {\n if (vnode.tag === 'select') {\n setSelected(el, binding, vnode.context);\n // in case the options rendered by v-for have changed,\n // it's possible that the value is out-of-sync with the rendered options.\n // detect such cases and filter out values that no longer has a matching\n // option in the DOM.\n var prevOptions = el._vOptions;\n var curOptions = el._vOptions = [].map.call(el.options, getValue);\n if (curOptions.some(function (o, i) { return !looseEqual(o, prevOptions[i]); })) {\n // trigger change event if\n // no matching option found for at least one value\n var needReset = el.multiple\n ? binding.value.some(function (v) { return hasNoMatchingOption(v, curOptions); })\n : binding.value !== binding.oldValue && hasNoMatchingOption(binding.value, curOptions);\n if (needReset) {\n trigger(el, 'change');\n }\n }\n }\n }\n};\n\nfunction setSelected (el, binding, vm) {\n actuallySetSelected(el, binding, vm);\n /* istanbul ignore if */\n if (isIE || isEdge) {\n setTimeout(function () {\n actuallySetSelected(el, binding, vm);\n }, 0);\n }\n}\n\nfunction actuallySetSelected (el, binding, vm) {\n var value = binding.value;\n var isMultiple = el.multiple;\n if (isMultiple && !Array.isArray(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"<select multiple v-model=\\\"\" + (binding.expression) + \"\\\"> \" +\n \"expects an Array value for its binding, but got \" + (Object.prototype.toString.call(value).slice(8, -1)),\n vm\n );\n return\n }\n var selected, option;\n for (var i = 0, l = el.options.length; i < l; i++) {\n option = el.options[i];\n if (isMultiple) {\n selected = looseIndexOf(value, getValue(option)) > -1;\n if (option.selected !== selected) {\n option.selected = selected;\n }\n } else {\n if (looseEqual(getValue(option), value)) {\n if (el.selectedIndex !== i) {\n el.selectedIndex = i;\n }\n return\n }\n }\n }\n if (!isMultiple) {\n el.selectedIndex = -1;\n }\n}\n\nfunction hasNoMatchingOption (value, options) {\n return options.every(function (o) { return !looseEqual(o, value); })\n}\n\nfunction getValue (option) {\n return '_value' in option\n ? option._value\n : option.value\n}\n\nfunction onCompositionStart (e) {\n e.target.composing = true;\n}\n\nfunction onCompositionEnd (e) {\n // prevent triggering an input event for no reason\n if (!e.target.composing) { return }\n e.target.composing = false;\n trigger(e.target, 'input');\n}\n\nfunction trigger (el, type) {\n var e = document.createEvent('HTMLEvents');\n e.initEvent(type, true, true);\n el.dispatchEvent(e);\n}\n\n/* */\n\n// recursively search for possible transition defined inside the component root\nfunction locateNode (vnode) {\n return vnode.componentInstance && (!vnode.data || !vnode.data.transition)\n ? locateNode(vnode.componentInstance._vnode)\n : vnode\n}\n\nvar show = {\n bind: function bind (el, ref, vnode) {\n var value = ref.value;\n\n vnode = locateNode(vnode);\n var transition$$1 = vnode.data && vnode.data.transition;\n var originalDisplay = el.__vOriginalDisplay =\n el.style.display === 'none' ? '' : el.style.display;\n if (value && transition$$1) {\n vnode.data.show = true;\n enter(vnode, function () {\n el.style.display = originalDisplay;\n });\n } else {\n el.style.display = value ? originalDisplay : 'none';\n }\n },\n\n update: function update (el, ref, vnode) {\n var value = ref.value;\n var oldValue = ref.oldValue;\n\n /* istanbul ignore if */\n if (!value === !oldValue) { return }\n vnode = locateNode(vnode);\n var transition$$1 = vnode.data && vnode.data.transition;\n if (transition$$1) {\n vnode.data.show = true;\n if (value) {\n enter(vnode, function () {\n el.style.display = el.__vOriginalDisplay;\n });\n } else {\n leave(vnode, function () {\n el.style.display = 'none';\n });\n }\n } else {\n el.style.display = value ? el.__vOriginalDisplay : 'none';\n }\n },\n\n unbind: function unbind (\n el,\n binding,\n vnode,\n oldVnode,\n isDestroy\n ) {\n if (!isDestroy) {\n el.style.display = el.__vOriginalDisplay;\n }\n }\n};\n\nvar platformDirectives = {\n model: directive,\n show: show\n};\n\n/* */\n\nvar transitionProps = {\n name: String,\n appear: Boolean,\n css: Boolean,\n mode: String,\n type: String,\n enterClass: String,\n leaveClass: String,\n enterToClass: String,\n leaveToClass: String,\n enterActiveClass: String,\n leaveActiveClass: String,\n appearClass: String,\n appearActiveClass: String,\n appearToClass: String,\n duration: [Number, String, Object]\n};\n\n// in case the child is also an abstract component, e.g. <keep-alive>\n// we want to recursively retrieve the real component to be rendered\nfunction getRealChild (vnode) {\n var compOptions = vnode && vnode.componentOptions;\n if (compOptions && compOptions.Ctor.options.abstract) {\n return getRealChild(getFirstComponentChild(compOptions.children))\n } else {\n return vnode\n }\n}\n\nfunction extractTransitionData (comp) {\n var data = {};\n var options = comp.$options;\n // props\n for (var key in options.propsData) {\n data[key] = comp[key];\n }\n // events.\n // extract listeners and pass them directly to the transition methods\n var listeners = options._parentListeners;\n for (var key$1 in listeners) {\n data[camelize(key$1)] = listeners[key$1];\n }\n return data\n}\n\nfunction placeholder (h, rawChild) {\n if (/\\d-keep-alive$/.test(rawChild.tag)) {\n return h('keep-alive', {\n props: rawChild.componentOptions.propsData\n })\n }\n}\n\nfunction hasParentTransition (vnode) {\n while ((vnode = vnode.parent)) {\n if (vnode.data.transition) {\n return true\n }\n }\n}\n\nfunction isSameChild (child, oldChild) {\n return oldChild.key === child.key && oldChild.tag === child.tag\n}\n\nvar isNotTextNode = function (c) { return c.tag || isAsyncPlaceholder(c); };\n\nvar isVShowDirective = function (d) { return d.name === 'show'; };\n\nvar Transition = {\n name: 'transition',\n props: transitionProps,\n abstract: true,\n\n render: function render (h) {\n var this$1 = this;\n\n var children = this.$slots.default;\n if (!children) {\n return\n }\n\n // filter out text nodes (possible whitespaces)\n children = children.filter(isNotTextNode);\n /* istanbul ignore if */\n if (!children.length) {\n return\n }\n\n // warn multiple elements\n if (process.env.NODE_ENV !== 'production' && children.length > 1) {\n warn(\n '<transition> can only be used on a single element. Use ' +\n '<transition-group> for lists.',\n this.$parent\n );\n }\n\n var mode = this.mode;\n\n // warn invalid mode\n if (process.env.NODE_ENV !== 'production' &&\n mode && mode !== 'in-out' && mode !== 'out-in'\n ) {\n warn(\n 'invalid <transition> mode: ' + mode,\n this.$parent\n );\n }\n\n var rawChild = children[0];\n\n // if this is a component root node and the component's\n // parent container node also has transition, skip.\n if (hasParentTransition(this.$vnode)) {\n return rawChild\n }\n\n // apply transition data to child\n // use getRealChild() to ignore abstract components e.g. keep-alive\n var child = getRealChild(rawChild);\n /* istanbul ignore if */\n if (!child) {\n return rawChild\n }\n\n if (this._leaving) {\n return placeholder(h, rawChild)\n }\n\n // ensure a key that is unique to the vnode type and to this transition\n // component instance. This key will be used to remove pending leaving nodes\n // during entering.\n var id = \"__transition-\" + (this._uid) + \"-\";\n child.key = child.key == null\n ? child.isComment\n ? id + 'comment'\n : id + child.tag\n : isPrimitive(child.key)\n ? (String(child.key).indexOf(id) === 0 ? child.key : id + child.key)\n : child.key;\n\n var data = (child.data || (child.data = {})).transition = extractTransitionData(this);\n var oldRawChild = this._vnode;\n var oldChild = getRealChild(oldRawChild);\n\n // mark v-show\n // so that the transition module can hand over the control to the directive\n if (child.data.directives && child.data.directives.some(isVShowDirective)) {\n child.data.show = true;\n }\n\n if (\n oldChild &&\n oldChild.data &&\n !isSameChild(child, oldChild) &&\n !isAsyncPlaceholder(oldChild) &&\n // #6687 component root is a comment node\n !(oldChild.componentInstance && oldChild.componentInstance._vnode.isComment)\n ) {\n // replace old child transition data with fresh one\n // important for dynamic transitions!\n var oldData = oldChild.data.transition = extend({}, data);\n // handle transition mode\n if (mode === 'out-in') {\n // return placeholder node and queue update when leave finishes\n this._leaving = true;\n mergeVNodeHook(oldData, 'afterLeave', function () {\n this$1._leaving = false;\n this$1.$forceUpdate();\n });\n return placeholder(h, rawChild)\n } else if (mode === 'in-out') {\n if (isAsyncPlaceholder(child)) {\n return oldRawChild\n }\n var delayedLeave;\n var performLeave = function () { delayedLeave(); };\n mergeVNodeHook(data, 'afterEnter', performLeave);\n mergeVNodeHook(data, 'enterCancelled', performLeave);\n mergeVNodeHook(oldData, 'delayLeave', function (leave) { delayedLeave = leave; });\n }\n }\n\n return rawChild\n }\n};\n\n/* */\n\nvar props = extend({\n tag: String,\n moveClass: String\n}, transitionProps);\n\ndelete props.mode;\n\nvar TransitionGroup = {\n props: props,\n\n beforeMount: function beforeMount () {\n var this$1 = this;\n\n var update = this._update;\n this._update = function (vnode, hydrating) {\n var restoreActiveInstance = setActiveInstance(this$1);\n // force removing pass\n this$1.__patch__(\n this$1._vnode,\n this$1.kept,\n false, // hydrating\n true // removeOnly (!important, avoids unnecessary moves)\n );\n this$1._vnode = this$1.kept;\n restoreActiveInstance();\n update.call(this$1, vnode, hydrating);\n };\n },\n\n render: function render (h) {\n var tag = this.tag || this.$vnode.data.tag || 'span';\n var map = Object.create(null);\n var prevChildren = this.prevChildren = this.children;\n var rawChildren = this.$slots.default || [];\n var children = this.children = [];\n var transitionData = extractTransitionData(this);\n\n for (var i = 0; i < rawChildren.length; i++) {\n var c = rawChildren[i];\n if (c.tag) {\n if (c.key != null && String(c.key).indexOf('__vlist') !== 0) {\n children.push(c);\n map[c.key] = c\n ;(c.data || (c.data = {})).transition = transitionData;\n } else if (process.env.NODE_ENV !== 'production') {\n var opts = c.componentOptions;\n var name = opts ? (opts.Ctor.options.name || opts.tag || '') : c.tag;\n warn((\"<transition-group> children must be keyed: <\" + name + \">\"));\n }\n }\n }\n\n if (prevChildren) {\n var kept = [];\n var removed = [];\n for (var i$1 = 0; i$1 < prevChildren.length; i$1++) {\n var c$1 = prevChildren[i$1];\n c$1.data.transition = transitionData;\n c$1.data.pos = c$1.elm.getBoundingClientRect();\n if (map[c$1.key]) {\n kept.push(c$1);\n } else {\n removed.push(c$1);\n }\n }\n this.kept = h(tag, null, kept);\n this.removed = removed;\n }\n\n return h(tag, null, children)\n },\n\n updated: function updated () {\n var children = this.prevChildren;\n var moveClass = this.moveClass || ((this.name || 'v') + '-move');\n if (!children.length || !this.hasMove(children[0].elm, moveClass)) {\n return\n }\n\n // we divide the work into three loops to avoid mixing DOM reads and writes\n // in each iteration - which helps prevent layout thrashing.\n children.forEach(callPendingCbs);\n children.forEach(recordPosition);\n children.forEach(applyTranslation);\n\n // force reflow to put everything in position\n // assign to this to avoid being removed in tree-shaking\n // $flow-disable-line\n this._reflow = document.body.offsetHeight;\n\n children.forEach(function (c) {\n if (c.data.moved) {\n var el = c.elm;\n var s = el.style;\n addTransitionClass(el, moveClass);\n s.transform = s.WebkitTransform = s.transitionDuration = '';\n el.addEventListener(transitionEndEvent, el._moveCb = function cb (e) {\n if (e && e.target !== el) {\n return\n }\n if (!e || /transform$/.test(e.propertyName)) {\n el.removeEventListener(transitionEndEvent, cb);\n el._moveCb = null;\n removeTransitionClass(el, moveClass);\n }\n });\n }\n });\n },\n\n methods: {\n hasMove: function hasMove (el, moveClass) {\n /* istanbul ignore if */\n if (!hasTransition) {\n return false\n }\n /* istanbul ignore if */\n if (this._hasMove) {\n return this._hasMove\n }\n // Detect whether an element with the move class applied has\n // CSS transitions. Since the element may be inside an entering\n // transition at this very moment, we make a clone of it and remove\n // all other transition classes applied to ensure only the move class\n // is applied.\n var clone = el.cloneNode();\n if (el._transitionClasses) {\n el._transitionClasses.forEach(function (cls) { removeClass(clone, cls); });\n }\n addClass(clone, moveClass);\n clone.style.display = 'none';\n this.$el.appendChild(clone);\n var info = getTransitionInfo(clone);\n this.$el.removeChild(clone);\n return (this._hasMove = info.hasTransform)\n }\n }\n};\n\nfunction callPendingCbs (c) {\n /* istanbul ignore if */\n if (c.elm._moveCb) {\n c.elm._moveCb();\n }\n /* istanbul ignore if */\n if (c.elm._enterCb) {\n c.elm._enterCb();\n }\n}\n\nfunction recordPosition (c) {\n c.data.newPos = c.elm.getBoundingClientRect();\n}\n\nfunction applyTranslation (c) {\n var oldPos = c.data.pos;\n var newPos = c.data.newPos;\n var dx = oldPos.left - newPos.left;\n var dy = oldPos.top - newPos.top;\n if (dx || dy) {\n c.data.moved = true;\n var s = c.elm.style;\n s.transform = s.WebkitTransform = \"translate(\" + dx + \"px,\" + dy + \"px)\";\n s.transitionDuration = '0s';\n }\n}\n\nvar platformComponents = {\n Transition: Transition,\n TransitionGroup: TransitionGroup\n};\n\n/* */\n\n// install platform specific utils\nVue.config.mustUseProp = mustUseProp;\nVue.config.isReservedTag = isReservedTag;\nVue.config.isReservedAttr = isReservedAttr;\nVue.config.getTagNamespace = getTagNamespace;\nVue.config.isUnknownElement = isUnknownElement;\n\n// install platform runtime directives & components\nextend(Vue.options.directives, platformDirectives);\nextend(Vue.options.components, platformComponents);\n\n// install platform patch function\nVue.prototype.__patch__ = inBrowser ? patch : noop;\n\n// public mount method\nVue.prototype.$mount = function (\n el,\n hydrating\n) {\n el = el && inBrowser ? query(el) : undefined;\n return mountComponent(this, el, hydrating)\n};\n\n// devtools global hook\n/* istanbul ignore next */\nif (inBrowser) {\n setTimeout(function () {\n if (config.devtools) {\n if (devtools) {\n devtools.emit('init', Vue);\n } else if (\n process.env.NODE_ENV !== 'production' &&\n process.env.NODE_ENV !== 'test'\n ) {\n console[console.info ? 'info' : 'log'](\n 'Download the Vue Devtools extension for a better development experience:\\n' +\n 'https://github.com/vuejs/vue-devtools'\n );\n }\n }\n if (process.env.NODE_ENV !== 'production' &&\n process.env.NODE_ENV !== 'test' &&\n config.productionTip !== false &&\n typeof console !== 'undefined'\n ) {\n console[console.info ? 'info' : 'log'](\n \"You are running Vue in development mode.\\n\" +\n \"Make sure to turn on production mode when deploying for production.\\n\" +\n \"See more tips at https://vuejs.org/guide/deployment.html\"\n );\n }\n }, 0);\n}\n\n/* */\n\nvar defaultTagRE = /\\{\\{((?:.|\\r?\\n)+?)\\}\\}/g;\nvar regexEscapeRE = /[-.*+?^${}()|[\\]\\/\\\\]/g;\n\nvar buildRegex = cached(function (delimiters) {\n var open = delimiters[0].replace(regexEscapeRE, '\\\\$&');\n var close = delimiters[1].replace(regexEscapeRE, '\\\\$&');\n return new RegExp(open + '((?:.|\\\\n)+?)' + close, 'g')\n});\n\n\n\nfunction parseText (\n text,\n delimiters\n) {\n var tagRE = delimiters ? buildRegex(delimiters) : defaultTagRE;\n if (!tagRE.test(text)) {\n return\n }\n var tokens = [];\n var rawTokens = [];\n var lastIndex = tagRE.lastIndex = 0;\n var match, index, tokenValue;\n while ((match = tagRE.exec(text))) {\n index = match.index;\n // push text token\n if (index > lastIndex) {\n rawTokens.push(tokenValue = text.slice(lastIndex, index));\n tokens.push(JSON.stringify(tokenValue));\n }\n // tag token\n var exp = parseFilters(match[1].trim());\n tokens.push((\"_s(\" + exp + \")\"));\n rawTokens.push({ '@binding': exp });\n lastIndex = index + match[0].length;\n }\n if (lastIndex < text.length) {\n rawTokens.push(tokenValue = text.slice(lastIndex));\n tokens.push(JSON.stringify(tokenValue));\n }\n return {\n expression: tokens.join('+'),\n tokens: rawTokens\n }\n}\n\n/* */\n\nfunction transformNode (el, options) {\n var warn = options.warn || baseWarn;\n var staticClass = getAndRemoveAttr(el, 'class');\n if (process.env.NODE_ENV !== 'production' && staticClass) {\n var res = parseText(staticClass, options.delimiters);\n if (res) {\n warn(\n \"class=\\\"\" + staticClass + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div class=\"{{ val }}\">, use <div :class=\"val\">.',\n el.rawAttrsMap['class']\n );\n }\n }\n if (staticClass) {\n el.staticClass = JSON.stringify(staticClass);\n }\n var classBinding = getBindingAttr(el, 'class', false /* getStatic */);\n if (classBinding) {\n el.classBinding = classBinding;\n }\n}\n\nfunction genData (el) {\n var data = '';\n if (el.staticClass) {\n data += \"staticClass:\" + (el.staticClass) + \",\";\n }\n if (el.classBinding) {\n data += \"class:\" + (el.classBinding) + \",\";\n }\n return data\n}\n\nvar klass$1 = {\n staticKeys: ['staticClass'],\n transformNode: transformNode,\n genData: genData\n};\n\n/* */\n\nfunction transformNode$1 (el, options) {\n var warn = options.warn || baseWarn;\n var staticStyle = getAndRemoveAttr(el, 'style');\n if (staticStyle) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n var res = parseText(staticStyle, options.delimiters);\n if (res) {\n warn(\n \"style=\\\"\" + staticStyle + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div style=\"{{ val }}\">, use <div :style=\"val\">.',\n el.rawAttrsMap['style']\n );\n }\n }\n el.staticStyle = JSON.stringify(parseStyleText(staticStyle));\n }\n\n var styleBinding = getBindingAttr(el, 'style', false /* getStatic */);\n if (styleBinding) {\n el.styleBinding = styleBinding;\n }\n}\n\nfunction genData$1 (el) {\n var data = '';\n if (el.staticStyle) {\n data += \"staticStyle:\" + (el.staticStyle) + \",\";\n }\n if (el.styleBinding) {\n data += \"style:(\" + (el.styleBinding) + \"),\";\n }\n return data\n}\n\nvar style$1 = {\n staticKeys: ['staticStyle'],\n transformNode: transformNode$1,\n genData: genData$1\n};\n\n/* */\n\nvar decoder;\n\nvar he = {\n decode: function decode (html) {\n decoder = decoder || document.createElement('div');\n decoder.innerHTML = html;\n return decoder.textContent\n }\n};\n\n/* */\n\nvar isUnaryTag = makeMap(\n 'area,base,br,col,embed,frame,hr,img,input,isindex,keygen,' +\n 'link,meta,param,source,track,wbr'\n);\n\n// Elements that you can, intentionally, leave open\n// (and which close themselves)\nvar canBeLeftOpenTag = makeMap(\n 'colgroup,dd,dt,li,options,p,td,tfoot,th,thead,tr,source'\n);\n\n// HTML5 tags https://html.spec.whatwg.org/multipage/indices.html#elements-3\n// Phrasing Content https://html.spec.whatwg.org/multipage/dom.html#phrasing-content\nvar isNonPhrasingTag = makeMap(\n 'address,article,aside,base,blockquote,body,caption,col,colgroup,dd,' +\n 'details,dialog,div,dl,dt,fieldset,figcaption,figure,footer,form,' +\n 'h1,h2,h3,h4,h5,h6,head,header,hgroup,hr,html,legend,li,menuitem,meta,' +\n 'optgroup,option,param,rp,rt,source,style,summary,tbody,td,tfoot,th,thead,' +\n 'title,tr,track'\n);\n\n/**\n * Not type-checking this file because it's mostly vendor code.\n */\n\n// Regular Expressions for parsing tags and attributes\nvar attribute = /^\\s*([^\\s\"'<>\\/=]+)(?:\\s*(=)\\s*(?:\"([^\"]*)\"+|'([^']*)'+|([^\\s\"'=<>`]+)))?/;\nvar dynamicArgAttribute = /^\\s*((?:v-[\\w-]+:|@|:|#)\\[[^=]+\\][^\\s\"'<>\\/=]*)(?:\\s*(=)\\s*(?:\"([^\"]*)\"+|'([^']*)'+|([^\\s\"'=<>`]+)))?/;\nvar ncname = \"[a-zA-Z_][\\\\-\\\\.0-9_a-zA-Z\" + (unicodeRegExp.source) + \"]*\";\nvar qnameCapture = \"((?:\" + ncname + \"\\\\:)?\" + ncname + \")\";\nvar startTagOpen = new RegExp((\"^<\" + qnameCapture));\nvar startTagClose = /^\\s*(\\/?)>/;\nvar endTag = new RegExp((\"^<\\\\/\" + qnameCapture + \"[^>]*>\"));\nvar doctype = /^<!DOCTYPE [^>]+>/i;\n// #7298: escape - to avoid being passed as HTML comment when inlined in page\nvar comment = /^<!\\--/;\nvar conditionalComment = /^<!\\[/;\n\n// Special Elements (can contain anything)\nvar isPlainTextElement = makeMap('script,style,textarea', true);\nvar reCache = {};\n\nvar decodingMap = {\n '&lt;': '<',\n '&gt;': '>',\n '&quot;': '\"',\n '&amp;': '&',\n '&#10;': '\\n',\n '&#9;': '\\t',\n '&#39;': \"'\"\n};\nvar encodedAttr = /&(?:lt|gt|quot|amp|#39);/g;\nvar encodedAttrWithNewLines = /&(?:lt|gt|quot|amp|#39|#10|#9);/g;\n\n// #5992\nvar isIgnoreNewlineTag = makeMap('pre,textarea', true);\nvar shouldIgnoreFirstNewline = function (tag, html) { return tag && isIgnoreNewlineTag(tag) && html[0] === '\\n'; };\n\nfunction decodeAttr (value, shouldDecodeNewlines) {\n var re = shouldDecodeNewlines ? encodedAttrWithNewLines : encodedAttr;\n return value.replace(re, function (match) { return decodingMap[match]; })\n}\n\nfunction parseHTML (html, options) {\n var stack = [];\n var expectHTML = options.expectHTML;\n var isUnaryTag$$1 = options.isUnaryTag || no;\n var canBeLeftOpenTag$$1 = options.canBeLeftOpenTag || no;\n var index = 0;\n var last, lastTag;\n while (html) {\n last = html;\n // Make sure we're not in a plaintext content element like script/style\n if (!lastTag || !isPlainTextElement(lastTag)) {\n var textEnd = html.indexOf('<');\n if (textEnd === 0) {\n // Comment:\n if (comment.test(html)) {\n var commentEnd = html.indexOf('-->');\n\n if (commentEnd >= 0) {\n if (options.shouldKeepComment) {\n options.comment(html.substring(4, commentEnd), index, index + commentEnd + 3);\n }\n advance(commentEnd + 3);\n continue\n }\n }\n\n // http://en.wikipedia.org/wiki/Conditional_comment#Downlevel-revealed_conditional_comment\n if (conditionalComment.test(html)) {\n var conditionalEnd = html.indexOf(']>');\n\n if (conditionalEnd >= 0) {\n advance(conditionalEnd + 2);\n continue\n }\n }\n\n // Doctype:\n var doctypeMatch = html.match(doctype);\n if (doctypeMatch) {\n advance(doctypeMatch[0].length);\n continue\n }\n\n // End tag:\n var endTagMatch = html.match(endTag);\n if (endTagMatch) {\n var curIndex = index;\n advance(endTagMatch[0].length);\n parseEndTag(endTagMatch[1], curIndex, index);\n continue\n }\n\n // Start tag:\n var startTagMatch = parseStartTag();\n if (startTagMatch) {\n handleStartTag(startTagMatch);\n if (shouldIgnoreFirstNewline(startTagMatch.tagName, html)) {\n advance(1);\n }\n continue\n }\n }\n\n var text = (void 0), rest = (void 0), next = (void 0);\n if (textEnd >= 0) {\n rest = html.slice(textEnd);\n while (\n !endTag.test(rest) &&\n !startTagOpen.test(rest) &&\n !comment.test(rest) &&\n !conditionalComment.test(rest)\n ) {\n // < in plain text, be forgiving and treat it as text\n next = rest.indexOf('<', 1);\n if (next < 0) { break }\n textEnd += next;\n rest = html.slice(textEnd);\n }\n text = html.substring(0, textEnd);\n }\n\n if (textEnd < 0) {\n text = html;\n }\n\n if (text) {\n advance(text.length);\n }\n\n if (options.chars && text) {\n options.chars(text, index - text.length, index);\n }\n } else {\n var endTagLength = 0;\n var stackedTag = lastTag.toLowerCase();\n var reStackedTag = reCache[stackedTag] || (reCache[stackedTag] = new RegExp('([\\\\s\\\\S]*?)(</' + stackedTag + '[^>]*>)', 'i'));\n var rest$1 = html.replace(reStackedTag, function (all, text, endTag) {\n endTagLength = endTag.length;\n if (!isPlainTextElement(stackedTag) && stackedTag !== 'noscript') {\n text = text\n .replace(/<!\\--([\\s\\S]*?)-->/g, '$1') // #7298\n .replace(/<!\\[CDATA\\[([\\s\\S]*?)]]>/g, '$1');\n }\n if (shouldIgnoreFirstNewline(stackedTag, text)) {\n text = text.slice(1);\n }\n if (options.chars) {\n options.chars(text);\n }\n return ''\n });\n index += html.length - rest$1.length;\n html = rest$1;\n parseEndTag(stackedTag, index - endTagLength, index);\n }\n\n if (html === last) {\n options.chars && options.chars(html);\n if (process.env.NODE_ENV !== 'production' && !stack.length && options.warn) {\n options.warn((\"Mal-formatted tag at end of template: \\\"\" + html + \"\\\"\"), { start: index + html.length });\n }\n break\n }\n }\n\n // Clean up any remaining tags\n parseEndTag();\n\n function advance (n) {\n index += n;\n html = html.substring(n);\n }\n\n function parseStartTag () {\n var start = html.match(startTagOpen);\n if (start) {\n var match = {\n tagName: start[1],\n attrs: [],\n start: index\n };\n advance(start[0].length);\n var end, attr;\n while (!(end = html.match(startTagClose)) && (attr = html.match(dynamicArgAttribute) || html.match(attribute))) {\n attr.start = index;\n advance(attr[0].length);\n attr.end = index;\n match.attrs.push(attr);\n }\n if (end) {\n match.unarySlash = end[1];\n advance(end[0].length);\n match.end = index;\n return match\n }\n }\n }\n\n function handleStartTag (match) {\n var tagName = match.tagName;\n var unarySlash = match.unarySlash;\n\n if (expectHTML) {\n if (lastTag === 'p' && isNonPhrasingTag(tagName)) {\n parseEndTag(lastTag);\n }\n if (canBeLeftOpenTag$$1(tagName) && lastTag === tagName) {\n parseEndTag(tagName);\n }\n }\n\n var unary = isUnaryTag$$1(tagName) || !!unarySlash;\n\n var l = match.attrs.length;\n var attrs = new Array(l);\n for (var i = 0; i < l; i++) {\n var args = match.attrs[i];\n var value = args[3] || args[4] || args[5] || '';\n var shouldDecodeNewlines = tagName === 'a' && args[1] === 'href'\n ? options.shouldDecodeNewlinesForHref\n : options.shouldDecodeNewlines;\n attrs[i] = {\n name: args[1],\n value: decodeAttr(value, shouldDecodeNewlines)\n };\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n attrs[i].start = args.start + args[0].match(/^\\s*/).length;\n attrs[i].end = args.end;\n }\n }\n\n if (!unary) {\n stack.push({ tag: tagName, lowerCasedTag: tagName.toLowerCase(), attrs: attrs, start: match.start, end: match.end });\n lastTag = tagName;\n }\n\n if (options.start) {\n options.start(tagName, attrs, unary, match.start, match.end);\n }\n }\n\n function parseEndTag (tagName, start, end) {\n var pos, lowerCasedTagName;\n if (start == null) { start = index; }\n if (end == null) { end = index; }\n\n // Find the closest opened tag of the same type\n if (tagName) {\n lowerCasedTagName = tagName.toLowerCase();\n for (pos = stack.length - 1; pos >= 0; pos--) {\n if (stack[pos].lowerCasedTag === lowerCasedTagName) {\n break\n }\n }\n } else {\n // If no tag name is provided, clean shop\n pos = 0;\n }\n\n if (pos >= 0) {\n // Close all the open elements, up the stack\n for (var i = stack.length - 1; i >= pos; i--) {\n if (process.env.NODE_ENV !== 'production' &&\n (i > pos || !tagName) &&\n options.warn\n ) {\n options.warn(\n (\"tag <\" + (stack[i].tag) + \"> has no matching end tag.\"),\n { start: stack[i].start, end: stack[i].end }\n );\n }\n if (options.end) {\n options.end(stack[i].tag, start, end);\n }\n }\n\n // Remove the open elements from the stack\n stack.length = pos;\n lastTag = pos && stack[pos - 1].tag;\n } else if (lowerCasedTagName === 'br') {\n if (options.start) {\n options.start(tagName, [], true, start, end);\n }\n } else if (lowerCasedTagName === 'p') {\n if (options.start) {\n options.start(tagName, [], false, start, end);\n }\n if (options.end) {\n options.end(tagName, start, end);\n }\n }\n }\n}\n\n/* */\n\nvar onRE = /^@|^v-on:/;\nvar dirRE = /^v-|^@|^:|^#/;\nvar forAliasRE = /([\\s\\S]*?)\\s+(?:in|of)\\s+([\\s\\S]*)/;\nvar forIteratorRE = /,([^,\\}\\]]*)(?:,([^,\\}\\]]*))?$/;\nvar stripParensRE = /^\\(|\\)$/g;\nvar dynamicArgRE = /^\\[.*\\]$/;\n\nvar argRE = /:(.*)$/;\nvar bindRE = /^:|^\\.|^v-bind:/;\nvar modifierRE = /\\.[^.\\]]+(?=[^\\]]*$)/g;\n\nvar slotRE = /^v-slot(:|$)|^#/;\n\nvar lineBreakRE = /[\\r\\n]/;\nvar whitespaceRE$1 = /\\s+/g;\n\nvar invalidAttributeRE = /[\\s\"'<>\\/=]/;\n\nvar decodeHTMLCached = cached(he.decode);\n\nvar emptySlotScopeToken = \"_empty_\";\n\n// configurable state\nvar warn$2;\nvar delimiters;\nvar transforms;\nvar preTransforms;\nvar postTransforms;\nvar platformIsPreTag;\nvar platformMustUseProp;\nvar platformGetTagNamespace;\nvar maybeComponent;\n\nfunction createASTElement (\n tag,\n attrs,\n parent\n) {\n return {\n type: 1,\n tag: tag,\n attrsList: attrs,\n attrsMap: makeAttrsMap(attrs),\n rawAttrsMap: {},\n parent: parent,\n children: []\n }\n}\n\n/**\n * Convert HTML string to AST.\n */\nfunction parse (\n template,\n options\n) {\n warn$2 = options.warn || baseWarn;\n\n platformIsPreTag = options.isPreTag || no;\n platformMustUseProp = options.mustUseProp || no;\n platformGetTagNamespace = options.getTagNamespace || no;\n var isReservedTag = options.isReservedTag || no;\n maybeComponent = function (el) { return !!el.component || !isReservedTag(el.tag); };\n\n transforms = pluckModuleFunction(options.modules, 'transformNode');\n preTransforms = pluckModuleFunction(options.modules, 'preTransformNode');\n postTransforms = pluckModuleFunction(options.modules, 'postTransformNode');\n\n delimiters = options.delimiters;\n\n var stack = [];\n var preserveWhitespace = options.preserveWhitespace !== false;\n var whitespaceOption = options.whitespace;\n var root;\n var currentParent;\n var inVPre = false;\n var inPre = false;\n var warned = false;\n\n function warnOnce (msg, range) {\n if (!warned) {\n warned = true;\n warn$2(msg, range);\n }\n }\n\n function closeElement (element) {\n trimEndingWhitespace(element);\n if (!inVPre && !element.processed) {\n element = processElement(element, options);\n }\n // tree management\n if (!stack.length && element !== root) {\n // allow root elements with v-if, v-else-if and v-else\n if (root.if && (element.elseif || element.else)) {\n if (process.env.NODE_ENV !== 'production') {\n checkRootConstraints(element);\n }\n addIfCondition(root, {\n exp: element.elseif,\n block: element\n });\n } else if (process.env.NODE_ENV !== 'production') {\n warnOnce(\n \"Component template should contain exactly one root element. \" +\n \"If you are using v-if on multiple elements, \" +\n \"use v-else-if to chain them instead.\",\n { start: element.start }\n );\n }\n }\n if (currentParent && !element.forbidden) {\n if (element.elseif || element.else) {\n processIfConditions(element, currentParent);\n } else {\n if (element.slotScope) {\n // scoped slot\n // keep it in the children list so that v-else(-if) conditions can\n // find it as the prev node.\n var name = element.slotTarget || '\"default\"'\n ;(currentParent.scopedSlots || (currentParent.scopedSlots = {}))[name] = element;\n }\n currentParent.children.push(element);\n element.parent = currentParent;\n }\n }\n\n // final children cleanup\n // filter out scoped slots\n element.children = element.children.filter(function (c) { return !(c).slotScope; });\n // remove trailing whitespace node again\n trimEndingWhitespace(element);\n\n // check pre state\n if (element.pre) {\n inVPre = false;\n }\n if (platformIsPreTag(element.tag)) {\n inPre = false;\n }\n // apply post-transforms\n for (var i = 0; i < postTransforms.length; i++) {\n postTransforms[i](element, options);\n }\n }\n\n function trimEndingWhitespace (el) {\n // remove trailing whitespace node\n if (!inPre) {\n var lastNode;\n while (\n (lastNode = el.children[el.children.length - 1]) &&\n lastNode.type === 3 &&\n lastNode.text === ' '\n ) {\n el.children.pop();\n }\n }\n }\n\n function checkRootConstraints (el) {\n if (el.tag === 'slot' || el.tag === 'template') {\n warnOnce(\n \"Cannot use <\" + (el.tag) + \"> as component root element because it may \" +\n 'contain multiple nodes.',\n { start: el.start }\n );\n }\n if (el.attrsMap.hasOwnProperty('v-for')) {\n warnOnce(\n 'Cannot use v-for on stateful component root element because ' +\n 'it renders multiple elements.',\n el.rawAttrsMap['v-for']\n );\n }\n }\n\n parseHTML(template, {\n warn: warn$2,\n expectHTML: options.expectHTML,\n isUnaryTag: options.isUnaryTag,\n canBeLeftOpenTag: options.canBeLeftOpenTag,\n shouldDecodeNewlines: options.shouldDecodeNewlines,\n shouldDecodeNewlinesForHref: options.shouldDecodeNewlinesForHref,\n shouldKeepComment: options.comments,\n outputSourceRange: options.outputSourceRange,\n start: function start (tag, attrs, unary, start$1, end) {\n // check namespace.\n // inherit parent ns if there is one\n var ns = (currentParent && currentParent.ns) || platformGetTagNamespace(tag);\n\n // handle IE svg bug\n /* istanbul ignore if */\n if (isIE && ns === 'svg') {\n attrs = guardIESVGBug(attrs);\n }\n\n var element = createASTElement(tag, attrs, currentParent);\n if (ns) {\n element.ns = ns;\n }\n\n if (process.env.NODE_ENV !== 'production') {\n if (options.outputSourceRange) {\n element.start = start$1;\n element.end = end;\n element.rawAttrsMap = element.attrsList.reduce(function (cumulated, attr) {\n cumulated[attr.name] = attr;\n return cumulated\n }, {});\n }\n attrs.forEach(function (attr) {\n if (invalidAttributeRE.test(attr.name)) {\n warn$2(\n \"Invalid dynamic argument expression: attribute names cannot contain \" +\n \"spaces, quotes, <, >, / or =.\",\n {\n start: attr.start + attr.name.indexOf(\"[\"),\n end: attr.start + attr.name.length\n }\n );\n }\n });\n }\n\n if (isForbiddenTag(element) && !isServerRendering()) {\n element.forbidden = true;\n process.env.NODE_ENV !== 'production' && warn$2(\n 'Templates should only be responsible for mapping the state to the ' +\n 'UI. Avoid placing tags with side-effects in your templates, such as ' +\n \"<\" + tag + \">\" + ', as they will not be parsed.',\n { start: element.start }\n );\n }\n\n // apply pre-transforms\n for (var i = 0; i < preTransforms.length; i++) {\n element = preTransforms[i](element, options) || element;\n }\n\n if (!inVPre) {\n processPre(element);\n if (element.pre) {\n inVPre = true;\n }\n }\n if (platformIsPreTag(element.tag)) {\n inPre = true;\n }\n if (inVPre) {\n processRawAttrs(element);\n } else if (!element.processed) {\n // structural directives\n processFor(element);\n processIf(element);\n processOnce(element);\n }\n\n if (!root) {\n root = element;\n if (process.env.NODE_ENV !== 'production') {\n checkRootConstraints(root);\n }\n }\n\n if (!unary) {\n currentParent = element;\n stack.push(element);\n } else {\n closeElement(element);\n }\n },\n\n end: function end (tag, start, end$1) {\n var element = stack[stack.length - 1];\n // pop stack\n stack.length -= 1;\n currentParent = stack[stack.length - 1];\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n element.end = end$1;\n }\n closeElement(element);\n },\n\n chars: function chars (text, start, end) {\n if (!currentParent) {\n if (process.env.NODE_ENV !== 'production') {\n if (text === template) {\n warnOnce(\n 'Component template requires a root element, rather than just text.',\n { start: start }\n );\n } else if ((text = text.trim())) {\n warnOnce(\n (\"text \\\"\" + text + \"\\\" outside root element will be ignored.\"),\n { start: start }\n );\n }\n }\n return\n }\n // IE textarea placeholder bug\n /* istanbul ignore if */\n if (isIE &&\n currentParent.tag === 'textarea' &&\n currentParent.attrsMap.placeholder === text\n ) {\n return\n }\n var children = currentParent.children;\n if (inPre || text.trim()) {\n text = isTextTag(currentParent) ? text : decodeHTMLCached(text);\n } else if (!children.length) {\n // remove the whitespace-only node right after an opening tag\n text = '';\n } else if (whitespaceOption) {\n if (whitespaceOption === 'condense') {\n // in condense mode, remove the whitespace node if it contains\n // line break, otherwise condense to a single space\n text = lineBreakRE.test(text) ? '' : ' ';\n } else {\n text = ' ';\n }\n } else {\n text = preserveWhitespace ? ' ' : '';\n }\n if (text) {\n if (!inPre && whitespaceOption === 'condense') {\n // condense consecutive whitespaces into single space\n text = text.replace(whitespaceRE$1, ' ');\n }\n var res;\n var child;\n if (!inVPre && text !== ' ' && (res = parseText(text, delimiters))) {\n child = {\n type: 2,\n expression: res.expression,\n tokens: res.tokens,\n text: text\n };\n } else if (text !== ' ' || !children.length || children[children.length - 1].text !== ' ') {\n child = {\n type: 3,\n text: text\n };\n }\n if (child) {\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n child.start = start;\n child.end = end;\n }\n children.push(child);\n }\n }\n },\n comment: function comment (text, start, end) {\n // adding anyting as a sibling to the root node is forbidden\n // comments should still be allowed, but ignored\n if (currentParent) {\n var child = {\n type: 3,\n text: text,\n isComment: true\n };\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n child.start = start;\n child.end = end;\n }\n currentParent.children.push(child);\n }\n }\n });\n return root\n}\n\nfunction processPre (el) {\n if (getAndRemoveAttr(el, 'v-pre') != null) {\n el.pre = true;\n }\n}\n\nfunction processRawAttrs (el) {\n var list = el.attrsList;\n var len = list.length;\n if (len) {\n var attrs = el.attrs = new Array(len);\n for (var i = 0; i < len; i++) {\n attrs[i] = {\n name: list[i].name,\n value: JSON.stringify(list[i].value)\n };\n if (list[i].start != null) {\n attrs[i].start = list[i].start;\n attrs[i].end = list[i].end;\n }\n }\n } else if (!el.pre) {\n // non root node in pre blocks with no attributes\n el.plain = true;\n }\n}\n\nfunction processElement (\n element,\n options\n) {\n processKey(element);\n\n // determine whether this is a plain element after\n // removing structural attributes\n element.plain = (\n !element.key &&\n !element.scopedSlots &&\n !element.attrsList.length\n );\n\n processRef(element);\n processSlotContent(element);\n processSlotOutlet(element);\n processComponent(element);\n for (var i = 0; i < transforms.length; i++) {\n element = transforms[i](element, options) || element;\n }\n processAttrs(element);\n return element\n}\n\nfunction processKey (el) {\n var exp = getBindingAttr(el, 'key');\n if (exp) {\n if (process.env.NODE_ENV !== 'production') {\n if (el.tag === 'template') {\n warn$2(\n \"<template> cannot be keyed. Place the key on real elements instead.\",\n getRawBindingAttr(el, 'key')\n );\n }\n if (el.for) {\n var iterator = el.iterator2 || el.iterator1;\n var parent = el.parent;\n if (iterator && iterator === exp && parent && parent.tag === 'transition-group') {\n warn$2(\n \"Do not use v-for index as key on <transition-group> children, \" +\n \"this is the same as not using keys.\",\n getRawBindingAttr(el, 'key'),\n true /* tip */\n );\n }\n }\n }\n el.key = exp;\n }\n}\n\nfunction processRef (el) {\n var ref = getBindingAttr(el, 'ref');\n if (ref) {\n el.ref = ref;\n el.refInFor = checkInFor(el);\n }\n}\n\nfunction processFor (el) {\n var exp;\n if ((exp = getAndRemoveAttr(el, 'v-for'))) {\n var res = parseFor(exp);\n if (res) {\n extend(el, res);\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n (\"Invalid v-for expression: \" + exp),\n el.rawAttrsMap['v-for']\n );\n }\n }\n}\n\n\n\nfunction parseFor (exp) {\n var inMatch = exp.match(forAliasRE);\n if (!inMatch) { return }\n var res = {};\n res.for = inMatch[2].trim();\n var alias = inMatch[1].trim().replace(stripParensRE, '');\n var iteratorMatch = alias.match(forIteratorRE);\n if (iteratorMatch) {\n res.alias = alias.replace(forIteratorRE, '').trim();\n res.iterator1 = iteratorMatch[1].trim();\n if (iteratorMatch[2]) {\n res.iterator2 = iteratorMatch[2].trim();\n }\n } else {\n res.alias = alias;\n }\n return res\n}\n\nfunction processIf (el) {\n var exp = getAndRemoveAttr(el, 'v-if');\n if (exp) {\n el.if = exp;\n addIfCondition(el, {\n exp: exp,\n block: el\n });\n } else {\n if (getAndRemoveAttr(el, 'v-else') != null) {\n el.else = true;\n }\n var elseif = getAndRemoveAttr(el, 'v-else-if');\n if (elseif) {\n el.elseif = elseif;\n }\n }\n}\n\nfunction processIfConditions (el, parent) {\n var prev = findPrevElement(parent.children);\n if (prev && prev.if) {\n addIfCondition(prev, {\n exp: el.elseif,\n block: el\n });\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n \"v-\" + (el.elseif ? ('else-if=\"' + el.elseif + '\"') : 'else') + \" \" +\n \"used on element <\" + (el.tag) + \"> without corresponding v-if.\",\n el.rawAttrsMap[el.elseif ? 'v-else-if' : 'v-else']\n );\n }\n}\n\nfunction findPrevElement (children) {\n var i = children.length;\n while (i--) {\n if (children[i].type === 1) {\n return children[i]\n } else {\n if (process.env.NODE_ENV !== 'production' && children[i].text !== ' ') {\n warn$2(\n \"text \\\"\" + (children[i].text.trim()) + \"\\\" between v-if and v-else(-if) \" +\n \"will be ignored.\",\n children[i]\n );\n }\n children.pop();\n }\n }\n}\n\nfunction addIfCondition (el, condition) {\n if (!el.ifConditions) {\n el.ifConditions = [];\n }\n el.ifConditions.push(condition);\n}\n\nfunction processOnce (el) {\n var once$$1 = getAndRemoveAttr(el, 'v-once');\n if (once$$1 != null) {\n el.once = true;\n }\n}\n\n// handle content being passed to a component as slot,\n// e.g. <template slot=\"xxx\">, <div slot-scope=\"xxx\">\nfunction processSlotContent (el) {\n var slotScope;\n if (el.tag === 'template') {\n slotScope = getAndRemoveAttr(el, 'scope');\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && slotScope) {\n warn$2(\n \"the \\\"scope\\\" attribute for scoped slots have been deprecated and \" +\n \"replaced by \\\"slot-scope\\\" since 2.5. The new \\\"slot-scope\\\" attribute \" +\n \"can also be used on plain elements in addition to <template> to \" +\n \"denote scoped slots.\",\n el.rawAttrsMap['scope'],\n true\n );\n }\n el.slotScope = slotScope || getAndRemoveAttr(el, 'slot-scope');\n } else if ((slotScope = getAndRemoveAttr(el, 'slot-scope'))) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && el.attrsMap['v-for']) {\n warn$2(\n \"Ambiguous combined usage of slot-scope and v-for on <\" + (el.tag) + \"> \" +\n \"(v-for takes higher priority). Use a wrapper <template> for the \" +\n \"scoped slot to make it clearer.\",\n el.rawAttrsMap['slot-scope'],\n true\n );\n }\n el.slotScope = slotScope;\n }\n\n // slot=\"xxx\"\n var slotTarget = getBindingAttr(el, 'slot');\n if (slotTarget) {\n el.slotTarget = slotTarget === '\"\"' ? '\"default\"' : slotTarget;\n el.slotTargetDynamic = !!(el.attrsMap[':slot'] || el.attrsMap['v-bind:slot']);\n // preserve slot as an attribute for native shadow DOM compat\n // only for non-scoped slots.\n if (el.tag !== 'template' && !el.slotScope) {\n addAttr(el, 'slot', slotTarget, getRawBindingAttr(el, 'slot'));\n }\n }\n\n // 2.6 v-slot syntax\n {\n if (el.tag === 'template') {\n // v-slot on <template>\n var slotBinding = getAndRemoveAttrByRegex(el, slotRE);\n if (slotBinding) {\n if (process.env.NODE_ENV !== 'production') {\n if (el.slotTarget || el.slotScope) {\n warn$2(\n \"Unexpected mixed usage of different slot syntaxes.\",\n el\n );\n }\n if (el.parent && !maybeComponent(el.parent)) {\n warn$2(\n \"<template v-slot> can only appear at the root level inside \" +\n \"the receiving component\",\n el\n );\n }\n }\n var ref = getSlotName(slotBinding);\n var name = ref.name;\n var dynamic = ref.dynamic;\n el.slotTarget = name;\n el.slotTargetDynamic = dynamic;\n el.slotScope = slotBinding.value || emptySlotScopeToken; // force it into a scoped slot for perf\n }\n } else {\n // v-slot on component, denotes default slot\n var slotBinding$1 = getAndRemoveAttrByRegex(el, slotRE);\n if (slotBinding$1) {\n if (process.env.NODE_ENV !== 'production') {\n if (!maybeComponent(el)) {\n warn$2(\n \"v-slot can only be used on components or <template>.\",\n slotBinding$1\n );\n }\n if (el.slotScope || el.slotTarget) {\n warn$2(\n \"Unexpected mixed usage of different slot syntaxes.\",\n el\n );\n }\n if (el.scopedSlots) {\n warn$2(\n \"To avoid scope ambiguity, the default slot should also use \" +\n \"<template> syntax when there are other named slots.\",\n slotBinding$1\n );\n }\n }\n // add the component's children to its default slot\n var slots = el.scopedSlots || (el.scopedSlots = {});\n var ref$1 = getSlotName(slotBinding$1);\n var name$1 = ref$1.name;\n var dynamic$1 = ref$1.dynamic;\n var slotContainer = slots[name$1] = createASTElement('template', [], el);\n slotContainer.slotTarget = name$1;\n slotContainer.slotTargetDynamic = dynamic$1;\n slotContainer.children = el.children.filter(function (c) {\n if (!c.slotScope) {\n c.parent = slotContainer;\n return true\n }\n });\n slotContainer.slotScope = slotBinding$1.value || emptySlotScopeToken;\n // remove children as they are returned from scopedSlots now\n el.children = [];\n // mark el non-plain so data gets generated\n el.plain = false;\n }\n }\n }\n}\n\nfunction getSlotName (binding) {\n var name = binding.name.replace(slotRE, '');\n if (!name) {\n if (binding.name[0] !== '#') {\n name = 'default';\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n \"v-slot shorthand syntax requires a slot name.\",\n binding\n );\n }\n }\n return dynamicArgRE.test(name)\n // dynamic [name]\n ? { name: name.slice(1, -1), dynamic: true }\n // static name\n : { name: (\"\\\"\" + name + \"\\\"\"), dynamic: false }\n}\n\n// handle <slot/> outlets\nfunction processSlotOutlet (el) {\n if (el.tag === 'slot') {\n el.slotName = getBindingAttr(el, 'name');\n if (process.env.NODE_ENV !== 'production' && el.key) {\n warn$2(\n \"`key` does not work on <slot> because slots are abstract outlets \" +\n \"and can possibly expand into multiple elements. \" +\n \"Use the key on a wrapping element instead.\",\n getRawBindingAttr(el, 'key')\n );\n }\n }\n}\n\nfunction processComponent (el) {\n var binding;\n if ((binding = getBindingAttr(el, 'is'))) {\n el.component = binding;\n }\n if (getAndRemoveAttr(el, 'inline-template') != null) {\n el.inlineTemplate = true;\n }\n}\n\nfunction processAttrs (el) {\n var list = el.attrsList;\n var i, l, name, rawName, value, modifiers, syncGen, isDynamic;\n for (i = 0, l = list.length; i < l; i++) {\n name = rawName = list[i].name;\n value = list[i].value;\n if (dirRE.test(name)) {\n // mark element as dynamic\n el.hasBindings = true;\n // modifiers\n modifiers = parseModifiers(name.replace(dirRE, ''));\n // support .foo shorthand syntax for the .prop modifier\n if (modifiers) {\n name = name.replace(modifierRE, '');\n }\n if (bindRE.test(name)) { // v-bind\n name = name.replace(bindRE, '');\n value = parseFilters(value);\n isDynamic = dynamicArgRE.test(name);\n if (isDynamic) {\n name = name.slice(1, -1);\n }\n if (\n process.env.NODE_ENV !== 'production' &&\n value.trim().length === 0\n ) {\n warn$2(\n (\"The value for a v-bind expression cannot be empty. Found in \\\"v-bind:\" + name + \"\\\"\")\n );\n }\n if (modifiers) {\n if (modifiers.prop && !isDynamic) {\n name = camelize(name);\n if (name === 'innerHtml') { name = 'innerHTML'; }\n }\n if (modifiers.camel && !isDynamic) {\n name = camelize(name);\n }\n if (modifiers.sync) {\n syncGen = genAssignmentCode(value, \"$event\");\n if (!isDynamic) {\n addHandler(\n el,\n (\"update:\" + (camelize(name))),\n syncGen,\n null,\n false,\n warn$2,\n list[i]\n );\n if (hyphenate(name) !== camelize(name)) {\n addHandler(\n el,\n (\"update:\" + (hyphenate(name))),\n syncGen,\n null,\n false,\n warn$2,\n list[i]\n );\n }\n } else {\n // handler w/ dynamic event name\n addHandler(\n el,\n (\"\\\"update:\\\"+(\" + name + \")\"),\n syncGen,\n null,\n false,\n warn$2,\n list[i],\n true // dynamic\n );\n }\n }\n }\n if ((modifiers && modifiers.prop) || (\n !el.component && platformMustUseProp(el.tag, el.attrsMap.type, name)\n )) {\n addProp(el, name, value, list[i], isDynamic);\n } else {\n addAttr(el, name, value, list[i], isDynamic);\n }\n } else if (onRE.test(name)) { // v-on\n name = name.replace(onRE, '');\n isDynamic = dynamicArgRE.test(name);\n if (isDynamic) {\n name = name.slice(1, -1);\n }\n addHandler(el, name, value, modifiers, false, warn$2, list[i], isDynamic);\n } else { // normal directives\n name = name.replace(dirRE, '');\n // parse arg\n var argMatch = name.match(argRE);\n var arg = argMatch && argMatch[1];\n isDynamic = false;\n if (arg) {\n name = name.slice(0, -(arg.length + 1));\n if (dynamicArgRE.test(arg)) {\n arg = arg.slice(1, -1);\n isDynamic = true;\n }\n }\n addDirective(el, name, rawName, value, arg, isDynamic, modifiers, list[i]);\n if (process.env.NODE_ENV !== 'production' && name === 'model') {\n checkForAliasModel(el, value);\n }\n }\n } else {\n // literal attribute\n if (process.env.NODE_ENV !== 'production') {\n var res = parseText(value, delimiters);\n if (res) {\n warn$2(\n name + \"=\\\"\" + value + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div id=\"{{ val }}\">, use <div :id=\"val\">.',\n list[i]\n );\n }\n }\n addAttr(el, name, JSON.stringify(value), list[i]);\n // #6887 firefox doesn't update muted state if set via attribute\n // even immediately after element creation\n if (!el.component &&\n name === 'muted' &&\n platformMustUseProp(el.tag, el.attrsMap.type, name)) {\n addProp(el, name, 'true', list[i]);\n }\n }\n }\n}\n\nfunction checkInFor (el) {\n var parent = el;\n while (parent) {\n if (parent.for !== undefined) {\n return true\n }\n parent = parent.parent;\n }\n return false\n}\n\nfunction parseModifiers (name) {\n var match = name.match(modifierRE);\n if (match) {\n var ret = {};\n match.forEach(function (m) { ret[m.slice(1)] = true; });\n return ret\n }\n}\n\nfunction makeAttrsMap (attrs) {\n var map = {};\n for (var i = 0, l = attrs.length; i < l; i++) {\n if (\n process.env.NODE_ENV !== 'production' &&\n map[attrs[i].name] && !isIE && !isEdge\n ) {\n warn$2('duplicate attribute: ' + attrs[i].name, attrs[i]);\n }\n map[attrs[i].name] = attrs[i].value;\n }\n return map\n}\n\n// for script (e.g. type=\"x/template\") or style, do not decode content\nfunction isTextTag (el) {\n return el.tag === 'script' || el.tag === 'style'\n}\n\nfunction isForbiddenTag (el) {\n return (\n el.tag === 'style' ||\n (el.tag === 'script' && (\n !el.attrsMap.type ||\n el.attrsMap.type === 'text/javascript'\n ))\n )\n}\n\nvar ieNSBug = /^xmlns:NS\\d+/;\nvar ieNSPrefix = /^NS\\d+:/;\n\n/* istanbul ignore next */\nfunction guardIESVGBug (attrs) {\n var res = [];\n for (var i = 0; i < attrs.length; i++) {\n var attr = attrs[i];\n if (!ieNSBug.test(attr.name)) {\n attr.name = attr.name.replace(ieNSPrefix, '');\n res.push(attr);\n }\n }\n return res\n}\n\nfunction checkForAliasModel (el, value) {\n var _el = el;\n while (_el) {\n if (_el.for && _el.alias === value) {\n warn$2(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\">: \" +\n \"You are binding v-model directly to a v-for iteration alias. \" +\n \"This will not be able to modify the v-for source array because \" +\n \"writing to the alias is like modifying a function local variable. \" +\n \"Consider using an array of objects and use v-model on an object property instead.\",\n el.rawAttrsMap['v-model']\n );\n }\n _el = _el.parent;\n }\n}\n\n/* */\n\nfunction preTransformNode (el, options) {\n if (el.tag === 'input') {\n var map = el.attrsMap;\n if (!map['v-model']) {\n return\n }\n\n var typeBinding;\n if (map[':type'] || map['v-bind:type']) {\n typeBinding = getBindingAttr(el, 'type');\n }\n if (!map.type && !typeBinding && map['v-bind']) {\n typeBinding = \"(\" + (map['v-bind']) + \").type\";\n }\n\n if (typeBinding) {\n var ifCondition = getAndRemoveAttr(el, 'v-if', true);\n var ifConditionExtra = ifCondition ? (\"&&(\" + ifCondition + \")\") : \"\";\n var hasElse = getAndRemoveAttr(el, 'v-else', true) != null;\n var elseIfCondition = getAndRemoveAttr(el, 'v-else-if', true);\n // 1. checkbox\n var branch0 = cloneASTElement(el);\n // process for on the main node\n processFor(branch0);\n addRawAttr(branch0, 'type', 'checkbox');\n processElement(branch0, options);\n branch0.processed = true; // prevent it from double-processed\n branch0.if = \"(\" + typeBinding + \")==='checkbox'\" + ifConditionExtra;\n addIfCondition(branch0, {\n exp: branch0.if,\n block: branch0\n });\n // 2. add radio else-if condition\n var branch1 = cloneASTElement(el);\n getAndRemoveAttr(branch1, 'v-for', true);\n addRawAttr(branch1, 'type', 'radio');\n processElement(branch1, options);\n addIfCondition(branch0, {\n exp: \"(\" + typeBinding + \")==='radio'\" + ifConditionExtra,\n block: branch1\n });\n // 3. other\n var branch2 = cloneASTElement(el);\n getAndRemoveAttr(branch2, 'v-for', true);\n addRawAttr(branch2, ':type', typeBinding);\n processElement(branch2, options);\n addIfCondition(branch0, {\n exp: ifCondition,\n block: branch2\n });\n\n if (hasElse) {\n branch0.else = true;\n } else if (elseIfCondition) {\n branch0.elseif = elseIfCondition;\n }\n\n return branch0\n }\n }\n}\n\nfunction cloneASTElement (el) {\n return createASTElement(el.tag, el.attrsList.slice(), el.parent)\n}\n\nvar model$1 = {\n preTransformNode: preTransformNode\n};\n\nvar modules$1 = [\n klass$1,\n style$1,\n model$1\n];\n\n/* */\n\nfunction text (el, dir) {\n if (dir.value) {\n addProp(el, 'textContent', (\"_s(\" + (dir.value) + \")\"), dir);\n }\n}\n\n/* */\n\nfunction html (el, dir) {\n if (dir.value) {\n addProp(el, 'innerHTML', (\"_s(\" + (dir.value) + \")\"), dir);\n }\n}\n\nvar directives$1 = {\n model: model,\n text: text,\n html: html\n};\n\n/* */\n\nvar baseOptions = {\n expectHTML: true,\n modules: modules$1,\n directives: directives$1,\n isPreTag: isPreTag,\n isUnaryTag: isUnaryTag,\n mustUseProp: mustUseProp,\n canBeLeftOpenTag: canBeLeftOpenTag,\n isReservedTag: isReservedTag,\n getTagNamespace: getTagNamespace,\n staticKeys: genStaticKeys(modules$1)\n};\n\n/* */\n\nvar isStaticKey;\nvar isPlatformReservedTag;\n\nvar genStaticKeysCached = cached(genStaticKeys$1);\n\n/**\n * Goal of the optimizer: walk the generated template AST tree\n * and detect sub-trees that are purely static, i.e. parts of\n * the DOM that never needs to change.\n *\n * Once we detect these sub-trees, we can:\n *\n * 1. Hoist them into constants, so that we no longer need to\n * create fresh nodes for them on each re-render;\n * 2. Completely skip them in the patching process.\n */\nfunction optimize (root, options) {\n if (!root) { return }\n isStaticKey = genStaticKeysCached(options.staticKeys || '');\n isPlatformReservedTag = options.isReservedTag || no;\n // first pass: mark all non-static nodes.\n markStatic$1(root);\n // second pass: mark static roots.\n markStaticRoots(root, false);\n}\n\nfunction genStaticKeys$1 (keys) {\n return makeMap(\n 'type,tag,attrsList,attrsMap,plain,parent,children,attrs,start,end,rawAttrsMap' +\n (keys ? ',' + keys : '')\n )\n}\n\nfunction markStatic$1 (node) {\n node.static = isStatic(node);\n if (node.type === 1) {\n // do not make component slot content static. this avoids\n // 1. components not able to mutate slot nodes\n // 2. static slot content fails for hot-reloading\n if (\n !isPlatformReservedTag(node.tag) &&\n node.tag !== 'slot' &&\n node.attrsMap['inline-template'] == null\n ) {\n return\n }\n for (var i = 0, l = node.children.length; i < l; i++) {\n var child = node.children[i];\n markStatic$1(child);\n if (!child.static) {\n node.static = false;\n }\n }\n if (node.ifConditions) {\n for (var i$1 = 1, l$1 = node.ifConditions.length; i$1 < l$1; i$1++) {\n var block = node.ifConditions[i$1].block;\n markStatic$1(block);\n if (!block.static) {\n node.static = false;\n }\n }\n }\n }\n}\n\nfunction markStaticRoots (node, isInFor) {\n if (node.type === 1) {\n if (node.static || node.once) {\n node.staticInFor = isInFor;\n }\n // For a node to qualify as a static root, it should have children that\n // are not just static text. Otherwise the cost of hoisting out will\n // outweigh the benefits and it's better off to just always render it fresh.\n if (node.static && node.children.length && !(\n node.children.length === 1 &&\n node.children[0].type === 3\n )) {\n node.staticRoot = true;\n return\n } else {\n node.staticRoot = false;\n }\n if (node.children) {\n for (var i = 0, l = node.children.length; i < l; i++) {\n markStaticRoots(node.children[i], isInFor || !!node.for);\n }\n }\n if (node.ifConditions) {\n for (var i$1 = 1, l$1 = node.ifConditions.length; i$1 < l$1; i$1++) {\n markStaticRoots(node.ifConditions[i$1].block, isInFor);\n }\n }\n }\n}\n\nfunction isStatic (node) {\n if (node.type === 2) { // expression\n return false\n }\n if (node.type === 3) { // text\n return true\n }\n return !!(node.pre || (\n !node.hasBindings && // no dynamic bindings\n !node.if && !node.for && // not v-if or v-for or v-else\n !isBuiltInTag(node.tag) && // not a built-in\n isPlatformReservedTag(node.tag) && // not a component\n !isDirectChildOfTemplateFor(node) &&\n Object.keys(node).every(isStaticKey)\n ))\n}\n\nfunction isDirectChildOfTemplateFor (node) {\n while (node.parent) {\n node = node.parent;\n if (node.tag !== 'template') {\n return false\n }\n if (node.for) {\n return true\n }\n }\n return false\n}\n\n/* */\n\nvar fnExpRE = /^([\\w$_]+|\\([^)]*?\\))\\s*=>|^function(?:\\s+[\\w$]+)?\\s*\\(/;\nvar fnInvokeRE = /\\([^)]*?\\);*$/;\nvar simplePathRE = /^[A-Za-z_$][\\w$]*(?:\\.[A-Za-z_$][\\w$]*|\\['[^']*?']|\\[\"[^\"]*?\"]|\\[\\d+]|\\[[A-Za-z_$][\\w$]*])*$/;\n\n// KeyboardEvent.keyCode aliases\nvar keyCodes = {\n esc: 27,\n tab: 9,\n enter: 13,\n space: 32,\n up: 38,\n left: 37,\n right: 39,\n down: 40,\n 'delete': [8, 46]\n};\n\n// KeyboardEvent.key aliases\nvar keyNames = {\n // #7880: IE11 and Edge use `Esc` for Escape key name.\n esc: ['Esc', 'Escape'],\n tab: 'Tab',\n enter: 'Enter',\n // #9112: IE11 uses `Spacebar` for Space key name.\n space: [' ', 'Spacebar'],\n // #7806: IE11 uses key names without `Arrow` prefix for arrow keys.\n up: ['Up', 'ArrowUp'],\n left: ['Left', 'ArrowLeft'],\n right: ['Right', 'ArrowRight'],\n down: ['Down', 'ArrowDown'],\n // #9112: IE11 uses `Del` for Delete key name.\n 'delete': ['Backspace', 'Delete', 'Del']\n};\n\n// #4868: modifiers that prevent the execution of the listener\n// need to explicitly return null so that we can determine whether to remove\n// the listener for .once\nvar genGuard = function (condition) { return (\"if(\" + condition + \")return null;\"); };\n\nvar modifierCode = {\n stop: '$event.stopPropagation();',\n prevent: '$event.preventDefault();',\n self: genGuard(\"$event.target !== $event.currentTarget\"),\n ctrl: genGuard(\"!$event.ctrlKey\"),\n shift: genGuard(\"!$event.shiftKey\"),\n alt: genGuard(\"!$event.altKey\"),\n meta: genGuard(\"!$event.metaKey\"),\n left: genGuard(\"'button' in $event && $event.button !== 0\"),\n middle: genGuard(\"'button' in $event && $event.button !== 1\"),\n right: genGuard(\"'button' in $event && $event.button !== 2\")\n};\n\nfunction genHandlers (\n events,\n isNative\n) {\n var prefix = isNative ? 'nativeOn:' : 'on:';\n var staticHandlers = \"\";\n var dynamicHandlers = \"\";\n for (var name in events) {\n var handlerCode = genHandler(events[name]);\n if (events[name] && events[name].dynamic) {\n dynamicHandlers += name + \",\" + handlerCode + \",\";\n } else {\n staticHandlers += \"\\\"\" + name + \"\\\":\" + handlerCode + \",\";\n }\n }\n staticHandlers = \"{\" + (staticHandlers.slice(0, -1)) + \"}\";\n if (dynamicHandlers) {\n return prefix + \"_d(\" + staticHandlers + \",[\" + (dynamicHandlers.slice(0, -1)) + \"])\"\n } else {\n return prefix + staticHandlers\n }\n}\n\nfunction genHandler (handler) {\n if (!handler) {\n return 'function(){}'\n }\n\n if (Array.isArray(handler)) {\n return (\"[\" + (handler.map(function (handler) { return genHandler(handler); }).join(',')) + \"]\")\n }\n\n var isMethodPath = simplePathRE.test(handler.value);\n var isFunctionExpression = fnExpRE.test(handler.value);\n var isFunctionInvocation = simplePathRE.test(handler.value.replace(fnInvokeRE, ''));\n\n if (!handler.modifiers) {\n if (isMethodPath || isFunctionExpression) {\n return handler.value\n }\n return (\"function($event){\" + (isFunctionInvocation ? (\"return \" + (handler.value)) : handler.value) + \"}\") // inline statement\n } else {\n var code = '';\n var genModifierCode = '';\n var keys = [];\n for (var key in handler.modifiers) {\n if (modifierCode[key]) {\n genModifierCode += modifierCode[key];\n // left/right\n if (keyCodes[key]) {\n keys.push(key);\n }\n } else if (key === 'exact') {\n var modifiers = (handler.modifiers);\n genModifierCode += genGuard(\n ['ctrl', 'shift', 'alt', 'meta']\n .filter(function (keyModifier) { return !modifiers[keyModifier]; })\n .map(function (keyModifier) { return (\"$event.\" + keyModifier + \"Key\"); })\n .join('||')\n );\n } else {\n keys.push(key);\n }\n }\n if (keys.length) {\n code += genKeyFilter(keys);\n }\n // Make sure modifiers like prevent and stop get executed after key filtering\n if (genModifierCode) {\n code += genModifierCode;\n }\n var handlerCode = isMethodPath\n ? (\"return \" + (handler.value) + \"($event)\")\n : isFunctionExpression\n ? (\"return (\" + (handler.value) + \")($event)\")\n : isFunctionInvocation\n ? (\"return \" + (handler.value))\n : handler.value;\n return (\"function($event){\" + code + handlerCode + \"}\")\n }\n}\n\nfunction genKeyFilter (keys) {\n return (\n // make sure the key filters only apply to KeyboardEvents\n // #9441: can't use 'keyCode' in $event because Chrome autofill fires fake\n // key events that do not have keyCode property...\n \"if(!$event.type.indexOf('key')&&\" +\n (keys.map(genFilterCode).join('&&')) + \")return null;\"\n )\n}\n\nfunction genFilterCode (key) {\n var keyVal = parseInt(key, 10);\n if (keyVal) {\n return (\"$event.keyCode!==\" + keyVal)\n }\n var keyCode = keyCodes[key];\n var keyName = keyNames[key];\n return (\n \"_k($event.keyCode,\" +\n (JSON.stringify(key)) + \",\" +\n (JSON.stringify(keyCode)) + \",\" +\n \"$event.key,\" +\n \"\" + (JSON.stringify(keyName)) +\n \")\"\n )\n}\n\n/* */\n\nfunction on (el, dir) {\n if (process.env.NODE_ENV !== 'production' && dir.modifiers) {\n warn(\"v-on without argument does not support modifiers.\");\n }\n el.wrapListeners = function (code) { return (\"_g(\" + code + \",\" + (dir.value) + \")\"); };\n}\n\n/* */\n\nfunction bind$1 (el, dir) {\n el.wrapData = function (code) {\n return (\"_b(\" + code + \",'\" + (el.tag) + \"',\" + (dir.value) + \",\" + (dir.modifiers && dir.modifiers.prop ? 'true' : 'false') + (dir.modifiers && dir.modifiers.sync ? ',true' : '') + \")\")\n };\n}\n\n/* */\n\nvar baseDirectives = {\n on: on,\n bind: bind$1,\n cloak: noop\n};\n\n/* */\n\n\n\n\n\nvar CodegenState = function CodegenState (options) {\n this.options = options;\n this.warn = options.warn || baseWarn;\n this.transforms = pluckModuleFunction(options.modules, 'transformCode');\n this.dataGenFns = pluckModuleFunction(options.modules, 'genData');\n this.directives = extend(extend({}, baseDirectives), options.directives);\n var isReservedTag = options.isReservedTag || no;\n this.maybeComponent = function (el) { return !!el.component || !isReservedTag(el.tag); };\n this.onceId = 0;\n this.staticRenderFns = [];\n this.pre = false;\n};\n\n\n\nfunction generate (\n ast,\n options\n) {\n var state = new CodegenState(options);\n var code = ast ? genElement(ast, state) : '_c(\"div\")';\n return {\n render: (\"with(this){return \" + code + \"}\"),\n staticRenderFns: state.staticRenderFns\n }\n}\n\nfunction genElement (el, state) {\n if (el.parent) {\n el.pre = el.pre || el.parent.pre;\n }\n\n if (el.staticRoot && !el.staticProcessed) {\n return genStatic(el, state)\n } else if (el.once && !el.onceProcessed) {\n return genOnce(el, state)\n } else if (el.for && !el.forProcessed) {\n return genFor(el, state)\n } else if (el.if && !el.ifProcessed) {\n return genIf(el, state)\n } else if (el.tag === 'template' && !el.slotTarget && !state.pre) {\n return genChildren(el, state) || 'void 0'\n } else if (el.tag === 'slot') {\n return genSlot(el, state)\n } else {\n // component or element\n var code;\n if (el.component) {\n code = genComponent(el.component, el, state);\n } else {\n var data;\n if (!el.plain || (el.pre && state.maybeComponent(el))) {\n data = genData$2(el, state);\n }\n\n var children = el.inlineTemplate ? null : genChildren(el, state, true);\n code = \"_c('\" + (el.tag) + \"'\" + (data ? (\",\" + data) : '') + (children ? (\",\" + children) : '') + \")\";\n }\n // module transforms\n for (var i = 0; i < state.transforms.length; i++) {\n code = state.transforms[i](el, code);\n }\n return code\n }\n}\n\n// hoist static sub-trees out\nfunction genStatic (el, state) {\n el.staticProcessed = true;\n // Some elements (templates) need to behave differently inside of a v-pre\n // node. All pre nodes are static roots, so we can use this as a location to\n // wrap a state change and reset it upon exiting the pre node.\n var originalPreState = state.pre;\n if (el.pre) {\n state.pre = el.pre;\n }\n state.staticRenderFns.push((\"with(this){return \" + (genElement(el, state)) + \"}\"));\n state.pre = originalPreState;\n return (\"_m(\" + (state.staticRenderFns.length - 1) + (el.staticInFor ? ',true' : '') + \")\")\n}\n\n// v-once\nfunction genOnce (el, state) {\n el.onceProcessed = true;\n if (el.if && !el.ifProcessed) {\n return genIf(el, state)\n } else if (el.staticInFor) {\n var key = '';\n var parent = el.parent;\n while (parent) {\n if (parent.for) {\n key = parent.key;\n break\n }\n parent = parent.parent;\n }\n if (!key) {\n process.env.NODE_ENV !== 'production' && state.warn(\n \"v-once can only be used inside v-for that is keyed. \",\n el.rawAttrsMap['v-once']\n );\n return genElement(el, state)\n }\n return (\"_o(\" + (genElement(el, state)) + \",\" + (state.onceId++) + \",\" + key + \")\")\n } else {\n return genStatic(el, state)\n }\n}\n\nfunction genIf (\n el,\n state,\n altGen,\n altEmpty\n) {\n el.ifProcessed = true; // avoid recursion\n return genIfConditions(el.ifConditions.slice(), state, altGen, altEmpty)\n}\n\nfunction genIfConditions (\n conditions,\n state,\n altGen,\n altEmpty\n) {\n if (!conditions.length) {\n return altEmpty || '_e()'\n }\n\n var condition = conditions.shift();\n if (condition.exp) {\n return (\"(\" + (condition.exp) + \")?\" + (genTernaryExp(condition.block)) + \":\" + (genIfConditions(conditions, state, altGen, altEmpty)))\n } else {\n return (\"\" + (genTernaryExp(condition.block)))\n }\n\n // v-if with v-once should generate code like (a)?_m(0):_m(1)\n function genTernaryExp (el) {\n return altGen\n ? altGen(el, state)\n : el.once\n ? genOnce(el, state)\n : genElement(el, state)\n }\n}\n\nfunction genFor (\n el,\n state,\n altGen,\n altHelper\n) {\n var exp = el.for;\n var alias = el.alias;\n var iterator1 = el.iterator1 ? (\",\" + (el.iterator1)) : '';\n var iterator2 = el.iterator2 ? (\",\" + (el.iterator2)) : '';\n\n if (process.env.NODE_ENV !== 'production' &&\n state.maybeComponent(el) &&\n el.tag !== 'slot' &&\n el.tag !== 'template' &&\n !el.key\n ) {\n state.warn(\n \"<\" + (el.tag) + \" v-for=\\\"\" + alias + \" in \" + exp + \"\\\">: component lists rendered with \" +\n \"v-for should have explicit keys. \" +\n \"See https://vuejs.org/guide/list.html#key for more info.\",\n el.rawAttrsMap['v-for'],\n true /* tip */\n );\n }\n\n el.forProcessed = true; // avoid recursion\n return (altHelper || '_l') + \"((\" + exp + \"),\" +\n \"function(\" + alias + iterator1 + iterator2 + \"){\" +\n \"return \" + ((altGen || genElement)(el, state)) +\n '})'\n}\n\nfunction genData$2 (el, state) {\n var data = '{';\n\n // directives first.\n // directives may mutate the el's other properties before they are generated.\n var dirs = genDirectives(el, state);\n if (dirs) { data += dirs + ','; }\n\n // key\n if (el.key) {\n data += \"key:\" + (el.key) + \",\";\n }\n // ref\n if (el.ref) {\n data += \"ref:\" + (el.ref) + \",\";\n }\n if (el.refInFor) {\n data += \"refInFor:true,\";\n }\n // pre\n if (el.pre) {\n data += \"pre:true,\";\n }\n // record original tag name for components using \"is\" attribute\n if (el.component) {\n data += \"tag:\\\"\" + (el.tag) + \"\\\",\";\n }\n // module data generation functions\n for (var i = 0; i < state.dataGenFns.length; i++) {\n data += state.dataGenFns[i](el);\n }\n // attributes\n if (el.attrs) {\n data += \"attrs:\" + (genProps(el.attrs)) + \",\";\n }\n // DOM props\n if (el.props) {\n data += \"domProps:\" + (genProps(el.props)) + \",\";\n }\n // event handlers\n if (el.events) {\n data += (genHandlers(el.events, false)) + \",\";\n }\n if (el.nativeEvents) {\n data += (genHandlers(el.nativeEvents, true)) + \",\";\n }\n // slot target\n // only for non-scoped slots\n if (el.slotTarget && !el.slotScope) {\n data += \"slot:\" + (el.slotTarget) + \",\";\n }\n // scoped slots\n if (el.scopedSlots) {\n data += (genScopedSlots(el, el.scopedSlots, state)) + \",\";\n }\n // component v-model\n if (el.model) {\n data += \"model:{value:\" + (el.model.value) + \",callback:\" + (el.model.callback) + \",expression:\" + (el.model.expression) + \"},\";\n }\n // inline-template\n if (el.inlineTemplate) {\n var inlineTemplate = genInlineTemplate(el, state);\n if (inlineTemplate) {\n data += inlineTemplate + \",\";\n }\n }\n data = data.replace(/,$/, '') + '}';\n // v-bind dynamic argument wrap\n // v-bind with dynamic arguments must be applied using the same v-bind object\n // merge helper so that class/style/mustUseProp attrs are handled correctly.\n if (el.dynamicAttrs) {\n data = \"_b(\" + data + \",\\\"\" + (el.tag) + \"\\\",\" + (genProps(el.dynamicAttrs)) + \")\";\n }\n // v-bind data wrap\n if (el.wrapData) {\n data = el.wrapData(data);\n }\n // v-on data wrap\n if (el.wrapListeners) {\n data = el.wrapListeners(data);\n }\n return data\n}\n\nfunction genDirectives (el, state) {\n var dirs = el.directives;\n if (!dirs) { return }\n var res = 'directives:[';\n var hasRuntime = false;\n var i, l, dir, needRuntime;\n for (i = 0, l = dirs.length; i < l; i++) {\n dir = dirs[i];\n needRuntime = true;\n var gen = state.directives[dir.name];\n if (gen) {\n // compile-time directive that manipulates AST.\n // returns true if it also needs a runtime counterpart.\n needRuntime = !!gen(el, dir, state.warn);\n }\n if (needRuntime) {\n hasRuntime = true;\n res += \"{name:\\\"\" + (dir.name) + \"\\\",rawName:\\\"\" + (dir.rawName) + \"\\\"\" + (dir.value ? (\",value:(\" + (dir.value) + \"),expression:\" + (JSON.stringify(dir.value))) : '') + (dir.arg ? (\",arg:\" + (dir.isDynamicArg ? dir.arg : (\"\\\"\" + (dir.arg) + \"\\\"\"))) : '') + (dir.modifiers ? (\",modifiers:\" + (JSON.stringify(dir.modifiers))) : '') + \"},\";\n }\n }\n if (hasRuntime) {\n return res.slice(0, -1) + ']'\n }\n}\n\nfunction genInlineTemplate (el, state) {\n var ast = el.children[0];\n if (process.env.NODE_ENV !== 'production' && (\n el.children.length !== 1 || ast.type !== 1\n )) {\n state.warn(\n 'Inline-template components must have exactly one child element.',\n { start: el.start }\n );\n }\n if (ast && ast.type === 1) {\n var inlineRenderFns = generate(ast, state.options);\n return (\"inlineTemplate:{render:function(){\" + (inlineRenderFns.render) + \"},staticRenderFns:[\" + (inlineRenderFns.staticRenderFns.map(function (code) { return (\"function(){\" + code + \"}\"); }).join(',')) + \"]}\")\n }\n}\n\nfunction genScopedSlots (\n el,\n slots,\n state\n) {\n // by default scoped slots are considered \"stable\", this allows child\n // components with only scoped slots to skip forced updates from parent.\n // but in some cases we have to bail-out of this optimization\n // for example if the slot contains dynamic names, has v-if or v-for on them...\n var needsForceUpdate = el.for || Object.keys(slots).some(function (key) {\n var slot = slots[key];\n return (\n slot.slotTargetDynamic ||\n slot.if ||\n slot.for ||\n containsSlotChild(slot) // is passing down slot from parent which may be dynamic\n )\n });\n\n // #9534: if a component with scoped slots is inside a conditional branch,\n // it's possible for the same component to be reused but with different\n // compiled slot content. To avoid that, we generate a unique key based on\n // the generated code of all the slot contents.\n var needsKey = !!el.if;\n\n // OR when it is inside another scoped slot or v-for (the reactivity may be\n // disconnected due to the intermediate scope variable)\n // #9438, #9506\n // TODO: this can be further optimized by properly analyzing in-scope bindings\n // and skip force updating ones that do not actually use scope variables.\n if (!needsForceUpdate) {\n var parent = el.parent;\n while (parent) {\n if (\n (parent.slotScope && parent.slotScope !== emptySlotScopeToken) ||\n parent.for\n ) {\n needsForceUpdate = true;\n break\n }\n if (parent.if) {\n needsKey = true;\n }\n parent = parent.parent;\n }\n }\n\n var generatedSlots = Object.keys(slots)\n .map(function (key) { return genScopedSlot(slots[key], state); })\n .join(',');\n\n return (\"scopedSlots:_u([\" + generatedSlots + \"]\" + (needsForceUpdate ? \",null,true\" : \"\") + (!needsForceUpdate && needsKey ? (\",null,false,\" + (hash(generatedSlots))) : \"\") + \")\")\n}\n\nfunction hash(str) {\n var hash = 5381;\n var i = str.length;\n while(i) {\n hash = (hash * 33) ^ str.charCodeAt(--i);\n }\n return hash >>> 0\n}\n\nfunction containsSlotChild (el) {\n if (el.type === 1) {\n if (el.tag === 'slot') {\n return true\n }\n return el.children.some(containsSlotChild)\n }\n return false\n}\n\nfunction genScopedSlot (\n el,\n state\n) {\n var isLegacySyntax = el.attrsMap['slot-scope'];\n if (el.if && !el.ifProcessed && !isLegacySyntax) {\n return genIf(el, state, genScopedSlot, \"null\")\n }\n if (el.for && !el.forProcessed) {\n return genFor(el, state, genScopedSlot)\n }\n var slotScope = el.slotScope === emptySlotScopeToken\n ? \"\"\n : String(el.slotScope);\n var fn = \"function(\" + slotScope + \"){\" +\n \"return \" + (el.tag === 'template'\n ? el.if && isLegacySyntax\n ? (\"(\" + (el.if) + \")?\" + (genChildren(el, state) || 'undefined') + \":undefined\")\n : genChildren(el, state) || 'undefined'\n : genElement(el, state)) + \"}\";\n // reverse proxy v-slot without scope on this.$slots\n var reverseProxy = slotScope ? \"\" : \",proxy:true\";\n return (\"{key:\" + (el.slotTarget || \"\\\"default\\\"\") + \",fn:\" + fn + reverseProxy + \"}\")\n}\n\nfunction genChildren (\n el,\n state,\n checkSkip,\n altGenElement,\n altGenNode\n) {\n var children = el.children;\n if (children.length) {\n var el$1 = children[0];\n // optimize single v-for\n if (children.length === 1 &&\n el$1.for &&\n el$1.tag !== 'template' &&\n el$1.tag !== 'slot'\n ) {\n var normalizationType = checkSkip\n ? state.maybeComponent(el$1) ? \",1\" : \",0\"\n : \"\";\n return (\"\" + ((altGenElement || genElement)(el$1, state)) + normalizationType)\n }\n var normalizationType$1 = checkSkip\n ? getNormalizationType(children, state.maybeComponent)\n : 0;\n var gen = altGenNode || genNode;\n return (\"[\" + (children.map(function (c) { return gen(c, state); }).join(',')) + \"]\" + (normalizationType$1 ? (\",\" + normalizationType$1) : ''))\n }\n}\n\n// determine the normalization needed for the children array.\n// 0: no normalization needed\n// 1: simple normalization needed (possible 1-level deep nested array)\n// 2: full normalization needed\nfunction getNormalizationType (\n children,\n maybeComponent\n) {\n var res = 0;\n for (var i = 0; i < children.length; i++) {\n var el = children[i];\n if (el.type !== 1) {\n continue\n }\n if (needsNormalization(el) ||\n (el.ifConditions && el.ifConditions.some(function (c) { return needsNormalization(c.block); }))) {\n res = 2;\n break\n }\n if (maybeComponent(el) ||\n (el.ifConditions && el.ifConditions.some(function (c) { return maybeComponent(c.block); }))) {\n res = 1;\n }\n }\n return res\n}\n\nfunction needsNormalization (el) {\n return el.for !== undefined || el.tag === 'template' || el.tag === 'slot'\n}\n\nfunction genNode (node, state) {\n if (node.type === 1) {\n return genElement(node, state)\n } else if (node.type === 3 && node.isComment) {\n return genComment(node)\n } else {\n return genText(node)\n }\n}\n\nfunction genText (text) {\n return (\"_v(\" + (text.type === 2\n ? text.expression // no need for () because already wrapped in _s()\n : transformSpecialNewlines(JSON.stringify(text.text))) + \")\")\n}\n\nfunction genComment (comment) {\n return (\"_e(\" + (JSON.stringify(comment.text)) + \")\")\n}\n\nfunction genSlot (el, state) {\n var slotName = el.slotName || '\"default\"';\n var children = genChildren(el, state);\n var res = \"_t(\" + slotName + (children ? (\",\" + children) : '');\n var attrs = el.attrs || el.dynamicAttrs\n ? genProps((el.attrs || []).concat(el.dynamicAttrs || []).map(function (attr) { return ({\n // slot props are camelized\n name: camelize(attr.name),\n value: attr.value,\n dynamic: attr.dynamic\n }); }))\n : null;\n var bind$$1 = el.attrsMap['v-bind'];\n if ((attrs || bind$$1) && !children) {\n res += \",null\";\n }\n if (attrs) {\n res += \",\" + attrs;\n }\n if (bind$$1) {\n res += (attrs ? '' : ',null') + \",\" + bind$$1;\n }\n return res + ')'\n}\n\n// componentName is el.component, take it as argument to shun flow's pessimistic refinement\nfunction genComponent (\n componentName,\n el,\n state\n) {\n var children = el.inlineTemplate ? null : genChildren(el, state, true);\n return (\"_c(\" + componentName + \",\" + (genData$2(el, state)) + (children ? (\",\" + children) : '') + \")\")\n}\n\nfunction genProps (props) {\n var staticProps = \"\";\n var dynamicProps = \"\";\n for (var i = 0; i < props.length; i++) {\n var prop = props[i];\n var value = transformSpecialNewlines(prop.value);\n if (prop.dynamic) {\n dynamicProps += (prop.name) + \",\" + value + \",\";\n } else {\n staticProps += \"\\\"\" + (prop.name) + \"\\\":\" + value + \",\";\n }\n }\n staticProps = \"{\" + (staticProps.slice(0, -1)) + \"}\";\n if (dynamicProps) {\n return (\"_d(\" + staticProps + \",[\" + (dynamicProps.slice(0, -1)) + \"])\")\n } else {\n return staticProps\n }\n}\n\n// #3895, #4268\nfunction transformSpecialNewlines (text) {\n return text\n .replace(/\\u2028/g, '\\\\u2028')\n .replace(/\\u2029/g, '\\\\u2029')\n}\n\n/* */\n\n\n\n// these keywords should not appear inside expressions, but operators like\n// typeof, instanceof and in are allowed\nvar prohibitedKeywordRE = new RegExp('\\\\b' + (\n 'do,if,for,let,new,try,var,case,else,with,await,break,catch,class,const,' +\n 'super,throw,while,yield,delete,export,import,return,switch,default,' +\n 'extends,finally,continue,debugger,function,arguments'\n).split(',').join('\\\\b|\\\\b') + '\\\\b');\n\n// these unary operators should not be used as property/method names\nvar unaryOperatorsRE = new RegExp('\\\\b' + (\n 'delete,typeof,void'\n).split(',').join('\\\\s*\\\\([^\\\\)]*\\\\)|\\\\b') + '\\\\s*\\\\([^\\\\)]*\\\\)');\n\n// strip strings in expressions\nvar stripStringRE = /'(?:[^'\\\\]|\\\\.)*'|\"(?:[^\"\\\\]|\\\\.)*\"|`(?:[^`\\\\]|\\\\.)*\\$\\{|\\}(?:[^`\\\\]|\\\\.)*`|`(?:[^`\\\\]|\\\\.)*`/g;\n\n// detect problematic expressions in a template\nfunction detectErrors (ast, warn) {\n if (ast) {\n checkNode(ast, warn);\n }\n}\n\nfunction checkNode (node, warn) {\n if (node.type === 1) {\n for (var name in node.attrsMap) {\n if (dirRE.test(name)) {\n var value = node.attrsMap[name];\n if (value) {\n var range = node.rawAttrsMap[name];\n if (name === 'v-for') {\n checkFor(node, (\"v-for=\\\"\" + value + \"\\\"\"), warn, range);\n } else if (name === 'v-slot' || name[0] === '#') {\n checkFunctionParameterExpression(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n } else if (onRE.test(name)) {\n checkEvent(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n } else {\n checkExpression(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n }\n }\n }\n }\n if (node.children) {\n for (var i = 0; i < node.children.length; i++) {\n checkNode(node.children[i], warn);\n }\n }\n } else if (node.type === 2) {\n checkExpression(node.expression, node.text, warn, node);\n }\n}\n\nfunction checkEvent (exp, text, warn, range) {\n var stripped = exp.replace(stripStringRE, '');\n var keywordMatch = stripped.match(unaryOperatorsRE);\n if (keywordMatch && stripped.charAt(keywordMatch.index - 1) !== '$') {\n warn(\n \"avoid using JavaScript unary operator as property name: \" +\n \"\\\"\" + (keywordMatch[0]) + \"\\\" in expression \" + (text.trim()),\n range\n );\n }\n checkExpression(exp, text, warn, range);\n}\n\nfunction checkFor (node, text, warn, range) {\n checkExpression(node.for || '', text, warn, range);\n checkIdentifier(node.alias, 'v-for alias', text, warn, range);\n checkIdentifier(node.iterator1, 'v-for iterator', text, warn, range);\n checkIdentifier(node.iterator2, 'v-for iterator', text, warn, range);\n}\n\nfunction checkIdentifier (\n ident,\n type,\n text,\n warn,\n range\n) {\n if (typeof ident === 'string') {\n try {\n new Function((\"var \" + ident + \"=_\"));\n } catch (e) {\n warn((\"invalid \" + type + \" \\\"\" + ident + \"\\\" in expression: \" + (text.trim())), range);\n }\n }\n}\n\nfunction checkExpression (exp, text, warn, range) {\n try {\n new Function((\"return \" + exp));\n } catch (e) {\n var keywordMatch = exp.replace(stripStringRE, '').match(prohibitedKeywordRE);\n if (keywordMatch) {\n warn(\n \"avoid using JavaScript keyword as property name: \" +\n \"\\\"\" + (keywordMatch[0]) + \"\\\"\\n Raw expression: \" + (text.trim()),\n range\n );\n } else {\n warn(\n \"invalid expression: \" + (e.message) + \" in\\n\\n\" +\n \" \" + exp + \"\\n\\n\" +\n \" Raw expression: \" + (text.trim()) + \"\\n\",\n range\n );\n }\n }\n}\n\nfunction checkFunctionParameterExpression (exp, text, warn, range) {\n try {\n new Function(exp, '');\n } catch (e) {\n warn(\n \"invalid function parameter expression: \" + (e.message) + \" in\\n\\n\" +\n \" \" + exp + \"\\n\\n\" +\n \" Raw expression: \" + (text.trim()) + \"\\n\",\n range\n );\n }\n}\n\n/* */\n\nvar range = 2;\n\nfunction generateCodeFrame (\n source,\n start,\n end\n) {\n if ( start === void 0 ) start = 0;\n if ( end === void 0 ) end = source.length;\n\n var lines = source.split(/\\r?\\n/);\n var count = 0;\n var res = [];\n for (var i = 0; i < lines.length; i++) {\n count += lines[i].length + 1;\n if (count >= start) {\n for (var j = i - range; j <= i + range || end > count; j++) {\n if (j < 0 || j >= lines.length) { continue }\n res.push((\"\" + (j + 1) + (repeat$1(\" \", 3 - String(j + 1).length)) + \"| \" + (lines[j])));\n var lineLength = lines[j].length;\n if (j === i) {\n // push underline\n var pad = start - (count - lineLength) + 1;\n var length = end > count ? lineLength - pad : end - start;\n res.push(\" | \" + repeat$1(\" \", pad) + repeat$1(\"^\", length));\n } else if (j > i) {\n if (end > count) {\n var length$1 = Math.min(end - count, lineLength);\n res.push(\" | \" + repeat$1(\"^\", length$1));\n }\n count += lineLength + 1;\n }\n }\n break\n }\n }\n return res.join('\\n')\n}\n\nfunction repeat$1 (str, n) {\n var result = '';\n if (n > 0) {\n while (true) { // eslint-disable-line\n if (n & 1) { result += str; }\n n >>>= 1;\n if (n <= 0) { break }\n str += str;\n }\n }\n return result\n}\n\n/* */\n\n\n\nfunction createFunction (code, errors) {\n try {\n return new Function(code)\n } catch (err) {\n errors.push({ err: err, code: code });\n return noop\n }\n}\n\nfunction createCompileToFunctionFn (compile) {\n var cache = Object.create(null);\n\n return function compileToFunctions (\n template,\n options,\n vm\n ) {\n options = extend({}, options);\n var warn$$1 = options.warn || warn;\n delete options.warn;\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n // detect possible CSP restriction\n try {\n new Function('return 1');\n } catch (e) {\n if (e.toString().match(/unsafe-eval|CSP/)) {\n warn$$1(\n 'It seems you are using the standalone build of Vue.js in an ' +\n 'environment with Content Security Policy that prohibits unsafe-eval. ' +\n 'The template compiler cannot work in this environment. Consider ' +\n 'relaxing the policy to allow unsafe-eval or pre-compiling your ' +\n 'templates into render functions.'\n );\n }\n }\n }\n\n // check cache\n var key = options.delimiters\n ? String(options.delimiters) + template\n : template;\n if (cache[key]) {\n return cache[key]\n }\n\n // compile\n var compiled = compile(template, options);\n\n // check compilation errors/tips\n if (process.env.NODE_ENV !== 'production') {\n if (compiled.errors && compiled.errors.length) {\n if (options.outputSourceRange) {\n compiled.errors.forEach(function (e) {\n warn$$1(\n \"Error compiling template:\\n\\n\" + (e.msg) + \"\\n\\n\" +\n generateCodeFrame(template, e.start, e.end),\n vm\n );\n });\n } else {\n warn$$1(\n \"Error compiling template:\\n\\n\" + template + \"\\n\\n\" +\n compiled.errors.map(function (e) { return (\"- \" + e); }).join('\\n') + '\\n',\n vm\n );\n }\n }\n if (compiled.tips && compiled.tips.length) {\n if (options.outputSourceRange) {\n compiled.tips.forEach(function (e) { return tip(e.msg, vm); });\n } else {\n compiled.tips.forEach(function (msg) { return tip(msg, vm); });\n }\n }\n }\n\n // turn code into functions\n var res = {};\n var fnGenErrors = [];\n res.render = createFunction(compiled.render, fnGenErrors);\n res.staticRenderFns = compiled.staticRenderFns.map(function (code) {\n return createFunction(code, fnGenErrors)\n });\n\n // check function generation errors.\n // this should only happen if there is a bug in the compiler itself.\n // mostly for codegen development use\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n if ((!compiled.errors || !compiled.errors.length) && fnGenErrors.length) {\n warn$$1(\n \"Failed to generate render function:\\n\\n\" +\n fnGenErrors.map(function (ref) {\n var err = ref.err;\n var code = ref.code;\n\n return ((err.toString()) + \" in\\n\\n\" + code + \"\\n\");\n }).join('\\n'),\n vm\n );\n }\n }\n\n return (cache[key] = res)\n }\n}\n\n/* */\n\nfunction createCompilerCreator (baseCompile) {\n return function createCompiler (baseOptions) {\n function compile (\n template,\n options\n ) {\n var finalOptions = Object.create(baseOptions);\n var errors = [];\n var tips = [];\n\n var warn = function (msg, range, tip) {\n (tip ? tips : errors).push(msg);\n };\n\n if (options) {\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n // $flow-disable-line\n var leadingSpaceLength = template.match(/^\\s*/)[0].length;\n\n warn = function (msg, range, tip) {\n var data = { msg: msg };\n if (range) {\n if (range.start != null) {\n data.start = range.start + leadingSpaceLength;\n }\n if (range.end != null) {\n data.end = range.end + leadingSpaceLength;\n }\n }\n (tip ? tips : errors).push(data);\n };\n }\n // merge custom modules\n if (options.modules) {\n finalOptions.modules =\n (baseOptions.modules || []).concat(options.modules);\n }\n // merge custom directives\n if (options.directives) {\n finalOptions.directives = extend(\n Object.create(baseOptions.directives || null),\n options.directives\n );\n }\n // copy other options\n for (var key in options) {\n if (key !== 'modules' && key !== 'directives') {\n finalOptions[key] = options[key];\n }\n }\n }\n\n finalOptions.warn = warn;\n\n var compiled = baseCompile(template.trim(), finalOptions);\n if (process.env.NODE_ENV !== 'production') {\n detectErrors(compiled.ast, warn);\n }\n compiled.errors = errors;\n compiled.tips = tips;\n return compiled\n }\n\n return {\n compile: compile,\n compileToFunctions: createCompileToFunctionFn(compile)\n }\n }\n}\n\n/* */\n\n// `createCompilerCreator` allows creating compilers that use alternative\n// parser/optimizer/codegen, e.g the SSR optimizing compiler.\n// Here we just export a default compiler using the default parts.\nvar createCompiler = createCompilerCreator(function baseCompile (\n template,\n options\n) {\n var ast = parse(template.trim(), options);\n if (options.optimize !== false) {\n optimize(ast, options);\n }\n var code = generate(ast, options);\n return {\n ast: ast,\n render: code.render,\n staticRenderFns: code.staticRenderFns\n }\n});\n\n/* */\n\nvar ref$1 = createCompiler(baseOptions);\nvar compile = ref$1.compile;\nvar compileToFunctions = ref$1.compileToFunctions;\n\n/* */\n\n// check whether current browser encodes a char inside attribute values\nvar div;\nfunction getShouldDecode (href) {\n div = div || document.createElement('div');\n div.innerHTML = href ? \"<a href=\\\"\\n\\\"/>\" : \"<div a=\\\"\\n\\\"/>\";\n return div.innerHTML.indexOf('&#10;') > 0\n}\n\n// #3663: IE encodes newlines inside attribute values while other browsers don't\nvar shouldDecodeNewlines = inBrowser ? getShouldDecode(false) : false;\n// #6828: chrome encodes content in a[href]\nvar shouldDecodeNewlinesForHref = inBrowser ? getShouldDecode(true) : false;\n\n/* */\n\nvar idToTemplate = cached(function (id) {\n var el = query(id);\n return el && el.innerHTML\n});\n\nvar mount = Vue.prototype.$mount;\nVue.prototype.$mount = function (\n el,\n hydrating\n) {\n el = el && query(el);\n\n /* istanbul ignore if */\n if (el === document.body || el === document.documentElement) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Do not mount Vue to <html> or <body> - mount to normal elements instead.\"\n );\n return this\n }\n\n var options = this.$options;\n // resolve template/el and convert to render function\n if (!options.render) {\n var template = options.template;\n if (template) {\n if (typeof template === 'string') {\n if (template.charAt(0) === '#') {\n template = idToTemplate(template);\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && !template) {\n warn(\n (\"Template element not found or is empty: \" + (options.template)),\n this\n );\n }\n }\n } else if (template.nodeType) {\n template = template.innerHTML;\n } else {\n if (process.env.NODE_ENV !== 'production') {\n warn('invalid template option:' + template, this);\n }\n return this\n }\n } else if (el) {\n template = getOuterHTML(el);\n }\n if (template) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n mark('compile');\n }\n\n var ref = compileToFunctions(template, {\n outputSourceRange: process.env.NODE_ENV !== 'production',\n shouldDecodeNewlines: shouldDecodeNewlines,\n shouldDecodeNewlinesForHref: shouldDecodeNewlinesForHref,\n delimiters: options.delimiters,\n comments: options.comments\n }, this);\n var render = ref.render;\n var staticRenderFns = ref.staticRenderFns;\n options.render = render;\n options.staticRenderFns = staticRenderFns;\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n mark('compile end');\n measure((\"vue \" + (this._name) + \" compile\"), 'compile', 'compile end');\n }\n }\n }\n return mount.call(this, el, hydrating)\n};\n\n/**\n * Get outerHTML of elements, taking care\n * of SVG elements in IE as well.\n */\nfunction getOuterHTML (el) {\n if (el.outerHTML) {\n return el.outerHTML\n } else {\n var container = document.createElement('div');\n container.appendChild(el.cloneNode(true));\n return container.innerHTML\n }\n}\n\nVue.compile = compileToFunctions;\n\nexport default Vue;\n","var g;\n\n// This works in non-strict mode\ng = (function() {\n\treturn this;\n})();\n\ntry {\n\t// This works if eval is allowed (see CSP)\n\tg = g || new Function(\"return this\")();\n} catch (e) {\n\t// This works if the window reference is available\n\tif (typeof window === \"object\") g = window;\n}\n\n// g can still be undefined, but nothing to do about it...\n// We return undefined, instead of nothing here, so it's\n// easier to handle this case. if(!global) { ...}\n\nmodule.exports = g;\n","// shim for using process in browser\nvar process = module.exports = {};\n\n// cached from whatever global is present so that test runners that stub it\n// don't break things. But we need to wrap it in a try catch in case it is\n// wrapped in strict mode code which doesn't define any globals. It's inside a\n// function because try/catches deoptimize in certain engines.\n\nvar cachedSetTimeout;\nvar cachedClearTimeout;\n\nfunction defaultSetTimout() {\n throw new Error('setTimeout has not been defined');\n}\nfunction defaultClearTimeout () {\n throw new Error('clearTimeout has not been defined');\n}\n(function () {\n try {\n if (typeof setTimeout === 'function') {\n cachedSetTimeout = setTimeout;\n } else {\n cachedSetTimeout = defaultSetTimout;\n }\n } catch (e) {\n cachedSetTimeout = defaultSetTimout;\n }\n try {\n if (typeof clearTimeout === 'function') {\n cachedClearTimeout = clearTimeout;\n } else {\n cachedClearTimeout = defaultClearTimeout;\n }\n } catch (e) {\n cachedClearTimeout = defaultClearTimeout;\n }\n} ())\nfunction runTimeout(fun) {\n if (cachedSetTimeout === setTimeout) {\n //normal enviroments in sane situations\n return setTimeout(fun, 0);\n }\n // if setTimeout wasn't available but was latter defined\n if ((cachedSetTimeout === defaultSetTimout || !cachedSetTimeout) && setTimeout) {\n cachedSetTimeout = setTimeout;\n return setTimeout(fun, 0);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedSetTimeout(fun, 0);\n } catch(e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedSetTimeout.call(null, fun, 0);\n } catch(e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error\n return cachedSetTimeout.call(this, fun, 0);\n }\n }\n\n\n}\nfunction runClearTimeout(marker) {\n if (cachedClearTimeout === clearTimeout) {\n //normal enviroments in sane situations\n return clearTimeout(marker);\n }\n // if clearTimeout wasn't available but was latter defined\n if ((cachedClearTimeout === defaultClearTimeout || !cachedClearTimeout) && clearTimeout) {\n cachedClearTimeout = clearTimeout;\n return clearTimeout(marker);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedClearTimeout(marker);\n } catch (e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedClearTimeout.call(null, marker);\n } catch (e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error.\n // Some versions of I.E. have different rules for clearTimeout vs setTimeout\n return cachedClearTimeout.call(this, marker);\n }\n }\n\n\n\n}\nvar queue = [];\nvar draining = false;\nvar currentQueue;\nvar queueIndex = -1;\n\nfunction cleanUpNextTick() {\n if (!draining || !currentQueue) {\n return;\n }\n draining = false;\n if (currentQueue.length) {\n queue = currentQueue.concat(queue);\n } else {\n queueIndex = -1;\n }\n if (queue.length) {\n drainQueue();\n }\n}\n\nfunction drainQueue() {\n if (draining) {\n return;\n }\n var timeout = runTimeout(cleanUpNextTick);\n draining = true;\n\n var len = queue.length;\n while(len) {\n currentQueue = queue;\n queue = [];\n while (++queueIndex < len) {\n if (currentQueue) {\n currentQueue[queueIndex].run();\n }\n }\n queueIndex = -1;\n len = queue.length;\n }\n currentQueue = null;\n draining = false;\n runClearTimeout(timeout);\n}\n\nprocess.nextTick = function (fun) {\n var args = new Array(arguments.length - 1);\n if (arguments.length > 1) {\n for (var i = 1; i < arguments.length; i++) {\n args[i - 1] = arguments[i];\n }\n }\n queue.push(new Item(fun, args));\n if (queue.length === 1 && !draining) {\n runTimeout(drainQueue);\n }\n};\n\n// v8 likes predictible objects\nfunction Item(fun, array) {\n this.fun = fun;\n this.array = array;\n}\nItem.prototype.run = function () {\n this.fun.apply(null, this.array);\n};\nprocess.title = 'browser';\nprocess.browser = true;\nprocess.env = {};\nprocess.argv = [];\nprocess.version = ''; // empty string to avoid regexp issues\nprocess.versions = {};\n\nfunction noop() {}\n\nprocess.on = noop;\nprocess.addListener = noop;\nprocess.once = noop;\nprocess.off = noop;\nprocess.removeListener = noop;\nprocess.removeAllListeners = noop;\nprocess.emit = noop;\nprocess.prependListener = noop;\nprocess.prependOnceListener = noop;\n\nprocess.listeners = function (name) { return [] }\n\nprocess.binding = function (name) {\n throw new Error('process.binding is not supported');\n};\n\nprocess.cwd = function () { return '/' };\nprocess.chdir = function (dir) {\n throw new Error('process.chdir is not supported');\n};\nprocess.umask = function() { return 0; };\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.concat\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.loadState = loadState;\n\n/**\n * @param app app ID, e.g. \"mail\"\n * @param key name of the property\n * @throws if the key can't be found\n */\nfunction loadState(app, key) {\n var elem = document.querySelector(\"#initial-state-\".concat(app, \"-\").concat(key));\n\n if (elem === null) {\n throw new Error(\"Could not find initial state \".concat(key, \" of \").concat(app));\n }\n\n try {\n return JSON.parse(atob(elem.value));\n } catch (e) {\n throw new Error(\"Could not parse initial state \".concat(key, \" of \").concat(app));\n }\n}\n//# sourceMappingURL=index.js.map","\"use strict\";\n\n/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n*/\n// css base code, injected by the css-loader\n// eslint-disable-next-line func-names\nmodule.exports = function (useSourceMap) {\n var list = []; // return the list of modules as css string\n\n list.toString = function toString() {\n return this.map(function (item) {\n var content = cssWithMappingToString(item, useSourceMap);\n\n if (item[2]) {\n return \"@media \".concat(item[2], \" {\").concat(content, \"}\");\n }\n\n return content;\n }).join('');\n }; // import a list of modules into the list\n // eslint-disable-next-line func-names\n\n\n list.i = function (modules, mediaQuery, dedupe) {\n if (typeof modules === 'string') {\n // eslint-disable-next-line no-param-reassign\n modules = [[null, modules, '']];\n }\n\n var alreadyImportedModules = {};\n\n if (dedupe) {\n for (var i = 0; i < this.length; i++) {\n // eslint-disable-next-line prefer-destructuring\n var id = this[i][0];\n\n if (id != null) {\n alreadyImportedModules[id] = true;\n }\n }\n }\n\n for (var _i = 0; _i < modules.length; _i++) {\n var item = [].concat(modules[_i]);\n\n if (dedupe && alreadyImportedModules[item[0]]) {\n // eslint-disable-next-line no-continue\n continue;\n }\n\n if (mediaQuery) {\n if (!item[2]) {\n item[2] = mediaQuery;\n } else {\n item[2] = \"\".concat(mediaQuery, \" and \").concat(item[2]);\n }\n }\n\n list.push(item);\n }\n };\n\n return list;\n};\n\nfunction cssWithMappingToString(item, useSourceMap) {\n var content = item[1] || ''; // eslint-disable-next-line prefer-destructuring\n\n var cssMapping = item[3];\n\n if (!cssMapping) {\n return content;\n }\n\n if (useSourceMap && typeof btoa === 'function') {\n var sourceMapping = toComment(cssMapping);\n var sourceURLs = cssMapping.sources.map(function (source) {\n return \"/*# sourceURL=\".concat(cssMapping.sourceRoot || '').concat(source, \" */\");\n });\n return [content].concat(sourceURLs).concat([sourceMapping]).join('\\n');\n }\n\n return [content].join('\\n');\n} // Adapted from convert-source-map (MIT)\n\n\nfunction toComment(sourceMap) {\n // eslint-disable-next-line no-undef\n var base64 = btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap))));\n var data = \"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(base64);\n return \"/*# \".concat(data, \" */\");\n}","/**\n * Translates the list format produced by css-loader into something\n * easier to manipulate.\n */\nexport default function listToStyles (parentId, list) {\n var styles = []\n var newStyles = {}\n for (var i = 0; i < list.length; i++) {\n var item = list[i]\n var id = item[0]\n var css = item[1]\n var media = item[2]\n var sourceMap = item[3]\n var part = {\n id: parentId + ':' + i,\n css: css,\n media: media,\n sourceMap: sourceMap\n }\n if (!newStyles[id]) {\n styles.push(newStyles[id] = { id: id, parts: [part] })\n } else {\n newStyles[id].parts.push(part)\n }\n }\n return styles\n}\n","/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n Modified by Evan You @yyx990803\n*/\n\nimport listToStyles from './listToStyles'\n\nvar hasDocument = typeof document !== 'undefined'\n\nif (typeof DEBUG !== 'undefined' && DEBUG) {\n if (!hasDocument) {\n throw new Error(\n 'vue-style-loader cannot be used in a non-browser environment. ' +\n \"Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\"\n ) }\n}\n\n/*\ntype StyleObject = {\n id: number;\n parts: Array<StyleObjectPart>\n}\n\ntype StyleObjectPart = {\n css: string;\n media: string;\n sourceMap: ?string\n}\n*/\n\nvar stylesInDom = {/*\n [id: number]: {\n id: number,\n refs: number,\n parts: Array<(obj?: StyleObjectPart) => void>\n }\n*/}\n\nvar head = hasDocument && (document.head || document.getElementsByTagName('head')[0])\nvar singletonElement = null\nvar singletonCounter = 0\nvar isProduction = false\nvar noop = function () {}\nvar options = null\nvar ssrIdKey = 'data-vue-ssr-id'\n\n// Force single-tag solution on IE6-9, which has a hard limit on the # of <style>\n// tags it will allow on a page\nvar isOldIE = typeof navigator !== 'undefined' && /msie [6-9]\\b/.test(navigator.userAgent.toLowerCase())\n\nexport default function addStylesClient (parentId, list, _isProduction, _options) {\n isProduction = _isProduction\n\n options = _options || {}\n\n var styles = listToStyles(parentId, list)\n addStylesToDom(styles)\n\n return function update (newList) {\n var mayRemove = []\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n domStyle.refs--\n mayRemove.push(domStyle)\n }\n if (newList) {\n styles = listToStyles(parentId, newList)\n addStylesToDom(styles)\n } else {\n styles = []\n }\n for (var i = 0; i < mayRemove.length; i++) {\n var domStyle = mayRemove[i]\n if (domStyle.refs === 0) {\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j]()\n }\n delete stylesInDom[domStyle.id]\n }\n }\n }\n}\n\nfunction addStylesToDom (styles /* Array<StyleObject> */) {\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n if (domStyle) {\n domStyle.refs++\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j](item.parts[j])\n }\n for (; j < item.parts.length; j++) {\n domStyle.parts.push(addStyle(item.parts[j]))\n }\n if (domStyle.parts.length > item.parts.length) {\n domStyle.parts.length = item.parts.length\n }\n } else {\n var parts = []\n for (var j = 0; j < item.parts.length; j++) {\n parts.push(addStyle(item.parts[j]))\n }\n stylesInDom[item.id] = { id: item.id, refs: 1, parts: parts }\n }\n }\n}\n\nfunction createStyleElement () {\n var styleElement = document.createElement('style')\n styleElement.type = 'text/css'\n head.appendChild(styleElement)\n return styleElement\n}\n\nfunction addStyle (obj /* StyleObjectPart */) {\n var update, remove\n var styleElement = document.querySelector('style[' + ssrIdKey + '~=\"' + obj.id + '\"]')\n\n if (styleElement) {\n if (isProduction) {\n // has SSR styles and in production mode.\n // simply do nothing.\n return noop\n } else {\n // has SSR styles but in dev mode.\n // for some reason Chrome can't handle source map in server-rendered\n // style tags - source maps in <style> only works if the style tag is\n // created and inserted dynamically. So we remove the server rendered\n // styles and inject new ones.\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n if (isOldIE) {\n // use singleton mode for IE9.\n var styleIndex = singletonCounter++\n styleElement = singletonElement || (singletonElement = createStyleElement())\n update = applyToSingletonTag.bind(null, styleElement, styleIndex, false)\n remove = applyToSingletonTag.bind(null, styleElement, styleIndex, true)\n } else {\n // use multi-style-tag mode in all other cases\n styleElement = createStyleElement()\n update = applyToTag.bind(null, styleElement)\n remove = function () {\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n update(obj)\n\n return function updateStyle (newObj /* StyleObjectPart */) {\n if (newObj) {\n if (newObj.css === obj.css &&\n newObj.media === obj.media &&\n newObj.sourceMap === obj.sourceMap) {\n return\n }\n update(obj = newObj)\n } else {\n remove()\n }\n }\n}\n\nvar replaceText = (function () {\n var textStore = []\n\n return function (index, replacement) {\n textStore[index] = replacement\n return textStore.filter(Boolean).join('\\n')\n }\n})()\n\nfunction applyToSingletonTag (styleElement, index, remove, obj) {\n var css = remove ? '' : obj.css\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = replaceText(index, css)\n } else {\n var cssNode = document.createTextNode(css)\n var childNodes = styleElement.childNodes\n if (childNodes[index]) styleElement.removeChild(childNodes[index])\n if (childNodes.length) {\n styleElement.insertBefore(cssNode, childNodes[index])\n } else {\n styleElement.appendChild(cssNode)\n }\n }\n}\n\nfunction applyToTag (styleElement, obj) {\n var css = obj.css\n var media = obj.media\n var sourceMap = obj.sourceMap\n\n if (media) {\n styleElement.setAttribute('media', media)\n }\n if (options.ssrId) {\n styleElement.setAttribute(ssrIdKey, obj.id)\n }\n\n if (sourceMap) {\n // https://developer.chrome.com/devtools/docs/javascript-debugging\n // this makes source maps inside style tags work properly in Chrome\n css += '\\n/*# sourceURL=' + sourceMap.sources[0] + ' */'\n // http://stackoverflow.com/a/26603875\n css += '\\n/*# sourceMappingURL=data:application/json;base64,' + btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap)))) + ' */'\n }\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = css\n } else {\n while (styleElement.firstChild) {\n styleElement.removeChild(styleElement.firstChild)\n }\n styleElement.appendChild(document.createTextNode(css))\n }\n}\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar redefine = require('../internals/redefine');\nvar toString = require('../internals/object-to-string');\n\n// `Object.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nif (!TO_STRING_TAG_SUPPORT) {\n redefine(Object.prototype, 'toString', toString, { unsafe: true });\n}\n","'use strict';\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar addToUnscopables = require('../internals/add-to-unscopables');\nvar Iterators = require('../internals/iterators');\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar ARRAY_ITERATOR = 'Array Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(ARRAY_ITERATOR);\n\n// `Array.prototype.entries` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.entries\n// `Array.prototype.keys` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.keys\n// `Array.prototype.values` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.values\n// `Array.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@iterator\n// `CreateArrayIterator` internal method\n// https://tc39.github.io/ecma262/#sec-createarrayiterator\nmodule.exports = defineIterator(Array, 'Array', function (iterated, kind) {\n setInternalState(this, {\n type: ARRAY_ITERATOR,\n target: toIndexedObject(iterated), // target\n index: 0, // next index\n kind: kind // kind\n });\n// `%ArrayIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%arrayiteratorprototype%.next\n}, function () {\n var state = getInternalState(this);\n var target = state.target;\n var kind = state.kind;\n var index = state.index++;\n if (!target || index >= target.length) {\n state.target = undefined;\n return { value: undefined, done: true };\n }\n if (kind == 'keys') return { value: index, done: false };\n if (kind == 'values') return { value: target[index], done: false };\n return { value: [index, target[index]], done: false };\n}, 'values');\n\n// argumentsList[@@iterator] is %ArrayProto_values%\n// https://tc39.github.io/ecma262/#sec-createunmappedargumentsobject\n// https://tc39.github.io/ecma262/#sec-createmappedargumentsobject\nIterators.Arguments = Iterators.Array;\n\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\naddToUnscopables('keys');\naddToUnscopables('values');\naddToUnscopables('entries');\n","'use strict';\nvar $ = require('../internals/export');\nvar exec = require('../internals/regexp-exec');\n\n$({ target: 'RegExp', proto: true, forced: /./.exec !== exec }, {\n exec: exec\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar toPrimitive = require('../internals/to-primitive');\nvar has = require('../internals/has');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\n\nvar nativeGetOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// `Object.getOwnPropertyDescriptor` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertydescriptor\nexports.f = DESCRIPTORS ? nativeGetOwnPropertyDescriptor : function getOwnPropertyDescriptor(O, P) {\n O = toIndexedObject(O);\n P = toPrimitive(P, true);\n if (IE8_DOM_DEFINE) try {\n return nativeGetOwnPropertyDescriptor(O, P);\n } catch (error) { /* empty */ }\n if (has(O, P)) return createPropertyDescriptor(!propertyIsEnumerableModule.f.call(O, P), O[P]);\n};\n","var fails = require('../internals/fails');\n\nvar replacement = /#|\\.prototype\\./;\n\nvar isForced = function (feature, detection) {\n var value = data[normalize(feature)];\n return value == POLYFILL ? true\n : value == NATIVE ? false\n : typeof detection == 'function' ? fails(detection)\n : !!detection;\n};\n\nvar normalize = isForced.normalize = function (string) {\n return String(string).replace(replacement, '.').toLowerCase();\n};\n\nvar data = isForced.data = {};\nvar NATIVE = isForced.NATIVE = 'N';\nvar POLYFILL = isForced.POLYFILL = 'P';\n\nmodule.exports = isForced;\n","var fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (METHOD_NAME) {\n // We can't use this feature detection in V8 since it causes\n // deoptimization and serious performance degradation\n // https://github.com/zloirock/core-js/issues/677\n return V8_VERSION >= 51 || !fails(function () {\n var array = [];\n var constructor = array.constructor = {};\n constructor[SPECIES] = function () {\n return { foo: 1 };\n };\n return array[METHOD_NAME](Boolean).foo !== 1;\n });\n};\n","module.exports = function (it, Constructor, name) {\n if (!(it instanceof Constructor)) {\n throw TypeError('Incorrect ' + (name ? name + ' ' : '') + 'invocation');\n } return it;\n};\n","var $ = require('../internals/export');\nvar assign = require('../internals/object-assign');\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\n$({ target: 'Object', stat: true, forced: Object.assign !== assign }, {\n assign: assign\n});\n","var isObject = require('../internals/is-object');\nvar isArray = require('../internals/is-array');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar SPECIES = wellKnownSymbol('species');\n\n// `ArraySpeciesCreate` abstract operation\n// https://tc39.github.io/ecma262/#sec-arrayspeciescreate\nmodule.exports = function (originalArray, length) {\n var C;\n if (isArray(originalArray)) {\n C = originalArray.constructor;\n // cross-realm fallback\n if (typeof C == 'function' && (C === Array || isArray(C.prototype))) C = undefined;\n else if (isObject(C)) {\n C = C[SPECIES];\n if (C === null) C = undefined;\n }\n } return new (C === undefined ? Array : C)(length === 0 ? 0 : length);\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar fails = require('../internals/fails');\nvar isArray = require('../internals/is-array');\nvar isObject = require('../internals/is-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar createProperty = require('../internals/create-property');\nvar arraySpeciesCreate = require('../internals/array-species-create');\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar IS_CONCAT_SPREADABLE = wellKnownSymbol('isConcatSpreadable');\nvar MAX_SAFE_INTEGER = 0x1FFFFFFFFFFFFF;\nvar MAXIMUM_ALLOWED_INDEX_EXCEEDED = 'Maximum allowed index exceeded';\n\n// We can't use this feature detection in V8 since it causes\n// deoptimization and serious performance degradation\n// https://github.com/zloirock/core-js/issues/679\nvar IS_CONCAT_SPREADABLE_SUPPORT = V8_VERSION >= 51 || !fails(function () {\n var array = [];\n array[IS_CONCAT_SPREADABLE] = false;\n return array.concat()[0] !== array;\n});\n\nvar SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('concat');\n\nvar isConcatSpreadable = function (O) {\n if (!isObject(O)) return false;\n var spreadable = O[IS_CONCAT_SPREADABLE];\n return spreadable !== undefined ? !!spreadable : isArray(O);\n};\n\nvar FORCED = !IS_CONCAT_SPREADABLE_SUPPORT || !SPECIES_SUPPORT;\n\n// `Array.prototype.concat` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.concat\n// with adding support of @@isConcatSpreadable and @@species\n$({ target: 'Array', proto: true, forced: FORCED }, {\n concat: function concat(arg) { // eslint-disable-line no-unused-vars\n var O = toObject(this);\n var A = arraySpeciesCreate(O, 0);\n var n = 0;\n var i, k, length, len, E;\n for (i = -1, length = arguments.length; i < length; i++) {\n E = i === -1 ? O : arguments[i];\n if (isConcatSpreadable(E)) {\n len = toLength(E.length);\n if (n + len > MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n for (k = 0; k < len; k++, n++) if (k in E) createProperty(A, n, E[k]);\n } else {\n if (n >= MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n createProperty(A, n++, E);\n }\n }\n A.length = n;\n return A;\n }\n});\n","var global = require('../internals/global');\nvar isObject = require('../internals/is-object');\n\nvar document = global.document;\n// typeof document.createElement is 'object' in old IE\nvar EXISTS = isObject(document) && isObject(document.createElement);\n\nmodule.exports = function (it) {\n return EXISTS ? document.createElement(it) : {};\n};\n","var store = require('../internals/shared-store');\n\nvar functionToString = Function.toString;\n\n// this helper broken in `3.4.1-3.4.4`, so we can't use `shared` helper\nif (typeof store.inspectSource != 'function') {\n store.inspectSource = function (it) {\n return functionToString.call(it);\n };\n}\n\nmodule.exports = store.inspectSource;\n","var bind = require('../internals/function-bind-context');\nvar IndexedObject = require('../internals/indexed-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar arraySpeciesCreate = require('../internals/array-species-create');\n\nvar push = [].push;\n\n// `Array.prototype.{ forEach, map, filter, some, every, find, findIndex }` methods implementation\nvar createMethod = function (TYPE) {\n var IS_MAP = TYPE == 1;\n var IS_FILTER = TYPE == 2;\n var IS_SOME = TYPE == 3;\n var IS_EVERY = TYPE == 4;\n var IS_FIND_INDEX = TYPE == 6;\n var NO_HOLES = TYPE == 5 || IS_FIND_INDEX;\n return function ($this, callbackfn, that, specificCreate) {\n var O = toObject($this);\n var self = IndexedObject(O);\n var boundFunction = bind(callbackfn, that, 3);\n var length = toLength(self.length);\n var index = 0;\n var create = specificCreate || arraySpeciesCreate;\n var target = IS_MAP ? create($this, length) : IS_FILTER ? create($this, 0) : undefined;\n var value, result;\n for (;length > index; index++) if (NO_HOLES || index in self) {\n value = self[index];\n result = boundFunction(value, index, O);\n if (TYPE) {\n if (IS_MAP) target[index] = result; // map\n else if (result) switch (TYPE) {\n case 3: return true; // some\n case 5: return value; // find\n case 6: return index; // findIndex\n case 2: push.call(target, value); // filter\n } else if (IS_EVERY) return false; // every\n }\n }\n return IS_FIND_INDEX ? -1 : IS_SOME || IS_EVERY ? IS_EVERY : target;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.forEach` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n forEach: createMethod(0),\n // `Array.prototype.map` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.map\n map: createMethod(1),\n // `Array.prototype.filter` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.filter\n filter: createMethod(2),\n // `Array.prototype.some` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.some\n some: createMethod(3),\n // `Array.prototype.every` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.every\n every: createMethod(4),\n // `Array.prototype.find` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.find\n find: createMethod(5),\n // `Array.prototype.findIndex` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.findIndex\n findIndex: createMethod(6)\n};\n","'use strict';\nvar fails = require('../internals/fails');\n\nmodule.exports = function (METHOD_NAME, argument) {\n var method = [][METHOD_NAME];\n return !!method && fails(function () {\n // eslint-disable-next-line no-useless-call,no-throw-literal\n method.call(null, argument || function () { throw 1; }, 1);\n });\n};\n","var global = require('../internals/global');\nvar userAgent = require('../internals/engine-user-agent');\n\nvar process = global.process;\nvar versions = process && process.versions;\nvar v8 = versions && versions.v8;\nvar match, version;\n\nif (v8) {\n match = v8.split('.');\n version = match[0] + match[1];\n} else if (userAgent) {\n match = userAgent.match(/Edge\\/(\\d+)/);\n if (!match || match[1] >= 74) {\n match = userAgent.match(/Chrome\\/(\\d+)/);\n if (match) version = match[1];\n }\n}\n\nmodule.exports = version && +version;\n","var anObject = require('../internals/an-object');\nvar isArrayIteratorMethod = require('../internals/is-array-iterator-method');\nvar toLength = require('../internals/to-length');\nvar bind = require('../internals/function-bind-context');\nvar getIteratorMethod = require('../internals/get-iterator-method');\nvar callWithSafeIterationClosing = require('../internals/call-with-safe-iteration-closing');\n\nvar Result = function (stopped, result) {\n this.stopped = stopped;\n this.result = result;\n};\n\nvar iterate = module.exports = function (iterable, fn, that, AS_ENTRIES, IS_ITERATOR) {\n var boundFunction = bind(fn, that, AS_ENTRIES ? 2 : 1);\n var iterator, iterFn, index, length, result, next, step;\n\n if (IS_ITERATOR) {\n iterator = iterable;\n } else {\n iterFn = getIteratorMethod(iterable);\n if (typeof iterFn != 'function') throw TypeError('Target is not iterable');\n // optimisation for array iterators\n if (isArrayIteratorMethod(iterFn)) {\n for (index = 0, length = toLength(iterable.length); length > index; index++) {\n result = AS_ENTRIES\n ? boundFunction(anObject(step = iterable[index])[0], step[1])\n : boundFunction(iterable[index]);\n if (result && result instanceof Result) return result;\n } return new Result(false);\n }\n iterator = iterFn.call(iterable);\n }\n\n next = iterator.next;\n while (!(step = next.call(iterator)).done) {\n result = callWithSafeIterationClosing(iterator, boundFunction, step.value, AS_ENTRIES);\n if (typeof result == 'object' && result && result instanceof Result) return result;\n } return new Result(false);\n};\n\niterate.stop = function (result) {\n return new Result(true, result);\n};\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classofRaw = require('../internals/classof-raw');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n// ES3 wrong here\nvar CORRECT_ARGUMENTS = classofRaw(function () { return arguments; }()) == 'Arguments';\n\n// fallback for IE11 Script Access Denied error\nvar tryGet = function (it, key) {\n try {\n return it[key];\n } catch (error) { /* empty */ }\n};\n\n// getting tag from ES6+ `Object.prototype.toString`\nmodule.exports = TO_STRING_TAG_SUPPORT ? classofRaw : function (it) {\n var O, tag, result;\n return it === undefined ? 'Undefined' : it === null ? 'Null'\n // @@toStringTag case\n : typeof (tag = tryGet(O = Object(it), TO_STRING_TAG)) == 'string' ? tag\n // builtinTag case\n : CORRECT_ARGUMENTS ? classofRaw(O)\n // ES3 arguments fallback\n : (result = classofRaw(O)) == 'Object' && typeof O.callee == 'function' ? 'Arguments' : result;\n};\n","var toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `String.prototype.{ codePointAt, at }` methods implementation\nvar createMethod = function (CONVERT_TO_STRING) {\n return function ($this, pos) {\n var S = String(requireObjectCoercible($this));\n var position = toInteger(pos);\n var size = S.length;\n var first, second;\n if (position < 0 || position >= size) return CONVERT_TO_STRING ? '' : undefined;\n first = S.charCodeAt(position);\n return first < 0xD800 || first > 0xDBFF || position + 1 === size\n || (second = S.charCodeAt(position + 1)) < 0xDC00 || second > 0xDFFF\n ? CONVERT_TO_STRING ? S.charAt(position) : first\n : CONVERT_TO_STRING ? S.slice(position, position + 2) : (first - 0xD800 << 10) + (second - 0xDC00) + 0x10000;\n };\n};\n\nmodule.exports = {\n // `String.prototype.codePointAt` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.codepointat\n codeAt: createMethod(false),\n // `String.prototype.at` method\n // https://github.com/mathiasbynens/String.prototype.at\n charAt: createMethod(true)\n};\n","'use strict';\nvar nativePropertyIsEnumerable = {}.propertyIsEnumerable;\nvar getOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// Nashorn ~ JDK8 bug\nvar NASHORN_BUG = getOwnPropertyDescriptor && !nativePropertyIsEnumerable.call({ 1: 2 }, 1);\n\n// `Object.prototype.propertyIsEnumerable` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.propertyisenumerable\nexports.f = NASHORN_BUG ? function propertyIsEnumerable(V) {\n var descriptor = getOwnPropertyDescriptor(this, V);\n return !!descriptor && descriptor.enumerable;\n} : nativePropertyIsEnumerable;\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar createElement = require('../internals/document-create-element');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !DESCRIPTORS && !fails(function () {\n return Object.defineProperty(createElement('div'), 'a', {\n get: function () { return 7; }\n }).a != 7;\n});\n","var global = require('../internals/global');\nvar setGlobal = require('../internals/set-global');\n\nvar SHARED = '__core-js_shared__';\nvar store = global[SHARED] || setGlobal(SHARED, {});\n\nmodule.exports = store;\n","var IS_PURE = require('../internals/is-pure');\nvar store = require('../internals/shared-store');\n\n(module.exports = function (key, value) {\n return store[key] || (store[key] = value !== undefined ? value : {});\n})('versions', []).push({\n version: '3.6.5',\n mode: IS_PURE ? 'pure' : 'global',\n copyright: '© 2020 Denis Pushkarev (zloirock.ru)'\n});\n","var has = require('../internals/has');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar indexOf = require('../internals/array-includes').indexOf;\nvar hiddenKeys = require('../internals/hidden-keys');\n\nmodule.exports = function (object, names) {\n var O = toIndexedObject(object);\n var i = 0;\n var result = [];\n var key;\n for (key in O) !has(hiddenKeys, key) && has(O, key) && result.push(key);\n // Don't enum bug & hidden keys\n while (names.length > i) if (has(O, key = names[i++])) {\n ~indexOf(result, key) || result.push(key);\n }\n return result;\n};\n","var toIndexedObject = require('../internals/to-indexed-object');\nvar toLength = require('../internals/to-length');\nvar toAbsoluteIndex = require('../internals/to-absolute-index');\n\n// `Array.prototype.{ indexOf, includes }` methods implementation\nvar createMethod = function (IS_INCLUDES) {\n return function ($this, el, fromIndex) {\n var O = toIndexedObject($this);\n var length = toLength(O.length);\n var index = toAbsoluteIndex(fromIndex, length);\n var value;\n // Array#includes uses SameValueZero equality algorithm\n // eslint-disable-next-line no-self-compare\n if (IS_INCLUDES && el != el) while (length > index) {\n value = O[index++];\n // eslint-disable-next-line no-self-compare\n if (value != value) return true;\n // Array#indexOf ignores holes, Array#includes - not\n } else for (;length > index; index++) {\n if ((IS_INCLUDES || index in O) && O[index] === el) return IS_INCLUDES || index || 0;\n } return !IS_INCLUDES && -1;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.includes` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.includes\n includes: createMethod(true),\n // `Array.prototype.indexOf` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n indexOf: createMethod(false)\n};\n","exports.f = Object.getOwnPropertySymbols;\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\n// `Object.keys` method\n// https://tc39.github.io/ecma262/#sec-object.keys\nmodule.exports = Object.keys || function keys(O) {\n return internalObjectKeys(O, enumBugKeys);\n};\n","'use strict';\n\nmodule.exports = function bind(fn, thisArg) {\n return function wrap() {\n var args = new Array(arguments.length);\n for (var i = 0; i < args.length; i++) {\n args[i] = arguments[i];\n }\n return fn.apply(thisArg, args);\n };\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction encode(val) {\n return encodeURIComponent(val).\n replace(/%40/gi, '@').\n replace(/%3A/gi, ':').\n replace(/%24/g, '$').\n replace(/%2C/gi, ',').\n replace(/%20/g, '+').\n replace(/%5B/gi, '[').\n replace(/%5D/gi, ']');\n}\n\n/**\n * Build a URL by appending params to the end\n *\n * @param {string} url The base of the url (e.g., http://www.google.com)\n * @param {object} [params] The params to be appended\n * @returns {string} The formatted url\n */\nmodule.exports = function buildURL(url, params, paramsSerializer) {\n /*eslint no-param-reassign:0*/\n if (!params) {\n return url;\n }\n\n var serializedParams;\n if (paramsSerializer) {\n serializedParams = paramsSerializer(params);\n } else if (utils.isURLSearchParams(params)) {\n serializedParams = params.toString();\n } else {\n var parts = [];\n\n utils.forEach(params, function serialize(val, key) {\n if (val === null || typeof val === 'undefined') {\n return;\n }\n\n if (utils.isArray(val)) {\n key = key + '[]';\n } else {\n val = [val];\n }\n\n utils.forEach(val, function parseValue(v) {\n if (utils.isDate(v)) {\n v = v.toISOString();\n } else if (utils.isObject(v)) {\n v = JSON.stringify(v);\n }\n parts.push(encode(key) + '=' + encode(v));\n });\n });\n\n serializedParams = parts.join('&');\n }\n\n if (serializedParams) {\n var hashmarkIndex = url.indexOf('#');\n if (hashmarkIndex !== -1) {\n url = url.slice(0, hashmarkIndex);\n }\n\n url += (url.indexOf('?') === -1 ? '?' : '&') + serializedParams;\n }\n\n return url;\n};\n","'use strict';\n\nmodule.exports = function isCancel(value) {\n return !!(value && value.__CANCEL__);\n};\n","'use strict';\n\nvar utils = require('./utils');\nvar normalizeHeaderName = require('./helpers/normalizeHeaderName');\n\nvar DEFAULT_CONTENT_TYPE = {\n 'Content-Type': 'application/x-www-form-urlencoded'\n};\n\nfunction setContentTypeIfUnset(headers, value) {\n if (!utils.isUndefined(headers) && utils.isUndefined(headers['Content-Type'])) {\n headers['Content-Type'] = value;\n }\n}\n\nfunction getDefaultAdapter() {\n var adapter;\n if (typeof XMLHttpRequest !== 'undefined') {\n // For browsers use XHR adapter\n adapter = require('./adapters/xhr');\n } else if (typeof process !== 'undefined' && Object.prototype.toString.call(process) === '[object process]') {\n // For node use HTTP adapter\n adapter = require('./adapters/http');\n }\n return adapter;\n}\n\nvar defaults = {\n adapter: getDefaultAdapter(),\n\n transformRequest: [function transformRequest(data, headers) {\n normalizeHeaderName(headers, 'Accept');\n normalizeHeaderName(headers, 'Content-Type');\n if (utils.isFormData(data) ||\n utils.isArrayBuffer(data) ||\n utils.isBuffer(data) ||\n utils.isStream(data) ||\n utils.isFile(data) ||\n utils.isBlob(data)\n ) {\n return data;\n }\n if (utils.isArrayBufferView(data)) {\n return data.buffer;\n }\n if (utils.isURLSearchParams(data)) {\n setContentTypeIfUnset(headers, 'application/x-www-form-urlencoded;charset=utf-8');\n return data.toString();\n }\n if (utils.isObject(data)) {\n setContentTypeIfUnset(headers, 'application/json;charset=utf-8');\n return JSON.stringify(data);\n }\n return data;\n }],\n\n transformResponse: [function transformResponse(data) {\n /*eslint no-param-reassign:0*/\n if (typeof data === 'string') {\n try {\n data = JSON.parse(data);\n } catch (e) { /* Ignore */ }\n }\n return data;\n }],\n\n /**\n * A timeout in milliseconds to abort a request. If set to 0 (default) a\n * timeout is not created.\n */\n timeout: 0,\n\n xsrfCookieName: 'XSRF-TOKEN',\n xsrfHeaderName: 'X-XSRF-TOKEN',\n\n maxContentLength: -1,\n\n validateStatus: function validateStatus(status) {\n return status >= 200 && status < 300;\n }\n};\n\ndefaults.headers = {\n common: {\n 'Accept': 'application/json, text/plain, */*'\n }\n};\n\nutils.forEach(['delete', 'get', 'head'], function forEachMethodNoData(method) {\n defaults.headers[method] = {};\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n defaults.headers[method] = utils.merge(DEFAULT_CONTENT_TYPE);\n});\n\nmodule.exports = defaults;\n","'use strict';\n\nvar utils = require('./../utils');\nvar settle = require('./../core/settle');\nvar buildURL = require('./../helpers/buildURL');\nvar buildFullPath = require('../core/buildFullPath');\nvar parseHeaders = require('./../helpers/parseHeaders');\nvar isURLSameOrigin = require('./../helpers/isURLSameOrigin');\nvar createError = require('../core/createError');\n\nmodule.exports = function xhrAdapter(config) {\n return new Promise(function dispatchXhrRequest(resolve, reject) {\n var requestData = config.data;\n var requestHeaders = config.headers;\n\n if (utils.isFormData(requestData)) {\n delete requestHeaders['Content-Type']; // Let the browser set it\n }\n\n var request = new XMLHttpRequest();\n\n // HTTP basic authentication\n if (config.auth) {\n var username = config.auth.username || '';\n var password = config.auth.password || '';\n requestHeaders.Authorization = 'Basic ' + btoa(username + ':' + password);\n }\n\n var fullPath = buildFullPath(config.baseURL, config.url);\n request.open(config.method.toUpperCase(), buildURL(fullPath, config.params, config.paramsSerializer), true);\n\n // Set the request timeout in MS\n request.timeout = config.timeout;\n\n // Listen for ready state\n request.onreadystatechange = function handleLoad() {\n if (!request || request.readyState !== 4) {\n return;\n }\n\n // The request errored out and we didn't get a response, this will be\n // handled by onerror instead\n // With one exception: request that using file: protocol, most browsers\n // will return status as 0 even though it's a successful request\n if (request.status === 0 && !(request.responseURL && request.responseURL.indexOf('file:') === 0)) {\n return;\n }\n\n // Prepare the response\n var responseHeaders = 'getAllResponseHeaders' in request ? parseHeaders(request.getAllResponseHeaders()) : null;\n var responseData = !config.responseType || config.responseType === 'text' ? request.responseText : request.response;\n var response = {\n data: responseData,\n status: request.status,\n statusText: request.statusText,\n headers: responseHeaders,\n config: config,\n request: request\n };\n\n settle(resolve, reject, response);\n\n // Clean up request\n request = null;\n };\n\n // Handle browser request cancellation (as opposed to a manual cancellation)\n request.onabort = function handleAbort() {\n if (!request) {\n return;\n }\n\n reject(createError('Request aborted', config, 'ECONNABORTED', request));\n\n // Clean up request\n request = null;\n };\n\n // Handle low level network errors\n request.onerror = function handleError() {\n // Real errors are hidden from us by the browser\n // onerror should only fire if it's a network error\n reject(createError('Network Error', config, null, request));\n\n // Clean up request\n request = null;\n };\n\n // Handle timeout\n request.ontimeout = function handleTimeout() {\n var timeoutErrorMessage = 'timeout of ' + config.timeout + 'ms exceeded';\n if (config.timeoutErrorMessage) {\n timeoutErrorMessage = config.timeoutErrorMessage;\n }\n reject(createError(timeoutErrorMessage, config, 'ECONNABORTED',\n request));\n\n // Clean up request\n request = null;\n };\n\n // Add xsrf header\n // This is only done if running in a standard browser environment.\n // Specifically not if we're in a web worker, or react-native.\n if (utils.isStandardBrowserEnv()) {\n var cookies = require('./../helpers/cookies');\n\n // Add xsrf header\n var xsrfValue = (config.withCredentials || isURLSameOrigin(fullPath)) && config.xsrfCookieName ?\n cookies.read(config.xsrfCookieName) :\n undefined;\n\n if (xsrfValue) {\n requestHeaders[config.xsrfHeaderName] = xsrfValue;\n }\n }\n\n // Add headers to the request\n if ('setRequestHeader' in request) {\n utils.forEach(requestHeaders, function setRequestHeader(val, key) {\n if (typeof requestData === 'undefined' && key.toLowerCase() === 'content-type') {\n // Remove Content-Type if data is undefined\n delete requestHeaders[key];\n } else {\n // Otherwise add header to the request\n request.setRequestHeader(key, val);\n }\n });\n }\n\n // Add withCredentials to request if needed\n if (!utils.isUndefined(config.withCredentials)) {\n request.withCredentials = !!config.withCredentials;\n }\n\n // Add responseType to request if needed\n if (config.responseType) {\n try {\n request.responseType = config.responseType;\n } catch (e) {\n // Expected DOMException thrown by browsers not compatible XMLHttpRequest Level 2.\n // But, this can be suppressed for 'json' type as it can be parsed by default 'transformResponse' function.\n if (config.responseType !== 'json') {\n throw e;\n }\n }\n }\n\n // Handle progress if needed\n if (typeof config.onDownloadProgress === 'function') {\n request.addEventListener('progress', config.onDownloadProgress);\n }\n\n // Not all browsers support upload events\n if (typeof config.onUploadProgress === 'function' && request.upload) {\n request.upload.addEventListener('progress', config.onUploadProgress);\n }\n\n if (config.cancelToken) {\n // Handle cancellation\n config.cancelToken.promise.then(function onCanceled(cancel) {\n if (!request) {\n return;\n }\n\n request.abort();\n reject(cancel);\n // Clean up request\n request = null;\n });\n }\n\n if (requestData === undefined) {\n requestData = null;\n }\n\n // Send the request\n request.send(requestData);\n });\n};\n","'use strict';\n\nvar enhanceError = require('./enhanceError');\n\n/**\n * Create an Error with the specified message, config, error code, request and response.\n *\n * @param {string} message The error message.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The created error.\n */\nmodule.exports = function createError(message, config, code, request, response) {\n var error = new Error(message);\n return enhanceError(error, config, code, request, response);\n};\n","'use strict';\n\nvar utils = require('../utils');\n\n/**\n * Config-specific merge-function which creates a new config-object\n * by merging two configuration objects together.\n *\n * @param {Object} config1\n * @param {Object} config2\n * @returns {Object} New object resulting from merging config2 to config1\n */\nmodule.exports = function mergeConfig(config1, config2) {\n // eslint-disable-next-line no-param-reassign\n config2 = config2 || {};\n var config = {};\n\n var valueFromConfig2Keys = ['url', 'method', 'params', 'data'];\n var mergeDeepPropertiesKeys = ['headers', 'auth', 'proxy'];\n var defaultToConfig2Keys = [\n 'baseURL', 'url', 'transformRequest', 'transformResponse', 'paramsSerializer',\n 'timeout', 'withCredentials', 'adapter', 'responseType', 'xsrfCookieName',\n 'xsrfHeaderName', 'onUploadProgress', 'onDownloadProgress',\n 'maxContentLength', 'validateStatus', 'maxRedirects', 'httpAgent',\n 'httpsAgent', 'cancelToken', 'socketPath'\n ];\n\n utils.forEach(valueFromConfig2Keys, function valueFromConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n }\n });\n\n utils.forEach(mergeDeepPropertiesKeys, function mergeDeepProperties(prop) {\n if (utils.isObject(config2[prop])) {\n config[prop] = utils.deepMerge(config1[prop], config2[prop]);\n } else if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (utils.isObject(config1[prop])) {\n config[prop] = utils.deepMerge(config1[prop]);\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n utils.forEach(defaultToConfig2Keys, function defaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n var axiosKeys = valueFromConfig2Keys\n .concat(mergeDeepPropertiesKeys)\n .concat(defaultToConfig2Keys);\n\n var otherKeys = Object\n .keys(config2)\n .filter(function filterAxiosKeys(key) {\n return axiosKeys.indexOf(key) === -1;\n });\n\n utils.forEach(otherKeys, function otherKeysDefaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n return config;\n};\n","'use strict';\n\n/**\n * A `Cancel` is an object that is thrown when an operation is canceled.\n *\n * @class\n * @param {string=} message The message.\n */\nfunction Cancel(message) {\n this.message = message;\n}\n\nCancel.prototype.toString = function toString() {\n return 'Cancel' + (this.message ? ': ' + this.message : '');\n};\n\nCancel.prototype.__CANCEL__ = true;\n\nmodule.exports = Cancel;\n","'use strict';\nvar $ = require('../internals/export');\nvar forEach = require('../internals/array-for-each');\n\n// `Array.prototype.forEach` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n$({ target: 'Array', proto: true, forced: [].forEach != forEach }, {\n forEach: forEach\n});\n","'use strict';\nvar $forEach = require('../internals/array-iteration').forEach;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar STRICT_METHOD = arrayMethodIsStrict('forEach');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('forEach');\n\n// `Array.prototype.forEach` method implementation\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\nmodule.exports = (!STRICT_METHOD || !USES_TO_LENGTH) ? function forEach(callbackfn /* , thisArg */) {\n return $forEach(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n} : [].forEach;\n","var classof = require('../internals/classof-raw');\n\n// `IsArray` abstract operation\n// https://tc39.github.io/ecma262/#sec-isarray\nmodule.exports = Array.isArray || function isArray(arg) {\n return classof(arg) == 'Array';\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !!Object.getOwnPropertySymbols && !fails(function () {\n // Chrome 38 Symbol has incorrect toString conversion\n // eslint-disable-next-line no-undef\n return !String(Symbol());\n});\n","const { MAX_SAFE_COMPONENT_LENGTH } = require('./constants')\nconst debug = require('./debug')\nexports = module.exports = {}\n\n// The actual regexps go on exports.re\nconst re = exports.re = []\nconst src = exports.src = []\nconst t = exports.t = {}\nlet R = 0\n\nconst createToken = (name, value, isGlobal) => {\n const index = R++\n debug(index, value)\n t[name] = index\n src[index] = value\n re[index] = new RegExp(value, isGlobal ? 'g' : undefined)\n}\n\n// The following Regular Expressions can be used for tokenizing,\n// validating, and parsing SemVer version strings.\n\n// ## Numeric Identifier\n// A single `0`, or a non-zero digit followed by zero or more digits.\n\ncreateToken('NUMERICIDENTIFIER', '0|[1-9]\\\\d*')\ncreateToken('NUMERICIDENTIFIERLOOSE', '[0-9]+')\n\n// ## Non-numeric Identifier\n// Zero or more digits, followed by a letter or hyphen, and then zero or\n// more letters, digits, or hyphens.\n\ncreateToken('NONNUMERICIDENTIFIER', '\\\\d*[a-zA-Z-][a-zA-Z0-9-]*')\n\n// ## Main Version\n// Three dot-separated numeric identifiers.\n\ncreateToken('MAINVERSION', `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})`)\n\ncreateToken('MAINVERSIONLOOSE', `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})`)\n\n// ## Pre-release Version Identifier\n// A numeric identifier, or a non-numeric identifier.\n\ncreateToken('PRERELEASEIDENTIFIER', `(?:${src[t.NUMERICIDENTIFIER]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\ncreateToken('PRERELEASEIDENTIFIERLOOSE', `(?:${src[t.NUMERICIDENTIFIERLOOSE]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\n// ## Pre-release Version\n// Hyphen, followed by one or more dot-separated pre-release version\n// identifiers.\n\ncreateToken('PRERELEASE', `(?:-(${src[t.PRERELEASEIDENTIFIER]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIER]})*))`)\n\ncreateToken('PRERELEASELOOSE', `(?:-?(${src[t.PRERELEASEIDENTIFIERLOOSE]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIERLOOSE]})*))`)\n\n// ## Build Metadata Identifier\n// Any combination of digits, letters, or hyphens.\n\ncreateToken('BUILDIDENTIFIER', '[0-9A-Za-z-]+')\n\n// ## Build Metadata\n// Plus sign, followed by one or more period-separated build metadata\n// identifiers.\n\ncreateToken('BUILD', `(?:\\\\+(${src[t.BUILDIDENTIFIER]\n}(?:\\\\.${src[t.BUILDIDENTIFIER]})*))`)\n\n// ## Full Version String\n// A main version, followed optionally by a pre-release version and\n// build metadata.\n\n// Note that the only major, minor, patch, and pre-release sections of\n// the version string are capturing groups. The build metadata is not a\n// capturing group, because it should not ever be used in version\n// comparison.\n\ncreateToken('FULLPLAIN', `v?${src[t.MAINVERSION]\n}${src[t.PRERELEASE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('FULL', `^${src[t.FULLPLAIN]}$`)\n\n// like full, but allows v1.2.3 and =1.2.3, which people do sometimes.\n// also, 1.0.0alpha1 (prerelease without the hyphen) which is pretty\n// common in the npm registry.\ncreateToken('LOOSEPLAIN', `[v=\\\\s]*${src[t.MAINVERSIONLOOSE]\n}${src[t.PRERELEASELOOSE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('LOOSE', `^${src[t.LOOSEPLAIN]}$`)\n\ncreateToken('GTLT', '((?:<|>)?=?)')\n\n// Something like \"2.*\" or \"1.2.x\".\n// Note that \"x.x\" is a valid xRange identifer, meaning \"any version\"\n// Only the first item is strictly required.\ncreateToken('XRANGEIDENTIFIERLOOSE', `${src[t.NUMERICIDENTIFIERLOOSE]}|x|X|\\\\*`)\ncreateToken('XRANGEIDENTIFIER', `${src[t.NUMERICIDENTIFIER]}|x|X|\\\\*`)\n\ncreateToken('XRANGEPLAIN', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:${src[t.PRERELEASE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGEPLAINLOOSE', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:${src[t.PRERELEASELOOSE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAIN]}$`)\ncreateToken('XRANGELOOSE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Coercion.\n// Extract anything that could conceivably be a part of a valid semver\ncreateToken('COERCE', `${'(^|[^\\\\d])' +\n '(\\\\d{1,'}${MAX_SAFE_COMPONENT_LENGTH}})` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:$|[^\\\\d])`)\ncreateToken('COERCERTL', src[t.COERCE], true)\n\n// Tilde ranges.\n// Meaning is \"reasonably at or greater than\"\ncreateToken('LONETILDE', '(?:~>?)')\n\ncreateToken('TILDETRIM', `(\\\\s*)${src[t.LONETILDE]}\\\\s+`, true)\nexports.tildeTrimReplace = '$1~'\n\ncreateToken('TILDE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('TILDELOOSE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Caret ranges.\n// Meaning is \"at least and backwards compatible with\"\ncreateToken('LONECARET', '(?:\\\\^)')\n\ncreateToken('CARETTRIM', `(\\\\s*)${src[t.LONECARET]}\\\\s+`, true)\nexports.caretTrimReplace = '$1^'\n\ncreateToken('CARET', `^${src[t.LONECARET]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('CARETLOOSE', `^${src[t.LONECARET]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// A simple gt/lt/eq thing, or just \"\" to indicate \"any version\"\ncreateToken('COMPARATORLOOSE', `^${src[t.GTLT]}\\\\s*(${src[t.LOOSEPLAIN]})$|^$`)\ncreateToken('COMPARATOR', `^${src[t.GTLT]}\\\\s*(${src[t.FULLPLAIN]})$|^$`)\n\n// An expression to strip any whitespace between the gtlt and the thing\n// it modifies, so that `> 1.2.3` ==> `>1.2.3`\ncreateToken('COMPARATORTRIM', `(\\\\s*)${src[t.GTLT]\n}\\\\s*(${src[t.LOOSEPLAIN]}|${src[t.XRANGEPLAIN]})`, true)\nexports.comparatorTrimReplace = '$1$2$3'\n\n// Something like `1.2.3 - 1.2.4`\n// Note that these all use the loose form, because they'll be\n// checked against either the strict or loose comparator form\n// later.\ncreateToken('HYPHENRANGE', `^\\\\s*(${src[t.XRANGEPLAIN]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAIN]})` +\n `\\\\s*$`)\n\ncreateToken('HYPHENRANGELOOSE', `^\\\\s*(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s*$`)\n\n// Star ranges basically just allow anything at all.\ncreateToken('STAR', '(<|>)?=?\\\\s*\\\\*')\n// >=0.0.0 is like a star\ncreateToken('GTE0', '^\\\\s*>=\\\\s*0\\.0\\.0\\\\s*$')\ncreateToken('GTE0PRE', '^\\\\s*>=\\\\s*0\\.0\\.0-0\\\\s*$')\n","const debug = (\n typeof process === 'object' &&\n process.env &&\n process.env.NODE_DEBUG &&\n /\\bsemver\\b/i.test(process.env.NODE_DEBUG)\n) ? (...args) => console.error('SEMVER', ...args)\n : () => {}\n\nmodule.exports = debug\n","const debug = require('../internal/debug')\nconst { MAX_LENGTH, MAX_SAFE_INTEGER } = require('../internal/constants')\nconst { re, t } = require('../internal/re')\n\nconst { compareIdentifiers } = require('../internal/identifiers')\nclass SemVer {\n constructor (version, options) {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n if (version instanceof SemVer) {\n if (version.loose === !!options.loose &&\n version.includePrerelease === !!options.includePrerelease) {\n return version\n } else {\n version = version.version\n }\n } else if (typeof version !== 'string') {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n if (version.length > MAX_LENGTH) {\n throw new TypeError(\n `version is longer than ${MAX_LENGTH} characters`\n )\n }\n\n debug('SemVer', version, options)\n this.options = options\n this.loose = !!options.loose\n // this isn't actually relevant for versions, but keep it so that we\n // don't run into trouble passing this.options around.\n this.includePrerelease = !!options.includePrerelease\n\n const m = version.trim().match(options.loose ? re[t.LOOSE] : re[t.FULL])\n\n if (!m) {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n this.raw = version\n\n // these are actually numbers\n this.major = +m[1]\n this.minor = +m[2]\n this.patch = +m[3]\n\n if (this.major > MAX_SAFE_INTEGER || this.major < 0) {\n throw new TypeError('Invalid major version')\n }\n\n if (this.minor > MAX_SAFE_INTEGER || this.minor < 0) {\n throw new TypeError('Invalid minor version')\n }\n\n if (this.patch > MAX_SAFE_INTEGER || this.patch < 0) {\n throw new TypeError('Invalid patch version')\n }\n\n // numberify any prerelease numeric ids\n if (!m[4]) {\n this.prerelease = []\n } else {\n this.prerelease = m[4].split('.').map((id) => {\n if (/^[0-9]+$/.test(id)) {\n const num = +id\n if (num >= 0 && num < MAX_SAFE_INTEGER) {\n return num\n }\n }\n return id\n })\n }\n\n this.build = m[5] ? m[5].split('.') : []\n this.format()\n }\n\n format () {\n this.version = `${this.major}.${this.minor}.${this.patch}`\n if (this.prerelease.length) {\n this.version += `-${this.prerelease.join('.')}`\n }\n return this.version\n }\n\n toString () {\n return this.version\n }\n\n compare (other) {\n debug('SemVer.compare', this.version, this.options, other)\n if (!(other instanceof SemVer)) {\n if (typeof other === 'string' && other === this.version) {\n return 0\n }\n other = new SemVer(other, this.options)\n }\n\n if (other.version === this.version) {\n return 0\n }\n\n return this.compareMain(other) || this.comparePre(other)\n }\n\n compareMain (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n return (\n compareIdentifiers(this.major, other.major) ||\n compareIdentifiers(this.minor, other.minor) ||\n compareIdentifiers(this.patch, other.patch)\n )\n }\n\n comparePre (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n // NOT having a prerelease is > having one\n if (this.prerelease.length && !other.prerelease.length) {\n return -1\n } else if (!this.prerelease.length && other.prerelease.length) {\n return 1\n } else if (!this.prerelease.length && !other.prerelease.length) {\n return 0\n }\n\n let i = 0\n do {\n const a = this.prerelease[i]\n const b = other.prerelease[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n compareBuild (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n let i = 0\n do {\n const a = this.build[i]\n const b = other.build[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n // preminor will bump the version up to the next minor release, and immediately\n // down to pre-release. premajor and prepatch work the same way.\n inc (release, identifier) {\n switch (release) {\n case 'premajor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor = 0\n this.major++\n this.inc('pre', identifier)\n break\n case 'preminor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor++\n this.inc('pre', identifier)\n break\n case 'prepatch':\n // If this is already a prerelease, it will bump to the next version\n // drop any prereleases that might already exist, since they are not\n // relevant at this point.\n this.prerelease.length = 0\n this.inc('patch', identifier)\n this.inc('pre', identifier)\n break\n // If the input is a non-prerelease version, this acts the same as\n // prepatch.\n case 'prerelease':\n if (this.prerelease.length === 0) {\n this.inc('patch', identifier)\n }\n this.inc('pre', identifier)\n break\n\n case 'major':\n // If this is a pre-major version, bump up to the same major version.\n // Otherwise increment major.\n // 1.0.0-5 bumps to 1.0.0\n // 1.1.0 bumps to 2.0.0\n if (\n this.minor !== 0 ||\n this.patch !== 0 ||\n this.prerelease.length === 0\n ) {\n this.major++\n }\n this.minor = 0\n this.patch = 0\n this.prerelease = []\n break\n case 'minor':\n // If this is a pre-minor version, bump up to the same minor version.\n // Otherwise increment minor.\n // 1.2.0-5 bumps to 1.2.0\n // 1.2.1 bumps to 1.3.0\n if (this.patch !== 0 || this.prerelease.length === 0) {\n this.minor++\n }\n this.patch = 0\n this.prerelease = []\n break\n case 'patch':\n // If this is not a pre-release version, it will increment the patch.\n // If it is a pre-release it will bump up to the same patch version.\n // 1.2.0-5 patches to 1.2.0\n // 1.2.0 patches to 1.2.1\n if (this.prerelease.length === 0) {\n this.patch++\n }\n this.prerelease = []\n break\n // This probably shouldn't be used publicly.\n // 1.0.0 'pre' would become 1.0.0-0 which is the wrong direction.\n case 'pre':\n if (this.prerelease.length === 0) {\n this.prerelease = [0]\n } else {\n let i = this.prerelease.length\n while (--i >= 0) {\n if (typeof this.prerelease[i] === 'number') {\n this.prerelease[i]++\n i = -2\n }\n }\n if (i === -1) {\n // didn't increment anything\n this.prerelease.push(0)\n }\n }\n if (identifier) {\n // 1.2.0-beta.1 bumps to 1.2.0-beta.2,\n // 1.2.0-beta.fooblz or 1.2.0-beta bumps to 1.2.0-beta.0\n if (this.prerelease[0] === identifier) {\n if (isNaN(this.prerelease[1])) {\n this.prerelease = [identifier, 0]\n }\n } else {\n this.prerelease = [identifier, 0]\n }\n }\n break\n\n default:\n throw new Error(`invalid increment argument: ${release}`)\n }\n this.format()\n this.raw = this.version\n return this\n }\n}\n\nmodule.exports = SemVer\n","'use strict';\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar BUGGY_SAFARI_ITERATORS = false;\n\nvar returnThis = function () { return this; };\n\n// `%IteratorPrototype%` object\n// https://tc39.github.io/ecma262/#sec-%iteratorprototype%-object\nvar IteratorPrototype, PrototypeOfArrayIteratorPrototype, arrayIterator;\n\nif ([].keys) {\n arrayIterator = [].keys();\n // Safari 8 has buggy iterators w/o `next`\n if (!('next' in arrayIterator)) BUGGY_SAFARI_ITERATORS = true;\n else {\n PrototypeOfArrayIteratorPrototype = getPrototypeOf(getPrototypeOf(arrayIterator));\n if (PrototypeOfArrayIteratorPrototype !== Object.prototype) IteratorPrototype = PrototypeOfArrayIteratorPrototype;\n }\n}\n\nif (IteratorPrototype == undefined) IteratorPrototype = {};\n\n// 25.1.2.1.1 %IteratorPrototype%[@@iterator]()\nif (!IS_PURE && !has(IteratorPrototype, ITERATOR)) {\n createNonEnumerableProperty(IteratorPrototype, ITERATOR, returnThis);\n}\n\nmodule.exports = {\n IteratorPrototype: IteratorPrototype,\n BUGGY_SAFARI_ITERATORS: BUGGY_SAFARI_ITERATORS\n};\n","var has = require('../internals/has');\nvar toObject = require('../internals/to-object');\nvar sharedKey = require('../internals/shared-key');\nvar CORRECT_PROTOTYPE_GETTER = require('../internals/correct-prototype-getter');\n\nvar IE_PROTO = sharedKey('IE_PROTO');\nvar ObjectPrototype = Object.prototype;\n\n// `Object.getPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.getprototypeof\nmodule.exports = CORRECT_PROTOTYPE_GETTER ? Object.getPrototypeOf : function (O) {\n O = toObject(O);\n if (has(O, IE_PROTO)) return O[IE_PROTO];\n if (typeof O.constructor == 'function' && O instanceof O.constructor) {\n return O.constructor.prototype;\n } return O instanceof Object ? ObjectPrototype : null;\n};\n","var anObject = require('../internals/an-object');\nvar aPossiblePrototype = require('../internals/a-possible-prototype');\n\n// `Object.setPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.setprototypeof\n// Works with __proto__ only. Old v8 can't work with null proto objects.\n/* eslint-disable no-proto */\nmodule.exports = Object.setPrototypeOf || ('__proto__' in {} ? function () {\n var CORRECT_SETTER = false;\n var test = {};\n var setter;\n try {\n setter = Object.getOwnPropertyDescriptor(Object.prototype, '__proto__').set;\n setter.call(test, []);\n CORRECT_SETTER = test instanceof Array;\n } catch (error) { /* empty */ }\n return function setPrototypeOf(O, proto) {\n anObject(O);\n aPossiblePrototype(proto);\n if (CORRECT_SETTER) setter.call(O, proto);\n else O.__proto__ = proto;\n return O;\n };\n}() : undefined);\n","var hiddenKeys = require('../internals/hidden-keys');\nvar isObject = require('../internals/is-object');\nvar has = require('../internals/has');\nvar defineProperty = require('../internals/object-define-property').f;\nvar uid = require('../internals/uid');\nvar FREEZING = require('../internals/freezing');\n\nvar METADATA = uid('meta');\nvar id = 0;\n\nvar isExtensible = Object.isExtensible || function () {\n return true;\n};\n\nvar setMetadata = function (it) {\n defineProperty(it, METADATA, { value: {\n objectID: 'O' + ++id, // object ID\n weakData: {} // weak collections IDs\n } });\n};\n\nvar fastKey = function (it, create) {\n // return a primitive with prefix\n if (!isObject(it)) return typeof it == 'symbol' ? it : (typeof it == 'string' ? 'S' : 'P') + it;\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return 'F';\n // not necessary to add metadata\n if (!create) return 'E';\n // add missing metadata\n setMetadata(it);\n // return object ID\n } return it[METADATA].objectID;\n};\n\nvar getWeakData = function (it, create) {\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return true;\n // not necessary to add metadata\n if (!create) return false;\n // add missing metadata\n setMetadata(it);\n // return the store of weak collections IDs\n } return it[METADATA].weakData;\n};\n\n// add metadata on freeze-family methods calling\nvar onFreeze = function (it) {\n if (FREEZING && meta.REQUIRED && isExtensible(it) && !has(it, METADATA)) setMetadata(it);\n return it;\n};\n\nvar meta = module.exports = {\n REQUIRED: false,\n fastKey: fastKey,\n getWeakData: getWeakData,\n onFreeze: onFreeze\n};\n\nhiddenKeys[METADATA] = true;\n","// iterable DOM collections\n// flag - `iterable` interface - 'entries', 'keys', 'values', 'forEach' methods\nmodule.exports = {\n CSSRuleList: 0,\n CSSStyleDeclaration: 0,\n CSSValueList: 0,\n ClientRectList: 0,\n DOMRectList: 0,\n DOMStringList: 0,\n DOMTokenList: 1,\n DataTransferItemList: 0,\n FileList: 0,\n HTMLAllCollection: 0,\n HTMLCollection: 0,\n HTMLFormElement: 0,\n HTMLSelectElement: 0,\n MediaList: 0,\n MimeTypeArray: 0,\n NamedNodeMap: 0,\n NodeList: 1,\n PaintRequestList: 0,\n Plugin: 0,\n PluginArray: 0,\n SVGLengthList: 0,\n SVGNumberList: 0,\n SVGPathSegList: 0,\n SVGPointList: 0,\n SVGStringList: 0,\n SVGTransformList: 0,\n SourceBufferList: 0,\n StyleSheetList: 0,\n TextTrackCueList: 0,\n TextTrackList: 0,\n TouchList: 0\n};\n","'use strict';\nvar anObject = require('../internals/an-object');\n\n// `RegExp.prototype.flags` getter implementation\n// https://tc39.github.io/ecma262/#sec-get-regexp.prototype.flags\nmodule.exports = function () {\n var that = anObject(this);\n var result = '';\n if (that.global) result += 'g';\n if (that.ignoreCase) result += 'i';\n if (that.multiline) result += 'm';\n if (that.dotAll) result += 's';\n if (that.unicode) result += 'u';\n if (that.sticky) result += 'y';\n return result;\n};\n","'use strict';\nvar redefine = require('../internals/redefine');\nvar anObject = require('../internals/an-object');\nvar fails = require('../internals/fails');\nvar flags = require('../internals/regexp-flags');\n\nvar TO_STRING = 'toString';\nvar RegExpPrototype = RegExp.prototype;\nvar nativeToString = RegExpPrototype[TO_STRING];\n\nvar NOT_GENERIC = fails(function () { return nativeToString.call({ source: 'a', flags: 'b' }) != '/a/b'; });\n// FF44- RegExp#toString has a wrong name\nvar INCORRECT_NAME = nativeToString.name != TO_STRING;\n\n// `RegExp.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-regexp.prototype.tostring\nif (NOT_GENERIC || INCORRECT_NAME) {\n redefine(RegExp.prototype, TO_STRING, function toString() {\n var R = anObject(this);\n var p = String(R.source);\n var rf = R.flags;\n var f = String(rf === undefined && R instanceof RegExp && !('flags' in RegExpPrototype) ? flags.call(R) : rf);\n return '/' + p + '/' + f;\n }, { unsafe: true });\n}\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar STRING_ITERATOR = 'String Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(STRING_ITERATOR);\n\n// `String.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-string.prototype-@@iterator\ndefineIterator(String, 'String', function (iterated) {\n setInternalState(this, {\n type: STRING_ITERATOR,\n string: String(iterated),\n index: 0\n });\n// `%StringIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%stringiteratorprototype%.next\n}, function next() {\n var state = getInternalState(this);\n var string = state.string;\n var index = state.index;\n var point;\n if (index >= string.length) return { value: undefined, done: true };\n point = charAt(string, index);\n state.index += point.length;\n return { value: point, done: false };\n});\n","'use strict';\nvar fixRegExpWellKnownSymbolLogic = require('../internals/fix-regexp-well-known-symbol-logic');\nvar anObject = require('../internals/an-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\nvar advanceStringIndex = require('../internals/advance-string-index');\nvar regExpExec = require('../internals/regexp-exec-abstract');\n\nvar max = Math.max;\nvar min = Math.min;\nvar floor = Math.floor;\nvar SUBSTITUTION_SYMBOLS = /\\$([$&'`]|\\d\\d?|<[^>]*>)/g;\nvar SUBSTITUTION_SYMBOLS_NO_NAMED = /\\$([$&'`]|\\d\\d?)/g;\n\nvar maybeToString = function (it) {\n return it === undefined ? it : String(it);\n};\n\n// @@replace logic\nfixRegExpWellKnownSymbolLogic('replace', 2, function (REPLACE, nativeReplace, maybeCallNative, reason) {\n var REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = reason.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE;\n var REPLACE_KEEPS_$0 = reason.REPLACE_KEEPS_$0;\n var UNSAFE_SUBSTITUTE = REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE ? '$' : '$0';\n\n return [\n // `String.prototype.replace` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.replace\n function replace(searchValue, replaceValue) {\n var O = requireObjectCoercible(this);\n var replacer = searchValue == undefined ? undefined : searchValue[REPLACE];\n return replacer !== undefined\n ? replacer.call(searchValue, O, replaceValue)\n : nativeReplace.call(String(O), searchValue, replaceValue);\n },\n // `RegExp.prototype[@@replace]` method\n // https://tc39.github.io/ecma262/#sec-regexp.prototype-@@replace\n function (regexp, replaceValue) {\n if (\n (!REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE && REPLACE_KEEPS_$0) ||\n (typeof replaceValue === 'string' && replaceValue.indexOf(UNSAFE_SUBSTITUTE) === -1)\n ) {\n var res = maybeCallNative(nativeReplace, regexp, this, replaceValue);\n if (res.done) return res.value;\n }\n\n var rx = anObject(regexp);\n var S = String(this);\n\n var functionalReplace = typeof replaceValue === 'function';\n if (!functionalReplace) replaceValue = String(replaceValue);\n\n var global = rx.global;\n if (global) {\n var fullUnicode = rx.unicode;\n rx.lastIndex = 0;\n }\n var results = [];\n while (true) {\n var result = regExpExec(rx, S);\n if (result === null) break;\n\n results.push(result);\n if (!global) break;\n\n var matchStr = String(result[0]);\n if (matchStr === '') rx.lastIndex = advanceStringIndex(S, toLength(rx.lastIndex), fullUnicode);\n }\n\n var accumulatedResult = '';\n var nextSourcePosition = 0;\n for (var i = 0; i < results.length; i++) {\n result = results[i];\n\n var matched = String(result[0]);\n var position = max(min(toInteger(result.index), S.length), 0);\n var captures = [];\n // NOTE: This is equivalent to\n // captures = result.slice(1).map(maybeToString)\n // but for some reason `nativeSlice.call(result, 1, result.length)` (called in\n // the slice polyfill when slicing native arrays) \"doesn't work\" in safari 9 and\n // causes a crash (https://pastebin.com/N21QzeQA) when trying to debug it.\n for (var j = 1; j < result.length; j++) captures.push(maybeToString(result[j]));\n var namedCaptures = result.groups;\n if (functionalReplace) {\n var replacerArgs = [matched].concat(captures, position, S);\n if (namedCaptures !== undefined) replacerArgs.push(namedCaptures);\n var replacement = String(replaceValue.apply(undefined, replacerArgs));\n } else {\n replacement = getSubstitution(matched, S, position, captures, namedCaptures, replaceValue);\n }\n if (position >= nextSourcePosition) {\n accumulatedResult += S.slice(nextSourcePosition, position) + replacement;\n nextSourcePosition = position + matched.length;\n }\n }\n return accumulatedResult + S.slice(nextSourcePosition);\n }\n ];\n\n // https://tc39.github.io/ecma262/#sec-getsubstitution\n function getSubstitution(matched, str, position, captures, namedCaptures, replacement) {\n var tailPos = position + matched.length;\n var m = captures.length;\n var symbols = SUBSTITUTION_SYMBOLS_NO_NAMED;\n if (namedCaptures !== undefined) {\n namedCaptures = toObject(namedCaptures);\n symbols = SUBSTITUTION_SYMBOLS;\n }\n return nativeReplace.call(replacement, symbols, function (match, ch) {\n var capture;\n switch (ch.charAt(0)) {\n case '$': return '$';\n case '&': return matched;\n case '`': return str.slice(0, position);\n case \"'\": return str.slice(tailPos);\n case '<':\n capture = namedCaptures[ch.slice(1, -1)];\n break;\n default: // \\d\\d?\n var n = +ch;\n if (n === 0) return match;\n if (n > m) {\n var f = floor(n / 10);\n if (f === 0) return match;\n if (f <= m) return captures[f - 1] === undefined ? ch.charAt(1) : captures[f - 1] + ch.charAt(1);\n return match;\n }\n capture = captures[n - 1];\n }\n return capture === undefined ? '' : capture;\n });\n }\n});\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar ArrayIteratorMethods = require('../modules/es.array.iterator');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar ArrayValues = ArrayIteratorMethods.values;\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n if (CollectionPrototype) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[ITERATOR] !== ArrayValues) try {\n createNonEnumerableProperty(CollectionPrototype, ITERATOR, ArrayValues);\n } catch (error) {\n CollectionPrototype[ITERATOR] = ArrayValues;\n }\n if (!CollectionPrototype[TO_STRING_TAG]) {\n createNonEnumerableProperty(CollectionPrototype, TO_STRING_TAG, COLLECTION_NAME);\n }\n if (DOMIterables[COLLECTION_NAME]) for (var METHOD_NAME in ArrayIteratorMethods) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[METHOD_NAME] !== ArrayIteratorMethods[METHOD_NAME]) try {\n createNonEnumerableProperty(CollectionPrototype, METHOD_NAME, ArrayIteratorMethods[METHOD_NAME]);\n } catch (error) {\n CollectionPrototype[METHOD_NAME] = ArrayIteratorMethods[METHOD_NAME];\n }\n }\n }\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar $indexOf = require('../internals/array-includes').indexOf;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar nativeIndexOf = [].indexOf;\n\nvar NEGATIVE_ZERO = !!nativeIndexOf && 1 / [1].indexOf(1, -0) < 0;\nvar STRICT_METHOD = arrayMethodIsStrict('indexOf');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('indexOf', { ACCESSORS: true, 1: 0 });\n\n// `Array.prototype.indexOf` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n$({ target: 'Array', proto: true, forced: NEGATIVE_ZERO || !STRICT_METHOD || !USES_TO_LENGTH }, {\n indexOf: function indexOf(searchElement /* , fromIndex = 0 */) {\n return NEGATIVE_ZERO\n // convert -0 to +0\n ? nativeIndexOf.apply(this, arguments) || 0\n : $indexOf(this, searchElement, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","module.exports = function (it) {\n if (typeof it != 'function') {\n throw TypeError(String(it) + ' is not a function');\n } return it;\n};\n","'use strict';\nvar toPrimitive = require('../internals/to-primitive');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = function (object, key, value) {\n var propertyKey = toPrimitive(key);\n if (propertyKey in object) definePropertyModule.f(object, propertyKey, createPropertyDescriptor(0, value));\n else object[propertyKey] = value;\n};\n","var classof = require('../internals/classof');\nvar Iterators = require('../internals/iterators');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\n\nmodule.exports = function (it) {\n if (it != undefined) return it[ITERATOR]\n || it['@@iterator']\n || Iterators[classof(it)];\n};\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\nvar hiddenKeys = enumBugKeys.concat('length', 'prototype');\n\n// `Object.getOwnPropertyNames` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertynames\nexports.f = Object.getOwnPropertyNames || function getOwnPropertyNames(O) {\n return internalObjectKeys(O, hiddenKeys);\n};\n","var toInteger = require('../internals/to-integer');\n\nvar max = Math.max;\nvar min = Math.min;\n\n// Helper for a popular repeating case of the spec:\n// Let integer be ? ToInteger(index).\n// If integer < 0, let result be max((length + integer), 0); else let result be min(integer, length).\nmodule.exports = function (index, length) {\n var integer = toInteger(index);\n return integer < 0 ? max(integer + length, 0) : min(integer, length);\n};\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nObject.defineProperty(exports, \"getRequestToken\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.getRequestToken;\n }\n});\nObject.defineProperty(exports, \"onRequestTokenUpdate\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.onRequestTokenUpdate;\n }\n});\nObject.defineProperty(exports, \"getCurrentUser\", {\n enumerable: true,\n get: function get() {\n return _user.getCurrentUser;\n }\n});\n\nvar _requesttoken = require(\"./requesttoken\");\n\nvar _user = require(\"./user\");\n//# sourceMappingURL=index.js.map","'use strict';\nvar $ = require('../internals/export');\nvar $filter = require('../internals/array-iteration').filter;\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('filter');\n// Edge 14- issue\nvar USES_TO_LENGTH = arrayMethodUsesToLength('filter');\n\n// `Array.prototype.filter` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.filter\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n filter: function filter(callbackfn /* , thisArg */) {\n return $filter(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","var isObject = require('../internals/is-object');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\n\n// makes subclassing work correct for wrapped built-ins\nmodule.exports = function ($this, dummy, Wrapper) {\n var NewTarget, NewTargetPrototype;\n if (\n // it can work only with native `setPrototypeOf`\n setPrototypeOf &&\n // we haven't completely correct pre-ES6 way for getting `new.target`, so use this\n typeof (NewTarget = dummy.constructor) == 'function' &&\n NewTarget !== Wrapper &&\n isObject(NewTargetPrototype = NewTarget.prototype) &&\n NewTargetPrototype !== Wrapper.prototype\n ) setPrototypeOf($this, NewTargetPrototype);\n return $this;\n};\n","var redefine = require('../internals/redefine');\n\nmodule.exports = function (target, src, options) {\n for (var key in src) redefine(target, key, src[key], options);\n return target;\n};\n","'use strict';\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar objectKeys = require('../internals/object-keys');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar toObject = require('../internals/to-object');\nvar IndexedObject = require('../internals/indexed-object');\n\nvar nativeAssign = Object.assign;\nvar defineProperty = Object.defineProperty;\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\nmodule.exports = !nativeAssign || fails(function () {\n // should have correct order of operations (Edge bug)\n if (DESCRIPTORS && nativeAssign({ b: 1 }, nativeAssign(defineProperty({}, 'a', {\n enumerable: true,\n get: function () {\n defineProperty(this, 'b', {\n value: 3,\n enumerable: false\n });\n }\n }), { b: 2 })).b !== 1) return true;\n // should work with symbols and should have deterministic property order (V8 bug)\n var A = {};\n var B = {};\n // eslint-disable-next-line no-undef\n var symbol = Symbol();\n var alphabet = 'abcdefghijklmnopqrst';\n A[symbol] = 7;\n alphabet.split('').forEach(function (chr) { B[chr] = chr; });\n return nativeAssign({}, A)[symbol] != 7 || objectKeys(nativeAssign({}, B)).join('') != alphabet;\n}) ? function assign(target, source) { // eslint-disable-line no-unused-vars\n var T = toObject(target);\n var argumentsLength = arguments.length;\n var index = 1;\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n var propertyIsEnumerable = propertyIsEnumerableModule.f;\n while (argumentsLength > index) {\n var S = IndexedObject(arguments[index++]);\n var keys = getOwnPropertySymbols ? objectKeys(S).concat(getOwnPropertySymbols(S)) : objectKeys(S);\n var length = keys.length;\n var j = 0;\n var key;\n while (length > j) {\n key = keys[j++];\n if (!DESCRIPTORS || propertyIsEnumerable.call(S, key)) T[key] = S[key];\n }\n } return T;\n} : nativeAssign;\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('navigator', 'userAgent') || '';\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar anObject = require('../internals/an-object');\nvar objectKeys = require('../internals/object-keys');\n\n// `Object.defineProperties` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperties\nmodule.exports = DESCRIPTORS ? Object.defineProperties : function defineProperties(O, Properties) {\n anObject(O);\n var keys = objectKeys(Properties);\n var length = keys.length;\n var index = 0;\n var key;\n while (length > index) definePropertyModule.f(O, key = keys[index++], Properties[key]);\n return O;\n};\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('document', 'documentElement');\n","'use strict';\nvar IteratorPrototype = require('../internals/iterators-core').IteratorPrototype;\nvar create = require('../internals/object-create');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar Iterators = require('../internals/iterators');\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (IteratorConstructor, NAME, next) {\n var TO_STRING_TAG = NAME + ' Iterator';\n IteratorConstructor.prototype = create(IteratorPrototype, { next: createPropertyDescriptor(1, next) });\n setToStringTag(IteratorConstructor, TO_STRING_TAG, false, true);\n Iterators[TO_STRING_TAG] = returnThis;\n return IteratorConstructor;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar Iterators = require('../internals/iterators');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar ArrayPrototype = Array.prototype;\n\n// check on default Array iterator\nmodule.exports = function (it) {\n return it !== undefined && (Iterators.Array === it || ArrayPrototype[ITERATOR] === it);\n};\n","var anObject = require('../internals/an-object');\n\n// call something on iterator step with safe closing on error\nmodule.exports = function (iterator, fn, value, ENTRIES) {\n try {\n return ENTRIES ? fn(anObject(value)[0], value[1]) : fn(value);\n // 7.4.6 IteratorClose(iterator, completion)\n } catch (error) {\n var returnMethod = iterator['return'];\n if (returnMethod !== undefined) anObject(returnMethod.call(iterator));\n throw error;\n }\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar SAFE_CLOSING = false;\n\ntry {\n var called = 0;\n var iteratorWithReturn = {\n next: function () {\n return { done: !!called++ };\n },\n 'return': function () {\n SAFE_CLOSING = true;\n }\n };\n iteratorWithReturn[ITERATOR] = function () {\n return this;\n };\n // eslint-disable-next-line no-throw-literal\n Array.from(iteratorWithReturn, function () { throw 2; });\n} catch (error) { /* empty */ }\n\nmodule.exports = function (exec, SKIP_CLOSING) {\n if (!SKIP_CLOSING && !SAFE_CLOSING) return false;\n var ITERATION_SUPPORT = false;\n try {\n var object = {};\n object[ITERATOR] = function () {\n return {\n next: function () {\n return { done: ITERATION_SUPPORT = true };\n }\n };\n };\n exec(object);\n } catch (error) { /* empty */ }\n return ITERATION_SUPPORT;\n};\n","'use strict';\nvar getBuiltIn = require('../internals/get-built-in');\nvar definePropertyModule = require('../internals/object-define-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar DESCRIPTORS = require('../internals/descriptors');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (CONSTRUCTOR_NAME) {\n var Constructor = getBuiltIn(CONSTRUCTOR_NAME);\n var defineProperty = definePropertyModule.f;\n\n if (DESCRIPTORS && Constructor && !Constructor[SPECIES]) {\n defineProperty(Constructor, SPECIES, {\n configurable: true,\n get: function () { return this; }\n });\n }\n};\n","'use strict';\n// TODO: Remove from `core-js@4` since it's moved to entry points\nrequire('../modules/es.regexp.exec');\nvar redefine = require('../internals/redefine');\nvar fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar regexpExec = require('../internals/regexp-exec');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nvar SPECIES = wellKnownSymbol('species');\n\nvar REPLACE_SUPPORTS_NAMED_GROUPS = !fails(function () {\n // #replace needs built-in support for named groups.\n // #match works fine because it just return the exec results, even if it has\n // a \"grops\" property.\n var re = /./;\n re.exec = function () {\n var result = [];\n result.groups = { a: '7' };\n return result;\n };\n return ''.replace(re, '$<a>') !== '7';\n});\n\n// IE <= 11 replaces $0 with the whole match, as if it was $&\n// https://stackoverflow.com/questions/6024666/getting-ie-to-replace-a-regex-with-the-literal-string-0\nvar REPLACE_KEEPS_$0 = (function () {\n return 'a'.replace(/./, '$0') === '$0';\n})();\n\nvar REPLACE = wellKnownSymbol('replace');\n// Safari <= 13.0.3(?) substitutes nth capture where n>m with an empty string\nvar REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = (function () {\n if (/./[REPLACE]) {\n return /./[REPLACE]('a', '$0') === '';\n }\n return false;\n})();\n\n// Chrome 51 has a buggy \"split\" implementation when RegExp#exec !== nativeExec\n// Weex JS has frozen built-in prototypes, so use try / catch wrapper\nvar SPLIT_WORKS_WITH_OVERWRITTEN_EXEC = !fails(function () {\n var re = /(?:)/;\n var originalExec = re.exec;\n re.exec = function () { return originalExec.apply(this, arguments); };\n var result = 'ab'.split(re);\n return result.length !== 2 || result[0] !== 'a' || result[1] !== 'b';\n});\n\nmodule.exports = function (KEY, length, exec, sham) {\n var SYMBOL = wellKnownSymbol(KEY);\n\n var DELEGATES_TO_SYMBOL = !fails(function () {\n // String methods call symbol-named RegEp methods\n var O = {};\n O[SYMBOL] = function () { return 7; };\n return ''[KEY](O) != 7;\n });\n\n var DELEGATES_TO_EXEC = DELEGATES_TO_SYMBOL && !fails(function () {\n // Symbol-named RegExp methods call .exec\n var execCalled = false;\n var re = /a/;\n\n if (KEY === 'split') {\n // We can't use real regex here since it causes deoptimization\n // and serious performance degradation in V8\n // https://github.com/zloirock/core-js/issues/306\n re = {};\n // RegExp[@@split] doesn't call the regex's exec method, but first creates\n // a new one. We need to return the patched regex when creating the new one.\n re.constructor = {};\n re.constructor[SPECIES] = function () { return re; };\n re.flags = '';\n re[SYMBOL] = /./[SYMBOL];\n }\n\n re.exec = function () { execCalled = true; return null; };\n\n re[SYMBOL]('');\n return !execCalled;\n });\n\n if (\n !DELEGATES_TO_SYMBOL ||\n !DELEGATES_TO_EXEC ||\n (KEY === 'replace' && !(\n REPLACE_SUPPORTS_NAMED_GROUPS &&\n REPLACE_KEEPS_$0 &&\n !REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n )) ||\n (KEY === 'split' && !SPLIT_WORKS_WITH_OVERWRITTEN_EXEC)\n ) {\n var nativeRegExpMethod = /./[SYMBOL];\n var methods = exec(SYMBOL, ''[KEY], function (nativeMethod, regexp, str, arg2, forceStringMethod) {\n if (regexp.exec === regexpExec) {\n if (DELEGATES_TO_SYMBOL && !forceStringMethod) {\n // The native String method already delegates to @@method (this\n // polyfilled function), leasing to infinite recursion.\n // We avoid it by directly calling the native @@method method.\n return { done: true, value: nativeRegExpMethod.call(regexp, str, arg2) };\n }\n return { done: true, value: nativeMethod.call(str, regexp, arg2) };\n }\n return { done: false };\n }, {\n REPLACE_KEEPS_$0: REPLACE_KEEPS_$0,\n REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE: REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n });\n var stringMethod = methods[0];\n var regexMethod = methods[1];\n\n redefine(String.prototype, KEY, stringMethod);\n redefine(RegExp.prototype, SYMBOL, length == 2\n // 21.2.5.8 RegExp.prototype[@@replace](string, replaceValue)\n // 21.2.5.11 RegExp.prototype[@@split](string, limit)\n ? function (string, arg) { return regexMethod.call(string, this, arg); }\n // 21.2.5.6 RegExp.prototype[@@match](string)\n // 21.2.5.9 RegExp.prototype[@@search](string)\n : function (string) { return regexMethod.call(string, this); }\n );\n }\n\n if (sham) createNonEnumerableProperty(RegExp.prototype[SYMBOL], 'sham', true);\n};\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\n\n// `AdvanceStringIndex` abstract operation\n// https://tc39.github.io/ecma262/#sec-advancestringindex\nmodule.exports = function (S, index, unicode) {\n return index + (unicode ? charAt(S, index).length : 1);\n};\n","var classof = require('./classof-raw');\nvar regexpExec = require('./regexp-exec');\n\n// `RegExpExec` abstract operation\n// https://tc39.github.io/ecma262/#sec-regexpexec\nmodule.exports = function (R, S) {\n var exec = R.exec;\n if (typeof exec === 'function') {\n var result = exec.call(R, S);\n if (typeof result !== 'object') {\n throw TypeError('RegExp exec method returned something other than an Object or null');\n }\n return result;\n }\n\n if (classof(R) !== 'RegExp') {\n throw TypeError('RegExp#exec called on incompatible receiver');\n }\n\n return regexpExec.call(R, S);\n};\n\n","var global = require('../internals/global');\nvar inspectSource = require('../internals/inspect-source');\n\nvar WeakMap = global.WeakMap;\n\nmodule.exports = typeof WeakMap === 'function' && /native code/.test(inspectSource(WeakMap));\n","var has = require('../internals/has');\nvar ownKeys = require('../internals/own-keys');\nvar getOwnPropertyDescriptorModule = require('../internals/object-get-own-property-descriptor');\nvar definePropertyModule = require('../internals/object-define-property');\n\nmodule.exports = function (target, source) {\n var keys = ownKeys(source);\n var defineProperty = definePropertyModule.f;\n var getOwnPropertyDescriptor = getOwnPropertyDescriptorModule.f;\n for (var i = 0; i < keys.length; i++) {\n var key = keys[i];\n if (!has(target, key)) defineProperty(target, key, getOwnPropertyDescriptor(source, key));\n }\n};\n","var getBuiltIn = require('../internals/get-built-in');\nvar getOwnPropertyNamesModule = require('../internals/object-get-own-property-names');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar anObject = require('../internals/an-object');\n\n// all object keys, includes non-enumerable and symbols\nmodule.exports = getBuiltIn('Reflect', 'ownKeys') || function ownKeys(it) {\n var keys = getOwnPropertyNamesModule.f(anObject(it));\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n return getOwnPropertySymbols ? keys.concat(getOwnPropertySymbols(it)) : keys;\n};\n","var global = require('../internals/global');\n\nmodule.exports = global;\n","module.exports = require('./lib/axios');","'use strict';\n\nvar utils = require('./utils');\nvar bind = require('./helpers/bind');\nvar Axios = require('./core/Axios');\nvar mergeConfig = require('./core/mergeConfig');\nvar defaults = require('./defaults');\n\n/**\n * Create an instance of Axios\n *\n * @param {Object} defaultConfig The default config for the instance\n * @return {Axios} A new instance of Axios\n */\nfunction createInstance(defaultConfig) {\n var context = new Axios(defaultConfig);\n var instance = bind(Axios.prototype.request, context);\n\n // Copy axios.prototype to instance\n utils.extend(instance, Axios.prototype, context);\n\n // Copy context to instance\n utils.extend(instance, context);\n\n return instance;\n}\n\n// Create the default instance to be exported\nvar axios = createInstance(defaults);\n\n// Expose Axios class to allow class inheritance\naxios.Axios = Axios;\n\n// Factory for creating new instances\naxios.create = function create(instanceConfig) {\n return createInstance(mergeConfig(axios.defaults, instanceConfig));\n};\n\n// Expose Cancel & CancelToken\naxios.Cancel = require('./cancel/Cancel');\naxios.CancelToken = require('./cancel/CancelToken');\naxios.isCancel = require('./cancel/isCancel');\n\n// Expose all/spread\naxios.all = function all(promises) {\n return Promise.all(promises);\n};\naxios.spread = require('./helpers/spread');\n\nmodule.exports = axios;\n\n// Allow use of default import syntax in TypeScript\nmodule.exports.default = axios;\n","'use strict';\n\nvar utils = require('./../utils');\nvar buildURL = require('../helpers/buildURL');\nvar InterceptorManager = require('./InterceptorManager');\nvar dispatchRequest = require('./dispatchRequest');\nvar mergeConfig = require('./mergeConfig');\n\n/**\n * Create a new instance of Axios\n *\n * @param {Object} instanceConfig The default config for the instance\n */\nfunction Axios(instanceConfig) {\n this.defaults = instanceConfig;\n this.interceptors = {\n request: new InterceptorManager(),\n response: new InterceptorManager()\n };\n}\n\n/**\n * Dispatch a request\n *\n * @param {Object} config The config specific for this request (merged with this.defaults)\n */\nAxios.prototype.request = function request(config) {\n /*eslint no-param-reassign:0*/\n // Allow for axios('example/url'[, config]) a la fetch API\n if (typeof config === 'string') {\n config = arguments[1] || {};\n config.url = arguments[0];\n } else {\n config = config || {};\n }\n\n config = mergeConfig(this.defaults, config);\n\n // Set config.method\n if (config.method) {\n config.method = config.method.toLowerCase();\n } else if (this.defaults.method) {\n config.method = this.defaults.method.toLowerCase();\n } else {\n config.method = 'get';\n }\n\n // Hook up interceptors middleware\n var chain = [dispatchRequest, undefined];\n var promise = Promise.resolve(config);\n\n this.interceptors.request.forEach(function unshiftRequestInterceptors(interceptor) {\n chain.unshift(interceptor.fulfilled, interceptor.rejected);\n });\n\n this.interceptors.response.forEach(function pushResponseInterceptors(interceptor) {\n chain.push(interceptor.fulfilled, interceptor.rejected);\n });\n\n while (chain.length) {\n promise = promise.then(chain.shift(), chain.shift());\n }\n\n return promise;\n};\n\nAxios.prototype.getUri = function getUri(config) {\n config = mergeConfig(this.defaults, config);\n return buildURL(config.url, config.params, config.paramsSerializer).replace(/^\\?/, '');\n};\n\n// Provide aliases for supported request methods\nutils.forEach(['delete', 'get', 'head', 'options'], function forEachMethodNoData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url\n }));\n };\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, data, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url,\n data: data\n }));\n };\n});\n\nmodule.exports = Axios;\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction InterceptorManager() {\n this.handlers = [];\n}\n\n/**\n * Add a new interceptor to the stack\n *\n * @param {Function} fulfilled The function to handle `then` for a `Promise`\n * @param {Function} rejected The function to handle `reject` for a `Promise`\n *\n * @return {Number} An ID used to remove interceptor later\n */\nInterceptorManager.prototype.use = function use(fulfilled, rejected) {\n this.handlers.push({\n fulfilled: fulfilled,\n rejected: rejected\n });\n return this.handlers.length - 1;\n};\n\n/**\n * Remove an interceptor from the stack\n *\n * @param {Number} id The ID that was returned by `use`\n */\nInterceptorManager.prototype.eject = function eject(id) {\n if (this.handlers[id]) {\n this.handlers[id] = null;\n }\n};\n\n/**\n * Iterate over all the registered interceptors\n *\n * This method is particularly useful for skipping over any\n * interceptors that may have become `null` calling `eject`.\n *\n * @param {Function} fn The function to call for each interceptor\n */\nInterceptorManager.prototype.forEach = function forEach(fn) {\n utils.forEach(this.handlers, function forEachHandler(h) {\n if (h !== null) {\n fn(h);\n }\n });\n};\n\nmodule.exports = InterceptorManager;\n","'use strict';\n\nvar utils = require('./../utils');\nvar transformData = require('./transformData');\nvar isCancel = require('../cancel/isCancel');\nvar defaults = require('../defaults');\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nfunction throwIfCancellationRequested(config) {\n if (config.cancelToken) {\n config.cancelToken.throwIfRequested();\n }\n}\n\n/**\n * Dispatch a request to the server using the configured adapter.\n *\n * @param {object} config The config that is to be used for the request\n * @returns {Promise} The Promise to be fulfilled\n */\nmodule.exports = function dispatchRequest(config) {\n throwIfCancellationRequested(config);\n\n // Ensure headers exist\n config.headers = config.headers || {};\n\n // Transform request data\n config.data = transformData(\n config.data,\n config.headers,\n config.transformRequest\n );\n\n // Flatten headers\n config.headers = utils.merge(\n config.headers.common || {},\n config.headers[config.method] || {},\n config.headers\n );\n\n utils.forEach(\n ['delete', 'get', 'head', 'post', 'put', 'patch', 'common'],\n function cleanHeaderConfig(method) {\n delete config.headers[method];\n }\n );\n\n var adapter = config.adapter || defaults.adapter;\n\n return adapter(config).then(function onAdapterResolution(response) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n response.data = transformData(\n response.data,\n response.headers,\n config.transformResponse\n );\n\n return response;\n }, function onAdapterRejection(reason) {\n if (!isCancel(reason)) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n if (reason && reason.response) {\n reason.response.data = transformData(\n reason.response.data,\n reason.response.headers,\n config.transformResponse\n );\n }\n }\n\n return Promise.reject(reason);\n });\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n/**\n * Transform the data for a request or a response\n *\n * @param {Object|String} data The data to be transformed\n * @param {Array} headers The headers for the request or response\n * @param {Array|Function} fns A single function or Array of functions\n * @returns {*} The resulting transformed data\n */\nmodule.exports = function transformData(data, headers, fns) {\n /*eslint no-param-reassign:0*/\n utils.forEach(fns, function transform(fn) {\n data = fn(data, headers);\n });\n\n return data;\n};\n","'use strict';\n\nvar utils = require('../utils');\n\nmodule.exports = function normalizeHeaderName(headers, normalizedName) {\n utils.forEach(headers, function processHeader(value, name) {\n if (name !== normalizedName && name.toUpperCase() === normalizedName.toUpperCase()) {\n headers[normalizedName] = value;\n delete headers[name];\n }\n });\n};\n","'use strict';\n\nvar createError = require('./createError');\n\n/**\n * Resolve or reject a Promise based on response status.\n *\n * @param {Function} resolve A function that resolves the promise.\n * @param {Function} reject A function that rejects the promise.\n * @param {object} response The response.\n */\nmodule.exports = function settle(resolve, reject, response) {\n var validateStatus = response.config.validateStatus;\n if (!validateStatus || validateStatus(response.status)) {\n resolve(response);\n } else {\n reject(createError(\n 'Request failed with status code ' + response.status,\n response.config,\n null,\n response.request,\n response\n ));\n }\n};\n","'use strict';\n\n/**\n * Update an Error with the specified config, error code, and response.\n *\n * @param {Error} error The error to update.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The error.\n */\nmodule.exports = function enhanceError(error, config, code, request, response) {\n error.config = config;\n if (code) {\n error.code = code;\n }\n\n error.request = request;\n error.response = response;\n error.isAxiosError = true;\n\n error.toJSON = function() {\n return {\n // Standard\n message: this.message,\n name: this.name,\n // Microsoft\n description: this.description,\n number: this.number,\n // Mozilla\n fileName: this.fileName,\n lineNumber: this.lineNumber,\n columnNumber: this.columnNumber,\n stack: this.stack,\n // Axios\n config: this.config,\n code: this.code\n };\n };\n return error;\n};\n","'use strict';\n\nvar isAbsoluteURL = require('../helpers/isAbsoluteURL');\nvar combineURLs = require('../helpers/combineURLs');\n\n/**\n * Creates a new URL by combining the baseURL with the requestedURL,\n * only when the requestedURL is not already an absolute URL.\n * If the requestURL is absolute, this function returns the requestedURL untouched.\n *\n * @param {string} baseURL The base URL\n * @param {string} requestedURL Absolute or relative URL to combine\n * @returns {string} The combined full path\n */\nmodule.exports = function buildFullPath(baseURL, requestedURL) {\n if (baseURL && !isAbsoluteURL(requestedURL)) {\n return combineURLs(baseURL, requestedURL);\n }\n return requestedURL;\n};\n","'use strict';\n\n/**\n * Determines whether the specified URL is absolute\n *\n * @param {string} url The URL to test\n * @returns {boolean} True if the specified URL is absolute, otherwise false\n */\nmodule.exports = function isAbsoluteURL(url) {\n // A URL is considered absolute if it begins with \"<scheme>://\" or \"//\" (protocol-relative URL).\n // RFC 3986 defines scheme name as a sequence of characters beginning with a letter and followed\n // by any combination of letters, digits, plus, period, or hyphen.\n return /^([a-z][a-z\\d\\+\\-\\.]*:)?\\/\\//i.test(url);\n};\n","'use strict';\n\n/**\n * Creates a new URL by combining the specified URLs\n *\n * @param {string} baseURL The base URL\n * @param {string} relativeURL The relative URL\n * @returns {string} The combined URL\n */\nmodule.exports = function combineURLs(baseURL, relativeURL) {\n return relativeURL\n ? baseURL.replace(/\\/+$/, '') + '/' + relativeURL.replace(/^\\/+/, '')\n : baseURL;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n// Headers whose duplicates are ignored by node\n// c.f. https://nodejs.org/api/http.html#http_message_headers\nvar ignoreDuplicateOf = [\n 'age', 'authorization', 'content-length', 'content-type', 'etag',\n 'expires', 'from', 'host', 'if-modified-since', 'if-unmodified-since',\n 'last-modified', 'location', 'max-forwards', 'proxy-authorization',\n 'referer', 'retry-after', 'user-agent'\n];\n\n/**\n * Parse headers into an object\n *\n * ```\n * Date: Wed, 27 Aug 2014 08:58:49 GMT\n * Content-Type: application/json\n * Connection: keep-alive\n * Transfer-Encoding: chunked\n * ```\n *\n * @param {String} headers Headers needing to be parsed\n * @returns {Object} Headers parsed into an object\n */\nmodule.exports = function parseHeaders(headers) {\n var parsed = {};\n var key;\n var val;\n var i;\n\n if (!headers) { return parsed; }\n\n utils.forEach(headers.split('\\n'), function parser(line) {\n i = line.indexOf(':');\n key = utils.trim(line.substr(0, i)).toLowerCase();\n val = utils.trim(line.substr(i + 1));\n\n if (key) {\n if (parsed[key] && ignoreDuplicateOf.indexOf(key) >= 0) {\n return;\n }\n if (key === 'set-cookie') {\n parsed[key] = (parsed[key] ? parsed[key] : []).concat([val]);\n } else {\n parsed[key] = parsed[key] ? parsed[key] + ', ' + val : val;\n }\n }\n });\n\n return parsed;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs have full support of the APIs needed to test\n // whether the request URL is of the same origin as current location.\n (function standardBrowserEnv() {\n var msie = /(msie|trident)/i.test(navigator.userAgent);\n var urlParsingNode = document.createElement('a');\n var originURL;\n\n /**\n * Parse a URL to discover it's components\n *\n * @param {String} url The URL to be parsed\n * @returns {Object}\n */\n function resolveURL(url) {\n var href = url;\n\n if (msie) {\n // IE needs attribute set twice to normalize properties\n urlParsingNode.setAttribute('href', href);\n href = urlParsingNode.href;\n }\n\n urlParsingNode.setAttribute('href', href);\n\n // urlParsingNode provides the UrlUtils interface - http://url.spec.whatwg.org/#urlutils\n return {\n href: urlParsingNode.href,\n protocol: urlParsingNode.protocol ? urlParsingNode.protocol.replace(/:$/, '') : '',\n host: urlParsingNode.host,\n search: urlParsingNode.search ? urlParsingNode.search.replace(/^\\?/, '') : '',\n hash: urlParsingNode.hash ? urlParsingNode.hash.replace(/^#/, '') : '',\n hostname: urlParsingNode.hostname,\n port: urlParsingNode.port,\n pathname: (urlParsingNode.pathname.charAt(0) === '/') ?\n urlParsingNode.pathname :\n '/' + urlParsingNode.pathname\n };\n }\n\n originURL = resolveURL(window.location.href);\n\n /**\n * Determine if a URL shares the same origin as the current location\n *\n * @param {String} requestURL The URL to test\n * @returns {boolean} True if URL shares the same origin, otherwise false\n */\n return function isURLSameOrigin(requestURL) {\n var parsed = (utils.isString(requestURL)) ? resolveURL(requestURL) : requestURL;\n return (parsed.protocol === originURL.protocol &&\n parsed.host === originURL.host);\n };\n })() :\n\n // Non standard browser envs (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return function isURLSameOrigin() {\n return true;\n };\n })()\n);\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs support document.cookie\n (function standardBrowserEnv() {\n return {\n write: function write(name, value, expires, path, domain, secure) {\n var cookie = [];\n cookie.push(name + '=' + encodeURIComponent(value));\n\n if (utils.isNumber(expires)) {\n cookie.push('expires=' + new Date(expires).toGMTString());\n }\n\n if (utils.isString(path)) {\n cookie.push('path=' + path);\n }\n\n if (utils.isString(domain)) {\n cookie.push('domain=' + domain);\n }\n\n if (secure === true) {\n cookie.push('secure');\n }\n\n document.cookie = cookie.join('; ');\n },\n\n read: function read(name) {\n var match = document.cookie.match(new RegExp('(^|;\\\\s*)(' + name + ')=([^;]*)'));\n return (match ? decodeURIComponent(match[3]) : null);\n },\n\n remove: function remove(name) {\n this.write(name, '', Date.now() - 86400000);\n }\n };\n })() :\n\n // Non standard browser env (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return {\n write: function write() {},\n read: function read() { return null; },\n remove: function remove() {}\n };\n })()\n);\n","'use strict';\n\nvar Cancel = require('./Cancel');\n\n/**\n * A `CancelToken` is an object that can be used to request cancellation of an operation.\n *\n * @class\n * @param {Function} executor The executor function.\n */\nfunction CancelToken(executor) {\n if (typeof executor !== 'function') {\n throw new TypeError('executor must be a function.');\n }\n\n var resolvePromise;\n this.promise = new Promise(function promiseExecutor(resolve) {\n resolvePromise = resolve;\n });\n\n var token = this;\n executor(function cancel(message) {\n if (token.reason) {\n // Cancellation has already been requested\n return;\n }\n\n token.reason = new Cancel(message);\n resolvePromise(token.reason);\n });\n}\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nCancelToken.prototype.throwIfRequested = function throwIfRequested() {\n if (this.reason) {\n throw this.reason;\n }\n};\n\n/**\n * Returns an object that contains a new `CancelToken` and a function that, when called,\n * cancels the `CancelToken`.\n */\nCancelToken.source = function source() {\n var cancel;\n var token = new CancelToken(function executor(c) {\n cancel = c;\n });\n return {\n token: token,\n cancel: cancel\n };\n};\n\nmodule.exports = CancelToken;\n","'use strict';\n\n/**\n * Syntactic sugar for invoking a function and expanding an array for arguments.\n *\n * Common use case would be to use `Function.prototype.apply`.\n *\n * ```js\n * function f(x, y, z) {}\n * var args = [1, 2, 3];\n * f.apply(null, args);\n * ```\n *\n * With `spread` this example can be re-written.\n *\n * ```js\n * spread(function(x, y, z) {})([1, 2, 3]);\n * ```\n *\n * @param {Function} callback\n * @returns {Function}\n */\nmodule.exports = function spread(callback) {\n return function wrap(arr) {\n return callback.apply(null, arr);\n };\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRequestToken = getRequestToken;\nexports.onRequestTokenUpdate = onRequestTokenUpdate;\n\nvar _eventBus = require(\"@nextcloud/event-bus\");\n\nvar tokenElement = document.getElementsByTagName('head')[0];\nvar token = tokenElement ? tokenElement.getAttribute('data-requesttoken') : null;\nvar observers = [];\n\nfunction getRequestToken() {\n return token;\n}\n\nfunction onRequestTokenUpdate(observer) {\n observers.push(observer);\n} // Listen to server event and keep token in sync\n\n\n(0, _eventBus.subscribe)('csrf-token-update', function (e) {\n token = e.token;\n observers.forEach(function (observer) {\n try {\n observer(e.token);\n } catch (e) {\n console.error('error updating CSRF token observer', e);\n }\n });\n});\n//# sourceMappingURL=requesttoken.js.map","var NATIVE_SYMBOL = require('../internals/native-symbol');\n\nmodule.exports = NATIVE_SYMBOL\n // eslint-disable-next-line no-undef\n && !Symbol.sham\n // eslint-disable-next-line no-undef\n && typeof Symbol.iterator == 'symbol';\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.ProxyBus = void 0;\n\nvar _valid = _interopRequireDefault(require(\"semver/functions/valid\"));\n\nvar _major = _interopRequireDefault(require(\"semver/functions/major\"));\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar ProxyBus = /*#__PURE__*/function () {\n function ProxyBus(bus) {\n _classCallCheck(this, ProxyBus);\n\n _defineProperty(this, \"bus\", void 0);\n\n if (typeof bus.getVersion !== 'function' || !(0, _valid.default)(bus.getVersion())) {\n console.warn('Proxying an event bus with an unknown or invalid version');\n } else if ((0, _major.default)(bus.getVersion()) !== (0, _major.default)(this.getVersion())) {\n console.warn('Proxying an event bus of version ' + bus.getVersion() + ' with ' + this.getVersion());\n }\n\n this.bus = bus;\n }\n\n _createClass(ProxyBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.bus.subscribe(name, handler);\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.bus.unsubscribe(name, handler);\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n this.bus.emit(name, event);\n }\n }]);\n\n return ProxyBus;\n}();\n\nexports.ProxyBus = ProxyBus;\n//# sourceMappingURL=ProxyBus.js.map","const parse = require('./parse')\nconst valid = (version, options) => {\n const v = parse(version, options)\n return v ? v.version : null\n}\nmodule.exports = valid\n","const {MAX_LENGTH} = require('../internal/constants')\nconst { re, t } = require('../internal/re')\nconst SemVer = require('../classes/semver')\n\nconst parse = (version, options) => {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n\n if (version instanceof SemVer) {\n return version\n }\n\n if (typeof version !== 'string') {\n return null\n }\n\n if (version.length > MAX_LENGTH) {\n return null\n }\n\n const r = options.loose ? re[t.LOOSE] : re[t.FULL]\n if (!r.test(version)) {\n return null\n }\n\n try {\n return new SemVer(version, options)\n } catch (er) {\n return null\n }\n}\n\nmodule.exports = parse\n","const numeric = /^[0-9]+$/\nconst compareIdentifiers = (a, b) => {\n const anum = numeric.test(a)\n const bnum = numeric.test(b)\n\n if (anum && bnum) {\n a = +a\n b = +b\n }\n\n return a === b ? 0\n : (anum && !bnum) ? -1\n : (bnum && !anum) ? 1\n : a < b ? -1\n : 1\n}\n\nconst rcompareIdentifiers = (a, b) => compareIdentifiers(b, a)\n\nmodule.exports = {\n compareIdentifiers,\n rcompareIdentifiers\n}\n","const SemVer = require('../classes/semver')\nconst major = (a, loose) => new SemVer(a, loose).major\nmodule.exports = major\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.concat\");\n\nrequire(\"core-js/modules/es.array.filter\");\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nrequire(\"core-js/modules/es.array.iterator\");\n\nrequire(\"core-js/modules/es.map\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.string.iterator\");\n\nrequire(\"core-js/modules/web.dom-collections.for-each\");\n\nrequire(\"core-js/modules/web.dom-collections.iterator\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.SimpleBus = void 0;\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar SimpleBus = /*#__PURE__*/function () {\n function SimpleBus() {\n _classCallCheck(this, SimpleBus);\n\n _defineProperty(this, \"handlers\", new Map());\n }\n\n _createClass(SimpleBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).concat(handler));\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).filter(function (h) {\n return h != handler;\n }));\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n (this.handlers.get(name) || []).forEach(function (h) {\n try {\n h(event);\n } catch (e) {\n console.error('could not invoke event listener', e);\n }\n });\n }\n }]);\n\n return SimpleBus;\n}();\n\nexports.SimpleBus = SimpleBus;\n//# sourceMappingURL=SimpleBus.js.map","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar create = require('../internals/object-create');\nvar definePropertyModule = require('../internals/object-define-property');\n\nvar UNSCOPABLES = wellKnownSymbol('unscopables');\nvar ArrayPrototype = Array.prototype;\n\n// Array.prototype[@@unscopables]\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\nif (ArrayPrototype[UNSCOPABLES] == undefined) {\n definePropertyModule.f(ArrayPrototype, UNSCOPABLES, {\n configurable: true,\n value: create(null)\n });\n}\n\n// add a key to Array.prototype[@@unscopables]\nmodule.exports = function (key) {\n ArrayPrototype[UNSCOPABLES][key] = true;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n function F() { /* empty */ }\n F.prototype.constructor = null;\n return Object.getPrototypeOf(new F()) !== F.prototype;\n});\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it) && it !== null) {\n throw TypeError(\"Can't set \" + String(it) + ' as a prototype');\n } return it;\n};\n","'use strict';\nvar collection = require('../internals/collection');\nvar collectionStrong = require('../internals/collection-strong');\n\n// `Map` constructor\n// https://tc39.github.io/ecma262/#sec-map-objects\nmodule.exports = collection('Map', function (init) {\n return function Map() { return init(this, arguments.length ? arguments[0] : undefined); };\n}, collectionStrong);\n","'use strict';\nvar $ = require('../internals/export');\nvar global = require('../internals/global');\nvar isForced = require('../internals/is-forced');\nvar redefine = require('../internals/redefine');\nvar InternalMetadataModule = require('../internals/internal-metadata');\nvar iterate = require('../internals/iterate');\nvar anInstance = require('../internals/an-instance');\nvar isObject = require('../internals/is-object');\nvar fails = require('../internals/fails');\nvar checkCorrectnessOfIteration = require('../internals/check-correctness-of-iteration');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar inheritIfRequired = require('../internals/inherit-if-required');\n\nmodule.exports = function (CONSTRUCTOR_NAME, wrapper, common) {\n var IS_MAP = CONSTRUCTOR_NAME.indexOf('Map') !== -1;\n var IS_WEAK = CONSTRUCTOR_NAME.indexOf('Weak') !== -1;\n var ADDER = IS_MAP ? 'set' : 'add';\n var NativeConstructor = global[CONSTRUCTOR_NAME];\n var NativePrototype = NativeConstructor && NativeConstructor.prototype;\n var Constructor = NativeConstructor;\n var exported = {};\n\n var fixMethod = function (KEY) {\n var nativeMethod = NativePrototype[KEY];\n redefine(NativePrototype, KEY,\n KEY == 'add' ? function add(value) {\n nativeMethod.call(this, value === 0 ? 0 : value);\n return this;\n } : KEY == 'delete' ? function (key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'get' ? function get(key) {\n return IS_WEAK && !isObject(key) ? undefined : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'has' ? function has(key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : function set(key, value) {\n nativeMethod.call(this, key === 0 ? 0 : key, value);\n return this;\n }\n );\n };\n\n // eslint-disable-next-line max-len\n if (isForced(CONSTRUCTOR_NAME, typeof NativeConstructor != 'function' || !(IS_WEAK || NativePrototype.forEach && !fails(function () {\n new NativeConstructor().entries().next();\n })))) {\n // create collection constructor\n Constructor = common.getConstructor(wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER);\n InternalMetadataModule.REQUIRED = true;\n } else if (isForced(CONSTRUCTOR_NAME, true)) {\n var instance = new Constructor();\n // early implementations not supports chaining\n var HASNT_CHAINING = instance[ADDER](IS_WEAK ? {} : -0, 1) != instance;\n // V8 ~ Chromium 40- weak-collections throws on primitives, but should return false\n var THROWS_ON_PRIMITIVES = fails(function () { instance.has(1); });\n // most early implementations doesn't supports iterables, most modern - not close it correctly\n // eslint-disable-next-line no-new\n var ACCEPT_ITERABLES = checkCorrectnessOfIteration(function (iterable) { new NativeConstructor(iterable); });\n // for early implementations -0 and +0 not the same\n var BUGGY_ZERO = !IS_WEAK && fails(function () {\n // V8 ~ Chromium 42- fails only with 5+ elements\n var $instance = new NativeConstructor();\n var index = 5;\n while (index--) $instance[ADDER](index, index);\n return !$instance.has(-0);\n });\n\n if (!ACCEPT_ITERABLES) {\n Constructor = wrapper(function (dummy, iterable) {\n anInstance(dummy, Constructor, CONSTRUCTOR_NAME);\n var that = inheritIfRequired(new NativeConstructor(), dummy, Constructor);\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n return that;\n });\n Constructor.prototype = NativePrototype;\n NativePrototype.constructor = Constructor;\n }\n\n if (THROWS_ON_PRIMITIVES || BUGGY_ZERO) {\n fixMethod('delete');\n fixMethod('has');\n IS_MAP && fixMethod('get');\n }\n\n if (BUGGY_ZERO || HASNT_CHAINING) fixMethod(ADDER);\n\n // weak collections should not contains .clear method\n if (IS_WEAK && NativePrototype.clear) delete NativePrototype.clear;\n }\n\n exported[CONSTRUCTOR_NAME] = Constructor;\n $({ global: true, forced: Constructor != NativeConstructor }, exported);\n\n setToStringTag(Constructor, CONSTRUCTOR_NAME);\n\n if (!IS_WEAK) common.setStrong(Constructor, CONSTRUCTOR_NAME, IS_MAP);\n\n return Constructor;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n return Object.isExtensible(Object.preventExtensions({}));\n});\n","'use strict';\nvar defineProperty = require('../internals/object-define-property').f;\nvar create = require('../internals/object-create');\nvar redefineAll = require('../internals/redefine-all');\nvar bind = require('../internals/function-bind-context');\nvar anInstance = require('../internals/an-instance');\nvar iterate = require('../internals/iterate');\nvar defineIterator = require('../internals/define-iterator');\nvar setSpecies = require('../internals/set-species');\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fastKey = require('../internals/internal-metadata').fastKey;\nvar InternalStateModule = require('../internals/internal-state');\n\nvar setInternalState = InternalStateModule.set;\nvar internalStateGetterFor = InternalStateModule.getterFor;\n\nmodule.exports = {\n getConstructor: function (wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER) {\n var C = wrapper(function (that, iterable) {\n anInstance(that, C, CONSTRUCTOR_NAME);\n setInternalState(that, {\n type: CONSTRUCTOR_NAME,\n index: create(null),\n first: undefined,\n last: undefined,\n size: 0\n });\n if (!DESCRIPTORS) that.size = 0;\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n });\n\n var getInternalState = internalStateGetterFor(CONSTRUCTOR_NAME);\n\n var define = function (that, key, value) {\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n var previous, index;\n // change existing entry\n if (entry) {\n entry.value = value;\n // create new entry\n } else {\n state.last = entry = {\n index: index = fastKey(key, true),\n key: key,\n value: value,\n previous: previous = state.last,\n next: undefined,\n removed: false\n };\n if (!state.first) state.first = entry;\n if (previous) previous.next = entry;\n if (DESCRIPTORS) state.size++;\n else that.size++;\n // add to index\n if (index !== 'F') state.index[index] = entry;\n } return that;\n };\n\n var getEntry = function (that, key) {\n var state = getInternalState(that);\n // fast case\n var index = fastKey(key);\n var entry;\n if (index !== 'F') return state.index[index];\n // frozen object case\n for (entry = state.first; entry; entry = entry.next) {\n if (entry.key == key) return entry;\n }\n };\n\n redefineAll(C.prototype, {\n // 23.1.3.1 Map.prototype.clear()\n // 23.2.3.2 Set.prototype.clear()\n clear: function clear() {\n var that = this;\n var state = getInternalState(that);\n var data = state.index;\n var entry = state.first;\n while (entry) {\n entry.removed = true;\n if (entry.previous) entry.previous = entry.previous.next = undefined;\n delete data[entry.index];\n entry = entry.next;\n }\n state.first = state.last = undefined;\n if (DESCRIPTORS) state.size = 0;\n else that.size = 0;\n },\n // 23.1.3.3 Map.prototype.delete(key)\n // 23.2.3.4 Set.prototype.delete(value)\n 'delete': function (key) {\n var that = this;\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n if (entry) {\n var next = entry.next;\n var prev = entry.previous;\n delete state.index[entry.index];\n entry.removed = true;\n if (prev) prev.next = next;\n if (next) next.previous = prev;\n if (state.first == entry) state.first = next;\n if (state.last == entry) state.last = prev;\n if (DESCRIPTORS) state.size--;\n else that.size--;\n } return !!entry;\n },\n // 23.2.3.6 Set.prototype.forEach(callbackfn, thisArg = undefined)\n // 23.1.3.5 Map.prototype.forEach(callbackfn, thisArg = undefined)\n forEach: function forEach(callbackfn /* , that = undefined */) {\n var state = getInternalState(this);\n var boundFunction = bind(callbackfn, arguments.length > 1 ? arguments[1] : undefined, 3);\n var entry;\n while (entry = entry ? entry.next : state.first) {\n boundFunction(entry.value, entry.key, this);\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n }\n },\n // 23.1.3.7 Map.prototype.has(key)\n // 23.2.3.7 Set.prototype.has(value)\n has: function has(key) {\n return !!getEntry(this, key);\n }\n });\n\n redefineAll(C.prototype, IS_MAP ? {\n // 23.1.3.6 Map.prototype.get(key)\n get: function get(key) {\n var entry = getEntry(this, key);\n return entry && entry.value;\n },\n // 23.1.3.9 Map.prototype.set(key, value)\n set: function set(key, value) {\n return define(this, key === 0 ? 0 : key, value);\n }\n } : {\n // 23.2.3.1 Set.prototype.add(value)\n add: function add(value) {\n return define(this, value = value === 0 ? 0 : value, value);\n }\n });\n if (DESCRIPTORS) defineProperty(C.prototype, 'size', {\n get: function () {\n return getInternalState(this).size;\n }\n });\n return C;\n },\n setStrong: function (C, CONSTRUCTOR_NAME, IS_MAP) {\n var ITERATOR_NAME = CONSTRUCTOR_NAME + ' Iterator';\n var getInternalCollectionState = internalStateGetterFor(CONSTRUCTOR_NAME);\n var getInternalIteratorState = internalStateGetterFor(ITERATOR_NAME);\n // add .keys, .values, .entries, [@@iterator]\n // 23.1.3.4, 23.1.3.8, 23.1.3.11, 23.1.3.12, 23.2.3.5, 23.2.3.8, 23.2.3.10, 23.2.3.11\n defineIterator(C, CONSTRUCTOR_NAME, function (iterated, kind) {\n setInternalState(this, {\n type: ITERATOR_NAME,\n target: iterated,\n state: getInternalCollectionState(iterated),\n kind: kind,\n last: undefined\n });\n }, function () {\n var state = getInternalIteratorState(this);\n var kind = state.kind;\n var entry = state.last;\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n // get next entry\n if (!state.target || !(state.last = entry = entry ? entry.next : state.state.first)) {\n // or finish the iteration\n state.target = undefined;\n return { value: undefined, done: true };\n }\n // return step by kind\n if (kind == 'keys') return { value: entry.key, done: false };\n if (kind == 'values') return { value: entry.value, done: false };\n return { value: [entry.key, entry.value], done: false };\n }, IS_MAP ? 'entries' : 'values', !IS_MAP, true);\n\n // add [@@species], 23.1.2.2, 23.2.2.2\n setSpecies(CONSTRUCTOR_NAME);\n }\n};\n","'use strict';\nvar TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classof = require('../internals/classof');\n\n// `Object.prototype.toString` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nmodule.exports = TO_STRING_TAG_SUPPORT ? {}.toString : function toString() {\n return '[object ' + classof(this) + ']';\n};\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar forEach = require('../internals/array-for-each');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype && CollectionPrototype.forEach !== forEach) try {\n createNonEnumerableProperty(CollectionPrototype, 'forEach', forEach);\n } catch (error) {\n CollectionPrototype.forEach = forEach;\n }\n}\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getCurrentUser = getCurrentUser;\n/// <reference types=\"@nextcloud/typings\" />\nvar uidElement = document.getElementsByTagName('head')[0];\nvar uid = uidElement ? uidElement.getAttribute('data-user') : null;\nvar displayNameElement = document.getElementsByTagName('head')[0];\nvar displayName = displayNameElement ? displayNameElement.getAttribute('data-user-displayname') : null;\nvar isAdmin = typeof OC === 'undefined' ? false : OC.isUserAdmin();\n\nfunction getCurrentUser() {\n if (uid === null) {\n return null;\n }\n\n return {\n uid: uid,\n displayName: displayName,\n isAdmin: isAdmin\n };\n}\n//# sourceMappingURL=user.js.map","'use strict';\n\nvar fails = require('./fails');\n\n// babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError,\n// so we use an intermediate function.\nfunction RE(s, f) {\n return RegExp(s, f);\n}\n\nexports.UNSUPPORTED_Y = fails(function () {\n // babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError\n var re = RE('a', 'y');\n re.lastIndex = 2;\n return re.exec('abcd') != null;\n});\n\nexports.BROKEN_CARET = fails(function () {\n // https://bugzilla.mozilla.org/show_bug.cgi?id=773687\n var re = RE('^r', 'gy');\n re.lastIndex = 2;\n return re.exec('str') != null;\n});\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var scope = (typeof global !== \"undefined\" && global) ||\n (typeof self !== \"undefined\" && self) ||\n window;\nvar apply = Function.prototype.apply;\n\n// DOM APIs, for completeness\n\nexports.setTimeout = function() {\n return new Timeout(apply.call(setTimeout, scope, arguments), clearTimeout);\n};\nexports.setInterval = function() {\n return new Timeout(apply.call(setInterval, scope, arguments), clearInterval);\n};\nexports.clearTimeout =\nexports.clearInterval = function(timeout) {\n if (timeout) {\n timeout.close();\n }\n};\n\nfunction Timeout(id, clearFn) {\n this._id = id;\n this._clearFn = clearFn;\n}\nTimeout.prototype.unref = Timeout.prototype.ref = function() {};\nTimeout.prototype.close = function() {\n this._clearFn.call(scope, this._id);\n};\n\n// Does not start the time, just sets up the members needed.\nexports.enroll = function(item, msecs) {\n clearTimeout(item._idleTimeoutId);\n item._idleTimeout = msecs;\n};\n\nexports.unenroll = function(item) {\n clearTimeout(item._idleTimeoutId);\n item._idleTimeout = -1;\n};\n\nexports._unrefActive = exports.active = function(item) {\n clearTimeout(item._idleTimeoutId);\n\n var msecs = item._idleTimeout;\n if (msecs >= 0) {\n item._idleTimeoutId = setTimeout(function onTimeout() {\n if (item._onTimeout)\n item._onTimeout();\n }, msecs);\n }\n};\n\n// setimmediate attaches itself to the global object\nrequire(\"setimmediate\");\n// On some exotic environments, it's not clear which object `setimmediate` was\n// able to install onto. Search each possibility in the same order as the\n// `setimmediate` library.\nexports.setImmediate = (typeof self !== \"undefined\" && self.setImmediate) ||\n (typeof global !== \"undefined\" && global.setImmediate) ||\n (this && this.setImmediate);\nexports.clearImmediate = (typeof self !== \"undefined\" && self.clearImmediate) ||\n (typeof global !== \"undefined\" && global.clearImmediate) ||\n (this && this.clearImmediate);\n","(function (global, undefined) {\n \"use strict\";\n\n if (global.setImmediate) {\n return;\n }\n\n var nextHandle = 1; // Spec says greater than zero\n var tasksByHandle = {};\n var currentlyRunningATask = false;\n var doc = global.document;\n var registerImmediate;\n\n function setImmediate(callback) {\n // Callback can either be a function or a string\n if (typeof callback !== \"function\") {\n callback = new Function(\"\" + callback);\n }\n // Copy function arguments\n var args = new Array(arguments.length - 1);\n for (var i = 0; i < args.length; i++) {\n args[i] = arguments[i + 1];\n }\n // Store and register the task\n var task = { callback: callback, args: args };\n tasksByHandle[nextHandle] = task;\n registerImmediate(nextHandle);\n return nextHandle++;\n }\n\n function clearImmediate(handle) {\n delete tasksByHandle[handle];\n }\n\n function run(task) {\n var callback = task.callback;\n var args = task.args;\n switch (args.length) {\n case 0:\n callback();\n break;\n case 1:\n callback(args[0]);\n break;\n case 2:\n callback(args[0], args[1]);\n break;\n case 3:\n callback(args[0], args[1], args[2]);\n break;\n default:\n callback.apply(undefined, args);\n break;\n }\n }\n\n function runIfPresent(handle) {\n // From the spec: \"Wait until any invocations of this algorithm started before this one have completed.\"\n // So if we're currently running a task, we'll need to delay this invocation.\n if (currentlyRunningATask) {\n // Delay by doing a setTimeout. setImmediate was tried instead, but in Firefox 7 it generated a\n // \"too much recursion\" error.\n setTimeout(runIfPresent, 0, handle);\n } else {\n var task = tasksByHandle[handle];\n if (task) {\n currentlyRunningATask = true;\n try {\n run(task);\n } finally {\n clearImmediate(handle);\n currentlyRunningATask = false;\n }\n }\n }\n }\n\n function installNextTickImplementation() {\n registerImmediate = function(handle) {\n process.nextTick(function () { runIfPresent(handle); });\n };\n }\n\n function canUsePostMessage() {\n // The test against `importScripts` prevents this implementation from being installed inside a web worker,\n // where `global.postMessage` means something completely different and can't be used for this purpose.\n if (global.postMessage && !global.importScripts) {\n var postMessageIsAsynchronous = true;\n var oldOnMessage = global.onmessage;\n global.onmessage = function() {\n postMessageIsAsynchronous = false;\n };\n global.postMessage(\"\", \"*\");\n global.onmessage = oldOnMessage;\n return postMessageIsAsynchronous;\n }\n }\n\n function installPostMessageImplementation() {\n // Installs an event handler on `global` for the `message` event: see\n // * https://developer.mozilla.org/en/DOM/window.postMessage\n // * http://www.whatwg.org/specs/web-apps/current-work/multipage/comms.html#crossDocumentMessages\n\n var messagePrefix = \"setImmediate$\" + Math.random() + \"$\";\n var onGlobalMessage = function(event) {\n if (event.source === global &&\n typeof event.data === \"string\" &&\n event.data.indexOf(messagePrefix) === 0) {\n runIfPresent(+event.data.slice(messagePrefix.length));\n }\n };\n\n if (global.addEventListener) {\n global.addEventListener(\"message\", onGlobalMessage, false);\n } else {\n global.attachEvent(\"onmessage\", onGlobalMessage);\n }\n\n registerImmediate = function(handle) {\n global.postMessage(messagePrefix + handle, \"*\");\n };\n }\n\n function installMessageChannelImplementation() {\n var channel = new MessageChannel();\n channel.port1.onmessage = function(event) {\n var handle = event.data;\n runIfPresent(handle);\n };\n\n registerImmediate = function(handle) {\n channel.port2.postMessage(handle);\n };\n }\n\n function installReadyStateChangeImplementation() {\n var html = doc.documentElement;\n registerImmediate = function(handle) {\n // Create a <script> element; its readystatechange event will be fired asynchronously once it is inserted\n // into the document. Do so, thus queuing up the task. Remember to clean up once it's been called.\n var script = doc.createElement(\"script\");\n script.onreadystatechange = function () {\n runIfPresent(handle);\n script.onreadystatechange = null;\n html.removeChild(script);\n script = null;\n };\n html.appendChild(script);\n };\n }\n\n function installSetTimeoutImplementation() {\n registerImmediate = function(handle) {\n setTimeout(runIfPresent, 0, handle);\n };\n }\n\n // If supported, we should attach to the prototype of global, since that is where setTimeout et al. live.\n var attachTo = Object.getPrototypeOf && Object.getPrototypeOf(global);\n attachTo = attachTo && attachTo.setTimeout ? attachTo : global;\n\n // Don't get fooled by e.g. browserify environments.\n if ({}.toString.call(global.process) === \"[object process]\") {\n // For Node.js before 0.9\n installNextTickImplementation();\n\n } else if (canUsePostMessage()) {\n // For non-IE10 modern browsers\n installPostMessageImplementation();\n\n } else if (global.MessageChannel) {\n // For web workers, where supported\n installMessageChannelImplementation();\n\n } else if (doc && \"onreadystatechange\" in doc.createElement(\"script\")) {\n // For IE 6–8\n installReadyStateChangeImplementation();\n\n } else {\n // For older browsers\n installSetTimeoutImplementation();\n }\n\n attachTo.setImmediate = setImmediate;\n attachTo.clearImmediate = clearImmediate;\n}(typeof self === \"undefined\" ? typeof global === \"undefined\" ? this : global : self));\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./FilesSettings.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./FilesSettings.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n<template>\n\t<div id=\"files-setting-richworkspace\">\n\t\t<input id=\"showRichWorkspacesToggle\"\n\t\t\tv-model=\"showWorkspace\"\n\t\t\tclass=\"checkbox\"\n\t\t\ttype=\"checkbox\"\n\t\t\t@change=\"toggle\">\n\t\t<label for=\"showRichWorkspacesToggle\">{{ t('text', 'Show rich workspaces') }}</label>\n\t</div>\n</template>\n\n<script>\nimport { emit } from '@nextcloud/event-bus'\nimport axios from '@nextcloud/axios'\nimport { generateUrl } from '@nextcloud/router'\n\nexport default {\n\tname: 'FilesSettings',\n\tdata() {\n\t\treturn {\n\t\t\tshowWorkspace: OCA.Text.RichWorkspaceEnabled,\n\t\t}\n\t},\n\tmethods: {\n\t\ttoggle() {\n\t\t\t// FIXME: save to app config\n\t\t\tif (this.showWorkspace) {\n\t\t\t\temit('Text::showRichWorkspace')\n\t\t\t\taxios.post(generateUrl('/apps/text/settings'), {\n\t\t\t\t\tkey: 'workspace_enabled',\n\t\t\t\t\tvalue: '1',\n\t\t\t\t})\n\t\t\t} else {\n\t\t\t\temit('Text::hideRichWorkspace')\n\t\t\t\taxios.post(generateUrl('/apps/text/settings'), {\n\t\t\t\t\tkey: 'workspace_enabled',\n\t\t\t\t\tvalue: '0',\n\t\t\t\t})\n\t\t\t}\n\t\t},\n\t},\n}\n</script>\n","import { render, staticRenderFns } from \"./FilesSettings.vue?vue&type=template&id=78d525c6&\"\nimport script from \"./FilesSettings.vue?vue&type=script&lang=js&\"\nexport * from \"./FilesSettings.vue?vue&type=script&lang=js&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n null,\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('div',{attrs:{\"id\":\"files-setting-richworkspace\"}},[_c('input',{directives:[{name:\"model\",rawName:\"v-model\",value:(_vm.showWorkspace),expression:\"showWorkspace\"}],staticClass:\"checkbox\",attrs:{\"id\":\"showRichWorkspacesToggle\",\"type\":\"checkbox\"},domProps:{\"checked\":Array.isArray(_vm.showWorkspace)?_vm._i(_vm.showWorkspace,null)>-1:(_vm.showWorkspace)},on:{\"change\":[function($event){var $$a=_vm.showWorkspace,$$el=$event.target,$$c=$$el.checked?(true):(false);if(Array.isArray($$a)){var $$v=null,$$i=_vm._i($$a,$$v);if($$el.checked){$$i<0&&(_vm.showWorkspace=$$a.concat([$$v]))}else{$$i>-1&&(_vm.showWorkspace=$$a.slice(0,$$i).concat($$a.slice($$i+1)))}}else{_vm.showWorkspace=$$c}},_vm.toggle]}}),_vm._v(\" \"),_c('label',{attrs:{\"for\":\"showRichWorkspacesToggle\"}},[_vm._v(_vm._s(_vm.t('text', 'Show rich workspaces')))])])}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport Vue from 'vue'\nimport { registerFileActionFallback, registerFileCreate, FilesWorkspacePlugin } from './helpers/files'\nimport FilesSettings from './views/FilesSettings'\nimport { loadState } from '@nextcloud/initial-state'\nimport { linkTo } from '@nextcloud/router'\n\n__webpack_nonce__ = btoa(OC.requestToken) // eslint-disable-line\n__webpack_public_path__ = linkTo('text', 'js/') // eslint-disable-line\n\nconst workspaceAvailable = loadState('text', 'workspace_available')\nconst workspaceEnabled = loadState('text', 'workspace_enabled')\n\nregisterFileCreate()\n\ndocument.addEventListener('DOMContentLoaded', () => {\n\tif (typeof OCA.Viewer === 'undefined') {\n\t\tconsole.error('Viewer app is not installed')\n\t\tregisterFileActionFallback()\n\t}\n\n\tif (workspaceAvailable && OCA && OCA.Files && OCA.Files.Settings) {\n\t\tVue.prototype.t = window.t\n\t\tVue.prototype.n = window.n\n\t\tVue.prototype.OCA = window.OCA\n\t\tconst vm = new Vue({\n\t\t\trender: h => h(FilesSettings, {}),\n\t\t})\n\t\tconst el = vm.$mount().$el\n\t\tOCA.Files.Settings.register(new OCA.Files.Settings.Setting('text', {\n\t\t\tel: () => { return el },\n\t\t}))\n\t}\n\n})\nif (workspaceAvailable) {\n\tOC.Plugins.register('OCA.Files.FileList', FilesWorkspacePlugin)\n}\n\nOCA.Text = {\n\tRichWorkspaceEnabled: workspaceEnabled,\n}\n"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/1c.js b/js/highlight/1c.js
index 57b58e0c4..1c4390066 100644
--- a/js/highlight/1c.js
+++ b/js/highlight/1c.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[2],{368:function(s,x){s.exports=function(s){var x="[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]+",e="далее возврат вызватьисключение выполнить для если и из или иначе иначеесли исключение каждого конецесли конецпопытки конеццикла не новый перейти перем по пока попытка прервать продолжить тогда цикл экспорт ",n="null истина ложь неопределено",o=s.inherit(s.NUMBER_MODE),t={className:"string",begin:'"|\\|',end:'"|$',contains:[{begin:'""'}]},m={begin:"'",end:"'",excludeBegin:!0,excludeEnd:!0,contains:[{className:"number",begin:"\\d{4}([\\.\\\\/:-]?\\d{2}){0,5}"}]},i=s.inherit(s.C_LINE_COMMENT_MODE);return{case_insensitive:!0,lexemes:x,keywords:{keyword:e,built_in:"разделительстраниц разделительстрок символтабуляции ansitooem oemtoansi ввестивидсубконто ввестиперечисление ввестипериод ввестиплансчетов выбранныйплансчетов датагод датамесяц датачисло заголовоксистемы значениевстроку значениеизстроки каталогиб каталогпользователя кодсимв конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид назначитьсчет найтиссылки началопериодаби началостандартногоинтервала начгода начквартала начмесяца начнедели номерднягода номерднянедели номернеделигода обработкаожидания основнойжурналрасчетов основнойплансчетов основнойязык очиститьокносообщений периодстр получитьвремята получитьдатута получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта префиксавтонумерации пропись пустоезначение разм разобратьпозициюдокумента рассчитатьрегистрына рассчитатьрегистрыпо симв создатьобъект статусвозврата стрколичествострок сформироватьпозициюдокумента счетпокоду текущеевремя типзначения типзначениястр установитьтана установитьтапо фиксшаблон шаблон acos asin atan base64значение base64строка cos exp log log10 pow sin sqrt tan xmlзначение xmlстрока xmlтип xmlтипзнч активноеокно безопасныйрежим безопасныйрежимразделенияданных булево ввестидату ввестизначение ввестистроку ввестичисло возможностьчтенияxml вопрос восстановитьзначение врег выгрузитьжурналрегистрации выполнитьобработкуоповещения выполнитьпроверкуправдоступа вычислить год данныеформывзначение дата день деньгода деньнедели добавитьмесяц заблокироватьданныедляредактирования заблокироватьработупользователя завершитьработусистемы загрузитьвнешнююкомпоненту закрытьсправку записатьjson записатьxml записатьдатуjson записьжурналарегистрации заполнитьзначениясвойств запроситьразрешениепользователя запуститьприложение запуститьсистему зафиксироватьтранзакцию значениевданныеформы значениевстрокувнутр значениевфайл значениезаполнено значениеизстрокивнутр значениеизфайла изxmlтипа импортмоделиxdto имякомпьютера имяпользователя инициализироватьпредопределенныеданные информацияобошибке каталогбиблиотекимобильногоустройства каталогвременныхфайлов каталогдокументов каталогпрограммы кодироватьстроку кодлокализацииинформационнойбазы кодсимвола командасистемы конецгода конецдня конецквартала конецмесяца конецминуты конецнедели конецчаса конфигурациябазыданныхизмененадинамически конфигурацияизменена копироватьданныеформы копироватьфайл краткоепредставлениеошибки лев макс местноевремя месяц мин минута монопольныйрежим найти найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы началогода началодня началоквартала началомесяца началоминуты началонедели началочаса начатьзапросразрешенияпользователя начатьзапускприложения начатькопированиефайла начатьперемещениефайла начатьподключениевнешнейкомпоненты начатьподключениерасширенияработыскриптографией начатьподключениерасширенияработысфайлами начатьпоискфайлов начатьполучениекаталогавременныхфайлов начатьполучениекаталогадокументов начатьполучениерабочегокаталогаданныхпользователя начатьполучениефайлов начатьпомещениефайла начатьпомещениефайлов начатьсозданиедвоичныхданныхизфайла начатьсозданиекаталога начатьтранзакцию начатьудалениефайлов начатьустановкувнешнейкомпоненты начатьустановкурасширенияработыскриптографией начатьустановкурасширенияработысфайлами неделягода необходимостьзавершениясоединения номерсеансаинформационнойбазы номерсоединенияинформационнойбазы нрег нстр обновитьинтерфейс обновитьнумерациюобъектов обновитьповторноиспользуемыезначения обработкапрерыванияпользователя объединитьфайлы окр описаниеошибки оповестить оповеститьобизменении отключитьобработчикзапросанастроекклиенталицензирования отключитьобработчикожидания отключитьобработчикоповещения открытьзначение открытьиндекссправки открытьсодержаниесправки открытьсправку открытьформу открытьформумодально отменитьтранзакцию очиститьжурналрегистрации очиститьнастройкипользователя очиститьсообщения параметрыдоступа перейтипонавигационнойссылке переместитьфайл подключитьвнешнююкомпоненту подключитьобработчикзапросанастроекклиенталицензирования подключитьобработчикожидания подключитьобработчикоповещения подключитьрасширениеработыскриптографией подключитьрасширениеработысфайлами подробноепредставлениеошибки показатьвводдаты показатьвводзначения показатьвводстроки показатьвводчисла показатьвопрос показатьзначение показатьинформациюобошибке показатьнакарте показатьоповещениепользователя показатьпредупреждение полноеимяпользователя получитьcomобъект получитьxmlтип получитьадреспоместоположению получитьблокировкусеансов получитьвремязавершенияспящегосеанса получитьвремязасыпанияпассивногосеанса получитьвремяожиданияблокировкиданных получитьданныевыбора получитьдополнительныйпараметрклиенталицензирования получитьдопустимыекодылокализации получитьдопустимыечасовыепояса получитьзаголовокклиентскогоприложения получитьзаголовоксистемы получитьзначенияотборажурналарегистрации получитьидентификаторконфигурации получитьизвременногохранилища получитьимявременногофайла получитьимяклиенталицензирования получитьинформациюэкрановклиента получитьиспользованиежурналарегистрации получитьиспользованиесобытияжурналарегистрации получитькраткийзаголовокприложения получитьмакетоформления получитьмаскувсефайлы получитьмаскувсефайлыклиента получитьмаскувсефайлысервера получитьместоположениепоадресу получитьминимальнуюдлинупаролейпользователей получитьнавигационнуюссылку получитьнавигационнуюссылкуинформационнойбазы получитьобновлениеконфигурациибазыданных получитьобновлениепредопределенныхданныхинформационнойбазы получитьобщиймакет получитьобщуюформу получитьокна получитьоперативнуюотметкувремени получитьотключениебезопасногорежима получитьпараметрыфункциональныхопцийинтерфейса получитьполноеимяпредопределенногозначения получитьпредставлениянавигационныхссылок получитьпроверкусложностипаролейпользователей получитьразделительпути получитьразделительпутиклиента получитьразделительпутисервера получитьсеансыинформационнойбазы получитьскоростьклиентскогосоединения получитьсоединенияинформационнойбазы получитьсообщенияпользователю получитьсоответствиеобъектаиформы получитьсоставстандартногоинтерфейсаodata получитьструктурухранениябазыданных получитьтекущийсеансинформационнойбазы получитьфайл получитьфайлы получитьформу получитьфункциональнуюопцию получитьфункциональнуюопциюинтерфейса получитьчасовойпоясинформационнойбазы пользователиос поместитьвовременноехранилище поместитьфайл поместитьфайлы прав праводоступа предопределенноезначение представлениекодалокализации представлениепериода представлениеправа представлениеприложения представлениесобытияжурналарегистрации представлениечасовогопояса предупреждение прекратитьработусистемы привилегированныйрежим продолжитьвызов прочитатьjson прочитатьxml прочитатьдатуjson пустаястрока рабочийкаталогданныхпользователя разблокироватьданныедляредактирования разделитьфайл разорватьсоединениесвнешнимисточникомданных раскодироватьстроку рольдоступна секунда сигнал символ скопироватьжурналрегистрации смещениелетнеговремени смещениестандартноговремени соединитьбуферыдвоичныхданных создатькаталог создатьфабрикуxdto сокрл сокрлп сокрп сообщить состояние сохранитьзначение сохранитьнастройкипользователя сред стрдлина стрзаканчиваетсяна стрзаменить стрнайти стрначинаетсяс строка строкасоединенияинформационнойбазы стрполучитьстроку стрразделить стрсоединить стрсравнить стрчисловхождений стрчислострок стршаблон текущаядата текущаядатасеанса текущаяуниверсальнаядата текущаяуниверсальнаядатавмиллисекундах текущийвариантинтерфейсаклиентскогоприложения текущийвариантосновногошрифтаклиентскогоприложения текущийкодлокализации текущийрежимзапуска текущийязык текущийязыксистемы тип типзнч транзакцияактивна трег удалитьданныеинформационнойбазы удалитьизвременногохранилища удалитьобъекты удалитьфайлы универсальноевремя установитьбезопасныйрежим установитьбезопасныйрежимразделенияданных установитьблокировкусеансов установитьвнешнююкомпоненту установитьвремязавершенияспящегосеанса установитьвремязасыпанияпассивногосеанса установитьвремяожиданияблокировкиданных установитьзаголовокклиентскогоприложения установитьзаголовоксистемы установитьиспользованиежурналарегистрации установитьиспользованиесобытияжурналарегистрации установитькраткийзаголовокприложения установитьминимальнуюдлинупаролейпользователей установитьмонопольныйрежим установитьнастройкиклиенталицензирования установитьобновлениепредопределенныхданныхинформационнойбазы установитьотключениебезопасногорежима установитьпараметрыфункциональныхопцийинтерфейса установитьпривилегированныйрежим установитьпроверкусложностипаролейпользователей установитьрасширениеработыскриптографией установитьрасширениеработысфайлами установитьсоединениесвнешнимисточникомданных установитьсоответствиеобъектаиформы установитьсоставстандартногоинтерфейсаodata установитьчасовойпоясинформационнойбазы установитьчасовойпояссеанса формат цел час часовойпояс часовойпояссеанса число числопрописью этоадресвременногохранилища wsссылки библиотекакартинок библиотекамакетовоформлениякомпоновкиданных библиотекастилей бизнеспроцессы внешниеисточникиданных внешниеобработки внешниеотчеты встроенныепокупки главныйинтерфейс главныйстиль документы доставляемыеуведомления журналыдокументов задачи информацияобинтернетсоединении использованиерабочейдаты историяработыпользователя константы критерииотбора метаданные обработки отображениерекламы отправкадоставляемыхуведомлений отчеты панельзадачос параметрзапуска параметрысеанса перечисления планывидоврасчета планывидовхарактеристик планыобмена планысчетов полнотекстовыйпоиск пользователиинформационнойбазы последовательности проверкавстроенныхпокупок рабочаядата расширенияконфигурации регистрыбухгалтерии регистрынакопления регистрырасчета регистрысведений регламентныезадания сериализаторxdto справочники средствагеопозиционирования средствакриптографии средствамультимедиа средстваотображениярекламы средствапочты средствателефонии фабрикаxdto файловыепотоки фоновыезадания хранилищанастроек хранилищевариантовотчетов хранилищенастроекданныхформ хранилищеобщихнастроек хранилищепользовательскихнастроекдинамическихсписков хранилищепользовательскихнастроекотчетов хранилищесистемныхнастроек ",class:"webцвета windowsцвета windowsшрифты библиотекакартинок рамкистиля символы цветастиля шрифтыстиля автоматическоесохранениеданныхформывнастройках автонумерациявформе автораздвижениесерий анимациядиаграммы вариантвыравниванияэлементовизаголовков вариантуправлениявысотойтаблицы вертикальнаяпрокруткаформы вертикальноеположение вертикальноеположениеэлемента видгруппыформы виддекорацииформы виддополненияэлементаформы видизмененияданных видкнопкиформы видпереключателя видподписейкдиаграмме видполяформы видфлажка влияниеразмеранапузырекдиаграммы горизонтальноеположение горизонтальноеположениеэлемента группировкаколонок группировкаподчиненныхэлементовформы группыиэлементы действиеперетаскивания дополнительныйрежимотображения допустимыедействияперетаскивания интервалмеждуэлементамиформы использованиевывода использованиеполосыпрокрутки используемоезначениеточкибиржевойдиаграммы историявыборапривводе источникзначенийоситочекдиаграммы источникзначенияразмерапузырькадиаграммы категориягруппыкоманд максимумсерий начальноеотображениедерева начальноеотображениесписка обновлениетекстаредактирования ориентациядендрограммы ориентациядиаграммы ориентацияметокдиаграммы ориентацияметоксводнойдиаграммы ориентацияэлементаформы отображениевдиаграмме отображениевлегендедиаграммы отображениегруппыкнопок отображениезаголовкашкалыдиаграммы отображениезначенийсводнойдиаграммы отображениезначенияизмерительнойдиаграммы отображениеинтерваладиаграммыганта отображениекнопки отображениекнопкивыбора отображениеобсужденийформы отображениеобычнойгруппы отображениеотрицательныхзначенийпузырьковойдиаграммы отображениепанелипоиска отображениеподсказки отображениепредупрежденияприредактировании отображениеразметкиполосырегулирования отображениестраницформы отображениетаблицы отображениетекстазначениядиаграммыганта отображениеуправленияобычнойгруппы отображениефигурыкнопки палитрацветовдиаграммы поведениеобычнойгруппы поддержкамасштабадендрограммы поддержкамасштабадиаграммыганта поддержкамасштабасводнойдиаграммы поисквтаблицепривводе положениезаголовкаэлементаформы положениекартинкикнопкиформы положениекартинкиэлементаграфическойсхемы положениекоманднойпанелиформы положениекоманднойпанелиэлементаформы положениеопорнойточкиотрисовки положениеподписейкдиаграмме положениеподписейшкалызначенийизмерительнойдиаграммы положениесостоянияпросмотра положениестрокипоиска положениетекстасоединительнойлинии положениеуправленияпоиском положениешкалывремени порядокотображенияточекгоризонтальнойгистограммы порядоксерийвлегендедиаграммы размеркартинки расположениезаголовкашкалыдиаграммы растягиваниеповертикалидиаграммыганта режимавтоотображениясостояния режимвводастроктаблицы режимвыборанезаполненного режимвыделениядаты режимвыделениястрокитаблицы режимвыделениятаблицы режимизмененияразмера режимизменениясвязанногозначения режимиспользованиядиалогапечати режимиспользованияпараметракоманды режиммасштабированияпросмотра режимосновногоокнаклиентскогоприложения режимоткрытияокнаформы режимотображениявыделения режимотображениягеографическойсхемы режимотображениязначенийсерии режимотрисовкисеткиграфическойсхемы режимполупрозрачностидиаграммы режимпробеловдиаграммы режимразмещениянастранице режимредактированияколонки режимсглаживаниядиаграммы режимсглаживанияиндикатора режимсписказадач сквозноевыравнивание сохранениеданныхформывнастройках способзаполнениятекстазаголовкашкалыдиаграммы способопределенияограничивающегозначениядиаграммы стандартнаягруппакоманд стандартноеоформление статусоповещенияпользователя стильстрелки типаппроксимациилиниитрендадиаграммы типдиаграммы типединицышкалывремени типимпортасерийслоягеографическойсхемы типлиниигеографическойсхемы типлиниидиаграммы типмаркерагеографическойсхемы типмаркерадиаграммы типобластиоформления типорганизацииисточникаданныхгеографическойсхемы типотображениясериислоягеографическойсхемы типотображенияточечногообъектагеографическойсхемы типотображенияшкалыэлементалегендыгеографическойсхемы типпоискаобъектовгеографическойсхемы типпроекциигеографическойсхемы типразмещенияизмерений типразмещенияреквизитовизмерений типрамкиэлементауправления типсводнойдиаграммы типсвязидиаграммыганта типсоединениязначенийпосериямдиаграммы типсоединенияточекдиаграммы типсоединительнойлинии типстороныэлементаграфическойсхемы типформыотчета типшкалырадарнойдиаграммы факторлиниитрендадиаграммы фигуракнопки фигурыграфическойсхемы фиксациявтаблице форматдняшкалывремени форматкартинки ширинаподчиненныхэлементовформы виддвижениябухгалтерии виддвижениянакопления видпериодарегистрарасчета видсчета видточкимаршрутабизнеспроцесса использованиеагрегатарегистранакопления использованиегруппиэлементов использованиережимапроведения использованиесреза периодичностьагрегатарегистранакопления режимавтовремя режимзаписидокумента режимпроведениядокумента авторегистрацияизменений допустимыйномерсообщения отправкаэлементаданных получениеэлементаданных использованиерасшифровкитабличногодокумента ориентациястраницы положениеитоговколоноксводнойтаблицы положениеитоговстроксводнойтаблицы положениетекстаотносительнокартинки расположениезаголовкагруппировкитабличногодокумента способчтениязначенийтабличногодокумента типдвустороннейпечати типзаполненияобластитабличногодокумента типкурсоровтабличногодокумента типлиниирисункатабличногодокумента типлинииячейкитабличногодокумента типнаправленияпереходатабличногодокумента типотображениявыделениятабличногодокумента типотображениялинийсводнойтаблицы типразмещениятекстатабличногодокумента типрисункатабличногодокумента типсмещениятабличногодокумента типузоратабличногодокумента типфайлатабличногодокумента точностьпечати чередованиерасположениястраниц отображениевремениэлементовпланировщика типфайлаформатированногодокумента обходрезультатазапроса типзаписизапроса видзаполнениярасшифровкипостроителяотчета типдобавленияпредставлений типизмеренияпостроителяотчета типразмещенияитогов доступкфайлу режимдиалогавыборафайла режимоткрытияфайла типизмеренияпостроителязапроса видданныханализа методкластеризации типединицыинтервалавременианализаданных типзаполнениятаблицырезультатаанализаданных типиспользованиячисловыхзначенийанализаданных типисточникаданныхпоискаассоциаций типколонкианализаданныхдереворешений типколонкианализаданныхкластеризация типколонкианализаданныхобщаястатистика типколонкианализаданныхпоискассоциаций типколонкианализаданныхпоискпоследовательностей типколонкимоделипрогноза типмерырасстоянияанализаданных типотсеченияправилассоциации типполяанализаданных типстандартизациианализаданных типупорядочиванияправилассоциациианализаданных типупорядочиванияшаблоновпоследовательностейанализаданных типупрощениядереварешений wsнаправлениепараметра вариантxpathxs вариантзаписидатыjson вариантпростоготипаxs видгруппымоделиxs видфасетаxdto действиепостроителяdom завершенностьпростоготипаxs завершенностьсоставноготипаxs завершенностьсхемыxs запрещенныеподстановкиxs исключениягруппподстановкиxs категорияиспользованияатрибутаxs категорияограниченияидентичностиxs категорияограниченияпространствименxs методнаследованияxs модельсодержимогоxs назначениетипаxml недопустимыеподстановкиxs обработкапробельныхсимволовxs обработкасодержимогоxs ограничениезначенияxs параметрыотбораузловdom переносстрокjson позициявдокументеdom пробельныесимволыxml типатрибутаxml типзначенияjson типканоническогоxml типкомпонентыxs типпроверкиxml типрезультатаdomxpath типузлаdom типузлаxml формаxml формапредставленияxs форматдатыjson экранированиесимволовjson видсравнениякомпоновкиданных действиеобработкирасшифровкикомпоновкиданных направлениесортировкикомпоновкиданных расположениевложенныхэлементоврезультатакомпоновкиданных расположениеитоговкомпоновкиданных расположениегруппировкикомпоновкиданных расположениеполейгруппировкикомпоновкиданных расположениеполякомпоновкиданных расположениереквизитовкомпоновкиданных расположениересурсовкомпоновкиданных типбухгалтерскогоостаткакомпоновкиданных типвыводатекстакомпоновкиданных типгруппировкикомпоновкиданных типгруппыэлементовотборакомпоновкиданных типдополненияпериодакомпоновкиданных типзаголовкаполейкомпоновкиданных типмакетагруппировкикомпоновкиданных типмакетаобластикомпоновкиданных типостаткакомпоновкиданных типпериодакомпоновкиданных типразмещениятекстакомпоновкиданных типсвязинаборовданныхкомпоновкиданных типэлементарезультатакомпоновкиданных расположениелегендыдиаграммыкомпоновкиданных типпримененияотборакомпоновкиданных режимотображенияэлементанастройкикомпоновкиданных режимотображениянастроеккомпоновкиданных состояниеэлементанастройкикомпоновкиданных способвосстановлениянастроеккомпоновкиданных режимкомпоновкирезультата использованиепараметракомпоновкиданных автопозицияресурсовкомпоновкиданных вариантиспользованиягруппировкикомпоновкиданных расположениересурсоввдиаграммекомпоновкиданных фиксациякомпоновкиданных использованиеусловногооформлениякомпоновкиданных важностьинтернетпочтовогосообщения обработкатекстаинтернетпочтовогосообщения способкодированияинтернетпочтовоговложения способкодированиянеasciiсимволовинтернетпочтовогосообщения типтекстапочтовогосообщения протоколинтернетпочты статусразборапочтовогосообщения режимтранзакциизаписижурналарегистрации статустранзакциизаписижурналарегистрации уровеньжурналарегистрации расположениехранилищасертификатовкриптографии режимвключениясертификатовкриптографии режимпроверкисертификатакриптографии типхранилищасертификатовкриптографии кодировкаименфайловвzipфайле методсжатияzip методшифрованияzip режимвосстановленияпутейфайловzip режимобработкиподкаталоговzip режимсохраненияпутейzip уровеньсжатияzip звуковоеоповещение направлениепереходакстроке позициявпотоке порядокбайтов режимблокировкиданных режимуправленияблокировкойданных сервисвстроенныхпокупок состояниефоновогозадания типподписчикадоставляемыхуведомлений уровеньиспользованиязащищенногосоединенияftp направлениепорядкасхемызапроса типдополненияпериодамисхемызапроса типконтрольнойточкисхемызапроса типобъединениясхемызапроса типпараметрадоступнойтаблицысхемызапроса типсоединениясхемызапроса httpметод автоиспользованиеобщегореквизита автопрефиксномеразадачи вариантвстроенногоязыка видиерархии видрегистранакопления видтаблицывнешнегоисточникаданных записьдвиженийприпроведении заполнениепоследовательностей индексирование использованиебазыпланавидоврасчета использованиебыстроговыбора использованиеобщегореквизита использованиеподчинения использованиеполнотекстовогопоиска использованиеразделяемыхданныхобщегореквизита использованиереквизита назначениеиспользованияприложения назначениерасширенияконфигурации направлениепередачи обновлениепредопределенныхданных оперативноепроведение основноепредставлениевидарасчета основноепредставлениевидахарактеристики основноепредставлениезадачи основноепредставлениепланаобмена основноепредставлениесправочника основноепредставлениесчета перемещениеграницыприпроведении периодичностьномерабизнеспроцесса периодичностьномерадокумента периодичностьрегистрарасчета периодичностьрегистрасведений повторноеиспользованиевозвращаемыхзначений полнотекстовыйпоискпривводепостроке принадлежностьобъекта проведение разделениеаутентификацииобщегореквизита разделениеданныхобщегореквизита разделениерасширенийконфигурацииобщегореквизита режимавтонумерацииобъектов режимзаписирегистра режимиспользованиямодальности режимиспользованиясинхронныхвызововрасширенийплатформыивнешнихкомпонент режимповторногоиспользованиясеансов режимполученияданныхвыборапривводепостроке режимсовместимости режимсовместимостиинтерфейса режимуправленияблокировкойданныхпоумолчанию сериикодовпланавидовхарактеристик сериикодовпланасчетов сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования типданныхтаблицывнешнегоисточникаданных типкодапланавидоврасчета типкодасправочника типмакета типномерабизнеспроцесса типномерадокумента типномеразадачи типформы удалениедвижений важностьпроблемыприменениярасширенияконфигурации вариантинтерфейсаклиентскогоприложения вариантмасштабаформклиентскогоприложения вариантосновногошрифтаклиентскогоприложения вариантстандартногопериода вариантстандартнойдатыначала видграницы видкартинки видотображенияполнотекстовогопоиска видрамки видсравнения видцвета видчисловогозначения видшрифта допустимаядлина допустимыйзнак использованиеbyteordermark использованиеметаданныхполнотекстовогопоиска источникрасширенийконфигурации клавиша кодвозвратадиалога кодировкаxbase кодировкатекста направлениепоиска направлениесортировки обновлениепредопределенныхданных обновлениеприизмененииданных отображениепанелиразделов проверказаполнения режимдиалогавопрос режимзапускаклиентскогоприложения режимокругления режимоткрытияформприложения режимполнотекстовогопоиска скоростьклиентскогосоединения состояниевнешнегоисточникаданных состояниеобновленияконфигурациибазыданных способвыборасертификатаwindows способкодированиястроки статуссообщения типвнешнейкомпоненты типплатформы типповеденияклавишиenter типэлементаинформацииовыполненииобновленияконфигурациибазыданных уровеньизоляциитранзакций хешфункция частидаты",type:"comобъект ftpсоединение httpзапрос httpсервисответ httpсоединение wsопределения wsпрокси xbase анализданных аннотацияxs блокировкаданных буфердвоичныхданных включениеxs выражениекомпоновкиданных генераторслучайныхчисел географическаясхема географическиекоординаты графическаясхема группамоделиxs данныерасшифровкикомпоновкиданных двоичныеданные дендрограмма диаграмма диаграммаганта диалогвыборафайла диалогвыборацвета диалогвыборашрифта диалограсписаниярегламентногозадания диалогредактированиястандартногопериода диапазон документdom документhtml документацияxs доставляемоеуведомление записьdom записьfastinfoset записьhtml записьjson записьxml записьzipфайла записьданных записьтекста записьузловdom запрос защищенноесоединениеopenssl значенияполейрасшифровкикомпоновкиданных извлечениетекста импортxs интернетпочта интернетпочтовоесообщение интернетпочтовыйпрофиль интернетпрокси интернетсоединение информациядляприложенияxs использованиеатрибутаxs использованиесобытияжурналарегистрации источникдоступныхнастроеккомпоновкиданных итераторузловdom картинка квалификаторыдаты квалификаторыдвоичныхданных квалификаторыстроки квалификаторычисла компоновщикмакетакомпоновкиданных компоновщикнастроеккомпоновкиданных конструктормакетаоформлениякомпоновкиданных конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных макетоформлениякомпоновкиданных маскаxs менеджеркриптографии наборсхемxml настройкикомпоновкиданных настройкисериализацииjson обработкакартинок обработкарасшифровкикомпоновкиданных обходдереваdom объявлениеатрибутаxs объявлениенотацииxs объявлениеэлементаxs описаниеиспользованиясобытиядоступжурналарегистрации описаниеиспользованиясобытияотказвдоступежурналарегистрации описаниеобработкирасшифровкикомпоновкиданных описаниепередаваемогофайла описаниетипов определениегруппыатрибутовxs определениегруппымоделиxs определениеограниченияидентичностиxs определениепростоготипаxs определениесоставноготипаxs определениетипадокументаdom определенияxpathxs отборкомпоновкиданных пакетотображаемыхдокументов параметрвыбора параметркомпоновкиданных параметрызаписиjson параметрызаписиxml параметрычтенияxml переопределениеxs планировщик полеанализаданных полекомпоновкиданных построительdom построительзапроса построительотчета построительотчетаанализаданных построительсхемxml поток потоквпамяти почта почтовоесообщение преобразованиеxsl преобразованиекканоническомуxml процессорвыводарезультатакомпоновкиданныхвколлекциюзначений процессорвыводарезультатакомпоновкиданныхвтабличныйдокумент процессоркомпоновкиданных разыменовательпространствименdom рамка расписаниерегламентногозадания расширенноеимяxml результатчтенияданных своднаядиаграмма связьпараметравыбора связьпотипу связьпотипукомпоновкиданных сериализаторxdto сертификатклиентаwindows сертификатклиентафайл сертификаткриптографии сертификатыудостоверяющихцентровwindows сертификатыудостоверяющихцентровфайл сжатиеданных системнаяинформация сообщениепользователю сочетаниеклавиш сравнениезначений стандартнаядатаначала стандартныйпериод схемаxml схемакомпоновкиданных табличныйдокумент текстовыйдокумент тестируемоеприложение типданныхxml уникальныйидентификатор фабрикаxdto файл файловыйпоток фасетдлиныxs фасетколичестваразрядовдробнойчастиxs фасетмаксимальноговключающегозначенияxs фасетмаксимальногоисключающегозначенияxs фасетмаксимальнойдлиныxs фасетминимальноговключающегозначенияxs фасетминимальногоисключающегозначенияxs фасетминимальнойдлиныxs фасетобразцаxs фасетобщегоколичестваразрядовxs фасетперечисленияxs фасетпробельныхсимволовxs фильтрузловdom форматированнаястрока форматированныйдокумент фрагментxs хешированиеданных хранилищезначения цвет чтениеfastinfoset чтениеhtml чтениеjson чтениеxml чтениеzipфайла чтениеданных чтениетекста чтениеузловdom шрифт элементрезультатакомпоновкиданных comsafearray деревозначений массив соответствие списокзначений структура таблицазначений фиксированнаяструктура фиксированноесоответствие фиксированныймассив ",literal:n},contains:[{className:"meta",lexemes:x,begin:"#|&",end:"$",keywords:{"meta-keyword":e+"загрузитьизфайла вебклиент вместо внешнеесоединение клиент конецобласти мобильноеприложениеклиент мобильноеприложениесервер наклиенте наклиентенасервере наклиентенасерверебезконтекста насервере насерверебезконтекста область перед после сервер толстыйклиентобычноеприложение толстыйклиентуправляемоеприложение тонкийклиент "},contains:[i]},{className:"function",lexemes:x,variants:[{begin:"процедура|функция",end:"\\)",keywords:"процедура функция"},{begin:"конецпроцедуры|конецфункции",keywords:"конецпроцедуры конецфункции"}],contains:[{begin:"\\(",end:"\\)",endsParent:!0,contains:[{className:"params",lexemes:x,begin:x,end:",",excludeEnd:!0,endsWithParent:!0,keywords:{keyword:"знач",literal:n},contains:[o,t,m]},i]},s.inherit(s.TITLE_MODE,{begin:x})]},i,{className:"symbol",begin:"~",end:";|:",excludeEnd:!0},o,t,m]}}}}]);
-//# sourceMappingURL=1c.js.map?v=9cc569982de7443f974e \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[2],{369:function(s,x){s.exports=function(s){var x="[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]+",e="далее возврат вызватьисключение выполнить для если и из или иначе иначеесли исключение каждого конецесли конецпопытки конеццикла не новый перейти перем по пока попытка прервать продолжить тогда цикл экспорт ",n="null истина ложь неопределено",o=s.inherit(s.NUMBER_MODE),t={className:"string",begin:'"|\\|',end:'"|$',contains:[{begin:'""'}]},m={begin:"'",end:"'",excludeBegin:!0,excludeEnd:!0,contains:[{className:"number",begin:"\\d{4}([\\.\\\\/:-]?\\d{2}){0,5}"}]},i=s.inherit(s.C_LINE_COMMENT_MODE);return{case_insensitive:!0,lexemes:x,keywords:{keyword:e,built_in:"разделительстраниц разделительстрок символтабуляции ansitooem oemtoansi ввестивидсубконто ввестиперечисление ввестипериод ввестиплансчетов выбранныйплансчетов датагод датамесяц датачисло заголовоксистемы значениевстроку значениеизстроки каталогиб каталогпользователя кодсимв конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид назначитьсчет найтиссылки началопериодаби началостандартногоинтервала начгода начквартала начмесяца начнедели номерднягода номерднянедели номернеделигода обработкаожидания основнойжурналрасчетов основнойплансчетов основнойязык очиститьокносообщений периодстр получитьвремята получитьдатута получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта префиксавтонумерации пропись пустоезначение разм разобратьпозициюдокумента рассчитатьрегистрына рассчитатьрегистрыпо симв создатьобъект статусвозврата стрколичествострок сформироватьпозициюдокумента счетпокоду текущеевремя типзначения типзначениястр установитьтана установитьтапо фиксшаблон шаблон acos asin atan base64значение base64строка cos exp log log10 pow sin sqrt tan xmlзначение xmlстрока xmlтип xmlтипзнч активноеокно безопасныйрежим безопасныйрежимразделенияданных булево ввестидату ввестизначение ввестистроку ввестичисло возможностьчтенияxml вопрос восстановитьзначение врег выгрузитьжурналрегистрации выполнитьобработкуоповещения выполнитьпроверкуправдоступа вычислить год данныеформывзначение дата день деньгода деньнедели добавитьмесяц заблокироватьданныедляредактирования заблокироватьработупользователя завершитьработусистемы загрузитьвнешнююкомпоненту закрытьсправку записатьjson записатьxml записатьдатуjson записьжурналарегистрации заполнитьзначениясвойств запроситьразрешениепользователя запуститьприложение запуститьсистему зафиксироватьтранзакцию значениевданныеформы значениевстрокувнутр значениевфайл значениезаполнено значениеизстрокивнутр значениеизфайла изxmlтипа импортмоделиxdto имякомпьютера имяпользователя инициализироватьпредопределенныеданные информацияобошибке каталогбиблиотекимобильногоустройства каталогвременныхфайлов каталогдокументов каталогпрограммы кодироватьстроку кодлокализацииинформационнойбазы кодсимвола командасистемы конецгода конецдня конецквартала конецмесяца конецминуты конецнедели конецчаса конфигурациябазыданныхизмененадинамически конфигурацияизменена копироватьданныеформы копироватьфайл краткоепредставлениеошибки лев макс местноевремя месяц мин минута монопольныйрежим найти найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы началогода началодня началоквартала началомесяца началоминуты началонедели началочаса начатьзапросразрешенияпользователя начатьзапускприложения начатькопированиефайла начатьперемещениефайла начатьподключениевнешнейкомпоненты начатьподключениерасширенияработыскриптографией начатьподключениерасширенияработысфайлами начатьпоискфайлов начатьполучениекаталогавременныхфайлов начатьполучениекаталогадокументов начатьполучениерабочегокаталогаданныхпользователя начатьполучениефайлов начатьпомещениефайла начатьпомещениефайлов начатьсозданиедвоичныхданныхизфайла начатьсозданиекаталога начатьтранзакцию начатьудалениефайлов начатьустановкувнешнейкомпоненты начатьустановкурасширенияработыскриптографией начатьустановкурасширенияработысфайлами неделягода необходимостьзавершениясоединения номерсеансаинформационнойбазы номерсоединенияинформационнойбазы нрег нстр обновитьинтерфейс обновитьнумерациюобъектов обновитьповторноиспользуемыезначения обработкапрерыванияпользователя объединитьфайлы окр описаниеошибки оповестить оповеститьобизменении отключитьобработчикзапросанастроекклиенталицензирования отключитьобработчикожидания отключитьобработчикоповещения открытьзначение открытьиндекссправки открытьсодержаниесправки открытьсправку открытьформу открытьформумодально отменитьтранзакцию очиститьжурналрегистрации очиститьнастройкипользователя очиститьсообщения параметрыдоступа перейтипонавигационнойссылке переместитьфайл подключитьвнешнююкомпоненту подключитьобработчикзапросанастроекклиенталицензирования подключитьобработчикожидания подключитьобработчикоповещения подключитьрасширениеработыскриптографией подключитьрасширениеработысфайлами подробноепредставлениеошибки показатьвводдаты показатьвводзначения показатьвводстроки показатьвводчисла показатьвопрос показатьзначение показатьинформациюобошибке показатьнакарте показатьоповещениепользователя показатьпредупреждение полноеимяпользователя получитьcomобъект получитьxmlтип получитьадреспоместоположению получитьблокировкусеансов получитьвремязавершенияспящегосеанса получитьвремязасыпанияпассивногосеанса получитьвремяожиданияблокировкиданных получитьданныевыбора получитьдополнительныйпараметрклиенталицензирования получитьдопустимыекодылокализации получитьдопустимыечасовыепояса получитьзаголовокклиентскогоприложения получитьзаголовоксистемы получитьзначенияотборажурналарегистрации получитьидентификаторконфигурации получитьизвременногохранилища получитьимявременногофайла получитьимяклиенталицензирования получитьинформациюэкрановклиента получитьиспользованиежурналарегистрации получитьиспользованиесобытияжурналарегистрации получитькраткийзаголовокприложения получитьмакетоформления получитьмаскувсефайлы получитьмаскувсефайлыклиента получитьмаскувсефайлысервера получитьместоположениепоадресу получитьминимальнуюдлинупаролейпользователей получитьнавигационнуюссылку получитьнавигационнуюссылкуинформационнойбазы получитьобновлениеконфигурациибазыданных получитьобновлениепредопределенныхданныхинформационнойбазы получитьобщиймакет получитьобщуюформу получитьокна получитьоперативнуюотметкувремени получитьотключениебезопасногорежима получитьпараметрыфункциональныхопцийинтерфейса получитьполноеимяпредопределенногозначения получитьпредставлениянавигационныхссылок получитьпроверкусложностипаролейпользователей получитьразделительпути получитьразделительпутиклиента получитьразделительпутисервера получитьсеансыинформационнойбазы получитьскоростьклиентскогосоединения получитьсоединенияинформационнойбазы получитьсообщенияпользователю получитьсоответствиеобъектаиформы получитьсоставстандартногоинтерфейсаodata получитьструктурухранениябазыданных получитьтекущийсеансинформационнойбазы получитьфайл получитьфайлы получитьформу получитьфункциональнуюопцию получитьфункциональнуюопциюинтерфейса получитьчасовойпоясинформационнойбазы пользователиос поместитьвовременноехранилище поместитьфайл поместитьфайлы прав праводоступа предопределенноезначение представлениекодалокализации представлениепериода представлениеправа представлениеприложения представлениесобытияжурналарегистрации представлениечасовогопояса предупреждение прекратитьработусистемы привилегированныйрежим продолжитьвызов прочитатьjson прочитатьxml прочитатьдатуjson пустаястрока рабочийкаталогданныхпользователя разблокироватьданныедляредактирования разделитьфайл разорватьсоединениесвнешнимисточникомданных раскодироватьстроку рольдоступна секунда сигнал символ скопироватьжурналрегистрации смещениелетнеговремени смещениестандартноговремени соединитьбуферыдвоичныхданных создатькаталог создатьфабрикуxdto сокрл сокрлп сокрп сообщить состояние сохранитьзначение сохранитьнастройкипользователя сред стрдлина стрзаканчиваетсяна стрзаменить стрнайти стрначинаетсяс строка строкасоединенияинформационнойбазы стрполучитьстроку стрразделить стрсоединить стрсравнить стрчисловхождений стрчислострок стршаблон текущаядата текущаядатасеанса текущаяуниверсальнаядата текущаяуниверсальнаядатавмиллисекундах текущийвариантинтерфейсаклиентскогоприложения текущийвариантосновногошрифтаклиентскогоприложения текущийкодлокализации текущийрежимзапуска текущийязык текущийязыксистемы тип типзнч транзакцияактивна трег удалитьданныеинформационнойбазы удалитьизвременногохранилища удалитьобъекты удалитьфайлы универсальноевремя установитьбезопасныйрежим установитьбезопасныйрежимразделенияданных установитьблокировкусеансов установитьвнешнююкомпоненту установитьвремязавершенияспящегосеанса установитьвремязасыпанияпассивногосеанса установитьвремяожиданияблокировкиданных установитьзаголовокклиентскогоприложения установитьзаголовоксистемы установитьиспользованиежурналарегистрации установитьиспользованиесобытияжурналарегистрации установитькраткийзаголовокприложения установитьминимальнуюдлинупаролейпользователей установитьмонопольныйрежим установитьнастройкиклиенталицензирования установитьобновлениепредопределенныхданныхинформационнойбазы установитьотключениебезопасногорежима установитьпараметрыфункциональныхопцийинтерфейса установитьпривилегированныйрежим установитьпроверкусложностипаролейпользователей установитьрасширениеработыскриптографией установитьрасширениеработысфайлами установитьсоединениесвнешнимисточникомданных установитьсоответствиеобъектаиформы установитьсоставстандартногоинтерфейсаodata установитьчасовойпоясинформационнойбазы установитьчасовойпояссеанса формат цел час часовойпояс часовойпояссеанса число числопрописью этоадресвременногохранилища wsссылки библиотекакартинок библиотекамакетовоформлениякомпоновкиданных библиотекастилей бизнеспроцессы внешниеисточникиданных внешниеобработки внешниеотчеты встроенныепокупки главныйинтерфейс главныйстиль документы доставляемыеуведомления журналыдокументов задачи информацияобинтернетсоединении использованиерабочейдаты историяработыпользователя константы критерииотбора метаданные обработки отображениерекламы отправкадоставляемыхуведомлений отчеты панельзадачос параметрзапуска параметрысеанса перечисления планывидоврасчета планывидовхарактеристик планыобмена планысчетов полнотекстовыйпоиск пользователиинформационнойбазы последовательности проверкавстроенныхпокупок рабочаядата расширенияконфигурации регистрыбухгалтерии регистрынакопления регистрырасчета регистрысведений регламентныезадания сериализаторxdto справочники средствагеопозиционирования средствакриптографии средствамультимедиа средстваотображениярекламы средствапочты средствателефонии фабрикаxdto файловыепотоки фоновыезадания хранилищанастроек хранилищевариантовотчетов хранилищенастроекданныхформ хранилищеобщихнастроек хранилищепользовательскихнастроекдинамическихсписков хранилищепользовательскихнастроекотчетов хранилищесистемныхнастроек ",class:"webцвета windowsцвета windowsшрифты библиотекакартинок рамкистиля символы цветастиля шрифтыстиля автоматическоесохранениеданныхформывнастройках автонумерациявформе автораздвижениесерий анимациядиаграммы вариантвыравниванияэлементовизаголовков вариантуправлениявысотойтаблицы вертикальнаяпрокруткаформы вертикальноеположение вертикальноеположениеэлемента видгруппыформы виддекорацииформы виддополненияэлементаформы видизмененияданных видкнопкиформы видпереключателя видподписейкдиаграмме видполяформы видфлажка влияниеразмеранапузырекдиаграммы горизонтальноеположение горизонтальноеположениеэлемента группировкаколонок группировкаподчиненныхэлементовформы группыиэлементы действиеперетаскивания дополнительныйрежимотображения допустимыедействияперетаскивания интервалмеждуэлементамиформы использованиевывода использованиеполосыпрокрутки используемоезначениеточкибиржевойдиаграммы историявыборапривводе источникзначенийоситочекдиаграммы источникзначенияразмерапузырькадиаграммы категориягруппыкоманд максимумсерий начальноеотображениедерева начальноеотображениесписка обновлениетекстаредактирования ориентациядендрограммы ориентациядиаграммы ориентацияметокдиаграммы ориентацияметоксводнойдиаграммы ориентацияэлементаформы отображениевдиаграмме отображениевлегендедиаграммы отображениегруппыкнопок отображениезаголовкашкалыдиаграммы отображениезначенийсводнойдиаграммы отображениезначенияизмерительнойдиаграммы отображениеинтерваладиаграммыганта отображениекнопки отображениекнопкивыбора отображениеобсужденийформы отображениеобычнойгруппы отображениеотрицательныхзначенийпузырьковойдиаграммы отображениепанелипоиска отображениеподсказки отображениепредупрежденияприредактировании отображениеразметкиполосырегулирования отображениестраницформы отображениетаблицы отображениетекстазначениядиаграммыганта отображениеуправленияобычнойгруппы отображениефигурыкнопки палитрацветовдиаграммы поведениеобычнойгруппы поддержкамасштабадендрограммы поддержкамасштабадиаграммыганта поддержкамасштабасводнойдиаграммы поисквтаблицепривводе положениезаголовкаэлементаформы положениекартинкикнопкиформы положениекартинкиэлементаграфическойсхемы положениекоманднойпанелиформы положениекоманднойпанелиэлементаформы положениеопорнойточкиотрисовки положениеподписейкдиаграмме положениеподписейшкалызначенийизмерительнойдиаграммы положениесостоянияпросмотра положениестрокипоиска положениетекстасоединительнойлинии положениеуправленияпоиском положениешкалывремени порядокотображенияточекгоризонтальнойгистограммы порядоксерийвлегендедиаграммы размеркартинки расположениезаголовкашкалыдиаграммы растягиваниеповертикалидиаграммыганта режимавтоотображениясостояния режимвводастроктаблицы режимвыборанезаполненного режимвыделениядаты режимвыделениястрокитаблицы режимвыделениятаблицы режимизмененияразмера режимизменениясвязанногозначения режимиспользованиядиалогапечати режимиспользованияпараметракоманды режиммасштабированияпросмотра режимосновногоокнаклиентскогоприложения режимоткрытияокнаформы режимотображениявыделения режимотображениягеографическойсхемы режимотображениязначенийсерии режимотрисовкисеткиграфическойсхемы режимполупрозрачностидиаграммы режимпробеловдиаграммы режимразмещениянастранице режимредактированияколонки режимсглаживаниядиаграммы режимсглаживанияиндикатора режимсписказадач сквозноевыравнивание сохранениеданныхформывнастройках способзаполнениятекстазаголовкашкалыдиаграммы способопределенияограничивающегозначениядиаграммы стандартнаягруппакоманд стандартноеоформление статусоповещенияпользователя стильстрелки типаппроксимациилиниитрендадиаграммы типдиаграммы типединицышкалывремени типимпортасерийслоягеографическойсхемы типлиниигеографическойсхемы типлиниидиаграммы типмаркерагеографическойсхемы типмаркерадиаграммы типобластиоформления типорганизацииисточникаданныхгеографическойсхемы типотображениясериислоягеографическойсхемы типотображенияточечногообъектагеографическойсхемы типотображенияшкалыэлементалегендыгеографическойсхемы типпоискаобъектовгеографическойсхемы типпроекциигеографическойсхемы типразмещенияизмерений типразмещенияреквизитовизмерений типрамкиэлементауправления типсводнойдиаграммы типсвязидиаграммыганта типсоединениязначенийпосериямдиаграммы типсоединенияточекдиаграммы типсоединительнойлинии типстороныэлементаграфическойсхемы типформыотчета типшкалырадарнойдиаграммы факторлиниитрендадиаграммы фигуракнопки фигурыграфическойсхемы фиксациявтаблице форматдняшкалывремени форматкартинки ширинаподчиненныхэлементовформы виддвижениябухгалтерии виддвижениянакопления видпериодарегистрарасчета видсчета видточкимаршрутабизнеспроцесса использованиеагрегатарегистранакопления использованиегруппиэлементов использованиережимапроведения использованиесреза периодичностьагрегатарегистранакопления режимавтовремя режимзаписидокумента режимпроведениядокумента авторегистрацияизменений допустимыйномерсообщения отправкаэлементаданных получениеэлементаданных использованиерасшифровкитабличногодокумента ориентациястраницы положениеитоговколоноксводнойтаблицы положениеитоговстроксводнойтаблицы положениетекстаотносительнокартинки расположениезаголовкагруппировкитабличногодокумента способчтениязначенийтабличногодокумента типдвустороннейпечати типзаполненияобластитабличногодокумента типкурсоровтабличногодокумента типлиниирисункатабличногодокумента типлинииячейкитабличногодокумента типнаправленияпереходатабличногодокумента типотображениявыделениятабличногодокумента типотображениялинийсводнойтаблицы типразмещениятекстатабличногодокумента типрисункатабличногодокумента типсмещениятабличногодокумента типузоратабличногодокумента типфайлатабличногодокумента точностьпечати чередованиерасположениястраниц отображениевремениэлементовпланировщика типфайлаформатированногодокумента обходрезультатазапроса типзаписизапроса видзаполнениярасшифровкипостроителяотчета типдобавленияпредставлений типизмеренияпостроителяотчета типразмещенияитогов доступкфайлу режимдиалогавыборафайла режимоткрытияфайла типизмеренияпостроителязапроса видданныханализа методкластеризации типединицыинтервалавременианализаданных типзаполнениятаблицырезультатаанализаданных типиспользованиячисловыхзначенийанализаданных типисточникаданныхпоискаассоциаций типколонкианализаданныхдереворешений типколонкианализаданныхкластеризация типколонкианализаданныхобщаястатистика типколонкианализаданныхпоискассоциаций типколонкианализаданныхпоискпоследовательностей типколонкимоделипрогноза типмерырасстоянияанализаданных типотсеченияправилассоциации типполяанализаданных типстандартизациианализаданных типупорядочиванияправилассоциациианализаданных типупорядочиванияшаблоновпоследовательностейанализаданных типупрощениядереварешений wsнаправлениепараметра вариантxpathxs вариантзаписидатыjson вариантпростоготипаxs видгруппымоделиxs видфасетаxdto действиепостроителяdom завершенностьпростоготипаxs завершенностьсоставноготипаxs завершенностьсхемыxs запрещенныеподстановкиxs исключениягруппподстановкиxs категорияиспользованияатрибутаxs категорияограниченияидентичностиxs категорияограниченияпространствименxs методнаследованияxs модельсодержимогоxs назначениетипаxml недопустимыеподстановкиxs обработкапробельныхсимволовxs обработкасодержимогоxs ограничениезначенияxs параметрыотбораузловdom переносстрокjson позициявдокументеdom пробельныесимволыxml типатрибутаxml типзначенияjson типканоническогоxml типкомпонентыxs типпроверкиxml типрезультатаdomxpath типузлаdom типузлаxml формаxml формапредставленияxs форматдатыjson экранированиесимволовjson видсравнениякомпоновкиданных действиеобработкирасшифровкикомпоновкиданных направлениесортировкикомпоновкиданных расположениевложенныхэлементоврезультатакомпоновкиданных расположениеитоговкомпоновкиданных расположениегруппировкикомпоновкиданных расположениеполейгруппировкикомпоновкиданных расположениеполякомпоновкиданных расположениереквизитовкомпоновкиданных расположениересурсовкомпоновкиданных типбухгалтерскогоостаткакомпоновкиданных типвыводатекстакомпоновкиданных типгруппировкикомпоновкиданных типгруппыэлементовотборакомпоновкиданных типдополненияпериодакомпоновкиданных типзаголовкаполейкомпоновкиданных типмакетагруппировкикомпоновкиданных типмакетаобластикомпоновкиданных типостаткакомпоновкиданных типпериодакомпоновкиданных типразмещениятекстакомпоновкиданных типсвязинаборовданныхкомпоновкиданных типэлементарезультатакомпоновкиданных расположениелегендыдиаграммыкомпоновкиданных типпримененияотборакомпоновкиданных режимотображенияэлементанастройкикомпоновкиданных режимотображениянастроеккомпоновкиданных состояниеэлементанастройкикомпоновкиданных способвосстановлениянастроеккомпоновкиданных режимкомпоновкирезультата использованиепараметракомпоновкиданных автопозицияресурсовкомпоновкиданных вариантиспользованиягруппировкикомпоновкиданных расположениересурсоввдиаграммекомпоновкиданных фиксациякомпоновкиданных использованиеусловногооформлениякомпоновкиданных важностьинтернетпочтовогосообщения обработкатекстаинтернетпочтовогосообщения способкодированияинтернетпочтовоговложения способкодированиянеasciiсимволовинтернетпочтовогосообщения типтекстапочтовогосообщения протоколинтернетпочты статусразборапочтовогосообщения режимтранзакциизаписижурналарегистрации статустранзакциизаписижурналарегистрации уровеньжурналарегистрации расположениехранилищасертификатовкриптографии режимвключениясертификатовкриптографии режимпроверкисертификатакриптографии типхранилищасертификатовкриптографии кодировкаименфайловвzipфайле методсжатияzip методшифрованияzip режимвосстановленияпутейфайловzip режимобработкиподкаталоговzip режимсохраненияпутейzip уровеньсжатияzip звуковоеоповещение направлениепереходакстроке позициявпотоке порядокбайтов режимблокировкиданных режимуправленияблокировкойданных сервисвстроенныхпокупок состояниефоновогозадания типподписчикадоставляемыхуведомлений уровеньиспользованиязащищенногосоединенияftp направлениепорядкасхемызапроса типдополненияпериодамисхемызапроса типконтрольнойточкисхемызапроса типобъединениясхемызапроса типпараметрадоступнойтаблицысхемызапроса типсоединениясхемызапроса httpметод автоиспользованиеобщегореквизита автопрефиксномеразадачи вариантвстроенногоязыка видиерархии видрегистранакопления видтаблицывнешнегоисточникаданных записьдвиженийприпроведении заполнениепоследовательностей индексирование использованиебазыпланавидоврасчета использованиебыстроговыбора использованиеобщегореквизита использованиеподчинения использованиеполнотекстовогопоиска использованиеразделяемыхданныхобщегореквизита использованиереквизита назначениеиспользованияприложения назначениерасширенияконфигурации направлениепередачи обновлениепредопределенныхданных оперативноепроведение основноепредставлениевидарасчета основноепредставлениевидахарактеристики основноепредставлениезадачи основноепредставлениепланаобмена основноепредставлениесправочника основноепредставлениесчета перемещениеграницыприпроведении периодичностьномерабизнеспроцесса периодичностьномерадокумента периодичностьрегистрарасчета периодичностьрегистрасведений повторноеиспользованиевозвращаемыхзначений полнотекстовыйпоискпривводепостроке принадлежностьобъекта проведение разделениеаутентификацииобщегореквизита разделениеданныхобщегореквизита разделениерасширенийконфигурацииобщегореквизита режимавтонумерацииобъектов режимзаписирегистра режимиспользованиямодальности режимиспользованиясинхронныхвызововрасширенийплатформыивнешнихкомпонент режимповторногоиспользованиясеансов режимполученияданныхвыборапривводепостроке режимсовместимости режимсовместимостиинтерфейса режимуправленияблокировкойданныхпоумолчанию сериикодовпланавидовхарактеристик сериикодовпланасчетов сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования типданныхтаблицывнешнегоисточникаданных типкодапланавидоврасчета типкодасправочника типмакета типномерабизнеспроцесса типномерадокумента типномеразадачи типформы удалениедвижений важностьпроблемыприменениярасширенияконфигурации вариантинтерфейсаклиентскогоприложения вариантмасштабаформклиентскогоприложения вариантосновногошрифтаклиентскогоприложения вариантстандартногопериода вариантстандартнойдатыначала видграницы видкартинки видотображенияполнотекстовогопоиска видрамки видсравнения видцвета видчисловогозначения видшрифта допустимаядлина допустимыйзнак использованиеbyteordermark использованиеметаданныхполнотекстовогопоиска источникрасширенийконфигурации клавиша кодвозвратадиалога кодировкаxbase кодировкатекста направлениепоиска направлениесортировки обновлениепредопределенныхданных обновлениеприизмененииданных отображениепанелиразделов проверказаполнения режимдиалогавопрос режимзапускаклиентскогоприложения режимокругления режимоткрытияформприложения режимполнотекстовогопоиска скоростьклиентскогосоединения состояниевнешнегоисточникаданных состояниеобновленияконфигурациибазыданных способвыборасертификатаwindows способкодированиястроки статуссообщения типвнешнейкомпоненты типплатформы типповеденияклавишиenter типэлементаинформацииовыполненииобновленияконфигурациибазыданных уровеньизоляциитранзакций хешфункция частидаты",type:"comобъект ftpсоединение httpзапрос httpсервисответ httpсоединение wsопределения wsпрокси xbase анализданных аннотацияxs блокировкаданных буфердвоичныхданных включениеxs выражениекомпоновкиданных генераторслучайныхчисел географическаясхема географическиекоординаты графическаясхема группамоделиxs данныерасшифровкикомпоновкиданных двоичныеданные дендрограмма диаграмма диаграммаганта диалогвыборафайла диалогвыборацвета диалогвыборашрифта диалограсписаниярегламентногозадания диалогредактированиястандартногопериода диапазон документdom документhtml документацияxs доставляемоеуведомление записьdom записьfastinfoset записьhtml записьjson записьxml записьzipфайла записьданных записьтекста записьузловdom запрос защищенноесоединениеopenssl значенияполейрасшифровкикомпоновкиданных извлечениетекста импортxs интернетпочта интернетпочтовоесообщение интернетпочтовыйпрофиль интернетпрокси интернетсоединение информациядляприложенияxs использованиеатрибутаxs использованиесобытияжурналарегистрации источникдоступныхнастроеккомпоновкиданных итераторузловdom картинка квалификаторыдаты квалификаторыдвоичныхданных квалификаторыстроки квалификаторычисла компоновщикмакетакомпоновкиданных компоновщикнастроеккомпоновкиданных конструктормакетаоформлениякомпоновкиданных конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных макетоформлениякомпоновкиданных маскаxs менеджеркриптографии наборсхемxml настройкикомпоновкиданных настройкисериализацииjson обработкакартинок обработкарасшифровкикомпоновкиданных обходдереваdom объявлениеатрибутаxs объявлениенотацииxs объявлениеэлементаxs описаниеиспользованиясобытиядоступжурналарегистрации описаниеиспользованиясобытияотказвдоступежурналарегистрации описаниеобработкирасшифровкикомпоновкиданных описаниепередаваемогофайла описаниетипов определениегруппыатрибутовxs определениегруппымоделиxs определениеограниченияидентичностиxs определениепростоготипаxs определениесоставноготипаxs определениетипадокументаdom определенияxpathxs отборкомпоновкиданных пакетотображаемыхдокументов параметрвыбора параметркомпоновкиданных параметрызаписиjson параметрызаписиxml параметрычтенияxml переопределениеxs планировщик полеанализаданных полекомпоновкиданных построительdom построительзапроса построительотчета построительотчетаанализаданных построительсхемxml поток потоквпамяти почта почтовоесообщение преобразованиеxsl преобразованиекканоническомуxml процессорвыводарезультатакомпоновкиданныхвколлекциюзначений процессорвыводарезультатакомпоновкиданныхвтабличныйдокумент процессоркомпоновкиданных разыменовательпространствименdom рамка расписаниерегламентногозадания расширенноеимяxml результатчтенияданных своднаядиаграмма связьпараметравыбора связьпотипу связьпотипукомпоновкиданных сериализаторxdto сертификатклиентаwindows сертификатклиентафайл сертификаткриптографии сертификатыудостоверяющихцентровwindows сертификатыудостоверяющихцентровфайл сжатиеданных системнаяинформация сообщениепользователю сочетаниеклавиш сравнениезначений стандартнаядатаначала стандартныйпериод схемаxml схемакомпоновкиданных табличныйдокумент текстовыйдокумент тестируемоеприложение типданныхxml уникальныйидентификатор фабрикаxdto файл файловыйпоток фасетдлиныxs фасетколичестваразрядовдробнойчастиxs фасетмаксимальноговключающегозначенияxs фасетмаксимальногоисключающегозначенияxs фасетмаксимальнойдлиныxs фасетминимальноговключающегозначенияxs фасетминимальногоисключающегозначенияxs фасетминимальнойдлиныxs фасетобразцаxs фасетобщегоколичестваразрядовxs фасетперечисленияxs фасетпробельныхсимволовxs фильтрузловdom форматированнаястрока форматированныйдокумент фрагментxs хешированиеданных хранилищезначения цвет чтениеfastinfoset чтениеhtml чтениеjson чтениеxml чтениеzipфайла чтениеданных чтениетекста чтениеузловdom шрифт элементрезультатакомпоновкиданных comsafearray деревозначений массив соответствие списокзначений структура таблицазначений фиксированнаяструктура фиксированноесоответствие фиксированныймассив ",literal:n},contains:[{className:"meta",lexemes:x,begin:"#|&",end:"$",keywords:{"meta-keyword":e+"загрузитьизфайла вебклиент вместо внешнеесоединение клиент конецобласти мобильноеприложениеклиент мобильноеприложениесервер наклиенте наклиентенасервере наклиентенасерверебезконтекста насервере насерверебезконтекста область перед после сервер толстыйклиентобычноеприложение толстыйклиентуправляемоеприложение тонкийклиент "},contains:[i]},{className:"function",lexemes:x,variants:[{begin:"процедура|функция",end:"\\)",keywords:"процедура функция"},{begin:"конецпроцедуры|конецфункции",keywords:"конецпроцедуры конецфункции"}],contains:[{begin:"\\(",end:"\\)",endsParent:!0,contains:[{className:"params",lexemes:x,begin:x,end:",",excludeEnd:!0,endsWithParent:!0,keywords:{keyword:"знач",literal:n},contains:[o,t,m]},i]},s.inherit(s.TITLE_MODE,{begin:x})]},i,{className:"symbol",begin:"~",end:";|:",excludeEnd:!0},o,t,m]}}}}]);
+//# sourceMappingURL=1c.js.map?v=071b3beac1c09e6dc1f3 \ No newline at end of file
diff --git a/js/highlight/1c.js.map b/js/highlight/1c.js.map
index 8d67ae3cf..d4778e123 100644
--- a/js/highlight/1c.js.map
+++ b/js/highlight/1c.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/1c.js"],"names":["module","exports","hljs","UNDERSCORE_IDENT_RE","KEYWORD","v7_keywords","LITERAL","NUMBERS","inherit","NUMBER_MODE","STRINGS","className","begin","end","contains","DATE","excludeBegin","excludeEnd","COMMENTS","C_LINE_COMMENT_MODE","case_insensitive","lexemes","keywords","keyword","built_in","v7_system_constants","class","v8_system_sets_of_values","type","v8_shared_object","literal","v7_meta_keywords","variants","endsParent","endsWithParent","TITLE_MODE"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GAGxB,IAAIC,EAAsB,yCAYtBC,EAAUC,kNA6YVC,EAAU,gCAGVC,EAAUL,EAAKM,QAAQN,EAAKO,aAG5BC,EAAU,CACZC,UAAW,SACXC,MAAO,QAASC,IAAK,MACrBC,SAAU,CAAC,CAACF,MAAO,QAIjBG,EAAO,CACTH,MAAO,IAAKC,IAAK,IAAKG,cAAc,EAAMC,YAAY,EACtDH,SAAU,CACR,CACEH,UAAW,SACXC,MAAO,sCAMTM,EAAWhB,EAAKM,QAAQN,EAAKiB,qBAoDjC,MAAO,CACLC,kBAAkB,EAClBC,QAASlB,EACTmB,SAAU,CACRC,QAASnB,EACToB,SAlWJC,ikUAmWIC,MA1JJC,ypZA2JIC,KAvFJC,+5HAwFIC,QAASxB,GAEXQ,SAAU,CA3DD,CACTH,UAAW,OACXU,QAASlB,EACTS,MAAO,MAAOC,IAAK,IACnBS,SAAU,CAAC,eAAgBlB,EA/ZX2B,sUAgahBjB,SAAU,CACRI,IAWW,CACbP,UAAW,WACXU,QAASlB,EACT6B,SAAU,CACR,CAACpB,MAAO,oBAAqBC,IAAK,MAAOS,SAAU,qBACnD,CAACV,MAAO,8BAA+BU,SAAU,gCAEnDR,SAAU,CACR,CACEF,MAAO,MAAOC,IAAK,MAAOoB,YAAa,EACvCnB,SAAU,CACR,CACEH,UAAW,SACXU,QAASlB,EACTS,MAAOT,EAAqBU,IAAK,IAAKI,YAAY,EAAMiB,gBAAgB,EACxEZ,SAAU,CACRC,QAAS,OACTO,QAASxB,GAEXQ,SAAU,CACRP,EACAG,EACAK,IAGJG,IAGJhB,EAAKM,QAAQN,EAAKiC,WAAY,CAACvB,MAAOT,MAiBtCe,EAnDS,CACXP,UAAW,SACXC,MAAO,IAAKC,IAAK,MAAOI,YAAY,GAmDlCV,EACAG,EACAK","file":"highlight/1c.js?v=9cc569982de7443f974e","sourcesContent":["module.exports = function(hljs){\n\n // общий паттерн для определения идентификаторов\n var UNDERSCORE_IDENT_RE = '[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]+';\n \n // v7 уникальные ключевые слова, отсутствующие в v8 ==> keyword\n var v7_keywords =\n 'далее ';\n\n // v8 ключевые слова ==> keyword\n var v8_keywords =\n 'возврат вызватьисключение выполнить для если и из или иначе иначеесли исключение каждого конецесли ' +\n 'конецпопытки конеццикла не новый перейти перем по пока попытка прервать продолжить тогда цикл экспорт ';\n\n // keyword : ключевые слова\n var KEYWORD = v7_keywords + v8_keywords;\n \n // v7 уникальные директивы, отсутствующие в v8 ==> meta-keyword\n var v7_meta_keywords =\n 'загрузитьизфайла ';\n\n // v8 ключевые слова в инструкциях препроцессора, директивах компиляции, аннотациях ==> meta-keyword\n var v8_meta_keywords =\n 'вебклиент вместо внешнеесоединение клиент конецобласти мобильноеприложениеклиент мобильноеприложениесервер ' +\n 'наклиенте наклиентенасервере наклиентенасерверебезконтекста насервере насерверебезконтекста область перед ' +\n 'после сервер толстыйклиентобычноеприложение толстыйклиентуправляемоеприложение тонкийклиент ';\n\n // meta-keyword : ключевые слова в инструкциях препроцессора, директивах компиляции, аннотациях\n var METAKEYWORD = v7_meta_keywords + v8_meta_keywords;\n\n // v7 системные константы ==> built_in\n var v7_system_constants =\n 'разделительстраниц разделительстрок символтабуляции ';\n \n // v7 уникальные методы глобального контекста, отсутствующие в v8 ==> built_in\n var v7_global_context_methods =\n 'ansitooem oemtoansi ввестивидсубконто ввестиперечисление ввестипериод ввестиплансчетов выбранныйплансчетов ' +\n 'датагод датамесяц датачисло заголовоксистемы значениевстроку значениеизстроки каталогиб каталогпользователя ' +\n 'кодсимв конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца ' +\n 'коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид ' +\n 'назначитьсчет найтиссылки началопериодаби началостандартногоинтервала начгода начквартала начмесяца ' +\n 'начнедели номерднягода номерднянедели номернеделигода обработкаожидания основнойжурналрасчетов ' +\n 'основнойплансчетов основнойязык очиститьокносообщений периодстр получитьвремята получитьдатута ' +\n 'получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта ' +\n 'префиксавтонумерации пропись пустоезначение разм разобратьпозициюдокумента рассчитатьрегистрына ' +\n 'рассчитатьрегистрыпо симв создатьобъект статусвозврата стрколичествострок сформироватьпозициюдокумента ' +\n 'счетпокоду текущеевремя типзначения типзначениястр установитьтана установитьтапо фиксшаблон шаблон ';\n \n // v8 методы глобального контекста ==> built_in\n var v8_global_context_methods =\n 'acos asin atan base64значение base64строка cos exp log log10 pow sin sqrt tan xmlзначение xmlстрока ' +\n 'xmlтип xmlтипзнч активноеокно безопасныйрежим безопасныйрежимразделенияданных булево ввестидату ввестизначение ' +\n 'ввестистроку ввестичисло возможностьчтенияxml вопрос восстановитьзначение врег выгрузитьжурналрегистрации ' +\n 'выполнитьобработкуоповещения выполнитьпроверкуправдоступа вычислить год данныеформывзначение дата день деньгода ' +\n 'деньнедели добавитьмесяц заблокироватьданныедляредактирования заблокироватьработупользователя завершитьработусистемы ' +\n 'загрузитьвнешнююкомпоненту закрытьсправку записатьjson записатьxml записатьдатуjson записьжурналарегистрации ' +\n 'заполнитьзначениясвойств запроситьразрешениепользователя запуститьприложение запуститьсистему зафиксироватьтранзакцию ' +\n 'значениевданныеформы значениевстрокувнутр значениевфайл значениезаполнено значениеизстрокивнутр значениеизфайла ' +\n 'изxmlтипа импортмоделиxdto имякомпьютера имяпользователя инициализироватьпредопределенныеданные информацияобошибке ' +\n 'каталогбиблиотекимобильногоустройства каталогвременныхфайлов каталогдокументов каталогпрограммы кодироватьстроку ' +\n 'кодлокализацииинформационнойбазы кодсимвола командасистемы конецгода конецдня конецквартала конецмесяца конецминуты ' +\n 'конецнедели конецчаса конфигурациябазыданныхизмененадинамически конфигурацияизменена копироватьданныеформы ' +\n 'копироватьфайл краткоепредставлениеошибки лев макс местноевремя месяц мин минута монопольныйрежим найти ' +\n 'найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы ' +\n 'началогода началодня началоквартала началомесяца началоминуты началонедели началочаса начатьзапросразрешенияпользователя ' +\n 'начатьзапускприложения начатькопированиефайла начатьперемещениефайла начатьподключениевнешнейкомпоненты ' +\n 'начатьподключениерасширенияработыскриптографией начатьподключениерасширенияработысфайлами начатьпоискфайлов ' +\n 'начатьполучениекаталогавременныхфайлов начатьполучениекаталогадокументов начатьполучениерабочегокаталогаданныхпользователя ' +\n 'начатьполучениефайлов начатьпомещениефайла начатьпомещениефайлов начатьсозданиедвоичныхданныхизфайла начатьсозданиекаталога ' +\n 'начатьтранзакцию начатьудалениефайлов начатьустановкувнешнейкомпоненты начатьустановкурасширенияработыскриптографией ' +\n 'начатьустановкурасширенияработысфайлами неделягода необходимостьзавершениясоединения номерсеансаинформационнойбазы ' +\n 'номерсоединенияинформационнойбазы нрег нстр обновитьинтерфейс обновитьнумерациюобъектов обновитьповторноиспользуемыезначения ' +\n 'обработкапрерыванияпользователя объединитьфайлы окр описаниеошибки оповестить оповеститьобизменении ' +\n 'отключитьобработчикзапросанастроекклиенталицензирования отключитьобработчикожидания отключитьобработчикоповещения ' +\n 'открытьзначение открытьиндекссправки открытьсодержаниесправки открытьсправку открытьформу открытьформумодально ' +\n 'отменитьтранзакцию очиститьжурналрегистрации очиститьнастройкипользователя очиститьсообщения параметрыдоступа ' +\n 'перейтипонавигационнойссылке переместитьфайл подключитьвнешнююкомпоненту ' +\n 'подключитьобработчикзапросанастроекклиенталицензирования подключитьобработчикожидания подключитьобработчикоповещения ' +\n 'подключитьрасширениеработыскриптографией подключитьрасширениеработысфайлами подробноепредставлениеошибки ' +\n 'показатьвводдаты показатьвводзначения показатьвводстроки показатьвводчисла показатьвопрос показатьзначение ' +\n 'показатьинформациюобошибке показатьнакарте показатьоповещениепользователя показатьпредупреждение полноеимяпользователя ' +\n 'получитьcomобъект получитьxmlтип получитьадреспоместоположению получитьблокировкусеансов получитьвремязавершенияспящегосеанса ' +\n 'получитьвремязасыпанияпассивногосеанса получитьвремяожиданияблокировкиданных получитьданныевыбора ' +\n 'получитьдополнительныйпараметрклиенталицензирования получитьдопустимыекодылокализации получитьдопустимыечасовыепояса ' +\n 'получитьзаголовокклиентскогоприложения получитьзаголовоксистемы получитьзначенияотборажурналарегистрации ' +\n 'получитьидентификаторконфигурации получитьизвременногохранилища получитьимявременногофайла ' +\n 'получитьимяклиенталицензирования получитьинформациюэкрановклиента получитьиспользованиежурналарегистрации ' +\n 'получитьиспользованиесобытияжурналарегистрации получитькраткийзаголовокприложения получитьмакетоформления ' +\n 'получитьмаскувсефайлы получитьмаскувсефайлыклиента получитьмаскувсефайлысервера получитьместоположениепоадресу ' +\n 'получитьминимальнуюдлинупаролейпользователей получитьнавигационнуюссылку получитьнавигационнуюссылкуинформационнойбазы ' +\n 'получитьобновлениеконфигурациибазыданных получитьобновлениепредопределенныхданныхинформационнойбазы получитьобщиймакет ' +\n 'получитьобщуюформу получитьокна получитьоперативнуюотметкувремени получитьотключениебезопасногорежима ' +\n 'получитьпараметрыфункциональныхопцийинтерфейса получитьполноеимяпредопределенногозначения ' +\n 'получитьпредставлениянавигационныхссылок получитьпроверкусложностипаролейпользователей получитьразделительпути ' +\n 'получитьразделительпутиклиента получитьразделительпутисервера получитьсеансыинформационнойбазы ' +\n 'получитьскоростьклиентскогосоединения получитьсоединенияинформационнойбазы получитьсообщенияпользователю ' +\n 'получитьсоответствиеобъектаиформы получитьсоставстандартногоинтерфейсаodata получитьструктурухранениябазыданных ' +\n 'получитьтекущийсеансинформационнойбазы получитьфайл получитьфайлы получитьформу получитьфункциональнуюопцию ' +\n 'получитьфункциональнуюопциюинтерфейса получитьчасовойпоясинформационнойбазы пользователиос поместитьвовременноехранилище ' +\n 'поместитьфайл поместитьфайлы прав праводоступа предопределенноезначение представлениекодалокализации представлениепериода ' +\n 'представлениеправа представлениеприложения представлениесобытияжурналарегистрации представлениечасовогопояса предупреждение ' +\n 'прекратитьработусистемы привилегированныйрежим продолжитьвызов прочитатьjson прочитатьxml прочитатьдатуjson пустаястрока ' +\n 'рабочийкаталогданныхпользователя разблокироватьданныедляредактирования разделитьфайл разорватьсоединениесвнешнимисточникомданных ' +\n 'раскодироватьстроку рольдоступна секунда сигнал символ скопироватьжурналрегистрации смещениелетнеговремени ' +\n 'смещениестандартноговремени соединитьбуферыдвоичныхданных создатькаталог создатьфабрикуxdto сокрл сокрлп сокрп сообщить ' +\n 'состояние сохранитьзначение сохранитьнастройкипользователя сред стрдлина стрзаканчиваетсяна стрзаменить стрнайти стрначинаетсяс ' +\n 'строка строкасоединенияинформационнойбазы стрполучитьстроку стрразделить стрсоединить стрсравнить стрчисловхождений '+\n 'стрчислострок стршаблон текущаядата текущаядатасеанса текущаяуниверсальнаядата текущаяуниверсальнаядатавмиллисекундах ' +\n 'текущийвариантинтерфейсаклиентскогоприложения текущийвариантосновногошрифтаклиентскогоприложения текущийкодлокализации ' +\n 'текущийрежимзапуска текущийязык текущийязыксистемы тип типзнч транзакцияактивна трег удалитьданныеинформационнойбазы ' +\n 'удалитьизвременногохранилища удалитьобъекты удалитьфайлы универсальноевремя установитьбезопасныйрежим ' +\n 'установитьбезопасныйрежимразделенияданных установитьблокировкусеансов установитьвнешнююкомпоненту ' +\n 'установитьвремязавершенияспящегосеанса установитьвремязасыпанияпассивногосеанса установитьвремяожиданияблокировкиданных ' +\n 'установитьзаголовокклиентскогоприложения установитьзаголовоксистемы установитьиспользованиежурналарегистрации ' +\n 'установитьиспользованиесобытияжурналарегистрации установитькраткийзаголовокприложения ' +\n 'установитьминимальнуюдлинупаролейпользователей установитьмонопольныйрежим установитьнастройкиклиенталицензирования ' +\n 'установитьобновлениепредопределенныхданныхинформационнойбазы установитьотключениебезопасногорежима ' +\n 'установитьпараметрыфункциональныхопцийинтерфейса установитьпривилегированныйрежим ' +\n 'установитьпроверкусложностипаролейпользователей установитьрасширениеработыскриптографией ' +\n 'установитьрасширениеработысфайлами установитьсоединениесвнешнимисточникомданных установитьсоответствиеобъектаиформы ' +\n 'установитьсоставстандартногоинтерфейсаodata установитьчасовойпоясинформационнойбазы установитьчасовойпояссеанса ' +\n 'формат цел час часовойпояс часовойпояссеанса число числопрописью этоадресвременногохранилища ';\n\n // v8 свойства глобального контекста ==> built_in\n var v8_global_context_property =\n 'wsссылки библиотекакартинок библиотекамакетовоформлениякомпоновкиданных библиотекастилей бизнеспроцессы ' +\n 'внешниеисточникиданных внешниеобработки внешниеотчеты встроенныепокупки главныйинтерфейс главныйстиль ' +\n 'документы доставляемыеуведомления журналыдокументов задачи информацияобинтернетсоединении использованиерабочейдаты ' +\n 'историяработыпользователя константы критерииотбора метаданные обработки отображениерекламы отправкадоставляемыхуведомлений ' +\n 'отчеты панельзадачос параметрзапуска параметрысеанса перечисления планывидоврасчета планывидовхарактеристик ' +\n 'планыобмена планысчетов полнотекстовыйпоиск пользователиинформационнойбазы последовательности проверкавстроенныхпокупок ' +\n 'рабочаядата расширенияконфигурации регистрыбухгалтерии регистрынакопления регистрырасчета регистрысведений ' +\n 'регламентныезадания сериализаторxdto справочники средствагеопозиционирования средствакриптографии средствамультимедиа ' +\n 'средстваотображениярекламы средствапочты средствателефонии фабрикаxdto файловыепотоки фоновыезадания хранилищанастроек ' +\n 'хранилищевариантовотчетов хранилищенастроекданныхформ хранилищеобщихнастроек хранилищепользовательскихнастроекдинамическихсписков ' +\n 'хранилищепользовательскихнастроекотчетов хранилищесистемныхнастроек ';\n\n // built_in : встроенные или библиотечные объекты (константы, классы, функции)\n var BUILTIN =\n v7_system_constants +\n v7_global_context_methods + v8_global_context_methods +\n v8_global_context_property;\n \n // v8 системные наборы значений ==> class\n var v8_system_sets_of_values =\n 'webцвета windowsцвета windowsшрифты библиотекакартинок рамкистиля символы цветастиля шрифтыстиля ';\n\n // v8 системные перечисления - интерфейсные ==> class\n var v8_system_enums_interface =\n 'автоматическоесохранениеданныхформывнастройках автонумерациявформе автораздвижениесерий ' +\n 'анимациядиаграммы вариантвыравниванияэлементовизаголовков вариантуправлениявысотойтаблицы ' +\n 'вертикальнаяпрокруткаформы вертикальноеположение вертикальноеположениеэлемента видгруппыформы ' +\n 'виддекорацииформы виддополненияэлементаформы видизмененияданных видкнопкиформы видпереключателя ' +\n 'видподписейкдиаграмме видполяформы видфлажка влияниеразмеранапузырекдиаграммы горизонтальноеположение ' +\n 'горизонтальноеположениеэлемента группировкаколонок группировкаподчиненныхэлементовформы ' +\n 'группыиэлементы действиеперетаскивания дополнительныйрежимотображения допустимыедействияперетаскивания ' +\n 'интервалмеждуэлементамиформы использованиевывода использованиеполосыпрокрутки ' +\n 'используемоезначениеточкибиржевойдиаграммы историявыборапривводе источникзначенийоситочекдиаграммы ' +\n 'источникзначенияразмерапузырькадиаграммы категориягруппыкоманд максимумсерий начальноеотображениедерева ' +\n 'начальноеотображениесписка обновлениетекстаредактирования ориентациядендрограммы ориентациядиаграммы ' +\n 'ориентацияметокдиаграммы ориентацияметоксводнойдиаграммы ориентацияэлементаформы отображениевдиаграмме ' +\n 'отображениевлегендедиаграммы отображениегруппыкнопок отображениезаголовкашкалыдиаграммы ' +\n 'отображениезначенийсводнойдиаграммы отображениезначенияизмерительнойдиаграммы ' +\n 'отображениеинтерваладиаграммыганта отображениекнопки отображениекнопкивыбора отображениеобсужденийформы ' +\n 'отображениеобычнойгруппы отображениеотрицательныхзначенийпузырьковойдиаграммы отображениепанелипоиска ' +\n 'отображениеподсказки отображениепредупрежденияприредактировании отображениеразметкиполосырегулирования ' +\n 'отображениестраницформы отображениетаблицы отображениетекстазначениядиаграммыганта ' +\n 'отображениеуправленияобычнойгруппы отображениефигурыкнопки палитрацветовдиаграммы поведениеобычнойгруппы ' +\n 'поддержкамасштабадендрограммы поддержкамасштабадиаграммыганта поддержкамасштабасводнойдиаграммы ' +\n 'поисквтаблицепривводе положениезаголовкаэлементаформы положениекартинкикнопкиформы ' +\n 'положениекартинкиэлементаграфическойсхемы положениекоманднойпанелиформы положениекоманднойпанелиэлементаформы ' +\n 'положениеопорнойточкиотрисовки положениеподписейкдиаграмме положениеподписейшкалызначенийизмерительнойдиаграммы ' +\n 'положениесостоянияпросмотра положениестрокипоиска положениетекстасоединительнойлинии положениеуправленияпоиском ' +\n 'положениешкалывремени порядокотображенияточекгоризонтальнойгистограммы порядоксерийвлегендедиаграммы ' +\n 'размеркартинки расположениезаголовкашкалыдиаграммы растягиваниеповертикалидиаграммыганта ' +\n 'режимавтоотображениясостояния режимвводастроктаблицы режимвыборанезаполненного режимвыделениядаты ' +\n 'режимвыделениястрокитаблицы режимвыделениятаблицы режимизмененияразмера режимизменениясвязанногозначения ' +\n 'режимиспользованиядиалогапечати режимиспользованияпараметракоманды режиммасштабированияпросмотра ' +\n 'режимосновногоокнаклиентскогоприложения режимоткрытияокнаформы режимотображениявыделения ' +\n 'режимотображениягеографическойсхемы режимотображениязначенийсерии режимотрисовкисеткиграфическойсхемы ' +\n 'режимполупрозрачностидиаграммы режимпробеловдиаграммы режимразмещениянастранице режимредактированияколонки ' +\n 'режимсглаживаниядиаграммы режимсглаживанияиндикатора режимсписказадач сквозноевыравнивание ' +\n 'сохранениеданныхформывнастройках способзаполнениятекстазаголовкашкалыдиаграммы ' +\n 'способопределенияограничивающегозначениядиаграммы стандартнаягруппакоманд стандартноеоформление ' +\n 'статусоповещенияпользователя стильстрелки типаппроксимациилиниитрендадиаграммы типдиаграммы ' +\n 'типединицышкалывремени типимпортасерийслоягеографическойсхемы типлиниигеографическойсхемы типлиниидиаграммы ' +\n 'типмаркерагеографическойсхемы типмаркерадиаграммы типобластиоформления ' +\n 'типорганизацииисточникаданныхгеографическойсхемы типотображениясериислоягеографическойсхемы ' +\n 'типотображенияточечногообъектагеографическойсхемы типотображенияшкалыэлементалегендыгеографическойсхемы ' +\n 'типпоискаобъектовгеографическойсхемы типпроекциигеографическойсхемы типразмещенияизмерений ' +\n 'типразмещенияреквизитовизмерений типрамкиэлементауправления типсводнойдиаграммы ' +\n 'типсвязидиаграммыганта типсоединениязначенийпосериямдиаграммы типсоединенияточекдиаграммы ' +\n 'типсоединительнойлинии типстороныэлементаграфическойсхемы типформыотчета типшкалырадарнойдиаграммы ' +\n 'факторлиниитрендадиаграммы фигуракнопки фигурыграфическойсхемы фиксациявтаблице форматдняшкалывремени ' +\n 'форматкартинки ширинаподчиненныхэлементовформы ';\n\n // v8 системные перечисления - свойства прикладных объектов ==> class\n var v8_system_enums_objects_properties =\n 'виддвижениябухгалтерии виддвижениянакопления видпериодарегистрарасчета видсчета видточкимаршрутабизнеспроцесса ' +\n 'использованиеагрегатарегистранакопления использованиегруппиэлементов использованиережимапроведения ' +\n 'использованиесреза периодичностьагрегатарегистранакопления режимавтовремя режимзаписидокумента режимпроведениядокумента ';\n\n // v8 системные перечисления - планы обмена ==> class\n var v8_system_enums_exchange_plans =\n 'авторегистрацияизменений допустимыйномерсообщения отправкаэлементаданных получениеэлементаданных ';\n\n // v8 системные перечисления - табличный документ ==> class\n var v8_system_enums_tabular_document =\n 'использованиерасшифровкитабличногодокумента ориентациястраницы положениеитоговколоноксводнойтаблицы ' +\n 'положениеитоговстроксводнойтаблицы положениетекстаотносительнокартинки расположениезаголовкагруппировкитабличногодокумента ' +\n 'способчтениязначенийтабличногодокумента типдвустороннейпечати типзаполненияобластитабличногодокумента ' +\n 'типкурсоровтабличногодокумента типлиниирисункатабличногодокумента типлинииячейкитабличногодокумента ' +\n 'типнаправленияпереходатабличногодокумента типотображениявыделениятабличногодокумента типотображениялинийсводнойтаблицы ' +\n 'типразмещениятекстатабличногодокумента типрисункатабличногодокумента типсмещениятабличногодокумента ' +\n 'типузоратабличногодокумента типфайлатабличногодокумента точностьпечати чередованиерасположениястраниц ';\n\n // v8 системные перечисления - планировщик ==> class\n var v8_system_enums_sheduler =\n 'отображениевремениэлементовпланировщика ';\n\n // v8 системные перечисления - форматированный документ ==> class\n var v8_system_enums_formatted_document =\n 'типфайлаформатированногодокумента ';\n\n // v8 системные перечисления - запрос ==> class\n var v8_system_enums_query =\n 'обходрезультатазапроса типзаписизапроса ';\n\n // v8 системные перечисления - построитель отчета ==> class\n var v8_system_enums_report_builder =\n 'видзаполнениярасшифровкипостроителяотчета типдобавленияпредставлений типизмеренияпостроителяотчета типразмещенияитогов ';\n\n // v8 системные перечисления - работа с файлами ==> class\n var v8_system_enums_files =\n 'доступкфайлу режимдиалогавыборафайла режимоткрытияфайла ';\n\n // v8 системные перечисления - построитель запроса ==> class\n var v8_system_enums_query_builder =\n 'типизмеренияпостроителязапроса ';\n\n // v8 системные перечисления - анализ данных ==> class\n var v8_system_enums_data_analysis =\n 'видданныханализа методкластеризации типединицыинтервалавременианализаданных типзаполнениятаблицырезультатаанализаданных ' +\n 'типиспользованиячисловыхзначенийанализаданных типисточникаданныхпоискаассоциаций типколонкианализаданныхдереворешений ' +\n 'типколонкианализаданныхкластеризация типколонкианализаданныхобщаястатистика типколонкианализаданныхпоискассоциаций ' +\n 'типколонкианализаданныхпоискпоследовательностей типколонкимоделипрогноза типмерырасстоянияанализаданных ' +\n 'типотсеченияправилассоциации типполяанализаданных типстандартизациианализаданных типупорядочиванияправилассоциациианализаданных ' +\n 'типупорядочиванияшаблоновпоследовательностейанализаданных типупрощениядереварешений ';\n\n // v8 системные перечисления - xml, json, xs, dom, xdto, web-сервисы ==> class\n var v8_system_enums_xml_json_xs_dom_xdto_ws =\n 'wsнаправлениепараметра вариантxpathxs вариантзаписидатыjson вариантпростоготипаxs видгруппымоделиxs видфасетаxdto ' +\n 'действиепостроителяdom завершенностьпростоготипаxs завершенностьсоставноготипаxs завершенностьсхемыxs запрещенныеподстановкиxs ' +\n 'исключениягруппподстановкиxs категорияиспользованияатрибутаxs категорияограниченияидентичностиxs категорияограниченияпространствименxs ' +\n 'методнаследованияxs модельсодержимогоxs назначениетипаxml недопустимыеподстановкиxs обработкапробельныхсимволовxs обработкасодержимогоxs ' +\n 'ограничениезначенияxs параметрыотбораузловdom переносстрокjson позициявдокументеdom пробельныесимволыxml типатрибутаxml типзначенияjson ' +\n 'типканоническогоxml типкомпонентыxs типпроверкиxml типрезультатаdomxpath типузлаdom типузлаxml формаxml формапредставленияxs ' +\n 'форматдатыjson экранированиесимволовjson ';\n\n // v8 системные перечисления - система компоновки данных ==> class\n var v8_system_enums_data_composition_system =\n 'видсравнениякомпоновкиданных действиеобработкирасшифровкикомпоновкиданных направлениесортировкикомпоновкиданных ' +\n 'расположениевложенныхэлементоврезультатакомпоновкиданных расположениеитоговкомпоновкиданных расположениегруппировкикомпоновкиданных ' +\n 'расположениеполейгруппировкикомпоновкиданных расположениеполякомпоновкиданных расположениереквизитовкомпоновкиданных ' +\n 'расположениересурсовкомпоновкиданных типбухгалтерскогоостаткакомпоновкиданных типвыводатекстакомпоновкиданных ' +\n 'типгруппировкикомпоновкиданных типгруппыэлементовотборакомпоновкиданных типдополненияпериодакомпоновкиданных ' +\n 'типзаголовкаполейкомпоновкиданных типмакетагруппировкикомпоновкиданных типмакетаобластикомпоновкиданных типостаткакомпоновкиданных ' +\n 'типпериодакомпоновкиданных типразмещениятекстакомпоновкиданных типсвязинаборовданныхкомпоновкиданных типэлементарезультатакомпоновкиданных ' +\n 'расположениелегендыдиаграммыкомпоновкиданных типпримененияотборакомпоновкиданных режимотображенияэлементанастройкикомпоновкиданных ' +\n 'режимотображениянастроеккомпоновкиданных состояниеэлементанастройкикомпоновкиданных способвосстановлениянастроеккомпоновкиданных ' +\n 'режимкомпоновкирезультата использованиепараметракомпоновкиданных автопозицияресурсовкомпоновкиданных '+\n 'вариантиспользованиягруппировкикомпоновкиданных расположениересурсоввдиаграммекомпоновкиданных фиксациякомпоновкиданных ' +\n 'использованиеусловногооформлениякомпоновкиданных ';\n\n // v8 системные перечисления - почта ==> class\n var v8_system_enums_email =\n 'важностьинтернетпочтовогосообщения обработкатекстаинтернетпочтовогосообщения способкодированияинтернетпочтовоговложения ' +\n 'способкодированиянеasciiсимволовинтернетпочтовогосообщения типтекстапочтовогосообщения протоколинтернетпочты ' +\n 'статусразборапочтовогосообщения ';\n\n // v8 системные перечисления - журнал регистрации ==> class\n var v8_system_enums_logbook =\n 'режимтранзакциизаписижурналарегистрации статустранзакциизаписижурналарегистрации уровеньжурналарегистрации ';\n\n // v8 системные перечисления - криптография ==> class\n var v8_system_enums_cryptography =\n 'расположениехранилищасертификатовкриптографии режимвключениясертификатовкриптографии режимпроверкисертификатакриптографии ' +\n 'типхранилищасертификатовкриптографии ';\n\n // v8 системные перечисления - ZIP ==> class\n var v8_system_enums_zip =\n 'кодировкаименфайловвzipфайле методсжатияzip методшифрованияzip режимвосстановленияпутейфайловzip режимобработкиподкаталоговzip ' +\n 'режимсохраненияпутейzip уровеньсжатияzip ';\n\n // v8 системные перечисления - \n // Блокировка данных, Фоновые задания, Автоматизированное тестирование,\n // Доставляемые уведомления, Встроенные покупки, Интернет, Работа с двоичными данными ==> class\n var v8_system_enums_other =\n 'звуковоеоповещение направлениепереходакстроке позициявпотоке порядокбайтов режимблокировкиданных режимуправленияблокировкойданных ' +\n 'сервисвстроенныхпокупок состояниефоновогозадания типподписчикадоставляемыхуведомлений уровеньиспользованиязащищенногосоединенияftp ';\n\n // v8 системные перечисления - схема запроса ==> class\n var v8_system_enums_request_schema =\n 'направлениепорядкасхемызапроса типдополненияпериодамисхемызапроса типконтрольнойточкисхемызапроса типобъединениясхемызапроса ' +\n 'типпараметрадоступнойтаблицысхемызапроса типсоединениясхемызапроса ';\n\n // v8 системные перечисления - свойства объектов метаданных ==> class\n var v8_system_enums_properties_of_metadata_objects =\n 'httpметод автоиспользованиеобщегореквизита автопрефиксномеразадачи вариантвстроенногоязыка видиерархии видрегистранакопления ' +\n 'видтаблицывнешнегоисточникаданных записьдвиженийприпроведении заполнениепоследовательностей индексирование ' +\n 'использованиебазыпланавидоврасчета использованиебыстроговыбора использованиеобщегореквизита использованиеподчинения ' +\n 'использованиеполнотекстовогопоиска использованиеразделяемыхданныхобщегореквизита использованиереквизита ' +\n 'назначениеиспользованияприложения назначениерасширенияконфигурации направлениепередачи обновлениепредопределенныхданных ' +\n 'оперативноепроведение основноепредставлениевидарасчета основноепредставлениевидахарактеристики основноепредставлениезадачи ' +\n 'основноепредставлениепланаобмена основноепредставлениесправочника основноепредставлениесчета перемещениеграницыприпроведении ' +\n 'периодичностьномерабизнеспроцесса периодичностьномерадокумента периодичностьрегистрарасчета периодичностьрегистрасведений ' +\n 'повторноеиспользованиевозвращаемыхзначений полнотекстовыйпоискпривводепостроке принадлежностьобъекта проведение ' +\n 'разделениеаутентификацииобщегореквизита разделениеданныхобщегореквизита разделениерасширенийконфигурацииобщегореквизита '+\n 'режимавтонумерацииобъектов режимзаписирегистра режимиспользованиямодальности ' +\n 'режимиспользованиясинхронныхвызововрасширенийплатформыивнешнихкомпонент режимповторногоиспользованиясеансов ' +\n 'режимполученияданныхвыборапривводепостроке режимсовместимости режимсовместимостиинтерфейса ' +\n 'режимуправленияблокировкойданныхпоумолчанию сериикодовпланавидовхарактеристик сериикодовпланасчетов ' +\n 'сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования ' +\n 'типданныхтаблицывнешнегоисточникаданных типкодапланавидоврасчета типкодасправочника типмакета типномерабизнеспроцесса ' +\n 'типномерадокумента типномеразадачи типформы удалениедвижений ';\n\n // v8 системные перечисления - разные ==> class\n var v8_system_enums_differents =\n 'важностьпроблемыприменениярасширенияконфигурации вариантинтерфейсаклиентскогоприложения вариантмасштабаформклиентскогоприложения ' +\n 'вариантосновногошрифтаклиентскогоприложения вариантстандартногопериода вариантстандартнойдатыначала видграницы видкартинки ' +\n 'видотображенияполнотекстовогопоиска видрамки видсравнения видцвета видчисловогозначения видшрифта допустимаядлина допустимыйзнак ' +\n 'использованиеbyteordermark использованиеметаданныхполнотекстовогопоиска источникрасширенийконфигурации клавиша кодвозвратадиалога ' +\n 'кодировкаxbase кодировкатекста направлениепоиска направлениесортировки обновлениепредопределенныхданных обновлениеприизмененииданных ' +\n 'отображениепанелиразделов проверказаполнения режимдиалогавопрос режимзапускаклиентскогоприложения режимокругления режимоткрытияформприложения ' +\n 'режимполнотекстовогопоиска скоростьклиентскогосоединения состояниевнешнегоисточникаданных состояниеобновленияконфигурациибазыданных ' +\n 'способвыборасертификатаwindows способкодированиястроки статуссообщения типвнешнейкомпоненты типплатформы типповеденияклавишиenter ' +\n 'типэлементаинформацииовыполненииобновленияконфигурациибазыданных уровеньизоляциитранзакций хешфункция частидаты';\n\n // class: встроенные наборы значений, системные перечисления (содержат дочерние значения, обращения к которым через разыменование)\n var CLASS =\n v8_system_sets_of_values +\n v8_system_enums_interface +\n v8_system_enums_objects_properties +\n v8_system_enums_exchange_plans +\n v8_system_enums_tabular_document +\n v8_system_enums_sheduler +\n v8_system_enums_formatted_document +\n v8_system_enums_query +\n v8_system_enums_report_builder +\n v8_system_enums_files +\n v8_system_enums_query_builder +\n v8_system_enums_data_analysis +\n v8_system_enums_xml_json_xs_dom_xdto_ws +\n v8_system_enums_data_composition_system +\n v8_system_enums_email +\n v8_system_enums_logbook +\n v8_system_enums_cryptography +\n v8_system_enums_zip +\n v8_system_enums_other +\n v8_system_enums_request_schema +\n v8_system_enums_properties_of_metadata_objects +\n v8_system_enums_differents;\n\n // v8 общие объекты (у объектов есть конструктор, экземпляры создаются методом НОВЫЙ) ==> type\n var v8_shared_object =\n 'comобъект ftpсоединение httpзапрос httpсервисответ httpсоединение wsопределения wsпрокси xbase анализданных аннотацияxs ' +\n 'блокировкаданных буфердвоичныхданных включениеxs выражениекомпоновкиданных генераторслучайныхчисел географическаясхема ' +\n 'географическиекоординаты графическаясхема группамоделиxs данныерасшифровкикомпоновкиданных двоичныеданные дендрограмма ' +\n 'диаграмма диаграммаганта диалогвыборафайла диалогвыборацвета диалогвыборашрифта диалограсписаниярегламентногозадания ' +\n 'диалогредактированиястандартногопериода диапазон документdom документhtml документацияxs доставляемоеуведомление ' +\n 'записьdom записьfastinfoset записьhtml записьjson записьxml записьzipфайла записьданных записьтекста записьузловdom ' +\n 'запрос защищенноесоединениеopenssl значенияполейрасшифровкикомпоновкиданных извлечениетекста импортxs интернетпочта ' +\n 'интернетпочтовоесообщение интернетпочтовыйпрофиль интернетпрокси интернетсоединение информациядляприложенияxs ' +\n 'использованиеатрибутаxs использованиесобытияжурналарегистрации источникдоступныхнастроеккомпоновкиданных ' +\n 'итераторузловdom картинка квалификаторыдаты квалификаторыдвоичныхданных квалификаторыстроки квалификаторычисла ' +\n 'компоновщикмакетакомпоновкиданных компоновщикнастроеккомпоновкиданных конструктормакетаоформлениякомпоновкиданных ' +\n 'конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных ' +\n 'макетоформлениякомпоновкиданных маскаxs менеджеркриптографии наборсхемxml настройкикомпоновкиданных настройкисериализацииjson ' +\n 'обработкакартинок обработкарасшифровкикомпоновкиданных обходдереваdom объявлениеатрибутаxs объявлениенотацииxs ' +\n 'объявлениеэлементаxs описаниеиспользованиясобытиядоступжурналарегистрации ' +\n 'описаниеиспользованиясобытияотказвдоступежурналарегистрации описаниеобработкирасшифровкикомпоновкиданных ' +\n 'описаниепередаваемогофайла описаниетипов определениегруппыатрибутовxs определениегруппымоделиxs ' +\n 'определениеограниченияидентичностиxs определениепростоготипаxs определениесоставноготипаxs определениетипадокументаdom ' +\n 'определенияxpathxs отборкомпоновкиданных пакетотображаемыхдокументов параметрвыбора параметркомпоновкиданных ' +\n 'параметрызаписиjson параметрызаписиxml параметрычтенияxml переопределениеxs планировщик полеанализаданных ' +\n 'полекомпоновкиданных построительdom построительзапроса построительотчета построительотчетаанализаданных ' +\n 'построительсхемxml поток потоквпамяти почта почтовоесообщение преобразованиеxsl преобразованиекканоническомуxml ' +\n 'процессорвыводарезультатакомпоновкиданныхвколлекциюзначений процессорвыводарезультатакомпоновкиданныхвтабличныйдокумент ' +\n 'процессоркомпоновкиданных разыменовательпространствименdom рамка расписаниерегламентногозадания расширенноеимяxml ' +\n 'результатчтенияданных своднаядиаграмма связьпараметравыбора связьпотипу связьпотипукомпоновкиданных сериализаторxdto ' +\n 'сертификатклиентаwindows сертификатклиентафайл сертификаткриптографии сертификатыудостоверяющихцентровwindows ' +\n 'сертификатыудостоверяющихцентровфайл сжатиеданных системнаяинформация сообщениепользователю сочетаниеклавиш ' +\n 'сравнениезначений стандартнаядатаначала стандартныйпериод схемаxml схемакомпоновкиданных табличныйдокумент ' +\n 'текстовыйдокумент тестируемоеприложение типданныхxml уникальныйидентификатор фабрикаxdto файл файловыйпоток ' +\n 'фасетдлиныxs фасетколичестваразрядовдробнойчастиxs фасетмаксимальноговключающегозначенияxs ' +\n 'фасетмаксимальногоисключающегозначенияxs фасетмаксимальнойдлиныxs фасетминимальноговключающегозначенияxs ' +\n 'фасетминимальногоисключающегозначенияxs фасетминимальнойдлиныxs фасетобразцаxs фасетобщегоколичестваразрядовxs ' +\n 'фасетперечисленияxs фасетпробельныхсимволовxs фильтрузловdom форматированнаястрока форматированныйдокумент ' +\n 'фрагментxs хешированиеданных хранилищезначения цвет чтениеfastinfoset чтениеhtml чтениеjson чтениеxml чтениеzipфайла ' +\n 'чтениеданных чтениетекста чтениеузловdom шрифт элементрезультатакомпоновкиданных ';\n\n // v8 универсальные коллекции значений ==> type\n var v8_universal_collection =\n 'comsafearray деревозначений массив соответствие списокзначений структура таблицазначений фиксированнаяструктура ' +\n 'фиксированноесоответствие фиксированныймассив ';\n\n // type : встроенные типы\n var TYPE =\n v8_shared_object +\n v8_universal_collection;\n\n // literal : примитивные типы\n var LITERAL = 'null истина ложь неопределено';\n \n // number : числа\n var NUMBERS = hljs.inherit(hljs.NUMBER_MODE);\n\n // string : строки\n var STRINGS = {\n className: 'string',\n begin: '\"|\\\\|', end: '\"|$',\n contains: [{begin: '\"\"'}]\n };\n\n // number : даты\n var DATE = {\n begin: \"'\", end: \"'\", excludeBegin: true, excludeEnd: true,\n contains: [\n {\n className: 'number',\n begin: '\\\\d{4}([\\\\.\\\\\\\\/:-]?\\\\d{2}){0,5}'\n }\n ]\n };\n \n // comment : комментарии\n var COMMENTS = hljs.inherit(hljs.C_LINE_COMMENT_MODE);\n \n // meta : инструкции препроцессора, директивы компиляции\n var META = {\n className: 'meta',\n lexemes: UNDERSCORE_IDENT_RE,\n begin: '#|&', end: '$',\n keywords: {'meta-keyword': KEYWORD + METAKEYWORD},\n contains: [\n COMMENTS\n ]\n };\n \n // symbol : метка goto\n var SYMBOL = {\n className: 'symbol',\n begin: '~', end: ';|:', excludeEnd: true\n }; \n \n // function : объявление процедур и функций\n var FUNCTION = {\n className: 'function',\n lexemes: UNDERSCORE_IDENT_RE,\n variants: [\n {begin: 'процедура|функция', end: '\\\\)', keywords: 'процедура функция'},\n {begin: 'конецпроцедуры|конецфункции', keywords: 'конецпроцедуры конецфункции'}\n ],\n contains: [\n {\n begin: '\\\\(', end: '\\\\)', endsParent : true,\n contains: [\n {\n className: 'params',\n lexemes: UNDERSCORE_IDENT_RE,\n begin: UNDERSCORE_IDENT_RE, end: ',', excludeEnd: true, endsWithParent: true,\n keywords: {\n keyword: 'знач',\n literal: LITERAL\n },\n contains: [\n NUMBERS,\n STRINGS,\n DATE\n ]\n },\n COMMENTS\n ]\n },\n hljs.inherit(hljs.TITLE_MODE, {begin: UNDERSCORE_IDENT_RE})\n ]\n };\n\n return {\n case_insensitive: true,\n lexemes: UNDERSCORE_IDENT_RE,\n keywords: {\n keyword: KEYWORD,\n built_in: BUILTIN,\n class: CLASS,\n type: TYPE,\n literal: LITERAL\n },\n contains: [\n META,\n FUNCTION,\n COMMENTS,\n SYMBOL,\n NUMBERS,\n STRINGS,\n DATE\n ] \n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/1c.js"],"names":["module","exports","hljs","UNDERSCORE_IDENT_RE","KEYWORD","v7_keywords","LITERAL","NUMBERS","inherit","NUMBER_MODE","STRINGS","className","begin","end","contains","DATE","excludeBegin","excludeEnd","COMMENTS","C_LINE_COMMENT_MODE","case_insensitive","lexemes","keywords","keyword","built_in","v7_system_constants","class","v8_system_sets_of_values","type","v8_shared_object","literal","v7_meta_keywords","variants","endsParent","endsWithParent","TITLE_MODE"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GAGxB,IAAIC,EAAsB,yCAYtBC,EAAUC,kNA6YVC,EAAU,gCAGVC,EAAUL,EAAKM,QAAQN,EAAKO,aAG5BC,EAAU,CACZC,UAAW,SACXC,MAAO,QAASC,IAAK,MACrBC,SAAU,CAAC,CAACF,MAAO,QAIjBG,EAAO,CACTH,MAAO,IAAKC,IAAK,IAAKG,cAAc,EAAMC,YAAY,EACtDH,SAAU,CACR,CACEH,UAAW,SACXC,MAAO,sCAMTM,EAAWhB,EAAKM,QAAQN,EAAKiB,qBAoDjC,MAAO,CACLC,kBAAkB,EAClBC,QAASlB,EACTmB,SAAU,CACRC,QAASnB,EACToB,SAlWJC,ikUAmWIC,MA1JJC,ypZA2JIC,KAvFJC,+5HAwFIC,QAASxB,GAEXQ,SAAU,CA3DD,CACTH,UAAW,OACXU,QAASlB,EACTS,MAAO,MAAOC,IAAK,IACnBS,SAAU,CAAC,eAAgBlB,EA/ZX2B,sUAgahBjB,SAAU,CACRI,IAWW,CACbP,UAAW,WACXU,QAASlB,EACT6B,SAAU,CACR,CAACpB,MAAO,oBAAqBC,IAAK,MAAOS,SAAU,qBACnD,CAACV,MAAO,8BAA+BU,SAAU,gCAEnDR,SAAU,CACR,CACEF,MAAO,MAAOC,IAAK,MAAOoB,YAAa,EACvCnB,SAAU,CACR,CACEH,UAAW,SACXU,QAASlB,EACTS,MAAOT,EAAqBU,IAAK,IAAKI,YAAY,EAAMiB,gBAAgB,EACxEZ,SAAU,CACRC,QAAS,OACTO,QAASxB,GAEXQ,SAAU,CACRP,EACAG,EACAK,IAGJG,IAGJhB,EAAKM,QAAQN,EAAKiC,WAAY,CAACvB,MAAOT,MAiBtCe,EAnDS,CACXP,UAAW,SACXC,MAAO,IAAKC,IAAK,MAAOI,YAAY,GAmDlCV,EACAG,EACAK","file":"highlight/1c.js?v=071b3beac1c09e6dc1f3","sourcesContent":["module.exports = function(hljs){\n\n // общий паттерн для определения идентификаторов\n var UNDERSCORE_IDENT_RE = '[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]+';\n \n // v7 уникальные ключевые слова, отсутствующие в v8 ==> keyword\n var v7_keywords =\n 'далее ';\n\n // v8 ключевые слова ==> keyword\n var v8_keywords =\n 'возврат вызватьисключение выполнить для если и из или иначе иначеесли исключение каждого конецесли ' +\n 'конецпопытки конеццикла не новый перейти перем по пока попытка прервать продолжить тогда цикл экспорт ';\n\n // keyword : ключевые слова\n var KEYWORD = v7_keywords + v8_keywords;\n \n // v7 уникальные директивы, отсутствующие в v8 ==> meta-keyword\n var v7_meta_keywords =\n 'загрузитьизфайла ';\n\n // v8 ключевые слова в инструкциях препроцессора, директивах компиляции, аннотациях ==> meta-keyword\n var v8_meta_keywords =\n 'вебклиент вместо внешнеесоединение клиент конецобласти мобильноеприложениеклиент мобильноеприложениесервер ' +\n 'наклиенте наклиентенасервере наклиентенасерверебезконтекста насервере насерверебезконтекста область перед ' +\n 'после сервер толстыйклиентобычноеприложение толстыйклиентуправляемоеприложение тонкийклиент ';\n\n // meta-keyword : ключевые слова в инструкциях препроцессора, директивах компиляции, аннотациях\n var METAKEYWORD = v7_meta_keywords + v8_meta_keywords;\n\n // v7 системные константы ==> built_in\n var v7_system_constants =\n 'разделительстраниц разделительстрок символтабуляции ';\n \n // v7 уникальные методы глобального контекста, отсутствующие в v8 ==> built_in\n var v7_global_context_methods =\n 'ansitooem oemtoansi ввестивидсубконто ввестиперечисление ввестипериод ввестиплансчетов выбранныйплансчетов ' +\n 'датагод датамесяц датачисло заголовоксистемы значениевстроку значениеизстроки каталогиб каталогпользователя ' +\n 'кодсимв конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца ' +\n 'коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид ' +\n 'назначитьсчет найтиссылки началопериодаби началостандартногоинтервала начгода начквартала начмесяца ' +\n 'начнедели номерднягода номерднянедели номернеделигода обработкаожидания основнойжурналрасчетов ' +\n 'основнойплансчетов основнойязык очиститьокносообщений периодстр получитьвремята получитьдатута ' +\n 'получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта ' +\n 'префиксавтонумерации пропись пустоезначение разм разобратьпозициюдокумента рассчитатьрегистрына ' +\n 'рассчитатьрегистрыпо симв создатьобъект статусвозврата стрколичествострок сформироватьпозициюдокумента ' +\n 'счетпокоду текущеевремя типзначения типзначениястр установитьтана установитьтапо фиксшаблон шаблон ';\n \n // v8 методы глобального контекста ==> built_in\n var v8_global_context_methods =\n 'acos asin atan base64значение base64строка cos exp log log10 pow sin sqrt tan xmlзначение xmlстрока ' +\n 'xmlтип xmlтипзнч активноеокно безопасныйрежим безопасныйрежимразделенияданных булево ввестидату ввестизначение ' +\n 'ввестистроку ввестичисло возможностьчтенияxml вопрос восстановитьзначение врег выгрузитьжурналрегистрации ' +\n 'выполнитьобработкуоповещения выполнитьпроверкуправдоступа вычислить год данныеформывзначение дата день деньгода ' +\n 'деньнедели добавитьмесяц заблокироватьданныедляредактирования заблокироватьработупользователя завершитьработусистемы ' +\n 'загрузитьвнешнююкомпоненту закрытьсправку записатьjson записатьxml записатьдатуjson записьжурналарегистрации ' +\n 'заполнитьзначениясвойств запроситьразрешениепользователя запуститьприложение запуститьсистему зафиксироватьтранзакцию ' +\n 'значениевданныеформы значениевстрокувнутр значениевфайл значениезаполнено значениеизстрокивнутр значениеизфайла ' +\n 'изxmlтипа импортмоделиxdto имякомпьютера имяпользователя инициализироватьпредопределенныеданные информацияобошибке ' +\n 'каталогбиблиотекимобильногоустройства каталогвременныхфайлов каталогдокументов каталогпрограммы кодироватьстроку ' +\n 'кодлокализацииинформационнойбазы кодсимвола командасистемы конецгода конецдня конецквартала конецмесяца конецминуты ' +\n 'конецнедели конецчаса конфигурациябазыданныхизмененадинамически конфигурацияизменена копироватьданныеформы ' +\n 'копироватьфайл краткоепредставлениеошибки лев макс местноевремя месяц мин минута монопольныйрежим найти ' +\n 'найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы ' +\n 'началогода началодня началоквартала началомесяца началоминуты началонедели началочаса начатьзапросразрешенияпользователя ' +\n 'начатьзапускприложения начатькопированиефайла начатьперемещениефайла начатьподключениевнешнейкомпоненты ' +\n 'начатьподключениерасширенияработыскриптографией начатьподключениерасширенияработысфайлами начатьпоискфайлов ' +\n 'начатьполучениекаталогавременныхфайлов начатьполучениекаталогадокументов начатьполучениерабочегокаталогаданныхпользователя ' +\n 'начатьполучениефайлов начатьпомещениефайла начатьпомещениефайлов начатьсозданиедвоичныхданныхизфайла начатьсозданиекаталога ' +\n 'начатьтранзакцию начатьудалениефайлов начатьустановкувнешнейкомпоненты начатьустановкурасширенияработыскриптографией ' +\n 'начатьустановкурасширенияработысфайлами неделягода необходимостьзавершениясоединения номерсеансаинформационнойбазы ' +\n 'номерсоединенияинформационнойбазы нрег нстр обновитьинтерфейс обновитьнумерациюобъектов обновитьповторноиспользуемыезначения ' +\n 'обработкапрерыванияпользователя объединитьфайлы окр описаниеошибки оповестить оповеститьобизменении ' +\n 'отключитьобработчикзапросанастроекклиенталицензирования отключитьобработчикожидания отключитьобработчикоповещения ' +\n 'открытьзначение открытьиндекссправки открытьсодержаниесправки открытьсправку открытьформу открытьформумодально ' +\n 'отменитьтранзакцию очиститьжурналрегистрации очиститьнастройкипользователя очиститьсообщения параметрыдоступа ' +\n 'перейтипонавигационнойссылке переместитьфайл подключитьвнешнююкомпоненту ' +\n 'подключитьобработчикзапросанастроекклиенталицензирования подключитьобработчикожидания подключитьобработчикоповещения ' +\n 'подключитьрасширениеработыскриптографией подключитьрасширениеработысфайлами подробноепредставлениеошибки ' +\n 'показатьвводдаты показатьвводзначения показатьвводстроки показатьвводчисла показатьвопрос показатьзначение ' +\n 'показатьинформациюобошибке показатьнакарте показатьоповещениепользователя показатьпредупреждение полноеимяпользователя ' +\n 'получитьcomобъект получитьxmlтип получитьадреспоместоположению получитьблокировкусеансов получитьвремязавершенияспящегосеанса ' +\n 'получитьвремязасыпанияпассивногосеанса получитьвремяожиданияблокировкиданных получитьданныевыбора ' +\n 'получитьдополнительныйпараметрклиенталицензирования получитьдопустимыекодылокализации получитьдопустимыечасовыепояса ' +\n 'получитьзаголовокклиентскогоприложения получитьзаголовоксистемы получитьзначенияотборажурналарегистрации ' +\n 'получитьидентификаторконфигурации получитьизвременногохранилища получитьимявременногофайла ' +\n 'получитьимяклиенталицензирования получитьинформациюэкрановклиента получитьиспользованиежурналарегистрации ' +\n 'получитьиспользованиесобытияжурналарегистрации получитькраткийзаголовокприложения получитьмакетоформления ' +\n 'получитьмаскувсефайлы получитьмаскувсефайлыклиента получитьмаскувсефайлысервера получитьместоположениепоадресу ' +\n 'получитьминимальнуюдлинупаролейпользователей получитьнавигационнуюссылку получитьнавигационнуюссылкуинформационнойбазы ' +\n 'получитьобновлениеконфигурациибазыданных получитьобновлениепредопределенныхданныхинформационнойбазы получитьобщиймакет ' +\n 'получитьобщуюформу получитьокна получитьоперативнуюотметкувремени получитьотключениебезопасногорежима ' +\n 'получитьпараметрыфункциональныхопцийинтерфейса получитьполноеимяпредопределенногозначения ' +\n 'получитьпредставлениянавигационныхссылок получитьпроверкусложностипаролейпользователей получитьразделительпути ' +\n 'получитьразделительпутиклиента получитьразделительпутисервера получитьсеансыинформационнойбазы ' +\n 'получитьскоростьклиентскогосоединения получитьсоединенияинформационнойбазы получитьсообщенияпользователю ' +\n 'получитьсоответствиеобъектаиформы получитьсоставстандартногоинтерфейсаodata получитьструктурухранениябазыданных ' +\n 'получитьтекущийсеансинформационнойбазы получитьфайл получитьфайлы получитьформу получитьфункциональнуюопцию ' +\n 'получитьфункциональнуюопциюинтерфейса получитьчасовойпоясинформационнойбазы пользователиос поместитьвовременноехранилище ' +\n 'поместитьфайл поместитьфайлы прав праводоступа предопределенноезначение представлениекодалокализации представлениепериода ' +\n 'представлениеправа представлениеприложения представлениесобытияжурналарегистрации представлениечасовогопояса предупреждение ' +\n 'прекратитьработусистемы привилегированныйрежим продолжитьвызов прочитатьjson прочитатьxml прочитатьдатуjson пустаястрока ' +\n 'рабочийкаталогданныхпользователя разблокироватьданныедляредактирования разделитьфайл разорватьсоединениесвнешнимисточникомданных ' +\n 'раскодироватьстроку рольдоступна секунда сигнал символ скопироватьжурналрегистрации смещениелетнеговремени ' +\n 'смещениестандартноговремени соединитьбуферыдвоичныхданных создатькаталог создатьфабрикуxdto сокрл сокрлп сокрп сообщить ' +\n 'состояние сохранитьзначение сохранитьнастройкипользователя сред стрдлина стрзаканчиваетсяна стрзаменить стрнайти стрначинаетсяс ' +\n 'строка строкасоединенияинформационнойбазы стрполучитьстроку стрразделить стрсоединить стрсравнить стрчисловхождений '+\n 'стрчислострок стршаблон текущаядата текущаядатасеанса текущаяуниверсальнаядата текущаяуниверсальнаядатавмиллисекундах ' +\n 'текущийвариантинтерфейсаклиентскогоприложения текущийвариантосновногошрифтаклиентскогоприложения текущийкодлокализации ' +\n 'текущийрежимзапуска текущийязык текущийязыксистемы тип типзнч транзакцияактивна трег удалитьданныеинформационнойбазы ' +\n 'удалитьизвременногохранилища удалитьобъекты удалитьфайлы универсальноевремя установитьбезопасныйрежим ' +\n 'установитьбезопасныйрежимразделенияданных установитьблокировкусеансов установитьвнешнююкомпоненту ' +\n 'установитьвремязавершенияспящегосеанса установитьвремязасыпанияпассивногосеанса установитьвремяожиданияблокировкиданных ' +\n 'установитьзаголовокклиентскогоприложения установитьзаголовоксистемы установитьиспользованиежурналарегистрации ' +\n 'установитьиспользованиесобытияжурналарегистрации установитькраткийзаголовокприложения ' +\n 'установитьминимальнуюдлинупаролейпользователей установитьмонопольныйрежим установитьнастройкиклиенталицензирования ' +\n 'установитьобновлениепредопределенныхданныхинформационнойбазы установитьотключениебезопасногорежима ' +\n 'установитьпараметрыфункциональныхопцийинтерфейса установитьпривилегированныйрежим ' +\n 'установитьпроверкусложностипаролейпользователей установитьрасширениеработыскриптографией ' +\n 'установитьрасширениеработысфайлами установитьсоединениесвнешнимисточникомданных установитьсоответствиеобъектаиформы ' +\n 'установитьсоставстандартногоинтерфейсаodata установитьчасовойпоясинформационнойбазы установитьчасовойпояссеанса ' +\n 'формат цел час часовойпояс часовойпояссеанса число числопрописью этоадресвременногохранилища ';\n\n // v8 свойства глобального контекста ==> built_in\n var v8_global_context_property =\n 'wsссылки библиотекакартинок библиотекамакетовоформлениякомпоновкиданных библиотекастилей бизнеспроцессы ' +\n 'внешниеисточникиданных внешниеобработки внешниеотчеты встроенныепокупки главныйинтерфейс главныйстиль ' +\n 'документы доставляемыеуведомления журналыдокументов задачи информацияобинтернетсоединении использованиерабочейдаты ' +\n 'историяработыпользователя константы критерииотбора метаданные обработки отображениерекламы отправкадоставляемыхуведомлений ' +\n 'отчеты панельзадачос параметрзапуска параметрысеанса перечисления планывидоврасчета планывидовхарактеристик ' +\n 'планыобмена планысчетов полнотекстовыйпоиск пользователиинформационнойбазы последовательности проверкавстроенныхпокупок ' +\n 'рабочаядата расширенияконфигурации регистрыбухгалтерии регистрынакопления регистрырасчета регистрысведений ' +\n 'регламентныезадания сериализаторxdto справочники средствагеопозиционирования средствакриптографии средствамультимедиа ' +\n 'средстваотображениярекламы средствапочты средствателефонии фабрикаxdto файловыепотоки фоновыезадания хранилищанастроек ' +\n 'хранилищевариантовотчетов хранилищенастроекданныхформ хранилищеобщихнастроек хранилищепользовательскихнастроекдинамическихсписков ' +\n 'хранилищепользовательскихнастроекотчетов хранилищесистемныхнастроек ';\n\n // built_in : встроенные или библиотечные объекты (константы, классы, функции)\n var BUILTIN =\n v7_system_constants +\n v7_global_context_methods + v8_global_context_methods +\n v8_global_context_property;\n \n // v8 системные наборы значений ==> class\n var v8_system_sets_of_values =\n 'webцвета windowsцвета windowsшрифты библиотекакартинок рамкистиля символы цветастиля шрифтыстиля ';\n\n // v8 системные перечисления - интерфейсные ==> class\n var v8_system_enums_interface =\n 'автоматическоесохранениеданныхформывнастройках автонумерациявформе автораздвижениесерий ' +\n 'анимациядиаграммы вариантвыравниванияэлементовизаголовков вариантуправлениявысотойтаблицы ' +\n 'вертикальнаяпрокруткаформы вертикальноеположение вертикальноеположениеэлемента видгруппыформы ' +\n 'виддекорацииформы виддополненияэлементаформы видизмененияданных видкнопкиформы видпереключателя ' +\n 'видподписейкдиаграмме видполяформы видфлажка влияниеразмеранапузырекдиаграммы горизонтальноеположение ' +\n 'горизонтальноеположениеэлемента группировкаколонок группировкаподчиненныхэлементовформы ' +\n 'группыиэлементы действиеперетаскивания дополнительныйрежимотображения допустимыедействияперетаскивания ' +\n 'интервалмеждуэлементамиформы использованиевывода использованиеполосыпрокрутки ' +\n 'используемоезначениеточкибиржевойдиаграммы историявыборапривводе источникзначенийоситочекдиаграммы ' +\n 'источникзначенияразмерапузырькадиаграммы категориягруппыкоманд максимумсерий начальноеотображениедерева ' +\n 'начальноеотображениесписка обновлениетекстаредактирования ориентациядендрограммы ориентациядиаграммы ' +\n 'ориентацияметокдиаграммы ориентацияметоксводнойдиаграммы ориентацияэлементаформы отображениевдиаграмме ' +\n 'отображениевлегендедиаграммы отображениегруппыкнопок отображениезаголовкашкалыдиаграммы ' +\n 'отображениезначенийсводнойдиаграммы отображениезначенияизмерительнойдиаграммы ' +\n 'отображениеинтерваладиаграммыганта отображениекнопки отображениекнопкивыбора отображениеобсужденийформы ' +\n 'отображениеобычнойгруппы отображениеотрицательныхзначенийпузырьковойдиаграммы отображениепанелипоиска ' +\n 'отображениеподсказки отображениепредупрежденияприредактировании отображениеразметкиполосырегулирования ' +\n 'отображениестраницформы отображениетаблицы отображениетекстазначениядиаграммыганта ' +\n 'отображениеуправленияобычнойгруппы отображениефигурыкнопки палитрацветовдиаграммы поведениеобычнойгруппы ' +\n 'поддержкамасштабадендрограммы поддержкамасштабадиаграммыганта поддержкамасштабасводнойдиаграммы ' +\n 'поисквтаблицепривводе положениезаголовкаэлементаформы положениекартинкикнопкиформы ' +\n 'положениекартинкиэлементаграфическойсхемы положениекоманднойпанелиформы положениекоманднойпанелиэлементаформы ' +\n 'положениеопорнойточкиотрисовки положениеподписейкдиаграмме положениеподписейшкалызначенийизмерительнойдиаграммы ' +\n 'положениесостоянияпросмотра положениестрокипоиска положениетекстасоединительнойлинии положениеуправленияпоиском ' +\n 'положениешкалывремени порядокотображенияточекгоризонтальнойгистограммы порядоксерийвлегендедиаграммы ' +\n 'размеркартинки расположениезаголовкашкалыдиаграммы растягиваниеповертикалидиаграммыганта ' +\n 'режимавтоотображениясостояния режимвводастроктаблицы режимвыборанезаполненного режимвыделениядаты ' +\n 'режимвыделениястрокитаблицы режимвыделениятаблицы режимизмененияразмера режимизменениясвязанногозначения ' +\n 'режимиспользованиядиалогапечати режимиспользованияпараметракоманды режиммасштабированияпросмотра ' +\n 'режимосновногоокнаклиентскогоприложения режимоткрытияокнаформы режимотображениявыделения ' +\n 'режимотображениягеографическойсхемы режимотображениязначенийсерии режимотрисовкисеткиграфическойсхемы ' +\n 'режимполупрозрачностидиаграммы режимпробеловдиаграммы режимразмещениянастранице режимредактированияколонки ' +\n 'режимсглаживаниядиаграммы режимсглаживанияиндикатора режимсписказадач сквозноевыравнивание ' +\n 'сохранениеданныхформывнастройках способзаполнениятекстазаголовкашкалыдиаграммы ' +\n 'способопределенияограничивающегозначениядиаграммы стандартнаягруппакоманд стандартноеоформление ' +\n 'статусоповещенияпользователя стильстрелки типаппроксимациилиниитрендадиаграммы типдиаграммы ' +\n 'типединицышкалывремени типимпортасерийслоягеографическойсхемы типлиниигеографическойсхемы типлиниидиаграммы ' +\n 'типмаркерагеографическойсхемы типмаркерадиаграммы типобластиоформления ' +\n 'типорганизацииисточникаданныхгеографическойсхемы типотображениясериислоягеографическойсхемы ' +\n 'типотображенияточечногообъектагеографическойсхемы типотображенияшкалыэлементалегендыгеографическойсхемы ' +\n 'типпоискаобъектовгеографическойсхемы типпроекциигеографическойсхемы типразмещенияизмерений ' +\n 'типразмещенияреквизитовизмерений типрамкиэлементауправления типсводнойдиаграммы ' +\n 'типсвязидиаграммыганта типсоединениязначенийпосериямдиаграммы типсоединенияточекдиаграммы ' +\n 'типсоединительнойлинии типстороныэлементаграфическойсхемы типформыотчета типшкалырадарнойдиаграммы ' +\n 'факторлиниитрендадиаграммы фигуракнопки фигурыграфическойсхемы фиксациявтаблице форматдняшкалывремени ' +\n 'форматкартинки ширинаподчиненныхэлементовформы ';\n\n // v8 системные перечисления - свойства прикладных объектов ==> class\n var v8_system_enums_objects_properties =\n 'виддвижениябухгалтерии виддвижениянакопления видпериодарегистрарасчета видсчета видточкимаршрутабизнеспроцесса ' +\n 'использованиеагрегатарегистранакопления использованиегруппиэлементов использованиережимапроведения ' +\n 'использованиесреза периодичностьагрегатарегистранакопления режимавтовремя режимзаписидокумента режимпроведениядокумента ';\n\n // v8 системные перечисления - планы обмена ==> class\n var v8_system_enums_exchange_plans =\n 'авторегистрацияизменений допустимыйномерсообщения отправкаэлементаданных получениеэлементаданных ';\n\n // v8 системные перечисления - табличный документ ==> class\n var v8_system_enums_tabular_document =\n 'использованиерасшифровкитабличногодокумента ориентациястраницы положениеитоговколоноксводнойтаблицы ' +\n 'положениеитоговстроксводнойтаблицы положениетекстаотносительнокартинки расположениезаголовкагруппировкитабличногодокумента ' +\n 'способчтениязначенийтабличногодокумента типдвустороннейпечати типзаполненияобластитабличногодокумента ' +\n 'типкурсоровтабличногодокумента типлиниирисункатабличногодокумента типлинииячейкитабличногодокумента ' +\n 'типнаправленияпереходатабличногодокумента типотображениявыделениятабличногодокумента типотображениялинийсводнойтаблицы ' +\n 'типразмещениятекстатабличногодокумента типрисункатабличногодокумента типсмещениятабличногодокумента ' +\n 'типузоратабличногодокумента типфайлатабличногодокумента точностьпечати чередованиерасположениястраниц ';\n\n // v8 системные перечисления - планировщик ==> class\n var v8_system_enums_sheduler =\n 'отображениевремениэлементовпланировщика ';\n\n // v8 системные перечисления - форматированный документ ==> class\n var v8_system_enums_formatted_document =\n 'типфайлаформатированногодокумента ';\n\n // v8 системные перечисления - запрос ==> class\n var v8_system_enums_query =\n 'обходрезультатазапроса типзаписизапроса ';\n\n // v8 системные перечисления - построитель отчета ==> class\n var v8_system_enums_report_builder =\n 'видзаполнениярасшифровкипостроителяотчета типдобавленияпредставлений типизмеренияпостроителяотчета типразмещенияитогов ';\n\n // v8 системные перечисления - работа с файлами ==> class\n var v8_system_enums_files =\n 'доступкфайлу режимдиалогавыборафайла режимоткрытияфайла ';\n\n // v8 системные перечисления - построитель запроса ==> class\n var v8_system_enums_query_builder =\n 'типизмеренияпостроителязапроса ';\n\n // v8 системные перечисления - анализ данных ==> class\n var v8_system_enums_data_analysis =\n 'видданныханализа методкластеризации типединицыинтервалавременианализаданных типзаполнениятаблицырезультатаанализаданных ' +\n 'типиспользованиячисловыхзначенийанализаданных типисточникаданныхпоискаассоциаций типколонкианализаданныхдереворешений ' +\n 'типколонкианализаданныхкластеризация типколонкианализаданныхобщаястатистика типколонкианализаданныхпоискассоциаций ' +\n 'типколонкианализаданныхпоискпоследовательностей типколонкимоделипрогноза типмерырасстоянияанализаданных ' +\n 'типотсеченияправилассоциации типполяанализаданных типстандартизациианализаданных типупорядочиванияправилассоциациианализаданных ' +\n 'типупорядочиванияшаблоновпоследовательностейанализаданных типупрощениядереварешений ';\n\n // v8 системные перечисления - xml, json, xs, dom, xdto, web-сервисы ==> class\n var v8_system_enums_xml_json_xs_dom_xdto_ws =\n 'wsнаправлениепараметра вариантxpathxs вариантзаписидатыjson вариантпростоготипаxs видгруппымоделиxs видфасетаxdto ' +\n 'действиепостроителяdom завершенностьпростоготипаxs завершенностьсоставноготипаxs завершенностьсхемыxs запрещенныеподстановкиxs ' +\n 'исключениягруппподстановкиxs категорияиспользованияатрибутаxs категорияограниченияидентичностиxs категорияограниченияпространствименxs ' +\n 'методнаследованияxs модельсодержимогоxs назначениетипаxml недопустимыеподстановкиxs обработкапробельныхсимволовxs обработкасодержимогоxs ' +\n 'ограничениезначенияxs параметрыотбораузловdom переносстрокjson позициявдокументеdom пробельныесимволыxml типатрибутаxml типзначенияjson ' +\n 'типканоническогоxml типкомпонентыxs типпроверкиxml типрезультатаdomxpath типузлаdom типузлаxml формаxml формапредставленияxs ' +\n 'форматдатыjson экранированиесимволовjson ';\n\n // v8 системные перечисления - система компоновки данных ==> class\n var v8_system_enums_data_composition_system =\n 'видсравнениякомпоновкиданных действиеобработкирасшифровкикомпоновкиданных направлениесортировкикомпоновкиданных ' +\n 'расположениевложенныхэлементоврезультатакомпоновкиданных расположениеитоговкомпоновкиданных расположениегруппировкикомпоновкиданных ' +\n 'расположениеполейгруппировкикомпоновкиданных расположениеполякомпоновкиданных расположениереквизитовкомпоновкиданных ' +\n 'расположениересурсовкомпоновкиданных типбухгалтерскогоостаткакомпоновкиданных типвыводатекстакомпоновкиданных ' +\n 'типгруппировкикомпоновкиданных типгруппыэлементовотборакомпоновкиданных типдополненияпериодакомпоновкиданных ' +\n 'типзаголовкаполейкомпоновкиданных типмакетагруппировкикомпоновкиданных типмакетаобластикомпоновкиданных типостаткакомпоновкиданных ' +\n 'типпериодакомпоновкиданных типразмещениятекстакомпоновкиданных типсвязинаборовданныхкомпоновкиданных типэлементарезультатакомпоновкиданных ' +\n 'расположениелегендыдиаграммыкомпоновкиданных типпримененияотборакомпоновкиданных режимотображенияэлементанастройкикомпоновкиданных ' +\n 'режимотображениянастроеккомпоновкиданных состояниеэлементанастройкикомпоновкиданных способвосстановлениянастроеккомпоновкиданных ' +\n 'режимкомпоновкирезультата использованиепараметракомпоновкиданных автопозицияресурсовкомпоновкиданных '+\n 'вариантиспользованиягруппировкикомпоновкиданных расположениересурсоввдиаграммекомпоновкиданных фиксациякомпоновкиданных ' +\n 'использованиеусловногооформлениякомпоновкиданных ';\n\n // v8 системные перечисления - почта ==> class\n var v8_system_enums_email =\n 'важностьинтернетпочтовогосообщения обработкатекстаинтернетпочтовогосообщения способкодированияинтернетпочтовоговложения ' +\n 'способкодированиянеasciiсимволовинтернетпочтовогосообщения типтекстапочтовогосообщения протоколинтернетпочты ' +\n 'статусразборапочтовогосообщения ';\n\n // v8 системные перечисления - журнал регистрации ==> class\n var v8_system_enums_logbook =\n 'режимтранзакциизаписижурналарегистрации статустранзакциизаписижурналарегистрации уровеньжурналарегистрации ';\n\n // v8 системные перечисления - криптография ==> class\n var v8_system_enums_cryptography =\n 'расположениехранилищасертификатовкриптографии режимвключениясертификатовкриптографии режимпроверкисертификатакриптографии ' +\n 'типхранилищасертификатовкриптографии ';\n\n // v8 системные перечисления - ZIP ==> class\n var v8_system_enums_zip =\n 'кодировкаименфайловвzipфайле методсжатияzip методшифрованияzip режимвосстановленияпутейфайловzip режимобработкиподкаталоговzip ' +\n 'режимсохраненияпутейzip уровеньсжатияzip ';\n\n // v8 системные перечисления - \n // Блокировка данных, Фоновые задания, Автоматизированное тестирование,\n // Доставляемые уведомления, Встроенные покупки, Интернет, Работа с двоичными данными ==> class\n var v8_system_enums_other =\n 'звуковоеоповещение направлениепереходакстроке позициявпотоке порядокбайтов режимблокировкиданных режимуправленияблокировкойданных ' +\n 'сервисвстроенныхпокупок состояниефоновогозадания типподписчикадоставляемыхуведомлений уровеньиспользованиязащищенногосоединенияftp ';\n\n // v8 системные перечисления - схема запроса ==> class\n var v8_system_enums_request_schema =\n 'направлениепорядкасхемызапроса типдополненияпериодамисхемызапроса типконтрольнойточкисхемызапроса типобъединениясхемызапроса ' +\n 'типпараметрадоступнойтаблицысхемызапроса типсоединениясхемызапроса ';\n\n // v8 системные перечисления - свойства объектов метаданных ==> class\n var v8_system_enums_properties_of_metadata_objects =\n 'httpметод автоиспользованиеобщегореквизита автопрефиксномеразадачи вариантвстроенногоязыка видиерархии видрегистранакопления ' +\n 'видтаблицывнешнегоисточникаданных записьдвиженийприпроведении заполнениепоследовательностей индексирование ' +\n 'использованиебазыпланавидоврасчета использованиебыстроговыбора использованиеобщегореквизита использованиеподчинения ' +\n 'использованиеполнотекстовогопоиска использованиеразделяемыхданныхобщегореквизита использованиереквизита ' +\n 'назначениеиспользованияприложения назначениерасширенияконфигурации направлениепередачи обновлениепредопределенныхданных ' +\n 'оперативноепроведение основноепредставлениевидарасчета основноепредставлениевидахарактеристики основноепредставлениезадачи ' +\n 'основноепредставлениепланаобмена основноепредставлениесправочника основноепредставлениесчета перемещениеграницыприпроведении ' +\n 'периодичностьномерабизнеспроцесса периодичностьномерадокумента периодичностьрегистрарасчета периодичностьрегистрасведений ' +\n 'повторноеиспользованиевозвращаемыхзначений полнотекстовыйпоискпривводепостроке принадлежностьобъекта проведение ' +\n 'разделениеаутентификацииобщегореквизита разделениеданныхобщегореквизита разделениерасширенийконфигурацииобщегореквизита '+\n 'режимавтонумерацииобъектов режимзаписирегистра режимиспользованиямодальности ' +\n 'режимиспользованиясинхронныхвызововрасширенийплатформыивнешнихкомпонент режимповторногоиспользованиясеансов ' +\n 'режимполученияданныхвыборапривводепостроке режимсовместимости режимсовместимостиинтерфейса ' +\n 'режимуправленияблокировкойданныхпоумолчанию сериикодовпланавидовхарактеристик сериикодовпланасчетов ' +\n 'сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования ' +\n 'типданныхтаблицывнешнегоисточникаданных типкодапланавидоврасчета типкодасправочника типмакета типномерабизнеспроцесса ' +\n 'типномерадокумента типномеразадачи типформы удалениедвижений ';\n\n // v8 системные перечисления - разные ==> class\n var v8_system_enums_differents =\n 'важностьпроблемыприменениярасширенияконфигурации вариантинтерфейсаклиентскогоприложения вариантмасштабаформклиентскогоприложения ' +\n 'вариантосновногошрифтаклиентскогоприложения вариантстандартногопериода вариантстандартнойдатыначала видграницы видкартинки ' +\n 'видотображенияполнотекстовогопоиска видрамки видсравнения видцвета видчисловогозначения видшрифта допустимаядлина допустимыйзнак ' +\n 'использованиеbyteordermark использованиеметаданныхполнотекстовогопоиска источникрасширенийконфигурации клавиша кодвозвратадиалога ' +\n 'кодировкаxbase кодировкатекста направлениепоиска направлениесортировки обновлениепредопределенныхданных обновлениеприизмененииданных ' +\n 'отображениепанелиразделов проверказаполнения режимдиалогавопрос режимзапускаклиентскогоприложения режимокругления режимоткрытияформприложения ' +\n 'режимполнотекстовогопоиска скоростьклиентскогосоединения состояниевнешнегоисточникаданных состояниеобновленияконфигурациибазыданных ' +\n 'способвыборасертификатаwindows способкодированиястроки статуссообщения типвнешнейкомпоненты типплатформы типповеденияклавишиenter ' +\n 'типэлементаинформацииовыполненииобновленияконфигурациибазыданных уровеньизоляциитранзакций хешфункция частидаты';\n\n // class: встроенные наборы значений, системные перечисления (содержат дочерние значения, обращения к которым через разыменование)\n var CLASS =\n v8_system_sets_of_values +\n v8_system_enums_interface +\n v8_system_enums_objects_properties +\n v8_system_enums_exchange_plans +\n v8_system_enums_tabular_document +\n v8_system_enums_sheduler +\n v8_system_enums_formatted_document +\n v8_system_enums_query +\n v8_system_enums_report_builder +\n v8_system_enums_files +\n v8_system_enums_query_builder +\n v8_system_enums_data_analysis +\n v8_system_enums_xml_json_xs_dom_xdto_ws +\n v8_system_enums_data_composition_system +\n v8_system_enums_email +\n v8_system_enums_logbook +\n v8_system_enums_cryptography +\n v8_system_enums_zip +\n v8_system_enums_other +\n v8_system_enums_request_schema +\n v8_system_enums_properties_of_metadata_objects +\n v8_system_enums_differents;\n\n // v8 общие объекты (у объектов есть конструктор, экземпляры создаются методом НОВЫЙ) ==> type\n var v8_shared_object =\n 'comобъект ftpсоединение httpзапрос httpсервисответ httpсоединение wsопределения wsпрокси xbase анализданных аннотацияxs ' +\n 'блокировкаданных буфердвоичныхданных включениеxs выражениекомпоновкиданных генераторслучайныхчисел географическаясхема ' +\n 'географическиекоординаты графическаясхема группамоделиxs данныерасшифровкикомпоновкиданных двоичныеданные дендрограмма ' +\n 'диаграмма диаграммаганта диалогвыборафайла диалогвыборацвета диалогвыборашрифта диалограсписаниярегламентногозадания ' +\n 'диалогредактированиястандартногопериода диапазон документdom документhtml документацияxs доставляемоеуведомление ' +\n 'записьdom записьfastinfoset записьhtml записьjson записьxml записьzipфайла записьданных записьтекста записьузловdom ' +\n 'запрос защищенноесоединениеopenssl значенияполейрасшифровкикомпоновкиданных извлечениетекста импортxs интернетпочта ' +\n 'интернетпочтовоесообщение интернетпочтовыйпрофиль интернетпрокси интернетсоединение информациядляприложенияxs ' +\n 'использованиеатрибутаxs использованиесобытияжурналарегистрации источникдоступныхнастроеккомпоновкиданных ' +\n 'итераторузловdom картинка квалификаторыдаты квалификаторыдвоичныхданных квалификаторыстроки квалификаторычисла ' +\n 'компоновщикмакетакомпоновкиданных компоновщикнастроеккомпоновкиданных конструктормакетаоформлениякомпоновкиданных ' +\n 'конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных ' +\n 'макетоформлениякомпоновкиданных маскаxs менеджеркриптографии наборсхемxml настройкикомпоновкиданных настройкисериализацииjson ' +\n 'обработкакартинок обработкарасшифровкикомпоновкиданных обходдереваdom объявлениеатрибутаxs объявлениенотацииxs ' +\n 'объявлениеэлементаxs описаниеиспользованиясобытиядоступжурналарегистрации ' +\n 'описаниеиспользованиясобытияотказвдоступежурналарегистрации описаниеобработкирасшифровкикомпоновкиданных ' +\n 'описаниепередаваемогофайла описаниетипов определениегруппыатрибутовxs определениегруппымоделиxs ' +\n 'определениеограниченияидентичностиxs определениепростоготипаxs определениесоставноготипаxs определениетипадокументаdom ' +\n 'определенияxpathxs отборкомпоновкиданных пакетотображаемыхдокументов параметрвыбора параметркомпоновкиданных ' +\n 'параметрызаписиjson параметрызаписиxml параметрычтенияxml переопределениеxs планировщик полеанализаданных ' +\n 'полекомпоновкиданных построительdom построительзапроса построительотчета построительотчетаанализаданных ' +\n 'построительсхемxml поток потоквпамяти почта почтовоесообщение преобразованиеxsl преобразованиекканоническомуxml ' +\n 'процессорвыводарезультатакомпоновкиданныхвколлекциюзначений процессорвыводарезультатакомпоновкиданныхвтабличныйдокумент ' +\n 'процессоркомпоновкиданных разыменовательпространствименdom рамка расписаниерегламентногозадания расширенноеимяxml ' +\n 'результатчтенияданных своднаядиаграмма связьпараметравыбора связьпотипу связьпотипукомпоновкиданных сериализаторxdto ' +\n 'сертификатклиентаwindows сертификатклиентафайл сертификаткриптографии сертификатыудостоверяющихцентровwindows ' +\n 'сертификатыудостоверяющихцентровфайл сжатиеданных системнаяинформация сообщениепользователю сочетаниеклавиш ' +\n 'сравнениезначений стандартнаядатаначала стандартныйпериод схемаxml схемакомпоновкиданных табличныйдокумент ' +\n 'текстовыйдокумент тестируемоеприложение типданныхxml уникальныйидентификатор фабрикаxdto файл файловыйпоток ' +\n 'фасетдлиныxs фасетколичестваразрядовдробнойчастиxs фасетмаксимальноговключающегозначенияxs ' +\n 'фасетмаксимальногоисключающегозначенияxs фасетмаксимальнойдлиныxs фасетминимальноговключающегозначенияxs ' +\n 'фасетминимальногоисключающегозначенияxs фасетминимальнойдлиныxs фасетобразцаxs фасетобщегоколичестваразрядовxs ' +\n 'фасетперечисленияxs фасетпробельныхсимволовxs фильтрузловdom форматированнаястрока форматированныйдокумент ' +\n 'фрагментxs хешированиеданных хранилищезначения цвет чтениеfastinfoset чтениеhtml чтениеjson чтениеxml чтениеzipфайла ' +\n 'чтениеданных чтениетекста чтениеузловdom шрифт элементрезультатакомпоновкиданных ';\n\n // v8 универсальные коллекции значений ==> type\n var v8_universal_collection =\n 'comsafearray деревозначений массив соответствие списокзначений структура таблицазначений фиксированнаяструктура ' +\n 'фиксированноесоответствие фиксированныймассив ';\n\n // type : встроенные типы\n var TYPE =\n v8_shared_object +\n v8_universal_collection;\n\n // literal : примитивные типы\n var LITERAL = 'null истина ложь неопределено';\n \n // number : числа\n var NUMBERS = hljs.inherit(hljs.NUMBER_MODE);\n\n // string : строки\n var STRINGS = {\n className: 'string',\n begin: '\"|\\\\|', end: '\"|$',\n contains: [{begin: '\"\"'}]\n };\n\n // number : даты\n var DATE = {\n begin: \"'\", end: \"'\", excludeBegin: true, excludeEnd: true,\n contains: [\n {\n className: 'number',\n begin: '\\\\d{4}([\\\\.\\\\\\\\/:-]?\\\\d{2}){0,5}'\n }\n ]\n };\n \n // comment : комментарии\n var COMMENTS = hljs.inherit(hljs.C_LINE_COMMENT_MODE);\n \n // meta : инструкции препроцессора, директивы компиляции\n var META = {\n className: 'meta',\n lexemes: UNDERSCORE_IDENT_RE,\n begin: '#|&', end: '$',\n keywords: {'meta-keyword': KEYWORD + METAKEYWORD},\n contains: [\n COMMENTS\n ]\n };\n \n // symbol : метка goto\n var SYMBOL = {\n className: 'symbol',\n begin: '~', end: ';|:', excludeEnd: true\n }; \n \n // function : объявление процедур и функций\n var FUNCTION = {\n className: 'function',\n lexemes: UNDERSCORE_IDENT_RE,\n variants: [\n {begin: 'процедура|функция', end: '\\\\)', keywords: 'процедура функция'},\n {begin: 'конецпроцедуры|конецфункции', keywords: 'конецпроцедуры конецфункции'}\n ],\n contains: [\n {\n begin: '\\\\(', end: '\\\\)', endsParent : true,\n contains: [\n {\n className: 'params',\n lexemes: UNDERSCORE_IDENT_RE,\n begin: UNDERSCORE_IDENT_RE, end: ',', excludeEnd: true, endsWithParent: true,\n keywords: {\n keyword: 'знач',\n literal: LITERAL\n },\n contains: [\n NUMBERS,\n STRINGS,\n DATE\n ]\n },\n COMMENTS\n ]\n },\n hljs.inherit(hljs.TITLE_MODE, {begin: UNDERSCORE_IDENT_RE})\n ]\n };\n\n return {\n case_insensitive: true,\n lexemes: UNDERSCORE_IDENT_RE,\n keywords: {\n keyword: KEYWORD,\n built_in: BUILTIN,\n class: CLASS,\n type: TYPE,\n literal: LITERAL\n },\n contains: [\n META,\n FUNCTION,\n COMMENTS,\n SYMBOL,\n NUMBERS,\n STRINGS,\n DATE\n ] \n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/abnf.js b/js/highlight/abnf.js
index 4889e4e38..180c34510 100644
--- a/js/highlight/abnf.js
+++ b/js/highlight/abnf.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[3],{369:function(s,e){s.exports=function(s){var e="^[a-zA-Z][a-zA-Z0-9-]*",a="[!@#$^&',?+~`|:]",n=s.COMMENT(";","$"),i={className:"attribute",begin:e+"(?=\\s*=)"};return{illegal:a,keywords:["ALPHA","BIT","CHAR","CR","CRLF","CTL","DIGIT","DQUOTE","HEXDIG","HTAB","LF","LWSP","OCTET","SP","VCHAR","WSP"].join(" "),contains:[i,n,{className:"symbol",begin:/%b[0-1]+(-[0-1]+|(\.[0-1]+)+){0,1}/},{className:"symbol",begin:/%d[0-9]+(-[0-9]+|(\.[0-9]+)+){0,1}/},{className:"symbol",begin:/%x[0-9A-F]+(-[0-9A-F]+|(\.[0-9A-F]+)+){0,1}/},{className:"symbol",begin:/%[si]/},s.QUOTE_STRING_MODE,s.NUMBER_MODE]}}}}]);
-//# sourceMappingURL=abnf.js.map?v=8934502764debdbf47fe \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[3],{370:function(s,e){s.exports=function(s){var e="^[a-zA-Z][a-zA-Z0-9-]*",a="[!@#$^&',?+~`|:]",n=s.COMMENT(";","$"),i={className:"attribute",begin:e+"(?=\\s*=)"};return{illegal:a,keywords:["ALPHA","BIT","CHAR","CR","CRLF","CTL","DIGIT","DQUOTE","HEXDIG","HTAB","LF","LWSP","OCTET","SP","VCHAR","WSP"].join(" "),contains:[i,n,{className:"symbol",begin:/%b[0-1]+(-[0-1]+|(\.[0-1]+)+){0,1}/},{className:"symbol",begin:/%d[0-9]+(-[0-9]+|(\.[0-9]+)+){0,1}/},{className:"symbol",begin:/%x[0-9A-F]+(-[0-9A-F]+|(\.[0-9A-F]+)+){0,1}/},{className:"symbol",begin:/%[si]/},s.QUOTE_STRING_MODE,s.NUMBER_MODE]}}}}]);
+//# sourceMappingURL=abnf.js.map?v=02b6bf25401bff70e1ce \ No newline at end of file
diff --git a/js/highlight/abnf.js.map b/js/highlight/abnf.js.map
index 94e0caac3..1ccae6491 100644
--- a/js/highlight/abnf.js.map
+++ b/js/highlight/abnf.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/abnf.js"],"names":["module","exports","hljs","regexes","commentMode","COMMENT","ruleDeclarationMode","className","begin","illegal","keywords","join","contains","QUOTE_STRING_MODE","NUMBER_MODE"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GACtB,IAAIC,EACiB,yBADjBA,EAEiB,mBAsBjBC,EAAcF,EAAKG,QAAQ,IAAK,KAsBhCC,EAAsB,CACtBC,UAAW,YACXC,MAAOL,EAA0B,aAGrC,MAAO,CACLM,QAASN,EACTO,SAhDa,CACX,QACA,MACA,OACA,KACA,OACA,MACA,QACA,SACA,SACA,OACA,KACA,OACA,QACA,KACA,QACA,OAgCiBC,KAAK,KACxBC,SAAU,CACNN,EACAF,EA9BmB,CACrBG,UAAW,SACXC,MAAO,sCAGe,CACtBD,UAAW,SACXC,MAAO,sCAGmB,CAC1BD,UAAW,SACXC,MAAO,+CAGwB,CAC/BD,UAAW,SACXC,MAAO,SAkBLN,EAAKW,kBACLX,EAAKY","file":"highlight/abnf.js?v=8934502764debdbf47fe","sourcesContent":["module.exports = function(hljs) {\n var regexes = {\n ruleDeclaration: \"^[a-zA-Z][a-zA-Z0-9-]*\",\n unexpectedChars: \"[!@#$^&',?+~`|:]\"\n };\n\n var keywords = [\n \"ALPHA\",\n \"BIT\",\n \"CHAR\",\n \"CR\",\n \"CRLF\",\n \"CTL\",\n \"DIGIT\",\n \"DQUOTE\",\n \"HEXDIG\",\n \"HTAB\",\n \"LF\",\n \"LWSP\",\n \"OCTET\",\n \"SP\",\n \"VCHAR\",\n \"WSP\"\n ];\n\n var commentMode = hljs.COMMENT(\";\", \"$\");\n\n var terminalBinaryMode = {\n className: \"symbol\",\n begin: /%b[0-1]+(-[0-1]+|(\\.[0-1]+)+){0,1}/\n };\n\n var terminalDecimalMode = {\n className: \"symbol\",\n begin: /%d[0-9]+(-[0-9]+|(\\.[0-9]+)+){0,1}/\n };\n\n var terminalHexadecimalMode = {\n className: \"symbol\",\n begin: /%x[0-9A-F]+(-[0-9A-F]+|(\\.[0-9A-F]+)+){0,1}/,\n };\n\n var caseSensitivityIndicatorMode = {\n className: \"symbol\",\n begin: /%[si]/\n };\n\n var ruleDeclarationMode = {\n className: \"attribute\",\n begin: regexes.ruleDeclaration + '(?=\\\\s*=)',\n };\n\n return {\n illegal: regexes.unexpectedChars,\n keywords: keywords.join(\" \"),\n contains: [\n ruleDeclarationMode,\n commentMode,\n terminalBinaryMode,\n terminalDecimalMode,\n terminalHexadecimalMode,\n caseSensitivityIndicatorMode,\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/abnf.js"],"names":["module","exports","hljs","regexes","commentMode","COMMENT","ruleDeclarationMode","className","begin","illegal","keywords","join","contains","QUOTE_STRING_MODE","NUMBER_MODE"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GACtB,IAAIC,EACiB,yBADjBA,EAEiB,mBAsBjBC,EAAcF,EAAKG,QAAQ,IAAK,KAsBhCC,EAAsB,CACtBC,UAAW,YACXC,MAAOL,EAA0B,aAGrC,MAAO,CACLM,QAASN,EACTO,SAhDa,CACX,QACA,MACA,OACA,KACA,OACA,MACA,QACA,SACA,SACA,OACA,KACA,OACA,QACA,KACA,QACA,OAgCiBC,KAAK,KACxBC,SAAU,CACNN,EACAF,EA9BmB,CACrBG,UAAW,SACXC,MAAO,sCAGe,CACtBD,UAAW,SACXC,MAAO,sCAGmB,CAC1BD,UAAW,SACXC,MAAO,+CAGwB,CAC/BD,UAAW,SACXC,MAAO,SAkBLN,EAAKW,kBACLX,EAAKY","file":"highlight/abnf.js?v=02b6bf25401bff70e1ce","sourcesContent":["module.exports = function(hljs) {\n var regexes = {\n ruleDeclaration: \"^[a-zA-Z][a-zA-Z0-9-]*\",\n unexpectedChars: \"[!@#$^&',?+~`|:]\"\n };\n\n var keywords = [\n \"ALPHA\",\n \"BIT\",\n \"CHAR\",\n \"CR\",\n \"CRLF\",\n \"CTL\",\n \"DIGIT\",\n \"DQUOTE\",\n \"HEXDIG\",\n \"HTAB\",\n \"LF\",\n \"LWSP\",\n \"OCTET\",\n \"SP\",\n \"VCHAR\",\n \"WSP\"\n ];\n\n var commentMode = hljs.COMMENT(\";\", \"$\");\n\n var terminalBinaryMode = {\n className: \"symbol\",\n begin: /%b[0-1]+(-[0-1]+|(\\.[0-1]+)+){0,1}/\n };\n\n var terminalDecimalMode = {\n className: \"symbol\",\n begin: /%d[0-9]+(-[0-9]+|(\\.[0-9]+)+){0,1}/\n };\n\n var terminalHexadecimalMode = {\n className: \"symbol\",\n begin: /%x[0-9A-F]+(-[0-9A-F]+|(\\.[0-9A-F]+)+){0,1}/,\n };\n\n var caseSensitivityIndicatorMode = {\n className: \"symbol\",\n begin: /%[si]/\n };\n\n var ruleDeclarationMode = {\n className: \"attribute\",\n begin: regexes.ruleDeclaration + '(?=\\\\s*=)',\n };\n\n return {\n illegal: regexes.unexpectedChars,\n keywords: keywords.join(\" \"),\n contains: [\n ruleDeclarationMode,\n commentMode,\n terminalBinaryMode,\n terminalDecimalMode,\n terminalHexadecimalMode,\n caseSensitivityIndicatorMode,\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/accesslog.js b/js/highlight/accesslog.js
index 35041145e..8976a35e7 100644
--- a/js/highlight/accesslog.js
+++ b/js/highlight/accesslog.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[4],{370:function(e,n){e.exports=function(e){var n=["GET","POST","HEAD","PUT","DELETE","CONNECT","OPTIONS","PATCH","TRACE"];return{contains:[{className:"number",begin:"^\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b",relevance:5},{className:"number",begin:"\\b\\d+\\b",relevance:0},{className:"string",begin:'"('+n.join("|")+")",end:'"',keywords:n.join(" "),illegal:"\\n",relevance:5,contains:[{begin:"HTTP/[12]\\.\\d",relevance:5}]},{className:"string",begin:/\[\d[^\]\n]{8,}\]/,illegal:"\\n",relevance:1},{className:"string",begin:/\[/,end:/\]/,illegal:"\\n",relevance:0},{className:"string",begin:'"Mozilla/\\d\\.\\d \\(',end:'"',illegal:"\\n",relevance:3},{className:"string",begin:'"',end:'"',illegal:"\\n",relevance:0}]}}}}]);
-//# sourceMappingURL=accesslog.js.map?v=a95440520bd82b11c0f3 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[4],{371:function(e,n){e.exports=function(e){var n=["GET","POST","HEAD","PUT","DELETE","CONNECT","OPTIONS","PATCH","TRACE"];return{contains:[{className:"number",begin:"^\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b",relevance:5},{className:"number",begin:"\\b\\d+\\b",relevance:0},{className:"string",begin:'"('+n.join("|")+")",end:'"',keywords:n.join(" "),illegal:"\\n",relevance:5,contains:[{begin:"HTTP/[12]\\.\\d",relevance:5}]},{className:"string",begin:/\[\d[^\]\n]{8,}\]/,illegal:"\\n",relevance:1},{className:"string",begin:/\[/,end:/\]/,illegal:"\\n",relevance:0},{className:"string",begin:'"Mozilla/\\d\\.\\d \\(',end:'"',illegal:"\\n",relevance:3},{className:"string",begin:'"',end:'"',illegal:"\\n",relevance:0}]}}}}]);
+//# sourceMappingURL=accesslog.js.map?v=06349a10fcba1fef2b08 \ No newline at end of file
diff --git a/js/highlight/accesslog.js.map b/js/highlight/accesslog.js.map
index 2bfe6cc82..e51516351 100644
--- a/js/highlight/accesslog.js.map
+++ b/js/highlight/accesslog.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/accesslog.js"],"names":["module","exports","hljs","HTTP_VERBS","contains","className","begin","relevance","join","end","keywords","illegal"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EAAa,CACf,MAAO,OAAQ,OAAQ,MAAO,SAAU,UAAW,UAAW,QAAS,SAEzE,MAAO,CACLC,SAAU,CAER,CACEC,UAAW,SACXC,MAAO,4DACPC,UAAU,GAGZ,CACEF,UAAW,SACXC,MAAO,aACPC,UAAW,GAGb,CACEF,UAAW,SACXC,MAAO,KAAOH,EAAWK,KAAK,KAAO,IAAKC,IAAK,IAC/CC,SAAUP,EAAWK,KAAK,KAC1BG,QAAS,MACTJ,UAAW,EACXH,SAAU,CAAC,CACTE,MAAO,kBACPC,UAAU,KAId,CACEF,UAAW,SAIXC,MAAO,oBACPK,QAAS,MACTJ,UAAW,GAEb,CACEF,UAAW,SACXC,MAAO,KAAMG,IAAK,KAClBE,QAAS,MACTJ,UAAW,GAGb,CACEF,UAAW,SACXC,MAAO,yBAA2BG,IAAK,IACvCE,QAAS,MACTJ,UAAW,GAGb,CACEF,UAAW,SACXC,MAAO,IAAKG,IAAK,IACjBE,QAAS,MACTJ,UAAW","file":"highlight/accesslog.js?v=a95440520bd82b11c0f3","sourcesContent":["module.exports = function(hljs) {\n // https://developer.mozilla.org/en-US/docs/Web/HTTP/Methods\n var HTTP_VERBS = [\n \"GET\", \"POST\", \"HEAD\", \"PUT\", \"DELETE\", \"CONNECT\", \"OPTIONS\", \"PATCH\", \"TRACE\"\n ]\n return {\n contains: [\n // IP\n {\n className: 'number',\n begin: '^\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}(:\\\\d{1,5})?\\\\b',\n relevance:5\n },\n // Other numbers\n {\n className: 'number',\n begin: '\\\\b\\\\d+\\\\b',\n relevance: 0\n },\n // Requests\n {\n className: 'string',\n begin: '\"(' + HTTP_VERBS.join(\"|\") + ')', end: '\"',\n keywords: HTTP_VERBS.join(\" \"),\n illegal: '\\\\n',\n relevance: 5,\n contains: [{\n begin: 'HTTP/[12]\\\\.\\\\d',\n relevance:5\n }]\n },\n // Dates\n {\n className: 'string',\n // dates must have a certain length, this prevents matching\n // simple array accesses a[123] and [] and other common patterns\n // found in other languages\n begin: /\\[\\d[^\\]\\n]{8,}\\]/,\n illegal: '\\\\n',\n relevance: 1\n },\n {\n className: 'string',\n begin: /\\[/, end: /\\]/,\n illegal: '\\\\n',\n relevance: 0\n },\n // User agent / relevance boost\n {\n className: 'string',\n begin: '\"Mozilla/\\\\d\\\\.\\\\d \\\\\\(', end: '\"',\n illegal: '\\\\n',\n relevance: 3\n },\n // Strings\n {\n className: 'string',\n begin: '\"', end: '\"',\n illegal: '\\\\n',\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/accesslog.js"],"names":["module","exports","hljs","HTTP_VERBS","contains","className","begin","relevance","join","end","keywords","illegal"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EAAa,CACf,MAAO,OAAQ,OAAQ,MAAO,SAAU,UAAW,UAAW,QAAS,SAEzE,MAAO,CACLC,SAAU,CAER,CACEC,UAAW,SACXC,MAAO,4DACPC,UAAU,GAGZ,CACEF,UAAW,SACXC,MAAO,aACPC,UAAW,GAGb,CACEF,UAAW,SACXC,MAAO,KAAOH,EAAWK,KAAK,KAAO,IAAKC,IAAK,IAC/CC,SAAUP,EAAWK,KAAK,KAC1BG,QAAS,MACTJ,UAAW,EACXH,SAAU,CAAC,CACTE,MAAO,kBACPC,UAAU,KAId,CACEF,UAAW,SAIXC,MAAO,oBACPK,QAAS,MACTJ,UAAW,GAEb,CACEF,UAAW,SACXC,MAAO,KAAMG,IAAK,KAClBE,QAAS,MACTJ,UAAW,GAGb,CACEF,UAAW,SACXC,MAAO,yBAA2BG,IAAK,IACvCE,QAAS,MACTJ,UAAW,GAGb,CACEF,UAAW,SACXC,MAAO,IAAKG,IAAK,IACjBE,QAAS,MACTJ,UAAW","file":"highlight/accesslog.js?v=06349a10fcba1fef2b08","sourcesContent":["module.exports = function(hljs) {\n // https://developer.mozilla.org/en-US/docs/Web/HTTP/Methods\n var HTTP_VERBS = [\n \"GET\", \"POST\", \"HEAD\", \"PUT\", \"DELETE\", \"CONNECT\", \"OPTIONS\", \"PATCH\", \"TRACE\"\n ]\n return {\n contains: [\n // IP\n {\n className: 'number',\n begin: '^\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}(:\\\\d{1,5})?\\\\b',\n relevance:5\n },\n // Other numbers\n {\n className: 'number',\n begin: '\\\\b\\\\d+\\\\b',\n relevance: 0\n },\n // Requests\n {\n className: 'string',\n begin: '\"(' + HTTP_VERBS.join(\"|\") + ')', end: '\"',\n keywords: HTTP_VERBS.join(\" \"),\n illegal: '\\\\n',\n relevance: 5,\n contains: [{\n begin: 'HTTP/[12]\\\\.\\\\d',\n relevance:5\n }]\n },\n // Dates\n {\n className: 'string',\n // dates must have a certain length, this prevents matching\n // simple array accesses a[123] and [] and other common patterns\n // found in other languages\n begin: /\\[\\d[^\\]\\n]{8,}\\]/,\n illegal: '\\\\n',\n relevance: 1\n },\n {\n className: 'string',\n begin: /\\[/, end: /\\]/,\n illegal: '\\\\n',\n relevance: 0\n },\n // User agent / relevance boost\n {\n className: 'string',\n begin: '\"Mozilla/\\\\d\\\\.\\\\d \\\\\\(', end: '\"',\n illegal: '\\\\n',\n relevance: 3\n },\n // Strings\n {\n className: 'string',\n begin: '\"', end: '\"',\n illegal: '\\\\n',\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/actionscript.js b/js/highlight/actionscript.js
index 53f11e3c3..863df6eb2 100644
--- a/js/highlight/actionscript.js
+++ b/js/highlight/actionscript.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[5],{371:function(e,n){e.exports=function(e){var n={className:"rest_arg",begin:"[.]{3}",end:"[a-zA-Z_$][a-zA-Z0-9_$]*",relevance:10};return{aliases:["as"],keywords:{keyword:"as break case catch class const continue default delete do dynamic each else extends final finally for function get if implements import in include instanceof interface internal is namespace native new override package private protected public return set static super switch this throw try typeof use var void while with",literal:"true false null undefined"},contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:"class",beginKeywords:"package",end:"{",contains:[e.TITLE_MODE]},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,contains:[{beginKeywords:"extends implements"},e.TITLE_MODE]},{className:"meta",beginKeywords:"import include",end:";",keywords:{"meta-keyword":"import include"}},{className:"function",beginKeywords:"function",end:"[{;]",excludeEnd:!0,illegal:"\\S",contains:[e.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n]},{begin:":\\s*([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)"}]},e.METHOD_GUARD],illegal:/#/}}}}]);
-//# sourceMappingURL=actionscript.js.map?v=f8b1f84d39c3d1f1e3bd \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[5],{372:function(e,n){e.exports=function(e){var n={className:"rest_arg",begin:"[.]{3}",end:"[a-zA-Z_$][a-zA-Z0-9_$]*",relevance:10};return{aliases:["as"],keywords:{keyword:"as break case catch class const continue default delete do dynamic each else extends final finally for function get if implements import in include instanceof interface internal is namespace native new override package private protected public return set static super switch this throw try typeof use var void while with",literal:"true false null undefined"},contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:"class",beginKeywords:"package",end:"{",contains:[e.TITLE_MODE]},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,contains:[{beginKeywords:"extends implements"},e.TITLE_MODE]},{className:"meta",beginKeywords:"import include",end:";",keywords:{"meta-keyword":"import include"}},{className:"function",beginKeywords:"function",end:"[{;]",excludeEnd:!0,illegal:"\\S",contains:[e.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n]},{begin:":\\s*([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)"}]},e.METHOD_GUARD],illegal:/#/}}}}]);
+//# sourceMappingURL=actionscript.js.map?v=6f038c3b24b5205c8575 \ No newline at end of file
diff --git a/js/highlight/actionscript.js.map b/js/highlight/actionscript.js.map
index d84f3abb8..52943666c 100644
--- a/js/highlight/actionscript.js.map
+++ b/js/highlight/actionscript.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/actionscript.js"],"names":["module","exports","hljs","AS3_REST_ARG_MODE","className","begin","end","relevance","aliases","keywords","keyword","literal","contains","APOS_STRING_MODE","QUOTE_STRING_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","C_NUMBER_MODE","beginKeywords","TITLE_MODE","excludeEnd","illegal","METHOD_GUARD"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GACxB,IAGIC,EAAoB,CACtBC,UAAW,WACXC,MAAO,SAAUC,IALJ,2BAMbC,UAAW,IAGb,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRC,QAAS,mUAKTC,QAAS,6BAEXC,SAAU,CACRV,EAAKW,iBACLX,EAAKY,kBACLZ,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,cACL,CACEb,UAAW,QACXc,cAAe,UAAWZ,IAAK,IAC/BM,SAAU,CAACV,EAAKiB,aAElB,CACEf,UAAW,QACXc,cAAe,kBAAmBZ,IAAK,IAAKc,YAAY,EACxDR,SAAU,CACR,CACEM,cAAe,sBAEjBhB,EAAKiB,aAGT,CACEf,UAAW,OACXc,cAAe,iBAAkBZ,IAAK,IACtCG,SAAU,CAAC,eAAgB,mBAE7B,CACEL,UAAW,WACXc,cAAe,WAAYZ,IAAK,OAAQc,YAAY,EACpDC,QAAS,MACTT,SAAU,CACRV,EAAKiB,WACL,CACEf,UAAW,SACXC,MAAO,MAAOC,IAAK,MACnBM,SAAU,CACRV,EAAKW,iBACLX,EAAKY,kBACLZ,EAAKa,oBACLb,EAAKc,qBACLb,IAGJ,CACEE,MAAO,yCAIbH,EAAKoB,cAEPD,QAAS","file":"highlight/actionscript.js?v=f8b1f84d39c3d1f1e3bd","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[a-zA-Z_$][a-zA-Z0-9_$]*';\n var IDENT_FUNC_RETURN_TYPE_RE = '([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)';\n\n var AS3_REST_ARG_MODE = {\n className: 'rest_arg',\n begin: '[.]{3}', end: IDENT_RE,\n relevance: 10\n };\n\n return {\n aliases: ['as'],\n keywords: {\n keyword: 'as break case catch class const continue default delete do dynamic each ' +\n 'else extends final finally for function get if implements import in include ' +\n 'instanceof interface internal is namespace native new override package private ' +\n 'protected public return set static super switch this throw try typeof use var void ' +\n 'while with',\n literal: 'true false null undefined'\n },\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'class',\n beginKeywords: 'package', end: '{',\n contains: [hljs.TITLE_MODE]\n },\n {\n className: 'class',\n beginKeywords: 'class interface', end: '{', excludeEnd: true,\n contains: [\n {\n beginKeywords: 'extends implements'\n },\n hljs.TITLE_MODE\n ]\n },\n {\n className: 'meta',\n beginKeywords: 'import include', end: ';',\n keywords: {'meta-keyword': 'import include'}\n },\n {\n className: 'function',\n beginKeywords: 'function', end: '[{;]', excludeEnd: true,\n illegal: '\\\\S',\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AS3_REST_ARG_MODE\n ]\n },\n {\n begin: ':\\\\s*' + IDENT_FUNC_RETURN_TYPE_RE\n }\n ]\n },\n hljs.METHOD_GUARD\n ],\n illegal: /#/\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/actionscript.js"],"names":["module","exports","hljs","AS3_REST_ARG_MODE","className","begin","end","relevance","aliases","keywords","keyword","literal","contains","APOS_STRING_MODE","QUOTE_STRING_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","C_NUMBER_MODE","beginKeywords","TITLE_MODE","excludeEnd","illegal","METHOD_GUARD"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GACxB,IAGIC,EAAoB,CACtBC,UAAW,WACXC,MAAO,SAAUC,IALJ,2BAMbC,UAAW,IAGb,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRC,QAAS,mUAKTC,QAAS,6BAEXC,SAAU,CACRV,EAAKW,iBACLX,EAAKY,kBACLZ,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,cACL,CACEb,UAAW,QACXc,cAAe,UAAWZ,IAAK,IAC/BM,SAAU,CAACV,EAAKiB,aAElB,CACEf,UAAW,QACXc,cAAe,kBAAmBZ,IAAK,IAAKc,YAAY,EACxDR,SAAU,CACR,CACEM,cAAe,sBAEjBhB,EAAKiB,aAGT,CACEf,UAAW,OACXc,cAAe,iBAAkBZ,IAAK,IACtCG,SAAU,CAAC,eAAgB,mBAE7B,CACEL,UAAW,WACXc,cAAe,WAAYZ,IAAK,OAAQc,YAAY,EACpDC,QAAS,MACTT,SAAU,CACRV,EAAKiB,WACL,CACEf,UAAW,SACXC,MAAO,MAAOC,IAAK,MACnBM,SAAU,CACRV,EAAKW,iBACLX,EAAKY,kBACLZ,EAAKa,oBACLb,EAAKc,qBACLb,IAGJ,CACEE,MAAO,yCAIbH,EAAKoB,cAEPD,QAAS","file":"highlight/actionscript.js?v=6f038c3b24b5205c8575","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[a-zA-Z_$][a-zA-Z0-9_$]*';\n var IDENT_FUNC_RETURN_TYPE_RE = '([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)';\n\n var AS3_REST_ARG_MODE = {\n className: 'rest_arg',\n begin: '[.]{3}', end: IDENT_RE,\n relevance: 10\n };\n\n return {\n aliases: ['as'],\n keywords: {\n keyword: 'as break case catch class const continue default delete do dynamic each ' +\n 'else extends final finally for function get if implements import in include ' +\n 'instanceof interface internal is namespace native new override package private ' +\n 'protected public return set static super switch this throw try typeof use var void ' +\n 'while with',\n literal: 'true false null undefined'\n },\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'class',\n beginKeywords: 'package', end: '{',\n contains: [hljs.TITLE_MODE]\n },\n {\n className: 'class',\n beginKeywords: 'class interface', end: '{', excludeEnd: true,\n contains: [\n {\n beginKeywords: 'extends implements'\n },\n hljs.TITLE_MODE\n ]\n },\n {\n className: 'meta',\n beginKeywords: 'import include', end: ';',\n keywords: {'meta-keyword': 'import include'}\n },\n {\n className: 'function',\n beginKeywords: 'function', end: '[{;]', excludeEnd: true,\n illegal: '\\\\S',\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AS3_REST_ARG_MODE\n ]\n },\n {\n begin: ':\\\\s*' + IDENT_FUNC_RETURN_TYPE_RE\n }\n ]\n },\n hljs.METHOD_GUARD\n ],\n illegal: /#/\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/ada.js b/js/highlight/ada.js
index ee7abb102..79db7e372 100644
--- a/js/highlight/ada.js
+++ b/js/highlight/ada.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[6],{372:function(e,n){e.exports=function(e){var n="[A-Za-z](_?[A-Za-z0-9.])*",s=e.COMMENT("--","$"),a={begin:"\\s+:\\s+",end:"\\s*(:=|;|\\)|=>|$)",illegal:"[]{}%#'\"",contains:[{beginKeywords:"loop for declare others",endsParent:!0},{className:"keyword",beginKeywords:"not null constant access function procedure in out aliased exception"},{className:"type",begin:n,endsParent:!0,relevance:0}]};return{case_insensitive:!0,keywords:{keyword:"abort else new return abs elsif not reverse abstract end accept entry select access exception of separate aliased exit or some all others subtype and for out synchronized array function overriding at tagged generic package task begin goto pragma terminate body private then if procedure type case in protected constant interface is raise use declare range delay limited record when delta loop rem while digits renames with do mod requeue xor",literal:"True False"},contains:[s,{className:"string",begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{className:"string",begin:/'.'/},{className:"number",begin:"\\b(\\d(_|\\d)*#\\w+(\\.\\w+)?#([eE][-+]?\\d(_|\\d)*)?|\\d(_|\\d)*(\\.\\d(_|\\d)*)?([eE][-+]?\\d(_|\\d)*)?)",relevance:0},{className:"symbol",begin:"'"+n},{className:"title",begin:"(\\bwith\\s+)?(\\bprivate\\s+)?\\bpackage\\s+(\\bbody\\s+)?",end:"(is|$)",keywords:"package body",excludeBegin:!0,excludeEnd:!0,illegal:"[]{}%#'\""},{begin:"(\\b(with|overriding)\\s+)?\\b(function|procedure)\\s+",end:"(\\bis|\\bwith|\\brenames|\\)\\s*;)",keywords:"overriding function procedure with is renames return",returnBegin:!0,contains:[s,{className:"title",begin:"(\\bwith\\s+)?\\b(function|procedure)\\s+",end:"(\\(|\\s+|$)",excludeBegin:!0,excludeEnd:!0,illegal:"[]{}%#'\""},a,{className:"type",begin:"\\breturn\\s+",end:"(\\s+|;|$)",keywords:"return",excludeBegin:!0,excludeEnd:!0,endsParent:!0,illegal:"[]{}%#'\""}]},{className:"type",begin:"\\b(sub)?type\\s+",end:"\\s+",keywords:"type",excludeBegin:!0,illegal:"[]{}%#'\""},a]}}}}]);
-//# sourceMappingURL=ada.js.map?v=e656029399ef6f83160c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[6],{373:function(e,n){e.exports=function(e){var n="[A-Za-z](_?[A-Za-z0-9.])*",s=e.COMMENT("--","$"),a={begin:"\\s+:\\s+",end:"\\s*(:=|;|\\)|=>|$)",illegal:"[]{}%#'\"",contains:[{beginKeywords:"loop for declare others",endsParent:!0},{className:"keyword",beginKeywords:"not null constant access function procedure in out aliased exception"},{className:"type",begin:n,endsParent:!0,relevance:0}]};return{case_insensitive:!0,keywords:{keyword:"abort else new return abs elsif not reverse abstract end accept entry select access exception of separate aliased exit or some all others subtype and for out synchronized array function overriding at tagged generic package task begin goto pragma terminate body private then if procedure type case in protected constant interface is raise use declare range delay limited record when delta loop rem while digits renames with do mod requeue xor",literal:"True False"},contains:[s,{className:"string",begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{className:"string",begin:/'.'/},{className:"number",begin:"\\b(\\d(_|\\d)*#\\w+(\\.\\w+)?#([eE][-+]?\\d(_|\\d)*)?|\\d(_|\\d)*(\\.\\d(_|\\d)*)?([eE][-+]?\\d(_|\\d)*)?)",relevance:0},{className:"symbol",begin:"'"+n},{className:"title",begin:"(\\bwith\\s+)?(\\bprivate\\s+)?\\bpackage\\s+(\\bbody\\s+)?",end:"(is|$)",keywords:"package body",excludeBegin:!0,excludeEnd:!0,illegal:"[]{}%#'\""},{begin:"(\\b(with|overriding)\\s+)?\\b(function|procedure)\\s+",end:"(\\bis|\\bwith|\\brenames|\\)\\s*;)",keywords:"overriding function procedure with is renames return",returnBegin:!0,contains:[s,{className:"title",begin:"(\\bwith\\s+)?\\b(function|procedure)\\s+",end:"(\\(|\\s+|$)",excludeBegin:!0,excludeEnd:!0,illegal:"[]{}%#'\""},a,{className:"type",begin:"\\breturn\\s+",end:"(\\s+|;|$)",keywords:"return",excludeBegin:!0,excludeEnd:!0,endsParent:!0,illegal:"[]{}%#'\""}]},{className:"type",begin:"\\b(sub)?type\\s+",end:"\\s+",keywords:"type",excludeBegin:!0,illegal:"[]{}%#'\""},a]}}}}]);
+//# sourceMappingURL=ada.js.map?v=facf339fc268c8300b58 \ No newline at end of file
diff --git a/js/highlight/ada.js.map b/js/highlight/ada.js.map
index e668f14ea..73fcb18dd 100644
--- a/js/highlight/ada.js.map
+++ b/js/highlight/ada.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ada.js"],"names":["module","exports","hljs","ID_REGEX","COMMENTS","COMMENT","VAR_DECLS","begin","end","illegal","contains","beginKeywords","endsParent","className","relevance","case_insensitive","keywords","keyword","literal","excludeBegin","excludeEnd","returnBegin"],"mappings":"mFAAAA,EAAOC,QAUP,SAASC,GAKL,IAWIC,EAAW,4BAMXC,EAAWF,EAAKG,QAAQ,KAAM,KAK9BC,EAAY,CAIZC,MAAO,YAAaC,IAAK,sBAGzBC,QAfY,YAgBZC,SAAU,CACN,CAGIC,cAAe,0BACfC,YAAY,GAEhB,CAEIC,UAAW,UACXF,cAAe,wEAEnB,CACIE,UAAW,OACXN,MAAOJ,EACPS,YAAY,EACZE,UAAW,KAKvB,MAAO,CACHC,kBAAkB,EAClBC,SAAU,CACNC,QACI,4bAOJC,QACI,cAERR,SAAU,CACNN,EAEA,CACIS,UAAW,SACXN,MAAO,IAAKC,IAAK,IACjBE,SAAU,CAAC,CAACH,MAAO,KAAMO,UAAW,KAGxC,CAEID,UAAW,SACXN,MAAO,OAEX,CAEIM,UAAW,SACXN,MA1EI,8GA2EJO,UAAW,GAEf,CAEID,UAAW,SACXN,MAAO,IAAMJ,GAEjB,CAEIU,UAAW,QACXN,MAAO,8DAA+DC,IAAK,SAC3EQ,SAAU,eACVG,cAAc,EACdC,YAAY,EACZX,QAnFI,aAqFR,CAGIF,MAAO,yDAA0DC,IAAK,sCACtEQ,SAAU,uDAGVK,aAAa,EACbX,SACA,CACIN,EACA,CAEIS,UAAW,QACXN,MAAO,4CACPC,IAAK,eACLW,cAAc,EACdC,YAAY,EACZX,QAvGJ,aA2GAH,EACA,CAEIO,UAAW,OACXN,MAAO,gBAAiBC,IAAK,aAC7BQ,SAAU,SACVG,cAAc,EACdC,YAAY,EAEZR,YAAY,EACZH,QArHJ,eA0HR,CAGII,UAAW,OACXN,MAAO,oBAAqBC,IAAK,OACjCQ,SAAU,OACVG,cAAc,EACdV,QAjII,aAqIRH","file":"highlight/ada.js?v=e656029399ef6f83160c","sourcesContent":["module.exports = // We try to support full Ada2012\n//\n// We highlight all appearances of types, keywords, literals (string, char, number, bool)\n// and titles (user defined function/procedure/package)\n// CSS classes are set accordingly\n//\n// Languages causing problems for language detection:\n// xml (broken by Foo : Bar type), elm (broken by Foo : Bar type), vbscript-html (broken by body keyword)\n// sql (ada default.txt has a lot of sql keywords)\n\nfunction(hljs) {\n // Regular expression for Ada numeric literals.\n // stolen form the VHDL highlighter\n\n // Decimal literal:\n var INTEGER_RE = '\\\\d(_|\\\\d)*';\n var EXPONENT_RE = '[eE][-+]?' + INTEGER_RE;\n var DECIMAL_LITERAL_RE = INTEGER_RE + '(\\\\.' + INTEGER_RE + ')?' + '(' + EXPONENT_RE + ')?';\n\n // Based literal:\n var BASED_INTEGER_RE = '\\\\w+';\n var BASED_LITERAL_RE = INTEGER_RE + '#' + BASED_INTEGER_RE + '(\\\\.' + BASED_INTEGER_RE + ')?' + '#' + '(' + EXPONENT_RE + ')?';\n\n var NUMBER_RE = '\\\\b(' + BASED_LITERAL_RE + '|' + DECIMAL_LITERAL_RE + ')';\n\n // Identifier regex\n var ID_REGEX = '[A-Za-z](_?[A-Za-z0-9.])*';\n\n // bad chars, only allowed in literals\n var BAD_CHARS = '[]{}%#\\'\\\"'\n\n // Ada doesn't have block comments, only line comments\n var COMMENTS = hljs.COMMENT('--', '$');\n\n // variable declarations of the form\n // Foo : Bar := Baz;\n // where only Bar will be highlighted\n var VAR_DECLS = {\n // TODO: These spaces are not required by the Ada syntax\n // however, I have yet to see handwritten Ada code where\n // someone does not put spaces around :\n begin: '\\\\s+:\\\\s+', end: '\\\\s*(:=|;|\\\\)|=>|$)',\n // endsWithParent: true,\n // returnBegin: true,\n illegal: BAD_CHARS,\n contains: [\n {\n // workaround to avoid highlighting\n // named loops and declare blocks\n beginKeywords: 'loop for declare others',\n endsParent: true,\n },\n {\n // properly highlight all modifiers\n className: 'keyword',\n beginKeywords: 'not null constant access function procedure in out aliased exception'\n },\n {\n className: 'type',\n begin: ID_REGEX,\n endsParent: true,\n relevance: 0,\n }\n ]\n };\n\n return {\n case_insensitive: true,\n keywords: {\n keyword:\n 'abort else new return abs elsif not reverse abstract end ' +\n 'accept entry select access exception of separate aliased exit or some ' +\n 'all others subtype and for out synchronized array function overriding ' +\n 'at tagged generic package task begin goto pragma terminate ' +\n 'body private then if procedure type case in protected constant interface ' +\n 'is raise use declare range delay limited record when delta loop rem while ' +\n 'digits renames with do mod requeue xor',\n literal:\n 'True False',\n },\n contains: [\n COMMENTS,\n // strings \"foobar\"\n {\n className: 'string',\n begin: /\"/, end: /\"/,\n contains: [{begin: /\"\"/, relevance: 0}]\n },\n // characters ''\n {\n // character literals always contain one char\n className: 'string',\n begin: /'.'/\n },\n {\n // number literals\n className: 'number',\n begin: NUMBER_RE,\n relevance: 0\n },\n {\n // Attributes\n className: 'symbol',\n begin: \"'\" + ID_REGEX,\n },\n {\n // package definition, maybe inside generic\n className: 'title',\n begin: '(\\\\bwith\\\\s+)?(\\\\bprivate\\\\s+)?\\\\bpackage\\\\s+(\\\\bbody\\\\s+)?', end: '(is|$)',\n keywords: 'package body',\n excludeBegin: true,\n excludeEnd: true,\n illegal: BAD_CHARS\n },\n {\n // function/procedure declaration/definition\n // maybe inside generic\n begin: '(\\\\b(with|overriding)\\\\s+)?\\\\b(function|procedure)\\\\s+', end: '(\\\\bis|\\\\bwith|\\\\brenames|\\\\)\\\\s*;)',\n keywords: 'overriding function procedure with is renames return',\n // we need to re-match the 'function' keyword, so that\n // the title mode below matches only exactly once\n returnBegin: true,\n contains:\n [\n COMMENTS,\n {\n // name of the function/procedure\n className: 'title',\n begin: '(\\\\bwith\\\\s+)?\\\\b(function|procedure)\\\\s+',\n end: '(\\\\(|\\\\s+|$)',\n excludeBegin: true,\n excludeEnd: true,\n illegal: BAD_CHARS\n },\n // 'self'\n // // parameter types\n VAR_DECLS,\n {\n // return type\n className: 'type',\n begin: '\\\\breturn\\\\s+', end: '(\\\\s+|;|$)',\n keywords: 'return',\n excludeBegin: true,\n excludeEnd: true,\n // we are done with functions\n endsParent: true,\n illegal: BAD_CHARS\n\n },\n ]\n },\n {\n // new type declarations\n // maybe inside generic\n className: 'type',\n begin: '\\\\b(sub)?type\\\\s+', end: '\\\\s+',\n keywords: 'type',\n excludeBegin: true,\n illegal: BAD_CHARS\n },\n\n // see comment above the definition\n VAR_DECLS,\n\n // no markup\n // relevance boosters for small snippets\n // {begin: '\\\\s*=>\\\\s*'},\n // {begin: '\\\\s*:=\\\\s*'},\n // {begin: '\\\\s+:=\\\\s+'},\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ada.js"],"names":["module","exports","hljs","ID_REGEX","COMMENTS","COMMENT","VAR_DECLS","begin","end","illegal","contains","beginKeywords","endsParent","className","relevance","case_insensitive","keywords","keyword","literal","excludeBegin","excludeEnd","returnBegin"],"mappings":"mFAAAA,EAAOC,QAUP,SAASC,GAKL,IAWIC,EAAW,4BAMXC,EAAWF,EAAKG,QAAQ,KAAM,KAK9BC,EAAY,CAIZC,MAAO,YAAaC,IAAK,sBAGzBC,QAfY,YAgBZC,SAAU,CACN,CAGIC,cAAe,0BACfC,YAAY,GAEhB,CAEIC,UAAW,UACXF,cAAe,wEAEnB,CACIE,UAAW,OACXN,MAAOJ,EACPS,YAAY,EACZE,UAAW,KAKvB,MAAO,CACHC,kBAAkB,EAClBC,SAAU,CACNC,QACI,4bAOJC,QACI,cAERR,SAAU,CACNN,EAEA,CACIS,UAAW,SACXN,MAAO,IAAKC,IAAK,IACjBE,SAAU,CAAC,CAACH,MAAO,KAAMO,UAAW,KAGxC,CAEID,UAAW,SACXN,MAAO,OAEX,CAEIM,UAAW,SACXN,MA1EI,8GA2EJO,UAAW,GAEf,CAEID,UAAW,SACXN,MAAO,IAAMJ,GAEjB,CAEIU,UAAW,QACXN,MAAO,8DAA+DC,IAAK,SAC3EQ,SAAU,eACVG,cAAc,EACdC,YAAY,EACZX,QAnFI,aAqFR,CAGIF,MAAO,yDAA0DC,IAAK,sCACtEQ,SAAU,uDAGVK,aAAa,EACbX,SACA,CACIN,EACA,CAEIS,UAAW,QACXN,MAAO,4CACPC,IAAK,eACLW,cAAc,EACdC,YAAY,EACZX,QAvGJ,aA2GAH,EACA,CAEIO,UAAW,OACXN,MAAO,gBAAiBC,IAAK,aAC7BQ,SAAU,SACVG,cAAc,EACdC,YAAY,EAEZR,YAAY,EACZH,QArHJ,eA0HR,CAGII,UAAW,OACXN,MAAO,oBAAqBC,IAAK,OACjCQ,SAAU,OACVG,cAAc,EACdV,QAjII,aAqIRH","file":"highlight/ada.js?v=facf339fc268c8300b58","sourcesContent":["module.exports = // We try to support full Ada2012\n//\n// We highlight all appearances of types, keywords, literals (string, char, number, bool)\n// and titles (user defined function/procedure/package)\n// CSS classes are set accordingly\n//\n// Languages causing problems for language detection:\n// xml (broken by Foo : Bar type), elm (broken by Foo : Bar type), vbscript-html (broken by body keyword)\n// sql (ada default.txt has a lot of sql keywords)\n\nfunction(hljs) {\n // Regular expression for Ada numeric literals.\n // stolen form the VHDL highlighter\n\n // Decimal literal:\n var INTEGER_RE = '\\\\d(_|\\\\d)*';\n var EXPONENT_RE = '[eE][-+]?' + INTEGER_RE;\n var DECIMAL_LITERAL_RE = INTEGER_RE + '(\\\\.' + INTEGER_RE + ')?' + '(' + EXPONENT_RE + ')?';\n\n // Based literal:\n var BASED_INTEGER_RE = '\\\\w+';\n var BASED_LITERAL_RE = INTEGER_RE + '#' + BASED_INTEGER_RE + '(\\\\.' + BASED_INTEGER_RE + ')?' + '#' + '(' + EXPONENT_RE + ')?';\n\n var NUMBER_RE = '\\\\b(' + BASED_LITERAL_RE + '|' + DECIMAL_LITERAL_RE + ')';\n\n // Identifier regex\n var ID_REGEX = '[A-Za-z](_?[A-Za-z0-9.])*';\n\n // bad chars, only allowed in literals\n var BAD_CHARS = '[]{}%#\\'\\\"'\n\n // Ada doesn't have block comments, only line comments\n var COMMENTS = hljs.COMMENT('--', '$');\n\n // variable declarations of the form\n // Foo : Bar := Baz;\n // where only Bar will be highlighted\n var VAR_DECLS = {\n // TODO: These spaces are not required by the Ada syntax\n // however, I have yet to see handwritten Ada code where\n // someone does not put spaces around :\n begin: '\\\\s+:\\\\s+', end: '\\\\s*(:=|;|\\\\)|=>|$)',\n // endsWithParent: true,\n // returnBegin: true,\n illegal: BAD_CHARS,\n contains: [\n {\n // workaround to avoid highlighting\n // named loops and declare blocks\n beginKeywords: 'loop for declare others',\n endsParent: true,\n },\n {\n // properly highlight all modifiers\n className: 'keyword',\n beginKeywords: 'not null constant access function procedure in out aliased exception'\n },\n {\n className: 'type',\n begin: ID_REGEX,\n endsParent: true,\n relevance: 0,\n }\n ]\n };\n\n return {\n case_insensitive: true,\n keywords: {\n keyword:\n 'abort else new return abs elsif not reverse abstract end ' +\n 'accept entry select access exception of separate aliased exit or some ' +\n 'all others subtype and for out synchronized array function overriding ' +\n 'at tagged generic package task begin goto pragma terminate ' +\n 'body private then if procedure type case in protected constant interface ' +\n 'is raise use declare range delay limited record when delta loop rem while ' +\n 'digits renames with do mod requeue xor',\n literal:\n 'True False',\n },\n contains: [\n COMMENTS,\n // strings \"foobar\"\n {\n className: 'string',\n begin: /\"/, end: /\"/,\n contains: [{begin: /\"\"/, relevance: 0}]\n },\n // characters ''\n {\n // character literals always contain one char\n className: 'string',\n begin: /'.'/\n },\n {\n // number literals\n className: 'number',\n begin: NUMBER_RE,\n relevance: 0\n },\n {\n // Attributes\n className: 'symbol',\n begin: \"'\" + ID_REGEX,\n },\n {\n // package definition, maybe inside generic\n className: 'title',\n begin: '(\\\\bwith\\\\s+)?(\\\\bprivate\\\\s+)?\\\\bpackage\\\\s+(\\\\bbody\\\\s+)?', end: '(is|$)',\n keywords: 'package body',\n excludeBegin: true,\n excludeEnd: true,\n illegal: BAD_CHARS\n },\n {\n // function/procedure declaration/definition\n // maybe inside generic\n begin: '(\\\\b(with|overriding)\\\\s+)?\\\\b(function|procedure)\\\\s+', end: '(\\\\bis|\\\\bwith|\\\\brenames|\\\\)\\\\s*;)',\n keywords: 'overriding function procedure with is renames return',\n // we need to re-match the 'function' keyword, so that\n // the title mode below matches only exactly once\n returnBegin: true,\n contains:\n [\n COMMENTS,\n {\n // name of the function/procedure\n className: 'title',\n begin: '(\\\\bwith\\\\s+)?\\\\b(function|procedure)\\\\s+',\n end: '(\\\\(|\\\\s+|$)',\n excludeBegin: true,\n excludeEnd: true,\n illegal: BAD_CHARS\n },\n // 'self'\n // // parameter types\n VAR_DECLS,\n {\n // return type\n className: 'type',\n begin: '\\\\breturn\\\\s+', end: '(\\\\s+|;|$)',\n keywords: 'return',\n excludeBegin: true,\n excludeEnd: true,\n // we are done with functions\n endsParent: true,\n illegal: BAD_CHARS\n\n },\n ]\n },\n {\n // new type declarations\n // maybe inside generic\n className: 'type',\n begin: '\\\\b(sub)?type\\\\s+', end: '\\\\s+',\n keywords: 'type',\n excludeBegin: true,\n illegal: BAD_CHARS\n },\n\n // see comment above the definition\n VAR_DECLS,\n\n // no markup\n // relevance boosters for small snippets\n // {begin: '\\\\s*=>\\\\s*'},\n // {begin: '\\\\s*:=\\\\s*'},\n // {begin: '\\\\s+:=\\\\s+'},\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/angelscript.js b/js/highlight/angelscript.js
index b6e9855aa..7083b88c0 100644
--- a/js/highlight/angelscript.js
+++ b/js/highlight/angelscript.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[7],{373:function(e,n){e.exports=function(e){var n={className:"built_in",begin:"\\b(void|bool|int|int8|int16|int32|int64|uint|uint8|uint16|uint32|uint64|string|ref|array|double|float|auto|dictionary)"},a={className:"symbol",begin:"[a-zA-Z0-9_]+@"},i={className:"keyword",begin:"<",end:">",contains:[n,a]};return n.contains=[i],a.contains=[i],{aliases:["asc"],keywords:"for in|0 break continue while do|0 return if else case switch namespace is cast or and xor not get|0 in inout|10 out override set|0 private public const default|0 final shared external mixin|10 enum typedef funcdef this super import from interface abstract|0 try catch protected explicit property",illegal:"(^using\\s+[A-Za-z0-9_\\.]+;$|\\bfunctions*[^\\(])",contains:[{className:"string",begin:"'",end:"'",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:"string",begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:"string",begin:'"""',end:'"""'},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{beginKeywords:"interface namespace",end:"{",illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]},{beginKeywords:"class",end:"{",illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+",contains:[{begin:"[:,]\\s*",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]}]}]},n,a,{className:"literal",begin:"\\b(null|true|false)"},{className:"number",begin:"(-?)(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?f?|\\.\\d+f?)([eE][-+]?\\d+f?)?)"}]}}}}]);
-//# sourceMappingURL=angelscript.js.map?v=104479551edd172ad73e \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[7],{374:function(e,n){e.exports=function(e){var n={className:"built_in",begin:"\\b(void|bool|int|int8|int16|int32|int64|uint|uint8|uint16|uint32|uint64|string|ref|array|double|float|auto|dictionary)"},a={className:"symbol",begin:"[a-zA-Z0-9_]+@"},i={className:"keyword",begin:"<",end:">",contains:[n,a]};return n.contains=[i],a.contains=[i],{aliases:["asc"],keywords:"for in|0 break continue while do|0 return if else case switch namespace is cast or and xor not get|0 in inout|10 out override set|0 private public const default|0 final shared external mixin|10 enum typedef funcdef this super import from interface abstract|0 try catch protected explicit property",illegal:"(^using\\s+[A-Za-z0-9_\\.]+;$|\\bfunctions*[^\\(])",contains:[{className:"string",begin:"'",end:"'",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:"string",begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:"string",begin:'"""',end:'"""'},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{beginKeywords:"interface namespace",end:"{",illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]},{beginKeywords:"class",end:"{",illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+",contains:[{begin:"[:,]\\s*",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]}]}]},n,a,{className:"literal",begin:"\\b(null|true|false)"},{className:"number",begin:"(-?)(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?f?|\\.\\d+f?)([eE][-+]?\\d+f?)?)"}]}}}}]);
+//# sourceMappingURL=angelscript.js.map?v=af2f947766977426f44f \ No newline at end of file
diff --git a/js/highlight/angelscript.js.map b/js/highlight/angelscript.js.map
index 312e72e25..22cb91436 100644
--- a/js/highlight/angelscript.js.map
+++ b/js/highlight/angelscript.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/angelscript.js"],"names":["module","exports","hljs","builtInTypeMode","className","begin","objectHandleMode","genericMode","end","contains","aliases","keywords","illegal","BACKSLASH_ESCAPE","relevance","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","beginKeywords"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAkB,CACpBC,UAAW,WACXC,MAAO,2HAGLC,EAAmB,CACrBF,UAAW,SACXC,MAAO,kBAGLE,EAAc,CAChBH,UAAW,UACXC,MAAO,IAAKG,IAAK,IACjBC,SAAU,CAAEN,EAAiBG,IAM/B,OAHAH,EAAgBM,SAAW,CAAEF,GAC7BD,EAAiBG,SAAW,CAAEF,GAEvB,CACLG,QAAS,CAAE,OAEXC,SACE,2SAMFC,QAAS,qDAETH,SAAU,CACR,CACEL,UAAW,SACXC,MAAO,IAAMG,IAAK,IAClBI,QAAS,MACTH,SAAU,CAAEP,EAAKW,kBACjBC,UAAW,GAGb,CACEV,UAAW,SACXC,MAAO,IAAKG,IAAK,IACjBI,QAAS,MACTH,SAAU,CAAEP,EAAKW,kBACjBC,UAAW,GAIb,CACEV,UAAW,SACXC,MAAO,MAAOG,IAAK,OAGrBN,EAAKa,oBACLb,EAAKc,qBAEL,CACEC,cAAe,sBAAuBT,IAAK,IAC3CI,QAAS,UACTH,SAAU,CACR,CACEL,UAAW,SACXC,MAAO,mBAKb,CACEY,cAAe,QAAST,IAAK,IAC7BI,QAAS,UACTH,SAAU,CACR,CACEL,UAAW,SACXC,MAAO,gBACPI,SAAU,CACR,CACEJ,MAAO,WACPI,SAAU,CACR,CACEL,UAAW,SACXC,MAAO,uBASrBF,EACAG,EAEA,CACEF,UAAW,UACXC,MAAO,wBAGT,CACED,UAAW,SACXC,MAAO","file":"highlight/angelscript.js?v=104479551edd172ad73e","sourcesContent":["module.exports = function(hljs) {\n var builtInTypeMode = {\n className: 'built_in',\n begin: '\\\\b(void|bool|int|int8|int16|int32|int64|uint|uint8|uint16|uint32|uint64|string|ref|array|double|float|auto|dictionary)'\n };\n\n var objectHandleMode = {\n className: 'symbol',\n begin: '[a-zA-Z0-9_]+@'\n };\n\n var genericMode = {\n className: 'keyword',\n begin: '<', end: '>',\n contains: [ builtInTypeMode, objectHandleMode ]\n };\n\n builtInTypeMode.contains = [ genericMode ];\n objectHandleMode.contains = [ genericMode ];\n\n return {\n aliases: [ 'asc' ],\n\n keywords:\n 'for in|0 break continue while do|0 return if else case switch namespace is cast ' +\n 'or and xor not get|0 in inout|10 out override set|0 private public const default|0 ' +\n 'final shared external mixin|10 enum typedef funcdef this super import from interface ' +\n 'abstract|0 try catch protected explicit property',\n\n // avoid close detection with C# and JS\n illegal: '(^using\\\\s+[A-Za-z0-9_\\\\.]+;$|\\\\bfunction\\s*[^\\\\(])',\n\n contains: [\n { // 'strings'\n className: 'string',\n begin: '\\'', end: '\\'',\n illegal: '\\\\n',\n contains: [ hljs.BACKSLASH_ESCAPE ],\n relevance: 0\n },\n\n { // \"strings\"\n className: 'string',\n begin: '\"', end: '\"',\n illegal: '\\\\n',\n contains: [ hljs.BACKSLASH_ESCAPE ],\n relevance: 0\n },\n\n // \"\"\"heredoc strings\"\"\"\n {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"'\n },\n\n hljs.C_LINE_COMMENT_MODE, // single-line comments\n hljs.C_BLOCK_COMMENT_MODE, // comment blocks\n\n { // interface or namespace declaration\n beginKeywords: 'interface namespace', end: '{',\n illegal: '[;.\\\\-]',\n contains: [\n { // interface or namespace name\n className: 'symbol',\n begin: '[a-zA-Z0-9_]+'\n }\n ]\n },\n\n { // class declaration\n beginKeywords: 'class', end: '{',\n illegal: '[;.\\\\-]',\n contains: [\n { // class name\n className: 'symbol',\n begin: '[a-zA-Z0-9_]+',\n contains: [\n {\n begin: '[:,]\\\\s*',\n contains: [\n {\n className: 'symbol',\n begin: '[a-zA-Z0-9_]+'\n }\n ]\n }\n ]\n }\n ]\n },\n\n builtInTypeMode, // built-in types\n objectHandleMode, // object handles\n\n { // literals\n className: 'literal',\n begin: '\\\\b(null|true|false)'\n },\n\n { // numbers\n className: 'number',\n begin: '(-?)(\\\\b0[xX][a-fA-F0-9]+|(\\\\b\\\\d+(\\\\.\\\\d*)?f?|\\\\.\\\\d+f?)([eE][-+]?\\\\d+f?)?)'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/angelscript.js"],"names":["module","exports","hljs","builtInTypeMode","className","begin","objectHandleMode","genericMode","end","contains","aliases","keywords","illegal","BACKSLASH_ESCAPE","relevance","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","beginKeywords"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAkB,CACpBC,UAAW,WACXC,MAAO,2HAGLC,EAAmB,CACrBF,UAAW,SACXC,MAAO,kBAGLE,EAAc,CAChBH,UAAW,UACXC,MAAO,IAAKG,IAAK,IACjBC,SAAU,CAAEN,EAAiBG,IAM/B,OAHAH,EAAgBM,SAAW,CAAEF,GAC7BD,EAAiBG,SAAW,CAAEF,GAEvB,CACLG,QAAS,CAAE,OAEXC,SACE,2SAMFC,QAAS,qDAETH,SAAU,CACR,CACEL,UAAW,SACXC,MAAO,IAAMG,IAAK,IAClBI,QAAS,MACTH,SAAU,CAAEP,EAAKW,kBACjBC,UAAW,GAGb,CACEV,UAAW,SACXC,MAAO,IAAKG,IAAK,IACjBI,QAAS,MACTH,SAAU,CAAEP,EAAKW,kBACjBC,UAAW,GAIb,CACEV,UAAW,SACXC,MAAO,MAAOG,IAAK,OAGrBN,EAAKa,oBACLb,EAAKc,qBAEL,CACEC,cAAe,sBAAuBT,IAAK,IAC3CI,QAAS,UACTH,SAAU,CACR,CACEL,UAAW,SACXC,MAAO,mBAKb,CACEY,cAAe,QAAST,IAAK,IAC7BI,QAAS,UACTH,SAAU,CACR,CACEL,UAAW,SACXC,MAAO,gBACPI,SAAU,CACR,CACEJ,MAAO,WACPI,SAAU,CACR,CACEL,UAAW,SACXC,MAAO,uBASrBF,EACAG,EAEA,CACEF,UAAW,UACXC,MAAO,wBAGT,CACED,UAAW,SACXC,MAAO","file":"highlight/angelscript.js?v=af2f947766977426f44f","sourcesContent":["module.exports = function(hljs) {\n var builtInTypeMode = {\n className: 'built_in',\n begin: '\\\\b(void|bool|int|int8|int16|int32|int64|uint|uint8|uint16|uint32|uint64|string|ref|array|double|float|auto|dictionary)'\n };\n\n var objectHandleMode = {\n className: 'symbol',\n begin: '[a-zA-Z0-9_]+@'\n };\n\n var genericMode = {\n className: 'keyword',\n begin: '<', end: '>',\n contains: [ builtInTypeMode, objectHandleMode ]\n };\n\n builtInTypeMode.contains = [ genericMode ];\n objectHandleMode.contains = [ genericMode ];\n\n return {\n aliases: [ 'asc' ],\n\n keywords:\n 'for in|0 break continue while do|0 return if else case switch namespace is cast ' +\n 'or and xor not get|0 in inout|10 out override set|0 private public const default|0 ' +\n 'final shared external mixin|10 enum typedef funcdef this super import from interface ' +\n 'abstract|0 try catch protected explicit property',\n\n // avoid close detection with C# and JS\n illegal: '(^using\\\\s+[A-Za-z0-9_\\\\.]+;$|\\\\bfunction\\s*[^\\\\(])',\n\n contains: [\n { // 'strings'\n className: 'string',\n begin: '\\'', end: '\\'',\n illegal: '\\\\n',\n contains: [ hljs.BACKSLASH_ESCAPE ],\n relevance: 0\n },\n\n { // \"strings\"\n className: 'string',\n begin: '\"', end: '\"',\n illegal: '\\\\n',\n contains: [ hljs.BACKSLASH_ESCAPE ],\n relevance: 0\n },\n\n // \"\"\"heredoc strings\"\"\"\n {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"'\n },\n\n hljs.C_LINE_COMMENT_MODE, // single-line comments\n hljs.C_BLOCK_COMMENT_MODE, // comment blocks\n\n { // interface or namespace declaration\n beginKeywords: 'interface namespace', end: '{',\n illegal: '[;.\\\\-]',\n contains: [\n { // interface or namespace name\n className: 'symbol',\n begin: '[a-zA-Z0-9_]+'\n }\n ]\n },\n\n { // class declaration\n beginKeywords: 'class', end: '{',\n illegal: '[;.\\\\-]',\n contains: [\n { // class name\n className: 'symbol',\n begin: '[a-zA-Z0-9_]+',\n contains: [\n {\n begin: '[:,]\\\\s*',\n contains: [\n {\n className: 'symbol',\n begin: '[a-zA-Z0-9_]+'\n }\n ]\n }\n ]\n }\n ]\n },\n\n builtInTypeMode, // built-in types\n objectHandleMode, // object handles\n\n { // literals\n className: 'literal',\n begin: '\\\\b(null|true|false)'\n },\n\n { // numbers\n className: 'number',\n begin: '(-?)(\\\\b0[xX][a-fA-F0-9]+|(\\\\b\\\\d+(\\\\.\\\\d*)?f?|\\\\.\\\\d+f?)([eE][-+]?\\\\d+f?)?)'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/apache.js b/js/highlight/apache.js
index d6310269f..c6692d922 100644
--- a/js/highlight/apache.js
+++ b/js/highlight/apache.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[8],{374:function(e,n){e.exports=function(e){var n={className:"number",begin:"[\\$%]\\d+"};return{aliases:["apacheconf"],case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:"section",begin:"</?",end:">"},{className:"attribute",begin:/\w+/,relevance:0,keywords:{nomarkup:"order deny allow setenv rewriterule rewriteengine rewritecond documentroot sethandler errordocument loadmodule options header listen serverroot servername"},starts:{end:/$/,relevance:0,keywords:{literal:"on off all"},contains:[{className:"meta",begin:"\\s\\[",end:"\\]$"},{className:"variable",begin:"[\\$%]\\{",end:"\\}",contains:["self",n]},n,e.QUOTE_STRING_MODE]}}],illegal:/\S/}}}}]);
-//# sourceMappingURL=apache.js.map?v=fbb59dc9fb3be5109bcc \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[8],{375:function(e,n){e.exports=function(e){var n={className:"number",begin:"[\\$%]\\d+"};return{aliases:["apacheconf"],case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:"section",begin:"</?",end:">"},{className:"attribute",begin:/\w+/,relevance:0,keywords:{nomarkup:"order deny allow setenv rewriterule rewriteengine rewritecond documentroot sethandler errordocument loadmodule options header listen serverroot servername"},starts:{end:/$/,relevance:0,keywords:{literal:"on off all"},contains:[{className:"meta",begin:"\\s\\[",end:"\\]$"},{className:"variable",begin:"[\\$%]\\{",end:"\\}",contains:["self",n]},n,e.QUOTE_STRING_MODE]}}],illegal:/\S/}}}}]);
+//# sourceMappingURL=apache.js.map?v=3a8af9aa690812789651 \ No newline at end of file
diff --git a/js/highlight/apache.js.map b/js/highlight/apache.js.map
index beb95fa62..e048d8b3b 100644
--- a/js/highlight/apache.js.map
+++ b/js/highlight/apache.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/apache.js"],"names":["module","exports","hljs","NUMBER","className","begin","aliases","case_insensitive","contains","HASH_COMMENT_MODE","end","relevance","keywords","nomarkup","starts","literal","QUOTE_STRING_MODE","illegal"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAS,CAACC,UAAW,SAAUC,MAAO,cAC1C,MAAO,CACLC,QAAS,CAAC,cACVC,kBAAkB,EAClBC,SAAU,CACRN,EAAKO,kBACL,CAACL,UAAW,UAAWC,MAAO,MAAOK,IAAK,KAC1C,CACEN,UAAW,YACXC,MAAO,MACPM,UAAW,EAGXC,SAAU,CACRC,SACE,8JAIJC,OAAQ,CACNJ,IAAK,IACLC,UAAW,EACXC,SAAU,CACRG,QAAS,cAEXP,SAAU,CACR,CACEJ,UAAW,OACXC,MAAO,SAAUK,IAAK,QAExB,CACEN,UAAW,WACXC,MAAO,YAAaK,IAAK,MACzBF,SAAU,CAAC,OAAQL,IAErBA,EACAD,EAAKc,sBAKbC,QAAS","file":"highlight/apache.js?v=fbb59dc9fb3be5109bcc","sourcesContent":["module.exports = function(hljs) {\n var NUMBER = {className: 'number', begin: '[\\\\$%]\\\\d+'};\n return {\n aliases: ['apacheconf'],\n case_insensitive: true,\n contains: [\n hljs.HASH_COMMENT_MODE,\n {className: 'section', begin: '</?', end: '>'},\n {\n className: 'attribute',\n begin: /\\w+/,\n relevance: 0,\n // keywords aren’t needed for highlighting per se, they only boost relevance\n // for a very generally defined mode (starts with a word, ends with line-end\n keywords: {\n nomarkup:\n 'order deny allow setenv rewriterule rewriteengine rewritecond documentroot ' +\n 'sethandler errordocument loadmodule options header listen serverroot ' +\n 'servername'\n },\n starts: {\n end: /$/,\n relevance: 0,\n keywords: {\n literal: 'on off all'\n },\n contains: [\n {\n className: 'meta',\n begin: '\\\\s\\\\[', end: '\\\\]$'\n },\n {\n className: 'variable',\n begin: '[\\\\$%]\\\\{', end: '\\\\}',\n contains: ['self', NUMBER]\n },\n NUMBER,\n hljs.QUOTE_STRING_MODE\n ]\n }\n }\n ],\n illegal: /\\S/\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/apache.js"],"names":["module","exports","hljs","NUMBER","className","begin","aliases","case_insensitive","contains","HASH_COMMENT_MODE","end","relevance","keywords","nomarkup","starts","literal","QUOTE_STRING_MODE","illegal"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAS,CAACC,UAAW,SAAUC,MAAO,cAC1C,MAAO,CACLC,QAAS,CAAC,cACVC,kBAAkB,EAClBC,SAAU,CACRN,EAAKO,kBACL,CAACL,UAAW,UAAWC,MAAO,MAAOK,IAAK,KAC1C,CACEN,UAAW,YACXC,MAAO,MACPM,UAAW,EAGXC,SAAU,CACRC,SACE,8JAIJC,OAAQ,CACNJ,IAAK,IACLC,UAAW,EACXC,SAAU,CACRG,QAAS,cAEXP,SAAU,CACR,CACEJ,UAAW,OACXC,MAAO,SAAUK,IAAK,QAExB,CACEN,UAAW,WACXC,MAAO,YAAaK,IAAK,MACzBF,SAAU,CAAC,OAAQL,IAErBA,EACAD,EAAKc,sBAKbC,QAAS","file":"highlight/apache.js?v=3a8af9aa690812789651","sourcesContent":["module.exports = function(hljs) {\n var NUMBER = {className: 'number', begin: '[\\\\$%]\\\\d+'};\n return {\n aliases: ['apacheconf'],\n case_insensitive: true,\n contains: [\n hljs.HASH_COMMENT_MODE,\n {className: 'section', begin: '</?', end: '>'},\n {\n className: 'attribute',\n begin: /\\w+/,\n relevance: 0,\n // keywords aren’t needed for highlighting per se, they only boost relevance\n // for a very generally defined mode (starts with a word, ends with line-end\n keywords: {\n nomarkup:\n 'order deny allow setenv rewriterule rewriteengine rewritecond documentroot ' +\n 'sethandler errordocument loadmodule options header listen serverroot ' +\n 'servername'\n },\n starts: {\n end: /$/,\n relevance: 0,\n keywords: {\n literal: 'on off all'\n },\n contains: [\n {\n className: 'meta',\n begin: '\\\\s\\\\[', end: '\\\\]$'\n },\n {\n className: 'variable',\n begin: '[\\\\$%]\\\\{', end: '\\\\}',\n contains: ['self', NUMBER]\n },\n NUMBER,\n hljs.QUOTE_STRING_MODE\n ]\n }\n }\n ],\n illegal: /\\S/\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/applescript.js b/js/highlight/applescript.js
index 8585d2ecc..ff6f0494c 100644
--- a/js/highlight/applescript.js
+++ b/js/highlight/applescript.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[9],{375:function(e,t){e.exports=function(e){var t=e.inherit(e.QUOTE_STRING_MODE,{illegal:""}),n={className:"params",begin:"\\(",end:"\\)",contains:["self",e.C_NUMBER_MODE,t]},o=e.COMMENT("--","$"),r=[o,e.COMMENT("\\(\\*","\\*\\)",{contains:["self",o]}),e.HASH_COMMENT_MODE];return{aliases:["osascript"],keywords:{keyword:"about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without",literal:"AppleScript false linefeed return pi quote result space tab true",built_in:"alias application boolean class constant date file integer list number real record string text activate beep count delay launch log offset read round run say summarize write character characters contents day frontmost id item length month name paragraph paragraphs rest reverse running time version weekday word words year"},contains:[t,e.C_NUMBER_MODE,{className:"built_in",begin:"\\b(clipboard info|the clipboard|info for|list (disks|folder)|mount volume|path to|(close|open for) access|(get|set) eof|current date|do shell script|get volume settings|random number|set volume|system attribute|system info|time to GMT|(load|run|store) script|scripting components|ASCII (character|number)|localized string|choose (application|color|file|file name|folder|from list|remote application|URL)|display (alert|dialog))\\b|^\\s*return\\b"},{className:"literal",begin:"\\b(text item delimiters|current application|missing value)\\b"},{className:"keyword",begin:"\\b(apart from|aside from|instead of|out of|greater than|isn't|(doesn't|does not) (equal|come before|come after|contain)|(greater|less) than( or equal)?|(starts?|ends|begins?) with|contained by|comes (before|after)|a (ref|reference)|POSIX file|POSIX path|(date|time) string|quoted form)\\b"},{beginKeywords:"on",illegal:"[${=;\\n]",contains:[e.UNDERSCORE_TITLE_MODE,n]}].concat(r),illegal:"//|->|=>|\\[\\["}}}}]);
-//# sourceMappingURL=applescript.js.map?v=5e2e0f261e76c85d3a9d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[9],{376:function(e,t){e.exports=function(e){var t=e.inherit(e.QUOTE_STRING_MODE,{illegal:""}),n={className:"params",begin:"\\(",end:"\\)",contains:["self",e.C_NUMBER_MODE,t]},o=e.COMMENT("--","$"),r=[o,e.COMMENT("\\(\\*","\\*\\)",{contains:["self",o]}),e.HASH_COMMENT_MODE];return{aliases:["osascript"],keywords:{keyword:"about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without",literal:"AppleScript false linefeed return pi quote result space tab true",built_in:"alias application boolean class constant date file integer list number real record string text activate beep count delay launch log offset read round run say summarize write character characters contents day frontmost id item length month name paragraph paragraphs rest reverse running time version weekday word words year"},contains:[t,e.C_NUMBER_MODE,{className:"built_in",begin:"\\b(clipboard info|the clipboard|info for|list (disks|folder)|mount volume|path to|(close|open for) access|(get|set) eof|current date|do shell script|get volume settings|random number|set volume|system attribute|system info|time to GMT|(load|run|store) script|scripting components|ASCII (character|number)|localized string|choose (application|color|file|file name|folder|from list|remote application|URL)|display (alert|dialog))\\b|^\\s*return\\b"},{className:"literal",begin:"\\b(text item delimiters|current application|missing value)\\b"},{className:"keyword",begin:"\\b(apart from|aside from|instead of|out of|greater than|isn't|(doesn't|does not) (equal|come before|come after|contain)|(greater|less) than( or equal)?|(starts?|ends|begins?) with|contained by|comes (before|after)|a (ref|reference)|POSIX file|POSIX path|(date|time) string|quoted form)\\b"},{beginKeywords:"on",illegal:"[${=;\\n]",contains:[e.UNDERSCORE_TITLE_MODE,n]}].concat(r),illegal:"//|->|=>|\\[\\["}}}}]);
+//# sourceMappingURL=applescript.js.map?v=3fa6a2dd879cbdba71ff \ No newline at end of file
diff --git a/js/highlight/applescript.js.map b/js/highlight/applescript.js.map
index 359833d27..cdab7edf0 100644
--- a/js/highlight/applescript.js.map
+++ b/js/highlight/applescript.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/applescript.js"],"names":["module","exports","hljs","STRING","inherit","QUOTE_STRING_MODE","illegal","PARAMS","className","begin","end","contains","C_NUMBER_MODE","COMMENT_MODE_1","COMMENT","COMMENTS","HASH_COMMENT_MODE","aliases","keywords","keyword","literal","built_in","beginKeywords","UNDERSCORE_TITLE_MODE","concat"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAASD,EAAKE,QAAQF,EAAKG,kBAAmB,CAACC,QAAS,KACxDC,EAAS,CACXC,UAAW,SACXC,MAAO,MAAOC,IAAK,MACnBC,SAAU,CAAC,OAAQT,EAAKU,cAAeT,IAErCU,EAAiBX,EAAKY,QAAQ,KAAM,KAQpCC,EAAW,CACbF,EARmBX,EAAKY,QACxB,SACA,SACA,CACEH,SAAU,CAAC,OAAQE,KAMrBX,EAAKc,mBAGP,MAAO,CACLC,QAAS,CAAC,aACVC,SAAU,CACRC,QACE,0iBAUFC,QACE,mEACFC,SACE,sUAQJV,SAAU,CACRR,EACAD,EAAKU,cACL,CACEJ,UAAW,WACXC,MACE,kcAUJ,CACED,UAAW,UACXC,MACE,kEAEJ,CACED,UAAW,UACXC,MACE,qSAMJ,CACEa,cAAe,KACfhB,QAAS,YACTK,SAAU,CAACT,EAAKqB,sBAAuBhB,KAEzCiB,OAAOT,GACTT,QAAS","file":"highlight/applescript.js?v=5e2e0f261e76c85d3a9d","sourcesContent":["module.exports = function(hljs) {\n var STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: ''});\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n contains: ['self', hljs.C_NUMBER_MODE, STRING]\n };\n var COMMENT_MODE_1 = hljs.COMMENT('--', '$');\n var COMMENT_MODE_2 = hljs.COMMENT(\n '\\\\(\\\\*',\n '\\\\*\\\\)',\n {\n contains: ['self', COMMENT_MODE_1] //allow nesting\n }\n );\n var COMMENTS = [\n COMMENT_MODE_1,\n COMMENT_MODE_2,\n hljs.HASH_COMMENT_MODE\n ];\n\n return {\n aliases: ['osascript'],\n keywords: {\n keyword:\n 'about above after against and around as at back before beginning ' +\n 'behind below beneath beside between but by considering ' +\n 'contain contains continue copy div does eighth else end equal ' +\n 'equals error every exit fifth first for fourth from front ' +\n 'get given global if ignoring in into is it its last local me ' +\n 'middle mod my ninth not of on onto or over prop property put ref ' +\n 'reference repeat returning script second set seventh since ' +\n 'sixth some tell tenth that the|0 then third through thru ' +\n 'timeout times to transaction try until where while whose with ' +\n 'without',\n literal:\n 'AppleScript false linefeed return pi quote result space tab true',\n built_in:\n 'alias application boolean class constant date file integer list ' +\n 'number real record string text ' +\n 'activate beep count delay launch log offset read round ' +\n 'run say summarize write ' +\n 'character characters contents day frontmost id item length ' +\n 'month name paragraph paragraphs rest reverse running time version ' +\n 'weekday word words year'\n },\n contains: [\n STRING,\n hljs.C_NUMBER_MODE,\n {\n className: 'built_in',\n begin:\n '\\\\b(clipboard info|the clipboard|info for|list (disks|folder)|' +\n 'mount volume|path to|(close|open for) access|(get|set) eof|' +\n 'current date|do shell script|get volume settings|random number|' +\n 'set volume|system attribute|system info|time to GMT|' +\n '(load|run|store) script|scripting components|' +\n 'ASCII (character|number)|localized string|' +\n 'choose (application|color|file|file name|' +\n 'folder|from list|remote application|URL)|' +\n 'display (alert|dialog))\\\\b|^\\\\s*return\\\\b'\n },\n {\n className: 'literal',\n begin:\n '\\\\b(text item delimiters|current application|missing value)\\\\b'\n },\n {\n className: 'keyword',\n begin:\n '\\\\b(apart from|aside from|instead of|out of|greater than|' +\n \"isn't|(doesn't|does not) (equal|come before|come after|contain)|\" +\n '(greater|less) than( or equal)?|(starts?|ends|begins?) with|' +\n 'contained by|comes (before|after)|a (ref|reference)|POSIX file|' +\n 'POSIX path|(date|time) string|quoted form)\\\\b'\n },\n {\n beginKeywords: 'on',\n illegal: '[${=;\\\\n]',\n contains: [hljs.UNDERSCORE_TITLE_MODE, PARAMS]\n }\n ].concat(COMMENTS),\n illegal: '//|->|=>|\\\\[\\\\['\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/applescript.js"],"names":["module","exports","hljs","STRING","inherit","QUOTE_STRING_MODE","illegal","PARAMS","className","begin","end","contains","C_NUMBER_MODE","COMMENT_MODE_1","COMMENT","COMMENTS","HASH_COMMENT_MODE","aliases","keywords","keyword","literal","built_in","beginKeywords","UNDERSCORE_TITLE_MODE","concat"],"mappings":"mFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAASD,EAAKE,QAAQF,EAAKG,kBAAmB,CAACC,QAAS,KACxDC,EAAS,CACXC,UAAW,SACXC,MAAO,MAAOC,IAAK,MACnBC,SAAU,CAAC,OAAQT,EAAKU,cAAeT,IAErCU,EAAiBX,EAAKY,QAAQ,KAAM,KAQpCC,EAAW,CACbF,EARmBX,EAAKY,QACxB,SACA,SACA,CACEH,SAAU,CAAC,OAAQE,KAMrBX,EAAKc,mBAGP,MAAO,CACLC,QAAS,CAAC,aACVC,SAAU,CACRC,QACE,0iBAUFC,QACE,mEACFC,SACE,sUAQJV,SAAU,CACRR,EACAD,EAAKU,cACL,CACEJ,UAAW,WACXC,MACE,kcAUJ,CACED,UAAW,UACXC,MACE,kEAEJ,CACED,UAAW,UACXC,MACE,qSAMJ,CACEa,cAAe,KACfhB,QAAS,YACTK,SAAU,CAACT,EAAKqB,sBAAuBhB,KAEzCiB,OAAOT,GACTT,QAAS","file":"highlight/applescript.js?v=3fa6a2dd879cbdba71ff","sourcesContent":["module.exports = function(hljs) {\n var STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: ''});\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n contains: ['self', hljs.C_NUMBER_MODE, STRING]\n };\n var COMMENT_MODE_1 = hljs.COMMENT('--', '$');\n var COMMENT_MODE_2 = hljs.COMMENT(\n '\\\\(\\\\*',\n '\\\\*\\\\)',\n {\n contains: ['self', COMMENT_MODE_1] //allow nesting\n }\n );\n var COMMENTS = [\n COMMENT_MODE_1,\n COMMENT_MODE_2,\n hljs.HASH_COMMENT_MODE\n ];\n\n return {\n aliases: ['osascript'],\n keywords: {\n keyword:\n 'about above after against and around as at back before beginning ' +\n 'behind below beneath beside between but by considering ' +\n 'contain contains continue copy div does eighth else end equal ' +\n 'equals error every exit fifth first for fourth from front ' +\n 'get given global if ignoring in into is it its last local me ' +\n 'middle mod my ninth not of on onto or over prop property put ref ' +\n 'reference repeat returning script second set seventh since ' +\n 'sixth some tell tenth that the|0 then third through thru ' +\n 'timeout times to transaction try until where while whose with ' +\n 'without',\n literal:\n 'AppleScript false linefeed return pi quote result space tab true',\n built_in:\n 'alias application boolean class constant date file integer list ' +\n 'number real record string text ' +\n 'activate beep count delay launch log offset read round ' +\n 'run say summarize write ' +\n 'character characters contents day frontmost id item length ' +\n 'month name paragraph paragraphs rest reverse running time version ' +\n 'weekday word words year'\n },\n contains: [\n STRING,\n hljs.C_NUMBER_MODE,\n {\n className: 'built_in',\n begin:\n '\\\\b(clipboard info|the clipboard|info for|list (disks|folder)|' +\n 'mount volume|path to|(close|open for) access|(get|set) eof|' +\n 'current date|do shell script|get volume settings|random number|' +\n 'set volume|system attribute|system info|time to GMT|' +\n '(load|run|store) script|scripting components|' +\n 'ASCII (character|number)|localized string|' +\n 'choose (application|color|file|file name|' +\n 'folder|from list|remote application|URL)|' +\n 'display (alert|dialog))\\\\b|^\\\\s*return\\\\b'\n },\n {\n className: 'literal',\n begin:\n '\\\\b(text item delimiters|current application|missing value)\\\\b'\n },\n {\n className: 'keyword',\n begin:\n '\\\\b(apart from|aside from|instead of|out of|greater than|' +\n \"isn't|(doesn't|does not) (equal|come before|come after|contain)|\" +\n '(greater|less) than( or equal)?|(starts?|ends|begins?) with|' +\n 'contained by|comes (before|after)|a (ref|reference)|POSIX file|' +\n 'POSIX path|(date|time) string|quoted form)\\\\b'\n },\n {\n beginKeywords: 'on',\n illegal: '[${=;\\\\n]',\n contains: [hljs.UNDERSCORE_TITLE_MODE, PARAMS]\n }\n ].concat(COMMENTS),\n illegal: '//|->|=>|\\\\[\\\\['\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/arcade.js b/js/highlight/arcade.js
index c6b9b13f4..0369011ae 100644
--- a/js/highlight/arcade.js
+++ b/js/highlight/arcade.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[10],{376:function(e,n){e.exports=function(e){var n="[A-Za-z_][0-9A-Za-z_]*",a={keyword:"if for while var new function do return void else break",literal:"BackSlash DoubleQuote false ForwardSlash Infinity NaN NewLine null PI SingleQuote Tab TextFormatting true undefined",built_in:"Abs Acos Angle Attachments Area AreaGeodetic Asin Atan Atan2 Average Bearing Boolean Buffer BufferGeodetic Ceil Centroid Clip Console Constrain Contains Cos Count Crosses Cut Date DateAdd DateDiff Day Decode DefaultValue Dictionary Difference Disjoint Distance DistanceGeodetic Distinct DomainCode DomainName Equals Exp Extent Feature FeatureSet FeatureSetByAssociation FeatureSetById FeatureSetByPortalItem FeatureSetByRelationshipName FeatureSetByTitle FeatureSetByUrl Filter First Floor Geometry GroupBy Guid HasKey Hour IIf IndexOf Intersection Intersects IsEmpty IsNan IsSelfIntersecting Length LengthGeodetic Log Max Mean Millisecond Min Minute Month MultiPartToSinglePart Multipoint NextSequenceValue Now Number OrderBy Overlaps Point Polygon Polyline Portal Pow Random Relate Reverse RingIsClockWise Round Second SetGeometry Sin Sort Sqrt Stdev Sum SymmetricDifference Tan Text Timestamp Today ToLocal Top Touches ToUTC TrackCurrentTime TrackGeometryWindow TrackIndex TrackStartTime TrackWindow TypeOf Union UrlEncode Variance Weekday When Within Year "},t={className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:e.C_NUMBER_RE}],relevance:0},i={className:"subst",begin:"\\$\\{",end:"\\}",keywords:a,contains:[]},r={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,i]};i.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,r,t,e.REGEXP_MODE];var o=i.contains.concat([e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]);return{aliases:["arcade"],keywords:a,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,r,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"symbol",begin:"\\$[datastore|feature|layer|map|measure|sourcefeature|sourcelayer|targetfeature|targetlayer|value|view]+"},t,{begin:/[{,]\s*/,relevance:0,contains:[{begin:n+"\\s*:",returnBegin:!0,relevance:0,contains:[{className:"attr",begin:n,relevance:0}]}]},{begin:"("+e.RE_STARTERS_RE+"|\\b(return)\\b)\\s*",keywords:"return",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+n+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:n},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:a,contains:o}]}]}],relevance:0},{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:n}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:o}],illegal:/\[|%/},{begin:/\$[(.]/}],illegal:/#(?!!)/}}}}]);
-//# sourceMappingURL=arcade.js.map?v=f349d212d16773240eeb \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[10],{377:function(e,n){e.exports=function(e){var n="[A-Za-z_][0-9A-Za-z_]*",a={keyword:"if for while var new function do return void else break",literal:"BackSlash DoubleQuote false ForwardSlash Infinity NaN NewLine null PI SingleQuote Tab TextFormatting true undefined",built_in:"Abs Acos Angle Attachments Area AreaGeodetic Asin Atan Atan2 Average Bearing Boolean Buffer BufferGeodetic Ceil Centroid Clip Console Constrain Contains Cos Count Crosses Cut Date DateAdd DateDiff Day Decode DefaultValue Dictionary Difference Disjoint Distance DistanceGeodetic Distinct DomainCode DomainName Equals Exp Extent Feature FeatureSet FeatureSetByAssociation FeatureSetById FeatureSetByPortalItem FeatureSetByRelationshipName FeatureSetByTitle FeatureSetByUrl Filter First Floor Geometry GroupBy Guid HasKey Hour IIf IndexOf Intersection Intersects IsEmpty IsNan IsSelfIntersecting Length LengthGeodetic Log Max Mean Millisecond Min Minute Month MultiPartToSinglePart Multipoint NextSequenceValue Now Number OrderBy Overlaps Point Polygon Polyline Portal Pow Random Relate Reverse RingIsClockWise Round Second SetGeometry Sin Sort Sqrt Stdev Sum SymmetricDifference Tan Text Timestamp Today ToLocal Top Touches ToUTC TrackCurrentTime TrackGeometryWindow TrackIndex TrackStartTime TrackWindow TypeOf Union UrlEncode Variance Weekday When Within Year "},t={className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:e.C_NUMBER_RE}],relevance:0},i={className:"subst",begin:"\\$\\{",end:"\\}",keywords:a,contains:[]},r={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,i]};i.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,r,t,e.REGEXP_MODE];var o=i.contains.concat([e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]);return{aliases:["arcade"],keywords:a,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,r,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"symbol",begin:"\\$[datastore|feature|layer|map|measure|sourcefeature|sourcelayer|targetfeature|targetlayer|value|view]+"},t,{begin:/[{,]\s*/,relevance:0,contains:[{begin:n+"\\s*:",returnBegin:!0,relevance:0,contains:[{className:"attr",begin:n,relevance:0}]}]},{begin:"("+e.RE_STARTERS_RE+"|\\b(return)\\b)\\s*",keywords:"return",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+n+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:n},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:a,contains:o}]}]}],relevance:0},{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:n}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:o}],illegal:/\[|%/},{begin:/\$[(.]/}],illegal:/#(?!!)/}}}}]);
+//# sourceMappingURL=arcade.js.map?v=24c8182859e17c1c1fca \ No newline at end of file
diff --git a/js/highlight/arcade.js.map b/js/highlight/arcade.js.map
index ac374814e..8b375cf66 100644
--- a/js/highlight/arcade.js.map
+++ b/js/highlight/arcade.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/arcade.js"],"names":["module","exports","hljs","IDENT_RE","KEYWORDS","keyword","literal","built_in","NUMBER","className","variants","begin","C_NUMBER_RE","relevance","SUBST","end","keywords","contains","TEMPLATE_STRING","BACKSLASH_ESCAPE","APOS_STRING_MODE","QUOTE_STRING_MODE","REGEXP_MODE","PARAMS_CONTAINS","concat","C_BLOCK_COMMENT_MODE","C_LINE_COMMENT_MODE","aliases","returnBegin","RE_STARTERS_RE","excludeBegin","excludeEnd","beginKeywords","inherit","TITLE_MODE","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,yBACXC,EAAW,CACbC,QACE,0DACFC,QACE,sHACFC,SACE,wiCAiBAC,EAAS,CACXC,UAAW,SACXC,SAAU,CACR,CAAEC,MAAO,mBACT,CAAEA,MAAO,oBACT,CAAEA,MAAOT,EAAKU,cAEhBC,UAAW,GAETC,EAAQ,CACVL,UAAW,QACXE,MAAO,SAAUI,IAAK,MACtBC,SAAUZ,EACVa,SAAU,IAERC,EAAkB,CACpBT,UAAW,SACXE,MAAO,IAAKI,IAAK,IACjBE,SAAU,CACRf,EAAKiB,iBACLL,IAGJA,EAAMG,SAAW,CACff,EAAKkB,iBACLlB,EAAKmB,kBACLH,EACAV,EACAN,EAAKoB,aAEP,IAAIC,EAAkBT,EAAMG,SAASO,OAAO,CAC1CtB,EAAKuB,qBACLvB,EAAKwB,sBAGP,MAAO,CACLC,QAAS,CAAC,UACVX,SAAUZ,EACVa,SAAU,CACRf,EAAKkB,iBACLlB,EAAKmB,kBACLH,EACAhB,EAAKwB,oBACLxB,EAAKuB,qBA/CI,CACXhB,UAAW,SACXE,MAAO,4GA+CLH,EACA,CACEG,MAAO,UAAWE,UAAW,EAC7BI,SAAU,CACR,CACEN,MAAOR,EAAW,QAASyB,aAAa,EACxCf,UAAW,EACXI,SAAU,CAAC,CAACR,UAAW,OAAQE,MAAOR,EAAUU,UAAW,OAIjE,CACEF,MAAO,IAAMT,EAAK2B,eAAiB,uBACnCb,SAAU,SACVC,SAAU,CACRf,EAAKwB,oBACLxB,EAAKuB,qBACLvB,EAAKoB,YACL,CACEb,UAAW,WACXE,MAAO,cAAgBR,EAAW,UAAWyB,aAAa,EAC1Db,IAAK,SACLE,SAAU,CACR,CACER,UAAW,SACXC,SAAU,CACR,CACEC,MAAOR,GAET,CACEQ,MAAO,WAET,CACEA,MAAO,KAAMI,IAAK,KAClBe,cAAc,EAAMC,YAAY,EAChCf,SAAUZ,EACVa,SAAUM,QAOtBV,UAAW,GAEb,CACEJ,UAAW,WACXuB,cAAe,WAAYjB,IAAK,KAAMgB,YAAY,EAClDd,SAAU,CACRf,EAAK+B,QAAQ/B,EAAKgC,WAAY,CAACvB,MAAOR,IACtC,CACEM,UAAW,SACXE,MAAO,KAAMI,IAAK,KAClBe,cAAc,EACdC,YAAY,EACZd,SAAUM,IAGdY,QAAS,QAEX,CACExB,MAAO,WAGXwB,QAAS","file":"highlight/arcade.js?v=f349d212d16773240eeb","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[A-Za-z_][0-9A-Za-z_]*';\n var KEYWORDS = {\n keyword:\n 'if for while var new function do return void else break',\n literal:\n 'BackSlash DoubleQuote false ForwardSlash Infinity NaN NewLine null PI SingleQuote Tab TextFormatting true undefined',\n built_in:\n 'Abs Acos Angle Attachments Area AreaGeodetic Asin Atan Atan2 Average Bearing Boolean Buffer BufferGeodetic ' +\n 'Ceil Centroid Clip Console Constrain Contains Cos Count Crosses Cut Date DateAdd ' +\n 'DateDiff Day Decode DefaultValue Dictionary Difference Disjoint Distance DistanceGeodetic Distinct ' +\n 'DomainCode DomainName Equals Exp Extent Feature FeatureSet FeatureSetByAssociation FeatureSetById FeatureSetByPortalItem ' +\n 'FeatureSetByRelationshipName FeatureSetByTitle FeatureSetByUrl Filter First Floor Geometry GroupBy Guid HasKey Hour IIf IndexOf ' +\n 'Intersection Intersects IsEmpty IsNan IsSelfIntersecting Length LengthGeodetic Log Max Mean Millisecond Min Minute Month ' +\n 'MultiPartToSinglePart Multipoint NextSequenceValue Now Number OrderBy Overlaps Point Polygon ' +\n 'Polyline Portal Pow Random Relate Reverse RingIsClockWise Round Second SetGeometry Sin Sort Sqrt Stdev Sum ' +\n 'SymmetricDifference Tan Text Timestamp Today ToLocal Top Touches ToUTC TrackCurrentTime ' +\n 'TrackGeometryWindow TrackIndex TrackStartTime TrackWindow TypeOf Union UrlEncode Variance ' +\n 'Weekday When Within Year '\n };\n var EXPRESSIONS;\n var SYMBOL = {\n className: 'symbol',\n begin: '\\\\$[datastore|feature|layer|map|measure|sourcefeature|sourcelayer|targetfeature|targetlayer|value|view]+'\n };\n var NUMBER = {\n className: 'number',\n variants: [\n { begin: '\\\\b(0[bB][01]+)' },\n { begin: '\\\\b(0[oO][0-7]+)' },\n { begin: hljs.C_NUMBER_RE }\n ],\n relevance: 0\n };\n var SUBST = {\n className: 'subst',\n begin: '\\\\$\\\\{', end: '\\\\}',\n keywords: KEYWORDS,\n contains: [] // defined later\n };\n var TEMPLATE_STRING = {\n className: 'string',\n begin: '`', end: '`',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ]\n };\n SUBST.contains = [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n TEMPLATE_STRING,\n NUMBER,\n hljs.REGEXP_MODE\n ];\n var PARAMS_CONTAINS = SUBST.contains.concat([\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_LINE_COMMENT_MODE\n ]);\n\n return {\n aliases: ['arcade'],\n keywords: KEYWORDS,\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n TEMPLATE_STRING,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n SYMBOL,\n NUMBER,\n { // object attr container\n begin: /[{,]\\s*/, relevance: 0,\n contains: [\n {\n begin: IDENT_RE + '\\\\s*:', returnBegin: true,\n relevance: 0,\n contains: [{className: 'attr', begin: IDENT_RE, relevance: 0}]\n }\n ]\n },\n { // \"value\" container\n begin: '(' + hljs.RE_STARTERS_RE + '|\\\\b(return)\\\\b)\\\\s*',\n keywords: 'return',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.REGEXP_MODE,\n {\n className: 'function',\n begin: '(\\\\(.*?\\\\)|' + IDENT_RE + ')\\\\s*=>', returnBegin: true,\n end: '\\\\s*=>',\n contains: [\n {\n className: 'params',\n variants: [\n {\n begin: IDENT_RE\n },\n {\n begin: /\\(\\s*\\)/,\n },\n {\n begin: /\\(/, end: /\\)/,\n excludeBegin: true, excludeEnd: true,\n keywords: KEYWORDS,\n contains: PARAMS_CONTAINS\n }\n ]\n }\n ]\n }\n ],\n relevance: 0\n },\n {\n className: 'function',\n beginKeywords: 'function', end: /\\{/, excludeEnd: true,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: IDENT_RE}),\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n contains: PARAMS_CONTAINS\n }\n ],\n illegal: /\\[|%/\n },\n {\n begin: /\\$[(.]/\n }\n ],\n illegal: /#(?!!)/\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/arcade.js"],"names":["module","exports","hljs","IDENT_RE","KEYWORDS","keyword","literal","built_in","NUMBER","className","variants","begin","C_NUMBER_RE","relevance","SUBST","end","keywords","contains","TEMPLATE_STRING","BACKSLASH_ESCAPE","APOS_STRING_MODE","QUOTE_STRING_MODE","REGEXP_MODE","PARAMS_CONTAINS","concat","C_BLOCK_COMMENT_MODE","C_LINE_COMMENT_MODE","aliases","returnBegin","RE_STARTERS_RE","excludeBegin","excludeEnd","beginKeywords","inherit","TITLE_MODE","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,yBACXC,EAAW,CACbC,QACE,0DACFC,QACE,sHACFC,SACE,wiCAiBAC,EAAS,CACXC,UAAW,SACXC,SAAU,CACR,CAAEC,MAAO,mBACT,CAAEA,MAAO,oBACT,CAAEA,MAAOT,EAAKU,cAEhBC,UAAW,GAETC,EAAQ,CACVL,UAAW,QACXE,MAAO,SAAUI,IAAK,MACtBC,SAAUZ,EACVa,SAAU,IAERC,EAAkB,CACpBT,UAAW,SACXE,MAAO,IAAKI,IAAK,IACjBE,SAAU,CACRf,EAAKiB,iBACLL,IAGJA,EAAMG,SAAW,CACff,EAAKkB,iBACLlB,EAAKmB,kBACLH,EACAV,EACAN,EAAKoB,aAEP,IAAIC,EAAkBT,EAAMG,SAASO,OAAO,CAC1CtB,EAAKuB,qBACLvB,EAAKwB,sBAGP,MAAO,CACLC,QAAS,CAAC,UACVX,SAAUZ,EACVa,SAAU,CACRf,EAAKkB,iBACLlB,EAAKmB,kBACLH,EACAhB,EAAKwB,oBACLxB,EAAKuB,qBA/CI,CACXhB,UAAW,SACXE,MAAO,4GA+CLH,EACA,CACEG,MAAO,UAAWE,UAAW,EAC7BI,SAAU,CACR,CACEN,MAAOR,EAAW,QAASyB,aAAa,EACxCf,UAAW,EACXI,SAAU,CAAC,CAACR,UAAW,OAAQE,MAAOR,EAAUU,UAAW,OAIjE,CACEF,MAAO,IAAMT,EAAK2B,eAAiB,uBACnCb,SAAU,SACVC,SAAU,CACRf,EAAKwB,oBACLxB,EAAKuB,qBACLvB,EAAKoB,YACL,CACEb,UAAW,WACXE,MAAO,cAAgBR,EAAW,UAAWyB,aAAa,EAC1Db,IAAK,SACLE,SAAU,CACR,CACER,UAAW,SACXC,SAAU,CACR,CACEC,MAAOR,GAET,CACEQ,MAAO,WAET,CACEA,MAAO,KAAMI,IAAK,KAClBe,cAAc,EAAMC,YAAY,EAChCf,SAAUZ,EACVa,SAAUM,QAOtBV,UAAW,GAEb,CACEJ,UAAW,WACXuB,cAAe,WAAYjB,IAAK,KAAMgB,YAAY,EAClDd,SAAU,CACRf,EAAK+B,QAAQ/B,EAAKgC,WAAY,CAACvB,MAAOR,IACtC,CACEM,UAAW,SACXE,MAAO,KAAMI,IAAK,KAClBe,cAAc,EACdC,YAAY,EACZd,SAAUM,IAGdY,QAAS,QAEX,CACExB,MAAO,WAGXwB,QAAS","file":"highlight/arcade.js?v=24c8182859e17c1c1fca","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[A-Za-z_][0-9A-Za-z_]*';\n var KEYWORDS = {\n keyword:\n 'if for while var new function do return void else break',\n literal:\n 'BackSlash DoubleQuote false ForwardSlash Infinity NaN NewLine null PI SingleQuote Tab TextFormatting true undefined',\n built_in:\n 'Abs Acos Angle Attachments Area AreaGeodetic Asin Atan Atan2 Average Bearing Boolean Buffer BufferGeodetic ' +\n 'Ceil Centroid Clip Console Constrain Contains Cos Count Crosses Cut Date DateAdd ' +\n 'DateDiff Day Decode DefaultValue Dictionary Difference Disjoint Distance DistanceGeodetic Distinct ' +\n 'DomainCode DomainName Equals Exp Extent Feature FeatureSet FeatureSetByAssociation FeatureSetById FeatureSetByPortalItem ' +\n 'FeatureSetByRelationshipName FeatureSetByTitle FeatureSetByUrl Filter First Floor Geometry GroupBy Guid HasKey Hour IIf IndexOf ' +\n 'Intersection Intersects IsEmpty IsNan IsSelfIntersecting Length LengthGeodetic Log Max Mean Millisecond Min Minute Month ' +\n 'MultiPartToSinglePart Multipoint NextSequenceValue Now Number OrderBy Overlaps Point Polygon ' +\n 'Polyline Portal Pow Random Relate Reverse RingIsClockWise Round Second SetGeometry Sin Sort Sqrt Stdev Sum ' +\n 'SymmetricDifference Tan Text Timestamp Today ToLocal Top Touches ToUTC TrackCurrentTime ' +\n 'TrackGeometryWindow TrackIndex TrackStartTime TrackWindow TypeOf Union UrlEncode Variance ' +\n 'Weekday When Within Year '\n };\n var EXPRESSIONS;\n var SYMBOL = {\n className: 'symbol',\n begin: '\\\\$[datastore|feature|layer|map|measure|sourcefeature|sourcelayer|targetfeature|targetlayer|value|view]+'\n };\n var NUMBER = {\n className: 'number',\n variants: [\n { begin: '\\\\b(0[bB][01]+)' },\n { begin: '\\\\b(0[oO][0-7]+)' },\n { begin: hljs.C_NUMBER_RE }\n ],\n relevance: 0\n };\n var SUBST = {\n className: 'subst',\n begin: '\\\\$\\\\{', end: '\\\\}',\n keywords: KEYWORDS,\n contains: [] // defined later\n };\n var TEMPLATE_STRING = {\n className: 'string',\n begin: '`', end: '`',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ]\n };\n SUBST.contains = [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n TEMPLATE_STRING,\n NUMBER,\n hljs.REGEXP_MODE\n ];\n var PARAMS_CONTAINS = SUBST.contains.concat([\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_LINE_COMMENT_MODE\n ]);\n\n return {\n aliases: ['arcade'],\n keywords: KEYWORDS,\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n TEMPLATE_STRING,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n SYMBOL,\n NUMBER,\n { // object attr container\n begin: /[{,]\\s*/, relevance: 0,\n contains: [\n {\n begin: IDENT_RE + '\\\\s*:', returnBegin: true,\n relevance: 0,\n contains: [{className: 'attr', begin: IDENT_RE, relevance: 0}]\n }\n ]\n },\n { // \"value\" container\n begin: '(' + hljs.RE_STARTERS_RE + '|\\\\b(return)\\\\b)\\\\s*',\n keywords: 'return',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.REGEXP_MODE,\n {\n className: 'function',\n begin: '(\\\\(.*?\\\\)|' + IDENT_RE + ')\\\\s*=>', returnBegin: true,\n end: '\\\\s*=>',\n contains: [\n {\n className: 'params',\n variants: [\n {\n begin: IDENT_RE\n },\n {\n begin: /\\(\\s*\\)/,\n },\n {\n begin: /\\(/, end: /\\)/,\n excludeBegin: true, excludeEnd: true,\n keywords: KEYWORDS,\n contains: PARAMS_CONTAINS\n }\n ]\n }\n ]\n }\n ],\n relevance: 0\n },\n {\n className: 'function',\n beginKeywords: 'function', end: /\\{/, excludeEnd: true,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: IDENT_RE}),\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n contains: PARAMS_CONTAINS\n }\n ],\n illegal: /\\[|%/\n },\n {\n begin: /\\$[(.]/\n }\n ],\n illegal: /#(?!!)/\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/arduino.js b/js/highlight/arduino.js
index e3ab3f276..2d0018abc 100644
--- a/js/highlight/arduino.js
+++ b/js/highlight/arduino.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[11],{377:function(e,t){e.exports=function(e){var t="boolean byte word String",r="setup loopKeyboardController MouseController SoftwareSerial EthernetServer EthernetClient LiquidCrystal RobotControl GSMVoiceCall EthernetUDP EsploraTFT HttpClient RobotMotor WiFiClient GSMScanner FileSystem Scheduler GSMServer YunClient YunServer IPAddress GSMClient GSMModem Keyboard Ethernet Console GSMBand Esplora Stepper Process WiFiUDP GSM_SMS Mailbox USBHost Firmata PImage Client Server GSMPIN FileIO Bridge Serial EEPROM Stream Mouse Audio Servo File Task GPRS WiFi Wire TFT GSM SPI SD runShellCommandAsynchronously analogWriteResolution retrieveCallingNumber printFirmwareVersion analogReadResolution sendDigitalPortPair noListenOnLocalhost readJoystickButton setFirmwareVersion readJoystickSwitch scrollDisplayRight getVoiceCallStatus scrollDisplayLeft writeMicroseconds delayMicroseconds beginTransmission getSignalStrength runAsynchronously getAsynchronously listenOnLocalhost getCurrentCarrier readAccelerometer messageAvailable sendDigitalPorts lineFollowConfig countryNameWrite runShellCommand readStringUntil rewindDirectory readTemperature setClockDivider readLightSensor endTransmission analogReference detachInterrupt countryNameRead attachInterrupt encryptionType readBytesUntil robotNameWrite readMicrophone robotNameRead cityNameWrite userNameWrite readJoystickY readJoystickX mouseReleased openNextFile scanNetworks noInterrupts digitalWrite beginSpeaker mousePressed isActionDone mouseDragged displayLogos noAutoscroll addParameter remoteNumber getModifiers keyboardRead userNameRead waitContinue processInput parseCommand printVersion readNetworks writeMessage blinkVersion cityNameRead readMessage setDataMode parsePacket isListening setBitOrder beginPacket isDirectory motorsWrite drawCompass digitalRead clearScreen serialEvent rightToLeft setTextSize leftToRight requestFrom keyReleased compassRead analogWrite interrupts WiFiServer disconnect playMelody parseFloat autoscroll getPINUsed setPINUsed setTimeout sendAnalog readSlider analogRead beginWrite createChar motorsStop keyPressed tempoWrite readButton subnetMask debugPrint macAddress writeGreen randomSeed attachGPRS readString sendString remotePort releaseAll mouseMoved background getXChange getYChange answerCall getResult voiceCall endPacket constrain getSocket writeJSON getButton available connected findUntil readBytes exitValue readGreen writeBlue startLoop IPAddress isPressed sendSysex pauseMode gatewayIP setCursor getOemKey tuneWrite noDisplay loadImage switchPIN onRequest onReceive changePIN playFile noBuffer parseInt overflow checkPIN knobRead beginTFT bitClear updateIR bitWrite position writeRGB highByte writeRed setSpeed readBlue noStroke remoteIP transfer shutdown hangCall beginSMS endWrite attached maintain noCursor checkReg checkPUK shiftOut isValid shiftIn pulseIn connect println localIP pinMode getIMEI display noBlink process getBand running beginSD drawBMP lowByte setBand release bitRead prepare pointTo readRed setMode noFill remove listen stroke detach attach noTone exists buffer height bitSet circle config cursor random IRread setDNS endSMS getKey micros millis begin print write ready flush width isPIN blink clear press mkdir rmdir close point yield image BSSID click delay read text move peek beep rect line open seek fill size turn stop home find step tone sqrt RSSI SSID end bit tan cos sin pow map abs max min get run put",i="DIGITAL_MESSAGE FIRMATA_STRING ANALOG_MESSAGE REPORT_DIGITAL REPORT_ANALOG INPUT_PULLUP SET_PIN_MODE INTERNAL2V56 SYSTEM_RESET LED_BUILTIN INTERNAL1V1 SYSEX_START INTERNAL EXTERNAL DEFAULT OUTPUT INPUT HIGH LOW",o=e.getLanguage("cpp").rawDefinition(),n=o.keywords;return n.keyword+=" "+t,n.literal+=" "+i,n.built_in+=" "+r,o}}}]);
-//# sourceMappingURL=arduino.js.map?v=c7f7bc33077eaff25b13 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[11],{378:function(e,t){e.exports=function(e){var t="boolean byte word String",r="setup loopKeyboardController MouseController SoftwareSerial EthernetServer EthernetClient LiquidCrystal RobotControl GSMVoiceCall EthernetUDP EsploraTFT HttpClient RobotMotor WiFiClient GSMScanner FileSystem Scheduler GSMServer YunClient YunServer IPAddress GSMClient GSMModem Keyboard Ethernet Console GSMBand Esplora Stepper Process WiFiUDP GSM_SMS Mailbox USBHost Firmata PImage Client Server GSMPIN FileIO Bridge Serial EEPROM Stream Mouse Audio Servo File Task GPRS WiFi Wire TFT GSM SPI SD runShellCommandAsynchronously analogWriteResolution retrieveCallingNumber printFirmwareVersion analogReadResolution sendDigitalPortPair noListenOnLocalhost readJoystickButton setFirmwareVersion readJoystickSwitch scrollDisplayRight getVoiceCallStatus scrollDisplayLeft writeMicroseconds delayMicroseconds beginTransmission getSignalStrength runAsynchronously getAsynchronously listenOnLocalhost getCurrentCarrier readAccelerometer messageAvailable sendDigitalPorts lineFollowConfig countryNameWrite runShellCommand readStringUntil rewindDirectory readTemperature setClockDivider readLightSensor endTransmission analogReference detachInterrupt countryNameRead attachInterrupt encryptionType readBytesUntil robotNameWrite readMicrophone robotNameRead cityNameWrite userNameWrite readJoystickY readJoystickX mouseReleased openNextFile scanNetworks noInterrupts digitalWrite beginSpeaker mousePressed isActionDone mouseDragged displayLogos noAutoscroll addParameter remoteNumber getModifiers keyboardRead userNameRead waitContinue processInput parseCommand printVersion readNetworks writeMessage blinkVersion cityNameRead readMessage setDataMode parsePacket isListening setBitOrder beginPacket isDirectory motorsWrite drawCompass digitalRead clearScreen serialEvent rightToLeft setTextSize leftToRight requestFrom keyReleased compassRead analogWrite interrupts WiFiServer disconnect playMelody parseFloat autoscroll getPINUsed setPINUsed setTimeout sendAnalog readSlider analogRead beginWrite createChar motorsStop keyPressed tempoWrite readButton subnetMask debugPrint macAddress writeGreen randomSeed attachGPRS readString sendString remotePort releaseAll mouseMoved background getXChange getYChange answerCall getResult voiceCall endPacket constrain getSocket writeJSON getButton available connected findUntil readBytes exitValue readGreen writeBlue startLoop IPAddress isPressed sendSysex pauseMode gatewayIP setCursor getOemKey tuneWrite noDisplay loadImage switchPIN onRequest onReceive changePIN playFile noBuffer parseInt overflow checkPIN knobRead beginTFT bitClear updateIR bitWrite position writeRGB highByte writeRed setSpeed readBlue noStroke remoteIP transfer shutdown hangCall beginSMS endWrite attached maintain noCursor checkReg checkPUK shiftOut isValid shiftIn pulseIn connect println localIP pinMode getIMEI display noBlink process getBand running beginSD drawBMP lowByte setBand release bitRead prepare pointTo readRed setMode noFill remove listen stroke detach attach noTone exists buffer height bitSet circle config cursor random IRread setDNS endSMS getKey micros millis begin print write ready flush width isPIN blink clear press mkdir rmdir close point yield image BSSID click delay read text move peek beep rect line open seek fill size turn stop home find step tone sqrt RSSI SSID end bit tan cos sin pow map abs max min get run put",i="DIGITAL_MESSAGE FIRMATA_STRING ANALOG_MESSAGE REPORT_DIGITAL REPORT_ANALOG INPUT_PULLUP SET_PIN_MODE INTERNAL2V56 SYSTEM_RESET LED_BUILTIN INTERNAL1V1 SYSEX_START INTERNAL EXTERNAL DEFAULT OUTPUT INPUT HIGH LOW",o=e.requireLanguage("cpp").rawDefinition(),n=o.keywords;return n.keyword+=" "+t,n.literal+=" "+i,n.built_in+=" "+r,o}}}]);
+//# sourceMappingURL=arduino.js.map?v=3cc7f34e3359919e423e \ No newline at end of file
diff --git a/js/highlight/arduino.js.map b/js/highlight/arduino.js.map
index 6e2f468a1..c84f85271 100644
--- a/js/highlight/arduino.js.map
+++ b/js/highlight/arduino.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/arduino.js"],"names":["module","exports","hljs","ARDUINO_KW","ARDUINO","getLanguage","rawDefinition","kws","keywords","keyword","literal","built_in"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAEzB,IAAIC,EAEG,2BAFHA,EAIG,2xGAJHA,EA+EG,qNAOFC,EAAUF,EAAKG,YAAY,OAAOC,gBAElCC,EAAMH,EAAQI,SAMlB,OAJAD,EAAIE,SAAW,IAAMN,EACrBI,EAAIG,SAAW,IAAMP,EACrBI,EAAII,UAAY,IAAMR,EAEfC","file":"highlight/arduino.js?v=c7f7bc33077eaff25b13","sourcesContent":["module.exports = function(hljs) {\n\n\tvar ARDUINO_KW = {\n keyword:\n 'boolean byte word String',\n built_in:\n 'setup loop' +\n 'KeyboardController MouseController SoftwareSerial ' +\n 'EthernetServer EthernetClient LiquidCrystal ' +\n 'RobotControl GSMVoiceCall EthernetUDP EsploraTFT ' +\n 'HttpClient RobotMotor WiFiClient GSMScanner ' +\n 'FileSystem Scheduler GSMServer YunClient YunServer ' +\n 'IPAddress GSMClient GSMModem Keyboard Ethernet ' +\n 'Console GSMBand Esplora Stepper Process ' +\n 'WiFiUDP GSM_SMS Mailbox USBHost Firmata PImage ' +\n 'Client Server GSMPIN FileIO Bridge Serial ' +\n 'EEPROM Stream Mouse Audio Servo File Task ' +\n 'GPRS WiFi Wire TFT GSM SPI SD ' +\n 'runShellCommandAsynchronously analogWriteResolution ' +\n 'retrieveCallingNumber printFirmwareVersion ' +\n 'analogReadResolution sendDigitalPortPair ' +\n 'noListenOnLocalhost readJoystickButton setFirmwareVersion ' +\n 'readJoystickSwitch scrollDisplayRight getVoiceCallStatus ' +\n 'scrollDisplayLeft writeMicroseconds delayMicroseconds ' +\n 'beginTransmission getSignalStrength runAsynchronously ' +\n 'getAsynchronously listenOnLocalhost getCurrentCarrier ' +\n 'readAccelerometer messageAvailable sendDigitalPorts ' +\n 'lineFollowConfig countryNameWrite runShellCommand ' +\n 'readStringUntil rewindDirectory readTemperature ' +\n 'setClockDivider readLightSensor endTransmission ' +\n 'analogReference detachInterrupt countryNameRead ' +\n 'attachInterrupt encryptionType readBytesUntil ' +\n 'robotNameWrite readMicrophone robotNameRead cityNameWrite ' +\n 'userNameWrite readJoystickY readJoystickX mouseReleased ' +\n 'openNextFile scanNetworks noInterrupts digitalWrite ' +\n 'beginSpeaker mousePressed isActionDone mouseDragged ' +\n 'displayLogos noAutoscroll addParameter remoteNumber ' +\n 'getModifiers keyboardRead userNameRead waitContinue ' +\n 'processInput parseCommand printVersion readNetworks ' +\n 'writeMessage blinkVersion cityNameRead readMessage ' +\n 'setDataMode parsePacket isListening setBitOrder ' +\n 'beginPacket isDirectory motorsWrite drawCompass ' +\n 'digitalRead clearScreen serialEvent rightToLeft ' +\n 'setTextSize leftToRight requestFrom keyReleased ' +\n 'compassRead analogWrite interrupts WiFiServer ' +\n 'disconnect playMelody parseFloat autoscroll ' +\n 'getPINUsed setPINUsed setTimeout sendAnalog ' +\n 'readSlider analogRead beginWrite createChar ' +\n 'motorsStop keyPressed tempoWrite readButton ' +\n 'subnetMask debugPrint macAddress writeGreen ' +\n 'randomSeed attachGPRS readString sendString ' +\n 'remotePort releaseAll mouseMoved background ' +\n 'getXChange getYChange answerCall getResult ' +\n 'voiceCall endPacket constrain getSocket writeJSON ' +\n 'getButton available connected findUntil readBytes ' +\n 'exitValue readGreen writeBlue startLoop IPAddress ' +\n 'isPressed sendSysex pauseMode gatewayIP setCursor ' +\n 'getOemKey tuneWrite noDisplay loadImage switchPIN ' +\n 'onRequest onReceive changePIN playFile noBuffer ' +\n 'parseInt overflow checkPIN knobRead beginTFT ' +\n 'bitClear updateIR bitWrite position writeRGB ' +\n 'highByte writeRed setSpeed readBlue noStroke ' +\n 'remoteIP transfer shutdown hangCall beginSMS ' +\n 'endWrite attached maintain noCursor checkReg ' +\n 'checkPUK shiftOut isValid shiftIn pulseIn ' +\n 'connect println localIP pinMode getIMEI ' +\n 'display noBlink process getBand running beginSD ' +\n 'drawBMP lowByte setBand release bitRead prepare ' +\n 'pointTo readRed setMode noFill remove listen ' +\n 'stroke detach attach noTone exists buffer ' +\n 'height bitSet circle config cursor random ' +\n 'IRread setDNS endSMS getKey micros ' +\n 'millis begin print write ready flush width ' +\n 'isPIN blink clear press mkdir rmdir close ' +\n 'point yield image BSSID click delay ' +\n 'read text move peek beep rect line open ' +\n 'seek fill size turn stop home find ' +\n 'step tone sqrt RSSI SSID ' +\n 'end bit tan cos sin pow map abs max ' +\n 'min get run put',\n literal:\n 'DIGITAL_MESSAGE FIRMATA_STRING ANALOG_MESSAGE ' +\n 'REPORT_DIGITAL REPORT_ANALOG INPUT_PULLUP ' +\n 'SET_PIN_MODE INTERNAL2V56 SYSTEM_RESET LED_BUILTIN ' +\n 'INTERNAL1V1 SYSEX_START INTERNAL EXTERNAL ' +\n 'DEFAULT OUTPUT INPUT HIGH LOW'\n };\n\n var ARDUINO = hljs.getLanguage('cpp').rawDefinition();\n\n var kws = ARDUINO.keywords;\n\n kws.keyword += ' ' + ARDUINO_KW.keyword;\n kws.literal += ' ' + ARDUINO_KW.literal;\n kws.built_in += ' ' + ARDUINO_KW.built_in;\n\n return ARDUINO;\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/arduino.js"],"names":["module","exports","hljs","ARDUINO_KW","ARDUINO","requireLanguage","rawDefinition","kws","keywords","keyword","literal","built_in"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAEzB,IAAIC,EAEG,2BAFHA,EAIG,2xGAJHA,EA+EG,qNAOFC,EAAUF,EAAKG,gBAAgB,OAAOC,gBAEtCC,EAAMH,EAAQI,SAMlB,OAJAD,EAAIE,SAAW,IAAMN,EACrBI,EAAIG,SAAW,IAAMP,EACrBI,EAAII,UAAY,IAAMR,EAEfC","file":"highlight/arduino.js?v=3cc7f34e3359919e423e","sourcesContent":["module.exports = function(hljs) {\n\n\tvar ARDUINO_KW = {\n keyword:\n 'boolean byte word String',\n built_in:\n 'setup loop' +\n 'KeyboardController MouseController SoftwareSerial ' +\n 'EthernetServer EthernetClient LiquidCrystal ' +\n 'RobotControl GSMVoiceCall EthernetUDP EsploraTFT ' +\n 'HttpClient RobotMotor WiFiClient GSMScanner ' +\n 'FileSystem Scheduler GSMServer YunClient YunServer ' +\n 'IPAddress GSMClient GSMModem Keyboard Ethernet ' +\n 'Console GSMBand Esplora Stepper Process ' +\n 'WiFiUDP GSM_SMS Mailbox USBHost Firmata PImage ' +\n 'Client Server GSMPIN FileIO Bridge Serial ' +\n 'EEPROM Stream Mouse Audio Servo File Task ' +\n 'GPRS WiFi Wire TFT GSM SPI SD ' +\n 'runShellCommandAsynchronously analogWriteResolution ' +\n 'retrieveCallingNumber printFirmwareVersion ' +\n 'analogReadResolution sendDigitalPortPair ' +\n 'noListenOnLocalhost readJoystickButton setFirmwareVersion ' +\n 'readJoystickSwitch scrollDisplayRight getVoiceCallStatus ' +\n 'scrollDisplayLeft writeMicroseconds delayMicroseconds ' +\n 'beginTransmission getSignalStrength runAsynchronously ' +\n 'getAsynchronously listenOnLocalhost getCurrentCarrier ' +\n 'readAccelerometer messageAvailable sendDigitalPorts ' +\n 'lineFollowConfig countryNameWrite runShellCommand ' +\n 'readStringUntil rewindDirectory readTemperature ' +\n 'setClockDivider readLightSensor endTransmission ' +\n 'analogReference detachInterrupt countryNameRead ' +\n 'attachInterrupt encryptionType readBytesUntil ' +\n 'robotNameWrite readMicrophone robotNameRead cityNameWrite ' +\n 'userNameWrite readJoystickY readJoystickX mouseReleased ' +\n 'openNextFile scanNetworks noInterrupts digitalWrite ' +\n 'beginSpeaker mousePressed isActionDone mouseDragged ' +\n 'displayLogos noAutoscroll addParameter remoteNumber ' +\n 'getModifiers keyboardRead userNameRead waitContinue ' +\n 'processInput parseCommand printVersion readNetworks ' +\n 'writeMessage blinkVersion cityNameRead readMessage ' +\n 'setDataMode parsePacket isListening setBitOrder ' +\n 'beginPacket isDirectory motorsWrite drawCompass ' +\n 'digitalRead clearScreen serialEvent rightToLeft ' +\n 'setTextSize leftToRight requestFrom keyReleased ' +\n 'compassRead analogWrite interrupts WiFiServer ' +\n 'disconnect playMelody parseFloat autoscroll ' +\n 'getPINUsed setPINUsed setTimeout sendAnalog ' +\n 'readSlider analogRead beginWrite createChar ' +\n 'motorsStop keyPressed tempoWrite readButton ' +\n 'subnetMask debugPrint macAddress writeGreen ' +\n 'randomSeed attachGPRS readString sendString ' +\n 'remotePort releaseAll mouseMoved background ' +\n 'getXChange getYChange answerCall getResult ' +\n 'voiceCall endPacket constrain getSocket writeJSON ' +\n 'getButton available connected findUntil readBytes ' +\n 'exitValue readGreen writeBlue startLoop IPAddress ' +\n 'isPressed sendSysex pauseMode gatewayIP setCursor ' +\n 'getOemKey tuneWrite noDisplay loadImage switchPIN ' +\n 'onRequest onReceive changePIN playFile noBuffer ' +\n 'parseInt overflow checkPIN knobRead beginTFT ' +\n 'bitClear updateIR bitWrite position writeRGB ' +\n 'highByte writeRed setSpeed readBlue noStroke ' +\n 'remoteIP transfer shutdown hangCall beginSMS ' +\n 'endWrite attached maintain noCursor checkReg ' +\n 'checkPUK shiftOut isValid shiftIn pulseIn ' +\n 'connect println localIP pinMode getIMEI ' +\n 'display noBlink process getBand running beginSD ' +\n 'drawBMP lowByte setBand release bitRead prepare ' +\n 'pointTo readRed setMode noFill remove listen ' +\n 'stroke detach attach noTone exists buffer ' +\n 'height bitSet circle config cursor random ' +\n 'IRread setDNS endSMS getKey micros ' +\n 'millis begin print write ready flush width ' +\n 'isPIN blink clear press mkdir rmdir close ' +\n 'point yield image BSSID click delay ' +\n 'read text move peek beep rect line open ' +\n 'seek fill size turn stop home find ' +\n 'step tone sqrt RSSI SSID ' +\n 'end bit tan cos sin pow map abs max ' +\n 'min get run put',\n literal:\n 'DIGITAL_MESSAGE FIRMATA_STRING ANALOG_MESSAGE ' +\n 'REPORT_DIGITAL REPORT_ANALOG INPUT_PULLUP ' +\n 'SET_PIN_MODE INTERNAL2V56 SYSTEM_RESET LED_BUILTIN ' +\n 'INTERNAL1V1 SYSEX_START INTERNAL EXTERNAL ' +\n 'DEFAULT OUTPUT INPUT HIGH LOW'\n };\n\n var ARDUINO = hljs.requireLanguage('cpp').rawDefinition();\n\n var kws = ARDUINO.keywords;\n\n kws.keyword += ' ' + ARDUINO_KW.keyword;\n kws.literal += ' ' + ARDUINO_KW.literal;\n kws.built_in += ' ' + ARDUINO_KW.built_in;\n\n return ARDUINO;\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/armasm.js b/js/highlight/armasm.js
index cf0d4181a..2f93fac49 100644
--- a/js/highlight/armasm.js
+++ b/js/highlight/armasm.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[12],{378:function(s,e){s.exports=function(s){return{case_insensitive:!0,aliases:["arm"],lexemes:"\\.?"+s.IDENT_RE,keywords:{meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 {PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @"},contains:[{className:"keyword",begin:"\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?",end:"\\s"},s.COMMENT("[;@]","$",{relevance:0}),s.C_BLOCK_COMMENT_MODE,s.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"[#$=]?0x[0-9a-f]+"},{begin:"[#$=]?0b[01]+"},{begin:"[#$=]\\d+"},{begin:"\\b\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^[a-z_\\.\\$][a-z0-9_\\.\\$]+"},{begin:"^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"[=#]\\w+"}],relevance:0}]}}}}]);
-//# sourceMappingURL=armasm.js.map?v=b9f39d176581d2a05635 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[12],{379:function(s,e){s.exports=function(s){return{case_insensitive:!0,aliases:["arm"],lexemes:"\\.?"+s.IDENT_RE,keywords:{meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 {PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @"},contains:[{className:"keyword",begin:"\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?",end:"\\s"},s.COMMENT("[;@]","$",{relevance:0}),s.C_BLOCK_COMMENT_MODE,s.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"[#$=]?0x[0-9a-f]+"},{begin:"[#$=]?0b[01]+"},{begin:"[#$=]\\d+"},{begin:"\\b\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^[a-z_\\.\\$][a-z0-9_\\.\\$]+"},{begin:"^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"[=#]\\w+"}],relevance:0}]}}}}]);
+//# sourceMappingURL=armasm.js.map?v=c0eb0a244d0cb39704e6 \ No newline at end of file
diff --git a/js/highlight/armasm.js.map b/js/highlight/armasm.js.map
index 659c12388..3cd7a8da1 100644
--- a/js/highlight/armasm.js.map
+++ b/js/highlight/armasm.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/armasm.js"],"names":["module","exports","hljs","case_insensitive","aliases","lexemes","IDENT_RE","keywords","meta","built_in","contains","className","begin","end","COMMENT","relevance","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","illegal","variants"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,CAAC,OACVC,QAAS,OAASH,EAAKI,SACvBC,SAAU,CACRC,KAEE,6tBAGFC,SACE,21BAmBJC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,stBAiBPC,IAAK,OAEPX,EAAKY,QAAQ,OAAQ,IAAK,CAACC,UAAW,IACtCb,EAAKc,qBACLd,EAAKe,kBACL,CACEN,UAAW,SACXC,MAAO,IACPC,IAAK,WACLE,UAAW,GAEb,CACEJ,UAAW,QACXC,MAAO,MAAOC,IAAK,MACnBK,QAAS,MACTH,UAAW,GAEb,CACEJ,UAAW,SACXQ,SAAU,CACN,CAACP,MAAO,qBACR,CAACA,MAAO,iBACR,CAACA,MAAO,aACR,CAACA,MAAO,YAEZG,UAAW,GAEb,CACEJ,UAAW,SACXQ,SAAU,CACN,CAACP,MAAO,iCACR,CAACA,MAAO,sCACR,CAACA,MAAO,aAEZG,UAAW","file":"highlight/armasm.js?v=b9f39d176581d2a05635","sourcesContent":["module.exports = function(hljs) {\n //local labels: %?[FB]?[AT]?\\d{1,2}\\w+\n return {\n case_insensitive: true,\n aliases: ['arm'],\n lexemes: '\\\\.?' + hljs.IDENT_RE,\n keywords: {\n meta:\n //GNU preprocs\n '.2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg '+\n //ARM directives\n 'ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ',\n built_in:\n 'r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 '+ //standard registers\n 'pc lr sp ip sl sb fp '+ //typical regs plus backward compatibility\n 'a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 '+ //more regs and fp\n 'p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 '+ //coprocessor regs\n 'c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 '+ //more coproc\n 'q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 '+ //advanced SIMD NEON regs\n\n //program status registers\n 'cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf '+\n 'spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf '+\n\n //NEON and VFP registers\n 's0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 '+\n 's16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 '+\n 'd0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 '+\n 'd16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 ' +\n\n '{PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @'\n },\n contains: [\n {\n className: 'keyword',\n begin: '\\\\b('+ //mnemonics\n 'adc|'+\n '(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|'+\n 'and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|'+\n 'bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|'+\n 'setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|'+\n 'ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|'+\n 'mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|'+\n 'mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|'+\n 'mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|'+\n 'rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|'+\n 'stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|'+\n '[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|'+\n 'wfe|wfi|yield'+\n ')'+\n '(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?'+ //condition codes\n '[sptrx]?' , //legal postfixes\n end: '\\\\s'\n },\n hljs.COMMENT('[;@]', '$', {relevance: 0}),\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '\\'',\n end: '[^\\\\\\\\]\\'',\n relevance: 0\n },\n {\n className: 'title',\n begin: '\\\\|', end: '\\\\|',\n illegal: '\\\\n',\n relevance: 0\n },\n {\n className: 'number',\n variants: [\n {begin: '[#$=]?0x[0-9a-f]+'}, //hex\n {begin: '[#$=]?0b[01]+'}, //bin\n {begin: '[#$=]\\\\d+'}, //literal\n {begin: '\\\\b\\\\d+'} //bare number\n ],\n relevance: 0\n },\n {\n className: 'symbol',\n variants: [\n {begin: '^[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+'}, //ARM syntax\n {begin: '^\\\\s*[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+:'}, //GNU ARM syntax\n {begin: '[=#]\\\\w+' } //label reference\n ],\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/armasm.js"],"names":["module","exports","hljs","case_insensitive","aliases","lexemes","IDENT_RE","keywords","meta","built_in","contains","className","begin","end","COMMENT","relevance","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","illegal","variants"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,CAAC,OACVC,QAAS,OAASH,EAAKI,SACvBC,SAAU,CACRC,KAEE,6tBAGFC,SACE,21BAmBJC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,stBAiBPC,IAAK,OAEPX,EAAKY,QAAQ,OAAQ,IAAK,CAACC,UAAW,IACtCb,EAAKc,qBACLd,EAAKe,kBACL,CACEN,UAAW,SACXC,MAAO,IACPC,IAAK,WACLE,UAAW,GAEb,CACEJ,UAAW,QACXC,MAAO,MAAOC,IAAK,MACnBK,QAAS,MACTH,UAAW,GAEb,CACEJ,UAAW,SACXQ,SAAU,CACN,CAACP,MAAO,qBACR,CAACA,MAAO,iBACR,CAACA,MAAO,aACR,CAACA,MAAO,YAEZG,UAAW,GAEb,CACEJ,UAAW,SACXQ,SAAU,CACN,CAACP,MAAO,iCACR,CAACA,MAAO,sCACR,CAACA,MAAO,aAEZG,UAAW","file":"highlight/armasm.js?v=c0eb0a244d0cb39704e6","sourcesContent":["module.exports = function(hljs) {\n //local labels: %?[FB]?[AT]?\\d{1,2}\\w+\n return {\n case_insensitive: true,\n aliases: ['arm'],\n lexemes: '\\\\.?' + hljs.IDENT_RE,\n keywords: {\n meta:\n //GNU preprocs\n '.2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg '+\n //ARM directives\n 'ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ',\n built_in:\n 'r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 '+ //standard registers\n 'pc lr sp ip sl sb fp '+ //typical regs plus backward compatibility\n 'a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 '+ //more regs and fp\n 'p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 '+ //coprocessor regs\n 'c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 '+ //more coproc\n 'q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 '+ //advanced SIMD NEON regs\n\n //program status registers\n 'cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf '+\n 'spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf '+\n\n //NEON and VFP registers\n 's0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 '+\n 's16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 '+\n 'd0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 '+\n 'd16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 ' +\n\n '{PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @'\n },\n contains: [\n {\n className: 'keyword',\n begin: '\\\\b('+ //mnemonics\n 'adc|'+\n '(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|'+\n 'and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|'+\n 'bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|'+\n 'setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|'+\n 'ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|'+\n 'mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|'+\n 'mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|'+\n 'mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|'+\n 'rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|'+\n 'stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|'+\n '[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|'+\n 'wfe|wfi|yield'+\n ')'+\n '(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?'+ //condition codes\n '[sptrx]?' , //legal postfixes\n end: '\\\\s'\n },\n hljs.COMMENT('[;@]', '$', {relevance: 0}),\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '\\'',\n end: '[^\\\\\\\\]\\'',\n relevance: 0\n },\n {\n className: 'title',\n begin: '\\\\|', end: '\\\\|',\n illegal: '\\\\n',\n relevance: 0\n },\n {\n className: 'number',\n variants: [\n {begin: '[#$=]?0x[0-9a-f]+'}, //hex\n {begin: '[#$=]?0b[01]+'}, //bin\n {begin: '[#$=]\\\\d+'}, //literal\n {begin: '\\\\b\\\\d+'} //bare number\n ],\n relevance: 0\n },\n {\n className: 'symbol',\n variants: [\n {begin: '^[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+'}, //ARM syntax\n {begin: '^\\\\s*[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+:'}, //GNU ARM syntax\n {begin: '[=#]\\\\w+' } //label reference\n ],\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/asciidoc.js b/js/highlight/asciidoc.js
index 17ebb530a..f7dd21fa6 100644
--- a/js/highlight/asciidoc.js
+++ b/js/highlight/asciidoc.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[13],{379:function(e,n){e.exports=function(e){return{aliases:["adoc"],contains:[e.COMMENT("^/{4,}\\n","\\n/{4,}$",{relevance:10}),e.COMMENT("^//","$",{relevance:0}),{className:"title",begin:"^\\.\\w.*$"},{begin:"^[=\\*]{4,}\\n",end:"\\n^[=\\*]{4,}$",relevance:10},{className:"section",relevance:10,variants:[{begin:"^(={1,5}) .+?( \\1)?$"},{begin:"^[^\\[\\]\\n]+?\\n[=\\-~\\^\\+]{2,}$"}]},{className:"meta",begin:"^:.+?:",end:"\\s",excludeEnd:!0,relevance:10},{className:"meta",begin:"^\\[.+?\\]$",relevance:0},{className:"quote",begin:"^_{4,}\\n",end:"\\n_{4,}$",relevance:10},{className:"code",begin:"^[\\-\\.]{4,}\\n",end:"\\n[\\-\\.]{4,}$",relevance:10},{begin:"^\\+{4,}\\n",end:"\\n\\+{4,}$",contains:[{begin:"<",end:">",subLanguage:"xml",relevance:0}],relevance:10},{className:"bullet",begin:"^(\\*+|\\-+|\\.+|[^\\n]+?::)\\s+"},{className:"symbol",begin:"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\s+",relevance:10},{className:"strong",begin:"\\B\\*(?![\\*\\s])",end:"(\\n{2}|\\*)",contains:[{begin:"\\\\*\\w",relevance:0}]},{className:"emphasis",begin:"\\B'(?!['\\s])",end:"(\\n{2}|')",contains:[{begin:"\\\\'\\w",relevance:0}],relevance:0},{className:"emphasis",begin:"_(?![_\\s])",end:"(\\n{2}|_)",relevance:0},{className:"string",variants:[{begin:"``.+?''"},{begin:"`.+?'"}]},{className:"code",begin:"(`.+?`|\\+.+?\\+)",relevance:0},{className:"code",begin:"^[ \\t]",end:"$",relevance:0},{begin:"^'{3,}[ \\t]*$",relevance:10},{begin:"(link:)?(http|https|ftp|file|irc|image:?):\\S+\\[.*?\\]",returnBegin:!0,contains:[{begin:"(link|image:?):",relevance:0},{className:"link",begin:"\\w",end:"[^\\[]+",relevance:0},{className:"string",begin:"\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0,relevance:0}],relevance:10}]}}}}]);
-//# sourceMappingURL=asciidoc.js.map?v=827bcc9381bce9f2a12b \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[13],{380:function(e,n){e.exports=function(e){return{aliases:["adoc"],contains:[e.COMMENT("^/{4,}\\n","\\n/{4,}$",{relevance:10}),e.COMMENT("^//","$",{relevance:0}),{className:"title",begin:"^\\.\\w.*$"},{begin:"^[=\\*]{4,}\\n",end:"\\n^[=\\*]{4,}$",relevance:10},{className:"section",relevance:10,variants:[{begin:"^(={1,5}) .+?( \\1)?$"},{begin:"^[^\\[\\]\\n]+?\\n[=\\-~\\^\\+]{2,}$"}]},{className:"meta",begin:"^:.+?:",end:"\\s",excludeEnd:!0,relevance:10},{className:"meta",begin:"^\\[.+?\\]$",relevance:0},{className:"quote",begin:"^_{4,}\\n",end:"\\n_{4,}$",relevance:10},{className:"code",begin:"^[\\-\\.]{4,}\\n",end:"\\n[\\-\\.]{4,}$",relevance:10},{begin:"^\\+{4,}\\n",end:"\\n\\+{4,}$",contains:[{begin:"<",end:">",subLanguage:"xml",relevance:0}],relevance:10},{className:"bullet",begin:"^(\\*+|\\-+|\\.+|[^\\n]+?::)\\s+"},{className:"symbol",begin:"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\s+",relevance:10},{className:"strong",begin:"\\B\\*(?![\\*\\s])",end:"(\\n{2}|\\*)",contains:[{begin:"\\\\*\\w",relevance:0}]},{className:"emphasis",begin:"\\B'(?!['\\s])",end:"(\\n{2}|')",contains:[{begin:"\\\\'\\w",relevance:0}],relevance:0},{className:"emphasis",begin:"_(?![_\\s])",end:"(\\n{2}|_)",relevance:0},{className:"string",variants:[{begin:"``.+?''"},{begin:"`.+?'"}]},{className:"code",begin:"(`.+?`|\\+.+?\\+)",relevance:0},{className:"code",begin:"^[ \\t]",end:"$",relevance:0},{begin:"^'{3,}[ \\t]*$",relevance:10},{begin:"(link:)?(http|https|ftp|file|irc|image:?):\\S+\\[.*?\\]",returnBegin:!0,contains:[{begin:"(link|image:?):",relevance:0},{className:"link",begin:"\\w",end:"[^\\[]+",relevance:0},{className:"string",begin:"\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0,relevance:0}],relevance:10}]}}}}]);
+//# sourceMappingURL=asciidoc.js.map?v=ca2812e6dfd669b9ed9e \ No newline at end of file
diff --git a/js/highlight/asciidoc.js.map b/js/highlight/asciidoc.js.map
index a5021179f..0e1c704d5 100644
--- a/js/highlight/asciidoc.js.map
+++ b/js/highlight/asciidoc.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/asciidoc.js"],"names":["module","exports","hljs","aliases","contains","COMMENT","relevance","className","begin","end","variants","excludeEnd","subLanguage","returnBegin","excludeBegin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,QACVC,SAAU,CAERF,EAAKG,QACH,YACA,YAIA,CACEC,UAAW,KAIfJ,EAAKG,QACH,MACA,IACA,CACEC,UAAW,IAIf,CACEC,UAAW,QACXC,MAAO,cAGT,CACEA,MAAO,iBACPC,IAAK,kBACLH,UAAW,IAGb,CACEC,UAAW,UACXD,UAAW,GACXI,SAAU,CACR,CAACF,MAAO,yBACR,CAACA,MAAO,0CAIZ,CACED,UAAW,OACXC,MAAO,SACPC,IAAK,MACLE,YAAY,EACZL,UAAW,IAGb,CACEC,UAAW,OACXC,MAAO,cACPF,UAAW,GAGb,CACEC,UAAW,QACXC,MAAO,YACPC,IAAK,YACLH,UAAW,IAGb,CACEC,UAAW,OACXC,MAAO,mBACPC,IAAK,mBACLH,UAAW,IAGb,CACEE,MAAO,cACPC,IAAK,cACLL,SAAU,CACR,CACEI,MAAO,IAAKC,IAAK,IACjBG,YAAa,MACbN,UAAW,IAGfA,UAAW,IAGb,CACEC,UAAW,SACXC,MAAO,oCAGT,CACED,UAAW,SACXC,MAAO,6CACPF,UAAW,IAGb,CACEC,UAAW,SAEXC,MAAO,qBACPC,IAAK,eAELL,SAAU,CACR,CACEI,MAAO,WACPF,UAAW,KAKjB,CACEC,UAAW,WAEXC,MAAO,iBACPC,IAAK,aAELL,SAAU,CACR,CACEI,MAAO,WACPF,UAAW,IAGfA,UAAW,GAGb,CACEC,UAAW,WAEXC,MAAO,cACPC,IAAK,aACLH,UAAW,GAGb,CACEC,UAAW,SACXG,SAAU,CACR,CAACF,MAAO,WACR,CAACA,MAAO,WAIZ,CACED,UAAW,OACXC,MAAO,oBACPF,UAAW,GAGb,CACEC,UAAW,OACXC,MAAO,UACPC,IAAK,IACLH,UAAW,GAGb,CACEE,MAAO,iBACPF,UAAW,IAGb,CACEE,MAAO,0DACPK,aAAa,EACbT,SAAU,CACR,CACEI,MAAO,kBACPF,UAAW,GAEb,CACEC,UAAW,OACXC,MAAO,MACPC,IAAK,UACLH,UAAW,GAEb,CACEC,UAAW,SACXC,MAAO,MACPC,IAAK,MACLK,cAAc,EACdH,YAAY,EACZL,UAAW,IAGfA,UAAW","file":"highlight/asciidoc.js?v=827bcc9381bce9f2a12b","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['adoc'],\n contains: [\n // block comment\n hljs.COMMENT(\n '^/{4,}\\\\n',\n '\\\\n/{4,}$',\n // can also be done as...\n //'^/{4,}$',\n //'^/{4,}$',\n {\n relevance: 10\n }\n ),\n // line comment\n hljs.COMMENT(\n '^//',\n '$',\n {\n relevance: 0\n }\n ),\n // title\n {\n className: 'title',\n begin: '^\\\\.\\\\w.*$'\n },\n // example, admonition & sidebar blocks\n {\n begin: '^[=\\\\*]{4,}\\\\n',\n end: '\\\\n^[=\\\\*]{4,}$',\n relevance: 10\n },\n // headings\n {\n className: 'section',\n relevance: 10,\n variants: [\n {begin: '^(={1,5}) .+?( \\\\1)?$'},\n {begin: '^[^\\\\[\\\\]\\\\n]+?\\\\n[=\\\\-~\\\\^\\\\+]{2,}$'},\n ]\n },\n // document attributes\n {\n className: 'meta',\n begin: '^:.+?:',\n end: '\\\\s',\n excludeEnd: true,\n relevance: 10\n },\n // block attributes\n {\n className: 'meta',\n begin: '^\\\\[.+?\\\\]$',\n relevance: 0\n },\n // quoteblocks\n {\n className: 'quote',\n begin: '^_{4,}\\\\n',\n end: '\\\\n_{4,}$',\n relevance: 10\n },\n // listing and literal blocks\n {\n className: 'code',\n begin: '^[\\\\-\\\\.]{4,}\\\\n',\n end: '\\\\n[\\\\-\\\\.]{4,}$',\n relevance: 10\n },\n // passthrough blocks\n {\n begin: '^\\\\+{4,}\\\\n',\n end: '\\\\n\\\\+{4,}$',\n contains: [\n {\n begin: '<', end: '>',\n subLanguage: 'xml',\n relevance: 0\n }\n ],\n relevance: 10\n },\n // lists (can only capture indicators)\n {\n className: 'bullet',\n begin: '^(\\\\*+|\\\\-+|\\\\.+|[^\\\\n]+?::)\\\\s+'\n },\n // admonition\n {\n className: 'symbol',\n begin: '^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\\\s+',\n relevance: 10\n },\n // inline strong\n {\n className: 'strong',\n // must not follow a word character or be followed by an asterisk or space\n begin: '\\\\B\\\\*(?![\\\\*\\\\s])',\n end: '(\\\\n{2}|\\\\*)',\n // allow escaped asterisk followed by word char\n contains: [\n {\n begin: '\\\\\\\\*\\\\w',\n relevance: 0\n }\n ]\n },\n // inline emphasis\n {\n className: 'emphasis',\n // must not follow a word character or be followed by a single quote or space\n begin: '\\\\B\\'(?![\\'\\\\s])',\n end: '(\\\\n{2}|\\')',\n // allow escaped single quote followed by word char\n contains: [\n {\n begin: '\\\\\\\\\\'\\\\w',\n relevance: 0\n }\n ],\n relevance: 0\n },\n // inline emphasis (alt)\n {\n className: 'emphasis',\n // must not follow a word character or be followed by an underline or space\n begin: '_(?![_\\\\s])',\n end: '(\\\\n{2}|_)',\n relevance: 0\n },\n // inline smart quotes\n {\n className: 'string',\n variants: [\n {begin: \"``.+?''\"},\n {begin: \"`.+?'\"}\n ]\n },\n // inline code snippets (TODO should get same treatment as strong and emphasis)\n {\n className: 'code',\n begin: '(`.+?`|\\\\+.+?\\\\+)',\n relevance: 0\n },\n // indented literal block\n {\n className: 'code',\n begin: '^[ \\\\t]',\n end: '$',\n relevance: 0\n },\n // horizontal rules\n {\n begin: '^\\'{3,}[ \\\\t]*$',\n relevance: 10\n },\n // images and links\n {\n begin: '(link:)?(http|https|ftp|file|irc|image:?):\\\\S+\\\\[.*?\\\\]',\n returnBegin: true,\n contains: [\n {\n begin: '(link|image:?):',\n relevance: 0\n },\n {\n className: 'link',\n begin: '\\\\w',\n end: '[^\\\\[]+',\n relevance: 0\n },\n {\n className: 'string',\n begin: '\\\\[',\n end: '\\\\]',\n excludeBegin: true,\n excludeEnd: true,\n relevance: 0\n }\n ],\n relevance: 10\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/asciidoc.js"],"names":["module","exports","hljs","aliases","contains","COMMENT","relevance","className","begin","end","variants","excludeEnd","subLanguage","returnBegin","excludeBegin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,QACVC,SAAU,CAERF,EAAKG,QACH,YACA,YAIA,CACEC,UAAW,KAIfJ,EAAKG,QACH,MACA,IACA,CACEC,UAAW,IAIf,CACEC,UAAW,QACXC,MAAO,cAGT,CACEA,MAAO,iBACPC,IAAK,kBACLH,UAAW,IAGb,CACEC,UAAW,UACXD,UAAW,GACXI,SAAU,CACR,CAACF,MAAO,yBACR,CAACA,MAAO,0CAIZ,CACED,UAAW,OACXC,MAAO,SACPC,IAAK,MACLE,YAAY,EACZL,UAAW,IAGb,CACEC,UAAW,OACXC,MAAO,cACPF,UAAW,GAGb,CACEC,UAAW,QACXC,MAAO,YACPC,IAAK,YACLH,UAAW,IAGb,CACEC,UAAW,OACXC,MAAO,mBACPC,IAAK,mBACLH,UAAW,IAGb,CACEE,MAAO,cACPC,IAAK,cACLL,SAAU,CACR,CACEI,MAAO,IAAKC,IAAK,IACjBG,YAAa,MACbN,UAAW,IAGfA,UAAW,IAGb,CACEC,UAAW,SACXC,MAAO,oCAGT,CACED,UAAW,SACXC,MAAO,6CACPF,UAAW,IAGb,CACEC,UAAW,SAEXC,MAAO,qBACPC,IAAK,eAELL,SAAU,CACR,CACEI,MAAO,WACPF,UAAW,KAKjB,CACEC,UAAW,WAEXC,MAAO,iBACPC,IAAK,aAELL,SAAU,CACR,CACEI,MAAO,WACPF,UAAW,IAGfA,UAAW,GAGb,CACEC,UAAW,WAEXC,MAAO,cACPC,IAAK,aACLH,UAAW,GAGb,CACEC,UAAW,SACXG,SAAU,CACR,CAACF,MAAO,WACR,CAACA,MAAO,WAIZ,CACED,UAAW,OACXC,MAAO,oBACPF,UAAW,GAGb,CACEC,UAAW,OACXC,MAAO,UACPC,IAAK,IACLH,UAAW,GAGb,CACEE,MAAO,iBACPF,UAAW,IAGb,CACEE,MAAO,0DACPK,aAAa,EACbT,SAAU,CACR,CACEI,MAAO,kBACPF,UAAW,GAEb,CACEC,UAAW,OACXC,MAAO,MACPC,IAAK,UACLH,UAAW,GAEb,CACEC,UAAW,SACXC,MAAO,MACPC,IAAK,MACLK,cAAc,EACdH,YAAY,EACZL,UAAW,IAGfA,UAAW","file":"highlight/asciidoc.js?v=ca2812e6dfd669b9ed9e","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['adoc'],\n contains: [\n // block comment\n hljs.COMMENT(\n '^/{4,}\\\\n',\n '\\\\n/{4,}$',\n // can also be done as...\n //'^/{4,}$',\n //'^/{4,}$',\n {\n relevance: 10\n }\n ),\n // line comment\n hljs.COMMENT(\n '^//',\n '$',\n {\n relevance: 0\n }\n ),\n // title\n {\n className: 'title',\n begin: '^\\\\.\\\\w.*$'\n },\n // example, admonition & sidebar blocks\n {\n begin: '^[=\\\\*]{4,}\\\\n',\n end: '\\\\n^[=\\\\*]{4,}$',\n relevance: 10\n },\n // headings\n {\n className: 'section',\n relevance: 10,\n variants: [\n {begin: '^(={1,5}) .+?( \\\\1)?$'},\n {begin: '^[^\\\\[\\\\]\\\\n]+?\\\\n[=\\\\-~\\\\^\\\\+]{2,}$'},\n ]\n },\n // document attributes\n {\n className: 'meta',\n begin: '^:.+?:',\n end: '\\\\s',\n excludeEnd: true,\n relevance: 10\n },\n // block attributes\n {\n className: 'meta',\n begin: '^\\\\[.+?\\\\]$',\n relevance: 0\n },\n // quoteblocks\n {\n className: 'quote',\n begin: '^_{4,}\\\\n',\n end: '\\\\n_{4,}$',\n relevance: 10\n },\n // listing and literal blocks\n {\n className: 'code',\n begin: '^[\\\\-\\\\.]{4,}\\\\n',\n end: '\\\\n[\\\\-\\\\.]{4,}$',\n relevance: 10\n },\n // passthrough blocks\n {\n begin: '^\\\\+{4,}\\\\n',\n end: '\\\\n\\\\+{4,}$',\n contains: [\n {\n begin: '<', end: '>',\n subLanguage: 'xml',\n relevance: 0\n }\n ],\n relevance: 10\n },\n // lists (can only capture indicators)\n {\n className: 'bullet',\n begin: '^(\\\\*+|\\\\-+|\\\\.+|[^\\\\n]+?::)\\\\s+'\n },\n // admonition\n {\n className: 'symbol',\n begin: '^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\\\s+',\n relevance: 10\n },\n // inline strong\n {\n className: 'strong',\n // must not follow a word character or be followed by an asterisk or space\n begin: '\\\\B\\\\*(?![\\\\*\\\\s])',\n end: '(\\\\n{2}|\\\\*)',\n // allow escaped asterisk followed by word char\n contains: [\n {\n begin: '\\\\\\\\*\\\\w',\n relevance: 0\n }\n ]\n },\n // inline emphasis\n {\n className: 'emphasis',\n // must not follow a word character or be followed by a single quote or space\n begin: '\\\\B\\'(?![\\'\\\\s])',\n end: '(\\\\n{2}|\\')',\n // allow escaped single quote followed by word char\n contains: [\n {\n begin: '\\\\\\\\\\'\\\\w',\n relevance: 0\n }\n ],\n relevance: 0\n },\n // inline emphasis (alt)\n {\n className: 'emphasis',\n // must not follow a word character or be followed by an underline or space\n begin: '_(?![_\\\\s])',\n end: '(\\\\n{2}|_)',\n relevance: 0\n },\n // inline smart quotes\n {\n className: 'string',\n variants: [\n {begin: \"``.+?''\"},\n {begin: \"`.+?'\"}\n ]\n },\n // inline code snippets (TODO should get same treatment as strong and emphasis)\n {\n className: 'code',\n begin: '(`.+?`|\\\\+.+?\\\\+)',\n relevance: 0\n },\n // indented literal block\n {\n className: 'code',\n begin: '^[ \\\\t]',\n end: '$',\n relevance: 0\n },\n // horizontal rules\n {\n begin: '^\\'{3,}[ \\\\t]*$',\n relevance: 10\n },\n // images and links\n {\n begin: '(link:)?(http|https|ftp|file|irc|image:?):\\\\S+\\\\[.*?\\\\]',\n returnBegin: true,\n contains: [\n {\n begin: '(link|image:?):',\n relevance: 0\n },\n {\n className: 'link',\n begin: '\\\\w',\n end: '[^\\\\[]+',\n relevance: 0\n },\n {\n className: 'string',\n begin: '\\\\[',\n end: '\\\\]',\n excludeBegin: true,\n excludeEnd: true,\n relevance: 0\n }\n ],\n relevance: 10\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/aspectj.js b/js/highlight/aspectj.js
index 1f4701cee..7dc7d0734 100644
--- a/js/highlight/aspectj.js
+++ b/js/highlight/aspectj.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[14],{380:function(e,n){e.exports=function(e){var n="false synchronized int abstract float private char boolean static null if const for true while long throw strictfp finally protected import native final return void enum else extends implements break transient new catch instanceof byte super volatile case assert short package default double public try this switch continue throws privileged aspectOf adviceexecution proceed cflowbelow cflow initialization preinitialization staticinitialization withincode target within execution getWithinTypeName handler thisJoinPoint thisJoinPointStaticPart thisEnclosingJoinPointStaticPart declare parents warning error soft precedence thisAspectInstance";return{keywords:n,illegal:/<\/|#/,contains:[e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"class",beginKeywords:"aspect",end:/[{;=]/,excludeEnd:!0,illegal:/[:;"\[\]]/,contains:[{beginKeywords:"extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton"},e.UNDERSCORE_TITLE_MODE,{begin:/\([^\)]*/,end:/[)]+/,keywords:n+" get set args call",excludeEnd:!1}]},{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,relevance:0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"pointcut after before around throwing returning",end:/[)]/,excludeEnd:!1,illegal:/["\[\]]/,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,contains:[e.UNDERSCORE_TITLE_MODE]}]},{begin:/[:]/,returnBegin:!0,end:/[{;]/,relevance:0,excludeEnd:!1,keywords:n,illegal:/["\[\]]/,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",keywords:n+" get set args call",relevance:0},e.QUOTE_STRING_MODE]},{beginKeywords:"new throw",relevance:0},{className:"function",begin:/\w+ +\w+(\.)?\w+\s*\([^\)]*\)\s*((throws)[\w\s,]+)?[\{;]/,returnBegin:!0,end:/[{;=]/,keywords:n,excludeEnd:!0,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,relevance:0,keywords:n,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"}]}}}}]);
-//# sourceMappingURL=aspectj.js.map?v=3a2c10b412d047a60bd8 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[14],{381:function(e,n){e.exports=function(e){var n="false synchronized int abstract float private char boolean static null if const for true while long throw strictfp finally protected import native final return void enum else extends implements break transient new catch instanceof byte super volatile case assert short package default double public try this switch continue throws privileged aspectOf adviceexecution proceed cflowbelow cflow initialization preinitialization staticinitialization withincode target within execution getWithinTypeName handler thisJoinPoint thisJoinPointStaticPart thisEnclosingJoinPointStaticPart declare parents warning error soft precedence thisAspectInstance";return{keywords:n,illegal:/<\/|#/,contains:[e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"class",beginKeywords:"aspect",end:/[{;=]/,excludeEnd:!0,illegal:/[:;"\[\]]/,contains:[{beginKeywords:"extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton"},e.UNDERSCORE_TITLE_MODE,{begin:/\([^\)]*/,end:/[)]+/,keywords:n+" get set args call",excludeEnd:!1}]},{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,relevance:0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"pointcut after before around throwing returning",end:/[)]/,excludeEnd:!1,illegal:/["\[\]]/,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,contains:[e.UNDERSCORE_TITLE_MODE]}]},{begin:/[:]/,returnBegin:!0,end:/[{;]/,relevance:0,excludeEnd:!1,keywords:n,illegal:/["\[\]]/,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",keywords:n+" get set args call",relevance:0},e.QUOTE_STRING_MODE]},{beginKeywords:"new throw",relevance:0},{className:"function",begin:/\w+ +\w+(\.)?\w+\s*\([^\)]*\)\s*((throws)[\w\s,]+)?[\{;]/,returnBegin:!0,end:/[{;=]/,keywords:n,excludeEnd:!0,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,relevance:0,keywords:n,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"}]}}}}]);
+//# sourceMappingURL=aspectj.js.map?v=80494a33a16258364dd1 \ No newline at end of file
diff --git a/js/highlight/aspectj.js.map b/js/highlight/aspectj.js.map
index d23da922f..0c52170c8 100644
--- a/js/highlight/aspectj.js.map
+++ b/js/highlight/aspectj.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/aspectj.js"],"names":["module","exports","hljs","KEYWORDS","keywords","illegal","contains","COMMENT","relevance","begin","className","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","beginKeywords","end","excludeEnd","UNDERSCORE_TITLE_MODE","UNDERSCORE_IDENT_RE","returnBegin","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,IAAIC,EACF,qoBASF,MAAO,CACLC,SAAWD,EACXE,QAAU,QACVC,SAAW,CACTJ,EAAKK,QACH,UACA,OACA,CACEC,UAAY,EACZF,SAAW,CACT,CAEEG,MAAO,OAAQD,UAAW,GAE5B,CACEE,UAAY,SACZD,MAAQ,iBAKhBP,EAAKS,oBACLT,EAAKU,qBACLV,EAAKW,iBACLX,EAAKY,kBACL,CACEJ,UAAY,QACZK,cAAgB,SAChBC,IAAM,QACNC,YAAa,EACbZ,QAAU,YACVC,SAAW,CACT,CACES,cAAgB,yFAElBb,EAAKgB,sBACL,CACET,MAAQ,WACRO,IAAM,OACNZ,SAAWD,uBACXc,YAAa,KAInB,CACEP,UAAY,QACZK,cAAgB,kBAChBC,IAAM,QACNC,YAAa,EACbT,UAAW,EACXJ,SAAW,kBACXC,QAAU,WACVC,SAAW,CACT,CAACS,cAAgB,sBACjBb,EAAKgB,wBAGT,CAEEH,cAAgB,kDAChBC,IAAM,MACNC,YAAa,EACbZ,QAAU,UACVC,SAAW,CACT,CACEG,MAAQP,EAAKiB,oBAAsB,UACnCC,aAAc,EACdd,SAAW,CAACJ,EAAKgB,0BAIvB,CACET,MAAQ,MACRW,aAAc,EACdJ,IAAM,OACNR,UAAW,EACXS,YAAa,EACbb,SAAWD,EACXE,QAAU,UACVC,SAAW,CACT,CACEG,MAAQP,EAAKiB,oBAAsB,UACnCf,SAAWD,uBACXK,UAAW,GAEbN,EAAKY,oBAGT,CAEEC,cAAgB,YAChBP,UAAY,GAEd,CAEEE,UAAY,WACZD,MAAQ,2DACRW,aAAc,EACdJ,IAAM,QACNZ,SAAWD,EACXc,YAAa,EACbX,SAAW,CACT,CACEG,MAAQP,EAAKiB,oBAAsB,UACnCC,aAAc,EACdZ,UAAW,EACXF,SAAW,CAACJ,EAAKgB,wBAEnB,CACER,UAAY,SACZD,MAAQ,KAAMO,IAAM,KACpBR,UAAW,EACXJ,SAAWD,EACXG,SAAW,CACTJ,EAAKW,iBACLX,EAAKY,kBACLZ,EAAKmB,cACLnB,EAAKU,uBAGTV,EAAKS,oBACLT,EAAKU,uBAGTV,EAAKmB,cACL,CAEEX,UAAY,OACZD,MAAQ","file":"highlight/aspectj.js?v=3a2c10b412d047a60bd8","sourcesContent":["module.exports = function (hljs) {\n var KEYWORDS =\n 'false synchronized int abstract float private char boolean static null if const ' +\n 'for true while long throw strictfp finally protected import native final return void ' +\n 'enum else extends implements break transient new catch instanceof byte super volatile case ' +\n 'assert short package default double public try this switch continue throws privileged ' +\n 'aspectOf adviceexecution proceed cflowbelow cflow initialization preinitialization ' +\n 'staticinitialization withincode target within execution getWithinTypeName handler ' +\n 'thisJoinPoint thisJoinPointStaticPart thisEnclosingJoinPointStaticPart declare parents '+\n 'warning error soft precedence thisAspectInstance';\n var SHORTKEYS = 'get set args call';\n return {\n keywords : KEYWORDS,\n illegal : /<\\/|#/,\n contains : [\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/',\n {\n relevance : 0,\n contains : [\n {\n // eat up @'s in emails to prevent them to be recognized as doctags\n begin: /\\w+@/, relevance: 0\n },\n {\n className : 'doctag',\n begin : '@[A-Za-z]+'\n }\n ]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className : 'class',\n beginKeywords : 'aspect',\n end : /[{;=]/,\n excludeEnd : true,\n illegal : /[:;\"\\[\\]]/,\n contains : [\n {\n beginKeywords : 'extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton'\n },\n hljs.UNDERSCORE_TITLE_MODE,\n {\n begin : /\\([^\\)]*/,\n end : /[)]+/,\n keywords : KEYWORDS + ' ' + SHORTKEYS,\n excludeEnd : false\n }\n ]\n },\n {\n className : 'class',\n beginKeywords : 'class interface',\n end : /[{;=]/,\n excludeEnd : true,\n relevance: 0,\n keywords : 'class interface',\n illegal : /[:\"\\[\\]]/,\n contains : [\n {beginKeywords : 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n // AspectJ Constructs\n beginKeywords : 'pointcut after before around throwing returning',\n end : /[)]/,\n excludeEnd : false,\n illegal : /[\"\\[\\]]/,\n contains : [\n {\n begin : hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(',\n returnBegin : true,\n contains : [hljs.UNDERSCORE_TITLE_MODE]\n }\n ]\n },\n {\n begin : /[:]/,\n returnBegin : true,\n end : /[{;]/,\n relevance: 0,\n excludeEnd : false,\n keywords : KEYWORDS,\n illegal : /[\"\\[\\]]/,\n contains : [\n {\n begin : hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(',\n keywords : KEYWORDS + ' ' + SHORTKEYS,\n relevance: 0\n },\n hljs.QUOTE_STRING_MODE\n ]\n },\n {\n // this prevents 'new Name(...), or throw ...' from being recognized as a function definition\n beginKeywords : 'new throw',\n relevance : 0\n },\n {\n // the function class is a bit different for AspectJ compared to the Java language\n className : 'function',\n begin : /\\w+ +\\w+(\\.)?\\w+\\s*\\([^\\)]*\\)\\s*((throws)[\\w\\s,]+)?[\\{;]/,\n returnBegin : true,\n end : /[{;=]/,\n keywords : KEYWORDS,\n excludeEnd : true,\n contains : [\n {\n begin : hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(',\n returnBegin : true,\n relevance: 0,\n contains : [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n className : 'params',\n begin : /\\(/, end : /\\)/,\n relevance: 0,\n keywords : KEYWORDS,\n contains : [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n hljs.C_NUMBER_MODE,\n {\n // annotation is also used in this language\n className : 'meta',\n begin : '@[A-Za-z]+'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/aspectj.js"],"names":["module","exports","hljs","KEYWORDS","keywords","illegal","contains","COMMENT","relevance","begin","className","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","beginKeywords","end","excludeEnd","UNDERSCORE_TITLE_MODE","UNDERSCORE_IDENT_RE","returnBegin","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,IAAIC,EACF,qoBASF,MAAO,CACLC,SAAWD,EACXE,QAAU,QACVC,SAAW,CACTJ,EAAKK,QACH,UACA,OACA,CACEC,UAAY,EACZF,SAAW,CACT,CAEEG,MAAO,OAAQD,UAAW,GAE5B,CACEE,UAAY,SACZD,MAAQ,iBAKhBP,EAAKS,oBACLT,EAAKU,qBACLV,EAAKW,iBACLX,EAAKY,kBACL,CACEJ,UAAY,QACZK,cAAgB,SAChBC,IAAM,QACNC,YAAa,EACbZ,QAAU,YACVC,SAAW,CACT,CACES,cAAgB,yFAElBb,EAAKgB,sBACL,CACET,MAAQ,WACRO,IAAM,OACNZ,SAAWD,uBACXc,YAAa,KAInB,CACEP,UAAY,QACZK,cAAgB,kBAChBC,IAAM,QACNC,YAAa,EACbT,UAAW,EACXJ,SAAW,kBACXC,QAAU,WACVC,SAAW,CACT,CAACS,cAAgB,sBACjBb,EAAKgB,wBAGT,CAEEH,cAAgB,kDAChBC,IAAM,MACNC,YAAa,EACbZ,QAAU,UACVC,SAAW,CACT,CACEG,MAAQP,EAAKiB,oBAAsB,UACnCC,aAAc,EACdd,SAAW,CAACJ,EAAKgB,0BAIvB,CACET,MAAQ,MACRW,aAAc,EACdJ,IAAM,OACNR,UAAW,EACXS,YAAa,EACbb,SAAWD,EACXE,QAAU,UACVC,SAAW,CACT,CACEG,MAAQP,EAAKiB,oBAAsB,UACnCf,SAAWD,uBACXK,UAAW,GAEbN,EAAKY,oBAGT,CAEEC,cAAgB,YAChBP,UAAY,GAEd,CAEEE,UAAY,WACZD,MAAQ,2DACRW,aAAc,EACdJ,IAAM,QACNZ,SAAWD,EACXc,YAAa,EACbX,SAAW,CACT,CACEG,MAAQP,EAAKiB,oBAAsB,UACnCC,aAAc,EACdZ,UAAW,EACXF,SAAW,CAACJ,EAAKgB,wBAEnB,CACER,UAAY,SACZD,MAAQ,KAAMO,IAAM,KACpBR,UAAW,EACXJ,SAAWD,EACXG,SAAW,CACTJ,EAAKW,iBACLX,EAAKY,kBACLZ,EAAKmB,cACLnB,EAAKU,uBAGTV,EAAKS,oBACLT,EAAKU,uBAGTV,EAAKmB,cACL,CAEEX,UAAY,OACZD,MAAQ","file":"highlight/aspectj.js?v=80494a33a16258364dd1","sourcesContent":["module.exports = function (hljs) {\n var KEYWORDS =\n 'false synchronized int abstract float private char boolean static null if const ' +\n 'for true while long throw strictfp finally protected import native final return void ' +\n 'enum else extends implements break transient new catch instanceof byte super volatile case ' +\n 'assert short package default double public try this switch continue throws privileged ' +\n 'aspectOf adviceexecution proceed cflowbelow cflow initialization preinitialization ' +\n 'staticinitialization withincode target within execution getWithinTypeName handler ' +\n 'thisJoinPoint thisJoinPointStaticPart thisEnclosingJoinPointStaticPart declare parents '+\n 'warning error soft precedence thisAspectInstance';\n var SHORTKEYS = 'get set args call';\n return {\n keywords : KEYWORDS,\n illegal : /<\\/|#/,\n contains : [\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/',\n {\n relevance : 0,\n contains : [\n {\n // eat up @'s in emails to prevent them to be recognized as doctags\n begin: /\\w+@/, relevance: 0\n },\n {\n className : 'doctag',\n begin : '@[A-Za-z]+'\n }\n ]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className : 'class',\n beginKeywords : 'aspect',\n end : /[{;=]/,\n excludeEnd : true,\n illegal : /[:;\"\\[\\]]/,\n contains : [\n {\n beginKeywords : 'extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton'\n },\n hljs.UNDERSCORE_TITLE_MODE,\n {\n begin : /\\([^\\)]*/,\n end : /[)]+/,\n keywords : KEYWORDS + ' ' + SHORTKEYS,\n excludeEnd : false\n }\n ]\n },\n {\n className : 'class',\n beginKeywords : 'class interface',\n end : /[{;=]/,\n excludeEnd : true,\n relevance: 0,\n keywords : 'class interface',\n illegal : /[:\"\\[\\]]/,\n contains : [\n {beginKeywords : 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n // AspectJ Constructs\n beginKeywords : 'pointcut after before around throwing returning',\n end : /[)]/,\n excludeEnd : false,\n illegal : /[\"\\[\\]]/,\n contains : [\n {\n begin : hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(',\n returnBegin : true,\n contains : [hljs.UNDERSCORE_TITLE_MODE]\n }\n ]\n },\n {\n begin : /[:]/,\n returnBegin : true,\n end : /[{;]/,\n relevance: 0,\n excludeEnd : false,\n keywords : KEYWORDS,\n illegal : /[\"\\[\\]]/,\n contains : [\n {\n begin : hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(',\n keywords : KEYWORDS + ' ' + SHORTKEYS,\n relevance: 0\n },\n hljs.QUOTE_STRING_MODE\n ]\n },\n {\n // this prevents 'new Name(...), or throw ...' from being recognized as a function definition\n beginKeywords : 'new throw',\n relevance : 0\n },\n {\n // the function class is a bit different for AspectJ compared to the Java language\n className : 'function',\n begin : /\\w+ +\\w+(\\.)?\\w+\\s*\\([^\\)]*\\)\\s*((throws)[\\w\\s,]+)?[\\{;]/,\n returnBegin : true,\n end : /[{;=]/,\n keywords : KEYWORDS,\n excludeEnd : true,\n contains : [\n {\n begin : hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(',\n returnBegin : true,\n relevance: 0,\n contains : [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n className : 'params',\n begin : /\\(/, end : /\\)/,\n relevance: 0,\n keywords : KEYWORDS,\n contains : [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n hljs.C_NUMBER_MODE,\n {\n // annotation is also used in this language\n className : 'meta',\n begin : '@[A-Za-z]+'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/autohotkey.js b/js/highlight/autohotkey.js
index c94dd8b4e..f6a6e366c 100644
--- a/js/highlight/autohotkey.js
+++ b/js/highlight/autohotkey.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[15],{381:function(e,a){e.exports=function(e){var a={begin:"`[\\s\\S]"};return{case_insensitive:!0,aliases:["ahk"],keywords:{keyword:"Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group",literal:"true false NOT AND OR",built_in:"ComSpec Clipboard ClipboardAll ErrorLevel"},contains:[a,e.inherit(e.QUOTE_STRING_MODE,{contains:[a]}),e.COMMENT(";","$",{relevance:0}),e.C_BLOCK_COMMENT_MODE,{className:"number",begin:e.NUMBER_RE,relevance:0},{className:"variable",begin:"%[a-zA-Z0-9#_$@]+%"},{className:"built_in",begin:"^\\s*\\w+\\s*(,|%)"},{className:"title",variants:[{begin:'^[^\\n";]+::(?!=)'},{begin:'^[^\\n";]+:(?!=)',relevance:0}]},{className:"meta",begin:"^\\s*#\\w+",end:"$",relevance:0},{className:"built_in",begin:"A_[a-zA-Z0-9]+"},{begin:",\\s*,"}]}}}}]);
-//# sourceMappingURL=autohotkey.js.map?v=feb1d1e2c65a332af9bf \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[15],{382:function(e,a){e.exports=function(e){var a={begin:"`[\\s\\S]"};return{case_insensitive:!0,aliases:["ahk"],keywords:{keyword:"Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group",literal:"true false NOT AND OR",built_in:"ComSpec Clipboard ClipboardAll ErrorLevel"},contains:[a,e.inherit(e.QUOTE_STRING_MODE,{contains:[a]}),e.COMMENT(";","$",{relevance:0}),e.C_BLOCK_COMMENT_MODE,{className:"number",begin:e.NUMBER_RE,relevance:0},{className:"variable",begin:"%[a-zA-Z0-9#_$@]+%"},{className:"built_in",begin:"^\\s*\\w+\\s*(,|%)"},{className:"title",variants:[{begin:'^[^\\n";]+::(?!=)'},{begin:'^[^\\n";]+:(?!=)',relevance:0}]},{className:"meta",begin:"^\\s*#\\w+",end:"$",relevance:0},{className:"built_in",begin:"A_[a-zA-Z0-9]+"},{begin:",\\s*,"}]}}}}]);
+//# sourceMappingURL=autohotkey.js.map?v=eb67f56dc4c79e97cfb0 \ No newline at end of file
diff --git a/js/highlight/autohotkey.js.map b/js/highlight/autohotkey.js.map
index 675e51e64..c4c8e3061 100644
--- a/js/highlight/autohotkey.js.map
+++ b/js/highlight/autohotkey.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/autohotkey.js"],"names":["module","exports","hljs","BACKTICK_ESCAPE","begin","case_insensitive","aliases","keywords","keyword","literal","built_in","contains","inherit","QUOTE_STRING_MODE","COMMENT","relevance","C_BLOCK_COMMENT_MODE","className","NUMBER_RE","variants","end"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAkB,CACpBC,MAAO,aAGT,MAAO,CACLC,kBAAkB,EAClBC,QAAS,CAAE,OACXC,SAAU,CACRC,QAAS,uKACTC,QAAS,wBACTC,SAAU,6CAEZC,SAAU,CACRR,EACAD,EAAKU,QAAQV,EAAKW,kBAAmB,CAACF,SAAU,CAACR,KACjDD,EAAKY,QAAQ,IAAK,IAAK,CAACC,UAAW,IACnCb,EAAKc,qBACL,CACEC,UAAW,SACXb,MAAOF,EAAKgB,UACZH,UAAW,GAEb,CACEE,UAAW,WACXb,MAAO,sBAET,CACEa,UAAW,WACXb,MAAO,sBAGT,CACEa,UAAW,QAEXE,SAAU,CACR,CAACf,MAAO,qBACR,CAACA,MAAO,mBAAoBW,UAAW,KAI3C,CACEE,UAAW,OACXb,MAAO,aAAcgB,IAAI,IACzBL,UAAW,GAEd,CACGE,UAAW,WACXb,MAAO,kBAET,CAEEA,MAAO","file":"highlight/autohotkey.js?v=feb1d1e2c65a332af9bf","sourcesContent":["module.exports = function(hljs) {\n var BACKTICK_ESCAPE = {\n begin: '`[\\\\s\\\\S]'\n };\n\n return {\n case_insensitive: true,\n aliases: [ 'ahk' ],\n keywords: {\n keyword: 'Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group',\n literal: 'true false NOT AND OR',\n built_in: 'ComSpec Clipboard ClipboardAll ErrorLevel',\n },\n contains: [\n BACKTICK_ESCAPE,\n hljs.inherit(hljs.QUOTE_STRING_MODE, {contains: [BACKTICK_ESCAPE]}),\n hljs.COMMENT(';', '$', {relevance: 0}),\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'number',\n begin: hljs.NUMBER_RE,\n relevance: 0\n },\n {\n className: 'variable', //subst would be the most accurate however fails the point of highlighting. variable is comparably the most accurate that actually has some effect\n begin: '%[a-zA-Z0-9#_$@]+%'\n },\n {\n className: 'built_in',\n begin: '^\\\\s*\\\\w+\\\\s*(,|%)'\n //I don't really know if this is totally relevant\n },\n {\n className: 'title', //symbol would be most accurate however is higlighted just like built_in and that makes up a lot of AutoHotkey code\n\t\t //meaning that it would fail to highlight anything\n variants: [\n {begin: '^[^\\\\n\";]+::(?!=)'},\n {begin: '^[^\\\\n\";]+:(?!=)', relevance: 0} // zero relevance as it catches a lot of things\n // followed by a single ':' in many languages\n ]\n },\n {\n className: 'meta', \n begin: '^\\\\s*#\\\\w+', end:'$',\n relevance: 0\n },\n\t {\n className: 'built_in',\n begin: 'A_[a-zA-Z0-9]+'\n },\n {\n // consecutive commas, not for highlighting but just for relevance\n begin: ',\\\\s*,'\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/autohotkey.js"],"names":["module","exports","hljs","BACKTICK_ESCAPE","begin","case_insensitive","aliases","keywords","keyword","literal","built_in","contains","inherit","QUOTE_STRING_MODE","COMMENT","relevance","C_BLOCK_COMMENT_MODE","className","NUMBER_RE","variants","end"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAkB,CACpBC,MAAO,aAGT,MAAO,CACLC,kBAAkB,EAClBC,QAAS,CAAE,OACXC,SAAU,CACRC,QAAS,uKACTC,QAAS,wBACTC,SAAU,6CAEZC,SAAU,CACRR,EACAD,EAAKU,QAAQV,EAAKW,kBAAmB,CAACF,SAAU,CAACR,KACjDD,EAAKY,QAAQ,IAAK,IAAK,CAACC,UAAW,IACnCb,EAAKc,qBACL,CACEC,UAAW,SACXb,MAAOF,EAAKgB,UACZH,UAAW,GAEb,CACEE,UAAW,WACXb,MAAO,sBAET,CACEa,UAAW,WACXb,MAAO,sBAGT,CACEa,UAAW,QAEXE,SAAU,CACR,CAACf,MAAO,qBACR,CAACA,MAAO,mBAAoBW,UAAW,KAI3C,CACEE,UAAW,OACXb,MAAO,aAAcgB,IAAI,IACzBL,UAAW,GAEd,CACGE,UAAW,WACXb,MAAO,kBAET,CAEEA,MAAO","file":"highlight/autohotkey.js?v=eb67f56dc4c79e97cfb0","sourcesContent":["module.exports = function(hljs) {\n var BACKTICK_ESCAPE = {\n begin: '`[\\\\s\\\\S]'\n };\n\n return {\n case_insensitive: true,\n aliases: [ 'ahk' ],\n keywords: {\n keyword: 'Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group',\n literal: 'true false NOT AND OR',\n built_in: 'ComSpec Clipboard ClipboardAll ErrorLevel',\n },\n contains: [\n BACKTICK_ESCAPE,\n hljs.inherit(hljs.QUOTE_STRING_MODE, {contains: [BACKTICK_ESCAPE]}),\n hljs.COMMENT(';', '$', {relevance: 0}),\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'number',\n begin: hljs.NUMBER_RE,\n relevance: 0\n },\n {\n className: 'variable', //subst would be the most accurate however fails the point of highlighting. variable is comparably the most accurate that actually has some effect\n begin: '%[a-zA-Z0-9#_$@]+%'\n },\n {\n className: 'built_in',\n begin: '^\\\\s*\\\\w+\\\\s*(,|%)'\n //I don't really know if this is totally relevant\n },\n {\n className: 'title', //symbol would be most accurate however is higlighted just like built_in and that makes up a lot of AutoHotkey code\n\t\t //meaning that it would fail to highlight anything\n variants: [\n {begin: '^[^\\\\n\";]+::(?!=)'},\n {begin: '^[^\\\\n\";]+:(?!=)', relevance: 0} // zero relevance as it catches a lot of things\n // followed by a single ':' in many languages\n ]\n },\n {\n className: 'meta', \n begin: '^\\\\s*#\\\\w+', end:'$',\n relevance: 0\n },\n\t {\n className: 'built_in',\n begin: 'A_[a-zA-Z0-9]+'\n },\n {\n // consecutive commas, not for highlighting but just for relevance\n begin: ',\\\\s*,'\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/autoit.js b/js/highlight/autoit.js
index 9a943d952..79edae5b8 100644
--- a/js/highlight/autoit.js
+++ b/js/highlight/autoit.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[16],{382:function(e,t){e.exports=function(e){var t={variants:[e.COMMENT(";","$",{relevance:0}),e.COMMENT("#cs","#ce"),e.COMMENT("#comments-start","#comments-end")]},r={begin:"\\$[A-z0-9_]+"},i={className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},n={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]};return{case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:"ByRef Case Const ContinueCase ContinueLoop Default Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With",built_in:"Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait",literal:"True False And Null Not Or"},contains:[t,r,i,n,{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"comments include include-once NoTrayIcon OnAutoItStartRegister pragma compile RequireAdmin"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",keywords:{"meta-keyword":"include"},end:"$",contains:[i,{className:"meta-string",variants:[{begin:"<",end:">"},{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]}]},i,t]},{className:"symbol",begin:"@[A-z0-9_]+"},{className:"function",beginKeywords:"Func",end:"$",illegal:"\\$|\\[|%",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:[r,i,n]}]}]}}}}]);
-//# sourceMappingURL=autoit.js.map?v=0cba5e452dfcc760d998 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[16],{383:function(e,t){e.exports=function(e){var t={variants:[e.COMMENT(";","$",{relevance:0}),e.COMMENT("#cs","#ce"),e.COMMENT("#comments-start","#comments-end")]},r={begin:"\\$[A-z0-9_]+"},i={className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},n={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]};return{case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:"ByRef Case Const ContinueCase ContinueLoop Default Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With",built_in:"Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait",literal:"True False And Null Not Or"},contains:[t,r,i,n,{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"comments include include-once NoTrayIcon OnAutoItStartRegister pragma compile RequireAdmin"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",keywords:{"meta-keyword":"include"},end:"$",contains:[i,{className:"meta-string",variants:[{begin:"<",end:">"},{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]}]},i,t]},{className:"symbol",begin:"@[A-z0-9_]+"},{className:"function",beginKeywords:"Func",end:"$",illegal:"\\$|\\[|%",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:[r,i,n]}]}]}}}}]);
+//# sourceMappingURL=autoit.js.map?v=b1c2c197768faebf2efc \ No newline at end of file
diff --git a/js/highlight/autoit.js.map b/js/highlight/autoit.js.map
index 7c6b179bd..eed2ba961 100644
--- a/js/highlight/autoit.js.map
+++ b/js/highlight/autoit.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/autoit.js"],"names":["module","exports","hljs","COMMENT","variants","relevance","VARIABLE","begin","STRING","className","end","contains","NUMBER","BINARY_NUMBER_MODE","C_NUMBER_MODE","case_insensitive","illegal","keywords","keyword","built_in","literal","beginKeywords","UNDERSCORE_TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACtB,IAWIC,EAAU,CACNC,SAAU,CACRF,EAAKC,QAAQ,IAAK,IAAK,CAACE,UAAW,IACnCH,EAAKC,QAAQ,MAAO,OACpBD,EAAKC,QAAQ,kBAAmB,mBAItCG,EAAW,CACPC,MAAO,iBAGXC,EAAS,CACLC,UAAW,SACXL,SAAU,CAAC,CACPG,MAAO,IACPG,IAAK,IACLC,SAAU,CAAC,CACPJ,MAAO,KACPF,UAAW,KAEhB,CACCE,MAAO,IACPG,IAAK,IACLC,SAAU,CAAC,CACPJ,MAAO,KACPF,UAAW,OAKvBO,EAAS,CACLR,SAAU,CAACF,EAAKW,mBAAoBX,EAAKY,gBAwEjD,MAAO,CACHC,kBAAkB,EAClBC,QAAS,OACTC,SAAU,CACNC,QAvHO,oPAwHPC,SA/GF,k4JAgHEC,QAnHM,8BAqHVT,SAAU,CACNR,EACAG,EACAE,EACAI,EAjFW,CACXH,UAAW,OACXF,MAAO,IACPG,IAAK,IACLO,SAAU,CAAC,eAAgB,8FAC3BN,SAAU,CAAC,CACHJ,MAAO,OACPF,UAAW,GACZ,CACCgB,cAAe,UACfJ,SAAU,CAAC,eAAgB,WAC3BP,IAAK,IACLC,SAAU,CACNH,EAAQ,CACJC,UAAW,cACXL,SAAU,CAAC,CACPG,MAAO,IACPG,IAAK,KACN,CACCH,MAAO,IACPG,IAAK,IACLC,SAAU,CAAC,CACPJ,MAAO,KACPF,UAAW,KAEhB,CACCE,MAAO,IACPG,IAAK,IACLC,SAAU,CAAC,CACPJ,MAAO,KACPF,UAAW,SAM/BG,EACAL,IAIG,CACPM,UAAW,SAKXF,MAAO,eAGA,CACPE,UAAW,WACXY,cAAe,OACfX,IAAK,IACLM,QAAS,YACTL,SAAU,CACNT,EAAKoB,sBAAuB,CACxBb,UAAW,SACXF,MAAO,MACPG,IAAK,MACLC,SAAU,CACNL,EACAE,EACAI","file":"highlight/autoit.js?v=0cba5e452dfcc760d998","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = 'ByRef Case Const ContinueCase ContinueLoop ' +\n 'Default Dim Do Else ElseIf EndFunc EndIf EndSelect ' +\n 'EndSwitch EndWith Enum Exit ExitLoop For Func ' +\n 'Global If In Local Next ReDim Return Select Static ' +\n 'Step Switch Then To Until Volatile WEnd While With',\n\n LITERAL = 'True False And Null Not Or',\n\n BUILT_IN =\n 'Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait',\n\n COMMENT = {\n variants: [\n hljs.COMMENT(';', '$', {relevance: 0}),\n hljs.COMMENT('#cs', '#ce'),\n hljs.COMMENT('#comments-start', '#comments-end')\n ]\n },\n\n VARIABLE = {\n begin: '\\\\$[A-z0-9_]+'\n },\n\n STRING = {\n className: 'string',\n variants: [{\n begin: /\"/,\n end: /\"/,\n contains: [{\n begin: /\"\"/,\n relevance: 0\n }]\n }, {\n begin: /'/,\n end: /'/,\n contains: [{\n begin: /''/,\n relevance: 0\n }]\n }]\n },\n\n NUMBER = {\n variants: [hljs.BINARY_NUMBER_MODE, hljs.C_NUMBER_MODE]\n },\n\n PREPROCESSOR = {\n className: 'meta',\n begin: '#',\n end: '$',\n keywords: {'meta-keyword': 'comments include include-once NoTrayIcon OnAutoItStartRegister pragma compile RequireAdmin'},\n contains: [{\n begin: /\\\\\\n/,\n relevance: 0\n }, {\n beginKeywords: 'include',\n keywords: {'meta-keyword': 'include'},\n end: '$',\n contains: [\n STRING, {\n className: 'meta-string',\n variants: [{\n begin: '<',\n end: '>'\n }, {\n begin: /\"/,\n end: /\"/,\n contains: [{\n begin: /\"\"/,\n relevance: 0\n }]\n }, {\n begin: /'/,\n end: /'/,\n contains: [{\n begin: /''/,\n relevance: 0\n }]\n }]\n }\n ]\n },\n STRING,\n COMMENT\n ]\n },\n\n CONSTANT = {\n className: 'symbol',\n // begin: '@',\n // end: '$',\n // keywords: 'AppDataCommonDir AppDataDir AutoItExe AutoItPID AutoItVersion AutoItX64 COM_EventObj CommonFilesDir Compiled ComputerName ComSpec CPUArch CR CRLF DesktopCommonDir DesktopDepth DesktopDir DesktopHeight DesktopRefresh DesktopWidth DocumentsCommonDir error exitCode exitMethod extended FavoritesCommonDir FavoritesDir GUI_CtrlHandle GUI_CtrlId GUI_DragFile GUI_DragId GUI_DropId GUI_WinHandle HomeDrive HomePath HomeShare HotKeyPressed HOUR IPAddress1 IPAddress2 IPAddress3 IPAddress4 KBLayout LF LocalAppDataDir LogonDNSDomain LogonDomain LogonServer MDAY MIN MON MSEC MUILang MyDocumentsDir NumParams OSArch OSBuild OSLang OSServicePack OSType OSVersion ProgramFilesDir ProgramsCommonDir ProgramsDir ScriptDir ScriptFullPath ScriptLineNumber ScriptName SEC StartMenuCommonDir StartMenuDir StartupCommonDir StartupDir SW_DISABLE SW_ENABLE SW_HIDE SW_LOCK SW_MAXIMIZE SW_MINIMIZE SW_RESTORE SW_SHOW SW_SHOWDEFAULT SW_SHOWMAXIMIZED SW_SHOWMINIMIZED SW_SHOWMINNOACTIVE SW_SHOWNA SW_SHOWNOACTIVATE SW_SHOWNORMAL SW_UNLOCK SystemDir TAB TempDir TRAY_ID TrayIconFlashing TrayIconVisible UserName UserProfileDir WDAY WindowsDir WorkingDir YDAY YEAR',\n // relevance: 5\n begin: '@[A-z0-9_]+'\n },\n\n FUNCTION = {\n className: 'function',\n beginKeywords: 'Func',\n end: '$',\n illegal: '\\\\$|\\\\[|%',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE, {\n className: 'params',\n begin: '\\\\(',\n end: '\\\\)',\n contains: [\n VARIABLE,\n STRING,\n NUMBER\n ]\n }\n ]\n };\n\n return {\n case_insensitive: true,\n illegal: /\\/\\*/,\n keywords: {\n keyword: KEYWORDS,\n built_in: BUILT_IN,\n literal: LITERAL\n },\n contains: [\n COMMENT,\n VARIABLE,\n STRING,\n NUMBER,\n PREPROCESSOR,\n CONSTANT,\n FUNCTION\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/autoit.js"],"names":["module","exports","hljs","COMMENT","variants","relevance","VARIABLE","begin","STRING","className","end","contains","NUMBER","BINARY_NUMBER_MODE","C_NUMBER_MODE","case_insensitive","illegal","keywords","keyword","built_in","literal","beginKeywords","UNDERSCORE_TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACtB,IAWIC,EAAU,CACNC,SAAU,CACRF,EAAKC,QAAQ,IAAK,IAAK,CAACE,UAAW,IACnCH,EAAKC,QAAQ,MAAO,OACpBD,EAAKC,QAAQ,kBAAmB,mBAItCG,EAAW,CACPC,MAAO,iBAGXC,EAAS,CACLC,UAAW,SACXL,SAAU,CAAC,CACPG,MAAO,IACPG,IAAK,IACLC,SAAU,CAAC,CACPJ,MAAO,KACPF,UAAW,KAEhB,CACCE,MAAO,IACPG,IAAK,IACLC,SAAU,CAAC,CACPJ,MAAO,KACPF,UAAW,OAKvBO,EAAS,CACLR,SAAU,CAACF,EAAKW,mBAAoBX,EAAKY,gBAwEjD,MAAO,CACHC,kBAAkB,EAClBC,QAAS,OACTC,SAAU,CACNC,QAvHO,oPAwHPC,SA/GF,k4JAgHEC,QAnHM,8BAqHVT,SAAU,CACNR,EACAG,EACAE,EACAI,EAjFW,CACXH,UAAW,OACXF,MAAO,IACPG,IAAK,IACLO,SAAU,CAAC,eAAgB,8FAC3BN,SAAU,CAAC,CACHJ,MAAO,OACPF,UAAW,GACZ,CACCgB,cAAe,UACfJ,SAAU,CAAC,eAAgB,WAC3BP,IAAK,IACLC,SAAU,CACNH,EAAQ,CACJC,UAAW,cACXL,SAAU,CAAC,CACPG,MAAO,IACPG,IAAK,KACN,CACCH,MAAO,IACPG,IAAK,IACLC,SAAU,CAAC,CACPJ,MAAO,KACPF,UAAW,KAEhB,CACCE,MAAO,IACPG,IAAK,IACLC,SAAU,CAAC,CACPJ,MAAO,KACPF,UAAW,SAM/BG,EACAL,IAIG,CACPM,UAAW,SAKXF,MAAO,eAGA,CACPE,UAAW,WACXY,cAAe,OACfX,IAAK,IACLM,QAAS,YACTL,SAAU,CACNT,EAAKoB,sBAAuB,CACxBb,UAAW,SACXF,MAAO,MACPG,IAAK,MACLC,SAAU,CACNL,EACAE,EACAI","file":"highlight/autoit.js?v=b1c2c197768faebf2efc","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = 'ByRef Case Const ContinueCase ContinueLoop ' +\n 'Default Dim Do Else ElseIf EndFunc EndIf EndSelect ' +\n 'EndSwitch EndWith Enum Exit ExitLoop For Func ' +\n 'Global If In Local Next ReDim Return Select Static ' +\n 'Step Switch Then To Until Volatile WEnd While With',\n\n LITERAL = 'True False And Null Not Or',\n\n BUILT_IN =\n 'Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait',\n\n COMMENT = {\n variants: [\n hljs.COMMENT(';', '$', {relevance: 0}),\n hljs.COMMENT('#cs', '#ce'),\n hljs.COMMENT('#comments-start', '#comments-end')\n ]\n },\n\n VARIABLE = {\n begin: '\\\\$[A-z0-9_]+'\n },\n\n STRING = {\n className: 'string',\n variants: [{\n begin: /\"/,\n end: /\"/,\n contains: [{\n begin: /\"\"/,\n relevance: 0\n }]\n }, {\n begin: /'/,\n end: /'/,\n contains: [{\n begin: /''/,\n relevance: 0\n }]\n }]\n },\n\n NUMBER = {\n variants: [hljs.BINARY_NUMBER_MODE, hljs.C_NUMBER_MODE]\n },\n\n PREPROCESSOR = {\n className: 'meta',\n begin: '#',\n end: '$',\n keywords: {'meta-keyword': 'comments include include-once NoTrayIcon OnAutoItStartRegister pragma compile RequireAdmin'},\n contains: [{\n begin: /\\\\\\n/,\n relevance: 0\n }, {\n beginKeywords: 'include',\n keywords: {'meta-keyword': 'include'},\n end: '$',\n contains: [\n STRING, {\n className: 'meta-string',\n variants: [{\n begin: '<',\n end: '>'\n }, {\n begin: /\"/,\n end: /\"/,\n contains: [{\n begin: /\"\"/,\n relevance: 0\n }]\n }, {\n begin: /'/,\n end: /'/,\n contains: [{\n begin: /''/,\n relevance: 0\n }]\n }]\n }\n ]\n },\n STRING,\n COMMENT\n ]\n },\n\n CONSTANT = {\n className: 'symbol',\n // begin: '@',\n // end: '$',\n // keywords: 'AppDataCommonDir AppDataDir AutoItExe AutoItPID AutoItVersion AutoItX64 COM_EventObj CommonFilesDir Compiled ComputerName ComSpec CPUArch CR CRLF DesktopCommonDir DesktopDepth DesktopDir DesktopHeight DesktopRefresh DesktopWidth DocumentsCommonDir error exitCode exitMethod extended FavoritesCommonDir FavoritesDir GUI_CtrlHandle GUI_CtrlId GUI_DragFile GUI_DragId GUI_DropId GUI_WinHandle HomeDrive HomePath HomeShare HotKeyPressed HOUR IPAddress1 IPAddress2 IPAddress3 IPAddress4 KBLayout LF LocalAppDataDir LogonDNSDomain LogonDomain LogonServer MDAY MIN MON MSEC MUILang MyDocumentsDir NumParams OSArch OSBuild OSLang OSServicePack OSType OSVersion ProgramFilesDir ProgramsCommonDir ProgramsDir ScriptDir ScriptFullPath ScriptLineNumber ScriptName SEC StartMenuCommonDir StartMenuDir StartupCommonDir StartupDir SW_DISABLE SW_ENABLE SW_HIDE SW_LOCK SW_MAXIMIZE SW_MINIMIZE SW_RESTORE SW_SHOW SW_SHOWDEFAULT SW_SHOWMAXIMIZED SW_SHOWMINIMIZED SW_SHOWMINNOACTIVE SW_SHOWNA SW_SHOWNOACTIVATE SW_SHOWNORMAL SW_UNLOCK SystemDir TAB TempDir TRAY_ID TrayIconFlashing TrayIconVisible UserName UserProfileDir WDAY WindowsDir WorkingDir YDAY YEAR',\n // relevance: 5\n begin: '@[A-z0-9_]+'\n },\n\n FUNCTION = {\n className: 'function',\n beginKeywords: 'Func',\n end: '$',\n illegal: '\\\\$|\\\\[|%',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE, {\n className: 'params',\n begin: '\\\\(',\n end: '\\\\)',\n contains: [\n VARIABLE,\n STRING,\n NUMBER\n ]\n }\n ]\n };\n\n return {\n case_insensitive: true,\n illegal: /\\/\\*/,\n keywords: {\n keyword: KEYWORDS,\n built_in: BUILT_IN,\n literal: LITERAL\n },\n contains: [\n COMMENT,\n VARIABLE,\n STRING,\n NUMBER,\n PREPROCESSOR,\n CONSTANT,\n FUNCTION\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/avrasm.js b/js/highlight/avrasm.js
index 873f23b16..c5e04c8f9 100644
--- a/js/highlight/avrasm.js
+++ b/js/highlight/avrasm.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[17],{383:function(r,c){r.exports=function(r){return{case_insensitive:!0,lexemes:"\\.?"+r.IDENT_RE,keywords:{keyword:"adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub subi swap tst wdr",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf",meta:".byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set"},contains:[r.C_BLOCK_COMMENT_MODE,r.COMMENT(";","$",{relevance:0}),r.C_NUMBER_MODE,r.BINARY_NUMBER_MODE,{className:"number",begin:"\\b(\\$[a-zA-Z0-9]+|0o[0-7]+)"},r.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",illegal:"[^\\\\][^']"},{className:"symbol",begin:"^[A-Za-z0-9_.$]+:"},{className:"meta",begin:"#",end:"$"},{className:"subst",begin:"@[0-9]+"}]}}}}]);
-//# sourceMappingURL=avrasm.js.map?v=670f9f95aaff5410673d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[17],{384:function(r,c){r.exports=function(r){return{case_insensitive:!0,lexemes:"\\.?"+r.IDENT_RE,keywords:{keyword:"adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub subi swap tst wdr",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf",meta:".byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set"},contains:[r.C_BLOCK_COMMENT_MODE,r.COMMENT(";","$",{relevance:0}),r.C_NUMBER_MODE,r.BINARY_NUMBER_MODE,{className:"number",begin:"\\b(\\$[a-zA-Z0-9]+|0o[0-7]+)"},r.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",illegal:"[^\\\\][^']"},{className:"symbol",begin:"^[A-Za-z0-9_.$]+:"},{className:"meta",begin:"#",end:"$"},{className:"subst",begin:"@[0-9]+"}]}}}}]);
+//# sourceMappingURL=avrasm.js.map?v=03440adea0408be2ab13 \ No newline at end of file
diff --git a/js/highlight/avrasm.js.map b/js/highlight/avrasm.js.map
index 98e7cef40..4cb06dd7c 100644
--- a/js/highlight/avrasm.js.map
+++ b/js/highlight/avrasm.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/avrasm.js"],"names":["module","exports","hljs","case_insensitive","lexemes","IDENT_RE","keywords","keyword","built_in","meta","contains","C_BLOCK_COMMENT_MODE","COMMENT","relevance","C_NUMBER_MODE","BINARY_NUMBER_MODE","className","begin","QUOTE_STRING_MODE","end","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,OAASF,EAAKG,SACvBC,SAAU,CACRC,QAEE,mgBAOFC,SAEE,6xBAWFC,KACE,sHAGJC,SAAU,CACRR,EAAKS,qBACLT,EAAKU,QACH,IACA,IACA,CACEC,UAAW,IAGfX,EAAKY,cACLZ,EAAKa,mBACL,CACEC,UAAW,SACXC,MAAO,iCAETf,EAAKgB,kBACL,CACEF,UAAW,SACXC,MAAO,IAAME,IAAK,WAClBC,QAAS,eAEX,CAACJ,UAAW,SAAWC,MAAO,qBAC9B,CAACD,UAAW,OAAQC,MAAO,IAAKE,IAAK,KACrC,CACEH,UAAW,QACXC,MAAO","file":"highlight/avrasm.js?v=670f9f95aaff5410673d","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n lexemes: '\\\\.?' + hljs.IDENT_RE,\n keywords: {\n keyword:\n /* mnemonic */\n 'adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs ' +\n 'brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr ' +\n 'clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor ' +\n 'fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul ' +\n 'muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs ' +\n 'sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub ' +\n 'subi swap tst wdr',\n built_in:\n /* general purpose registers */\n 'r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 ' +\n 'r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ' +\n /* IO Registers (ATMega128) */\n 'ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h ' +\n 'tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ' +\n 'ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ' +\n 'ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk ' +\n 'tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ' +\n 'ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr ' +\n 'porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ' +\n 'ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf',\n meta:\n '.byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list ' +\n '.listmac .macro .nolist .org .set'\n },\n contains: [\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n ),\n hljs.C_NUMBER_MODE, // 0x..., decimal, float\n hljs.BINARY_NUMBER_MODE, // 0b...\n {\n className: 'number',\n begin: '\\\\b(\\\\$[a-zA-Z0-9]+|0o[0-7]+)' // $..., 0o...\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '\\'', end: '[^\\\\\\\\]\\'',\n illegal: '[^\\\\\\\\][^\\']'\n },\n {className: 'symbol', begin: '^[A-Za-z0-9_.$]+:'},\n {className: 'meta', begin: '#', end: '$'},\n { // substitution within a macro\n className: 'subst',\n begin: '@[0-9]+'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/avrasm.js"],"names":["module","exports","hljs","case_insensitive","lexemes","IDENT_RE","keywords","keyword","built_in","meta","contains","C_BLOCK_COMMENT_MODE","COMMENT","relevance","C_NUMBER_MODE","BINARY_NUMBER_MODE","className","begin","QUOTE_STRING_MODE","end","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,OAASF,EAAKG,SACvBC,SAAU,CACRC,QAEE,mgBAOFC,SAEE,6xBAWFC,KACE,sHAGJC,SAAU,CACRR,EAAKS,qBACLT,EAAKU,QACH,IACA,IACA,CACEC,UAAW,IAGfX,EAAKY,cACLZ,EAAKa,mBACL,CACEC,UAAW,SACXC,MAAO,iCAETf,EAAKgB,kBACL,CACEF,UAAW,SACXC,MAAO,IAAME,IAAK,WAClBC,QAAS,eAEX,CAACJ,UAAW,SAAWC,MAAO,qBAC9B,CAACD,UAAW,OAAQC,MAAO,IAAKE,IAAK,KACrC,CACEH,UAAW,QACXC,MAAO","file":"highlight/avrasm.js?v=03440adea0408be2ab13","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n lexemes: '\\\\.?' + hljs.IDENT_RE,\n keywords: {\n keyword:\n /* mnemonic */\n 'adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs ' +\n 'brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr ' +\n 'clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor ' +\n 'fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul ' +\n 'muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs ' +\n 'sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub ' +\n 'subi swap tst wdr',\n built_in:\n /* general purpose registers */\n 'r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 ' +\n 'r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ' +\n /* IO Registers (ATMega128) */\n 'ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h ' +\n 'tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ' +\n 'ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ' +\n 'ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk ' +\n 'tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ' +\n 'ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr ' +\n 'porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ' +\n 'ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf',\n meta:\n '.byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list ' +\n '.listmac .macro .nolist .org .set'\n },\n contains: [\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n ),\n hljs.C_NUMBER_MODE, // 0x..., decimal, float\n hljs.BINARY_NUMBER_MODE, // 0b...\n {\n className: 'number',\n begin: '\\\\b(\\\\$[a-zA-Z0-9]+|0o[0-7]+)' // $..., 0o...\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '\\'', end: '[^\\\\\\\\]\\'',\n illegal: '[^\\\\\\\\][^\\']'\n },\n {className: 'symbol', begin: '^[A-Za-z0-9_.$]+:'},\n {className: 'meta', begin: '#', end: '$'},\n { // substitution within a macro\n className: 'subst',\n begin: '@[0-9]+'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/awk.js b/js/highlight/awk.js
index 13c792bfe..9b68f857b 100644
--- a/js/highlight/awk.js
+++ b/js/highlight/awk.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[18],{384:function(e,n){e.exports=function(e){return{keywords:{keyword:"BEGIN END if else while do for in break continue delete next nextfile function func exit|10"},contains:[{className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)}/}]},{className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,relevance:10},{begin:/(u|b)?r?"""/,end:/"""/,relevance:10},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)"/,end:/"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)"/,end:/"/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},e.REGEXP_MODE,e.HASH_COMMENT_MODE,e.NUMBER_MODE]}}}}]);
-//# sourceMappingURL=awk.js.map?v=8908b67ae2d46f588034 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[18],{385:function(e,n){e.exports=function(e){return{keywords:{keyword:"BEGIN END if else while do for in break continue delete next nextfile function func exit|10"},contains:[{className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)}/}]},{className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,relevance:10},{begin:/(u|b)?r?"""/,end:/"""/,relevance:10},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)"/,end:/"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)"/,end:/"/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},e.REGEXP_MODE,e.HASH_COMMENT_MODE,e.NUMBER_MODE]}}}}]);
+//# sourceMappingURL=awk.js.map?v=f14a658a37d21c4300ae \ No newline at end of file
diff --git a/js/highlight/awk.js.map b/js/highlight/awk.js.map
index 5a3845ebb..ba26aa589 100644
--- a/js/highlight/awk.js.map
+++ b/js/highlight/awk.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/awk.js"],"names":["module","exports","hljs","keywords","keyword","contains","className","variants","begin","BACKSLASH_ESCAPE","end","relevance","APOS_STRING_MODE","QUOTE_STRING_MODE","REGEXP_MODE","HASH_COMMENT_MODE","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAuCxB,MAAO,CACPC,SAAU,CACRC,QAjCa,+FAmCbC,SAAU,CA1CG,CACbC,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,sBACR,CAACA,MAAO,gBAIC,CACXF,UAAW,SACXD,SAAU,CAACH,EAAKO,kBAChBF,SAAU,CACR,CACEC,MAAO,cAAeE,IAAK,MAC3BC,UAAW,IAEb,CACEH,MAAO,cAAeE,IAAK,MAC3BC,UAAW,IAEb,CACEH,MAAO,YAAaE,IAAK,IACzBC,UAAW,IAEb,CACEH,MAAO,YAAaE,IAAK,IACzBC,UAAW,IAEb,CACEH,MAAO,UAAWE,IAAK,KAEzB,CACEF,MAAO,UAAWE,IAAK,KAEzBR,EAAKU,iBACLV,EAAKW,oBAULX,EAAKY,YACLZ,EAAKa,kBACLb,EAAKc","file":"highlight/awk.js?v=8908b67ae2d46f588034","sourcesContent":["module.exports = function(hljs) {\n var VARIABLE = {\n className: 'variable',\n variants: [\n {begin: /\\$[\\w\\d#@][\\w\\d_]*/},\n {begin: /\\$\\{(.*?)}/}\n ]\n };\n var KEYWORDS = 'BEGIN END if else while do for in break continue delete next nextfile function func exit|10';\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {\n begin: /(u|b)?r?'''/, end: /'''/,\n relevance: 10\n },\n {\n begin: /(u|b)?r?\"\"\"/, end: /\"\"\"/,\n relevance: 10\n },\n {\n begin: /(u|r|ur)'/, end: /'/,\n relevance: 10\n },\n {\n begin: /(u|r|ur)\"/, end: /\"/,\n relevance: 10\n },\n {\n begin: /(b|br)'/, end: /'/\n },\n {\n begin: /(b|br)\"/, end: /\"/\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n };\n return {\n\t keywords: {\n\t keyword: KEYWORDS\n },\n contains: [\n VARIABLE,\n STRING,\n hljs.REGEXP_MODE,\n hljs.HASH_COMMENT_MODE,\n hljs.NUMBER_MODE\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/awk.js"],"names":["module","exports","hljs","keywords","keyword","contains","className","variants","begin","BACKSLASH_ESCAPE","end","relevance","APOS_STRING_MODE","QUOTE_STRING_MODE","REGEXP_MODE","HASH_COMMENT_MODE","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAuCxB,MAAO,CACPC,SAAU,CACRC,QAjCa,+FAmCbC,SAAU,CA1CG,CACbC,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,sBACR,CAACA,MAAO,gBAIC,CACXF,UAAW,SACXD,SAAU,CAACH,EAAKO,kBAChBF,SAAU,CACR,CACEC,MAAO,cAAeE,IAAK,MAC3BC,UAAW,IAEb,CACEH,MAAO,cAAeE,IAAK,MAC3BC,UAAW,IAEb,CACEH,MAAO,YAAaE,IAAK,IACzBC,UAAW,IAEb,CACEH,MAAO,YAAaE,IAAK,IACzBC,UAAW,IAEb,CACEH,MAAO,UAAWE,IAAK,KAEzB,CACEF,MAAO,UAAWE,IAAK,KAEzBR,EAAKU,iBACLV,EAAKW,oBAULX,EAAKY,YACLZ,EAAKa,kBACLb,EAAKc","file":"highlight/awk.js?v=f14a658a37d21c4300ae","sourcesContent":["module.exports = function(hljs) {\n var VARIABLE = {\n className: 'variable',\n variants: [\n {begin: /\\$[\\w\\d#@][\\w\\d_]*/},\n {begin: /\\$\\{(.*?)}/}\n ]\n };\n var KEYWORDS = 'BEGIN END if else while do for in break continue delete next nextfile function func exit|10';\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {\n begin: /(u|b)?r?'''/, end: /'''/,\n relevance: 10\n },\n {\n begin: /(u|b)?r?\"\"\"/, end: /\"\"\"/,\n relevance: 10\n },\n {\n begin: /(u|r|ur)'/, end: /'/,\n relevance: 10\n },\n {\n begin: /(u|r|ur)\"/, end: /\"/,\n relevance: 10\n },\n {\n begin: /(b|br)'/, end: /'/\n },\n {\n begin: /(b|br)\"/, end: /\"/\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n };\n return {\n\t keywords: {\n\t keyword: KEYWORDS\n },\n contains: [\n VARIABLE,\n STRING,\n hljs.REGEXP_MODE,\n hljs.HASH_COMMENT_MODE,\n hljs.NUMBER_MODE\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/axapta.js b/js/highlight/axapta.js
index e0fd182f8..45ed31b3f 100644
--- a/js/highlight/axapta.js
+++ b/js/highlight/axapta.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[19],{385:function(e,t){e.exports=function(e){return{keywords:"false int abstract private char boolean static null if for true while long throw finally protected final return void enum else break new catch byte super case short default double public try this switch continue reverse firstfast firstonly forupdate nofetch sum avg minof maxof count order group by asc desc index hint like dispaly edit client server ttsbegin ttscommit str real date container anytype common div mod",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,illegal:":",contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]}]}}}}]);
-//# sourceMappingURL=axapta.js.map?v=e0f104cf2339dde93596 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[19],{386:function(e,t){e.exports=function(e){return{keywords:"false int abstract private char boolean static null if for true while long throw finally protected final return void enum else break new catch byte super case short default double public try this switch continue reverse firstfast firstonly forupdate nofetch sum avg minof maxof count order group by asc desc index hint like dispaly edit client server ttsbegin ttscommit str real date container anytype common div mod",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,illegal:":",contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]}]}}}}]);
+//# sourceMappingURL=axapta.js.map?v=06a1e9a27797e6036fb9 \ No newline at end of file
diff --git a/js/highlight/axapta.js.map b/js/highlight/axapta.js.map
index 65ca3c27b..ea8ae55a6 100644
--- a/js/highlight/axapta.js.map
+++ b/js/highlight/axapta.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/axapta.js"],"names":["module","exports","hljs","keywords","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE","className","begin","end","beginKeywords","excludeEnd","illegal","UNDERSCORE_TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,maAMVC,SAAU,CACRF,EAAKG,oBACLH,EAAKI,qBACLJ,EAAKK,iBACLL,EAAKM,kBACLN,EAAKO,cACL,CACEC,UAAW,OACXC,MAAO,IAAKC,IAAK,KAEnB,CACEF,UAAW,QACXG,cAAe,kBAAmBD,IAAK,IAAKE,YAAY,EACxDC,QAAS,IACTX,SAAU,CACR,CAACS,cAAe,sBAChBX,EAAKc","file":"highlight/axapta.js?v=e0f104cf2339dde93596","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: 'false int abstract private char boolean static null if for true ' +\n 'while long throw finally protected final return void enum else ' +\n 'break new catch byte super case short default double public try this switch ' +\n 'continue reverse firstfast firstonly forupdate nofetch sum avg minof maxof count ' +\n 'order group by asc desc index hint like dispaly edit client server ttsbegin ' +\n 'ttscommit str real date container anytype common div mod',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '#', end: '$'\n },\n {\n className: 'class',\n beginKeywords: 'class interface', end: '{', excludeEnd: true,\n illegal: ':',\n contains: [\n {beginKeywords: 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/axapta.js"],"names":["module","exports","hljs","keywords","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE","className","begin","end","beginKeywords","excludeEnd","illegal","UNDERSCORE_TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,maAMVC,SAAU,CACRF,EAAKG,oBACLH,EAAKI,qBACLJ,EAAKK,iBACLL,EAAKM,kBACLN,EAAKO,cACL,CACEC,UAAW,OACXC,MAAO,IAAKC,IAAK,KAEnB,CACEF,UAAW,QACXG,cAAe,kBAAmBD,IAAK,IAAKE,YAAY,EACxDC,QAAS,IACTX,SAAU,CACR,CAACS,cAAe,sBAChBX,EAAKc","file":"highlight/axapta.js?v=06a1e9a27797e6036fb9","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: 'false int abstract private char boolean static null if for true ' +\n 'while long throw finally protected final return void enum else ' +\n 'break new catch byte super case short default double public try this switch ' +\n 'continue reverse firstfast firstonly forupdate nofetch sum avg minof maxof count ' +\n 'order group by asc desc index hint like dispaly edit client server ttsbegin ' +\n 'ttscommit str real date container anytype common div mod',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '#', end: '$'\n },\n {\n className: 'class',\n beginKeywords: 'class interface', end: '{', excludeEnd: true,\n illegal: ':',\n contains: [\n {beginKeywords: 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/bash.js b/js/highlight/bash.js
index c214eac43..baaa619be 100644
--- a/js/highlight/bash.js
+++ b/js/highlight/bash.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[20],{386:function(e,t){e.exports=function(e){var t={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)}/}]},s={className:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,t,{className:"variable",begin:/\$\(/,end:/\)/,contains:[e.BACKSLASH_ESCAPE]}]};return{aliases:["sh","zsh"],lexemes:/\b-?[a-z\._]+\b/,keywords:{keyword:"if then else elif fi for while in do done case esac function",literal:"true false",built_in:"break cd continue eval exec exit export getopts hash pwd readonly return shift test times trap umask unset alias bind builtin caller command declare echo enable help let local logout mapfile printf read readarray source type typeset ulimit unalias set shopt autoload bg bindkey bye cap chdir clone comparguments compcall compctl compdescribe compfiles compgroups compquote comptags comptry compvalues dirs disable disown echotc echoti emulate fc fg float functions getcap getln history integer jobs kill limit log noglob popd print pushd pushln rehash sched setcap setopt stat suspend ttyctl unfunction unhash unlimit unsetopt vared wait whence where which zcompile zformat zftp zle zmodload zparseopts zprof zpty zregexparse zsocket zstyle ztcp",_:"-ne -eq -lt -gt -f -d -e -s -l -a"},contains:[{className:"meta",begin:/^#![^\n]+sh\s*$/,relevance:10},{className:"function",begin:/\w[\w\d_]*\s*\(\s*\)\s*\{/,returnBegin:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/\w[\w\d_]*/})],relevance:0},e.HASH_COMMENT_MODE,s,{className:"",begin:/\\"/},{className:"string",begin:/'/,end:/'/},t]}}}}]);
-//# sourceMappingURL=bash.js.map?v=61cc9cd76682ca7d6c9c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[20],{387:function(e,t){e.exports=function(e){var t={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)}/}]},s={className:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,t,{className:"variable",begin:/\$\(/,end:/\)/,contains:[e.BACKSLASH_ESCAPE]}]};return{aliases:["sh","zsh"],lexemes:/\b-?[a-z\._]+\b/,keywords:{keyword:"if then else elif fi for while in do done case esac function",literal:"true false",built_in:"break cd continue eval exec exit export getopts hash pwd readonly return shift test times trap umask unset alias bind builtin caller command declare echo enable help let local logout mapfile printf read readarray source type typeset ulimit unalias set shopt autoload bg bindkey bye cap chdir clone comparguments compcall compctl compdescribe compfiles compgroups compquote comptags comptry compvalues dirs disable disown echotc echoti emulate fc fg float functions getcap getln history integer jobs kill limit log noglob popd print pushd pushln rehash sched setcap setopt stat suspend ttyctl unfunction unhash unlimit unsetopt vared wait whence where which zcompile zformat zftp zle zmodload zparseopts zprof zpty zregexparse zsocket zstyle ztcp",_:"-ne -eq -lt -gt -f -d -e -s -l -a"},contains:[{className:"meta",begin:/^#![^\n]+sh\s*$/,relevance:10},{className:"function",begin:/\w[\w\d_]*\s*\(\s*\)\s*\{/,returnBegin:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/\w[\w\d_]*/})],relevance:0},e.HASH_COMMENT_MODE,s,{className:"",begin:/\\"/},{className:"string",begin:/'/,end:/'/},t]}}}}]);
+//# sourceMappingURL=bash.js.map?v=63e8c97d235cf8f2ef15 \ No newline at end of file
diff --git a/js/highlight/bash.js.map b/js/highlight/bash.js.map
index c4d07c59a..d1f31eae6 100644
--- a/js/highlight/bash.js.map
+++ b/js/highlight/bash.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/bash.js"],"names":["module","exports","hljs","VAR","className","variants","begin","QUOTE_STRING","end","contains","BACKSLASH_ESCAPE","aliases","lexemes","keywords","keyword","literal","built_in","_","relevance","returnBegin","inherit","TITLE_MODE","HASH_COMMENT_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAM,CACRC,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,sBACR,CAACA,MAAO,gBAGRC,EAAe,CACjBH,UAAW,SACXE,MAAO,IAAKE,IAAK,IACjBC,SAAU,CACRP,EAAKQ,iBACLP,EACA,CACEC,UAAW,WACXE,MAAO,OAAQE,IAAK,KACpBC,SAAU,CAACP,EAAKQ,qBActB,MAAO,CACLC,QAAS,CAAC,KAAM,OAChBC,QAAS,kBACTC,SAAU,CACRC,QACE,+DACFC,QACE,aACFC,SAGE,4uBAcFC,EACE,qCAEJR,SAAU,CACR,CACEL,UAAW,OACXE,MAAO,kBACPY,UAAW,IAEb,CACEd,UAAW,WACXE,MAAO,4BACPa,aAAa,EACbV,SAAU,CAACP,EAAKkB,QAAQlB,EAAKmB,WAAY,CAACf,MAAO,gBACjDY,UAAW,GAEbhB,EAAKoB,kBACLf,EApDgB,CAClBH,UAAW,GACXE,MAAO,OAGS,CAChBF,UAAW,SACXE,MAAO,IAAKE,IAAK,KAgDfL","file":"highlight/bash.js?v=61cc9cd76682ca7d6c9c","sourcesContent":["module.exports = function(hljs) {\n var VAR = {\n className: 'variable',\n variants: [\n {begin: /\\$[\\w\\d#@][\\w\\d_]*/},\n {begin: /\\$\\{(.*?)}/}\n ]\n };\n var QUOTE_STRING = {\n className: 'string',\n begin: /\"/, end: /\"/,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n VAR,\n {\n className: 'variable',\n begin: /\\$\\(/, end: /\\)/,\n contains: [hljs.BACKSLASH_ESCAPE]\n }\n ]\n };\n var ESCAPED_QUOTE = {\n className: '',\n begin: /\\\\\"/\n\n };\n var APOS_STRING = {\n className: 'string',\n begin: /'/, end: /'/\n };\n\n return {\n aliases: ['sh', 'zsh'],\n lexemes: /\\b-?[a-z\\._]+\\b/,\n keywords: {\n keyword:\n 'if then else elif fi for while in do done case esac function',\n literal:\n 'true false',\n built_in:\n // Shell built-ins\n // http://www.gnu.org/software/bash/manual/html_node/Shell-Builtin-Commands.html\n 'break cd continue eval exec exit export getopts hash pwd readonly return shift test times ' +\n 'trap umask unset ' +\n // Bash built-ins\n 'alias bind builtin caller command declare echo enable help let local logout mapfile printf ' +\n 'read readarray source type typeset ulimit unalias ' +\n // Shell modifiers\n 'set shopt ' +\n // Zsh built-ins\n 'autoload bg bindkey bye cap chdir clone comparguments compcall compctl compdescribe compfiles ' +\n 'compgroups compquote comptags comptry compvalues dirs disable disown echotc echoti emulate ' +\n 'fc fg float functions getcap getln history integer jobs kill limit log noglob popd print ' +\n 'pushd pushln rehash sched setcap setopt stat suspend ttyctl unfunction unhash unlimit ' +\n 'unsetopt vared wait whence where which zcompile zformat zftp zle zmodload zparseopts zprof ' +\n 'zpty zregexparse zsocket zstyle ztcp',\n _:\n '-ne -eq -lt -gt -f -d -e -s -l -a' // relevance booster\n },\n contains: [\n {\n className: 'meta',\n begin: /^#![^\\n]+sh\\s*$/,\n relevance: 10\n },\n {\n className: 'function',\n begin: /\\w[\\w\\d_]*\\s*\\(\\s*\\)\\s*\\{/,\n returnBegin: true,\n contains: [hljs.inherit(hljs.TITLE_MODE, {begin: /\\w[\\w\\d_]*/})],\n relevance: 0\n },\n hljs.HASH_COMMENT_MODE,\n QUOTE_STRING,\n ESCAPED_QUOTE,\n APOS_STRING,\n VAR\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/bash.js"],"names":["module","exports","hljs","VAR","className","variants","begin","QUOTE_STRING","end","contains","BACKSLASH_ESCAPE","aliases","lexemes","keywords","keyword","literal","built_in","_","relevance","returnBegin","inherit","TITLE_MODE","HASH_COMMENT_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAM,CACRC,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,sBACR,CAACA,MAAO,gBAGRC,EAAe,CACjBH,UAAW,SACXE,MAAO,IAAKE,IAAK,IACjBC,SAAU,CACRP,EAAKQ,iBACLP,EACA,CACEC,UAAW,WACXE,MAAO,OAAQE,IAAK,KACpBC,SAAU,CAACP,EAAKQ,qBActB,MAAO,CACLC,QAAS,CAAC,KAAM,OAChBC,QAAS,kBACTC,SAAU,CACRC,QACE,+DACFC,QACE,aACFC,SAGE,4uBAcFC,EACE,qCAEJR,SAAU,CACR,CACEL,UAAW,OACXE,MAAO,kBACPY,UAAW,IAEb,CACEd,UAAW,WACXE,MAAO,4BACPa,aAAa,EACbV,SAAU,CAACP,EAAKkB,QAAQlB,EAAKmB,WAAY,CAACf,MAAO,gBACjDY,UAAW,GAEbhB,EAAKoB,kBACLf,EApDgB,CAClBH,UAAW,GACXE,MAAO,OAGS,CAChBF,UAAW,SACXE,MAAO,IAAKE,IAAK,KAgDfL","file":"highlight/bash.js?v=63e8c97d235cf8f2ef15","sourcesContent":["module.exports = function(hljs) {\n var VAR = {\n className: 'variable',\n variants: [\n {begin: /\\$[\\w\\d#@][\\w\\d_]*/},\n {begin: /\\$\\{(.*?)}/}\n ]\n };\n var QUOTE_STRING = {\n className: 'string',\n begin: /\"/, end: /\"/,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n VAR,\n {\n className: 'variable',\n begin: /\\$\\(/, end: /\\)/,\n contains: [hljs.BACKSLASH_ESCAPE]\n }\n ]\n };\n var ESCAPED_QUOTE = {\n className: '',\n begin: /\\\\\"/\n\n };\n var APOS_STRING = {\n className: 'string',\n begin: /'/, end: /'/\n };\n\n return {\n aliases: ['sh', 'zsh'],\n lexemes: /\\b-?[a-z\\._]+\\b/,\n keywords: {\n keyword:\n 'if then else elif fi for while in do done case esac function',\n literal:\n 'true false',\n built_in:\n // Shell built-ins\n // http://www.gnu.org/software/bash/manual/html_node/Shell-Builtin-Commands.html\n 'break cd continue eval exec exit export getopts hash pwd readonly return shift test times ' +\n 'trap umask unset ' +\n // Bash built-ins\n 'alias bind builtin caller command declare echo enable help let local logout mapfile printf ' +\n 'read readarray source type typeset ulimit unalias ' +\n // Shell modifiers\n 'set shopt ' +\n // Zsh built-ins\n 'autoload bg bindkey bye cap chdir clone comparguments compcall compctl compdescribe compfiles ' +\n 'compgroups compquote comptags comptry compvalues dirs disable disown echotc echoti emulate ' +\n 'fc fg float functions getcap getln history integer jobs kill limit log noglob popd print ' +\n 'pushd pushln rehash sched setcap setopt stat suspend ttyctl unfunction unhash unlimit ' +\n 'unsetopt vared wait whence where which zcompile zformat zftp zle zmodload zparseopts zprof ' +\n 'zpty zregexparse zsocket zstyle ztcp',\n _:\n '-ne -eq -lt -gt -f -d -e -s -l -a' // relevance booster\n },\n contains: [\n {\n className: 'meta',\n begin: /^#![^\\n]+sh\\s*$/,\n relevance: 10\n },\n {\n className: 'function',\n begin: /\\w[\\w\\d_]*\\s*\\(\\s*\\)\\s*\\{/,\n returnBegin: true,\n contains: [hljs.inherit(hljs.TITLE_MODE, {begin: /\\w[\\w\\d_]*/})],\n relevance: 0\n },\n hljs.HASH_COMMENT_MODE,\n QUOTE_STRING,\n ESCAPED_QUOTE,\n APOS_STRING,\n VAR\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/basic.js b/js/highlight/basic.js
index 130c5f3c1..70e114794 100644
--- a/js/highlight/basic.js
+++ b/js/highlight/basic.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[21],{387:function(E,T){E.exports=function(E){return{case_insensitive:!0,illegal:"^.",lexemes:"[a-zA-Z][a-zA-Z0-9_$%!#]*",keywords:{keyword:"ABS ASC AND ATN AUTO|0 BEEP BLOAD|10 BSAVE|10 CALL CALLS CDBL CHAIN CHDIR CHR$|10 CINT CIRCLE CLEAR CLOSE CLS COLOR COM COMMON CONT COS CSNG CSRLIN CVD CVI CVS DATA DATE$ DEFDBL DEFINT DEFSNG DEFSTR DEF|0 SEG USR DELETE DIM DRAW EDIT END ENVIRON ENVIRON$ EOF EQV ERASE ERDEV ERDEV$ ERL ERR ERROR EXP FIELD FILES FIX FOR|0 FRE GET GOSUB|10 GOTO HEX$ IF THEN ELSE|0 INKEY$ INP INPUT INPUT# INPUT$ INSTR IMP INT IOCTL IOCTL$ KEY ON OFF LIST KILL LEFT$ LEN LET LINE LLIST LOAD LOC LOCATE LOF LOG LPRINT USING LSET MERGE MID$ MKDIR MKD$ MKI$ MKS$ MOD NAME NEW NEXT NOISE NOT OCT$ ON OR PEN PLAY STRIG OPEN OPTION BASE OUT PAINT PALETTE PCOPY PEEK PMAP POINT POKE POS PRINT PRINT] PSET PRESET PUT RANDOMIZE READ REM RENUM RESET|0 RESTORE RESUME RETURN|0 RIGHT$ RMDIR RND RSET RUN SAVE SCREEN SGN SHELL SIN SOUND SPACE$ SPC SQR STEP STICK STOP STR$ STRING$ SWAP SYSTEM TAB TAN TIME$ TIMER TROFF TRON TO USR VAL VARPTR VARPTR$ VIEW WAIT WHILE WEND WIDTH WINDOW WRITE XOR"},contains:[E.QUOTE_STRING_MODE,E.COMMENT("REM","$",{relevance:10}),E.COMMENT("'","$",{relevance:0}),{className:"symbol",begin:"^[0-9]+ ",relevance:10},{className:"number",begin:"\\b([0-9]+[0-9edED.]*[#!]?)",relevance:0},{className:"number",begin:"(&[hH][0-9a-fA-F]{1,4})"},{className:"number",begin:"(&[oO][0-7]{1,6})"}]}}}}]);
-//# sourceMappingURL=basic.js.map?v=5edbbab081eede46fe8d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[21],{388:function(E,T){E.exports=function(E){return{case_insensitive:!0,illegal:"^.",lexemes:"[a-zA-Z][a-zA-Z0-9_$%!#]*",keywords:{keyword:"ABS ASC AND ATN AUTO|0 BEEP BLOAD|10 BSAVE|10 CALL CALLS CDBL CHAIN CHDIR CHR$|10 CINT CIRCLE CLEAR CLOSE CLS COLOR COM COMMON CONT COS CSNG CSRLIN CVD CVI CVS DATA DATE$ DEFDBL DEFINT DEFSNG DEFSTR DEF|0 SEG USR DELETE DIM DRAW EDIT END ENVIRON ENVIRON$ EOF EQV ERASE ERDEV ERDEV$ ERL ERR ERROR EXP FIELD FILES FIX FOR|0 FRE GET GOSUB|10 GOTO HEX$ IF THEN ELSE|0 INKEY$ INP INPUT INPUT# INPUT$ INSTR IMP INT IOCTL IOCTL$ KEY ON OFF LIST KILL LEFT$ LEN LET LINE LLIST LOAD LOC LOCATE LOF LOG LPRINT USING LSET MERGE MID$ MKDIR MKD$ MKI$ MKS$ MOD NAME NEW NEXT NOISE NOT OCT$ ON OR PEN PLAY STRIG OPEN OPTION BASE OUT PAINT PALETTE PCOPY PEEK PMAP POINT POKE POS PRINT PRINT] PSET PRESET PUT RANDOMIZE READ REM RENUM RESET|0 RESTORE RESUME RETURN|0 RIGHT$ RMDIR RND RSET RUN SAVE SCREEN SGN SHELL SIN SOUND SPACE$ SPC SQR STEP STICK STOP STR$ STRING$ SWAP SYSTEM TAB TAN TIME$ TIMER TROFF TRON TO USR VAL VARPTR VARPTR$ VIEW WAIT WHILE WEND WIDTH WINDOW WRITE XOR"},contains:[E.QUOTE_STRING_MODE,E.COMMENT("REM","$",{relevance:10}),E.COMMENT("'","$",{relevance:0}),{className:"symbol",begin:"^[0-9]+ ",relevance:10},{className:"number",begin:"\\b([0-9]+[0-9edED.]*[#!]?)",relevance:0},{className:"number",begin:"(&[hH][0-9a-fA-F]{1,4})"},{className:"number",begin:"(&[oO][0-7]{1,6})"}]}}}}]);
+//# sourceMappingURL=basic.js.map?v=05e2ec59a02550149906 \ No newline at end of file
diff --git a/js/highlight/basic.js.map b/js/highlight/basic.js.map
index 0445e79c5..b521e51bc 100644
--- a/js/highlight/basic.js.map
+++ b/js/highlight/basic.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/basic.js"],"names":["module","exports","hljs","case_insensitive","illegal","lexemes","keywords","keyword","contains","QUOTE_STRING_MODE","COMMENT","relevance","className","begin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,KAETC,QAAS,4BACTC,SAAU,CACNC,QACE,s8BAaNC,SAAU,CACRN,EAAKO,kBACLP,EAAKQ,QAAQ,MAAO,IAAK,CAACC,UAAW,KACrCT,EAAKQ,QAAQ,IAAM,IAAK,CAACC,UAAW,IACpC,CAEEC,UAAW,SACXC,MAAO,WACPF,UAAW,IAEb,CAEEC,UAAW,SACXC,MAAO,8BACPF,UAAW,GAEb,CAEEC,UAAW,SACXC,MAAO,2BAET,CAEED,UAAW,SACXC,MAAO","file":"highlight/basic.js?v=5edbbab081eede46fe8d","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n illegal: '^\\.',\n // Support explicitely typed variables that end with $%! or #.\n lexemes: '[a-zA-Z][a-zA-Z0-9_\\$\\%\\!\\#]*',\n keywords: {\n keyword:\n 'ABS ASC AND ATN AUTO|0 BEEP BLOAD|10 BSAVE|10 CALL CALLS CDBL CHAIN CHDIR CHR$|10 CINT CIRCLE ' +\n 'CLEAR CLOSE CLS COLOR COM COMMON CONT COS CSNG CSRLIN CVD CVI CVS DATA DATE$ ' +\n 'DEFDBL DEFINT DEFSNG DEFSTR DEF|0 SEG USR DELETE DIM DRAW EDIT END ENVIRON ENVIRON$ ' +\n 'EOF EQV ERASE ERDEV ERDEV$ ERL ERR ERROR EXP FIELD FILES FIX FOR|0 FRE GET GOSUB|10 GOTO ' +\n 'HEX$ IF THEN ELSE|0 INKEY$ INP INPUT INPUT# INPUT$ INSTR IMP INT IOCTL IOCTL$ KEY ON ' +\n 'OFF LIST KILL LEFT$ LEN LET LINE LLIST LOAD LOC LOCATE LOF LOG LPRINT USING LSET ' +\n 'MERGE MID$ MKDIR MKD$ MKI$ MKS$ MOD NAME NEW NEXT NOISE NOT OCT$ ON OR PEN PLAY STRIG OPEN OPTION ' +\n 'BASE OUT PAINT PALETTE PCOPY PEEK PMAP POINT POKE POS PRINT PRINT] PSET PRESET ' +\n 'PUT RANDOMIZE READ REM RENUM RESET|0 RESTORE RESUME RETURN|0 RIGHT$ RMDIR RND RSET ' +\n 'RUN SAVE SCREEN SGN SHELL SIN SOUND SPACE$ SPC SQR STEP STICK STOP STR$ STRING$ SWAP ' +\n 'SYSTEM TAB TAN TIME$ TIMER TROFF TRON TO USR VAL VARPTR VARPTR$ VIEW WAIT WHILE ' +\n 'WEND WIDTH WINDOW WRITE XOR'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.COMMENT('REM', '$', {relevance: 10}),\n hljs.COMMENT('\\'', '$', {relevance: 0}),\n {\n // Match line numbers\n className: 'symbol',\n begin: '^[0-9]+\\ ',\n relevance: 10\n },\n {\n // Match typed numeric constants (1000, 12.34!, 1.2e5, 1.5#, 1.2D2)\n className: 'number',\n begin: '\\\\b([0-9]+[0-9edED\\.]*[#\\!]?)',\n relevance: 0\n },\n {\n // Match hexadecimal numbers (&Hxxxx)\n className: 'number',\n begin: '(\\&[hH][0-9a-fA-F]{1,4})'\n },\n {\n // Match octal numbers (&Oxxxxxx)\n className: 'number',\n begin: '(\\&[oO][0-7]{1,6})'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/basic.js"],"names":["module","exports","hljs","case_insensitive","illegal","lexemes","keywords","keyword","contains","QUOTE_STRING_MODE","COMMENT","relevance","className","begin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,KAETC,QAAS,4BACTC,SAAU,CACNC,QACE,s8BAaNC,SAAU,CACRN,EAAKO,kBACLP,EAAKQ,QAAQ,MAAO,IAAK,CAACC,UAAW,KACrCT,EAAKQ,QAAQ,IAAM,IAAK,CAACC,UAAW,IACpC,CAEEC,UAAW,SACXC,MAAO,WACPF,UAAW,IAEb,CAEEC,UAAW,SACXC,MAAO,8BACPF,UAAW,GAEb,CAEEC,UAAW,SACXC,MAAO,2BAET,CAEED,UAAW,SACXC,MAAO","file":"highlight/basic.js?v=05e2ec59a02550149906","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n illegal: '^\\.',\n // Support explicitely typed variables that end with $%! or #.\n lexemes: '[a-zA-Z][a-zA-Z0-9_\\$\\%\\!\\#]*',\n keywords: {\n keyword:\n 'ABS ASC AND ATN AUTO|0 BEEP BLOAD|10 BSAVE|10 CALL CALLS CDBL CHAIN CHDIR CHR$|10 CINT CIRCLE ' +\n 'CLEAR CLOSE CLS COLOR COM COMMON CONT COS CSNG CSRLIN CVD CVI CVS DATA DATE$ ' +\n 'DEFDBL DEFINT DEFSNG DEFSTR DEF|0 SEG USR DELETE DIM DRAW EDIT END ENVIRON ENVIRON$ ' +\n 'EOF EQV ERASE ERDEV ERDEV$ ERL ERR ERROR EXP FIELD FILES FIX FOR|0 FRE GET GOSUB|10 GOTO ' +\n 'HEX$ IF THEN ELSE|0 INKEY$ INP INPUT INPUT# INPUT$ INSTR IMP INT IOCTL IOCTL$ KEY ON ' +\n 'OFF LIST KILL LEFT$ LEN LET LINE LLIST LOAD LOC LOCATE LOF LOG LPRINT USING LSET ' +\n 'MERGE MID$ MKDIR MKD$ MKI$ MKS$ MOD NAME NEW NEXT NOISE NOT OCT$ ON OR PEN PLAY STRIG OPEN OPTION ' +\n 'BASE OUT PAINT PALETTE PCOPY PEEK PMAP POINT POKE POS PRINT PRINT] PSET PRESET ' +\n 'PUT RANDOMIZE READ REM RENUM RESET|0 RESTORE RESUME RETURN|0 RIGHT$ RMDIR RND RSET ' +\n 'RUN SAVE SCREEN SGN SHELL SIN SOUND SPACE$ SPC SQR STEP STICK STOP STR$ STRING$ SWAP ' +\n 'SYSTEM TAB TAN TIME$ TIMER TROFF TRON TO USR VAL VARPTR VARPTR$ VIEW WAIT WHILE ' +\n 'WEND WIDTH WINDOW WRITE XOR'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.COMMENT('REM', '$', {relevance: 10}),\n hljs.COMMENT('\\'', '$', {relevance: 0}),\n {\n // Match line numbers\n className: 'symbol',\n begin: '^[0-9]+\\ ',\n relevance: 10\n },\n {\n // Match typed numeric constants (1000, 12.34!, 1.2e5, 1.5#, 1.2D2)\n className: 'number',\n begin: '\\\\b([0-9]+[0-9edED\\.]*[#\\!]?)',\n relevance: 0\n },\n {\n // Match hexadecimal numbers (&Hxxxx)\n className: 'number',\n begin: '(\\&[hH][0-9a-fA-F]{1,4})'\n },\n {\n // Match octal numbers (&Oxxxxxx)\n className: 'number',\n begin: '(\\&[oO][0-7]{1,6})'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/bnf.js b/js/highlight/bnf.js
index c89233628..c0546a77c 100644
--- a/js/highlight/bnf.js
+++ b/js/highlight/bnf.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[22],{388:function(n,t){n.exports=function(n){return{contains:[{className:"attribute",begin:/</,end:/>/},{begin:/::=/,starts:{end:/$/,contains:[{begin:/</,end:/>/},n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE,n.APOS_STRING_MODE,n.QUOTE_STRING_MODE]}}]}}}}]);
-//# sourceMappingURL=bnf.js.map?v=c308a241ae1a184f9629 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[22],{389:function(n,t){n.exports=function(n){return{contains:[{className:"attribute",begin:/</,end:/>/},{begin:/::=/,starts:{end:/$/,contains:[{begin:/</,end:/>/},n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE,n.APOS_STRING_MODE,n.QUOTE_STRING_MODE]}}]}}}}]);
+//# sourceMappingURL=bnf.js.map?v=4d6660fa6ec96528db4a \ No newline at end of file
diff --git a/js/highlight/bnf.js.map b/js/highlight/bnf.js.map
index 323592174..7728c8bb4 100644
--- a/js/highlight/bnf.js.map
+++ b/js/highlight/bnf.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/bnf.js"],"names":["module","exports","hljs","contains","className","begin","end","starts","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CAER,CACEC,UAAW,YACXC,MAAO,IAAKC,IAAK,KAGnB,CACED,MAAO,MACPE,OAAQ,CACND,IAAK,IACLH,SAAU,CACR,CACEE,MAAO,IAAKC,IAAK,KAGnBJ,EAAKM,oBACLN,EAAKO,qBACLP,EAAKQ,iBACLR,EAAKS","file":"highlight/bnf.js?v=c308a241ae1a184f9629","sourcesContent":["module.exports = function(hljs){\n return {\n contains: [\n // Attribute\n {\n className: 'attribute',\n begin: /</, end: />/\n },\n // Specific\n {\n begin: /::=/,\n starts: {\n end: /$/,\n contains: [\n {\n begin: /</, end: />/\n },\n // Common\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n }\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/bnf.js"],"names":["module","exports","hljs","contains","className","begin","end","starts","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CAER,CACEC,UAAW,YACXC,MAAO,IAAKC,IAAK,KAGnB,CACED,MAAO,MACPE,OAAQ,CACND,IAAK,IACLH,SAAU,CACR,CACEE,MAAO,IAAKC,IAAK,KAGnBJ,EAAKM,oBACLN,EAAKO,qBACLP,EAAKQ,iBACLR,EAAKS","file":"highlight/bnf.js?v=4d6660fa6ec96528db4a","sourcesContent":["module.exports = function(hljs){\n return {\n contains: [\n // Attribute\n {\n className: 'attribute',\n begin: /</, end: />/\n },\n // Specific\n {\n begin: /::=/,\n starts: {\n end: /$/,\n contains: [\n {\n begin: /</, end: />/\n },\n // Common\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n }\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/brainfuck.js b/js/highlight/brainfuck.js
index a1931ca73..a9fff2f6f 100644
--- a/js/highlight/brainfuck.js
+++ b/js/highlight/brainfuck.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[23],{389:function(e,n){e.exports=function(e){var n={className:"literal",begin:"[\\+\\-]",relevance:0};return{aliases:["bf"],contains:[e.COMMENT("[^\\[\\]\\.,\\+\\-<> \r\n]","[\\[\\]\\.,\\+\\-<> \r\n]",{returnEnd:!0,relevance:0}),{className:"title",begin:"[\\[\\]]",relevance:0},{className:"string",begin:"[\\.,]",relevance:0},{begin:/(?:\+\+|\-\-)/,contains:[n]},n]}}}}]);
-//# sourceMappingURL=brainfuck.js.map?v=69a1d83d373c8e516a75 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[23],{390:function(e,n){e.exports=function(e){var n={className:"literal",begin:"[\\+\\-]",relevance:0};return{aliases:["bf"],contains:[e.COMMENT("[^\\[\\]\\.,\\+\\-<> \r\n]","[\\[\\]\\.,\\+\\-<> \r\n]",{returnEnd:!0,relevance:0}),{className:"title",begin:"[\\[\\]]",relevance:0},{className:"string",begin:"[\\.,]",relevance:0},{begin:/(?:\+\+|\-\-)/,contains:[n]},n]}}}}]);
+//# sourceMappingURL=brainfuck.js.map?v=bb63714d6fef384603dd \ No newline at end of file
diff --git a/js/highlight/brainfuck.js.map b/js/highlight/brainfuck.js.map
index eb2a35b05..8d9645dbc 100644
--- a/js/highlight/brainfuck.js.map
+++ b/js/highlight/brainfuck.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/brainfuck.js"],"names":["module","exports","hljs","LITERAL","className","begin","relevance","aliases","contains","COMMENT","returnEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,UAAW,UACXC,MAAO,WACPC,UAAW,GAEb,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRN,EAAKO,QACH,6BACA,4BACA,CACEC,WAAW,EACXJ,UAAW,IAGf,CACEF,UAAW,QACXC,MAAO,WACPC,UAAW,GAEb,CACEF,UAAW,SACXC,MAAO,SACPC,UAAW,GAEb,CAEED,MAAO,gBACPG,SAAU,CAACL,IAEbA","file":"highlight/brainfuck.js?v=69a1d83d373c8e516a75","sourcesContent":["module.exports = function(hljs){\n var LITERAL = {\n className: 'literal',\n begin: '[\\\\+\\\\-]',\n relevance: 0\n };\n return {\n aliases: ['bf'],\n contains: [\n hljs.COMMENT(\n '[^\\\\[\\\\]\\\\.,\\\\+\\\\-<> \\r\\n]',\n '[\\\\[\\\\]\\\\.,\\\\+\\\\-<> \\r\\n]',\n {\n returnEnd: true,\n relevance: 0\n }\n ),\n {\n className: 'title',\n begin: '[\\\\[\\\\]]',\n relevance: 0\n },\n {\n className: 'string',\n begin: '[\\\\.,]',\n relevance: 0\n },\n {\n // this mode works as the only relevance counter\n begin: /(?:\\+\\+|\\-\\-)/,\n contains: [LITERAL]\n },\n LITERAL\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/brainfuck.js"],"names":["module","exports","hljs","LITERAL","className","begin","relevance","aliases","contains","COMMENT","returnEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,UAAW,UACXC,MAAO,WACPC,UAAW,GAEb,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRN,EAAKO,QACH,6BACA,4BACA,CACEC,WAAW,EACXJ,UAAW,IAGf,CACEF,UAAW,QACXC,MAAO,WACPC,UAAW,GAEb,CACEF,UAAW,SACXC,MAAO,SACPC,UAAW,GAEb,CAEED,MAAO,gBACPG,SAAU,CAACL,IAEbA","file":"highlight/brainfuck.js?v=bb63714d6fef384603dd","sourcesContent":["module.exports = function(hljs){\n var LITERAL = {\n className: 'literal',\n begin: '[\\\\+\\\\-]',\n relevance: 0\n };\n return {\n aliases: ['bf'],\n contains: [\n hljs.COMMENT(\n '[^\\\\[\\\\]\\\\.,\\\\+\\\\-<> \\r\\n]',\n '[\\\\[\\\\]\\\\.,\\\\+\\\\-<> \\r\\n]',\n {\n returnEnd: true,\n relevance: 0\n }\n ),\n {\n className: 'title',\n begin: '[\\\\[\\\\]]',\n relevance: 0\n },\n {\n className: 'string',\n begin: '[\\\\.,]',\n relevance: 0\n },\n {\n // this mode works as the only relevance counter\n begin: /(?:\\+\\+|\\-\\-)/,\n contains: [LITERAL]\n },\n LITERAL\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/cal.js b/js/highlight/cal.js
index 09744d67c..5f228ba82 100644
--- a/js/highlight/cal.js
+++ b/js/highlight/cal.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[24],{390:function(e,n){e.exports=function(e){var n="div mod in and or not xor asserterror begin case do downto else end exit for if of repeat then to until while with var",a=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\{/,/\}/,{relevance:0}),e.COMMENT(/\(\*/,/\*\)/,{relevance:10})],s={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},r={className:"string",begin:/(#\d+)+/},i={className:"function",beginKeywords:"procedure",end:/[:;]/,keywords:"procedure|10",contains:[e.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:n,contains:[s,r]}].concat(a)},o={className:"class",begin:"OBJECT (Table|Form|Report|Dataport|Codeunit|XMLport|MenuSuite|Page|Query) (\\d+) ([^\\r\\n]+)",returnBegin:!0,contains:[e.TITLE_MODE,i]};return{case_insensitive:!0,keywords:{keyword:n,literal:"false true"},illegal:/\/\*/,contains:[s,r,{className:"number",begin:"\\b\\d+(\\.\\d+)?(DT|D|T)",relevance:0},{className:"string",begin:'"',end:'"'},e.NUMBER_MODE,o,i]}}}}]);
-//# sourceMappingURL=cal.js.map?v=b7ddfebfd6277dccb1ab \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[24],{391:function(e,n){e.exports=function(e){var n="div mod in and or not xor asserterror begin case do downto else end exit for if of repeat then to until while with var",a=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\{/,/\}/,{relevance:0}),e.COMMENT(/\(\*/,/\*\)/,{relevance:10})],s={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},r={className:"string",begin:/(#\d+)+/},i={className:"function",beginKeywords:"procedure",end:/[:;]/,keywords:"procedure|10",contains:[e.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:n,contains:[s,r]}].concat(a)},o={className:"class",begin:"OBJECT (Table|Form|Report|Dataport|Codeunit|XMLport|MenuSuite|Page|Query) (\\d+) ([^\\r\\n]+)",returnBegin:!0,contains:[e.TITLE_MODE,i]};return{case_insensitive:!0,keywords:{keyword:n,literal:"false true"},illegal:/\/\*/,contains:[s,r,{className:"number",begin:"\\b\\d+(\\.\\d+)?(DT|D|T)",relevance:0},{className:"string",begin:'"',end:'"'},e.NUMBER_MODE,o,i]}}}}]);
+//# sourceMappingURL=cal.js.map?v=6f4fd50b6a017394d4ef \ No newline at end of file
diff --git a/js/highlight/cal.js.map b/js/highlight/cal.js.map
index f31c637fb..4669a3efa 100644
--- a/js/highlight/cal.js.map
+++ b/js/highlight/cal.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/cal.js"],"names":["module","exports","hljs","KEYWORDS","COMMENT_MODES","C_LINE_COMMENT_MODE","COMMENT","relevance","STRING","className","begin","end","contains","CHAR_STRING","PROCEDURE","beginKeywords","keywords","TITLE_MODE","concat","OBJECT","returnBegin","case_insensitive","keyword","literal","illegal","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EACF,yHAGEC,EAAgB,CAClBF,EAAKG,oBACLH,EAAKI,QACH,KACA,KACA,CACEC,UAAW,IAGfL,EAAKI,QACH,OACA,OACA,CACEC,UAAW,MAIbC,EAAS,CACXC,UAAW,SACXC,MAAO,IAAKC,IAAK,IACjBC,SAAU,CAAC,CAACF,MAAO,QAEjBG,EAAc,CAChBJ,UAAW,SAAUC,MAAO,WAa1BI,EAAY,CACdL,UAAW,WACXM,cAAe,YAAaJ,IAAK,OACjCK,SAAU,eACVJ,SAAU,CACRV,EAAKe,WACL,CACER,UAAW,SACXC,MAAO,KAAMC,IAAK,KAClBK,SAAUb,EACVS,SAAU,CAACJ,EAAQK,KAErBK,OAAOd,IAGPe,EAAS,CACXV,UAAW,QACXC,MAAO,gGACPU,aAAa,EACbR,SAAU,CACRV,EAAKe,WACHH,IAIN,MAAO,CACLO,kBAAkB,EAClBL,SAAU,CAAEM,QAASnB,EAAUoB,QAhElB,cAiEbC,QAAS,OACTZ,SAAU,CACRJ,EAAQK,EAzCD,CACPJ,UAAW,SACXC,MAAO,4BACPH,UAAW,GAEW,CACtBE,UAAW,SACXC,MAAO,IACPC,IAAK,KAmCLT,EAAKuB,YACLN,EACAL","file":"highlight/cal.js?v=b7ddfebfd6277dccb1ab","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS =\n 'div mod in and or not xor asserterror begin case do downto else end exit for if of repeat then to ' +\n 'until while with var';\n var LITERALS = 'false true';\n var COMMENT_MODES = [\n hljs.C_LINE_COMMENT_MODE,\n hljs.COMMENT(\n /\\{/,\n /\\}/,\n {\n relevance: 0\n }\n ),\n hljs.COMMENT(\n /\\(\\*/,\n /\\*\\)/,\n {\n relevance: 10\n }\n )\n ];\n var STRING = {\n className: 'string',\n begin: /'/, end: /'/,\n contains: [{begin: /''/}]\n };\n var CHAR_STRING = {\n className: 'string', begin: /(#\\d+)+/\n };\n var DATE = {\n className: 'number',\n begin: '\\\\b\\\\d+(\\\\.\\\\d+)?(DT|D|T)',\n relevance: 0\n };\n var DBL_QUOTED_VARIABLE = {\n className: 'string', // not a string technically but makes sense to be highlighted in the same style\n begin: '\"',\n end: '\"'\n };\n\n var PROCEDURE = {\n className: 'function',\n beginKeywords: 'procedure', end: /[:;]/,\n keywords: 'procedure|10',\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n contains: [STRING, CHAR_STRING]\n }\n ].concat(COMMENT_MODES)\n };\n\n var OBJECT = {\n className: 'class',\n begin: 'OBJECT (Table|Form|Report|Dataport|Codeunit|XMLport|MenuSuite|Page|Query) (\\\\d+) ([^\\\\r\\\\n]+)',\n returnBegin: true,\n contains: [\n hljs.TITLE_MODE,\n PROCEDURE\n ]\n };\n\n return {\n case_insensitive: true,\n keywords: { keyword: KEYWORDS, literal: LITERALS },\n illegal: /\\/\\*/,\n contains: [\n STRING, CHAR_STRING,\n DATE, DBL_QUOTED_VARIABLE,\n hljs.NUMBER_MODE,\n OBJECT,\n PROCEDURE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/cal.js"],"names":["module","exports","hljs","KEYWORDS","COMMENT_MODES","C_LINE_COMMENT_MODE","COMMENT","relevance","STRING","className","begin","end","contains","CHAR_STRING","PROCEDURE","beginKeywords","keywords","TITLE_MODE","concat","OBJECT","returnBegin","case_insensitive","keyword","literal","illegal","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EACF,yHAGEC,EAAgB,CAClBF,EAAKG,oBACLH,EAAKI,QACH,KACA,KACA,CACEC,UAAW,IAGfL,EAAKI,QACH,OACA,OACA,CACEC,UAAW,MAIbC,EAAS,CACXC,UAAW,SACXC,MAAO,IAAKC,IAAK,IACjBC,SAAU,CAAC,CAACF,MAAO,QAEjBG,EAAc,CAChBJ,UAAW,SAAUC,MAAO,WAa1BI,EAAY,CACdL,UAAW,WACXM,cAAe,YAAaJ,IAAK,OACjCK,SAAU,eACVJ,SAAU,CACRV,EAAKe,WACL,CACER,UAAW,SACXC,MAAO,KAAMC,IAAK,KAClBK,SAAUb,EACVS,SAAU,CAACJ,EAAQK,KAErBK,OAAOd,IAGPe,EAAS,CACXV,UAAW,QACXC,MAAO,gGACPU,aAAa,EACbR,SAAU,CACRV,EAAKe,WACHH,IAIN,MAAO,CACLO,kBAAkB,EAClBL,SAAU,CAAEM,QAASnB,EAAUoB,QAhElB,cAiEbC,QAAS,OACTZ,SAAU,CACRJ,EAAQK,EAzCD,CACPJ,UAAW,SACXC,MAAO,4BACPH,UAAW,GAEW,CACtBE,UAAW,SACXC,MAAO,IACPC,IAAK,KAmCLT,EAAKuB,YACLN,EACAL","file":"highlight/cal.js?v=6f4fd50b6a017394d4ef","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS =\n 'div mod in and or not xor asserterror begin case do downto else end exit for if of repeat then to ' +\n 'until while with var';\n var LITERALS = 'false true';\n var COMMENT_MODES = [\n hljs.C_LINE_COMMENT_MODE,\n hljs.COMMENT(\n /\\{/,\n /\\}/,\n {\n relevance: 0\n }\n ),\n hljs.COMMENT(\n /\\(\\*/,\n /\\*\\)/,\n {\n relevance: 10\n }\n )\n ];\n var STRING = {\n className: 'string',\n begin: /'/, end: /'/,\n contains: [{begin: /''/}]\n };\n var CHAR_STRING = {\n className: 'string', begin: /(#\\d+)+/\n };\n var DATE = {\n className: 'number',\n begin: '\\\\b\\\\d+(\\\\.\\\\d+)?(DT|D|T)',\n relevance: 0\n };\n var DBL_QUOTED_VARIABLE = {\n className: 'string', // not a string technically but makes sense to be highlighted in the same style\n begin: '\"',\n end: '\"'\n };\n\n var PROCEDURE = {\n className: 'function',\n beginKeywords: 'procedure', end: /[:;]/,\n keywords: 'procedure|10',\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n contains: [STRING, CHAR_STRING]\n }\n ].concat(COMMENT_MODES)\n };\n\n var OBJECT = {\n className: 'class',\n begin: 'OBJECT (Table|Form|Report|Dataport|Codeunit|XMLport|MenuSuite|Page|Query) (\\\\d+) ([^\\\\r\\\\n]+)',\n returnBegin: true,\n contains: [\n hljs.TITLE_MODE,\n PROCEDURE\n ]\n };\n\n return {\n case_insensitive: true,\n keywords: { keyword: KEYWORDS, literal: LITERALS },\n illegal: /\\/\\*/,\n contains: [\n STRING, CHAR_STRING,\n DATE, DBL_QUOTED_VARIABLE,\n hljs.NUMBER_MODE,\n OBJECT,\n PROCEDURE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/capnproto.js b/js/highlight/capnproto.js
index df8ef99a0..0c327f390 100644
--- a/js/highlight/capnproto.js
+++ b/js/highlight/capnproto.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[25],{391:function(n,t){n.exports=function(n){return{aliases:["capnp"],keywords:{keyword:"struct enum interface union group import using const annotation extends in of on as with from fixed",built_in:"Void Bool Int8 Int16 Int32 Int64 UInt8 UInt16 UInt32 UInt64 Float32 Float64 Text Data AnyPointer AnyStruct Capability List",literal:"true false"},contains:[n.QUOTE_STRING_MODE,n.NUMBER_MODE,n.HASH_COMMENT_MODE,{className:"meta",begin:/@0x[\w\d]{16};/,illegal:/\n/},{className:"symbol",begin:/@\d+\b/},{className:"class",beginKeywords:"struct enum",end:/\{/,illegal:/\n/,contains:[n.inherit(n.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{className:"class",beginKeywords:"interface",end:/\{/,illegal:/\n/,contains:[n.inherit(n.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]}]}}}}]);
-//# sourceMappingURL=capnproto.js.map?v=74038533676091b4e205 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[25],{392:function(n,t){n.exports=function(n){return{aliases:["capnp"],keywords:{keyword:"struct enum interface union group import using const annotation extends in of on as with from fixed",built_in:"Void Bool Int8 Int16 Int32 Int64 UInt8 UInt16 UInt32 UInt64 Float32 Float64 Text Data AnyPointer AnyStruct Capability List",literal:"true false"},contains:[n.QUOTE_STRING_MODE,n.NUMBER_MODE,n.HASH_COMMENT_MODE,{className:"meta",begin:/@0x[\w\d]{16};/,illegal:/\n/},{className:"symbol",begin:/@\d+\b/},{className:"class",beginKeywords:"struct enum",end:/\{/,illegal:/\n/,contains:[n.inherit(n.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{className:"class",beginKeywords:"interface",end:/\{/,illegal:/\n/,contains:[n.inherit(n.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]}]}}}}]);
+//# sourceMappingURL=capnproto.js.map?v=407e150d0cddfc330a11 \ No newline at end of file
diff --git a/js/highlight/capnproto.js.map b/js/highlight/capnproto.js.map
index 39112f506..866f3db9e 100644
--- a/js/highlight/capnproto.js.map
+++ b/js/highlight/capnproto.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/capnproto.js"],"names":["module","exports","hljs","aliases","keywords","keyword","built_in","literal","contains","QUOTE_STRING_MODE","NUMBER_MODE","HASH_COMMENT_MODE","className","begin","illegal","beginKeywords","end","inherit","TITLE_MODE","starts","endsWithParent","excludeEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,SACVC,SAAU,CACRC,QACE,sGACFC,SACE,6HAEFC,QACE,cAEJC,SAAU,CACRN,EAAKO,kBACLP,EAAKQ,YACLR,EAAKS,kBACL,CACEC,UAAW,OACXC,MAAO,iBACPC,QAAS,MAEX,CACEF,UAAW,SACXC,MAAO,UAET,CACED,UAAW,QACXG,cAAe,cAAeC,IAAK,KACnCF,QAAS,KACTN,SAAU,CACRN,EAAKe,QAAQf,EAAKgB,WAAY,CAC5BC,OAAQ,CAACC,gBAAgB,EAAMC,YAAY,OAIjD,CACET,UAAW,QACXG,cAAe,YAAaC,IAAK,KACjCF,QAAS,KACTN,SAAU,CACRN,EAAKe,QAAQf,EAAKgB,WAAY,CAC5BC,OAAQ,CAACC,gBAAgB,EAAMC,YAAY","file":"highlight/capnproto.js?v=74038533676091b4e205","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['capnp'],\n keywords: {\n keyword:\n 'struct enum interface union group import using const annotation extends in of on as with from fixed',\n built_in:\n 'Void Bool Int8 Int16 Int32 Int64 UInt8 UInt16 UInt32 UInt64 Float32 Float64 ' +\n 'Text Data AnyPointer AnyStruct Capability List',\n literal:\n 'true false'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE,\n hljs.HASH_COMMENT_MODE,\n {\n className: 'meta',\n begin: /@0x[\\w\\d]{16};/,\n illegal: /\\n/\n },\n {\n className: 'symbol',\n begin: /@\\d+\\b/\n },\n {\n className: 'class',\n beginKeywords: 'struct enum', end: /\\{/,\n illegal: /\\n/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n starts: {endsWithParent: true, excludeEnd: true} // hack: eating everything after the first title\n })\n ]\n },\n {\n className: 'class',\n beginKeywords: 'interface', end: /\\{/,\n illegal: /\\n/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n starts: {endsWithParent: true, excludeEnd: true} // hack: eating everything after the first title\n })\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/capnproto.js"],"names":["module","exports","hljs","aliases","keywords","keyword","built_in","literal","contains","QUOTE_STRING_MODE","NUMBER_MODE","HASH_COMMENT_MODE","className","begin","illegal","beginKeywords","end","inherit","TITLE_MODE","starts","endsWithParent","excludeEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,SACVC,SAAU,CACRC,QACE,sGACFC,SACE,6HAEFC,QACE,cAEJC,SAAU,CACRN,EAAKO,kBACLP,EAAKQ,YACLR,EAAKS,kBACL,CACEC,UAAW,OACXC,MAAO,iBACPC,QAAS,MAEX,CACEF,UAAW,SACXC,MAAO,UAET,CACED,UAAW,QACXG,cAAe,cAAeC,IAAK,KACnCF,QAAS,KACTN,SAAU,CACRN,EAAKe,QAAQf,EAAKgB,WAAY,CAC5BC,OAAQ,CAACC,gBAAgB,EAAMC,YAAY,OAIjD,CACET,UAAW,QACXG,cAAe,YAAaC,IAAK,KACjCF,QAAS,KACTN,SAAU,CACRN,EAAKe,QAAQf,EAAKgB,WAAY,CAC5BC,OAAQ,CAACC,gBAAgB,EAAMC,YAAY","file":"highlight/capnproto.js?v=407e150d0cddfc330a11","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['capnp'],\n keywords: {\n keyword:\n 'struct enum interface union group import using const annotation extends in of on as with from fixed',\n built_in:\n 'Void Bool Int8 Int16 Int32 Int64 UInt8 UInt16 UInt32 UInt64 Float32 Float64 ' +\n 'Text Data AnyPointer AnyStruct Capability List',\n literal:\n 'true false'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE,\n hljs.HASH_COMMENT_MODE,\n {\n className: 'meta',\n begin: /@0x[\\w\\d]{16};/,\n illegal: /\\n/\n },\n {\n className: 'symbol',\n begin: /@\\d+\\b/\n },\n {\n className: 'class',\n beginKeywords: 'struct enum', end: /\\{/,\n illegal: /\\n/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n starts: {endsWithParent: true, excludeEnd: true} // hack: eating everything after the first title\n })\n ]\n },\n {\n className: 'class',\n beginKeywords: 'interface', end: /\\{/,\n illegal: /\\n/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n starts: {endsWithParent: true, excludeEnd: true} // hack: eating everything after the first title\n })\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/ceylon.js b/js/highlight/ceylon.js
index a95f18da5..d6e398e6f 100644
--- a/js/highlight/ceylon.js
+++ b/js/highlight/ceylon.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[26],{392:function(e,a){e.exports=function(e){var a="assembly module package import alias class interface object given value assign void function new of extends satisfies abstracts in out return break continue throw assert dynamic if else switch case for while try catch finally then let this outer super is exists nonempty",n={className:"subst",excludeBegin:!0,excludeEnd:!0,begin:/``/,end:/``/,keywords:a,relevance:10},s=[{className:"string",begin:'"""',end:'"""',relevance:10},{className:"string",begin:'"',end:'"',contains:[n]},{className:"string",begin:"'",end:"'"},{className:"number",begin:"#[0-9a-fA-F_]+|\\$[01_]+|[0-9_]+(?:\\.[0-9_](?:[eE][+-]?\\d+)?)?[kMGTPmunpf]?",relevance:0}];return n.contains=s,{keywords:{keyword:a+" shared abstract formal default actual variable late native deprecatedfinal sealed annotation suppressWarnings small",meta:"doc by license see throws tagged"},illegal:"\\$[^01]|#[^0-9a-fA-F]",contains:[e.C_LINE_COMMENT_MODE,e.COMMENT("/\\*","\\*/",{contains:["self"]}),{className:"meta",begin:'@[a-z]\\w*(?:\\:"[^"]*")?'}].concat(s)}}}}]);
-//# sourceMappingURL=ceylon.js.map?v=04943cf8159d3a6b7e7c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[26],{393:function(e,a){e.exports=function(e){var a="assembly module package import alias class interface object given value assign void function new of extends satisfies abstracts in out return break continue throw assert dynamic if else switch case for while try catch finally then let this outer super is exists nonempty",n={className:"subst",excludeBegin:!0,excludeEnd:!0,begin:/``/,end:/``/,keywords:a,relevance:10},s=[{className:"string",begin:'"""',end:'"""',relevance:10},{className:"string",begin:'"',end:'"',contains:[n]},{className:"string",begin:"'",end:"'"},{className:"number",begin:"#[0-9a-fA-F_]+|\\$[01_]+|[0-9_]+(?:\\.[0-9_](?:[eE][+-]?\\d+)?)?[kMGTPmunpf]?",relevance:0}];return n.contains=s,{keywords:{keyword:a+" shared abstract formal default actual variable late native deprecatedfinal sealed annotation suppressWarnings small",meta:"doc by license see throws tagged"},illegal:"\\$[^01]|#[^0-9a-fA-F]",contains:[e.C_LINE_COMMENT_MODE,e.COMMENT("/\\*","\\*/",{contains:["self"]}),{className:"meta",begin:'@[a-z]\\w*(?:\\:"[^"]*")?'}].concat(s)}}}}]);
+//# sourceMappingURL=ceylon.js.map?v=ce3ee1e47a64b4c9d026 \ No newline at end of file
diff --git a/js/highlight/ceylon.js.map b/js/highlight/ceylon.js.map
index 8bd6f9b7c..fc8f1952b 100644
--- a/js/highlight/ceylon.js.map
+++ b/js/highlight/ceylon.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ceylon.js"],"names":["module","exports","hljs","KEYWORDS","SUBST","className","excludeBegin","excludeEnd","begin","end","keywords","relevance","EXPRESSIONS","contains","keyword","meta","illegal","C_LINE_COMMENT_MODE","COMMENT","concat"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EACF,iRAWEC,EAAQ,CACVC,UAAW,QAASC,cAAc,EAAMC,YAAY,EACpDC,MAAO,KAAMC,IAAK,KAClBC,SAAUP,EACVQ,UAAW,IAETC,EAAc,CAChB,CAEEP,UAAW,SACXG,MAAO,MACPC,IAAK,MACLE,UAAW,IAEb,CAEEN,UAAW,SACXG,MAAO,IAAKC,IAAK,IACjBI,SAAU,CAACT,IAEb,CAEEC,UAAW,SACXG,MAAO,IACPC,IAAK,KAEP,CAEEJ,UAAW,SACXG,MAAO,gFACPG,UAAW,IAKf,OAFAP,EAAMS,SAAWD,EAEV,CACLF,SAAU,CACRI,QAASX,yHACTY,KAvCF,oCAyCAC,QAAS,yBACTH,SAAU,CACRX,EAAKe,oBACLf,EAAKgB,QAAQ,OAAQ,OAAQ,CAACL,SAAU,CAAC,UACzC,CAEER,UAAW,OACXG,MAAO,8BAETW,OAAOP","file":"highlight/ceylon.js?v=04943cf8159d3a6b7e7c","sourcesContent":["module.exports = function(hljs) {\n // 2.3. Identifiers and keywords\n var KEYWORDS =\n 'assembly module package import alias class interface object given value ' +\n 'assign void function new of extends satisfies abstracts in out return ' +\n 'break continue throw assert dynamic if else switch case for while try ' +\n 'catch finally then let this outer super is exists nonempty';\n // 7.4.1 Declaration Modifiers\n var DECLARATION_MODIFIERS =\n 'shared abstract formal default actual variable late native deprecated' +\n 'final sealed annotation suppressWarnings small';\n // 7.4.2 Documentation\n var DOCUMENTATION =\n 'doc by license see throws tagged';\n var SUBST = {\n className: 'subst', excludeBegin: true, excludeEnd: true,\n begin: /``/, end: /``/,\n keywords: KEYWORDS,\n relevance: 10\n };\n var EXPRESSIONS = [\n {\n // verbatim string\n className: 'string',\n begin: '\"\"\"',\n end: '\"\"\"',\n relevance: 10\n },\n {\n // string literal or template\n className: 'string',\n begin: '\"', end: '\"',\n contains: [SUBST]\n },\n {\n // character literal\n className: 'string',\n begin: \"'\",\n end: \"'\"\n },\n {\n // numeric literal\n className: 'number',\n begin: '#[0-9a-fA-F_]+|\\\\$[01_]+|[0-9_]+(?:\\\\.[0-9_](?:[eE][+-]?\\\\d+)?)?[kMGTPmunpf]?',\n relevance: 0\n }\n ];\n SUBST.contains = EXPRESSIONS;\n\n return {\n keywords: {\n keyword: KEYWORDS + ' ' + DECLARATION_MODIFIERS,\n meta: DOCUMENTATION\n },\n illegal: '\\\\$[^01]|#[^0-9a-fA-F]',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.COMMENT('/\\\\*', '\\\\*/', {contains: ['self']}),\n {\n // compiler annotation\n className: 'meta',\n begin: '@[a-z]\\\\w*(?:\\\\:\\\"[^\\\"]*\\\")?'\n }\n ].concat(EXPRESSIONS)\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ceylon.js"],"names":["module","exports","hljs","KEYWORDS","SUBST","className","excludeBegin","excludeEnd","begin","end","keywords","relevance","EXPRESSIONS","contains","keyword","meta","illegal","C_LINE_COMMENT_MODE","COMMENT","concat"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EACF,iRAWEC,EAAQ,CACVC,UAAW,QAASC,cAAc,EAAMC,YAAY,EACpDC,MAAO,KAAMC,IAAK,KAClBC,SAAUP,EACVQ,UAAW,IAETC,EAAc,CAChB,CAEEP,UAAW,SACXG,MAAO,MACPC,IAAK,MACLE,UAAW,IAEb,CAEEN,UAAW,SACXG,MAAO,IAAKC,IAAK,IACjBI,SAAU,CAACT,IAEb,CAEEC,UAAW,SACXG,MAAO,IACPC,IAAK,KAEP,CAEEJ,UAAW,SACXG,MAAO,gFACPG,UAAW,IAKf,OAFAP,EAAMS,SAAWD,EAEV,CACLF,SAAU,CACRI,QAASX,yHACTY,KAvCF,oCAyCAC,QAAS,yBACTH,SAAU,CACRX,EAAKe,oBACLf,EAAKgB,QAAQ,OAAQ,OAAQ,CAACL,SAAU,CAAC,UACzC,CAEER,UAAW,OACXG,MAAO,8BAETW,OAAOP","file":"highlight/ceylon.js?v=ce3ee1e47a64b4c9d026","sourcesContent":["module.exports = function(hljs) {\n // 2.3. Identifiers and keywords\n var KEYWORDS =\n 'assembly module package import alias class interface object given value ' +\n 'assign void function new of extends satisfies abstracts in out return ' +\n 'break continue throw assert dynamic if else switch case for while try ' +\n 'catch finally then let this outer super is exists nonempty';\n // 7.4.1 Declaration Modifiers\n var DECLARATION_MODIFIERS =\n 'shared abstract formal default actual variable late native deprecated' +\n 'final sealed annotation suppressWarnings small';\n // 7.4.2 Documentation\n var DOCUMENTATION =\n 'doc by license see throws tagged';\n var SUBST = {\n className: 'subst', excludeBegin: true, excludeEnd: true,\n begin: /``/, end: /``/,\n keywords: KEYWORDS,\n relevance: 10\n };\n var EXPRESSIONS = [\n {\n // verbatim string\n className: 'string',\n begin: '\"\"\"',\n end: '\"\"\"',\n relevance: 10\n },\n {\n // string literal or template\n className: 'string',\n begin: '\"', end: '\"',\n contains: [SUBST]\n },\n {\n // character literal\n className: 'string',\n begin: \"'\",\n end: \"'\"\n },\n {\n // numeric literal\n className: 'number',\n begin: '#[0-9a-fA-F_]+|\\\\$[01_]+|[0-9_]+(?:\\\\.[0-9_](?:[eE][+-]?\\\\d+)?)?[kMGTPmunpf]?',\n relevance: 0\n }\n ];\n SUBST.contains = EXPRESSIONS;\n\n return {\n keywords: {\n keyword: KEYWORDS + ' ' + DECLARATION_MODIFIERS,\n meta: DOCUMENTATION\n },\n illegal: '\\\\$[^01]|#[^0-9a-fA-F]',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.COMMENT('/\\\\*', '\\\\*/', {contains: ['self']}),\n {\n // compiler annotation\n className: 'meta',\n begin: '@[a-z]\\\\w*(?:\\\\:\\\"[^\\\"]*\\\")?'\n }\n ].concat(EXPRESSIONS)\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/clean.js b/js/highlight/clean.js
index 57b98802b..90f731e1e 100644
--- a/js/highlight/clean.js
+++ b/js/highlight/clean.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[27],{393:function(e,i){e.exports=function(e){return{aliases:["clean","icl","dcl"],keywords:{keyword:"if let in with where case of class instance otherwise implementation definition system module from import qualified as special code inline foreign export ccall stdcall generic derive infix infixl infixr",built_in:"Int Real Char Bool",literal:"True False"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{begin:"->|<-[|:]?|#!?|>>=|\\{\\||\\|\\}|:==|=:|<>"}]}}}}]);
-//# sourceMappingURL=clean.js.map?v=d10832fa81004232164f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[27],{394:function(e,i){e.exports=function(e){return{aliases:["clean","icl","dcl"],keywords:{keyword:"if let in with where case of class instance otherwise implementation definition system module from import qualified as special code inline foreign export ccall stdcall generic derive infix infixl infixr",built_in:"Int Real Char Bool",literal:"True False"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{begin:"->|<-[|:]?|#!?|>>=|\\{\\||\\|\\}|:==|=:|<>"}]}}}}]);
+//# sourceMappingURL=clean.js.map?v=2d664db16483c452e781 \ No newline at end of file
diff --git a/js/highlight/clean.js.map b/js/highlight/clean.js.map
index d844cef71..33f0cd16e 100644
--- a/js/highlight/clean.js.map
+++ b/js/highlight/clean.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/clean.js"],"names":["module","exports","hljs","aliases","keywords","keyword","built_in","literal","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE","begin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,QAAQ,MAAM,OACxBC,SAAU,CACRC,QACE,6MAIFC,SACE,qBACFC,QACE,cAEJC,SAAU,CAERN,EAAKO,oBACLP,EAAKQ,qBACLR,EAAKS,iBACLT,EAAKU,kBACLV,EAAKW,cAEL,CAACC,MAAO","file":"highlight/clean.js?v=d10832fa81004232164f","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['clean','icl','dcl'],\n keywords: {\n keyword:\n 'if let in with where case of class instance otherwise ' +\n 'implementation definition system module from import qualified as ' +\n 'special code inline foreign export ccall stdcall generic derive ' +\n 'infix infixl infixr',\n built_in:\n 'Int Real Char Bool',\n literal:\n 'True False'\n },\n contains: [\n\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n\n {begin: '->|<-[|:]?|#!?|>>=|\\\\{\\\\||\\\\|\\\\}|:==|=:|<>'} // relevance booster\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/clean.js"],"names":["module","exports","hljs","aliases","keywords","keyword","built_in","literal","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE","begin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,QAAQ,MAAM,OACxBC,SAAU,CACRC,QACE,6MAIFC,SACE,qBACFC,QACE,cAEJC,SAAU,CAERN,EAAKO,oBACLP,EAAKQ,qBACLR,EAAKS,iBACLT,EAAKU,kBACLV,EAAKW,cAEL,CAACC,MAAO","file":"highlight/clean.js?v=2d664db16483c452e781","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['clean','icl','dcl'],\n keywords: {\n keyword:\n 'if let in with where case of class instance otherwise ' +\n 'implementation definition system module from import qualified as ' +\n 'special code inline foreign export ccall stdcall generic derive ' +\n 'infix infixl infixr',\n built_in:\n 'Int Real Char Bool',\n literal:\n 'True False'\n },\n contains: [\n\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n\n {begin: '->|<-[|:]?|#!?|>>=|\\\\{\\\\||\\\\|\\\\}|:==|=:|<>'} // relevance booster\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/clojure-repl.js b/js/highlight/clojure-repl.js
index e2a4b2d9f..a0ba1f362 100644
--- a/js/highlight/clojure-repl.js
+++ b/js/highlight/clojure-repl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[29],{394:function(n,e){n.exports=function(n){return{contains:[{className:"meta",begin:/^([\w.-]+|\s*#_)?=>/,starts:{end:/$/,subLanguage:"clojure"}}]}}}}]);
-//# sourceMappingURL=clojure-repl.js.map?v=cb9bd3633080a139fafc \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[29],{395:function(n,e){n.exports=function(n){return{contains:[{className:"meta",begin:/^([\w.-]+|\s*#_)?=>/,starts:{end:/$/,subLanguage:"clojure"}}]}}}}]);
+//# sourceMappingURL=clojure-repl.js.map?v=1202ed203adda685cb20 \ No newline at end of file
diff --git a/js/highlight/clojure-repl.js.map b/js/highlight/clojure-repl.js.map
index 3d4684bd2..a1665fe2b 100644
--- a/js/highlight/clojure-repl.js.map
+++ b/js/highlight/clojure-repl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/clojure-repl.js"],"names":["module","exports","hljs","contains","className","begin","starts","end","subLanguage"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,sBACPC,OAAQ,CACNC,IAAK,IACLC,YAAa","file":"highlight/clojure-repl.js?v=cb9bd3633080a139fafc","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n {\n className: 'meta',\n begin: /^([\\w.-]+|\\s*#_)?=>/,\n starts: {\n end: /$/,\n subLanguage: 'clojure'\n }\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/clojure-repl.js"],"names":["module","exports","hljs","contains","className","begin","starts","end","subLanguage"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,sBACPC,OAAQ,CACNC,IAAK,IACLC,YAAa","file":"highlight/clojure-repl.js?v=1202ed203adda685cb20","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n {\n className: 'meta',\n begin: /^([\\w.-]+|\\s*#_)?=>/,\n starts: {\n end: /$/,\n subLanguage: 'clojure'\n }\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/clojure.js b/js/highlight/clojure.js
index 56fafe0c0..a7b16eaa4 100644
--- a/js/highlight/clojure.js
+++ b/js/highlight/clojure.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[28],{395:function(e,t){e.exports=function(e){var t="[a-zA-Z_\\-!.?+*=<>&#'][a-zA-Z_\\-!.?+*=<>&#'0-9/;:]*",n={begin:t,relevance:0},r={className:"number",begin:"[-+]?\\d+(\\.\\d+)?",relevance:0},a=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),s=e.COMMENT(";","$",{relevance:0}),o={className:"literal",begin:/\b(true|false|nil)\b/},i={begin:"[\\[\\{]",end:"[\\]\\}]"},c={className:"comment",begin:"\\^"+t},d=e.COMMENT("\\^\\{","\\}"),l={className:"symbol",begin:"[:]{1,2}"+t},m={begin:"\\(",end:"\\)"},p={endsWithParent:!0,relevance:0},u={keywords:{"builtin-name":"def defonce cond apply if-not if-let if not not= = < > <= >= == + / * - rem quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last drop-while while intern condp case reduced cycle split-at split-with repeat replicate iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter monitor-exit defmacro defn defn- macroexpand macroexpand-1 for dosync and or when when-not when-let comp juxt partial sequence memoize constantly complement identity assert peek pop doto proxy defstruct first rest cons defprotocol cast coll deftype defrecord last butlast sigs reify second ffirst fnext nfirst nnext defmulti defmethod meta with-meta ns in-ns create-ns import refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize"},lexemes:t,className:"name",begin:t,starts:p},f=[m,a,c,d,s,l,i,r,o,n];return m.contains=[e.COMMENT("comment",""),u,p],p.contains=f,i.contains=f,d.contains=[i],{aliases:["clj"],illegal:/\S/,contains:[m,a,c,d,s,l,i,r,o]}}}}]);
-//# sourceMappingURL=clojure.js.map?v=c3e78ed772be50fe99d6 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[28],{396:function(e,t){e.exports=function(e){var t="[a-zA-Z_\\-!.?+*=<>&#'][a-zA-Z_\\-!.?+*=<>&#'0-9/;:]*",n={begin:t,relevance:0},r={className:"number",begin:"[-+]?\\d+(\\.\\d+)?",relevance:0},a=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),s=e.COMMENT(";","$",{relevance:0}),o={className:"literal",begin:/\b(true|false|nil)\b/},i={begin:"[\\[\\{]",end:"[\\]\\}]"},c={className:"comment",begin:"\\^"+t},d=e.COMMENT("\\^\\{","\\}"),l={className:"symbol",begin:"[:]{1,2}"+t},m={begin:"\\(",end:"\\)"},p={endsWithParent:!0,relevance:0},u={keywords:{"builtin-name":"def defonce cond apply if-not if-let if not not= = < > <= >= == + / * - rem quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last drop-while while intern condp case reduced cycle split-at split-with repeat replicate iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter monitor-exit defmacro defn defn- macroexpand macroexpand-1 for dosync and or when when-not when-let comp juxt partial sequence memoize constantly complement identity assert peek pop doto proxy defstruct first rest cons defprotocol cast coll deftype defrecord last butlast sigs reify second ffirst fnext nfirst nnext defmulti defmethod meta with-meta ns in-ns create-ns import refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize"},lexemes:t,className:"name",begin:t,starts:p},f=[m,a,c,d,s,l,i,r,o,n];return m.contains=[e.COMMENT("comment",""),u,p],p.contains=f,i.contains=f,d.contains=[i],{aliases:["clj"],illegal:/\S/,contains:[m,a,c,d,s,l,i,r,o]}}}}]);
+//# sourceMappingURL=clojure.js.map?v=a3a920317593707a929f \ No newline at end of file
diff --git a/js/highlight/clojure.js.map b/js/highlight/clojure.js.map
index daa7f11c5..d019016fa 100644
--- a/js/highlight/clojure.js.map
+++ b/js/highlight/clojure.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/clojure.js"],"names":["module","exports","hljs","SYMBOL_RE","SYMBOL","begin","relevance","NUMBER","className","STRING","inherit","QUOTE_STRING_MODE","illegal","COMMENT","LITERAL","COLLECTION","end","HINT","HINT_COL","KEY","LIST","BODY","endsWithParent","NAME","keywords","lexemes","starts","DEFAULT_CONTAINS","contains","aliases"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAiCIC,EAAY,wDAGZC,EAAS,CACXC,MAAOF,EACPG,UAAW,GAETC,EAAS,CACXC,UAAW,SAAUH,MAPA,sBAQrBC,UAAW,GAETG,EAASP,EAAKQ,QAAQR,EAAKS,kBAAmB,CAACC,QAAS,OACxDC,EAAUX,EAAKW,QACjB,IACA,IACA,CACEP,UAAW,IAGXQ,EAAU,CACZN,UAAW,UACXH,MAAO,wBAELU,EAAa,CACfV,MAAO,WAAYW,IAAK,YAEtBC,EAAO,CACTT,UAAW,UACXH,MAAO,MAAQF,GAEbe,EAAWhB,EAAKW,QAAQ,SAAU,OAClCM,EAAM,CACRX,UAAW,SACXH,MAAO,WAAaF,GAElBiB,EAAO,CACTf,MAAO,MAAOW,IAAK,OAEjBK,EAAO,CACTC,gBAAgB,EAChBhB,UAAW,GAETiB,EAAO,CACTC,SA5Ea,CACb,eAEE,mjFA0EFC,QAAStB,EACTK,UAAW,OAAQH,MAAOF,EAC1BuB,OAAQL,GAENM,EAAmB,CAACP,EAAMX,EAAQQ,EAAMC,EAAUL,EAASM,EAAKJ,EAAYR,EAAQO,EAASV,GAOjG,OALAgB,EAAKQ,SAAW,CAAC1B,EAAKW,QAAQ,UAAW,IAAKU,EAAMF,GACpDA,EAAKO,SAAWD,EAChBZ,EAAWa,SAAWD,EACtBT,EAASU,SAAW,CAACb,GAEd,CACLc,QAAS,CAAC,OACVjB,QAAS,KACTgB,SAAU,CAACR,EAAMX,EAAQQ,EAAMC,EAAUL,EAASM,EAAKJ,EAAYR,EAAQO","file":"highlight/clojure.js?v=c3e78ed772be50fe99d6","sourcesContent":["module.exports = function(hljs) {\n var keywords = {\n 'builtin-name':\n // Clojure keywords\n 'def defonce cond apply if-not if-let if not not= = < > <= >= == + / * - rem '+\n 'quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? '+\n 'set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? '+\n 'class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? '+\n 'string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . '+\n 'inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last '+\n 'drop-while while intern condp case reduced cycle split-at split-with repeat replicate '+\n 'iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext '+\n 'nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends '+\n 'add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler '+\n 'set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter '+\n 'monitor-exit defmacro defn defn- macroexpand macroexpand-1 for dosync and or '+\n 'when when-not when-let comp juxt partial sequence memoize constantly complement identity assert '+\n 'peek pop doto proxy defstruct first rest cons defprotocol cast coll deftype defrecord last butlast '+\n 'sigs reify second ffirst fnext nfirst nnext defmulti defmethod meta with-meta ns in-ns create-ns import '+\n 'refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! '+\n 'assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger '+\n 'bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline '+\n 'flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking '+\n 'assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! '+\n 'reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! '+\n 'new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty '+\n 'hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list '+\n 'disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer '+\n 'chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate '+\n 'unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta '+\n 'lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize'\n };\n\n var SYMBOLSTART = 'a-zA-Z_\\\\-!.?+*=<>&#\\'';\n var SYMBOL_RE = '[' + SYMBOLSTART + '][' + SYMBOLSTART + '0-9/;:]*';\n var SIMPLE_NUMBER_RE = '[-+]?\\\\d+(\\\\.\\\\d+)?';\n\n var SYMBOL = {\n begin: SYMBOL_RE,\n relevance: 0\n };\n var NUMBER = {\n className: 'number', begin: SIMPLE_NUMBER_RE,\n relevance: 0\n };\n var STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null});\n var COMMENT = hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n );\n var LITERAL = {\n className: 'literal',\n begin: /\\b(true|false|nil)\\b/\n };\n var COLLECTION = {\n begin: '[\\\\[\\\\{]', end: '[\\\\]\\\\}]'\n };\n var HINT = {\n className: 'comment',\n begin: '\\\\^' + SYMBOL_RE\n };\n var HINT_COL = hljs.COMMENT('\\\\^\\\\{', '\\\\}');\n var KEY = {\n className: 'symbol',\n begin: '[:]{1,2}' + SYMBOL_RE\n };\n var LIST = {\n begin: '\\\\(', end: '\\\\)'\n };\n var BODY = {\n endsWithParent: true,\n relevance: 0\n };\n var NAME = {\n keywords: keywords,\n lexemes: SYMBOL_RE,\n className: 'name', begin: SYMBOL_RE,\n starts: BODY\n };\n var DEFAULT_CONTAINS = [LIST, STRING, HINT, HINT_COL, COMMENT, KEY, COLLECTION, NUMBER, LITERAL, SYMBOL];\n\n LIST.contains = [hljs.COMMENT('comment', ''), NAME, BODY];\n BODY.contains = DEFAULT_CONTAINS;\n COLLECTION.contains = DEFAULT_CONTAINS;\n HINT_COL.contains = [COLLECTION];\n\n return {\n aliases: ['clj'],\n illegal: /\\S/,\n contains: [LIST, STRING, HINT, HINT_COL, COMMENT, KEY, COLLECTION, NUMBER, LITERAL]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/clojure.js"],"names":["module","exports","hljs","SYMBOL_RE","SYMBOL","begin","relevance","NUMBER","className","STRING","inherit","QUOTE_STRING_MODE","illegal","COMMENT","LITERAL","COLLECTION","end","HINT","HINT_COL","KEY","LIST","BODY","endsWithParent","NAME","keywords","lexemes","starts","DEFAULT_CONTAINS","contains","aliases"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAiCIC,EAAY,wDAGZC,EAAS,CACXC,MAAOF,EACPG,UAAW,GAETC,EAAS,CACXC,UAAW,SAAUH,MAPA,sBAQrBC,UAAW,GAETG,EAASP,EAAKQ,QAAQR,EAAKS,kBAAmB,CAACC,QAAS,OACxDC,EAAUX,EAAKW,QACjB,IACA,IACA,CACEP,UAAW,IAGXQ,EAAU,CACZN,UAAW,UACXH,MAAO,wBAELU,EAAa,CACfV,MAAO,WAAYW,IAAK,YAEtBC,EAAO,CACTT,UAAW,UACXH,MAAO,MAAQF,GAEbe,EAAWhB,EAAKW,QAAQ,SAAU,OAClCM,EAAM,CACRX,UAAW,SACXH,MAAO,WAAaF,GAElBiB,EAAO,CACTf,MAAO,MAAOW,IAAK,OAEjBK,EAAO,CACTC,gBAAgB,EAChBhB,UAAW,GAETiB,EAAO,CACTC,SA5Ea,CACb,eAEE,mjFA0EFC,QAAStB,EACTK,UAAW,OAAQH,MAAOF,EAC1BuB,OAAQL,GAENM,EAAmB,CAACP,EAAMX,EAAQQ,EAAMC,EAAUL,EAASM,EAAKJ,EAAYR,EAAQO,EAASV,GAOjG,OALAgB,EAAKQ,SAAW,CAAC1B,EAAKW,QAAQ,UAAW,IAAKU,EAAMF,GACpDA,EAAKO,SAAWD,EAChBZ,EAAWa,SAAWD,EACtBT,EAASU,SAAW,CAACb,GAEd,CACLc,QAAS,CAAC,OACVjB,QAAS,KACTgB,SAAU,CAACR,EAAMX,EAAQQ,EAAMC,EAAUL,EAASM,EAAKJ,EAAYR,EAAQO","file":"highlight/clojure.js?v=a3a920317593707a929f","sourcesContent":["module.exports = function(hljs) {\n var keywords = {\n 'builtin-name':\n // Clojure keywords\n 'def defonce cond apply if-not if-let if not not= = < > <= >= == + / * - rem '+\n 'quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? '+\n 'set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? '+\n 'class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? '+\n 'string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . '+\n 'inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last '+\n 'drop-while while intern condp case reduced cycle split-at split-with repeat replicate '+\n 'iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext '+\n 'nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends '+\n 'add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler '+\n 'set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter '+\n 'monitor-exit defmacro defn defn- macroexpand macroexpand-1 for dosync and or '+\n 'when when-not when-let comp juxt partial sequence memoize constantly complement identity assert '+\n 'peek pop doto proxy defstruct first rest cons defprotocol cast coll deftype defrecord last butlast '+\n 'sigs reify second ffirst fnext nfirst nnext defmulti defmethod meta with-meta ns in-ns create-ns import '+\n 'refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! '+\n 'assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger '+\n 'bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline '+\n 'flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking '+\n 'assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! '+\n 'reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! '+\n 'new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty '+\n 'hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list '+\n 'disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer '+\n 'chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate '+\n 'unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta '+\n 'lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize'\n };\n\n var SYMBOLSTART = 'a-zA-Z_\\\\-!.?+*=<>&#\\'';\n var SYMBOL_RE = '[' + SYMBOLSTART + '][' + SYMBOLSTART + '0-9/;:]*';\n var SIMPLE_NUMBER_RE = '[-+]?\\\\d+(\\\\.\\\\d+)?';\n\n var SYMBOL = {\n begin: SYMBOL_RE,\n relevance: 0\n };\n var NUMBER = {\n className: 'number', begin: SIMPLE_NUMBER_RE,\n relevance: 0\n };\n var STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null});\n var COMMENT = hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n );\n var LITERAL = {\n className: 'literal',\n begin: /\\b(true|false|nil)\\b/\n };\n var COLLECTION = {\n begin: '[\\\\[\\\\{]', end: '[\\\\]\\\\}]'\n };\n var HINT = {\n className: 'comment',\n begin: '\\\\^' + SYMBOL_RE\n };\n var HINT_COL = hljs.COMMENT('\\\\^\\\\{', '\\\\}');\n var KEY = {\n className: 'symbol',\n begin: '[:]{1,2}' + SYMBOL_RE\n };\n var LIST = {\n begin: '\\\\(', end: '\\\\)'\n };\n var BODY = {\n endsWithParent: true,\n relevance: 0\n };\n var NAME = {\n keywords: keywords,\n lexemes: SYMBOL_RE,\n className: 'name', begin: SYMBOL_RE,\n starts: BODY\n };\n var DEFAULT_CONTAINS = [LIST, STRING, HINT, HINT_COL, COMMENT, KEY, COLLECTION, NUMBER, LITERAL, SYMBOL];\n\n LIST.contains = [hljs.COMMENT('comment', ''), NAME, BODY];\n BODY.contains = DEFAULT_CONTAINS;\n COLLECTION.contains = DEFAULT_CONTAINS;\n HINT_COL.contains = [COLLECTION];\n\n return {\n aliases: ['clj'],\n illegal: /\\S/,\n contains: [LIST, STRING, HINT, HINT_COL, COMMENT, KEY, COLLECTION, NUMBER, LITERAL]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/cmake.js b/js/highlight/cmake.js
index 151237748..bf0a14fda 100644
--- a/js/highlight/cmake.js
+++ b/js/highlight/cmake.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[30],{396:function(e,t){e.exports=function(e){return{aliases:["cmake.in"],case_insensitive:!0,keywords:{keyword:"break cmake_host_system_information cmake_minimum_required cmake_parse_arguments cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro endwhile execute_process file find_file find_library find_package find_path find_program foreach function get_cmake_property get_directory_property get_filename_component get_property if include include_guard list macro mark_as_advanced math message option return separate_arguments set_directory_properties set_property set site_name string unset variable_watch while add_compile_definitions add_compile_options add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_link_options add_subdirectory add_test aux_source_directory build_command create_test_sourcelist define_property enable_language enable_testing export fltk_wrap_ui get_source_file_property get_target_property get_test_property include_directories include_external_msproject include_regular_expression install link_directories link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions set_source_files_properties set_target_properties set_tests_properties source_group target_compile_definitions target_compile_features target_compile_options target_include_directories target_link_directories target_link_libraries target_link_options target_sources try_compile try_run ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ctest_test ctest_update ctest_upload build_name exec_program export_library_dependencies install_files install_programs install_targets load_command make_directory output_required_files remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or not command policy target test exists is_newer_than is_directory is_symlink is_absolute matches less greater equal less_equal greater_equal strless strgreater strequal strless_equal strgreater_equal version_less version_greater version_equal version_less_equal version_greater_equal in_list defined"},contains:[{className:"variable",begin:"\\${",end:"}"},e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE]}}}}]);
-//# sourceMappingURL=cmake.js.map?v=bfc695841df82d72642c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[30],{397:function(e,t){e.exports=function(e){return{aliases:["cmake.in"],case_insensitive:!0,keywords:{keyword:"break cmake_host_system_information cmake_minimum_required cmake_parse_arguments cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro endwhile execute_process file find_file find_library find_package find_path find_program foreach function get_cmake_property get_directory_property get_filename_component get_property if include include_guard list macro mark_as_advanced math message option return separate_arguments set_directory_properties set_property set site_name string unset variable_watch while add_compile_definitions add_compile_options add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_link_options add_subdirectory add_test aux_source_directory build_command create_test_sourcelist define_property enable_language enable_testing export fltk_wrap_ui get_source_file_property get_target_property get_test_property include_directories include_external_msproject include_regular_expression install link_directories link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions set_source_files_properties set_target_properties set_tests_properties source_group target_compile_definitions target_compile_features target_compile_options target_include_directories target_link_directories target_link_libraries target_link_options target_sources try_compile try_run ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ctest_test ctest_update ctest_upload build_name exec_program export_library_dependencies install_files install_programs install_targets load_command make_directory output_required_files remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or not command policy target test exists is_newer_than is_directory is_symlink is_absolute matches less greater equal less_equal greater_equal strless strgreater strequal strless_equal strgreater_equal version_less version_greater version_equal version_less_equal version_greater_equal in_list defined"},contains:[{className:"variable",begin:"\\${",end:"}"},e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE]}}}}]);
+//# sourceMappingURL=cmake.js.map?v=09e7844c496dacc56656 \ No newline at end of file
diff --git a/js/highlight/cmake.js.map b/js/highlight/cmake.js.map
index b499cdf2d..7f8460996 100644
--- a/js/highlight/cmake.js.map
+++ b/js/highlight/cmake.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/cmake.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","contains","className","begin","end","HASH_COMMENT_MODE","QUOTE_STRING_MODE","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,YACVC,kBAAkB,EAClBC,SAAU,CACRC,QAEE,woEAkCJC,SAAU,CACR,CACEC,UAAW,WACXC,MAAO,OAAQC,IAAK,KAEtBR,EAAKS,kBACLT,EAAKU,kBACLV,EAAKW","file":"highlight/cmake.js?v=bfc695841df82d72642c","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['cmake.in'],\n case_insensitive: true,\n keywords: {\n keyword:\n // scripting commands\n 'break cmake_host_system_information cmake_minimum_required cmake_parse_arguments ' +\n 'cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro ' +\n 'endwhile execute_process file find_file find_library find_package find_path ' +\n 'find_program foreach function get_cmake_property get_directory_property ' +\n 'get_filename_component get_property if include include_guard list macro ' +\n 'mark_as_advanced math message option return separate_arguments ' +\n 'set_directory_properties set_property set site_name string unset variable_watch while ' +\n // project commands\n 'add_compile_definitions add_compile_options add_custom_command add_custom_target ' +\n 'add_definitions add_dependencies add_executable add_library add_link_options ' +\n 'add_subdirectory add_test aux_source_directory build_command create_test_sourcelist ' +\n 'define_property enable_language enable_testing export fltk_wrap_ui ' +\n 'get_source_file_property get_target_property get_test_property include_directories ' +\n 'include_external_msproject include_regular_expression install link_directories ' +\n 'link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions ' +\n 'set_source_files_properties set_target_properties set_tests_properties source_group ' +\n 'target_compile_definitions target_compile_features target_compile_options ' +\n 'target_include_directories target_link_directories target_link_libraries ' +\n 'target_link_options target_sources try_compile try_run ' +\n // CTest commands\n 'ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ' +\n 'ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ' +\n 'ctest_test ctest_update ctest_upload ' +\n // deprecated commands\n 'build_name exec_program export_library_dependencies install_files install_programs ' +\n 'install_targets load_command make_directory output_required_files remove ' +\n 'subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file ' +\n 'qt5_use_modules qt5_use_package qt5_wrap_cpp ' +\n // core keywords\n 'on off true false and or not command policy target test exists is_newer_than ' +\n 'is_directory is_symlink is_absolute matches less greater equal less_equal ' +\n 'greater_equal strless strgreater strequal strless_equal strgreater_equal version_less ' +\n 'version_greater version_equal version_less_equal version_greater_equal in_list defined'\n },\n contains: [\n {\n className: 'variable',\n begin: '\\\\${', end: '}'\n },\n hljs.HASH_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/cmake.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","contains","className","begin","end","HASH_COMMENT_MODE","QUOTE_STRING_MODE","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,YACVC,kBAAkB,EAClBC,SAAU,CACRC,QAEE,woEAkCJC,SAAU,CACR,CACEC,UAAW,WACXC,MAAO,OAAQC,IAAK,KAEtBR,EAAKS,kBACLT,EAAKU,kBACLV,EAAKW","file":"highlight/cmake.js?v=09e7844c496dacc56656","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['cmake.in'],\n case_insensitive: true,\n keywords: {\n keyword:\n // scripting commands\n 'break cmake_host_system_information cmake_minimum_required cmake_parse_arguments ' +\n 'cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro ' +\n 'endwhile execute_process file find_file find_library find_package find_path ' +\n 'find_program foreach function get_cmake_property get_directory_property ' +\n 'get_filename_component get_property if include include_guard list macro ' +\n 'mark_as_advanced math message option return separate_arguments ' +\n 'set_directory_properties set_property set site_name string unset variable_watch while ' +\n // project commands\n 'add_compile_definitions add_compile_options add_custom_command add_custom_target ' +\n 'add_definitions add_dependencies add_executable add_library add_link_options ' +\n 'add_subdirectory add_test aux_source_directory build_command create_test_sourcelist ' +\n 'define_property enable_language enable_testing export fltk_wrap_ui ' +\n 'get_source_file_property get_target_property get_test_property include_directories ' +\n 'include_external_msproject include_regular_expression install link_directories ' +\n 'link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions ' +\n 'set_source_files_properties set_target_properties set_tests_properties source_group ' +\n 'target_compile_definitions target_compile_features target_compile_options ' +\n 'target_include_directories target_link_directories target_link_libraries ' +\n 'target_link_options target_sources try_compile try_run ' +\n // CTest commands\n 'ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ' +\n 'ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ' +\n 'ctest_test ctest_update ctest_upload ' +\n // deprecated commands\n 'build_name exec_program export_library_dependencies install_files install_programs ' +\n 'install_targets load_command make_directory output_required_files remove ' +\n 'subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file ' +\n 'qt5_use_modules qt5_use_package qt5_wrap_cpp ' +\n // core keywords\n 'on off true false and or not command policy target test exists is_newer_than ' +\n 'is_directory is_symlink is_absolute matches less greater equal less_equal ' +\n 'greater_equal strless strgreater strequal strless_equal strgreater_equal version_less ' +\n 'version_greater version_equal version_less_equal version_greater_equal in_list defined'\n },\n contains: [\n {\n className: 'variable',\n begin: '\\\\${', end: '}'\n },\n hljs.HASH_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/coffeescript.js b/js/highlight/coffeescript.js
index b38f03b68..857a60c3f 100644
--- a/js/highlight/coffeescript.js
+++ b/js/highlight/coffeescript.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[31],{397:function(n,e){n.exports=function(n){var e={keyword:"in if for while finally new do return else break catch instanceof throw try this switch continue typeof delete debugger super yield import export from as default await then unless until loop of by when and or is isnt not",literal:"true false null undefined yes no on off",built_in:"npm require console print module global window document"},i="[A-Za-z$_][0-9A-Za-z$_]*",s={className:"subst",begin:/#\{/,end:/}/,keywords:e},a=[n.BINARY_NUMBER_MODE,n.inherit(n.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[n.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[n.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[n.BACKSLASH_ESCAPE,s]},{begin:/"/,end:/"/,contains:[n.BACKSLASH_ESCAPE,s]}]},{className:"regexp",variants:[{begin:"///",end:"///",contains:[s,n.HASH_COMMENT_MODE]},{begin:"//[gim]*",relevance:0},{begin:/\/(?![ *])(\\\/|.)*?\/[gim]*(?=\W)/}]},{begin:"@"+i},{subLanguage:"javascript",excludeBegin:!0,excludeEnd:!0,variants:[{begin:"```",end:"```"},{begin:"`",end:"`"}]}];s.contains=a;var t=n.inherit(n.TITLE_MODE,{begin:i}),o={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:e,contains:["self"].concat(a)}]};return{aliases:["coffee","cson","iced"],keywords:e,illegal:/\/\*/,contains:a.concat([n.COMMENT("###","###"),n.HASH_COMMENT_MODE,{className:"function",begin:"^\\s*"+i+"\\s*=\\s*(\\(.*\\))?\\s*\\B[-=]>",end:"[-=]>",returnBegin:!0,contains:[t,o]},{begin:/[:\(,=]\s*/,relevance:0,contains:[{className:"function",begin:"(\\(.*\\))?\\s*\\B[-=]>",end:"[-=]>",returnBegin:!0,contains:[o]}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[t]},t]},{begin:i+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}}}]);
-//# sourceMappingURL=coffeescript.js.map?v=68737ba6bd067e9713bd \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[31],{398:function(n,e){n.exports=function(n){var e={keyword:"in if for while finally new do return else break catch instanceof throw try this switch continue typeof delete debugger super yield import export from as default await then unless until loop of by when and or is isnt not",literal:"true false null undefined yes no on off",built_in:"npm require console print module global window document"},i="[A-Za-z$_][0-9A-Za-z$_]*",s={className:"subst",begin:/#\{/,end:/}/,keywords:e},a=[n.BINARY_NUMBER_MODE,n.inherit(n.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[n.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[n.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[n.BACKSLASH_ESCAPE,s]},{begin:/"/,end:/"/,contains:[n.BACKSLASH_ESCAPE,s]}]},{className:"regexp",variants:[{begin:"///",end:"///",contains:[s,n.HASH_COMMENT_MODE]},{begin:"//[gim]{0,3}(?=\\W)",relevance:0},{begin:/\/(?![ *]).*?(?![\\]).\/[gim]{0,3}(?=\W)/}]},{begin:"@"+i},{subLanguage:"javascript",excludeBegin:!0,excludeEnd:!0,variants:[{begin:"```",end:"```"},{begin:"`",end:"`"}]}];s.contains=a;var t=n.inherit(n.TITLE_MODE,{begin:i}),o={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:e,contains:["self"].concat(a)}]};return{aliases:["coffee","cson","iced"],keywords:e,illegal:/\/\*/,contains:a.concat([n.COMMENT("###","###"),n.HASH_COMMENT_MODE,{className:"function",begin:"^\\s*"+i+"\\s*=\\s*(\\(.*\\))?\\s*\\B[-=]>",end:"[-=]>",returnBegin:!0,contains:[t,o]},{begin:/[:\(,=]\s*/,relevance:0,contains:[{className:"function",begin:"(\\(.*\\))?\\s*\\B[-=]>",end:"[-=]>",returnBegin:!0,contains:[o]}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[t]},t]},{begin:i+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}}}]);
+//# sourceMappingURL=coffeescript.js.map?v=3cdfa9538f890fa46bb1 \ No newline at end of file
diff --git a/js/highlight/coffeescript.js.map b/js/highlight/coffeescript.js.map
index e7aaaea18..08a1aa68e 100644
--- a/js/highlight/coffeescript.js.map
+++ b/js/highlight/coffeescript.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/coffeescript.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","built_in","JS_IDENT_RE","SUBST","className","begin","end","keywords","EXPRESSIONS","BINARY_NUMBER_MODE","inherit","C_NUMBER_MODE","starts","relevance","variants","contains","BACKSLASH_ESCAPE","HASH_COMMENT_MODE","subLanguage","excludeBegin","excludeEnd","TITLE","TITLE_MODE","PARAMS","returnBegin","concat","aliases","illegal","COMMENT","beginKeywords","endsWithParent","returnEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QAEE,+NAIFC,QAEE,0CAGFC,SACE,2DAEAC,EAAc,2BACdC,EAAQ,CACVC,UAAW,QACXC,MAAO,MAAOC,IAAK,IACnBC,SAAUT,GAERU,EAAc,CAChBX,EAAKY,mBACLZ,EAAKa,QAAQb,EAAKc,cAAe,CAACC,OAAQ,CAACN,IAAK,WAAYO,UAAW,KACvE,CACET,UAAW,SACXU,SAAU,CACR,CACET,MAAO,MAAOC,IAAK,MACnBS,SAAU,CAAClB,EAAKmB,mBAElB,CACEX,MAAO,IAAKC,IAAK,IACjBS,SAAU,CAAClB,EAAKmB,mBAElB,CACEX,MAAO,MAAOC,IAAK,MACnBS,SAAU,CAAClB,EAAKmB,iBAAkBb,IAEpC,CACEE,MAAO,IAAKC,IAAK,IACjBS,SAAU,CAAClB,EAAKmB,iBAAkBb,MAIxC,CACEC,UAAW,SACXU,SAAU,CACR,CACET,MAAO,MAAOC,IAAK,MACnBS,SAAU,CAACZ,EAAON,EAAKoB,oBAEzB,CACEZ,MAAO,WACPQ,UAAW,GAEb,CAGER,MAAO,wCAIb,CACEA,MAAO,IAAMH,GAEf,CACEgB,YAAa,aACbC,cAAc,EAAMC,YAAY,EAChCN,SAAU,CACR,CACET,MAAO,MAAOC,IAAK,OAErB,CACED,MAAO,IAAKC,IAAK,QAKzBH,EAAMY,SAAWP,EAEjB,IAAIa,EAAQxB,EAAKa,QAAQb,EAAKyB,WAAY,CAACjB,MAAOH,IAE9CqB,EAAS,CACXnB,UAAW,SACXC,MAAO,YAAamB,aAAa,EAGjCT,SAAU,CAAC,CACTV,MAAO,KAAMC,IAAK,KAClBC,SAAUT,EACViB,SAAU,CAAC,QAAQU,OAAOjB,MAI9B,MAAO,CACLkB,QAAS,CAAC,SAAU,OAAQ,QAC5BnB,SAAUT,EACV6B,QAAS,OACTZ,SAAUP,EAAYiB,OAAO,CAC3B5B,EAAK+B,QAAQ,MAAO,OACpB/B,EAAKoB,kBACL,CACEb,UAAW,WACXC,MAAO,QAAUH,EAAV,mCAAiDI,IAAK,QAC7DkB,aAAa,EACbT,SAAU,CAACM,EAAOE,IAEpB,CAEElB,MAAO,aACPQ,UAAW,EACXE,SAAU,CACR,CACEX,UAAW,WACXC,MAjCM,0BAiCYC,IAAK,QACvBkB,aAAa,EACbT,SAAU,CAACQ,MAIjB,CACEnB,UAAW,QACXyB,cAAe,QACfvB,IAAK,IACLqB,QAAS,YACTZ,SAAU,CACR,CACEc,cAAe,UACfC,gBAAgB,EAChBH,QAAS,YACTZ,SAAU,CAACM,IAEbA,IAGJ,CACEhB,MAAOH,EAAc,IAAKI,IAAK,IAC/BkB,aAAa,EAAMO,WAAW,EAC9BlB,UAAW","file":"highlight/coffeescript.js?v=68737ba6bd067e9713bd","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n // JS keywords\n 'in if for while finally new do return else break catch instanceof throw try this ' +\n 'switch continue typeof delete debugger super yield import export from as default await ' +\n // Coffee keywords\n 'then unless until loop of by when and or is isnt not',\n literal:\n // JS literals\n 'true false null undefined ' +\n // Coffee literals\n 'yes no on off',\n built_in:\n 'npm require console print module global window document'\n };\n var JS_IDENT_RE = '[A-Za-z$_][0-9A-Za-z$_]*';\n var SUBST = {\n className: 'subst',\n begin: /#\\{/, end: /}/,\n keywords: KEYWORDS\n };\n var EXPRESSIONS = [\n hljs.BINARY_NUMBER_MODE,\n hljs.inherit(hljs.C_NUMBER_MODE, {starts: {end: '(\\\\s*/)?', relevance: 0}}), // a number tries to eat the following slash to prevent treating it as a regexp\n {\n className: 'string',\n variants: [\n {\n begin: /'''/, end: /'''/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: /'/, end: /'/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: /\"\"\"/, end: /\"\"\"/,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST]\n },\n {\n begin: /\"/, end: /\"/,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST]\n }\n ]\n },\n {\n className: 'regexp',\n variants: [\n {\n begin: '///', end: '///',\n contains: [SUBST, hljs.HASH_COMMENT_MODE]\n },\n {\n begin: '//[gim]*',\n relevance: 0\n },\n {\n // regex can't start with space to parse x / 2 / 3 as two divisions\n // regex can't start with *, and it supports an \"illegal\" in the main mode\n begin: /\\/(?![ *])(\\\\\\/|.)*?\\/[gim]*(?=\\W)/\n }\n ]\n },\n {\n begin: '@' + JS_IDENT_RE // relevance booster\n },\n {\n subLanguage: 'javascript',\n excludeBegin: true, excludeEnd: true,\n variants: [\n {\n begin: '```', end: '```',\n },\n {\n begin: '`', end: '`',\n }\n ]\n }\n ];\n SUBST.contains = EXPRESSIONS;\n\n var TITLE = hljs.inherit(hljs.TITLE_MODE, {begin: JS_IDENT_RE});\n var PARAMS_RE = '(\\\\(.*\\\\))?\\\\s*\\\\B[-=]>';\n var PARAMS = {\n className: 'params',\n begin: '\\\\([^\\\\(]', returnBegin: true,\n /* We need another contained nameless mode to not have every nested\n pair of parens to be called \"params\" */\n contains: [{\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n contains: ['self'].concat(EXPRESSIONS)\n }]\n };\n\n return {\n aliases: ['coffee', 'cson', 'iced'],\n keywords: KEYWORDS,\n illegal: /\\/\\*/,\n contains: EXPRESSIONS.concat([\n hljs.COMMENT('###', '###'),\n hljs.HASH_COMMENT_MODE,\n {\n className: 'function',\n begin: '^\\\\s*' + JS_IDENT_RE + '\\\\s*=\\\\s*' + PARAMS_RE, end: '[-=]>',\n returnBegin: true,\n contains: [TITLE, PARAMS]\n },\n {\n // anonymous function start\n begin: /[:\\(,=]\\s*/,\n relevance: 0,\n contains: [\n {\n className: 'function',\n begin: PARAMS_RE, end: '[-=]>',\n returnBegin: true,\n contains: [PARAMS]\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class',\n end: '$',\n illegal: /[:=\"\\[\\]]/,\n contains: [\n {\n beginKeywords: 'extends',\n endsWithParent: true,\n illegal: /[:=\"\\[\\]]/,\n contains: [TITLE]\n },\n TITLE\n ]\n },\n {\n begin: JS_IDENT_RE + ':', end: ':',\n returnBegin: true, returnEnd: true,\n relevance: 0\n }\n ])\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/coffeescript.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","built_in","JS_IDENT_RE","SUBST","className","begin","end","keywords","EXPRESSIONS","BINARY_NUMBER_MODE","inherit","C_NUMBER_MODE","starts","relevance","variants","contains","BACKSLASH_ESCAPE","HASH_COMMENT_MODE","subLanguage","excludeBegin","excludeEnd","TITLE","TITLE_MODE","PARAMS","returnBegin","concat","aliases","illegal","COMMENT","beginKeywords","endsWithParent","returnEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QAEE,+NAIFC,QAEE,0CAGFC,SACE,2DAEAC,EAAc,2BACdC,EAAQ,CACVC,UAAW,QACXC,MAAO,MAAOC,IAAK,IACnBC,SAAUT,GAERU,EAAc,CAChBX,EAAKY,mBACLZ,EAAKa,QAAQb,EAAKc,cAAe,CAACC,OAAQ,CAACN,IAAK,WAAYO,UAAW,KACvE,CACET,UAAW,SACXU,SAAU,CACR,CACET,MAAO,MAAOC,IAAK,MACnBS,SAAU,CAAClB,EAAKmB,mBAElB,CACEX,MAAO,IAAKC,IAAK,IACjBS,SAAU,CAAClB,EAAKmB,mBAElB,CACEX,MAAO,MAAOC,IAAK,MACnBS,SAAU,CAAClB,EAAKmB,iBAAkBb,IAEpC,CACEE,MAAO,IAAKC,IAAK,IACjBS,SAAU,CAAClB,EAAKmB,iBAAkBb,MAIxC,CACEC,UAAW,SACXU,SAAU,CACR,CACET,MAAO,MAAOC,IAAK,MACnBS,SAAU,CAACZ,EAAON,EAAKoB,oBAEzB,CACEZ,MAAO,sBACPQ,UAAW,GAEb,CAGER,MAAO,8CAIb,CACEA,MAAO,IAAMH,GAEf,CACEgB,YAAa,aACbC,cAAc,EAAMC,YAAY,EAChCN,SAAU,CACR,CACET,MAAO,MAAOC,IAAK,OAErB,CACED,MAAO,IAAKC,IAAK,QAKzBH,EAAMY,SAAWP,EAEjB,IAAIa,EAAQxB,EAAKa,QAAQb,EAAKyB,WAAY,CAACjB,MAAOH,IAE9CqB,EAAS,CACXnB,UAAW,SACXC,MAAO,YAAamB,aAAa,EAGjCT,SAAU,CAAC,CACTV,MAAO,KAAMC,IAAK,KAClBC,SAAUT,EACViB,SAAU,CAAC,QAAQU,OAAOjB,MAI9B,MAAO,CACLkB,QAAS,CAAC,SAAU,OAAQ,QAC5BnB,SAAUT,EACV6B,QAAS,OACTZ,SAAUP,EAAYiB,OAAO,CAC3B5B,EAAK+B,QAAQ,MAAO,OACpB/B,EAAKoB,kBACL,CACEb,UAAW,WACXC,MAAO,QAAUH,EAAV,mCAAiDI,IAAK,QAC7DkB,aAAa,EACbT,SAAU,CAACM,EAAOE,IAEpB,CAEElB,MAAO,aACPQ,UAAW,EACXE,SAAU,CACR,CACEX,UAAW,WACXC,MAjCM,0BAiCYC,IAAK,QACvBkB,aAAa,EACbT,SAAU,CAACQ,MAIjB,CACEnB,UAAW,QACXyB,cAAe,QACfvB,IAAK,IACLqB,QAAS,YACTZ,SAAU,CACR,CACEc,cAAe,UACfC,gBAAgB,EAChBH,QAAS,YACTZ,SAAU,CAACM,IAEbA,IAGJ,CACEhB,MAAOH,EAAc,IAAKI,IAAK,IAC/BkB,aAAa,EAAMO,WAAW,EAC9BlB,UAAW","file":"highlight/coffeescript.js?v=3cdfa9538f890fa46bb1","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n // JS keywords\n 'in if for while finally new do return else break catch instanceof throw try this ' +\n 'switch continue typeof delete debugger super yield import export from as default await ' +\n // Coffee keywords\n 'then unless until loop of by when and or is isnt not',\n literal:\n // JS literals\n 'true false null undefined ' +\n // Coffee literals\n 'yes no on off',\n built_in:\n 'npm require console print module global window document'\n };\n var JS_IDENT_RE = '[A-Za-z$_][0-9A-Za-z$_]*';\n var SUBST = {\n className: 'subst',\n begin: /#\\{/, end: /}/,\n keywords: KEYWORDS\n };\n var EXPRESSIONS = [\n hljs.BINARY_NUMBER_MODE,\n hljs.inherit(hljs.C_NUMBER_MODE, {starts: {end: '(\\\\s*/)?', relevance: 0}}), // a number tries to eat the following slash to prevent treating it as a regexp\n {\n className: 'string',\n variants: [\n {\n begin: /'''/, end: /'''/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: /'/, end: /'/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: /\"\"\"/, end: /\"\"\"/,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST]\n },\n {\n begin: /\"/, end: /\"/,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST]\n }\n ]\n },\n {\n className: 'regexp',\n variants: [\n {\n begin: '///', end: '///',\n contains: [SUBST, hljs.HASH_COMMENT_MODE]\n },\n {\n begin: '//[gim]{0,3}(?=\\\\W)',\n relevance: 0\n },\n {\n // regex can't start with space to parse x / 2 / 3 as two divisions\n // regex can't start with *, and it supports an \"illegal\" in the main mode\n begin: /\\/(?![ *]).*?(?![\\\\]).\\/[gim]{0,3}(?=\\W)/\n }\n ]\n },\n {\n begin: '@' + JS_IDENT_RE // relevance booster\n },\n {\n subLanguage: 'javascript',\n excludeBegin: true, excludeEnd: true,\n variants: [\n {\n begin: '```', end: '```',\n },\n {\n begin: '`', end: '`',\n }\n ]\n }\n ];\n SUBST.contains = EXPRESSIONS;\n\n var TITLE = hljs.inherit(hljs.TITLE_MODE, {begin: JS_IDENT_RE});\n var PARAMS_RE = '(\\\\(.*\\\\))?\\\\s*\\\\B[-=]>';\n var PARAMS = {\n className: 'params',\n begin: '\\\\([^\\\\(]', returnBegin: true,\n /* We need another contained nameless mode to not have every nested\n pair of parens to be called \"params\" */\n contains: [{\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n contains: ['self'].concat(EXPRESSIONS)\n }]\n };\n\n return {\n aliases: ['coffee', 'cson', 'iced'],\n keywords: KEYWORDS,\n illegal: /\\/\\*/,\n contains: EXPRESSIONS.concat([\n hljs.COMMENT('###', '###'),\n hljs.HASH_COMMENT_MODE,\n {\n className: 'function',\n begin: '^\\\\s*' + JS_IDENT_RE + '\\\\s*=\\\\s*' + PARAMS_RE, end: '[-=]>',\n returnBegin: true,\n contains: [TITLE, PARAMS]\n },\n {\n // anonymous function start\n begin: /[:\\(,=]\\s*/,\n relevance: 0,\n contains: [\n {\n className: 'function',\n begin: PARAMS_RE, end: '[-=]>',\n returnBegin: true,\n contains: [PARAMS]\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class',\n end: '$',\n illegal: /[:=\"\\[\\]]/,\n contains: [\n {\n beginKeywords: 'extends',\n endsWithParent: true,\n illegal: /[:=\"\\[\\]]/,\n contains: [TITLE]\n },\n TITLE\n ]\n },\n {\n begin: JS_IDENT_RE + ':', end: ':',\n returnBegin: true, returnEnd: true,\n relevance: 0\n }\n ])\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/coq.js b/js/highlight/coq.js
index e0d50749d..d5d30c422 100644
--- a/js/highlight/coq.js
+++ b/js/highlight/coq.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[32],{398:function(e,t){e.exports=function(e){return{keywords:{keyword:"_|0 as at cofix else end exists exists2 fix for forall fun if IF in let match mod Prop return Set then Type using where with Abort About Add Admit Admitted All Arguments Assumptions Axiom Back BackTo Backtrack Bind Blacklist Canonical Cd Check Class Classes Close Coercion Coercions CoFixpoint CoInductive Collection Combined Compute Conjecture Conjectures Constant constr Constraint Constructors Context Corollary CreateHintDb Cut Declare Defined Definition Delimit Dependencies DependentDerive Drop eauto End Equality Eval Example Existential Existentials Existing Export exporting Extern Extract Extraction Fact Field Fields File Fixpoint Focus for From Function Functional Generalizable Global Goal Grab Grammar Graph Guarded Heap Hint HintDb Hints Hypotheses Hypothesis ident Identity If Immediate Implicit Import Include Inductive Infix Info Initial Inline Inspect Instance Instances Intro Intros Inversion Inversion_clear Language Left Lemma Let Libraries Library Load LoadPath Local Locate Ltac ML Mode Module Modules Monomorphic Morphism Next NoInline Notation Obligation Obligations Opaque Open Optimize Options Parameter Parameters Parametric Path Paths pattern Polymorphic Preterm Print Printing Program Projections Proof Proposition Pwd Qed Quit Rec Record Recursive Redirect Relation Remark Remove Require Reserved Reset Resolve Restart Rewrite Right Ring Rings Save Scheme Scope Scopes Script Search SearchAbout SearchHead SearchPattern SearchRewrite Section Separate Set Setoid Show Solve Sorted Step Strategies Strategy Structure SubClass Table Tables Tactic Term Test Theorem Time Timeout Transparent Type Typeclasses Types Undelimit Undo Unfocus Unfocused Unfold Universe Universes Unset Unshelve using Variable Variables Variant Verbose Visibility where with",built_in:"abstract absurd admit after apply as assert assumption at auto autorewrite autounfold before bottom btauto by case case_eq cbn cbv change classical_left classical_right clear clearbody cofix compare compute congruence constr_eq constructor contradict contradiction cut cutrewrite cycle decide decompose dependent destruct destruction dintuition discriminate discrR do double dtauto eapply eassumption eauto ecase econstructor edestruct ediscriminate eelim eexact eexists einduction einjection eleft elim elimtype enough equality erewrite eright esimplify_eq esplit evar exact exactly_once exfalso exists f_equal fail field field_simplify field_simplify_eq first firstorder fix fold fourier functional generalize generalizing gfail give_up has_evar hnf idtac in induction injection instantiate intro intro_pattern intros intuition inversion inversion_clear is_evar is_var lapply lazy left lia lra move native_compute nia nsatz omega once pattern pose progress proof psatz quote record red refine reflexivity remember rename repeat replace revert revgoals rewrite rewrite_strat right ring ring_simplify rtauto set setoid_reflexivity setoid_replace setoid_rewrite setoid_symmetry setoid_transitivity shelve shelve_unifiable simpl simple simplify_eq solve specialize split split_Rabs split_Rmult stepl stepr subst sum swap symmetry tactic tauto time timeout top transitivity trivial try tryif unfold unify until using vm_compute with"},contains:[e.QUOTE_STRING_MODE,e.COMMENT("\\(\\*","\\*\\)"),e.C_NUMBER_MODE,{className:"type",excludeBegin:!0,begin:"\\|\\s*",end:"\\w+"},{begin:/[-=]>/}]}}}}]);
-//# sourceMappingURL=coq.js.map?v=8edf814709e41a621c00 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[32],{399:function(e,t){e.exports=function(e){return{keywords:{keyword:"_|0 as at cofix else end exists exists2 fix for forall fun if IF in let match mod Prop return Set then Type using where with Abort About Add Admit Admitted All Arguments Assumptions Axiom Back BackTo Backtrack Bind Blacklist Canonical Cd Check Class Classes Close Coercion Coercions CoFixpoint CoInductive Collection Combined Compute Conjecture Conjectures Constant constr Constraint Constructors Context Corollary CreateHintDb Cut Declare Defined Definition Delimit Dependencies DependentDerive Drop eauto End Equality Eval Example Existential Existentials Existing Export exporting Extern Extract Extraction Fact Field Fields File Fixpoint Focus for From Function Functional Generalizable Global Goal Grab Grammar Graph Guarded Heap Hint HintDb Hints Hypotheses Hypothesis ident Identity If Immediate Implicit Import Include Inductive Infix Info Initial Inline Inspect Instance Instances Intro Intros Inversion Inversion_clear Language Left Lemma Let Libraries Library Load LoadPath Local Locate Ltac ML Mode Module Modules Monomorphic Morphism Next NoInline Notation Obligation Obligations Opaque Open Optimize Options Parameter Parameters Parametric Path Paths pattern Polymorphic Preterm Print Printing Program Projections Proof Proposition Pwd Qed Quit Rec Record Recursive Redirect Relation Remark Remove Require Reserved Reset Resolve Restart Rewrite Right Ring Rings Save Scheme Scope Scopes Script Search SearchAbout SearchHead SearchPattern SearchRewrite Section Separate Set Setoid Show Solve Sorted Step Strategies Strategy Structure SubClass Table Tables Tactic Term Test Theorem Time Timeout Transparent Type Typeclasses Types Undelimit Undo Unfocus Unfocused Unfold Universe Universes Unset Unshelve using Variable Variables Variant Verbose Visibility where with",built_in:"abstract absurd admit after apply as assert assumption at auto autorewrite autounfold before bottom btauto by case case_eq cbn cbv change classical_left classical_right clear clearbody cofix compare compute congruence constr_eq constructor contradict contradiction cut cutrewrite cycle decide decompose dependent destruct destruction dintuition discriminate discrR do double dtauto eapply eassumption eauto ecase econstructor edestruct ediscriminate eelim eexact eexists einduction einjection eleft elim elimtype enough equality erewrite eright esimplify_eq esplit evar exact exactly_once exfalso exists f_equal fail field field_simplify field_simplify_eq first firstorder fix fold fourier functional generalize generalizing gfail give_up has_evar hnf idtac in induction injection instantiate intro intro_pattern intros intuition inversion inversion_clear is_evar is_var lapply lazy left lia lra move native_compute nia nsatz omega once pattern pose progress proof psatz quote record red refine reflexivity remember rename repeat replace revert revgoals rewrite rewrite_strat right ring ring_simplify rtauto set setoid_reflexivity setoid_replace setoid_rewrite setoid_symmetry setoid_transitivity shelve shelve_unifiable simpl simple simplify_eq solve specialize split split_Rabs split_Rmult stepl stepr subst sum swap symmetry tactic tauto time timeout top transitivity trivial try tryif unfold unify until using vm_compute with"},contains:[e.QUOTE_STRING_MODE,e.COMMENT("\\(\\*","\\*\\)"),e.C_NUMBER_MODE,{className:"type",excludeBegin:!0,begin:"\\|\\s*",end:"\\w+"},{begin:/[-=]>/}]}}}}]);
+//# sourceMappingURL=coq.js.map?v=ebfcf67e77ab98acbcd1 \ No newline at end of file
diff --git a/js/highlight/coq.js.map b/js/highlight/coq.js.map
index 0076c4666..32855ec99 100644
--- a/js/highlight/coq.js.map
+++ b/js/highlight/coq.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/coq.js"],"names":["module","exports","hljs","keywords","keyword","built_in","contains","QUOTE_STRING_MODE","COMMENT","C_NUMBER_MODE","className","excludeBegin","begin","end"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QACE,qvDAyBFC,SACE,y5CAsBJC,SAAU,CACRJ,EAAKK,kBACLL,EAAKM,QAAQ,SAAU,UACvBN,EAAKO,cACL,CACEC,UAAW,OACXC,cAAc,EACdC,MAAO,UACPC,IAAK,QAEP,CAACD,MAAO","file":"highlight/coq.js?v=8edf814709e41a621c00","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword:\n '_|0 as at cofix else end exists exists2 fix for forall fun if IF in let ' +\n 'match mod Prop return Set then Type using where with ' +\n 'Abort About Add Admit Admitted All Arguments Assumptions Axiom Back BackTo ' +\n 'Backtrack Bind Blacklist Canonical Cd Check Class Classes Close Coercion ' +\n 'Coercions CoFixpoint CoInductive Collection Combined Compute Conjecture ' +\n 'Conjectures Constant constr Constraint Constructors Context Corollary ' +\n 'CreateHintDb Cut Declare Defined Definition Delimit Dependencies Dependent' +\n 'Derive Drop eauto End Equality Eval Example Existential Existentials ' +\n 'Existing Export exporting Extern Extract Extraction Fact Field Fields File ' +\n 'Fixpoint Focus for From Function Functional Generalizable Global Goal Grab ' +\n 'Grammar Graph Guarded Heap Hint HintDb Hints Hypotheses Hypothesis ident ' +\n 'Identity If Immediate Implicit Import Include Inductive Infix Info Initial ' +\n 'Inline Inspect Instance Instances Intro Intros Inversion Inversion_clear ' +\n 'Language Left Lemma Let Libraries Library Load LoadPath Local Locate Ltac ML ' +\n 'Mode Module Modules Monomorphic Morphism Next NoInline Notation Obligation ' +\n 'Obligations Opaque Open Optimize Options Parameter Parameters Parametric ' +\n 'Path Paths pattern Polymorphic Preterm Print Printing Program Projections ' +\n 'Proof Proposition Pwd Qed Quit Rec Record Recursive Redirect Relation Remark ' +\n 'Remove Require Reserved Reset Resolve Restart Rewrite Right Ring Rings Save ' +\n 'Scheme Scope Scopes Script Search SearchAbout SearchHead SearchPattern ' +\n 'SearchRewrite Section Separate Set Setoid Show Solve Sorted Step Strategies ' +\n 'Strategy Structure SubClass Table Tables Tactic Term Test Theorem Time ' +\n 'Timeout Transparent Type Typeclasses Types Undelimit Undo Unfocus Unfocused ' +\n 'Unfold Universe Universes Unset Unshelve using Variable Variables Variant ' +\n 'Verbose Visibility where with',\n built_in:\n 'abstract absurd admit after apply as assert assumption at auto autorewrite ' +\n 'autounfold before bottom btauto by case case_eq cbn cbv change ' +\n 'classical_left classical_right clear clearbody cofix compare compute ' +\n 'congruence constr_eq constructor contradict contradiction cut cutrewrite ' +\n 'cycle decide decompose dependent destruct destruction dintuition ' +\n 'discriminate discrR do double dtauto eapply eassumption eauto ecase ' +\n 'econstructor edestruct ediscriminate eelim eexact eexists einduction ' +\n 'einjection eleft elim elimtype enough equality erewrite eright ' +\n 'esimplify_eq esplit evar exact exactly_once exfalso exists f_equal fail ' +\n 'field field_simplify field_simplify_eq first firstorder fix fold fourier ' +\n 'functional generalize generalizing gfail give_up has_evar hnf idtac in ' +\n 'induction injection instantiate intro intro_pattern intros intuition ' +\n 'inversion inversion_clear is_evar is_var lapply lazy left lia lra move ' +\n 'native_compute nia nsatz omega once pattern pose progress proof psatz quote ' +\n 'record red refine reflexivity remember rename repeat replace revert ' +\n 'revgoals rewrite rewrite_strat right ring ring_simplify rtauto set ' +\n 'setoid_reflexivity setoid_replace setoid_rewrite setoid_symmetry ' +\n 'setoid_transitivity shelve shelve_unifiable simpl simple simplify_eq solve ' +\n 'specialize split split_Rabs split_Rmult stepl stepr subst sum swap ' +\n 'symmetry tactic tauto time timeout top transitivity trivial try tryif ' +\n 'unfold unify until using vm_compute with'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.COMMENT('\\\\(\\\\*', '\\\\*\\\\)'),\n hljs.C_NUMBER_MODE,\n {\n className: 'type',\n excludeBegin: true,\n begin: '\\\\|\\\\s*',\n end: '\\\\w+'\n },\n {begin: /[-=]>/} // relevance booster\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/coq.js"],"names":["module","exports","hljs","keywords","keyword","built_in","contains","QUOTE_STRING_MODE","COMMENT","C_NUMBER_MODE","className","excludeBegin","begin","end"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QACE,qvDAyBFC,SACE,y5CAsBJC,SAAU,CACRJ,EAAKK,kBACLL,EAAKM,QAAQ,SAAU,UACvBN,EAAKO,cACL,CACEC,UAAW,OACXC,cAAc,EACdC,MAAO,UACPC,IAAK,QAEP,CAACD,MAAO","file":"highlight/coq.js?v=ebfcf67e77ab98acbcd1","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword:\n '_|0 as at cofix else end exists exists2 fix for forall fun if IF in let ' +\n 'match mod Prop return Set then Type using where with ' +\n 'Abort About Add Admit Admitted All Arguments Assumptions Axiom Back BackTo ' +\n 'Backtrack Bind Blacklist Canonical Cd Check Class Classes Close Coercion ' +\n 'Coercions CoFixpoint CoInductive Collection Combined Compute Conjecture ' +\n 'Conjectures Constant constr Constraint Constructors Context Corollary ' +\n 'CreateHintDb Cut Declare Defined Definition Delimit Dependencies Dependent' +\n 'Derive Drop eauto End Equality Eval Example Existential Existentials ' +\n 'Existing Export exporting Extern Extract Extraction Fact Field Fields File ' +\n 'Fixpoint Focus for From Function Functional Generalizable Global Goal Grab ' +\n 'Grammar Graph Guarded Heap Hint HintDb Hints Hypotheses Hypothesis ident ' +\n 'Identity If Immediate Implicit Import Include Inductive Infix Info Initial ' +\n 'Inline Inspect Instance Instances Intro Intros Inversion Inversion_clear ' +\n 'Language Left Lemma Let Libraries Library Load LoadPath Local Locate Ltac ML ' +\n 'Mode Module Modules Monomorphic Morphism Next NoInline Notation Obligation ' +\n 'Obligations Opaque Open Optimize Options Parameter Parameters Parametric ' +\n 'Path Paths pattern Polymorphic Preterm Print Printing Program Projections ' +\n 'Proof Proposition Pwd Qed Quit Rec Record Recursive Redirect Relation Remark ' +\n 'Remove Require Reserved Reset Resolve Restart Rewrite Right Ring Rings Save ' +\n 'Scheme Scope Scopes Script Search SearchAbout SearchHead SearchPattern ' +\n 'SearchRewrite Section Separate Set Setoid Show Solve Sorted Step Strategies ' +\n 'Strategy Structure SubClass Table Tables Tactic Term Test Theorem Time ' +\n 'Timeout Transparent Type Typeclasses Types Undelimit Undo Unfocus Unfocused ' +\n 'Unfold Universe Universes Unset Unshelve using Variable Variables Variant ' +\n 'Verbose Visibility where with',\n built_in:\n 'abstract absurd admit after apply as assert assumption at auto autorewrite ' +\n 'autounfold before bottom btauto by case case_eq cbn cbv change ' +\n 'classical_left classical_right clear clearbody cofix compare compute ' +\n 'congruence constr_eq constructor contradict contradiction cut cutrewrite ' +\n 'cycle decide decompose dependent destruct destruction dintuition ' +\n 'discriminate discrR do double dtauto eapply eassumption eauto ecase ' +\n 'econstructor edestruct ediscriminate eelim eexact eexists einduction ' +\n 'einjection eleft elim elimtype enough equality erewrite eright ' +\n 'esimplify_eq esplit evar exact exactly_once exfalso exists f_equal fail ' +\n 'field field_simplify field_simplify_eq first firstorder fix fold fourier ' +\n 'functional generalize generalizing gfail give_up has_evar hnf idtac in ' +\n 'induction injection instantiate intro intro_pattern intros intuition ' +\n 'inversion inversion_clear is_evar is_var lapply lazy left lia lra move ' +\n 'native_compute nia nsatz omega once pattern pose progress proof psatz quote ' +\n 'record red refine reflexivity remember rename repeat replace revert ' +\n 'revgoals rewrite rewrite_strat right ring ring_simplify rtauto set ' +\n 'setoid_reflexivity setoid_replace setoid_rewrite setoid_symmetry ' +\n 'setoid_transitivity shelve shelve_unifiable simpl simple simplify_eq solve ' +\n 'specialize split split_Rabs split_Rmult stepl stepr subst sum swap ' +\n 'symmetry tactic tauto time timeout top transitivity trivial try tryif ' +\n 'unfold unify until using vm_compute with'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.COMMENT('\\\\(\\\\*', '\\\\*\\\\)'),\n hljs.C_NUMBER_MODE,\n {\n className: 'type',\n excludeBegin: true,\n begin: '\\\\|\\\\s*',\n end: '\\\\w+'\n },\n {begin: /[-=]>/} // relevance booster\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/cos.js b/js/highlight/cos.js
index dc29edcda..5ab308024 100644
--- a/js/highlight/cos.js
+++ b/js/highlight/cos.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[33],{399:function(e,n){e.exports=function(e){return{case_insensitive:!0,aliases:["cos","cls"],keywords:"property parameter class classmethod clientmethod extends as break catch close continue do d|0 else elseif for goto halt hang h|0 if job j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 tcommit throw trollback try tstart use view while write w|0 xecute x|0 zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit zsync ascii",contains:[{className:"number",begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)",relevance:0},{className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"comment",begin:/;/,end:"$",relevance:0},{className:"built_in",begin:/(?:\$\$?|\.\.)\^?[a-zA-Z]+/},{className:"built_in",begin:/\$\$\$[a-zA-Z]+/},{className:"built_in",begin:/%[a-z]+(?:\.[a-z]+)*/},{className:"symbol",begin:/\^%?[a-zA-Z][\w]*/},{className:"keyword",begin:/##class|##super|#define|#dim/},{begin:/&sql\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,subLanguage:"sql"},{begin:/&(js|jscript|javascript)</,end:/>/,excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"},{begin:/&html<\s*</,end:/>\s*>/,subLanguage:"xml"}]}}}}]);
-//# sourceMappingURL=cos.js.map?v=e6c665aab6af75c3d662 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[33],{400:function(e,n){e.exports=function(e){return{case_insensitive:!0,aliases:["cos","cls"],keywords:"property parameter class classmethod clientmethod extends as break catch close continue do d|0 else elseif for goto halt hang h|0 if job j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 tcommit throw trollback try tstart use view while write w|0 xecute x|0 zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit zsync ascii",contains:[{className:"number",begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)",relevance:0},{className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"comment",begin:/;/,end:"$",relevance:0},{className:"built_in",begin:/(?:\$\$?|\.\.)\^?[a-zA-Z]+/},{className:"built_in",begin:/\$\$\$[a-zA-Z]+/},{className:"built_in",begin:/%[a-z]+(?:\.[a-z]+)*/},{className:"symbol",begin:/\^%?[a-zA-Z][\w]*/},{className:"keyword",begin:/##class|##super|#define|#dim/},{begin:/&sql\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,subLanguage:"sql"},{begin:/&(js|jscript|javascript)</,end:/>/,excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"},{begin:/&html<\s*</,end:/>\s*>/,subLanguage:"xml"}]}}}}]);
+//# sourceMappingURL=cos.js.map?v=b8d0058fb8891ff39f37 \ No newline at end of file
diff --git a/js/highlight/cos.js.map b/js/highlight/cos.js.map
index 5986ba614..4576c133d 100644
--- a/js/highlight/cos.js.map
+++ b/js/highlight/cos.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/cos.js"],"names":["module","exports","hljs","case_insensitive","aliases","keywords","contains","className","begin","relevance","variants","end","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","excludeBegin","excludeEnd","subLanguage"],"mappings":"oFAAAA,EAAOC,QAAU,SAAcC,GAoE7B,MAAO,CACLC,kBAAkB,EAClBC,QAAS,CAAC,MAAO,OACjBC,SAhDA,gbAiDAC,SAAU,CAxDE,CACZC,UAAW,SACXC,MAAO,8BACPC,UAAW,GAjBC,CACZF,UAAW,SACXG,SAAU,CACR,CACEF,MAAO,IACPG,IAAK,IACLL,SAAU,CAAC,CACTE,MAAO,KACPC,UAAW,OAiEfP,EAAKU,oBACLV,EAAKW,qBACL,CACEN,UAAW,UACXC,MAAO,IAAKG,IAAK,IACjBF,UAAW,GAEb,CACEF,UAAW,WACXC,MAAO,8BAET,CACED,UAAW,WACXC,MAAO,mBAET,CACED,UAAW,WACXC,MAAO,wBAET,CACED,UAAW,SACXC,MAAO,qBAET,CACED,UAAW,UACXC,MAAO,gCAKT,CACEA,MAAO,SAAaG,IAAK,KACzBG,cAAc,EAAMC,YAAY,EAChCC,YAAa,OAEf,CACER,MAAO,4BAA6BG,IAAK,IACzCG,cAAc,EAAMC,YAAY,EAChCC,YAAa,cAEf,CAEER,MAAO,aAAcG,IAAK,QAC1BK,YAAa","file":"highlight/cos.js?v=e6c665aab6af75c3d662","sourcesContent":["module.exports = function cos (hljs) {\n\n var STRINGS = {\n className: 'string',\n variants: [\n {\n begin: '\"',\n end: '\"',\n contains: [{ // escaped\n begin: \"\\\"\\\"\",\n relevance: 0\n }]\n }\n ]\n };\n\n var NUMBERS = {\n className: \"number\",\n begin: \"\\\\b(\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)\",\n relevance: 0\n };\n\n var COS_KEYWORDS =\n 'property parameter class classmethod clientmethod extends as break ' +\n 'catch close continue do d|0 else elseif for goto halt hang h|0 if job ' +\n 'j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 ' +\n 'tcommit throw trollback try tstart use view while write w|0 xecute x|0 ' +\n 'zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert ' +\n 'zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit ' +\n 'zsync ascii';\n\n // registered function - no need in them due to all functions are highlighted,\n // but I'll just leave this here.\n\n //\"$bit\", \"$bitcount\",\n //\"$bitfind\", \"$bitlogic\", \"$case\", \"$char\", \"$classmethod\", \"$classname\",\n //\"$compile\", \"$data\", \"$decimal\", \"$double\", \"$extract\", \"$factor\",\n //\"$find\", \"$fnumber\", \"$get\", \"$increment\", \"$inumber\", \"$isobject\",\n //\"$isvaliddouble\", \"$isvalidnum\", \"$justify\", \"$length\", \"$list\",\n //\"$listbuild\", \"$listdata\", \"$listfind\", \"$listfromstring\", \"$listget\",\n //\"$listlength\", \"$listnext\", \"$listsame\", \"$listtostring\", \"$listvalid\",\n //\"$locate\", \"$match\", \"$method\", \"$name\", \"$nconvert\", \"$next\",\n //\"$normalize\", \"$now\", \"$number\", \"$order\", \"$parameter\", \"$piece\",\n //\"$prefetchoff\", \"$prefetchon\", \"$property\", \"$qlength\", \"$qsubscript\",\n //\"$query\", \"$random\", \"$replace\", \"$reverse\", \"$sconvert\", \"$select\",\n //\"$sortbegin\", \"$sortend\", \"$stack\", \"$text\", \"$translate\", \"$view\",\n //\"$wascii\", \"$wchar\", \"$wextract\", \"$wfind\", \"$wiswide\", \"$wlength\",\n //\"$wreverse\", \"$xecute\", \"$zabs\", \"$zarccos\", \"$zarcsin\", \"$zarctan\",\n //\"$zcos\", \"$zcot\", \"$zcsc\", \"$zdate\", \"$zdateh\", \"$zdatetime\",\n //\"$zdatetimeh\", \"$zexp\", \"$zhex\", \"$zln\", \"$zlog\", \"$zpower\", \"$zsec\",\n //\"$zsin\", \"$zsqr\", \"$ztan\", \"$ztime\", \"$ztimeh\", \"$zboolean\",\n //\"$zconvert\", \"$zcrc\", \"$zcyc\", \"$zdascii\", \"$zdchar\", \"$zf\",\n //\"$ziswide\", \"$zlascii\", \"$zlchar\", \"$zname\", \"$zposition\", \"$zqascii\",\n //\"$zqchar\", \"$zsearch\", \"$zseek\", \"$zstrip\", \"$zwascii\", \"$zwchar\",\n //\"$zwidth\", \"$zwpack\", \"$zwbpack\", \"$zwunpack\", \"$zwbunpack\", \"$zzenkaku\",\n //\"$change\", \"$mv\", \"$mvat\", \"$mvfmt\", \"$mvfmts\", \"$mviconv\",\n //\"$mviconvs\", \"$mvinmat\", \"$mvlover\", \"$mvoconv\", \"$mvoconvs\", \"$mvraise\",\n //\"$mvtrans\", \"$mvv\", \"$mvname\", \"$zbitand\", \"$zbitcount\", \"$zbitfind\",\n //\"$zbitget\", \"$zbitlen\", \"$zbitnot\", \"$zbitor\", \"$zbitset\", \"$zbitstr\",\n //\"$zbitxor\", \"$zincrement\", \"$znext\", \"$zorder\", \"$zprevious\", \"$zsort\",\n //\"device\", \"$ecode\", \"$estack\", \"$etrap\", \"$halt\", \"$horolog\",\n //\"$io\", \"$job\", \"$key\", \"$namespace\", \"$principal\", \"$quit\", \"$roles\",\n //\"$storage\", \"$system\", \"$test\", \"$this\", \"$tlevel\", \"$username\",\n //\"$x\", \"$y\", \"$za\", \"$zb\", \"$zchild\", \"$zeof\", \"$zeos\", \"$zerror\",\n //\"$zhorolog\", \"$zio\", \"$zjob\", \"$zmode\", \"$znspace\", \"$zparent\", \"$zpi\",\n //\"$zpos\", \"$zreference\", \"$zstorage\", \"$ztimestamp\", \"$ztimezone\",\n //\"$ztrap\", \"$zversion\"\n\n return {\n case_insensitive: true,\n aliases: [\"cos\", \"cls\"],\n keywords: COS_KEYWORDS,\n contains: [\n NUMBERS,\n STRINGS,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: \"comment\",\n begin: /;/, end: \"$\",\n relevance: 0\n },\n { // Functions and user-defined functions: write $ztime(60*60*3), $$myFunc(10), $$^Val(1)\n className: \"built_in\",\n begin: /(?:\\$\\$?|\\.\\.)\\^?[a-zA-Z]+/\n },\n { // Macro command: quit $$$OK\n className: \"built_in\",\n begin: /\\$\\$\\$[a-zA-Z]+/\n },\n { // Special (global) variables: write %request.Content; Built-in classes: %Library.Integer\n className: \"built_in\",\n begin: /%[a-z]+(?:\\.[a-z]+)*/\n },\n { // Global variable: set ^globalName = 12 write ^globalName\n className: \"symbol\",\n begin: /\\^%?[a-zA-Z][\\w]*/\n },\n { // Some control constructions: do ##class(Package.ClassName).Method(), ##super()\n className: \"keyword\",\n begin: /##class|##super|#define|#dim/\n },\n\n // sub-languages: are not fully supported by hljs by 11/15/2015\n // left for the future implementation.\n {\n begin: /&sql\\(/, end: /\\)/,\n excludeBegin: true, excludeEnd: true,\n subLanguage: \"sql\"\n },\n {\n begin: /&(js|jscript|javascript)</, end: />/,\n excludeBegin: true, excludeEnd: true,\n subLanguage: \"javascript\"\n },\n {\n // this brakes first and last tag, but this is the only way to embed a valid html\n begin: /&html<\\s*</, end: />\\s*>/,\n subLanguage: \"xml\"\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/cos.js"],"names":["module","exports","hljs","case_insensitive","aliases","keywords","contains","className","begin","relevance","variants","end","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","excludeBegin","excludeEnd","subLanguage"],"mappings":"oFAAAA,EAAOC,QAAU,SAAcC,GAoE7B,MAAO,CACLC,kBAAkB,EAClBC,QAAS,CAAC,MAAO,OACjBC,SAhDA,gbAiDAC,SAAU,CAxDE,CACZC,UAAW,SACXC,MAAO,8BACPC,UAAW,GAjBC,CACZF,UAAW,SACXG,SAAU,CACR,CACEF,MAAO,IACPG,IAAK,IACLL,SAAU,CAAC,CACTE,MAAO,KACPC,UAAW,OAiEfP,EAAKU,oBACLV,EAAKW,qBACL,CACEN,UAAW,UACXC,MAAO,IAAKG,IAAK,IACjBF,UAAW,GAEb,CACEF,UAAW,WACXC,MAAO,8BAET,CACED,UAAW,WACXC,MAAO,mBAET,CACED,UAAW,WACXC,MAAO,wBAET,CACED,UAAW,SACXC,MAAO,qBAET,CACED,UAAW,UACXC,MAAO,gCAKT,CACEA,MAAO,SAAaG,IAAK,KACzBG,cAAc,EAAMC,YAAY,EAChCC,YAAa,OAEf,CACER,MAAO,4BAA6BG,IAAK,IACzCG,cAAc,EAAMC,YAAY,EAChCC,YAAa,cAEf,CAEER,MAAO,aAAcG,IAAK,QAC1BK,YAAa","file":"highlight/cos.js?v=b8d0058fb8891ff39f37","sourcesContent":["module.exports = function cos (hljs) {\n\n var STRINGS = {\n className: 'string',\n variants: [\n {\n begin: '\"',\n end: '\"',\n contains: [{ // escaped\n begin: \"\\\"\\\"\",\n relevance: 0\n }]\n }\n ]\n };\n\n var NUMBERS = {\n className: \"number\",\n begin: \"\\\\b(\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)\",\n relevance: 0\n };\n\n var COS_KEYWORDS =\n 'property parameter class classmethod clientmethod extends as break ' +\n 'catch close continue do d|0 else elseif for goto halt hang h|0 if job ' +\n 'j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 ' +\n 'tcommit throw trollback try tstart use view while write w|0 xecute x|0 ' +\n 'zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert ' +\n 'zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit ' +\n 'zsync ascii';\n\n // registered function - no need in them due to all functions are highlighted,\n // but I'll just leave this here.\n\n //\"$bit\", \"$bitcount\",\n //\"$bitfind\", \"$bitlogic\", \"$case\", \"$char\", \"$classmethod\", \"$classname\",\n //\"$compile\", \"$data\", \"$decimal\", \"$double\", \"$extract\", \"$factor\",\n //\"$find\", \"$fnumber\", \"$get\", \"$increment\", \"$inumber\", \"$isobject\",\n //\"$isvaliddouble\", \"$isvalidnum\", \"$justify\", \"$length\", \"$list\",\n //\"$listbuild\", \"$listdata\", \"$listfind\", \"$listfromstring\", \"$listget\",\n //\"$listlength\", \"$listnext\", \"$listsame\", \"$listtostring\", \"$listvalid\",\n //\"$locate\", \"$match\", \"$method\", \"$name\", \"$nconvert\", \"$next\",\n //\"$normalize\", \"$now\", \"$number\", \"$order\", \"$parameter\", \"$piece\",\n //\"$prefetchoff\", \"$prefetchon\", \"$property\", \"$qlength\", \"$qsubscript\",\n //\"$query\", \"$random\", \"$replace\", \"$reverse\", \"$sconvert\", \"$select\",\n //\"$sortbegin\", \"$sortend\", \"$stack\", \"$text\", \"$translate\", \"$view\",\n //\"$wascii\", \"$wchar\", \"$wextract\", \"$wfind\", \"$wiswide\", \"$wlength\",\n //\"$wreverse\", \"$xecute\", \"$zabs\", \"$zarccos\", \"$zarcsin\", \"$zarctan\",\n //\"$zcos\", \"$zcot\", \"$zcsc\", \"$zdate\", \"$zdateh\", \"$zdatetime\",\n //\"$zdatetimeh\", \"$zexp\", \"$zhex\", \"$zln\", \"$zlog\", \"$zpower\", \"$zsec\",\n //\"$zsin\", \"$zsqr\", \"$ztan\", \"$ztime\", \"$ztimeh\", \"$zboolean\",\n //\"$zconvert\", \"$zcrc\", \"$zcyc\", \"$zdascii\", \"$zdchar\", \"$zf\",\n //\"$ziswide\", \"$zlascii\", \"$zlchar\", \"$zname\", \"$zposition\", \"$zqascii\",\n //\"$zqchar\", \"$zsearch\", \"$zseek\", \"$zstrip\", \"$zwascii\", \"$zwchar\",\n //\"$zwidth\", \"$zwpack\", \"$zwbpack\", \"$zwunpack\", \"$zwbunpack\", \"$zzenkaku\",\n //\"$change\", \"$mv\", \"$mvat\", \"$mvfmt\", \"$mvfmts\", \"$mviconv\",\n //\"$mviconvs\", \"$mvinmat\", \"$mvlover\", \"$mvoconv\", \"$mvoconvs\", \"$mvraise\",\n //\"$mvtrans\", \"$mvv\", \"$mvname\", \"$zbitand\", \"$zbitcount\", \"$zbitfind\",\n //\"$zbitget\", \"$zbitlen\", \"$zbitnot\", \"$zbitor\", \"$zbitset\", \"$zbitstr\",\n //\"$zbitxor\", \"$zincrement\", \"$znext\", \"$zorder\", \"$zprevious\", \"$zsort\",\n //\"device\", \"$ecode\", \"$estack\", \"$etrap\", \"$halt\", \"$horolog\",\n //\"$io\", \"$job\", \"$key\", \"$namespace\", \"$principal\", \"$quit\", \"$roles\",\n //\"$storage\", \"$system\", \"$test\", \"$this\", \"$tlevel\", \"$username\",\n //\"$x\", \"$y\", \"$za\", \"$zb\", \"$zchild\", \"$zeof\", \"$zeos\", \"$zerror\",\n //\"$zhorolog\", \"$zio\", \"$zjob\", \"$zmode\", \"$znspace\", \"$zparent\", \"$zpi\",\n //\"$zpos\", \"$zreference\", \"$zstorage\", \"$ztimestamp\", \"$ztimezone\",\n //\"$ztrap\", \"$zversion\"\n\n return {\n case_insensitive: true,\n aliases: [\"cos\", \"cls\"],\n keywords: COS_KEYWORDS,\n contains: [\n NUMBERS,\n STRINGS,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: \"comment\",\n begin: /;/, end: \"$\",\n relevance: 0\n },\n { // Functions and user-defined functions: write $ztime(60*60*3), $$myFunc(10), $$^Val(1)\n className: \"built_in\",\n begin: /(?:\\$\\$?|\\.\\.)\\^?[a-zA-Z]+/\n },\n { // Macro command: quit $$$OK\n className: \"built_in\",\n begin: /\\$\\$\\$[a-zA-Z]+/\n },\n { // Special (global) variables: write %request.Content; Built-in classes: %Library.Integer\n className: \"built_in\",\n begin: /%[a-z]+(?:\\.[a-z]+)*/\n },\n { // Global variable: set ^globalName = 12 write ^globalName\n className: \"symbol\",\n begin: /\\^%?[a-zA-Z][\\w]*/\n },\n { // Some control constructions: do ##class(Package.ClassName).Method(), ##super()\n className: \"keyword\",\n begin: /##class|##super|#define|#dim/\n },\n\n // sub-languages: are not fully supported by hljs by 11/15/2015\n // left for the future implementation.\n {\n begin: /&sql\\(/, end: /\\)/,\n excludeBegin: true, excludeEnd: true,\n subLanguage: \"sql\"\n },\n {\n begin: /&(js|jscript|javascript)</, end: />/,\n excludeBegin: true, excludeEnd: true,\n subLanguage: \"javascript\"\n },\n {\n // this brakes first and last tag, but this is the only way to embed a valid html\n begin: /&html<\\s*</, end: />\\s*>/,\n subLanguage: \"xml\"\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/cpp.js b/js/highlight/cpp.js
index 11ea0b65f..46df70a07 100644
--- a/js/highlight/cpp.js
+++ b/js/highlight/cpp.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[34],{400:function(e,t){e.exports=function(e){var t={className:"keyword",begin:"\\b[a-z\\d_]*_t\\b"},n={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'(\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)|.)",end:"'",illegal:"."},{begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\((?:.|\n)*?\)\1"/}]},s={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)(u|U|l|L|ul|UL|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},r={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{"meta-keyword":"if else elif endif define undef warning error line pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(n,{className:"meta-string"}),{className:"meta-string",begin:/<[^\n>]*>/,end:/$/,illegal:"\\n"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},i=e.IDENT_RE+"\\s*\\(",a={keyword:"int float while private char catch import module export virtual operator sizeof dynamic_cast|10 typedef const_cast|10 const for static_cast|10 union namespace unsigned long volatile static protected bool template mutable if public friend do goto auto void enum else break extern using asm case typeid short reinterpret_cast|10 default double register explicit signed typename try this switch continue inline delete alignof constexpr consteval constinit decltype concept co_await co_return co_yield requires noexcept static_assert thread_local restrict _Bool complex _Complex _Imaginary atomic_bool atomic_char atomic_schar atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong atomic_ullong new throw return and or not",built_in:"std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap array shared_ptr abort abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf endl initializer_list unique_ptr",literal:"true false nullptr NULL"},o=[t,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,s,n];return{aliases:["c","cc","h","c++","h++","hpp","hh","hxx","cxx"],keywords:a,illegal:"</",contains:o.concat([r,{begin:"\\b(deque|list|queue|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array)\\s*<",end:">",keywords:a,contains:["self",t]},{begin:e.IDENT_RE+"::",keywords:a},{variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:a,contains:o.concat([{begin:/\(/,end:/\)/,keywords:a,contains:o.concat(["self"]),relevance:0}]),relevance:0},{className:"function",begin:"("+e.IDENT_RE+"[\\*&\\s]+)+"+i,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:a,illegal:/[^\w\s\*&]/,contains:[{begin:i,returnBegin:!0,contains:[e.TITLE_MODE],relevance:0},{className:"params",begin:/\(/,end:/\)/,keywords:a,relevance:0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n,s,t,{begin:/\(/,end:/\)/,keywords:a,relevance:0,contains:["self",e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n,s,t]}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r]},{className:"class",beginKeywords:"class struct",end:/[{;:]/,contains:[{begin:/</,end:/>/,contains:["self"]},e.TITLE_MODE]}]),exports:{preprocessor:r,strings:n,keywords:a}}}}}]);
-//# sourceMappingURL=cpp.js.map?v=146c01012c96f04cb22e \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[34],{401:function(e,t){e.exports=function(e){function t(e){return"(?:"+e+")?"}var n="(decltype\\(auto\\)|"+t("[a-zA-Z_]\\w*::")+"[a-zA-Z_]\\w*"+t("<.*?>")+")",a={className:"keyword",begin:"\\b[a-z\\d_]*_t\\b"},r={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'(\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)|.)",end:"'",illegal:"."},{begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\((?:.|\n)*?\)\1"/}]},s={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)(u|U|l|L|ul|UL|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},i={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{"meta-keyword":"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(r,{className:"meta-string"}),{className:"meta-string",begin:/<.*?>/,end:/$/,illegal:"\\n"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},o={className:"title",begin:t("[a-zA-Z_]\\w*::")+e.IDENT_RE,relevance:0},c=t("[a-zA-Z_]\\w*::")+e.IDENT_RE+"\\s*\\(",l={keyword:"int float while private char char8_t char16_t char32_t catch import module export virtual operator sizeof dynamic_cast|10 typedef const_cast|10 const for static_cast|10 union namespace unsigned long volatile static protected bool template mutable if public friend do goto auto void enum else break extern using asm case typeid wchar_tshort reinterpret_cast|10 default double register explicit signed typename try this switch continue inline delete alignas alignof constexpr consteval constinit decltype concept co_await co_return co_yield requires noexcept static_assert thread_local restrict final override atomic_bool atomic_char atomic_schar atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong atomic_ullong new throw return and and_eq bitand bitor compl not not_eq or or_eq xor xor_eq",built_in:"std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap array shared_ptr abort terminate abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf endl initializer_list unique_ptr _Bool complex _Complex imaginary _Imaginary",literal:"true false nullptr NULL"},d=[a,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,s,r],_={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:l,contains:d.concat([{begin:/\(/,end:/\)/,keywords:l,contains:d.concat(["self"]),relevance:0}]),relevance:0},u={className:"function",begin:"("+n+"[\\*&\\s]+)+"+c,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:l,illegal:/[^\w\s\*&:<>]/,contains:[{begin:"decltype\\(auto\\)",keywords:l,relevance:0},{begin:c,returnBegin:!0,contains:[o],relevance:0},{className:"params",begin:/\(/,end:/\)/,keywords:l,relevance:0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,s,a,{begin:/\(/,end:/\)/,keywords:l,relevance:0,contains:["self",e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,s,a]}]},a,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i]};return{aliases:["c","cc","h","c++","h++","hpp","hh","hxx","cxx"],keywords:l,illegal:"</",contains:[].concat(_,u,d,[i,{begin:"\\b(deque|list|queue|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array)\\s*<",end:">",keywords:l,contains:["self",a]},{begin:e.IDENT_RE+"::",keywords:l},{className:"class",beginKeywords:"class struct",end:/[{;:]/,contains:[{begin:/</,end:/>/,contains:["self"]},e.TITLE_MODE]}]),exports:{preprocessor:i,strings:r,keywords:l}}}}}]);
+//# sourceMappingURL=cpp.js.map?v=30d813319f34a0cddfeb \ No newline at end of file
diff --git a/js/highlight/cpp.js.map b/js/highlight/cpp.js.map
index ff7e93a17..39840f0c4 100644
--- a/js/highlight/cpp.js.map
+++ b/js/highlight/cpp.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/cpp.js"],"names":["module","exports","hljs","CPP_PRIMITIVE_TYPES","className","begin","STRINGS","variants","end","illegal","contains","BACKSLASH_ESCAPE","NUMBERS","relevance","PREPROCESSOR","keywords","inherit","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","FUNCTION_TITLE","IDENT_RE","CPP_KEYWORDS","keyword","built_in","literal","EXPRESSION_CONTAINS","aliases","concat","beginKeywords","returnBegin","excludeEnd","TITLE_MODE","preprocessor","strings"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAsB,CACxBC,UAAW,UACXC,MAAO,sBAMLC,EAAU,CACZF,UAAW,SACXG,SAAU,CACR,CACEF,MAAO,cAAeG,IAAK,IAC3BC,QAAS,MACTC,SAAU,CAACR,EAAKS,mBAElB,CACEN,MAAO,sEAA6CG,IAAK,IACzDC,QAAS,KAEX,CAAEJ,MAAO,qDAITO,EAAU,CACZR,UAAW,SACXG,SAAU,CACR,CAAEF,MAAO,iBACT,CAAEA,MAAO,mEACT,CAAEA,MAAO,wFAEXQ,UAAW,GAGTC,EAAqB,CACvBV,UAAW,OACXC,MAAO,eAAgBG,IAAK,IAC5BO,SAAU,CACR,eACE,kFAGJL,SAAU,CACR,CACEL,MAAO,OAAQQ,UAAW,GAE5BX,EAAKc,QAAQV,EAAS,CAACF,UAAW,gBAClC,CACEA,UAAW,cACXC,MAAO,YAAaG,IAAK,IACzBC,QAAS,OAEXP,EAAKe,oBACLf,EAAKgB,uBAILC,EAAiBjB,EAAKkB,SAAW,UAEjCC,EAAe,CACjBC,QAAS,gwBAYTC,SAAU,2wBASVC,QAAS,2BAGPC,EAAsB,CACxBtB,EACAD,EAAKe,oBACLf,EAAKgB,qBACLN,EACAN,GAGF,MAAO,CACLoB,QAAS,CAAC,IAAK,KAAM,IAAK,MAAO,MAAO,MAAO,KAAM,MAAO,OAC5DX,SAAUM,EACVZ,QAAS,KACTC,SAAUe,EAAoBE,OAAO,CACnCb,EACA,CACET,MAAO,mJAAoJG,IAAK,IAChKO,SAAUM,EACVX,SAAU,CAAC,OAAQP,IAErB,CACEE,MAAOH,EAAKkB,SAAW,KACvBL,SAAUM,GAEZ,CAIEd,SAAU,CACR,CAACF,MAAO,IAAKG,IAAK,KAClB,CAACH,MAAO,KAAMG,IAAK,MACnB,CAACoB,cAAe,wBAAyBpB,IAAK,MAEhDO,SAAUM,EACVX,SAAUe,EAAoBE,OAAO,CACnC,CACEtB,MAAO,KAAMG,IAAK,KAClBO,SAAUM,EACVX,SAAUe,EAAoBE,OAAO,CAAC,SACtCd,UAAW,KAGfA,UAAW,GAEb,CACET,UAAW,WACXC,MAAO,IAAMH,EAAKkB,SAAW,eAAiBD,EAC9CU,aAAa,EAAMrB,IAAK,QACxBsB,YAAY,EACZf,SAAUM,EACVZ,QAAS,aACTC,SAAU,CACR,CACEL,MAAOc,EAAgBU,aAAa,EACpCnB,SAAU,CAACR,EAAK6B,YAChBlB,UAAW,GAEb,CACET,UAAW,SACXC,MAAO,KAAMG,IAAK,KAClBO,SAAUM,EACVR,UAAW,EACXH,SAAU,CACRR,EAAKe,oBACLf,EAAKgB,qBACLZ,EACAM,EACAT,EAEA,CACEE,MAAO,KAAMG,IAAK,KAClBO,SAAUM,EACVR,UAAW,EACXH,SAAU,CACR,OACAR,EAAKe,oBACLf,EAAKgB,qBACLZ,EACAM,EACAT,MAKRD,EAAKe,oBACLf,EAAKgB,qBACLJ,IAGJ,CACEV,UAAW,QACXwB,cAAe,eAAgBpB,IAAK,QACpCE,SAAU,CACR,CAACL,MAAO,IAAKG,IAAK,IAAKE,SAAU,CAAC,SAClCR,EAAK6B,eAIX9B,QAAS,CACP+B,aAAclB,EACdmB,QAAS3B,EACTS,SAAUM","file":"highlight/cpp.js?v=146c01012c96f04cb22e","sourcesContent":["module.exports = function(hljs) {\n var CPP_PRIMITIVE_TYPES = {\n className: 'keyword',\n begin: '\\\\b[a-z\\\\d_]*_t\\\\b'\n };\n\n // https://en.cppreference.com/w/cpp/language/escape\n // \\\\ \\x \\xFF \\u2837 \\u00323747 \\374\n var CHARACTER_ESCAPES = '\\\\\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\\\S)'\n var STRINGS = {\n className: 'string',\n variants: [\n {\n begin: '(u8?|U|L)?\"', end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '(u8?|U|L)?\\'(' + CHARACTER_ESCAPES + \"|.)\", end: '\\'',\n illegal: '.'\n },\n { begin: /(?:u8?|U|L)?R\"([^()\\\\ ]{0,16})\\((?:.|\\n)*?\\)\\1\"/ }\n ]\n };\n\n var NUMBERS = {\n className: 'number',\n variants: [\n { begin: '\\\\b(0b[01\\']+)' },\n { begin: '(-?)\\\\b([\\\\d\\']+(\\\\.[\\\\d\\']*)?|\\\\.[\\\\d\\']+)(u|U|l|L|ul|UL|f|F|b|B)' },\n { begin: '(-?)(\\\\b0[xX][a-fA-F0-9\\']+|(\\\\b[\\\\d\\']+(\\\\.[\\\\d\\']*)?|\\\\.[\\\\d\\']+)([eE][-+]?[\\\\d\\']+)?)' }\n ],\n relevance: 0\n };\n\n var PREPROCESSOR = {\n className: 'meta',\n begin: /#\\s*[a-z]+\\b/, end: /$/,\n keywords: {\n 'meta-keyword':\n 'if else elif endif define undef warning error line ' +\n 'pragma ifdef ifndef include'\n },\n contains: [\n {\n begin: /\\\\\\n/, relevance: 0\n },\n hljs.inherit(STRINGS, {className: 'meta-string'}),\n {\n className: 'meta-string',\n begin: /<[^\\n>]*>/, end: /$/,\n illegal: '\\\\n',\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n\n var FUNCTION_TITLE = hljs.IDENT_RE + '\\\\s*\\\\(';\n\n var CPP_KEYWORDS = {\n keyword: 'int float while private char catch import module export virtual operator sizeof ' +\n 'dynamic_cast|10 typedef const_cast|10 const for static_cast|10 union namespace ' +\n 'unsigned long volatile static protected bool template mutable if public friend ' +\n 'do goto auto void enum else break extern using asm case typeid ' +\n 'short reinterpret_cast|10 default double register explicit signed typename try this ' +\n 'switch continue inline delete alignof constexpr consteval constinit decltype ' +\n 'concept co_await co_return co_yield requires ' +\n 'noexcept static_assert thread_local restrict _Bool complex _Complex _Imaginary ' +\n 'atomic_bool atomic_char atomic_schar ' +\n 'atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong ' +\n 'atomic_ullong new throw return ' +\n 'and or not',\n built_in: 'std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream ' +\n 'auto_ptr deque list queue stack vector map set bitset multiset multimap unordered_set ' +\n 'unordered_map unordered_multiset unordered_multimap array shared_ptr abort abs acos ' +\n 'asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp ' +\n 'fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper ' +\n 'isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow ' +\n 'printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp ' +\n 'strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan ' +\n 'vfprintf vprintf vsprintf endl initializer_list unique_ptr',\n literal: 'true false nullptr NULL'\n };\n\n var EXPRESSION_CONTAINS = [\n CPP_PRIMITIVE_TYPES,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMBERS,\n STRINGS\n ];\n\n return {\n aliases: ['c', 'cc', 'h', 'c++', 'h++', 'hpp', 'hh', 'hxx', 'cxx'],\n keywords: CPP_KEYWORDS,\n illegal: '</',\n contains: EXPRESSION_CONTAINS.concat([\n PREPROCESSOR,\n {\n begin: '\\\\b(deque|list|queue|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array)\\\\s*<', end: '>',\n keywords: CPP_KEYWORDS,\n contains: ['self', CPP_PRIMITIVE_TYPES]\n },\n {\n begin: hljs.IDENT_RE + '::',\n keywords: CPP_KEYWORDS\n },\n {\n // This mode covers expression context where we can't expect a function\n // definition and shouldn't highlight anything that looks like one:\n // `return some()`, `else if()`, `(x*sum(1, 2))`\n variants: [\n {begin: /=/, end: /;/},\n {begin: /\\(/, end: /\\)/},\n {beginKeywords: 'new throw return else', end: /;/}\n ],\n keywords: CPP_KEYWORDS,\n contains: EXPRESSION_CONTAINS.concat([\n {\n begin: /\\(/, end: /\\)/,\n keywords: CPP_KEYWORDS,\n contains: EXPRESSION_CONTAINS.concat(['self']),\n relevance: 0\n }\n ]),\n relevance: 0\n },\n {\n className: 'function',\n begin: '(' + hljs.IDENT_RE + '[\\\\*&\\\\s]+)+' + FUNCTION_TITLE,\n returnBegin: true, end: /[{;=]/,\n excludeEnd: true,\n keywords: CPP_KEYWORDS,\n illegal: /[^\\w\\s\\*&]/,\n contains: [\n {\n begin: FUNCTION_TITLE, returnBegin: true,\n contains: [hljs.TITLE_MODE],\n relevance: 0\n },\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n keywords: CPP_KEYWORDS,\n relevance: 0,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRINGS,\n NUMBERS,\n CPP_PRIMITIVE_TYPES,\n // Count matching parentheses.\n {\n begin: /\\(/, end: /\\)/,\n keywords: CPP_KEYWORDS,\n relevance: 0,\n contains: [\n 'self',\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRINGS,\n NUMBERS,\n CPP_PRIMITIVE_TYPES\n ]\n }\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n PREPROCESSOR\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class struct', end: /[{;:]/,\n contains: [\n {begin: /</, end: />/, contains: ['self']}, // skip generic stuff\n hljs.TITLE_MODE\n ]\n }\n ]),\n exports: {\n preprocessor: PREPROCESSOR,\n strings: STRINGS,\n keywords: CPP_KEYWORDS\n }\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/cpp.js"],"names":["module","exports","hljs","optional","s","FUNCTION_TYPE_RE","CPP_PRIMITIVE_TYPES","className","begin","STRINGS","variants","end","illegal","contains","BACKSLASH_ESCAPE","NUMBERS","relevance","PREPROCESSOR","keywords","inherit","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","TITLE_MODE","IDENT_RE","FUNCTION_TITLE","CPP_KEYWORDS","keyword","built_in","literal","EXPRESSION_CONTAINS","EXPRESSION_CONTEXT","beginKeywords","concat","FUNCTION_DECLARATION","returnBegin","excludeEnd","aliases","preprocessor","strings"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,SAASC,EAASC,GAChB,MAAO,MAAQA,EAAI,KAErB,IAGIC,EAAmB,uBAErBF,EAJiB,mBAIO,gBAAkBA,EAHjB,SAI3B,IACIG,EAAsB,CACxBC,UAAW,UACXC,MAAO,sBAMLC,EAAU,CACZF,UAAW,SACXG,SAAU,CACR,CACEF,MAAO,cAAeG,IAAK,IAC3BC,QAAS,MACTC,SAAU,CAACX,EAAKY,mBAElB,CACEN,MAAO,sEAA6CG,IAAK,IACzDC,QAAS,KAEX,CAAEJ,MAAO,qDAITO,EAAU,CACZR,UAAW,SACXG,SAAU,CACR,CAAEF,MAAO,iBACT,CAAEA,MAAO,mEACT,CAAEA,MAAO,wFAEXQ,UAAW,GAGTC,EAAqB,CACvBV,UAAW,OACXC,MAAO,eAAgBG,IAAK,IAC5BO,SAAU,CACR,eACE,0FAGJL,SAAU,CACR,CACEL,MAAO,OAAQQ,UAAW,GAE5Bd,EAAKiB,QAAQV,EAAS,CAACF,UAAW,gBAClC,CACEA,UAAW,cACXC,MAAO,QAASG,IAAK,IACrBC,QAAS,OAEXV,EAAKkB,oBACLlB,EAAKmB,uBAILC,EAAa,CACff,UAAW,QACXC,MAAOL,EAjEU,mBAiEeD,EAAKqB,SACrCP,UAAW,GAGTQ,EAAiBrB,EArEF,mBAqE2BD,EAAKqB,SAAW,UAE1DE,EAAe,CACjBC,QAAS,w0BAYTC,SAAU,i0BASVC,QAAS,2BAGPC,EAAsB,CACxBvB,EACAJ,EAAKkB,oBACLlB,EAAKmB,qBACLN,EACAN,GAGEqB,EAAqB,CAIvBpB,SAAU,CACR,CAACF,MAAO,IAAKG,IAAK,KAClB,CAACH,MAAO,KAAMG,IAAK,MACnB,CAACoB,cAAe,wBAAyBpB,IAAK,MAEhDO,SAAUO,EACVZ,SAAUgB,EAAoBG,OAAO,CACnC,CACExB,MAAO,KAAMG,IAAK,KAClBO,SAAUO,EACVZ,SAAUgB,EAAoBG,OAAO,CAAC,SACtChB,UAAW,KAGfA,UAAW,GAGTiB,EAAuB,CACzB1B,UAAW,WACXC,MAAO,IAAMH,EAAmB,eAAiBmB,EACjDU,aAAa,EAAMvB,IAAK,QACxBwB,YAAY,EACZjB,SAAUO,EACVb,QAAS,gBACTC,SAAU,CAER,CACEL,MAxIiB,qBAyIjBU,SAAUO,EACVT,UAAW,GAEb,CACER,MAAOgB,EAAgBU,aAAa,EACpCrB,SAAU,CAACS,GACXN,UAAW,GAEb,CACET,UAAW,SACXC,MAAO,KAAMG,IAAK,KAClBO,SAAUO,EACVT,UAAW,EACXH,SAAU,CACRX,EAAKkB,oBACLlB,EAAKmB,qBACLZ,EACAM,EACAT,EAEA,CACEE,MAAO,KAAMG,IAAK,KAClBO,SAAUO,EACVT,UAAW,EACXH,SAAU,CACR,OACAX,EAAKkB,oBACLlB,EAAKmB,qBACLZ,EACAM,EACAT,MAKRA,EACAJ,EAAKkB,oBACLlB,EAAKmB,qBACLJ,IAIJ,MAAO,CACLmB,QAAS,CAAC,IAAK,KAAM,IAAK,MAAO,MAAO,MAAO,KAAM,MAAO,OAC5DlB,SAAUO,EACVb,QAAS,KACTC,SAAU,GAAGmB,OACXF,EACAG,EACAJ,EACA,CACAZ,EACA,CACET,MAAO,mJAAoJG,IAAK,IAChKO,SAAUO,EACVZ,SAAU,CAAC,OAAQP,IAErB,CACEE,MAAON,EAAKqB,SAAW,KACvBL,SAAUO,GAEZ,CACElB,UAAW,QACXwB,cAAe,eAAgBpB,IAAK,QACpCE,SAAU,CACR,CAACL,MAAO,IAAKG,IAAK,IAAKE,SAAU,CAAC,SAClCX,EAAKoB,eAIXrB,QAAS,CACPoC,aAAcpB,EACdqB,QAAS7B,EACTS,SAAUO","file":"highlight/cpp.js?v=30d813319f34a0cddfeb","sourcesContent":["module.exports = function(hljs) {\n function optional(s) {\n return '(?:' + s + ')?';\n }\n var DECLTYPE_AUTO_RE = 'decltype\\\\(auto\\\\)'\n var NAMESPACE_RE = '[a-zA-Z_]\\\\w*::'\n var TEMPLATE_ARGUMENT_RE = '<.*?>';\n var FUNCTION_TYPE_RE = '(' +\n DECLTYPE_AUTO_RE + '|' +\n optional(NAMESPACE_RE) +'[a-zA-Z_]\\\\w*' + optional(TEMPLATE_ARGUMENT_RE) +\n ')';\n var CPP_PRIMITIVE_TYPES = {\n className: 'keyword',\n begin: '\\\\b[a-z\\\\d_]*_t\\\\b'\n };\n\n // https://en.cppreference.com/w/cpp/language/escape\n // \\\\ \\x \\xFF \\u2837 \\u00323747 \\374\n var CHARACTER_ESCAPES = '\\\\\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\\\S)'\n var STRINGS = {\n className: 'string',\n variants: [\n {\n begin: '(u8?|U|L)?\"', end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '(u8?|U|L)?\\'(' + CHARACTER_ESCAPES + \"|.)\", end: '\\'',\n illegal: '.'\n },\n { begin: /(?:u8?|U|L)?R\"([^()\\\\ ]{0,16})\\((?:.|\\n)*?\\)\\1\"/ }\n ]\n };\n\n var NUMBERS = {\n className: 'number',\n variants: [\n { begin: '\\\\b(0b[01\\']+)' },\n { begin: '(-?)\\\\b([\\\\d\\']+(\\\\.[\\\\d\\']*)?|\\\\.[\\\\d\\']+)(u|U|l|L|ul|UL|f|F|b|B)' },\n { begin: '(-?)(\\\\b0[xX][a-fA-F0-9\\']+|(\\\\b[\\\\d\\']+(\\\\.[\\\\d\\']*)?|\\\\.[\\\\d\\']+)([eE][-+]?[\\\\d\\']+)?)' }\n ],\n relevance: 0\n };\n\n var PREPROCESSOR = {\n className: 'meta',\n begin: /#\\s*[a-z]+\\b/, end: /$/,\n keywords: {\n 'meta-keyword':\n 'if else elif endif define undef warning error line ' +\n 'pragma _Pragma ifdef ifndef include'\n },\n contains: [\n {\n begin: /\\\\\\n/, relevance: 0\n },\n hljs.inherit(STRINGS, {className: 'meta-string'}),\n {\n className: 'meta-string',\n begin: /<.*?>/, end: /$/,\n illegal: '\\\\n',\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n\n var TITLE_MODE = {\n className: 'title',\n begin: optional(NAMESPACE_RE) + hljs.IDENT_RE,\n relevance: 0\n };\n\n var FUNCTION_TITLE = optional(NAMESPACE_RE) + hljs.IDENT_RE + '\\\\s*\\\\(';\n\n var CPP_KEYWORDS = {\n keyword: 'int float while private char char8_t char16_t char32_t catch import module export virtual operator sizeof ' +\n 'dynamic_cast|10 typedef const_cast|10 const for static_cast|10 union namespace ' +\n 'unsigned long volatile static protected bool template mutable if public friend ' +\n 'do goto auto void enum else break extern using asm case typeid wchar_t' +\n 'short reinterpret_cast|10 default double register explicit signed typename try this ' +\n 'switch continue inline delete alignas alignof constexpr consteval constinit decltype ' +\n 'concept co_await co_return co_yield requires ' +\n 'noexcept static_assert thread_local restrict final override ' +\n 'atomic_bool atomic_char atomic_schar ' +\n 'atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong ' +\n 'atomic_ullong new throw return ' +\n 'and and_eq bitand bitor compl not not_eq or or_eq xor xor_eq',\n built_in: 'std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream ' +\n 'auto_ptr deque list queue stack vector map set bitset multiset multimap unordered_set ' +\n 'unordered_map unordered_multiset unordered_multimap array shared_ptr abort terminate abs acos ' +\n 'asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp ' +\n 'fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper ' +\n 'isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow ' +\n 'printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp ' +\n 'strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan ' +\n 'vfprintf vprintf vsprintf endl initializer_list unique_ptr _Bool complex _Complex imaginary _Imaginary',\n literal: 'true false nullptr NULL'\n };\n\n var EXPRESSION_CONTAINS = [\n CPP_PRIMITIVE_TYPES,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMBERS,\n STRINGS\n ];\n\n var EXPRESSION_CONTEXT = {\n // This mode covers expression context where we can't expect a function\n // definition and shouldn't highlight anything that looks like one:\n // `return some()`, `else if()`, `(x*sum(1, 2))`\n variants: [\n {begin: /=/, end: /;/},\n {begin: /\\(/, end: /\\)/},\n {beginKeywords: 'new throw return else', end: /;/}\n ],\n keywords: CPP_KEYWORDS,\n contains: EXPRESSION_CONTAINS.concat([\n {\n begin: /\\(/, end: /\\)/,\n keywords: CPP_KEYWORDS,\n contains: EXPRESSION_CONTAINS.concat(['self']),\n relevance: 0\n }\n ]),\n relevance: 0\n };\n\n var FUNCTION_DECLARATION = {\n className: 'function',\n begin: '(' + FUNCTION_TYPE_RE + '[\\\\*&\\\\s]+)+' + FUNCTION_TITLE,\n returnBegin: true, end: /[{;=]/,\n excludeEnd: true,\n keywords: CPP_KEYWORDS,\n illegal: /[^\\w\\s\\*&:<>]/,\n contains: [\n\n { // to prevent it from being confused as the function title\n begin: DECLTYPE_AUTO_RE,\n keywords: CPP_KEYWORDS,\n relevance: 0,\n },\n {\n begin: FUNCTION_TITLE, returnBegin: true,\n contains: [TITLE_MODE],\n relevance: 0\n },\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n keywords: CPP_KEYWORDS,\n relevance: 0,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRINGS,\n NUMBERS,\n CPP_PRIMITIVE_TYPES,\n // Count matching parentheses.\n {\n begin: /\\(/, end: /\\)/,\n keywords: CPP_KEYWORDS,\n relevance: 0,\n contains: [\n 'self',\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRINGS,\n NUMBERS,\n CPP_PRIMITIVE_TYPES\n ]\n }\n ]\n },\n CPP_PRIMITIVE_TYPES,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n PREPROCESSOR\n ]\n };\n\n return {\n aliases: ['c', 'cc', 'h', 'c++', 'h++', 'hpp', 'hh', 'hxx', 'cxx'],\n keywords: CPP_KEYWORDS,\n illegal: '</',\n contains: [].concat(\n EXPRESSION_CONTEXT,\n FUNCTION_DECLARATION,\n EXPRESSION_CONTAINS,\n [\n PREPROCESSOR,\n {\n begin: '\\\\b(deque|list|queue|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array)\\\\s*<', end: '>',\n keywords: CPP_KEYWORDS,\n contains: ['self', CPP_PRIMITIVE_TYPES]\n },\n {\n begin: hljs.IDENT_RE + '::',\n keywords: CPP_KEYWORDS\n },\n {\n className: 'class',\n beginKeywords: 'class struct', end: /[{;:]/,\n contains: [\n {begin: /</, end: />/, contains: ['self']}, // skip generic stuff\n hljs.TITLE_MODE\n ]\n }\n ]),\n exports: {\n preprocessor: PREPROCESSOR,\n strings: STRINGS,\n keywords: CPP_KEYWORDS\n }\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/crmsh.js b/js/highlight/crmsh.js
index 17cd54365..3219a08f6 100644
--- a/js/highlight/crmsh.js
+++ b/js/highlight/crmsh.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[35],{401:function(e,t){e.exports=function(e){var t="group clone ms master location colocation order fencing_topology rsc_ticket acl_target acl_group user role tag xml";return{aliases:["crm","pcmk"],case_insensitive:!0,keywords:{keyword:"params meta operations op rule attributes utilization read write deny defined not_defined in_range date spec in ref reference attribute type xpath version and or lt gt tag lte gte eq ne \\ number string",literal:"Master Started Slave Stopped start promote demote stop monitor true false"},contains:[e.HASH_COMMENT_MODE,{beginKeywords:"node",starts:{end:"\\s*([\\w_-]+:)?",starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*"}}},{beginKeywords:"primitive rsc_template",starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*",starts:{end:"\\s*@?[\\w_][\\w_\\.:-]*"}}},{begin:"\\b("+t.split(" ").join("|")+")\\s+",keywords:t,starts:{className:"title",end:"[\\$\\w_][\\w_-]*"}},{beginKeywords:"property rsc_defaults op_defaults",starts:{className:"title",end:"\\s*([\\w_-]+:)?"}},e.QUOTE_STRING_MODE,{className:"meta",begin:"(ocf|systemd|service|lsb):[\\w_:-]+",relevance:0},{className:"number",begin:"\\b\\d+(\\.\\d+)?(ms|s|h|m)?",relevance:0},{className:"literal",begin:"[-]?(infinity|inf)",relevance:0},{className:"attr",begin:/([A-Za-z\$_\#][\w_-]+)=/,relevance:0},{className:"tag",begin:"</?",end:"/?>",relevance:0}]}}}}]);
-//# sourceMappingURL=crmsh.js.map?v=8d010e787091697e7890 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[35],{402:function(e,t){e.exports=function(e){var t="group clone ms master location colocation order fencing_topology rsc_ticket acl_target acl_group user role tag xml";return{aliases:["crm","pcmk"],case_insensitive:!0,keywords:{keyword:"params meta operations op rule attributes utilization read write deny defined not_defined in_range date spec in ref reference attribute type xpath version and or lt gt tag lte gte eq ne \\ number string",literal:"Master Started Slave Stopped start promote demote stop monitor true false"},contains:[e.HASH_COMMENT_MODE,{beginKeywords:"node",starts:{end:"\\s*([\\w_-]+:)?",starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*"}}},{beginKeywords:"primitive rsc_template",starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*",starts:{end:"\\s*@?[\\w_][\\w_\\.:-]*"}}},{begin:"\\b("+t.split(" ").join("|")+")\\s+",keywords:t,starts:{className:"title",end:"[\\$\\w_][\\w_-]*"}},{beginKeywords:"property rsc_defaults op_defaults",starts:{className:"title",end:"\\s*([\\w_-]+:)?"}},e.QUOTE_STRING_MODE,{className:"meta",begin:"(ocf|systemd|service|lsb):[\\w_:-]+",relevance:0},{className:"number",begin:"\\b\\d+(\\.\\d+)?(ms|s|h|m)?",relevance:0},{className:"literal",begin:"[-]?(infinity|inf)",relevance:0},{className:"attr",begin:/([A-Za-z\$_\#][\w_-]+)=/,relevance:0},{className:"tag",begin:"</?",end:"/?>",relevance:0}]}}}}]);
+//# sourceMappingURL=crmsh.js.map?v=1f15edbd9461b481ce4c \ No newline at end of file
diff --git a/js/highlight/crmsh.js.map b/js/highlight/crmsh.js.map
index 6eba1dc1d..36a90bfa1 100644
--- a/js/highlight/crmsh.js.map
+++ b/js/highlight/crmsh.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/crmsh.js"],"names":["module","exports","hljs","COMMANDS","aliases","case_insensitive","keywords","keyword","KEYWORDS","literal","contains","HASH_COMMENT_MODE","beginKeywords","starts","end","className","begin","split","join","QUOTE_STRING_MODE","relevance"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAEIC,EAAW,qHAgBf,MAAO,CACLC,QAAS,CAAC,MAAO,QACjBC,kBAAkB,EAClBC,SAAU,CACRC,QAASC,6MACTC,QAPW,6EASbC,SAAU,CACRR,EAAKS,kBACL,CACEC,cAAe,OACfC,OAAQ,CACNC,IAAK,mBACLD,OAAQ,CACNE,UAAW,QACXD,IAAK,2BAIX,CACEF,cAtCU,yBAuCVC,OAAQ,CACNE,UAAW,QACXD,IAAK,wBACLD,OAAQ,CACNC,IAAK,8BAIX,CACEE,MAAO,OAASb,EAASc,MAAM,KAAKC,KAAK,KAAO,QAChDZ,SAAUH,EACVU,OAAQ,CACNE,UAAW,QACXD,IAAK,sBAGT,CACEF,cAlDc,oCAmDdC,OAAQ,CACNE,UAAW,QACXD,IAAK,qBAGTZ,EAAKiB,kBACL,CACEJ,UAAW,OACXC,MAAO,sCACPI,UAAW,GAEb,CACEL,UAAW,SACXC,MAAO,+BACPI,UAAW,GAEb,CACEL,UAAW,UACXC,MAAO,qBACPI,UAAW,GAEb,CACEL,UAAW,OACXC,MAAO,0BACPI,UAAW,GAEb,CACEL,UAAW,MACXC,MAAO,MACPF,IAAK,MACLM,UAAW","file":"highlight/crmsh.js?v=8d010e787091697e7890","sourcesContent":["module.exports = function(hljs) {\n var RESOURCES = 'primitive rsc_template';\n\n var COMMANDS = 'group clone ms master location colocation order fencing_topology ' +\n 'rsc_ticket acl_target acl_group user role ' +\n 'tag xml';\n\n var PROPERTY_SETS = 'property rsc_defaults op_defaults';\n\n var KEYWORDS = 'params meta operations op rule attributes utilization';\n\n var OPERATORS = 'read write deny defined not_defined in_range date spec in ' +\n 'ref reference attribute type xpath version and or lt gt tag ' +\n 'lte gte eq ne \\\\';\n\n var TYPES = 'number string';\n\n var LITERALS = 'Master Started Slave Stopped start promote demote stop monitor true false';\n\n return {\n aliases: ['crm', 'pcmk'],\n case_insensitive: true,\n keywords: {\n keyword: KEYWORDS + ' ' + OPERATORS + ' ' + TYPES,\n literal: LITERALS\n },\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n beginKeywords: 'node',\n starts: {\n end: '\\\\s*([\\\\w_-]+:)?',\n starts: {\n className: 'title',\n end: '\\\\s*[\\\\$\\\\w_][\\\\w_-]*'\n }\n }\n },\n {\n beginKeywords: RESOURCES,\n starts: {\n className: 'title',\n end: '\\\\s*[\\\\$\\\\w_][\\\\w_-]*',\n starts: {\n end: '\\\\s*@?[\\\\w_][\\\\w_\\\\.:-]*'\n }\n }\n },\n {\n begin: '\\\\b(' + COMMANDS.split(' ').join('|') + ')\\\\s+',\n keywords: COMMANDS,\n starts: {\n className: 'title',\n end: '[\\\\$\\\\w_][\\\\w_-]*'\n }\n },\n {\n beginKeywords: PROPERTY_SETS,\n starts: {\n className: 'title',\n end: '\\\\s*([\\\\w_-]+:)?'\n }\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'meta',\n begin: '(ocf|systemd|service|lsb):[\\\\w_:-]+',\n relevance: 0\n },\n {\n className: 'number',\n begin: '\\\\b\\\\d+(\\\\.\\\\d+)?(ms|s|h|m)?',\n relevance: 0\n },\n {\n className: 'literal',\n begin: '[-]?(infinity|inf)',\n relevance: 0\n },\n {\n className: 'attr',\n begin: /([A-Za-z\\$_\\#][\\w_-]+)=/,\n relevance: 0\n },\n {\n className: 'tag',\n begin: '</?',\n end: '/?>',\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/crmsh.js"],"names":["module","exports","hljs","COMMANDS","aliases","case_insensitive","keywords","keyword","KEYWORDS","literal","contains","HASH_COMMENT_MODE","beginKeywords","starts","end","className","begin","split","join","QUOTE_STRING_MODE","relevance"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAEIC,EAAW,qHAgBf,MAAO,CACLC,QAAS,CAAC,MAAO,QACjBC,kBAAkB,EAClBC,SAAU,CACRC,QAASC,6MACTC,QAPW,6EASbC,SAAU,CACRR,EAAKS,kBACL,CACEC,cAAe,OACfC,OAAQ,CACNC,IAAK,mBACLD,OAAQ,CACNE,UAAW,QACXD,IAAK,2BAIX,CACEF,cAtCU,yBAuCVC,OAAQ,CACNE,UAAW,QACXD,IAAK,wBACLD,OAAQ,CACNC,IAAK,8BAIX,CACEE,MAAO,OAASb,EAASc,MAAM,KAAKC,KAAK,KAAO,QAChDZ,SAAUH,EACVU,OAAQ,CACNE,UAAW,QACXD,IAAK,sBAGT,CACEF,cAlDc,oCAmDdC,OAAQ,CACNE,UAAW,QACXD,IAAK,qBAGTZ,EAAKiB,kBACL,CACEJ,UAAW,OACXC,MAAO,sCACPI,UAAW,GAEb,CACEL,UAAW,SACXC,MAAO,+BACPI,UAAW,GAEb,CACEL,UAAW,UACXC,MAAO,qBACPI,UAAW,GAEb,CACEL,UAAW,OACXC,MAAO,0BACPI,UAAW,GAEb,CACEL,UAAW,MACXC,MAAO,MACPF,IAAK,MACLM,UAAW","file":"highlight/crmsh.js?v=1f15edbd9461b481ce4c","sourcesContent":["module.exports = function(hljs) {\n var RESOURCES = 'primitive rsc_template';\n\n var COMMANDS = 'group clone ms master location colocation order fencing_topology ' +\n 'rsc_ticket acl_target acl_group user role ' +\n 'tag xml';\n\n var PROPERTY_SETS = 'property rsc_defaults op_defaults';\n\n var KEYWORDS = 'params meta operations op rule attributes utilization';\n\n var OPERATORS = 'read write deny defined not_defined in_range date spec in ' +\n 'ref reference attribute type xpath version and or lt gt tag ' +\n 'lte gte eq ne \\\\';\n\n var TYPES = 'number string';\n\n var LITERALS = 'Master Started Slave Stopped start promote demote stop monitor true false';\n\n return {\n aliases: ['crm', 'pcmk'],\n case_insensitive: true,\n keywords: {\n keyword: KEYWORDS + ' ' + OPERATORS + ' ' + TYPES,\n literal: LITERALS\n },\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n beginKeywords: 'node',\n starts: {\n end: '\\\\s*([\\\\w_-]+:)?',\n starts: {\n className: 'title',\n end: '\\\\s*[\\\\$\\\\w_][\\\\w_-]*'\n }\n }\n },\n {\n beginKeywords: RESOURCES,\n starts: {\n className: 'title',\n end: '\\\\s*[\\\\$\\\\w_][\\\\w_-]*',\n starts: {\n end: '\\\\s*@?[\\\\w_][\\\\w_\\\\.:-]*'\n }\n }\n },\n {\n begin: '\\\\b(' + COMMANDS.split(' ').join('|') + ')\\\\s+',\n keywords: COMMANDS,\n starts: {\n className: 'title',\n end: '[\\\\$\\\\w_][\\\\w_-]*'\n }\n },\n {\n beginKeywords: PROPERTY_SETS,\n starts: {\n className: 'title',\n end: '\\\\s*([\\\\w_-]+:)?'\n }\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'meta',\n begin: '(ocf|systemd|service|lsb):[\\\\w_:-]+',\n relevance: 0\n },\n {\n className: 'number',\n begin: '\\\\b\\\\d+(\\\\.\\\\d+)?(ms|s|h|m)?',\n relevance: 0\n },\n {\n className: 'literal',\n begin: '[-]?(infinity|inf)',\n relevance: 0\n },\n {\n className: 'attr',\n begin: /([A-Za-z\\$_\\#][\\w_-]+)=/,\n relevance: 0\n },\n {\n className: 'tag',\n begin: '</?',\n end: '/?>',\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/crystal.js b/js/highlight/crystal.js
index b651b7f89..e1b08ea7b 100644
--- a/js/highlight/crystal.js
+++ b/js/highlight/crystal.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[36],{402:function(e,n){e.exports=function(e){var n="(_*[ui](8|16|32|64|128))?",i="[a-zA-Z_]\\w*[!?=]?|[-+~]\\@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\*\\*|\\[\\][=?]?",a={keyword:"abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield __DIR__ __END_LINE__ __FILE__ __LINE__",literal:"false nil true"},s={className:"subst",begin:"#{",end:"}",keywords:a},t={className:"template-variable",variants:[{begin:"\\{\\{",end:"\\}\\}"},{begin:"\\{%",end:"%\\}"}],keywords:a};function c(e,n){var i=[{begin:e,end:n}];return i[0].contains=i,i}var r={className:"string",contains:[e.BACKSLASH_ESCAPE,s],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:"%[Qwi]?\\(",end:"\\)",contains:c("\\(","\\)")},{begin:"%[Qwi]?\\[",end:"\\]",contains:c("\\[","\\]")},{begin:"%[Qwi]?{",end:"}",contains:c("{","}")},{begin:"%[Qwi]?<",end:">",contains:c("<",">")},{begin:"%[Qwi]?\\|",end:"\\|"},{begin:/<<-\w+$/,end:/^\s*\w+$/}],relevance:0},l={className:"string",variants:[{begin:"%q\\(",end:"\\)",contains:c("\\(","\\)")},{begin:"%q\\[",end:"\\]",contains:c("\\[","\\]")},{begin:"%q{",end:"}",contains:c("{","}")},{begin:"%q<",end:">",contains:c("<",">")},{begin:"%q\\|",end:"\\|"},{begin:/<<-'\w+'$/,end:/^\s*\w+$/}],relevance:0},o={begin:"(?!%})("+e.RE_STARTERS_RE+"|\\n|\\b(case|if|select|unless|until|when|while)\\b)\\s*",keywords:"case if select unless until when while",contains:[{className:"regexp",contains:[e.BACKSLASH_ESCAPE,s],variants:[{begin:"//[a-z]*",relevance:0},{begin:"/(?!\\/)",end:"/[a-z]*"}]}],relevance:0},b=[t,r,l,{className:"regexp",contains:[e.BACKSLASH_ESCAPE,s],variants:[{begin:"%r\\(",end:"\\)",contains:c("\\(","\\)")},{begin:"%r\\[",end:"\\]",contains:c("\\[","\\]")},{begin:"%r{",end:"}",contains:c("{","}")},{begin:"%r<",end:">",contains:c("<",">")},{begin:"%r\\|",end:"\\|"}],relevance:0},o,{className:"meta",begin:"@\\[",end:"\\]",contains:[e.inherit(e.QUOTE_STRING_MODE,{className:"meta-string"})]},e.HASH_COMMENT_MODE,{className:"class",beginKeywords:"class module struct",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"}),{begin:"<"}]},{className:"class",beginKeywords:"lib enum union",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"})],relevance:10},{beginKeywords:"annotation",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"})],relevance:10},{className:"function",beginKeywords:"def",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:i,endsParent:!0})]},{className:"function",beginKeywords:"fun macro",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:i,endsParent:!0})],relevance:5},{className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"(\\!|\\?)?:",relevance:0},{className:"symbol",begin:":",contains:[r,{begin:i}],relevance:0},{className:"number",variants:[{begin:"\\b0b([01_]+)"+n},{begin:"\\b0o([0-7_]+)"+n},{begin:"\\b0x([A-Fa-f0-9_]+)"+n},{begin:"\\b([1-9][0-9_]*[0-9]|[0-9])(\\.[0-9][0-9_]*)?([eE]_*[-+]?[0-9_]*)?(_*f(32|64))?(?!_)"},{begin:"\\b([1-9][0-9_]*|0)"+n}],relevance:0}];return s.contains=b,t.contains=b.slice(1),{aliases:["cr"],lexemes:"[a-zA-Z_]\\w*[!?=]?",keywords:a,contains:b}}}}]);
-//# sourceMappingURL=crystal.js.map?v=6ff571801a33c4c3d23f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[36],{403:function(e,n){e.exports=function(e){var n="(_*[ui](8|16|32|64|128))?",i="[a-zA-Z_]\\w*[!?=]?|[-+~]\\@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\*\\*|\\[\\][=?]?",a={keyword:"abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield __DIR__ __END_LINE__ __FILE__ __LINE__",literal:"false nil true"},s={className:"subst",begin:"#{",end:"}",keywords:a},t={className:"template-variable",variants:[{begin:"\\{\\{",end:"\\}\\}"},{begin:"\\{%",end:"%\\}"}],keywords:a};function c(e,n){var i=[{begin:e,end:n}];return i[0].contains=i,i}var r={className:"string",contains:[e.BACKSLASH_ESCAPE,s],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:"%[Qwi]?\\(",end:"\\)",contains:c("\\(","\\)")},{begin:"%[Qwi]?\\[",end:"\\]",contains:c("\\[","\\]")},{begin:"%[Qwi]?{",end:"}",contains:c("{","}")},{begin:"%[Qwi]?<",end:">",contains:c("<",">")},{begin:"%[Qwi]?\\|",end:"\\|"},{begin:/<<-\w+$/,end:/^\s*\w+$/}],relevance:0},l={className:"string",variants:[{begin:"%q\\(",end:"\\)",contains:c("\\(","\\)")},{begin:"%q\\[",end:"\\]",contains:c("\\[","\\]")},{begin:"%q{",end:"}",contains:c("{","}")},{begin:"%q<",end:">",contains:c("<",">")},{begin:"%q\\|",end:"\\|"},{begin:/<<-'\w+'$/,end:/^\s*\w+$/}],relevance:0},o={begin:"(?!%})("+e.RE_STARTERS_RE+"|\\n|\\b(case|if|select|unless|until|when|while)\\b)\\s*",keywords:"case if select unless until when while",contains:[{className:"regexp",contains:[e.BACKSLASH_ESCAPE,s],variants:[{begin:"//[a-z]*",relevance:0},{begin:"/(?!\\/)",end:"/[a-z]*"}]}],relevance:0},b=[t,r,l,{className:"regexp",contains:[e.BACKSLASH_ESCAPE,s],variants:[{begin:"%r\\(",end:"\\)",contains:c("\\(","\\)")},{begin:"%r\\[",end:"\\]",contains:c("\\[","\\]")},{begin:"%r{",end:"}",contains:c("{","}")},{begin:"%r<",end:">",contains:c("<",">")},{begin:"%r\\|",end:"\\|"}],relevance:0},o,{className:"meta",begin:"@\\[",end:"\\]",contains:[e.inherit(e.QUOTE_STRING_MODE,{className:"meta-string"})]},e.HASH_COMMENT_MODE,{className:"class",beginKeywords:"class module struct",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"}),{begin:"<"}]},{className:"class",beginKeywords:"lib enum union",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"})],relevance:10},{beginKeywords:"annotation",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"})],relevance:10},{className:"function",beginKeywords:"def",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:i,endsParent:!0})]},{className:"function",beginKeywords:"fun macro",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:i,endsParent:!0})],relevance:5},{className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"(\\!|\\?)?:",relevance:0},{className:"symbol",begin:":",contains:[r,{begin:i}],relevance:0},{className:"number",variants:[{begin:"\\b0b([01_]+)"+n},{begin:"\\b0o([0-7_]+)"+n},{begin:"\\b0x([A-Fa-f0-9_]+)"+n},{begin:"\\b([1-9][0-9_]*[0-9]|[0-9])(\\.[0-9][0-9_]*)?([eE]_*[-+]?[0-9_]*)?(_*f(32|64))?(?!_)"},{begin:"\\b([1-9][0-9_]*|0)"+n}],relevance:0}];return s.contains=b,t.contains=b.slice(1),{aliases:["cr"],lexemes:"[a-zA-Z_]\\w*[!?=]?",keywords:a,contains:b}}}}]);
+//# sourceMappingURL=crystal.js.map?v=897ee94b0f1b39f3d24a \ No newline at end of file
diff --git a/js/highlight/crystal.js.map b/js/highlight/crystal.js.map
index 032656889..dd0b0f8db 100644
--- a/js/highlight/crystal.js.map
+++ b/js/highlight/crystal.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/crystal.js"],"names":["module","exports","hljs","INT_SUFFIX","CRYSTAL_METHOD_RE","CRYSTAL_KEYWORDS","keyword","literal","SUBST","className","begin","end","keywords","EXPANSION","variants","recursiveParen","contains","STRING","BACKSLASH_ESCAPE","relevance","Q_STRING","REGEXP","RE_STARTERS_RE","CRYSTAL_DEFAULT_CONTAINS","inherit","QUOTE_STRING_MODE","HASH_COMMENT_MODE","beginKeywords","illegal","TITLE_MODE","endsParent","UNDERSCORE_IDENT_RE","slice","aliases","lexemes"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAa,4BAGbC,EAAoB,kHAEpBC,EAAmB,CACrBC,QACE,yYAIFC,QAAS,kBAEPC,EAAQ,CACVC,UAAW,QACXC,MAAO,KAAMC,IAAK,IAClBC,SAAUP,GAERQ,EAAY,CACdJ,UAAW,oBACXK,SAAU,CACR,CAACJ,MAAO,SAAUC,IAAK,UACvB,CAACD,MAAO,OAAQC,IAAK,SAEvBC,SAAUP,GAGZ,SAASU,EAAeL,EAAOC,GAC7B,IACAK,EAAW,CAAC,CAACN,MAAOA,EAAOC,IAAKA,IAEhC,OADAK,EAAS,GAAGA,SAAWA,EAChBA,EAET,IAAIC,EAAS,CACXR,UAAW,SACXO,SAAU,CAACd,EAAKgB,iBAAkBV,GAClCM,SAAU,CACR,CAACJ,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,aAAcC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAClE,CAACL,MAAO,aAAcC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAClE,CAACL,MAAO,WAAYC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MAC5D,CAACL,MAAO,WAAYC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MAC5D,CAACL,MAAO,aAAcC,IAAK,OAC3B,CAACD,MAAO,UAAWC,IAAK,aAE1BQ,UAAW,GAETC,EAAW,CACbX,UAAW,SACXK,SAAU,CACR,CAACJ,MAAO,QAASC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAC7D,CAACL,MAAO,QAASC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAC7D,CAACL,MAAO,MAAOC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MACvD,CAACL,MAAO,MAAOC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MACvD,CAACL,MAAO,QAASC,IAAK,OACtB,CAACD,MAAO,YAAaC,IAAK,aAE5BQ,UAAW,GAETE,EAAS,CACXX,MAAO,UAAYR,EAAKoB,eAAiB,2DACzCV,SAAU,yCACVI,SAAU,CACR,CACEP,UAAW,SACXO,SAAU,CAACd,EAAKgB,iBAAkBV,GAClCM,SAAU,CACR,CAACJ,MAAO,WAAYS,UAAW,GAC/B,CAACT,MAAO,WAAYC,IAAK,cAI/BQ,UAAW,GAqBTI,EAA2B,CAC7BV,EACAI,EACAG,EAtBY,CACZX,UAAW,SACXO,SAAU,CAACd,EAAKgB,iBAAkBV,GAClCM,SAAU,CACR,CAACJ,MAAO,QAASC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAC7D,CAACL,MAAO,QAASC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAC7D,CAACL,MAAO,MAAOC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MACvD,CAACL,MAAO,MAAOC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MACvD,CAACL,MAAO,QAASC,IAAK,QAExBQ,UAAW,GAcXE,EAZc,CACdZ,UAAW,OACXC,MAAO,OAAQC,IAAK,MACpBK,SAAU,CACRd,EAAKsB,QAAQtB,EAAKuB,kBAAmB,CAAChB,UAAW,kBAUnDP,EAAKwB,kBACL,CACEjB,UAAW,QACXkB,cAAe,sBAAuBhB,IAAK,MAC3CiB,QAAS,IACTZ,SAAU,CACRd,EAAKwB,kBACLxB,EAAKsB,QAAQtB,EAAK2B,WAAY,CAACnB,MAzGf,qCA0GhB,CAACA,MAAO,OAGZ,CACED,UAAW,QACXkB,cAAe,iBAAkBhB,IAAK,MACtCiB,QAAS,IACTZ,SAAU,CACRd,EAAKwB,kBACLxB,EAAKsB,QAAQtB,EAAK2B,WAAY,CAACnB,MAnHf,sCAqHlBS,UAAW,IAEb,CACEQ,cAAe,aAAchB,IAAK,MAClCiB,QAAS,IACTZ,SAAU,CACRd,EAAKwB,kBACLxB,EAAKsB,QAAQtB,EAAK2B,WAAY,CAACnB,MA5Hf,sCA8HlBS,UAAW,IAEb,CACEV,UAAW,WACXkB,cAAe,MAAOhB,IAAK,OAC3BK,SAAU,CACRd,EAAKsB,QAAQtB,EAAK2B,WAAY,CAC5BnB,MAAON,EACP0B,YAAY,MAIlB,CACErB,UAAW,WACXkB,cAAe,YAAahB,IAAK,OACjCK,SAAU,CACRd,EAAKsB,QAAQtB,EAAK2B,WAAY,CAC5BnB,MAAON,EACP0B,YAAY,KAGhBX,UAAW,GAEb,CACEV,UAAW,SACXC,MAAOR,EAAK6B,oBAAsB,cAClCZ,UAAW,GAEb,CACEV,UAAW,SACXC,MAAO,IACPM,SAAU,CAACC,EAAQ,CAACP,MAAON,IAC3Be,UAAW,GAEb,CACEV,UAAW,SACXK,SAAU,CACR,CAAEJ,MAAO,gBAAkBP,GAC3B,CAAEO,MAAO,iBAAmBP,GAC5B,CAAEO,MAAO,uBAAyBP,GAClC,CAAEO,MAAO,yFACT,CAAEA,MAAO,sBAAwBP,IAEnCgB,UAAW,IAMf,OAHAX,EAAMQ,SAAWO,EACjBV,EAAUG,SAAWO,EAAyBS,MAAM,GAE7C,CACLC,QAAS,CAAC,MACVC,QAnLqB,sBAoLrBtB,SAAUP,EACVW,SAAUO","file":"highlight/crystal.js?v=6ff571801a33c4c3d23f","sourcesContent":["module.exports = function(hljs) {\n var INT_SUFFIX = '(_*[ui](8|16|32|64|128))?';\n var FLOAT_SUFFIX = '(_*f(32|64))?';\n var CRYSTAL_IDENT_RE = '[a-zA-Z_]\\\\w*[!?=]?';\n var CRYSTAL_METHOD_RE = '[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\\\*\\\\*|\\\\[\\\\][=?]?';\n var CRYSTAL_PATH_RE = '[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|\\\\!)?';\n var CRYSTAL_KEYWORDS = {\n keyword:\n 'abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if ' +\n 'include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? ' +\n 'return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield ' +\n '__DIR__ __END_LINE__ __FILE__ __LINE__',\n literal: 'false nil true'\n };\n var SUBST = {\n className: 'subst',\n begin: '#{', end: '}',\n keywords: CRYSTAL_KEYWORDS\n };\n var EXPANSION = {\n className: 'template-variable',\n variants: [\n {begin: '\\\\{\\\\{', end: '\\\\}\\\\}'},\n {begin: '\\\\{%', end: '%\\\\}'}\n ],\n keywords: CRYSTAL_KEYWORDS\n };\n\n function recursiveParen(begin, end) {\n var\n contains = [{begin: begin, end: end}];\n contains[0].contains = contains;\n return contains;\n }\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {begin: /'/, end: /'/},\n {begin: /\"/, end: /\"/},\n {begin: /`/, end: /`/},\n {begin: '%[Qwi]?\\\\(', end: '\\\\)', contains: recursiveParen('\\\\(', '\\\\)')},\n {begin: '%[Qwi]?\\\\[', end: '\\\\]', contains: recursiveParen('\\\\[', '\\\\]')},\n {begin: '%[Qwi]?{', end: '}', contains: recursiveParen('{', '}')},\n {begin: '%[Qwi]?<', end: '>', contains: recursiveParen('<', '>')},\n {begin: '%[Qwi]?\\\\|', end: '\\\\|'},\n {begin: /<<-\\w+$/, end: /^\\s*\\w+$/},\n ],\n relevance: 0,\n };\n var Q_STRING = {\n className: 'string',\n variants: [\n {begin: '%q\\\\(', end: '\\\\)', contains: recursiveParen('\\\\(', '\\\\)')},\n {begin: '%q\\\\[', end: '\\\\]', contains: recursiveParen('\\\\[', '\\\\]')},\n {begin: '%q{', end: '}', contains: recursiveParen('{', '}')},\n {begin: '%q<', end: '>', contains: recursiveParen('<', '>')},\n {begin: '%q\\\\|', end: '\\\\|'},\n {begin: /<<-'\\w+'$/, end: /^\\s*\\w+$/},\n ],\n relevance: 0,\n };\n var REGEXP = {\n begin: '(?!%})(' + hljs.RE_STARTERS_RE + '|\\\\n|\\\\b(case|if|select|unless|until|when|while)\\\\b)\\\\s*',\n keywords: 'case if select unless until when while',\n contains: [\n {\n className: 'regexp',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {begin: '//[a-z]*', relevance: 0},\n {begin: '/(?!\\\\/)', end: '/[a-z]*'},\n ]\n }\n ],\n relevance: 0\n };\n var REGEXP2 = {\n className: 'regexp',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {begin: '%r\\\\(', end: '\\\\)', contains: recursiveParen('\\\\(', '\\\\)')},\n {begin: '%r\\\\[', end: '\\\\]', contains: recursiveParen('\\\\[', '\\\\]')},\n {begin: '%r{', end: '}', contains: recursiveParen('{', '}')},\n {begin: '%r<', end: '>', contains: recursiveParen('<', '>')},\n {begin: '%r\\\\|', end: '\\\\|'},\n ],\n relevance: 0\n };\n var ATTRIBUTE = {\n className: 'meta',\n begin: '@\\\\[', end: '\\\\]',\n contains: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, {className: 'meta-string'})\n ]\n };\n var CRYSTAL_DEFAULT_CONTAINS = [\n EXPANSION,\n STRING,\n Q_STRING,\n REGEXP2,\n REGEXP,\n ATTRIBUTE,\n hljs.HASH_COMMENT_MODE,\n {\n className: 'class',\n beginKeywords: 'class module struct', end: '$|;',\n illegal: /=/,\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.inherit(hljs.TITLE_MODE, {begin: CRYSTAL_PATH_RE}),\n {begin: '<'} // relevance booster for inheritance\n ]\n },\n {\n className: 'class',\n beginKeywords: 'lib enum union', end: '$|;',\n illegal: /=/,\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.inherit(hljs.TITLE_MODE, {begin: CRYSTAL_PATH_RE}),\n ],\n relevance: 10\n },\n {\n beginKeywords: 'annotation', end: '$|;',\n illegal: /=/,\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.inherit(hljs.TITLE_MODE, {begin: CRYSTAL_PATH_RE}),\n ],\n relevance: 10\n },\n {\n className: 'function',\n beginKeywords: 'def', end: /\\B\\b/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n begin: CRYSTAL_METHOD_RE,\n endsParent: true\n })\n ]\n },\n {\n className: 'function',\n beginKeywords: 'fun macro', end: /\\B\\b/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n begin: CRYSTAL_METHOD_RE,\n endsParent: true\n })\n ],\n relevance: 5\n },\n {\n className: 'symbol',\n begin: hljs.UNDERSCORE_IDENT_RE + '(\\\\!|\\\\?)?:',\n relevance: 0\n },\n {\n className: 'symbol',\n begin: ':',\n contains: [STRING, {begin: CRYSTAL_METHOD_RE}],\n relevance: 0\n },\n {\n className: 'number',\n variants: [\n { begin: '\\\\b0b([01_]+)' + INT_SUFFIX },\n { begin: '\\\\b0o([0-7_]+)' + INT_SUFFIX },\n { begin: '\\\\b0x([A-Fa-f0-9_]+)' + INT_SUFFIX },\n { begin: '\\\\b([1-9][0-9_]*[0-9]|[0-9])(\\\\.[0-9][0-9_]*)?([eE]_*[-+]?[0-9_]*)?' + FLOAT_SUFFIX + '(?!_)' },\n { begin: '\\\\b([1-9][0-9_]*|0)' + INT_SUFFIX }\n ],\n relevance: 0\n }\n ];\n SUBST.contains = CRYSTAL_DEFAULT_CONTAINS;\n EXPANSION.contains = CRYSTAL_DEFAULT_CONTAINS.slice(1); // without EXPANSION\n\n return {\n aliases: ['cr'],\n lexemes: CRYSTAL_IDENT_RE,\n keywords: CRYSTAL_KEYWORDS,\n contains: CRYSTAL_DEFAULT_CONTAINS\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/crystal.js"],"names":["module","exports","hljs","INT_SUFFIX","CRYSTAL_METHOD_RE","CRYSTAL_KEYWORDS","keyword","literal","SUBST","className","begin","end","keywords","EXPANSION","variants","recursiveParen","contains","STRING","BACKSLASH_ESCAPE","relevance","Q_STRING","REGEXP","RE_STARTERS_RE","CRYSTAL_DEFAULT_CONTAINS","inherit","QUOTE_STRING_MODE","HASH_COMMENT_MODE","beginKeywords","illegal","TITLE_MODE","endsParent","UNDERSCORE_IDENT_RE","slice","aliases","lexemes"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAa,4BAGbC,EAAoB,kHAEpBC,EAAmB,CACrBC,QACE,yYAIFC,QAAS,kBAEPC,EAAQ,CACVC,UAAW,QACXC,MAAO,KAAMC,IAAK,IAClBC,SAAUP,GAERQ,EAAY,CACdJ,UAAW,oBACXK,SAAU,CACR,CAACJ,MAAO,SAAUC,IAAK,UACvB,CAACD,MAAO,OAAQC,IAAK,SAEvBC,SAAUP,GAGZ,SAASU,EAAeL,EAAOC,GAC7B,IACAK,EAAW,CAAC,CAACN,MAAOA,EAAOC,IAAKA,IAEhC,OADAK,EAAS,GAAGA,SAAWA,EAChBA,EAET,IAAIC,EAAS,CACXR,UAAW,SACXO,SAAU,CAACd,EAAKgB,iBAAkBV,GAClCM,SAAU,CACR,CAACJ,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,aAAcC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAClE,CAACL,MAAO,aAAcC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAClE,CAACL,MAAO,WAAYC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MAC5D,CAACL,MAAO,WAAYC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MAC5D,CAACL,MAAO,aAAcC,IAAK,OAC3B,CAACD,MAAO,UAAWC,IAAK,aAE1BQ,UAAW,GAETC,EAAW,CACbX,UAAW,SACXK,SAAU,CACR,CAACJ,MAAO,QAASC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAC7D,CAACL,MAAO,QAASC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAC7D,CAACL,MAAO,MAAOC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MACvD,CAACL,MAAO,MAAOC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MACvD,CAACL,MAAO,QAASC,IAAK,OACtB,CAACD,MAAO,YAAaC,IAAK,aAE5BQ,UAAW,GAETE,EAAS,CACXX,MAAO,UAAYR,EAAKoB,eAAiB,2DACzCV,SAAU,yCACVI,SAAU,CACR,CACEP,UAAW,SACXO,SAAU,CAACd,EAAKgB,iBAAkBV,GAClCM,SAAU,CACR,CAACJ,MAAO,WAAYS,UAAW,GAC/B,CAACT,MAAO,WAAYC,IAAK,cAI/BQ,UAAW,GAqBTI,EAA2B,CAC7BV,EACAI,EACAG,EAtBY,CACZX,UAAW,SACXO,SAAU,CAACd,EAAKgB,iBAAkBV,GAClCM,SAAU,CACR,CAACJ,MAAO,QAASC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAC7D,CAACL,MAAO,QAASC,IAAK,MAAOK,SAAUD,EAAe,MAAO,QAC7D,CAACL,MAAO,MAAOC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MACvD,CAACL,MAAO,MAAOC,IAAK,IAAKK,SAAUD,EAAe,IAAK,MACvD,CAACL,MAAO,QAASC,IAAK,QAExBQ,UAAW,GAcXE,EAZc,CACdZ,UAAW,OACXC,MAAO,OAAQC,IAAK,MACpBK,SAAU,CACRd,EAAKsB,QAAQtB,EAAKuB,kBAAmB,CAAChB,UAAW,kBAUnDP,EAAKwB,kBACL,CACEjB,UAAW,QACXkB,cAAe,sBAAuBhB,IAAK,MAC3CiB,QAAS,IACTZ,SAAU,CACRd,EAAKwB,kBACLxB,EAAKsB,QAAQtB,EAAK2B,WAAY,CAACnB,MAzGf,qCA0GhB,CAACA,MAAO,OAGZ,CACED,UAAW,QACXkB,cAAe,iBAAkBhB,IAAK,MACtCiB,QAAS,IACTZ,SAAU,CACRd,EAAKwB,kBACLxB,EAAKsB,QAAQtB,EAAK2B,WAAY,CAACnB,MAnHf,sCAqHlBS,UAAW,IAEb,CACEQ,cAAe,aAAchB,IAAK,MAClCiB,QAAS,IACTZ,SAAU,CACRd,EAAKwB,kBACLxB,EAAKsB,QAAQtB,EAAK2B,WAAY,CAACnB,MA5Hf,sCA8HlBS,UAAW,IAEb,CACEV,UAAW,WACXkB,cAAe,MAAOhB,IAAK,OAC3BK,SAAU,CACRd,EAAKsB,QAAQtB,EAAK2B,WAAY,CAC5BnB,MAAON,EACP0B,YAAY,MAIlB,CACErB,UAAW,WACXkB,cAAe,YAAahB,IAAK,OACjCK,SAAU,CACRd,EAAKsB,QAAQtB,EAAK2B,WAAY,CAC5BnB,MAAON,EACP0B,YAAY,KAGhBX,UAAW,GAEb,CACEV,UAAW,SACXC,MAAOR,EAAK6B,oBAAsB,cAClCZ,UAAW,GAEb,CACEV,UAAW,SACXC,MAAO,IACPM,SAAU,CAACC,EAAQ,CAACP,MAAON,IAC3Be,UAAW,GAEb,CACEV,UAAW,SACXK,SAAU,CACR,CAAEJ,MAAO,gBAAkBP,GAC3B,CAAEO,MAAO,iBAAmBP,GAC5B,CAAEO,MAAO,uBAAyBP,GAClC,CAAEO,MAAO,yFACT,CAAEA,MAAO,sBAAwBP,IAEnCgB,UAAW,IAMf,OAHAX,EAAMQ,SAAWO,EACjBV,EAAUG,SAAWO,EAAyBS,MAAM,GAE7C,CACLC,QAAS,CAAC,MACVC,QAnLqB,sBAoLrBtB,SAAUP,EACVW,SAAUO","file":"highlight/crystal.js?v=897ee94b0f1b39f3d24a","sourcesContent":["module.exports = function(hljs) {\n var INT_SUFFIX = '(_*[ui](8|16|32|64|128))?';\n var FLOAT_SUFFIX = '(_*f(32|64))?';\n var CRYSTAL_IDENT_RE = '[a-zA-Z_]\\\\w*[!?=]?';\n var CRYSTAL_METHOD_RE = '[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\\\*\\\\*|\\\\[\\\\][=?]?';\n var CRYSTAL_PATH_RE = '[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|\\\\!)?';\n var CRYSTAL_KEYWORDS = {\n keyword:\n 'abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if ' +\n 'include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? ' +\n 'return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield ' +\n '__DIR__ __END_LINE__ __FILE__ __LINE__',\n literal: 'false nil true'\n };\n var SUBST = {\n className: 'subst',\n begin: '#{', end: '}',\n keywords: CRYSTAL_KEYWORDS\n };\n var EXPANSION = {\n className: 'template-variable',\n variants: [\n {begin: '\\\\{\\\\{', end: '\\\\}\\\\}'},\n {begin: '\\\\{%', end: '%\\\\}'}\n ],\n keywords: CRYSTAL_KEYWORDS\n };\n\n function recursiveParen(begin, end) {\n var\n contains = [{begin: begin, end: end}];\n contains[0].contains = contains;\n return contains;\n }\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {begin: /'/, end: /'/},\n {begin: /\"/, end: /\"/},\n {begin: /`/, end: /`/},\n {begin: '%[Qwi]?\\\\(', end: '\\\\)', contains: recursiveParen('\\\\(', '\\\\)')},\n {begin: '%[Qwi]?\\\\[', end: '\\\\]', contains: recursiveParen('\\\\[', '\\\\]')},\n {begin: '%[Qwi]?{', end: '}', contains: recursiveParen('{', '}')},\n {begin: '%[Qwi]?<', end: '>', contains: recursiveParen('<', '>')},\n {begin: '%[Qwi]?\\\\|', end: '\\\\|'},\n {begin: /<<-\\w+$/, end: /^\\s*\\w+$/},\n ],\n relevance: 0,\n };\n var Q_STRING = {\n className: 'string',\n variants: [\n {begin: '%q\\\\(', end: '\\\\)', contains: recursiveParen('\\\\(', '\\\\)')},\n {begin: '%q\\\\[', end: '\\\\]', contains: recursiveParen('\\\\[', '\\\\]')},\n {begin: '%q{', end: '}', contains: recursiveParen('{', '}')},\n {begin: '%q<', end: '>', contains: recursiveParen('<', '>')},\n {begin: '%q\\\\|', end: '\\\\|'},\n {begin: /<<-'\\w+'$/, end: /^\\s*\\w+$/},\n ],\n relevance: 0,\n };\n var REGEXP = {\n begin: '(?!%})(' + hljs.RE_STARTERS_RE + '|\\\\n|\\\\b(case|if|select|unless|until|when|while)\\\\b)\\\\s*',\n keywords: 'case if select unless until when while',\n contains: [\n {\n className: 'regexp',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {begin: '//[a-z]*', relevance: 0},\n {begin: '/(?!\\\\/)', end: '/[a-z]*'},\n ]\n }\n ],\n relevance: 0\n };\n var REGEXP2 = {\n className: 'regexp',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {begin: '%r\\\\(', end: '\\\\)', contains: recursiveParen('\\\\(', '\\\\)')},\n {begin: '%r\\\\[', end: '\\\\]', contains: recursiveParen('\\\\[', '\\\\]')},\n {begin: '%r{', end: '}', contains: recursiveParen('{', '}')},\n {begin: '%r<', end: '>', contains: recursiveParen('<', '>')},\n {begin: '%r\\\\|', end: '\\\\|'},\n ],\n relevance: 0\n };\n var ATTRIBUTE = {\n className: 'meta',\n begin: '@\\\\[', end: '\\\\]',\n contains: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, {className: 'meta-string'})\n ]\n };\n var CRYSTAL_DEFAULT_CONTAINS = [\n EXPANSION,\n STRING,\n Q_STRING,\n REGEXP2,\n REGEXP,\n ATTRIBUTE,\n hljs.HASH_COMMENT_MODE,\n {\n className: 'class',\n beginKeywords: 'class module struct', end: '$|;',\n illegal: /=/,\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.inherit(hljs.TITLE_MODE, {begin: CRYSTAL_PATH_RE}),\n {begin: '<'} // relevance booster for inheritance\n ]\n },\n {\n className: 'class',\n beginKeywords: 'lib enum union', end: '$|;',\n illegal: /=/,\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.inherit(hljs.TITLE_MODE, {begin: CRYSTAL_PATH_RE}),\n ],\n relevance: 10\n },\n {\n beginKeywords: 'annotation', end: '$|;',\n illegal: /=/,\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.inherit(hljs.TITLE_MODE, {begin: CRYSTAL_PATH_RE}),\n ],\n relevance: 10\n },\n {\n className: 'function',\n beginKeywords: 'def', end: /\\B\\b/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n begin: CRYSTAL_METHOD_RE,\n endsParent: true\n })\n ]\n },\n {\n className: 'function',\n beginKeywords: 'fun macro', end: /\\B\\b/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n begin: CRYSTAL_METHOD_RE,\n endsParent: true\n })\n ],\n relevance: 5\n },\n {\n className: 'symbol',\n begin: hljs.UNDERSCORE_IDENT_RE + '(\\\\!|\\\\?)?:',\n relevance: 0\n },\n {\n className: 'symbol',\n begin: ':',\n contains: [STRING, {begin: CRYSTAL_METHOD_RE}],\n relevance: 0\n },\n {\n className: 'number',\n variants: [\n { begin: '\\\\b0b([01_]+)' + INT_SUFFIX },\n { begin: '\\\\b0o([0-7_]+)' + INT_SUFFIX },\n { begin: '\\\\b0x([A-Fa-f0-9_]+)' + INT_SUFFIX },\n { begin: '\\\\b([1-9][0-9_]*[0-9]|[0-9])(\\\\.[0-9][0-9_]*)?([eE]_*[-+]?[0-9_]*)?' + FLOAT_SUFFIX + '(?!_)' },\n { begin: '\\\\b([1-9][0-9_]*|0)' + INT_SUFFIX }\n ],\n relevance: 0\n }\n ];\n SUBST.contains = CRYSTAL_DEFAULT_CONTAINS;\n EXPANSION.contains = CRYSTAL_DEFAULT_CONTAINS.slice(1); // without EXPANSION\n\n return {\n aliases: ['cr'],\n lexemes: CRYSTAL_IDENT_RE,\n keywords: CRYSTAL_KEYWORDS,\n contains: CRYSTAL_DEFAULT_CONTAINS\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/cs.js b/js/highlight/cs.js
index fe8744964..49421b1f1 100644
--- a/js/highlight/cs.js
+++ b/js/highlight/cs.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[37],{403:function(e,n){e.exports=function(e){var n={keyword:"abstract as base bool break byte case catch char checked const continue decimal default delegate do double enum event explicit extern finally fixed float for foreach goto if implicit in int interface internal is lock long object operator out override params private protected public readonly ref sbyte sealed short sizeof stackalloc static string struct switch this try typeof uint ulong unchecked unsafe ushort using virtual void volatile while add alias ascending async await by descending dynamic equals from get global group into join let nameof on orderby partial remove select set value var when where yield",literal:"null false true"},i={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)(u|U|l|L|ul|UL|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},a={className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},s=e.inherit(a,{illegal:/\n/}),t={className:"subst",begin:"{",end:"}",keywords:n},l=e.inherit(t,{illegal:/\n/}),r={className:"string",begin:/\$"/,end:'"',illegal:/\n/,contains:[{begin:"{{"},{begin:"}}"},e.BACKSLASH_ESCAPE,l]},c={className:"string",begin:/\$@"/,end:'"',contains:[{begin:"{{"},{begin:"}}"},{begin:'""'},t]},o=e.inherit(c,{illegal:/\n/,contains:[{begin:"{{"},{begin:"}}"},{begin:'""'},l]});t.contains=[c,r,a,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,i,e.C_BLOCK_COMMENT_MODE],l.contains=[o,r,s,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,i,e.inherit(e.C_BLOCK_COMMENT_MODE,{illegal:/\n/})];var d={variants:[c,r,a,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},g=e.IDENT_RE+"(<"+e.IDENT_RE+"(\\s*,\\s*"+e.IDENT_RE+")*>)?(\\[\\])?";return{aliases:["csharp","c#"],keywords:n,illegal:/::/,contains:[e.COMMENT("///","$",{returnBegin:!0,contains:[{className:"doctag",variants:[{begin:"///",relevance:0},{begin:"\x3c!--|--\x3e"},{begin:"</?",end:">"}]}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"if else elif endif define undef warning error line region endregion pragma checksum"}},d,i,{beginKeywords:"class interface",end:/[{;=]/,illegal:/[^\s:,]/,contains:[e.TITLE_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:"namespace",end:/[{;=]/,illegal:/[^\s:]/,contains:[e.inherit(e.TITLE_MODE,{begin:"[a-zA-Z](\\.?\\w)*"}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"meta",begin:"^\\s*\\[",excludeBegin:!0,end:"\\]",excludeEnd:!0,contains:[{className:"meta-string",begin:/"/,end:/"/}]},{beginKeywords:"new return throw await else",relevance:0},{className:"function",begin:"("+g+"\\s+)+"+e.IDENT_RE+"\\s*\\(",returnBegin:!0,end:/\s*[{;=]/,excludeEnd:!0,keywords:n,contains:[{begin:e.IDENT_RE+"\\s*\\(",returnBegin:!0,contains:[e.TITLE_MODE],relevance:0},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:n,relevance:0,contains:[d,i,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}]}}}}]);
-//# sourceMappingURL=cs.js.map?v=c96931208c9707ba550d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[37],{404:function(e,n){e.exports=function(e){var n={keyword:"abstract as base bool break byte case catch char checked const continue decimal default delegate do double enum event explicit extern finally fixed float for foreach goto if implicit in int interface internal is lock long object operator out override params private protected public readonly ref sbyte sealed short sizeof stackalloc static string struct switch this try typeof uint ulong unchecked unsafe ushort using virtual void volatile while add alias ascending async await by descending dynamic equals from get global group into join let nameof on orderby partial remove select set value var when where yield",literal:"null false true"},i={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)(u|U|l|L|ul|UL|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},a={className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},s=e.inherit(a,{illegal:/\n/}),t={className:"subst",begin:"{",end:"}",keywords:n},l=e.inherit(t,{illegal:/\n/}),r={className:"string",begin:/\$"/,end:'"',illegal:/\n/,contains:[{begin:"{{"},{begin:"}}"},e.BACKSLASH_ESCAPE,l]},c={className:"string",begin:/\$@"/,end:'"',contains:[{begin:"{{"},{begin:"}}"},{begin:'""'},t]},o=e.inherit(c,{illegal:/\n/,contains:[{begin:"{{"},{begin:"}}"},{begin:'""'},l]});t.contains=[c,r,a,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,i,e.C_BLOCK_COMMENT_MODE],l.contains=[o,r,s,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,i,e.inherit(e.C_BLOCK_COMMENT_MODE,{illegal:/\n/})];var d={variants:[c,r,a,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},g=e.IDENT_RE+"(<"+e.IDENT_RE+"(\\s*,\\s*"+e.IDENT_RE+")*>)?(\\[\\])?";return{aliases:["csharp","c#"],keywords:n,illegal:/::/,contains:[e.COMMENT("///","$",{returnBegin:!0,contains:[{className:"doctag",variants:[{begin:"///",relevance:0},{begin:"\x3c!--|--\x3e"},{begin:"</?",end:">"}]}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"if else elif endif define undef warning error line region endregion pragma checksum"}},d,i,{beginKeywords:"class interface",end:/[{;=]/,illegal:/[^\s:,]/,contains:[e.TITLE_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:"namespace",end:/[{;=]/,illegal:/[^\s:]/,contains:[e.inherit(e.TITLE_MODE,{begin:"[a-zA-Z](\\.?\\w)*"}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"meta",begin:"^\\s*\\[",excludeBegin:!0,end:"\\]",excludeEnd:!0,contains:[{className:"meta-string",begin:/"/,end:/"/}]},{beginKeywords:"new return throw await else",relevance:0},{className:"function",begin:"("+g+"\\s+)+"+e.IDENT_RE+"\\s*\\(",returnBegin:!0,end:/\s*[{;=]/,excludeEnd:!0,keywords:n,contains:[{begin:e.IDENT_RE+"\\s*\\(",returnBegin:!0,contains:[e.TITLE_MODE],relevance:0},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:n,relevance:0,contains:[d,i,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}]}}}}]);
+//# sourceMappingURL=cs.js.map?v=f492adc31805a0412549 \ No newline at end of file
diff --git a/js/highlight/cs.js.map b/js/highlight/cs.js.map
index 73b3404f2..d8450b69d 100644
--- a/js/highlight/cs.js.map
+++ b/js/highlight/cs.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/cs.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","NUMBERS","className","variants","begin","relevance","VERBATIM_STRING","end","contains","VERBATIM_STRING_NO_LF","inherit","illegal","SUBST","keywords","SUBST_NO_LF","INTERPOLATED_STRING","BACKSLASH_ESCAPE","INTERPOLATED_VERBATIM_STRING","INTERPOLATED_VERBATIM_STRING_NO_LF","APOS_STRING_MODE","QUOTE_STRING_MODE","C_BLOCK_COMMENT_MODE","STRING","TYPE_IDENT_RE","IDENT_RE","aliases","COMMENT","returnBegin","C_LINE_COMMENT_MODE","beginKeywords","TITLE_MODE","excludeBegin","excludeEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QAEE,wmBASFC,QACE,mBAEAC,EAAU,CACZC,UAAW,SACXC,SAAU,CACR,CAAEC,MAAO,iBACT,CAAEA,MAAO,mEACT,CAAEA,MAAO,wFAEXC,UAAW,GAETC,EAAkB,CACpBJ,UAAW,SACXE,MAAO,KAAMG,IAAK,IAClBC,SAAU,CAAC,CAACJ,MAAO,QAEjBK,EAAwBZ,EAAKa,QAAQJ,EAAiB,CAACK,QAAS,OAChEC,EAAQ,CACVV,UAAW,QACXE,MAAO,IAAKG,IAAK,IACjBM,SAAUf,GAERgB,EAAcjB,EAAKa,QAAQE,EAAO,CAACD,QAAS,OAC5CI,EAAsB,CACxBb,UAAW,SACXE,MAAO,MAAOG,IAAK,IACnBI,QAAS,KACTH,SAAU,CAAC,CAACJ,MAAO,MAAO,CAACA,MAAO,MAAOP,EAAKmB,iBAAkBF,IAE9DG,EAA+B,CACjCf,UAAW,SACXE,MAAO,OAAQG,IAAK,IACpBC,SAAU,CAAC,CAACJ,MAAO,MAAO,CAACA,MAAO,MAAO,CAACA,MAAO,MAAOQ,IAEtDM,EAAqCrB,EAAKa,QAAQO,EAA8B,CAClFN,QAAS,KACTH,SAAU,CAAC,CAACJ,MAAO,MAAO,CAACA,MAAO,MAAO,CAACA,MAAO,MAAOU,KAE1DF,EAAMJ,SAAW,CACfS,EACAF,EACAT,EACAT,EAAKsB,iBACLtB,EAAKuB,kBACLnB,EACAJ,EAAKwB,sBAEPP,EAAYN,SAAW,CACrBU,EACAH,EACAN,EACAZ,EAAKsB,iBACLtB,EAAKuB,kBACLnB,EACAJ,EAAKa,QAAQb,EAAKwB,qBAAsB,CAACV,QAAS,QAEpD,IAAIW,EAAS,CACXnB,SAAU,CACRc,EACAF,EACAT,EACAT,EAAKsB,iBACLtB,EAAKuB,oBAILG,EAAgB1B,EAAK2B,SAAW,KAAO3B,EAAK2B,SAAW,aAAe3B,EAAK2B,SAAW,iBAE1F,MAAO,CACLC,QAAS,CAAC,SAAU,MACpBZ,SAAUf,EACVa,QAAS,KACTH,SAAU,CACRX,EAAK6B,QACH,MACA,IACA,CACEC,aAAa,EACbnB,SAAU,CACR,CACEN,UAAW,SACXC,SAAU,CACR,CACEC,MAAO,MAAOC,UAAW,GAE3B,CACED,MAAO,kBAET,CACEA,MAAO,MAAOG,IAAK,UAO/BV,EAAK+B,oBACL/B,EAAKwB,qBACL,CACEnB,UAAW,OACXE,MAAO,IAAKG,IAAK,IACjBM,SAAU,CACR,eAAgB,wFAGpBS,EACArB,EACA,CACE4B,cAAe,kBAAmBtB,IAAK,QACvCI,QAAS,UACTH,SAAU,CACRX,EAAKiC,WACLjC,EAAK+B,oBACL/B,EAAKwB,uBAGT,CACEQ,cAAe,YAAatB,IAAK,QACjCI,QAAS,SACTH,SAAU,CACRX,EAAKa,QAAQb,EAAKiC,WAAY,CAAC1B,MAAO,uBACtCP,EAAK+B,oBACL/B,EAAKwB,uBAGT,CAEEnB,UAAW,OACXE,MAAO,WAAY2B,cAAc,EAAMxB,IAAK,MAAOyB,YAAY,EAC/DxB,SAAU,CACR,CAACN,UAAW,cAAeE,MAAO,IAAKG,IAAK,OAGhD,CAGEsB,cAAe,8BACfxB,UAAW,GAEb,CACEH,UAAW,WACXE,MAAO,IAAMmB,EAAgB,SAAW1B,EAAK2B,SAAW,UAAWG,aAAa,EAChFpB,IAAK,WAAYyB,YAAY,EAC7BnB,SAAUf,EACVU,SAAU,CACR,CACEJ,MAAOP,EAAK2B,SAAW,UAAWG,aAAa,EAC/CnB,SAAU,CAACX,EAAKiC,YAChBzB,UAAW,GAEb,CACEH,UAAW,SACXE,MAAO,KAAMG,IAAK,KAClBwB,cAAc,EACdC,YAAY,EACZnB,SAAUf,EACVO,UAAW,EACXG,SAAU,CACRc,EACArB,EACAJ,EAAKwB,uBAGTxB,EAAK+B,oBACL/B,EAAKwB","file":"highlight/cs.js?v=c96931208c9707ba550d","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n // Normal keywords.\n 'abstract as base bool break byte case catch char checked const continue decimal ' +\n 'default delegate do double enum event explicit extern finally fixed float ' +\n 'for foreach goto if implicit in int interface internal is lock long ' +\n 'object operator out override params private protected public readonly ref sbyte ' +\n 'sealed short sizeof stackalloc static string struct switch this try typeof ' +\n 'uint ulong unchecked unsafe ushort using virtual void volatile while ' +\n // Contextual keywords.\n 'add alias ascending async await by descending dynamic equals from get global group into join ' +\n 'let nameof on orderby partial remove select set value var when where yield',\n literal:\n 'null false true'\n };\n var NUMBERS = {\n className: 'number',\n variants: [\n { begin: '\\\\b(0b[01\\']+)' },\n { begin: '(-?)\\\\b([\\\\d\\']+(\\\\.[\\\\d\\']*)?|\\\\.[\\\\d\\']+)(u|U|l|L|ul|UL|f|F|b|B)' },\n { begin: '(-?)(\\\\b0[xX][a-fA-F0-9\\']+|(\\\\b[\\\\d\\']+(\\\\.[\\\\d\\']*)?|\\\\.[\\\\d\\']+)([eE][-+]?[\\\\d\\']+)?)' }\n ],\n relevance: 0\n };\n var VERBATIM_STRING = {\n className: 'string',\n begin: '@\"', end: '\"',\n contains: [{begin: '\"\"'}]\n };\n var VERBATIM_STRING_NO_LF = hljs.inherit(VERBATIM_STRING, {illegal: /\\n/});\n var SUBST = {\n className: 'subst',\n begin: '{', end: '}',\n keywords: KEYWORDS\n };\n var SUBST_NO_LF = hljs.inherit(SUBST, {illegal: /\\n/});\n var INTERPOLATED_STRING = {\n className: 'string',\n begin: /\\$\"/, end: '\"',\n illegal: /\\n/,\n contains: [{begin: '{{'}, {begin: '}}'}, hljs.BACKSLASH_ESCAPE, SUBST_NO_LF]\n };\n var INTERPOLATED_VERBATIM_STRING = {\n className: 'string',\n begin: /\\$@\"/, end: '\"',\n contains: [{begin: '{{'}, {begin: '}}'}, {begin: '\"\"'}, SUBST]\n };\n var INTERPOLATED_VERBATIM_STRING_NO_LF = hljs.inherit(INTERPOLATED_VERBATIM_STRING, {\n illegal: /\\n/,\n contains: [{begin: '{{'}, {begin: '}}'}, {begin: '\"\"'}, SUBST_NO_LF]\n });\n SUBST.contains = [\n INTERPOLATED_VERBATIM_STRING,\n INTERPOLATED_STRING,\n VERBATIM_STRING,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n NUMBERS,\n hljs.C_BLOCK_COMMENT_MODE\n ];\n SUBST_NO_LF.contains = [\n INTERPOLATED_VERBATIM_STRING_NO_LF,\n INTERPOLATED_STRING,\n VERBATIM_STRING_NO_LF,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n NUMBERS,\n hljs.inherit(hljs.C_BLOCK_COMMENT_MODE, {illegal: /\\n/})\n ];\n var STRING = {\n variants: [\n INTERPOLATED_VERBATIM_STRING,\n INTERPOLATED_STRING,\n VERBATIM_STRING,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n };\n\n var TYPE_IDENT_RE = hljs.IDENT_RE + '(<' + hljs.IDENT_RE + '(\\\\s*,\\\\s*' + hljs.IDENT_RE + ')*>)?(\\\\[\\\\])?';\n\n return {\n aliases: ['csharp', 'c#'],\n keywords: KEYWORDS,\n illegal: /::/,\n contains: [\n hljs.COMMENT(\n '///',\n '$',\n {\n returnBegin: true,\n contains: [\n {\n className: 'doctag',\n variants: [\n {\n begin: '///', relevance: 0\n },\n {\n begin: '<!--|-->'\n },\n {\n begin: '</?', end: '>'\n }\n ]\n }\n ]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'meta',\n begin: '#', end: '$',\n keywords: {\n 'meta-keyword': 'if else elif endif define undef warning error line region endregion pragma checksum'\n }\n },\n STRING,\n NUMBERS,\n {\n beginKeywords: 'class interface', end: /[{;=]/,\n illegal: /[^\\s:,]/,\n contains: [\n hljs.TITLE_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n {\n beginKeywords: 'namespace', end: /[{;=]/,\n illegal: /[^\\s:]/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: '[a-zA-Z](\\\\.?\\\\w)*'}),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n {\n // [Attributes(\"\")]\n className: 'meta',\n begin: '^\\\\s*\\\\[', excludeBegin: true, end: '\\\\]', excludeEnd: true,\n contains: [\n {className: 'meta-string', begin: /\"/, end: /\"/}\n ]\n },\n {\n // Expression keywords prevent 'keyword Name(...)' from being\n // recognized as a function definition\n beginKeywords: 'new return throw await else',\n relevance: 0\n },\n {\n className: 'function',\n begin: '(' + TYPE_IDENT_RE + '\\\\s+)+' + hljs.IDENT_RE + '\\\\s*\\\\(', returnBegin: true,\n end: /\\s*[{;=]/, excludeEnd: true,\n keywords: KEYWORDS,\n contains: [\n {\n begin: hljs.IDENT_RE + '\\\\s*\\\\(', returnBegin: true,\n contains: [hljs.TITLE_MODE],\n relevance: 0\n },\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n keywords: KEYWORDS,\n relevance: 0,\n contains: [\n STRING,\n NUMBERS,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/cs.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","NUMBERS","className","variants","begin","relevance","VERBATIM_STRING","end","contains","VERBATIM_STRING_NO_LF","inherit","illegal","SUBST","keywords","SUBST_NO_LF","INTERPOLATED_STRING","BACKSLASH_ESCAPE","INTERPOLATED_VERBATIM_STRING","INTERPOLATED_VERBATIM_STRING_NO_LF","APOS_STRING_MODE","QUOTE_STRING_MODE","C_BLOCK_COMMENT_MODE","STRING","TYPE_IDENT_RE","IDENT_RE","aliases","COMMENT","returnBegin","C_LINE_COMMENT_MODE","beginKeywords","TITLE_MODE","excludeBegin","excludeEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QAEE,wmBASFC,QACE,mBAEAC,EAAU,CACZC,UAAW,SACXC,SAAU,CACR,CAAEC,MAAO,iBACT,CAAEA,MAAO,mEACT,CAAEA,MAAO,wFAEXC,UAAW,GAETC,EAAkB,CACpBJ,UAAW,SACXE,MAAO,KAAMG,IAAK,IAClBC,SAAU,CAAC,CAACJ,MAAO,QAEjBK,EAAwBZ,EAAKa,QAAQJ,EAAiB,CAACK,QAAS,OAChEC,EAAQ,CACVV,UAAW,QACXE,MAAO,IAAKG,IAAK,IACjBM,SAAUf,GAERgB,EAAcjB,EAAKa,QAAQE,EAAO,CAACD,QAAS,OAC5CI,EAAsB,CACxBb,UAAW,SACXE,MAAO,MAAOG,IAAK,IACnBI,QAAS,KACTH,SAAU,CAAC,CAACJ,MAAO,MAAO,CAACA,MAAO,MAAOP,EAAKmB,iBAAkBF,IAE9DG,EAA+B,CACjCf,UAAW,SACXE,MAAO,OAAQG,IAAK,IACpBC,SAAU,CAAC,CAACJ,MAAO,MAAO,CAACA,MAAO,MAAO,CAACA,MAAO,MAAOQ,IAEtDM,EAAqCrB,EAAKa,QAAQO,EAA8B,CAClFN,QAAS,KACTH,SAAU,CAAC,CAACJ,MAAO,MAAO,CAACA,MAAO,MAAO,CAACA,MAAO,MAAOU,KAE1DF,EAAMJ,SAAW,CACfS,EACAF,EACAT,EACAT,EAAKsB,iBACLtB,EAAKuB,kBACLnB,EACAJ,EAAKwB,sBAEPP,EAAYN,SAAW,CACrBU,EACAH,EACAN,EACAZ,EAAKsB,iBACLtB,EAAKuB,kBACLnB,EACAJ,EAAKa,QAAQb,EAAKwB,qBAAsB,CAACV,QAAS,QAEpD,IAAIW,EAAS,CACXnB,SAAU,CACRc,EACAF,EACAT,EACAT,EAAKsB,iBACLtB,EAAKuB,oBAILG,EAAgB1B,EAAK2B,SAAW,KAAO3B,EAAK2B,SAAW,aAAe3B,EAAK2B,SAAW,iBAE1F,MAAO,CACLC,QAAS,CAAC,SAAU,MACpBZ,SAAUf,EACVa,QAAS,KACTH,SAAU,CACRX,EAAK6B,QACH,MACA,IACA,CACEC,aAAa,EACbnB,SAAU,CACR,CACEN,UAAW,SACXC,SAAU,CACR,CACEC,MAAO,MAAOC,UAAW,GAE3B,CACED,MAAO,kBAET,CACEA,MAAO,MAAOG,IAAK,UAO/BV,EAAK+B,oBACL/B,EAAKwB,qBACL,CACEnB,UAAW,OACXE,MAAO,IAAKG,IAAK,IACjBM,SAAU,CACR,eAAgB,wFAGpBS,EACArB,EACA,CACE4B,cAAe,kBAAmBtB,IAAK,QACvCI,QAAS,UACTH,SAAU,CACRX,EAAKiC,WACLjC,EAAK+B,oBACL/B,EAAKwB,uBAGT,CACEQ,cAAe,YAAatB,IAAK,QACjCI,QAAS,SACTH,SAAU,CACRX,EAAKa,QAAQb,EAAKiC,WAAY,CAAC1B,MAAO,uBACtCP,EAAK+B,oBACL/B,EAAKwB,uBAGT,CAEEnB,UAAW,OACXE,MAAO,WAAY2B,cAAc,EAAMxB,IAAK,MAAOyB,YAAY,EAC/DxB,SAAU,CACR,CAACN,UAAW,cAAeE,MAAO,IAAKG,IAAK,OAGhD,CAGEsB,cAAe,8BACfxB,UAAW,GAEb,CACEH,UAAW,WACXE,MAAO,IAAMmB,EAAgB,SAAW1B,EAAK2B,SAAW,UAAWG,aAAa,EAChFpB,IAAK,WAAYyB,YAAY,EAC7BnB,SAAUf,EACVU,SAAU,CACR,CACEJ,MAAOP,EAAK2B,SAAW,UAAWG,aAAa,EAC/CnB,SAAU,CAACX,EAAKiC,YAChBzB,UAAW,GAEb,CACEH,UAAW,SACXE,MAAO,KAAMG,IAAK,KAClBwB,cAAc,EACdC,YAAY,EACZnB,SAAUf,EACVO,UAAW,EACXG,SAAU,CACRc,EACArB,EACAJ,EAAKwB,uBAGTxB,EAAK+B,oBACL/B,EAAKwB","file":"highlight/cs.js?v=f492adc31805a0412549","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n // Normal keywords.\n 'abstract as base bool break byte case catch char checked const continue decimal ' +\n 'default delegate do double enum event explicit extern finally fixed float ' +\n 'for foreach goto if implicit in int interface internal is lock long ' +\n 'object operator out override params private protected public readonly ref sbyte ' +\n 'sealed short sizeof stackalloc static string struct switch this try typeof ' +\n 'uint ulong unchecked unsafe ushort using virtual void volatile while ' +\n // Contextual keywords.\n 'add alias ascending async await by descending dynamic equals from get global group into join ' +\n 'let nameof on orderby partial remove select set value var when where yield',\n literal:\n 'null false true'\n };\n var NUMBERS = {\n className: 'number',\n variants: [\n { begin: '\\\\b(0b[01\\']+)' },\n { begin: '(-?)\\\\b([\\\\d\\']+(\\\\.[\\\\d\\']*)?|\\\\.[\\\\d\\']+)(u|U|l|L|ul|UL|f|F|b|B)' },\n { begin: '(-?)(\\\\b0[xX][a-fA-F0-9\\']+|(\\\\b[\\\\d\\']+(\\\\.[\\\\d\\']*)?|\\\\.[\\\\d\\']+)([eE][-+]?[\\\\d\\']+)?)' }\n ],\n relevance: 0\n };\n var VERBATIM_STRING = {\n className: 'string',\n begin: '@\"', end: '\"',\n contains: [{begin: '\"\"'}]\n };\n var VERBATIM_STRING_NO_LF = hljs.inherit(VERBATIM_STRING, {illegal: /\\n/});\n var SUBST = {\n className: 'subst',\n begin: '{', end: '}',\n keywords: KEYWORDS\n };\n var SUBST_NO_LF = hljs.inherit(SUBST, {illegal: /\\n/});\n var INTERPOLATED_STRING = {\n className: 'string',\n begin: /\\$\"/, end: '\"',\n illegal: /\\n/,\n contains: [{begin: '{{'}, {begin: '}}'}, hljs.BACKSLASH_ESCAPE, SUBST_NO_LF]\n };\n var INTERPOLATED_VERBATIM_STRING = {\n className: 'string',\n begin: /\\$@\"/, end: '\"',\n contains: [{begin: '{{'}, {begin: '}}'}, {begin: '\"\"'}, SUBST]\n };\n var INTERPOLATED_VERBATIM_STRING_NO_LF = hljs.inherit(INTERPOLATED_VERBATIM_STRING, {\n illegal: /\\n/,\n contains: [{begin: '{{'}, {begin: '}}'}, {begin: '\"\"'}, SUBST_NO_LF]\n });\n SUBST.contains = [\n INTERPOLATED_VERBATIM_STRING,\n INTERPOLATED_STRING,\n VERBATIM_STRING,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n NUMBERS,\n hljs.C_BLOCK_COMMENT_MODE\n ];\n SUBST_NO_LF.contains = [\n INTERPOLATED_VERBATIM_STRING_NO_LF,\n INTERPOLATED_STRING,\n VERBATIM_STRING_NO_LF,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n NUMBERS,\n hljs.inherit(hljs.C_BLOCK_COMMENT_MODE, {illegal: /\\n/})\n ];\n var STRING = {\n variants: [\n INTERPOLATED_VERBATIM_STRING,\n INTERPOLATED_STRING,\n VERBATIM_STRING,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n };\n\n var TYPE_IDENT_RE = hljs.IDENT_RE + '(<' + hljs.IDENT_RE + '(\\\\s*,\\\\s*' + hljs.IDENT_RE + ')*>)?(\\\\[\\\\])?';\n\n return {\n aliases: ['csharp', 'c#'],\n keywords: KEYWORDS,\n illegal: /::/,\n contains: [\n hljs.COMMENT(\n '///',\n '$',\n {\n returnBegin: true,\n contains: [\n {\n className: 'doctag',\n variants: [\n {\n begin: '///', relevance: 0\n },\n {\n begin: '<!--|-->'\n },\n {\n begin: '</?', end: '>'\n }\n ]\n }\n ]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'meta',\n begin: '#', end: '$',\n keywords: {\n 'meta-keyword': 'if else elif endif define undef warning error line region endregion pragma checksum'\n }\n },\n STRING,\n NUMBERS,\n {\n beginKeywords: 'class interface', end: /[{;=]/,\n illegal: /[^\\s:,]/,\n contains: [\n hljs.TITLE_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n {\n beginKeywords: 'namespace', end: /[{;=]/,\n illegal: /[^\\s:]/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: '[a-zA-Z](\\\\.?\\\\w)*'}),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n {\n // [Attributes(\"\")]\n className: 'meta',\n begin: '^\\\\s*\\\\[', excludeBegin: true, end: '\\\\]', excludeEnd: true,\n contains: [\n {className: 'meta-string', begin: /\"/, end: /\"/}\n ]\n },\n {\n // Expression keywords prevent 'keyword Name(...)' from being\n // recognized as a function definition\n beginKeywords: 'new return throw await else',\n relevance: 0\n },\n {\n className: 'function',\n begin: '(' + TYPE_IDENT_RE + '\\\\s+)+' + hljs.IDENT_RE + '\\\\s*\\\\(', returnBegin: true,\n end: /\\s*[{;=]/, excludeEnd: true,\n keywords: KEYWORDS,\n contains: [\n {\n begin: hljs.IDENT_RE + '\\\\s*\\\\(', returnBegin: true,\n contains: [hljs.TITLE_MODE],\n relevance: 0\n },\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n keywords: KEYWORDS,\n relevance: 0,\n contains: [\n STRING,\n NUMBERS,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/csp.js b/js/highlight/csp.js
index e4c07cede..b3d0a929c 100644
--- a/js/highlight/csp.js
+++ b/js/highlight/csp.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[38],{404:function(e,s){e.exports=function(e){return{case_insensitive:!1,lexemes:"[a-zA-Z][a-zA-Z0-9_-]*",keywords:{keyword:"base-uri child-src connect-src default-src font-src form-action frame-ancestors frame-src img-src media-src object-src plugin-types report-uri sandbox script-src style-src"},contains:[{className:"string",begin:"'",end:"'"},{className:"attribute",begin:"^Content",end:":",excludeEnd:!0}]}}}}]);
-//# sourceMappingURL=csp.js.map?v=06cc48e69fd68d1ce5af \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[38],{405:function(e,s){e.exports=function(e){return{case_insensitive:!1,lexemes:"[a-zA-Z][a-zA-Z0-9_-]*",keywords:{keyword:"base-uri child-src connect-src default-src font-src form-action frame-ancestors frame-src img-src media-src object-src plugin-types report-uri sandbox script-src style-src"},contains:[{className:"string",begin:"'",end:"'"},{className:"attribute",begin:"^Content",end:":",excludeEnd:!0}]}}}}]);
+//# sourceMappingURL=csp.js.map?v=fe3bd1b963f4b889cf8e \ No newline at end of file
diff --git a/js/highlight/csp.js.map b/js/highlight/csp.js.map
index cd191cab7..ef9c55765 100644
--- a/js/highlight/csp.js.map
+++ b/js/highlight/csp.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/csp.js"],"names":["module","exports","hljs","case_insensitive","lexemes","keywords","keyword","contains","className","begin","end","excludeEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,yBACTC,SAAU,CACRC,QAAS,+KAIXC,SAAU,CACV,CACEC,UAAW,SACXC,MAAO,IAAKC,IAAK,KAEnB,CACEF,UAAW,YACXC,MAAO,WAAYC,IAAK,IAAKC,YAAY","file":"highlight/csp.js?v=06cc48e69fd68d1ce5af","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: false,\n lexemes: '[a-zA-Z][a-zA-Z0-9_-]*',\n keywords: {\n keyword: 'base-uri child-src connect-src default-src font-src form-action' +\n ' frame-ancestors frame-src img-src media-src object-src plugin-types' +\n ' report-uri sandbox script-src style-src',\n },\n contains: [\n {\n className: 'string',\n begin: \"'\", end: \"'\"\n },\n {\n className: 'attribute',\n begin: '^Content', end: ':', excludeEnd: true,\n },\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/csp.js"],"names":["module","exports","hljs","case_insensitive","lexemes","keywords","keyword","contains","className","begin","end","excludeEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,yBACTC,SAAU,CACRC,QAAS,+KAIXC,SAAU,CACV,CACEC,UAAW,SACXC,MAAO,IAAKC,IAAK,KAEnB,CACEF,UAAW,YACXC,MAAO,WAAYC,IAAK,IAAKC,YAAY","file":"highlight/csp.js?v=fe3bd1b963f4b889cf8e","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: false,\n lexemes: '[a-zA-Z][a-zA-Z0-9_-]*',\n keywords: {\n keyword: 'base-uri child-src connect-src default-src font-src form-action' +\n ' frame-ancestors frame-src img-src media-src object-src plugin-types' +\n ' report-uri sandbox script-src style-src',\n },\n contains: [\n {\n className: 'string',\n begin: \"'\", end: \"'\"\n },\n {\n className: 'attribute',\n begin: '^Content', end: ':', excludeEnd: true,\n },\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/css.js b/js/highlight/css.js
index a654225e1..413b91517 100644
--- a/js/highlight/css.js
+++ b/js/highlight/css.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[39],{405:function(e,n){e.exports=function(e){var n={begin:/(?:[A-Z\_\.\-]+|--[a-zA-Z0-9_-]+)\s*:/,returnBegin:!0,end:";",endsWithParent:!0,contains:[{className:"attribute",begin:/\S/,end:":",excludeEnd:!0,starts:{endsWithParent:!0,excludeEnd:!0,contains:[{begin:/[\w-]+\(/,returnBegin:!0,contains:[{className:"built_in",begin:/[\w-]+/},{begin:/\(/,end:/\)/,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]}]},e.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_BLOCK_COMMENT_MODE,{className:"number",begin:"#[0-9A-Fa-f]+"},{className:"meta",begin:"!important"}]}}]};return{case_insensitive:!0,illegal:/[=\/|'\$]/,contains:[e.C_BLOCK_COMMENT_MODE,{className:"selector-id",begin:/#[A-Za-z0-9_-]+/},{className:"selector-class",begin:/\.[A-Za-z0-9_-]+/},{className:"selector-attr",begin:/\[/,end:/\]/,illegal:"$"},{className:"selector-pseudo",begin:/:(:)?[a-zA-Z0-9\_\-\+\(\)"'.]+/},{begin:"@(font-face|page)",lexemes:"[a-z-]+",keywords:"font-face page"},{begin:"@",end:"[{;]",illegal:/:/,contains:[{className:"keyword",begin:/\w+/},{begin:/\s/,endsWithParent:!0,excludeEnd:!0,relevance:0,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.CSS_NUMBER_MODE]}]},{className:"selector-tag",begin:"[a-zA-Z-][a-zA-Z0-9_-]*",relevance:0},{begin:"{",end:"}",illegal:/\S/,contains:[e.C_BLOCK_COMMENT_MODE,n]}]}}}}]);
-//# sourceMappingURL=css.js.map?v=30d1fed026d1d9dd19d9 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[39],{406:function(e,n){e.exports=function(e){var n={begin:/(?:[A-Z\_\.\-]+|--[a-zA-Z0-9_-]+)\s*:/,returnBegin:!0,end:";",endsWithParent:!0,contains:[{className:"attribute",begin:/\S/,end:":",excludeEnd:!0,starts:{endsWithParent:!0,excludeEnd:!0,contains:[{begin:/[\w-]+\(/,returnBegin:!0,contains:[{className:"built_in",begin:/[\w-]+/},{begin:/\(/,end:/\)/,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.CSS_NUMBER_MODE]}]},e.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_BLOCK_COMMENT_MODE,{className:"number",begin:"#[0-9A-Fa-f]+"},{className:"meta",begin:"!important"}]}}]};return{case_insensitive:!0,illegal:/[=\/|'\$]/,contains:[e.C_BLOCK_COMMENT_MODE,{className:"selector-id",begin:/#[A-Za-z0-9_-]+/},{className:"selector-class",begin:/\.[A-Za-z0-9_-]+/},{className:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},{className:"selector-pseudo",begin:/:(:)?[a-zA-Z0-9\_\-\+\(\)"'.]+/},{begin:"@(page|font-face)",lexemes:"@[a-z-]+",keywords:"@page @font-face"},{begin:"@",end:"[{;]",illegal:/:/,returnBegin:!0,contains:[{className:"keyword",begin:/@\-?\w[\w]*(\-\w+)*/},{begin:/\s/,endsWithParent:!0,excludeEnd:!0,relevance:0,keywords:"and or not only",contains:[{begin:/[a-z-]+:/,className:"attribute"},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.CSS_NUMBER_MODE]}]},{className:"selector-tag",begin:"[a-zA-Z-][a-zA-Z0-9_-]*",relevance:0},{begin:"{",end:"}",illegal:/\S/,contains:[e.C_BLOCK_COMMENT_MODE,n]}]}}}}]);
+//# sourceMappingURL=css.js.map?v=239e072113e160b67e91 \ No newline at end of file
diff --git a/js/highlight/css.js.map b/js/highlight/css.js.map
index 43bdae656..380078fbf 100644
--- a/js/highlight/css.js.map
+++ b/js/highlight/css.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/css.js"],"names":["module","exports","hljs","RULE","begin","returnBegin","end","endsWithParent","contains","className","excludeEnd","starts","APOS_STRING_MODE","QUOTE_STRING_MODE","CSS_NUMBER_MODE","C_BLOCK_COMMENT_MODE","case_insensitive","illegal","lexemes","keywords","relevance"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IACIC,EAAO,CACTC,MAAO,wCAAyCC,aAAa,EAAMC,IAAK,IAAKC,gBAAgB,EAC7FC,SAAU,CACR,CACEC,UAAW,YACXL,MAAO,KAAME,IAAK,IAAKI,YAAY,EACnCC,OAAQ,CACNJ,gBAAgB,EAAMG,YAAY,EAClCF,SAAU,CACR,CACEJ,MAAO,WAAYC,aAAa,EAChCG,SAAU,CACR,CACEC,UAAW,WACXL,MAAO,UAET,CACEA,MAAO,KAAME,IAAK,KAClBE,SAAU,CACRN,EAAKU,iBACLV,EAAKW,sBAKbX,EAAKY,gBACLZ,EAAKW,kBACLX,EAAKU,iBACLV,EAAKa,qBACL,CACEN,UAAW,SAAUL,MAAO,iBAE9B,CACEK,UAAW,OAAQL,MAAO,mBAQtC,MAAO,CACLY,kBAAkB,EAClBC,QAAS,YACTT,SAAU,CACRN,EAAKa,qBACL,CACEN,UAAW,cAAeL,MAAO,mBAEnC,CACEK,UAAW,iBAAkBL,MAAO,oBAEtC,CACEK,UAAW,gBACXL,MAAO,KAAME,IAAK,KAClBW,QAAS,KAEX,CACER,UAAW,kBACXL,MAAO,kCAET,CACEA,MAAO,oBACPc,QAAS,UACTC,SAAU,kBAEZ,CACEf,MAAO,IAAKE,IAAK,OAIjBW,QAAS,IACTT,SAAU,CACR,CACEC,UAAW,UACXL,MAAO,OAET,CACEA,MAAO,KAAMG,gBAAgB,EAAMG,YAAY,EAC/CU,UAAW,EACXZ,SAAU,CACRN,EAAKU,iBAAkBV,EAAKW,kBAC5BX,EAAKY,oBAKb,CACEL,UAAW,eAAgBL,MAzFlB,0BA0FTgB,UAAW,GAEb,CACEhB,MAAO,IAAKE,IAAK,IACjBW,QAAS,KACTT,SAAU,CACRN,EAAKa,qBACLZ","file":"highlight/css.js?v=30d1fed026d1d9dd19d9","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[a-zA-Z-][a-zA-Z0-9_-]*';\n var RULE = {\n begin: /(?:[A-Z\\_\\.\\-]+|--[a-zA-Z0-9_-]+)\\s*:/, returnBegin: true, end: ';', endsWithParent: true,\n contains: [\n {\n className: 'attribute',\n begin: /\\S/, end: ':', excludeEnd: true,\n starts: {\n endsWithParent: true, excludeEnd: true,\n contains: [\n {\n begin: /[\\w-]+\\(/, returnBegin: true,\n contains: [\n {\n className: 'built_in',\n begin: /[\\w-]+/\n },\n {\n begin: /\\(/, end: /\\)/,\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n }\n ]\n },\n hljs.CSS_NUMBER_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'number', begin: '#[0-9A-Fa-f]+'\n },\n {\n className: 'meta', begin: '!important'\n }\n ]\n }\n }\n ]\n };\n\n return {\n case_insensitive: true,\n illegal: /[=\\/|'\\$]/,\n contains: [\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'selector-id', begin: /#[A-Za-z0-9_-]+/\n },\n {\n className: 'selector-class', begin: /\\.[A-Za-z0-9_-]+/\n },\n {\n className: 'selector-attr',\n begin: /\\[/, end: /\\]/,\n illegal: '$'\n },\n {\n className: 'selector-pseudo',\n begin: /:(:)?[a-zA-Z0-9\\_\\-\\+\\(\\)\"'.]+/\n },\n {\n begin: '@(font-face|page)',\n lexemes: '[a-z-]+',\n keywords: 'font-face page'\n },\n {\n begin: '@', end: '[{;]', // at_rule eating first \"{\" is a good thing\n // because it doesn’t let it to be parsed as\n // a rule set but instead drops parser into\n // the default mode which is how it should be.\n illegal: /:/, // break on Less variables @var: ...\n contains: [\n {\n className: 'keyword',\n begin: /\\w+/\n },\n {\n begin: /\\s/, endsWithParent: true, excludeEnd: true,\n relevance: 0,\n contains: [\n hljs.APOS_STRING_MODE, hljs.QUOTE_STRING_MODE,\n hljs.CSS_NUMBER_MODE\n ]\n }\n ]\n },\n {\n className: 'selector-tag', begin: IDENT_RE,\n relevance: 0\n },\n {\n begin: '{', end: '}',\n illegal: /\\S/,\n contains: [\n hljs.C_BLOCK_COMMENT_MODE,\n RULE,\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/css.js"],"names":["module","exports","hljs","RULE","begin","returnBegin","end","endsWithParent","contains","className","excludeEnd","starts","APOS_STRING_MODE","QUOTE_STRING_MODE","CSS_NUMBER_MODE","C_BLOCK_COMMENT_MODE","case_insensitive","illegal","lexemes","keywords","relevance"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IA0CIC,EAAO,CACTC,MAAO,wCAAyCC,aAAa,EAAMC,IAAK,IAAKC,gBAAgB,EAC7FC,SAAU,CA3BI,CACdC,UAAW,YACXL,MAAO,KAAME,IAAK,IAAKI,YAAY,EACnCC,OAAQ,CACNJ,gBAAgB,EAAMG,YAAY,EAClCF,SAAU,CAtBM,CAClBJ,MAAO,WAAYC,aAAa,EAChCG,SAAU,CACR,CACEC,UAAW,WACXL,MAAO,UAET,CACEA,MAAO,KAAME,IAAK,KAClBE,SAAU,CACRN,EAAKU,iBACLV,EAAKW,kBACLX,EAAKY,oBAYPZ,EAAKY,gBACLZ,EAAKW,kBACLX,EAAKU,iBACLV,EAAKa,qBACL,CACEN,UAAW,SAAUL,MAAO,iBAE9B,CACEK,UAAW,OAAQL,MAAO,mBAiBlC,MAAO,CACLY,kBAAkB,EAClBC,QAAS,YACTT,SAAU,CACRN,EAAKa,qBACL,CACEN,UAAW,cAAeL,MAAO,mBAEnC,CACEK,UAAW,iBAAkBL,MAAO,oBAEtC,CACEK,UAAW,gBACXL,MAAO,KAAME,IAAK,KAClBW,QAAS,IACTT,SAAU,CACRN,EAAKU,iBACLV,EAAKW,oBAGT,CACEJ,UAAW,kBACXL,MAAO,kCAKT,CACEA,MAAO,oBACPc,QAzCc,WA0CdC,SAAU,oBAEZ,CACEf,MAAO,IAAKE,IAAK,OAIjBW,QAAS,IACTZ,aAAa,EACbG,SAAU,CACR,CACEC,UAAW,UACXL,MAnDW,uBAqDb,CACEA,MAAO,KAAMG,gBAAgB,EAAMG,YAAY,EAC/CU,UAAW,EACXD,SA1DS,kBA2DTX,SAAU,CACR,CACEJ,MAAO,WACPK,UAAU,aAEZP,EAAKU,iBACLV,EAAKW,kBACLX,EAAKY,oBAKb,CACEL,UAAW,eAAgBL,MArElB,0BAsETgB,UAAW,GAEb,CACEhB,MAAO,IAAKE,IAAK,IACjBW,QAAS,KACTT,SAAU,CACRN,EAAKa,qBACLZ","file":"highlight/css.js?v=239e072113e160b67e91","sourcesContent":["module.exports = function(hljs) {\n var FUNCTION_LIKE = {\n begin: /[\\w-]+\\(/, returnBegin: true,\n contains: [\n {\n className: 'built_in',\n begin: /[\\w-]+/\n },\n {\n begin: /\\(/, end: /\\)/,\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.CSS_NUMBER_MODE,\n ]\n }\n ]\n }\n var ATTRIBUTE = {\n className: 'attribute',\n begin: /\\S/, end: ':', excludeEnd: true,\n starts: {\n endsWithParent: true, excludeEnd: true,\n contains: [\n FUNCTION_LIKE,\n hljs.CSS_NUMBER_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'number', begin: '#[0-9A-Fa-f]+'\n },\n {\n className: 'meta', begin: '!important'\n }\n ]\n }\n }\n var AT_IDENTIFIER = '@[a-z-]+' // @font-face\n var AT_MODIFIERS = \"and or not only\"\n var MEDIA_TYPES = \"all print screen speech\"\n var AT_PROPERTY_RE = /@\\-?\\w[\\w]*(\\-\\w+)*/ // @-webkit-keyframes\n var IDENT_RE = '[a-zA-Z-][a-zA-Z0-9_-]*';\n var RULE = {\n begin: /(?:[A-Z\\_\\.\\-]+|--[a-zA-Z0-9_-]+)\\s*:/, returnBegin: true, end: ';', endsWithParent: true,\n contains: [\n ATTRIBUTE\n ]\n };\n\n return {\n case_insensitive: true,\n illegal: /[=\\/|'\\$]/,\n contains: [\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'selector-id', begin: /#[A-Za-z0-9_-]+/\n },\n {\n className: 'selector-class', begin: /\\.[A-Za-z0-9_-]+/\n },\n {\n className: 'selector-attr',\n begin: /\\[/, end: /\\]/,\n illegal: '$',\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n ]\n },\n {\n className: 'selector-pseudo',\n begin: /:(:)?[a-zA-Z0-9\\_\\-\\+\\(\\)\"'.]+/\n },\n // matching these here allows us to treat them more like regular CSS\n // rules so everything between the {} gets regular rule highlighting,\n // which is what we want for page and font-face\n {\n begin: '@(page|font-face)',\n lexemes: AT_IDENTIFIER,\n keywords: '@page @font-face'\n },\n {\n begin: '@', end: '[{;]', // at_rule eating first \"{\" is a good thing\n // because it doesn’t let it to be parsed as\n // a rule set but instead drops parser into\n // the default mode which is how it should be.\n illegal: /:/, // break on Less variables @var: ...\n returnBegin: true,\n contains: [\n {\n className: 'keyword',\n begin: AT_PROPERTY_RE\n },\n {\n begin: /\\s/, endsWithParent: true, excludeEnd: true,\n relevance: 0,\n keywords: AT_MODIFIERS,\n contains: [\n {\n begin: /[a-z-]+:/,\n className:\"attribute\"\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.CSS_NUMBER_MODE\n ]\n }\n ]\n },\n {\n className: 'selector-tag', begin: IDENT_RE,\n relevance: 0\n },\n {\n begin: '{', end: '}',\n illegal: /\\S/,\n contains: [\n hljs.C_BLOCK_COMMENT_MODE,\n RULE,\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/d.js b/js/highlight/d.js
index 5869f9dc4..ec32ba1d4 100644
--- a/js/highlight/d.js
+++ b/js/highlight/d.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[40],{406:function(e,a){e.exports=function(e){var a="((0|[1-9][\\d_]*)|0[bB][01_]+|0[xX]([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*))",d="\\\\(['\"\\?\\\\abfnrtv]|u[\\dA-Fa-f]{4}|[0-7]{1,3}|x[\\dA-Fa-f]{2}|U[\\dA-Fa-f]{8})|&[a-zA-Z\\d]{2,};",n={className:"number",begin:"\\b"+a+"(L|u|U|Lu|LU|uL|UL)?",relevance:0},t={className:"number",begin:"\\b(((0[xX](([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*)\\.([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*)|\\.?([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*))[pP][+-]?(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d))|((0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)(\\.\\d*|([eE][+-]?(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)))|\\d+\\.(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)|\\.(0|[1-9][\\d_]*)([eE][+-]?(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d))?))([fF]|L|i|[fF]i|Li)?|"+a+"(i|[fF]i|Li))",relevance:0},_={className:"string",begin:"'("+d+"|.)",end:"'",illegal:"."},i={className:"string",begin:'"',contains:[{begin:d,relevance:0}],end:'"[cwd]?'},r=e.COMMENT("\\/\\+","\\+\\/",{contains:["self"],relevance:10});return{lexemes:e.UNDERSCORE_IDENT_RE,keywords:{keyword:"abstract alias align asm assert auto body break byte case cast catch class const continue debug default delete deprecated do else enum export extern final finally for foreach foreach_reverse|10 goto if immutable import in inout int interface invariant is lazy macro mixin module new nothrow out override package pragma private protected public pure ref return scope shared static struct super switch synchronized template this throw try typedef typeid typeof union unittest version void volatile while with __FILE__ __LINE__ __gshared|10 __thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__",built_in:"bool cdouble cent cfloat char creal dchar delegate double dstring float function idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar wstring",literal:"false null true"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,{className:"string",begin:'x"[\\da-fA-F\\s\\n\\r]*"[cwd]?',relevance:10},i,{className:"string",begin:'[rq]"',end:'"[cwd]?',relevance:5},{className:"string",begin:"`",end:"`[cwd]?"},{className:"string",begin:'q"\\{',end:'\\}"'},t,n,_,{className:"meta",begin:"^#!",end:"$",relevance:5},{className:"meta",begin:"#(line)",end:"$",relevance:5},{className:"keyword",begin:"@[a-zA-Z_][a-zA-Z_\\d]*"}]}}}}]);
-//# sourceMappingURL=d.js.map?v=804b4b3ff6753c8c1c43 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[40],{407:function(e,a){e.exports=function(e){var a="((0|[1-9][\\d_]*)|0[bB][01_]+|0[xX]([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*))",d="\\\\(['\"\\?\\\\abfnrtv]|u[\\dA-Fa-f]{4}|[0-7]{1,3}|x[\\dA-Fa-f]{2}|U[\\dA-Fa-f]{8})|&[a-zA-Z\\d]{2,};",n={className:"number",begin:"\\b"+a+"(L|u|U|Lu|LU|uL|UL)?",relevance:0},t={className:"number",begin:"\\b(((0[xX](([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*)\\.([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*)|\\.?([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*))[pP][+-]?(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d))|((0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)(\\.\\d*|([eE][+-]?(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)))|\\d+\\.(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)|\\.(0|[1-9][\\d_]*)([eE][+-]?(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d))?))([fF]|L|i|[fF]i|Li)?|"+a+"(i|[fF]i|Li))",relevance:0},_={className:"string",begin:"'("+d+"|.)",end:"'",illegal:"."},i={className:"string",begin:'"',contains:[{begin:d,relevance:0}],end:'"[cwd]?'},r=e.COMMENT("\\/\\+","\\+\\/",{contains:["self"],relevance:10});return{lexemes:e.UNDERSCORE_IDENT_RE,keywords:{keyword:"abstract alias align asm assert auto body break byte case cast catch class const continue debug default delete deprecated do else enum export extern final finally for foreach foreach_reverse|10 goto if immutable import in inout int interface invariant is lazy macro mixin module new nothrow out override package pragma private protected public pure ref return scope shared static struct super switch synchronized template this throw try typedef typeid typeof union unittest version void volatile while with __FILE__ __LINE__ __gshared|10 __thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__",built_in:"bool cdouble cent cfloat char creal dchar delegate double dstring float function idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar wstring",literal:"false null true"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,{className:"string",begin:'x"[\\da-fA-F\\s\\n\\r]*"[cwd]?',relevance:10},i,{className:"string",begin:'[rq]"',end:'"[cwd]?',relevance:5},{className:"string",begin:"`",end:"`[cwd]?"},{className:"string",begin:'q"\\{',end:'\\}"'},t,n,_,{className:"meta",begin:"^#!",end:"$",relevance:5},{className:"meta",begin:"#(line)",end:"$",relevance:5},{className:"keyword",begin:"@[a-zA-Z_][a-zA-Z_\\d]*"}]}}}}]);
+//# sourceMappingURL=d.js.map?v=f39807cf7bdaf8526a15 \ No newline at end of file
diff --git a/js/highlight/d.js.map b/js/highlight/d.js.map
index 551ad62b9..67c9f0b66 100644
--- a/js/highlight/d.js.map
+++ b/js/highlight/d.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/d.js"],"names":["module","exports","hljs","integer_re","escape_sequence_re","D_INTEGER_MODE","className","begin","relevance","D_FLOAT_MODE","D_CHARACTER_MODE","end","illegal","D_STRING_MODE","contains","D_NESTING_COMMENT_MODE","COMMENT","lexemes","UNDERSCORE_IDENT_RE","keywords","keyword","built_in","literal","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"oFAAAA,EAAOC,QAgBP,SAASC,GAMP,IAuCEC,EAAa,2FAgBXC,EAAqB,yGAcrBC,EAAiB,CACnBC,UAAW,SACTC,MAAO,MAAQJ,EAAa,uBAC5BK,UAAW,GAOXC,EAAe,CACjBH,UAAW,SACXC,MAAO,8fAEHJ,EAFG,gBAIPK,UAAW,GAQTE,EAAmB,CACrBJ,UAAW,SACXC,MAAO,KAAQH,EAAqB,MAAOO,IAAK,IAChDC,QAAS,KAkBPC,EAAgB,CAClBP,UAAW,SACXC,MAAO,IACPO,SAAU,CAbY,CACtBP,MAAOH,EACPI,UAAW,IAYXG,IAAK,WAuFHI,EAAyBb,EAAKc,QAChC,SACA,SACA,CACEF,SAAU,CAAC,QACXN,UAAW,KAIf,MAAO,CACLS,QAASf,EAAKgB,oBACdC,SAxNe,CACfC,QACE,6mBAQFC,SACE,2KAGFC,QACE,mBA0MFR,SAAU,CACRZ,EAAKqB,oBACHrB,EAAKsB,qBACLT,EAvEkB,CACtBT,UAAW,SACXC,MAAO,iCACPC,UAAW,IAsEPK,EAhGgC,CACpCP,UAAW,SACXC,MAAO,QACPI,IAAK,UACLH,UAAW,GAQyB,CACpCF,UAAW,SACXC,MAAO,IACPI,IAAK,WAmBmB,CACxBL,UAAW,SACXC,MAAO,QACPI,IAAK,QA+DDF,EACAJ,EACAK,EAzDgB,CACpBJ,UAAW,OACXC,MAAO,MACPI,IAAK,IACLH,UAAW,GAQuB,CAClCF,UAAW,OACXC,MAAO,UACPI,IAAK,IACLH,UAAW,GAQU,CACrBF,UAAW,UACXC,MAAO","file":"highlight/d.js?v=804b4b3ff6753c8c1c43","sourcesContent":["module.exports = /**\n * Known issues:\n *\n * - invalid hex string literals will be recognized as a double quoted strings\n * but 'x' at the beginning of string will not be matched\n *\n * - delimited string literals are not checked for matching end delimiter\n * (not possible to do with js regexp)\n *\n * - content of token string is colored as a string (i.e. no keyword coloring inside a token string)\n * also, content of token string is not validated to contain only valid D tokens\n *\n * - special token sequence rule is not strictly following D grammar (anything following #line\n * up to the end of line is matched as special token sequence)\n */\n\nfunction(hljs) {\n /**\n * Language keywords\n *\n * @type {Object}\n */\n var D_KEYWORDS = {\n keyword:\n 'abstract alias align asm assert auto body break byte case cast catch class ' +\n 'const continue debug default delete deprecated do else enum export extern final ' +\n 'finally for foreach foreach_reverse|10 goto if immutable import in inout int ' +\n 'interface invariant is lazy macro mixin module new nothrow out override package ' +\n 'pragma private protected public pure ref return scope shared static struct ' +\n 'super switch synchronized template this throw try typedef typeid typeof union ' +\n 'unittest version void volatile while with __FILE__ __LINE__ __gshared|10 ' +\n '__thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__',\n built_in:\n 'bool cdouble cent cfloat char creal dchar delegate double dstring float function ' +\n 'idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar ' +\n 'wstring',\n literal:\n 'false null true'\n };\n\n /**\n * Number literal regexps\n *\n * @type {String}\n */\n var decimal_integer_re = '(0|[1-9][\\\\d_]*)',\n decimal_integer_nosus_re = '(0|[1-9][\\\\d_]*|\\\\d[\\\\d_]*|[\\\\d_]+?\\\\d)',\n binary_integer_re = '0[bB][01_]+',\n hexadecimal_digits_re = '([\\\\da-fA-F][\\\\da-fA-F_]*|_[\\\\da-fA-F][\\\\da-fA-F_]*)',\n hexadecimal_integer_re = '0[xX]' + hexadecimal_digits_re,\n\n decimal_exponent_re = '([eE][+-]?' + decimal_integer_nosus_re + ')',\n decimal_float_re = '(' + decimal_integer_nosus_re + '(\\\\.\\\\d*|' + decimal_exponent_re + ')|' +\n '\\\\d+\\\\.' + decimal_integer_nosus_re + decimal_integer_nosus_re + '|' +\n '\\\\.' + decimal_integer_re + decimal_exponent_re + '?' +\n ')',\n hexadecimal_float_re = '(0[xX](' +\n hexadecimal_digits_re + '\\\\.' + hexadecimal_digits_re + '|'+\n '\\\\.?' + hexadecimal_digits_re +\n ')[pP][+-]?' + decimal_integer_nosus_re + ')',\n\n integer_re = '(' +\n decimal_integer_re + '|' +\n binary_integer_re + '|' +\n hexadecimal_integer_re +\n ')',\n\n float_re = '(' +\n hexadecimal_float_re + '|' +\n decimal_float_re +\n ')';\n\n /**\n * Escape sequence supported in D string and character literals\n *\n * @type {String}\n */\n var escape_sequence_re = '\\\\\\\\(' +\n '[\\'\"\\\\?\\\\\\\\abfnrtv]|' + // common escapes\n 'u[\\\\dA-Fa-f]{4}|' + // four hex digit unicode codepoint\n '[0-7]{1,3}|' + // one to three octal digit ascii char code\n 'x[\\\\dA-Fa-f]{2}|' + // two hex digit ascii char code\n 'U[\\\\dA-Fa-f]{8}' + // eight hex digit unicode codepoint\n ')|' +\n '&[a-zA-Z\\\\d]{2,};'; // named character entity\n\n /**\n * D integer number literals\n *\n * @type {Object}\n */\n var D_INTEGER_MODE = {\n className: 'number',\n begin: '\\\\b' + integer_re + '(L|u|U|Lu|LU|uL|UL)?',\n relevance: 0\n };\n\n /**\n * [D_FLOAT_MODE description]\n * @type {Object}\n */\n var D_FLOAT_MODE = {\n className: 'number',\n begin: '\\\\b(' +\n float_re + '([fF]|L|i|[fF]i|Li)?|' +\n integer_re + '(i|[fF]i|Li)' +\n ')',\n relevance: 0\n };\n\n /**\n * D character literal\n *\n * @type {Object}\n */\n var D_CHARACTER_MODE = {\n className: 'string',\n begin: '\\'(' + escape_sequence_re + '|.)', end: '\\'',\n illegal: '.'\n };\n\n /**\n * D string escape sequence\n *\n * @type {Object}\n */\n var D_ESCAPE_SEQUENCE = {\n begin: escape_sequence_re,\n relevance: 0\n };\n\n /**\n * D double quoted string literal\n *\n * @type {Object}\n */\n var D_STRING_MODE = {\n className: 'string',\n begin: '\"',\n contains: [D_ESCAPE_SEQUENCE],\n end: '\"[cwd]?'\n };\n\n /**\n * D wysiwyg and delimited string literals\n *\n * @type {Object}\n */\n var D_WYSIWYG_DELIMITED_STRING_MODE = {\n className: 'string',\n begin: '[rq]\"',\n end: '\"[cwd]?',\n relevance: 5\n };\n\n /**\n * D alternate wysiwyg string literal\n *\n * @type {Object}\n */\n var D_ALTERNATE_WYSIWYG_STRING_MODE = {\n className: 'string',\n begin: '`',\n end: '`[cwd]?'\n };\n\n /**\n * D hexadecimal string literal\n *\n * @type {Object}\n */\n var D_HEX_STRING_MODE = {\n className: 'string',\n begin: 'x\"[\\\\da-fA-F\\\\s\\\\n\\\\r]*\"[cwd]?',\n relevance: 10\n };\n\n /**\n * D delimited string literal\n *\n * @type {Object}\n */\n var D_TOKEN_STRING_MODE = {\n className: 'string',\n begin: 'q\"\\\\{',\n end: '\\\\}\"'\n };\n\n /**\n * Hashbang support\n *\n * @type {Object}\n */\n var D_HASHBANG_MODE = {\n className: 'meta',\n begin: '^#!',\n end: '$',\n relevance: 5\n };\n\n /**\n * D special token sequence\n *\n * @type {Object}\n */\n var D_SPECIAL_TOKEN_SEQUENCE_MODE = {\n className: 'meta',\n begin: '#(line)',\n end: '$',\n relevance: 5\n };\n\n /**\n * D attributes\n *\n * @type {Object}\n */\n var D_ATTRIBUTE_MODE = {\n className: 'keyword',\n begin: '@[a-zA-Z_][a-zA-Z_\\\\d]*'\n };\n\n /**\n * D nesting comment\n *\n * @type {Object}\n */\n var D_NESTING_COMMENT_MODE = hljs.COMMENT(\n '\\\\/\\\\+',\n '\\\\+\\\\/',\n {\n contains: ['self'],\n relevance: 10\n }\n );\n\n return {\n lexemes: hljs.UNDERSCORE_IDENT_RE,\n keywords: D_KEYWORDS,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n D_NESTING_COMMENT_MODE,\n D_HEX_STRING_MODE,\n D_STRING_MODE,\n D_WYSIWYG_DELIMITED_STRING_MODE,\n D_ALTERNATE_WYSIWYG_STRING_MODE,\n D_TOKEN_STRING_MODE,\n D_FLOAT_MODE,\n D_INTEGER_MODE,\n D_CHARACTER_MODE,\n D_HASHBANG_MODE,\n D_SPECIAL_TOKEN_SEQUENCE_MODE,\n D_ATTRIBUTE_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/d.js"],"names":["module","exports","hljs","integer_re","escape_sequence_re","D_INTEGER_MODE","className","begin","relevance","D_FLOAT_MODE","D_CHARACTER_MODE","end","illegal","D_STRING_MODE","contains","D_NESTING_COMMENT_MODE","COMMENT","lexemes","UNDERSCORE_IDENT_RE","keywords","keyword","built_in","literal","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"oFAAAA,EAAOC,QAgBP,SAASC,GAMP,IAuCEC,EAAa,2FAgBXC,EAAqB,yGAcrBC,EAAiB,CACnBC,UAAW,SACTC,MAAO,MAAQJ,EAAa,uBAC5BK,UAAW,GAOXC,EAAe,CACjBH,UAAW,SACXC,MAAO,8fAEHJ,EAFG,gBAIPK,UAAW,GAQTE,EAAmB,CACrBJ,UAAW,SACXC,MAAO,KAAQH,EAAqB,MAAOO,IAAK,IAChDC,QAAS,KAkBPC,EAAgB,CAClBP,UAAW,SACXC,MAAO,IACPO,SAAU,CAbY,CACtBP,MAAOH,EACPI,UAAW,IAYXG,IAAK,WAuFHI,EAAyBb,EAAKc,QAChC,SACA,SACA,CACEF,SAAU,CAAC,QACXN,UAAW,KAIf,MAAO,CACLS,QAASf,EAAKgB,oBACdC,SAxNe,CACfC,QACE,6mBAQFC,SACE,2KAGFC,QACE,mBA0MFR,SAAU,CACRZ,EAAKqB,oBACHrB,EAAKsB,qBACLT,EAvEkB,CACtBT,UAAW,SACXC,MAAO,iCACPC,UAAW,IAsEPK,EAhGgC,CACpCP,UAAW,SACXC,MAAO,QACPI,IAAK,UACLH,UAAW,GAQyB,CACpCF,UAAW,SACXC,MAAO,IACPI,IAAK,WAmBmB,CACxBL,UAAW,SACXC,MAAO,QACPI,IAAK,QA+DDF,EACAJ,EACAK,EAzDgB,CACpBJ,UAAW,OACXC,MAAO,MACPI,IAAK,IACLH,UAAW,GAQuB,CAClCF,UAAW,OACXC,MAAO,UACPI,IAAK,IACLH,UAAW,GAQU,CACrBF,UAAW,UACXC,MAAO","file":"highlight/d.js?v=f39807cf7bdaf8526a15","sourcesContent":["module.exports = /**\n * Known issues:\n *\n * - invalid hex string literals will be recognized as a double quoted strings\n * but 'x' at the beginning of string will not be matched\n *\n * - delimited string literals are not checked for matching end delimiter\n * (not possible to do with js regexp)\n *\n * - content of token string is colored as a string (i.e. no keyword coloring inside a token string)\n * also, content of token string is not validated to contain only valid D tokens\n *\n * - special token sequence rule is not strictly following D grammar (anything following #line\n * up to the end of line is matched as special token sequence)\n */\n\nfunction(hljs) {\n /**\n * Language keywords\n *\n * @type {Object}\n */\n var D_KEYWORDS = {\n keyword:\n 'abstract alias align asm assert auto body break byte case cast catch class ' +\n 'const continue debug default delete deprecated do else enum export extern final ' +\n 'finally for foreach foreach_reverse|10 goto if immutable import in inout int ' +\n 'interface invariant is lazy macro mixin module new nothrow out override package ' +\n 'pragma private protected public pure ref return scope shared static struct ' +\n 'super switch synchronized template this throw try typedef typeid typeof union ' +\n 'unittest version void volatile while with __FILE__ __LINE__ __gshared|10 ' +\n '__thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__',\n built_in:\n 'bool cdouble cent cfloat char creal dchar delegate double dstring float function ' +\n 'idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar ' +\n 'wstring',\n literal:\n 'false null true'\n };\n\n /**\n * Number literal regexps\n *\n * @type {String}\n */\n var decimal_integer_re = '(0|[1-9][\\\\d_]*)',\n decimal_integer_nosus_re = '(0|[1-9][\\\\d_]*|\\\\d[\\\\d_]*|[\\\\d_]+?\\\\d)',\n binary_integer_re = '0[bB][01_]+',\n hexadecimal_digits_re = '([\\\\da-fA-F][\\\\da-fA-F_]*|_[\\\\da-fA-F][\\\\da-fA-F_]*)',\n hexadecimal_integer_re = '0[xX]' + hexadecimal_digits_re,\n\n decimal_exponent_re = '([eE][+-]?' + decimal_integer_nosus_re + ')',\n decimal_float_re = '(' + decimal_integer_nosus_re + '(\\\\.\\\\d*|' + decimal_exponent_re + ')|' +\n '\\\\d+\\\\.' + decimal_integer_nosus_re + decimal_integer_nosus_re + '|' +\n '\\\\.' + decimal_integer_re + decimal_exponent_re + '?' +\n ')',\n hexadecimal_float_re = '(0[xX](' +\n hexadecimal_digits_re + '\\\\.' + hexadecimal_digits_re + '|'+\n '\\\\.?' + hexadecimal_digits_re +\n ')[pP][+-]?' + decimal_integer_nosus_re + ')',\n\n integer_re = '(' +\n decimal_integer_re + '|' +\n binary_integer_re + '|' +\n hexadecimal_integer_re +\n ')',\n\n float_re = '(' +\n hexadecimal_float_re + '|' +\n decimal_float_re +\n ')';\n\n /**\n * Escape sequence supported in D string and character literals\n *\n * @type {String}\n */\n var escape_sequence_re = '\\\\\\\\(' +\n '[\\'\"\\\\?\\\\\\\\abfnrtv]|' + // common escapes\n 'u[\\\\dA-Fa-f]{4}|' + // four hex digit unicode codepoint\n '[0-7]{1,3}|' + // one to three octal digit ascii char code\n 'x[\\\\dA-Fa-f]{2}|' + // two hex digit ascii char code\n 'U[\\\\dA-Fa-f]{8}' + // eight hex digit unicode codepoint\n ')|' +\n '&[a-zA-Z\\\\d]{2,};'; // named character entity\n\n /**\n * D integer number literals\n *\n * @type {Object}\n */\n var D_INTEGER_MODE = {\n className: 'number',\n begin: '\\\\b' + integer_re + '(L|u|U|Lu|LU|uL|UL)?',\n relevance: 0\n };\n\n /**\n * [D_FLOAT_MODE description]\n * @type {Object}\n */\n var D_FLOAT_MODE = {\n className: 'number',\n begin: '\\\\b(' +\n float_re + '([fF]|L|i|[fF]i|Li)?|' +\n integer_re + '(i|[fF]i|Li)' +\n ')',\n relevance: 0\n };\n\n /**\n * D character literal\n *\n * @type {Object}\n */\n var D_CHARACTER_MODE = {\n className: 'string',\n begin: '\\'(' + escape_sequence_re + '|.)', end: '\\'',\n illegal: '.'\n };\n\n /**\n * D string escape sequence\n *\n * @type {Object}\n */\n var D_ESCAPE_SEQUENCE = {\n begin: escape_sequence_re,\n relevance: 0\n };\n\n /**\n * D double quoted string literal\n *\n * @type {Object}\n */\n var D_STRING_MODE = {\n className: 'string',\n begin: '\"',\n contains: [D_ESCAPE_SEQUENCE],\n end: '\"[cwd]?'\n };\n\n /**\n * D wysiwyg and delimited string literals\n *\n * @type {Object}\n */\n var D_WYSIWYG_DELIMITED_STRING_MODE = {\n className: 'string',\n begin: '[rq]\"',\n end: '\"[cwd]?',\n relevance: 5\n };\n\n /**\n * D alternate wysiwyg string literal\n *\n * @type {Object}\n */\n var D_ALTERNATE_WYSIWYG_STRING_MODE = {\n className: 'string',\n begin: '`',\n end: '`[cwd]?'\n };\n\n /**\n * D hexadecimal string literal\n *\n * @type {Object}\n */\n var D_HEX_STRING_MODE = {\n className: 'string',\n begin: 'x\"[\\\\da-fA-F\\\\s\\\\n\\\\r]*\"[cwd]?',\n relevance: 10\n };\n\n /**\n * D delimited string literal\n *\n * @type {Object}\n */\n var D_TOKEN_STRING_MODE = {\n className: 'string',\n begin: 'q\"\\\\{',\n end: '\\\\}\"'\n };\n\n /**\n * Hashbang support\n *\n * @type {Object}\n */\n var D_HASHBANG_MODE = {\n className: 'meta',\n begin: '^#!',\n end: '$',\n relevance: 5\n };\n\n /**\n * D special token sequence\n *\n * @type {Object}\n */\n var D_SPECIAL_TOKEN_SEQUENCE_MODE = {\n className: 'meta',\n begin: '#(line)',\n end: '$',\n relevance: 5\n };\n\n /**\n * D attributes\n *\n * @type {Object}\n */\n var D_ATTRIBUTE_MODE = {\n className: 'keyword',\n begin: '@[a-zA-Z_][a-zA-Z_\\\\d]*'\n };\n\n /**\n * D nesting comment\n *\n * @type {Object}\n */\n var D_NESTING_COMMENT_MODE = hljs.COMMENT(\n '\\\\/\\\\+',\n '\\\\+\\\\/',\n {\n contains: ['self'],\n relevance: 10\n }\n );\n\n return {\n lexemes: hljs.UNDERSCORE_IDENT_RE,\n keywords: D_KEYWORDS,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n D_NESTING_COMMENT_MODE,\n D_HEX_STRING_MODE,\n D_STRING_MODE,\n D_WYSIWYG_DELIMITED_STRING_MODE,\n D_ALTERNATE_WYSIWYG_STRING_MODE,\n D_TOKEN_STRING_MODE,\n D_FLOAT_MODE,\n D_INTEGER_MODE,\n D_CHARACTER_MODE,\n D_HASHBANG_MODE,\n D_SPECIAL_TOKEN_SEQUENCE_MODE,\n D_ATTRIBUTE_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/dart.js b/js/highlight/dart.js
index b86d00b5f..2fa497ba0 100644
--- a/js/highlight/dart.js
+++ b/js/highlight/dart.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[41],{407:function(e,n){e.exports=function(e){var n={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"}]},t={className:"subst",variants:[{begin:"\\${",end:"}"}],keywords:"true false null this is new super"},a={className:"string",variants:[{begin:"r'''",end:"'''"},{begin:'r"""',end:'"""'},{begin:"r'",end:"'",illegal:"\\n"},{begin:'r"',end:'"',illegal:"\\n"},{begin:"'''",end:"'''",contains:[e.BACKSLASH_ESCAPE,n,t]},{begin:'"""',end:'"""',contains:[e.BACKSLASH_ESCAPE,n,t]},{begin:"'",end:"'",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,n,t]},{begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,n,t]}]};t.contains=[e.C_NUMBER_MODE,a];return{keywords:{keyword:"abstract as assert async await break case catch class const continue covariant default deferred do dynamic else enum export extends extension external factory false final finally for Function get hide if implements import in inferface is library mixin new null on operator part rethrow return set show static super switch sync this throw true try typedef var void while with yield",built_in:"Comparable DateTime Duration Function Iterable Iterator List Map Match Null Object Pattern RegExp Set Stopwatch String StringBuffer StringSink Symbol Type Uri bool double dynamic int num print Element ElementList document querySelector querySelectorAll window"},contains:[a,e.COMMENT("/\\*\\*","\\*/",{subLanguage:"markdown"}),e.COMMENT("///+\\s*","$",{contains:[{subLanguage:"markdown",begin:".",end:"$"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"},{begin:"=>"}]}}}}]);
-//# sourceMappingURL=dart.js.map?v=e66fee2b0448bc1f6a9c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[41],{408:function(e,n){e.exports=function(e){var n={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"}]},t={className:"subst",variants:[{begin:"\\${",end:"}"}],keywords:"true false null this is new super"},a={className:"string",variants:[{begin:"r'''",end:"'''"},{begin:'r"""',end:'"""'},{begin:"r'",end:"'",illegal:"\\n"},{begin:'r"',end:'"',illegal:"\\n"},{begin:"'''",end:"'''",contains:[e.BACKSLASH_ESCAPE,n,t]},{begin:'"""',end:'"""',contains:[e.BACKSLASH_ESCAPE,n,t]},{begin:"'",end:"'",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,n,t]},{begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,n,t]}]};t.contains=[e.C_NUMBER_MODE,a];return{keywords:{keyword:"abstract as assert async await break case catch class const continue covariant default deferred do dynamic else enum export extends extension external factory false final finally for Function get hide if implements import in inferface is library mixin new null on operator part rethrow return set show static super switch sync this throw true try typedef var void while with yield",built_in:"Comparable DateTime Duration Function Iterable Iterator List Map Match Null Object Pattern RegExp Set Stopwatch String StringBuffer StringSink Symbol Type Uri bool double dynamic int num print Element ElementList document querySelector querySelectorAll window"},contains:[a,e.COMMENT("/\\*\\*","\\*/",{subLanguage:"markdown"}),e.COMMENT("///+\\s*","$",{contains:[{subLanguage:"markdown",begin:".",end:"$"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"},{begin:"=>"}]}}}}]);
+//# sourceMappingURL=dart.js.map?v=9b1df6b3d1dd1115e1e8 \ No newline at end of file
diff --git a/js/highlight/dart.js.map b/js/highlight/dart.js.map
index fb0f3d15b..6770422fb 100644
--- a/js/highlight/dart.js.map
+++ b/js/highlight/dart.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dart.js"],"names":["module","exports","hljs","SUBST","className","variants","begin","BRACED_SUBST","end","keywords","STRING","illegal","contains","BACKSLASH_ESCAPE","C_NUMBER_MODE","keyword","built_in","COMMENT","subLanguage","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","beginKeywords","excludeEnd","UNDERSCORE_TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAQ,CACVC,UAAW,QACXC,SAAU,CAAC,CACTC,MAAO,sBAIPC,EAAe,CACjBH,UAAW,QACXC,SAAU,CAAC,CACTC,MAAO,OACPE,IAAK,MAEPC,SAAU,qCAGRC,EAAS,CACXN,UAAW,SACXC,SAAU,CAAC,CACPC,MAAO,OACPE,IAAK,OAEP,CACEF,MAAO,OACPE,IAAK,OAEP,CACEF,MAAO,KACPE,IAAK,IACLG,QAAS,OAEX,CACEL,MAAO,KACPE,IAAK,IACLG,QAAS,OAEX,CACEL,MAAO,MACPE,IAAK,MACLI,SAAU,CAACV,EAAKW,iBAAkBV,EAAOI,IAE3C,CACED,MAAO,MACPE,IAAK,MACLI,SAAU,CAACV,EAAKW,iBAAkBV,EAAOI,IAE3C,CACED,MAAO,IACPE,IAAK,IACLG,QAAS,MACTC,SAAU,CAACV,EAAKW,iBAAkBV,EAAOI,IAE3C,CACED,MAAO,IACPE,IAAK,IACLG,QAAS,MACTC,SAAU,CAACV,EAAKW,iBAAkBV,EAAOI,MAI/CA,EAAaK,SAAW,CACtBV,EAAKY,cAAeJ,GAgBtB,MAAO,CACLD,SAda,CACbM,QAAS,+XAITC,SAEE,uQAQFJ,SAAU,CACRF,EACAR,EAAKe,QACH,UACA,OAAQ,CACNC,YAAa,aAGjBhB,EAAKe,QACH,WACA,IAAK,CACHL,SAAU,CAAC,CACTM,YAAa,WACbZ,MAAO,IACPE,IAAK,QAIXN,EAAKiB,oBACLjB,EAAKkB,qBACL,CACEhB,UAAW,QACXiB,cAAe,kBACfb,IAAK,IACLc,YAAY,EACZV,SAAU,CAAC,CACPS,cAAe,sBAEjBnB,EAAKqB,wBAGTrB,EAAKY,cACL,CACEV,UAAW,OACXE,MAAO,cAET,CACEA,MAAO","file":"highlight/dart.js?v=e66fee2b0448bc1f6a9c","sourcesContent":["module.exports = function(hljs) {\n var SUBST = {\n className: 'subst',\n variants: [{\n begin: '\\\\$[A-Za-z0-9_]+'\n }],\n };\n\n var BRACED_SUBST = {\n className: 'subst',\n variants: [{\n begin: '\\\\${',\n end: '}'\n }, ],\n keywords: 'true false null this is new super',\n };\n\n var STRING = {\n className: 'string',\n variants: [{\n begin: 'r\\'\\'\\'',\n end: '\\'\\'\\''\n },\n {\n begin: 'r\"\"\"',\n end: '\"\"\"'\n },\n {\n begin: 'r\\'',\n end: '\\'',\n illegal: '\\\\n'\n },\n {\n begin: 'r\"',\n end: '\"',\n illegal: '\\\\n'\n },\n {\n begin: '\\'\\'\\'',\n end: '\\'\\'\\'',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, BRACED_SUBST]\n },\n {\n begin: '\"\"\"',\n end: '\"\"\"',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, BRACED_SUBST]\n },\n {\n begin: '\\'',\n end: '\\'',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, BRACED_SUBST]\n },\n {\n begin: '\"',\n end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, BRACED_SUBST]\n }\n ]\n };\n BRACED_SUBST.contains = [\n hljs.C_NUMBER_MODE, STRING\n ];\n\n var KEYWORDS = {\n keyword: 'abstract as assert async await break case catch class const continue covariant default deferred do ' +\n 'dynamic else enum export extends extension external factory false final finally for Function get hide if ' +\n 'implements import in inferface is library mixin new null on operator part rethrow return set show static ' +\n 'super switch sync this throw true try typedef var void while with yield',\n built_in:\n // dart:core\n 'Comparable DateTime Duration Function Iterable Iterator List Map Match Null Object Pattern RegExp Set ' +\n 'Stopwatch String StringBuffer StringSink Symbol Type Uri bool double dynamic int num print ' +\n // dart:html\n 'Element ElementList document querySelector querySelectorAll window'\n };\n\n return {\n keywords: KEYWORDS,\n contains: [\n STRING,\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/', {\n subLanguage: 'markdown'\n }\n ),\n hljs.COMMENT(\n '///+\\\\s*',\n '$', {\n contains: [{\n subLanguage: 'markdown',\n begin: '.',\n end: '$',\n }]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'class',\n beginKeywords: 'class interface',\n end: '{',\n excludeEnd: true,\n contains: [{\n beginKeywords: 'extends implements'\n },\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '@[A-Za-z]+'\n },\n {\n begin: '=>' // No markup, just a relevance booster\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dart.js"],"names":["module","exports","hljs","SUBST","className","variants","begin","BRACED_SUBST","end","keywords","STRING","illegal","contains","BACKSLASH_ESCAPE","C_NUMBER_MODE","keyword","built_in","COMMENT","subLanguage","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","beginKeywords","excludeEnd","UNDERSCORE_TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAQ,CACVC,UAAW,QACXC,SAAU,CAAC,CACTC,MAAO,sBAIPC,EAAe,CACjBH,UAAW,QACXC,SAAU,CAAC,CACTC,MAAO,OACPE,IAAK,MAEPC,SAAU,qCAGRC,EAAS,CACXN,UAAW,SACXC,SAAU,CAAC,CACPC,MAAO,OACPE,IAAK,OAEP,CACEF,MAAO,OACPE,IAAK,OAEP,CACEF,MAAO,KACPE,IAAK,IACLG,QAAS,OAEX,CACEL,MAAO,KACPE,IAAK,IACLG,QAAS,OAEX,CACEL,MAAO,MACPE,IAAK,MACLI,SAAU,CAACV,EAAKW,iBAAkBV,EAAOI,IAE3C,CACED,MAAO,MACPE,IAAK,MACLI,SAAU,CAACV,EAAKW,iBAAkBV,EAAOI,IAE3C,CACED,MAAO,IACPE,IAAK,IACLG,QAAS,MACTC,SAAU,CAACV,EAAKW,iBAAkBV,EAAOI,IAE3C,CACED,MAAO,IACPE,IAAK,IACLG,QAAS,MACTC,SAAU,CAACV,EAAKW,iBAAkBV,EAAOI,MAI/CA,EAAaK,SAAW,CACtBV,EAAKY,cAAeJ,GAgBtB,MAAO,CACLD,SAda,CACbM,QAAS,+XAITC,SAEE,uQAQFJ,SAAU,CACRF,EACAR,EAAKe,QACH,UACA,OAAQ,CACNC,YAAa,aAGjBhB,EAAKe,QACH,WACA,IAAK,CACHL,SAAU,CAAC,CACTM,YAAa,WACbZ,MAAO,IACPE,IAAK,QAIXN,EAAKiB,oBACLjB,EAAKkB,qBACL,CACEhB,UAAW,QACXiB,cAAe,kBACfb,IAAK,IACLc,YAAY,EACZV,SAAU,CAAC,CACPS,cAAe,sBAEjBnB,EAAKqB,wBAGTrB,EAAKY,cACL,CACEV,UAAW,OACXE,MAAO,cAET,CACEA,MAAO","file":"highlight/dart.js?v=9b1df6b3d1dd1115e1e8","sourcesContent":["module.exports = function(hljs) {\n var SUBST = {\n className: 'subst',\n variants: [{\n begin: '\\\\$[A-Za-z0-9_]+'\n }],\n };\n\n var BRACED_SUBST = {\n className: 'subst',\n variants: [{\n begin: '\\\\${',\n end: '}'\n }, ],\n keywords: 'true false null this is new super',\n };\n\n var STRING = {\n className: 'string',\n variants: [{\n begin: 'r\\'\\'\\'',\n end: '\\'\\'\\''\n },\n {\n begin: 'r\"\"\"',\n end: '\"\"\"'\n },\n {\n begin: 'r\\'',\n end: '\\'',\n illegal: '\\\\n'\n },\n {\n begin: 'r\"',\n end: '\"',\n illegal: '\\\\n'\n },\n {\n begin: '\\'\\'\\'',\n end: '\\'\\'\\'',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, BRACED_SUBST]\n },\n {\n begin: '\"\"\"',\n end: '\"\"\"',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, BRACED_SUBST]\n },\n {\n begin: '\\'',\n end: '\\'',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, BRACED_SUBST]\n },\n {\n begin: '\"',\n end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, BRACED_SUBST]\n }\n ]\n };\n BRACED_SUBST.contains = [\n hljs.C_NUMBER_MODE, STRING\n ];\n\n var KEYWORDS = {\n keyword: 'abstract as assert async await break case catch class const continue covariant default deferred do ' +\n 'dynamic else enum export extends extension external factory false final finally for Function get hide if ' +\n 'implements import in inferface is library mixin new null on operator part rethrow return set show static ' +\n 'super switch sync this throw true try typedef var void while with yield',\n built_in:\n // dart:core\n 'Comparable DateTime Duration Function Iterable Iterator List Map Match Null Object Pattern RegExp Set ' +\n 'Stopwatch String StringBuffer StringSink Symbol Type Uri bool double dynamic int num print ' +\n // dart:html\n 'Element ElementList document querySelector querySelectorAll window'\n };\n\n return {\n keywords: KEYWORDS,\n contains: [\n STRING,\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/', {\n subLanguage: 'markdown'\n }\n ),\n hljs.COMMENT(\n '///+\\\\s*',\n '$', {\n contains: [{\n subLanguage: 'markdown',\n begin: '.',\n end: '$',\n }]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'class',\n beginKeywords: 'class interface',\n end: '{',\n excludeEnd: true,\n contains: [{\n beginKeywords: 'extends implements'\n },\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '@[A-Za-z]+'\n },\n {\n begin: '=>' // No markup, just a relevance booster\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/delphi.js b/js/highlight/delphi.js
index 257776c83..b0b3b69be 100644
--- a/js/highlight/delphi.js
+++ b/js/highlight/delphi.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[42],{408:function(e,r){e.exports=function(e){var r="exports register file shl array record property for mod while set ally label uses raise not stored class safecall var interface or private static exit index inherited to else stdcall override shr asm far resourcestring finalization packed virtual out and protected library do xorwrite goto near function end div overload object unit begin string on inline repeat until destructor write message program with read initialization except default nil if case cdecl in downto threadvar of try pascal const external constructor type public then implementation finally published procedure absolute reintroduce operator as is abstract alias assembler bitpacked break continue cppdecl cvar enumerator experimental platform deprecated unimplemented dynamic export far16 forward generic helper implements interrupt iochecks local name nodefault noreturn nostackframe oldfpccall otherwise saveregisters softfloat specialize strict unaligned varargs ",a=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\{/,/\}/,{relevance:0}),e.COMMENT(/\(\*/,/\*\)/,{relevance:10})],t={className:"meta",variants:[{begin:/\{\$/,end:/\}/},{begin:/\(\*\$/,end:/\*\)/}]},n={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},s={className:"string",begin:/(#\d+)+/},i={begin:e.IDENT_RE+"\\s*=\\s*class\\s*\\(",returnBegin:!0,contains:[e.TITLE_MODE]},o={className:"function",beginKeywords:"function constructor destructor procedure",end:/[:;]/,keywords:"function constructor|10 destructor|10 procedure|10",contains:[e.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:r,contains:[n,s,t].concat(a)},t].concat(a)};return{aliases:["dpr","dfm","pas","pascal","freepascal","lazarus","lpr","lfm"],case_insensitive:!0,keywords:r,illegal:/"|\$[G-Zg-z]|\/\*|<\/|\|/,contains:[n,s,e.NUMBER_MODE,i,o,t].concat(a)}}}}]);
-//# sourceMappingURL=delphi.js.map?v=5da15a69249959cbdb60 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[42],{409:function(e,r){e.exports=function(e){var r="exports register file shl array record property for mod while set ally label uses raise not stored class safecall var interface or private static exit index inherited to else stdcall override shr asm far resourcestring finalization packed virtual out and protected library do xorwrite goto near function end div overload object unit begin string on inline repeat until destructor write message program with read initialization except default nil if case cdecl in downto threadvar of try pascal const external constructor type public then implementation finally published procedure absolute reintroduce operator as is abstract alias assembler bitpacked break continue cppdecl cvar enumerator experimental platform deprecated unimplemented dynamic export far16 forward generic helper implements interrupt iochecks local name nodefault noreturn nostackframe oldfpccall otherwise saveregisters softfloat specialize strict unaligned varargs ",a=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\{/,/\}/,{relevance:0}),e.COMMENT(/\(\*/,/\*\)/,{relevance:10})],t={className:"meta",variants:[{begin:/\{\$/,end:/\}/},{begin:/\(\*\$/,end:/\*\)/}]},n={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},s={className:"string",begin:/(#\d+)+/},i={begin:e.IDENT_RE+"\\s*=\\s*class\\s*\\(",returnBegin:!0,contains:[e.TITLE_MODE]},o={className:"function",beginKeywords:"function constructor destructor procedure",end:/[:;]/,keywords:"function constructor|10 destructor|10 procedure|10",contains:[e.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:r,contains:[n,s,t].concat(a)},t].concat(a)};return{aliases:["dpr","dfm","pas","pascal","freepascal","lazarus","lpr","lfm"],case_insensitive:!0,keywords:r,illegal:/"|\$[G-Zg-z]|\/\*|<\/|\|/,contains:[n,s,e.NUMBER_MODE,i,o,t].concat(a)}}}}]);
+//# sourceMappingURL=delphi.js.map?v=09b2d711deb25f958472 \ No newline at end of file
diff --git a/js/highlight/delphi.js.map b/js/highlight/delphi.js.map
index 161c6c35a..bfcf077cd 100644
--- a/js/highlight/delphi.js.map
+++ b/js/highlight/delphi.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/delphi.js"],"names":["module","exports","hljs","KEYWORDS","COMMENT_MODES","C_LINE_COMMENT_MODE","COMMENT","relevance","DIRECTIVE","className","variants","begin","end","STRING","contains","CHAR_STRING","CLASS","IDENT_RE","returnBegin","TITLE_MODE","FUNCTION","beginKeywords","keywords","concat","aliases","case_insensitive","illegal","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EACF,26BAWEC,EAAgB,CAClBF,EAAKG,oBACLH,EAAKI,QAAQ,KAAM,KAAM,CAACC,UAAW,IACrCL,EAAKI,QAAQ,OAAQ,OAAQ,CAACC,UAAW,MAEvCC,EAAY,CACdC,UAAW,OACXC,SAAU,CACR,CAACC,MAAO,OAAQC,IAAK,MACrB,CAACD,MAAO,SAAUC,IAAK,UAGvBC,EAAS,CACXJ,UAAW,SACXE,MAAO,IAAKC,IAAK,IACjBE,SAAU,CAAC,CAACH,MAAO,QAEjBI,EAAc,CAChBN,UAAW,SAAUE,MAAO,WAE1BK,EAAQ,CACVL,MAAOT,EAAKe,SAAW,wBAAyBC,aAAa,EAC7DJ,SAAU,CACRZ,EAAKiB,aAGLC,EAAW,CACbX,UAAW,WACXY,cAAe,4CAA6CT,IAAK,OACjEU,SAAU,qDACVR,SAAU,CACRZ,EAAKiB,WACL,CACEV,UAAW,SACXE,MAAO,KAAMC,IAAK,KAClBU,SAAUnB,EACVW,SAAU,CAACD,EAAQE,EAAaP,GAAWe,OAAOnB,IAEpDI,GACAe,OAAOnB,IAEX,MAAO,CACLoB,QAAS,CAAC,MAAO,MAAO,MAAO,SAAU,aAAc,UAAW,MAAO,OACzEC,kBAAkB,EAClBH,SAAUnB,EACVuB,QAAS,2BACTZ,SAAU,CACRD,EAAQE,EACRb,EAAKyB,YACLX,EACAI,EACAZ,GACAe,OAAOnB","file":"highlight/delphi.js?v=5da15a69249959cbdb60","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS =\n 'exports register file shl array record property for mod while set ally label uses raise not ' +\n 'stored class safecall var interface or private static exit index inherited to else stdcall ' +\n 'override shr asm far resourcestring finalization packed virtual out and protected library do ' +\n 'xorwrite goto near function end div overload object unit begin string on inline repeat until ' +\n 'destructor write message program with read initialization except default nil if case cdecl in ' +\n 'downto threadvar of try pascal const external constructor type public then implementation ' +\n 'finally published procedure absolute reintroduce operator as is abstract alias assembler ' +\n 'bitpacked break continue cppdecl cvar enumerator experimental platform deprecated ' +\n 'unimplemented dynamic export far16 forward generic helper implements interrupt iochecks ' +\n 'local name nodefault noreturn nostackframe oldfpccall otherwise saveregisters softfloat ' +\n 'specialize strict unaligned varargs ';\n var COMMENT_MODES = [\n hljs.C_LINE_COMMENT_MODE,\n hljs.COMMENT(/\\{/, /\\}/, {relevance: 0}),\n hljs.COMMENT(/\\(\\*/, /\\*\\)/, {relevance: 10})\n ];\n var DIRECTIVE = {\n className: 'meta',\n variants: [\n {begin: /\\{\\$/, end: /\\}/},\n {begin: /\\(\\*\\$/, end: /\\*\\)/}\n ]\n };\n var STRING = {\n className: 'string',\n begin: /'/, end: /'/,\n contains: [{begin: /''/}]\n };\n var CHAR_STRING = {\n className: 'string', begin: /(#\\d+)+/\n };\n var CLASS = {\n begin: hljs.IDENT_RE + '\\\\s*=\\\\s*class\\\\s*\\\\(', returnBegin: true,\n contains: [\n hljs.TITLE_MODE\n ]\n };\n var FUNCTION = {\n className: 'function',\n beginKeywords: 'function constructor destructor procedure', end: /[:;]/,\n keywords: 'function constructor|10 destructor|10 procedure|10',\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n contains: [STRING, CHAR_STRING, DIRECTIVE].concat(COMMENT_MODES)\n },\n DIRECTIVE\n ].concat(COMMENT_MODES)\n };\n return {\n aliases: ['dpr', 'dfm', 'pas', 'pascal', 'freepascal', 'lazarus', 'lpr', 'lfm'],\n case_insensitive: true,\n keywords: KEYWORDS,\n illegal: /\"|\\$[G-Zg-z]|\\/\\*|<\\/|\\|/,\n contains: [\n STRING, CHAR_STRING,\n hljs.NUMBER_MODE,\n CLASS,\n FUNCTION,\n DIRECTIVE\n ].concat(COMMENT_MODES)\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/delphi.js"],"names":["module","exports","hljs","KEYWORDS","COMMENT_MODES","C_LINE_COMMENT_MODE","COMMENT","relevance","DIRECTIVE","className","variants","begin","end","STRING","contains","CHAR_STRING","CLASS","IDENT_RE","returnBegin","TITLE_MODE","FUNCTION","beginKeywords","keywords","concat","aliases","case_insensitive","illegal","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EACF,26BAWEC,EAAgB,CAClBF,EAAKG,oBACLH,EAAKI,QAAQ,KAAM,KAAM,CAACC,UAAW,IACrCL,EAAKI,QAAQ,OAAQ,OAAQ,CAACC,UAAW,MAEvCC,EAAY,CACdC,UAAW,OACXC,SAAU,CACR,CAACC,MAAO,OAAQC,IAAK,MACrB,CAACD,MAAO,SAAUC,IAAK,UAGvBC,EAAS,CACXJ,UAAW,SACXE,MAAO,IAAKC,IAAK,IACjBE,SAAU,CAAC,CAACH,MAAO,QAEjBI,EAAc,CAChBN,UAAW,SAAUE,MAAO,WAE1BK,EAAQ,CACVL,MAAOT,EAAKe,SAAW,wBAAyBC,aAAa,EAC7DJ,SAAU,CACRZ,EAAKiB,aAGLC,EAAW,CACbX,UAAW,WACXY,cAAe,4CAA6CT,IAAK,OACjEU,SAAU,qDACVR,SAAU,CACRZ,EAAKiB,WACL,CACEV,UAAW,SACXE,MAAO,KAAMC,IAAK,KAClBU,SAAUnB,EACVW,SAAU,CAACD,EAAQE,EAAaP,GAAWe,OAAOnB,IAEpDI,GACAe,OAAOnB,IAEX,MAAO,CACLoB,QAAS,CAAC,MAAO,MAAO,MAAO,SAAU,aAAc,UAAW,MAAO,OACzEC,kBAAkB,EAClBH,SAAUnB,EACVuB,QAAS,2BACTZ,SAAU,CACRD,EAAQE,EACRb,EAAKyB,YACLX,EACAI,EACAZ,GACAe,OAAOnB","file":"highlight/delphi.js?v=09b2d711deb25f958472","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS =\n 'exports register file shl array record property for mod while set ally label uses raise not ' +\n 'stored class safecall var interface or private static exit index inherited to else stdcall ' +\n 'override shr asm far resourcestring finalization packed virtual out and protected library do ' +\n 'xorwrite goto near function end div overload object unit begin string on inline repeat until ' +\n 'destructor write message program with read initialization except default nil if case cdecl in ' +\n 'downto threadvar of try pascal const external constructor type public then implementation ' +\n 'finally published procedure absolute reintroduce operator as is abstract alias assembler ' +\n 'bitpacked break continue cppdecl cvar enumerator experimental platform deprecated ' +\n 'unimplemented dynamic export far16 forward generic helper implements interrupt iochecks ' +\n 'local name nodefault noreturn nostackframe oldfpccall otherwise saveregisters softfloat ' +\n 'specialize strict unaligned varargs ';\n var COMMENT_MODES = [\n hljs.C_LINE_COMMENT_MODE,\n hljs.COMMENT(/\\{/, /\\}/, {relevance: 0}),\n hljs.COMMENT(/\\(\\*/, /\\*\\)/, {relevance: 10})\n ];\n var DIRECTIVE = {\n className: 'meta',\n variants: [\n {begin: /\\{\\$/, end: /\\}/},\n {begin: /\\(\\*\\$/, end: /\\*\\)/}\n ]\n };\n var STRING = {\n className: 'string',\n begin: /'/, end: /'/,\n contains: [{begin: /''/}]\n };\n var CHAR_STRING = {\n className: 'string', begin: /(#\\d+)+/\n };\n var CLASS = {\n begin: hljs.IDENT_RE + '\\\\s*=\\\\s*class\\\\s*\\\\(', returnBegin: true,\n contains: [\n hljs.TITLE_MODE\n ]\n };\n var FUNCTION = {\n className: 'function',\n beginKeywords: 'function constructor destructor procedure', end: /[:;]/,\n keywords: 'function constructor|10 destructor|10 procedure|10',\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n contains: [STRING, CHAR_STRING, DIRECTIVE].concat(COMMENT_MODES)\n },\n DIRECTIVE\n ].concat(COMMENT_MODES)\n };\n return {\n aliases: ['dpr', 'dfm', 'pas', 'pascal', 'freepascal', 'lazarus', 'lpr', 'lfm'],\n case_insensitive: true,\n keywords: KEYWORDS,\n illegal: /\"|\\$[G-Zg-z]|\\/\\*|<\\/|\\|/,\n contains: [\n STRING, CHAR_STRING,\n hljs.NUMBER_MODE,\n CLASS,\n FUNCTION,\n DIRECTIVE\n ].concat(COMMENT_MODES)\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/diff.js b/js/highlight/diff.js
index 53fced162..bfab6dcad 100644
--- a/js/highlight/diff.js
+++ b/js/highlight/diff.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[43],{409:function(e,n){e.exports=function(e){return{aliases:["patch"],contains:[{className:"meta",relevance:10,variants:[{begin:/^@@ +\-\d+,\d+ +\+\d+,\d+ +@@$/},{begin:/^\*\*\* +\d+,\d+ +\*\*\*\*$/},{begin:/^\-\-\- +\d+,\d+ +\-\-\-\-$/}]},{className:"comment",variants:[{begin:/Index: /,end:/$/},{begin:/={3,}/,end:/$/},{begin:/^\-{3}/,end:/$/},{begin:/^\*{3} /,end:/$/},{begin:/^\+{3}/,end:/$/},{begin:/^\*{15}$/}]},{className:"addition",begin:"^\\+",end:"$"},{className:"deletion",begin:"^\\-",end:"$"},{className:"addition",begin:"^\\!",end:"$"}]}}}}]);
-//# sourceMappingURL=diff.js.map?v=ab32283b9049df8d470b \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[43],{410:function(e,n){e.exports=function(e){return{aliases:["patch"],contains:[{className:"meta",relevance:10,variants:[{begin:/^@@ +\-\d+,\d+ +\+\d+,\d+ +@@$/},{begin:/^\*\*\* +\d+,\d+ +\*\*\*\*$/},{begin:/^\-\-\- +\d+,\d+ +\-\-\-\-$/}]},{className:"comment",variants:[{begin:/Index: /,end:/$/},{begin:/={3,}/,end:/$/},{begin:/^\-{3}/,end:/$/},{begin:/^\*{3} /,end:/$/},{begin:/^\+{3}/,end:/$/},{begin:/^\*{15}$/}]},{className:"addition",begin:"^\\+",end:"$"},{className:"deletion",begin:"^\\-",end:"$"},{className:"addition",begin:"^\\!",end:"$"}]}}}}]);
+//# sourceMappingURL=diff.js.map?v=0a4af5e0ac26e74fb458 \ No newline at end of file
diff --git a/js/highlight/diff.js.map b/js/highlight/diff.js.map
index 45a84d25d..29b801234 100644
--- a/js/highlight/diff.js.map
+++ b/js/highlight/diff.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/diff.js"],"names":["module","exports","hljs","aliases","contains","className","relevance","variants","begin","end"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,SACVC,SAAU,CACR,CACEC,UAAW,OACXC,UAAW,GACXC,SAAU,CACR,CAACC,MAAO,kCACR,CAACA,MAAO,+BACR,CAACA,MAAO,iCAGZ,CACEH,UAAW,UACXE,SAAU,CACR,CAACC,MAAO,UAAWC,IAAK,KACxB,CAACD,MAAO,QAASC,IAAK,KACtB,CAACD,MAAO,SAAUC,IAAK,KACvB,CAACD,MAAO,UAAWC,IAAK,KACxB,CAACD,MAAO,SAAUC,IAAK,KACvB,CAACD,MAAO,cAGZ,CACEH,UAAW,WACXG,MAAO,OAAQC,IAAK,KAEtB,CACEJ,UAAW,WACXG,MAAO,OAAQC,IAAK,KAEtB,CACEJ,UAAW,WACXG,MAAO,OAAQC,IAAK","file":"highlight/diff.js?v=ab32283b9049df8d470b","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['patch'],\n contains: [\n {\n className: 'meta',\n relevance: 10,\n variants: [\n {begin: /^@@ +\\-\\d+,\\d+ +\\+\\d+,\\d+ +@@$/},\n {begin: /^\\*\\*\\* +\\d+,\\d+ +\\*\\*\\*\\*$/},\n {begin: /^\\-\\-\\- +\\d+,\\d+ +\\-\\-\\-\\-$/}\n ]\n },\n {\n className: 'comment',\n variants: [\n {begin: /Index: /, end: /$/},\n {begin: /={3,}/, end: /$/},\n {begin: /^\\-{3}/, end: /$/},\n {begin: /^\\*{3} /, end: /$/},\n {begin: /^\\+{3}/, end: /$/},\n {begin: /^\\*{15}$/ }\n ]\n },\n {\n className: 'addition',\n begin: '^\\\\+', end: '$'\n },\n {\n className: 'deletion',\n begin: '^\\\\-', end: '$'\n },\n {\n className: 'addition',\n begin: '^\\\\!', end: '$'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/diff.js"],"names":["module","exports","hljs","aliases","contains","className","relevance","variants","begin","end"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,SACVC,SAAU,CACR,CACEC,UAAW,OACXC,UAAW,GACXC,SAAU,CACR,CAACC,MAAO,kCACR,CAACA,MAAO,+BACR,CAACA,MAAO,iCAGZ,CACEH,UAAW,UACXE,SAAU,CACR,CAACC,MAAO,UAAWC,IAAK,KACxB,CAACD,MAAO,QAASC,IAAK,KACtB,CAACD,MAAO,SAAUC,IAAK,KACvB,CAACD,MAAO,UAAWC,IAAK,KACxB,CAACD,MAAO,SAAUC,IAAK,KACvB,CAACD,MAAO,cAGZ,CACEH,UAAW,WACXG,MAAO,OAAQC,IAAK,KAEtB,CACEJ,UAAW,WACXG,MAAO,OAAQC,IAAK,KAEtB,CACEJ,UAAW,WACXG,MAAO,OAAQC,IAAK","file":"highlight/diff.js?v=0a4af5e0ac26e74fb458","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['patch'],\n contains: [\n {\n className: 'meta',\n relevance: 10,\n variants: [\n {begin: /^@@ +\\-\\d+,\\d+ +\\+\\d+,\\d+ +@@$/},\n {begin: /^\\*\\*\\* +\\d+,\\d+ +\\*\\*\\*\\*$/},\n {begin: /^\\-\\-\\- +\\d+,\\d+ +\\-\\-\\-\\-$/}\n ]\n },\n {\n className: 'comment',\n variants: [\n {begin: /Index: /, end: /$/},\n {begin: /={3,}/, end: /$/},\n {begin: /^\\-{3}/, end: /$/},\n {begin: /^\\*{3} /, end: /$/},\n {begin: /^\\+{3}/, end: /$/},\n {begin: /^\\*{15}$/ }\n ]\n },\n {\n className: 'addition',\n begin: '^\\\\+', end: '$'\n },\n {\n className: 'deletion',\n begin: '^\\\\-', end: '$'\n },\n {\n className: 'addition',\n begin: '^\\\\!', end: '$'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/django.js b/js/highlight/django.js
index 237ad1fa8..5de887547 100644
--- a/js/highlight/django.js
+++ b/js/highlight/django.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[44],{410:function(e,t){e.exports=function(e){var t={begin:/\|[A-Za-z]+:?/,keywords:{name:"truncatewords removetags linebreaksbr yesno get_digit timesince random striptags filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort dictsortreversed default_if_none pluralize lower join center default truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize localtime utc timezone"},contains:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE]};return{aliases:["jinja"],case_insensitive:!0,subLanguage:"xml",contains:[e.COMMENT(/\{%\s*comment\s*%}/,/\{%\s*endcomment\s*%}/),e.COMMENT(/\{#/,/#}/),{className:"template-tag",begin:/\{%/,end:/%}/,contains:[{className:"name",begin:/\w+/,keywords:{name:"comment endcomment load templatetag ifchanged endifchanged if endif firstof for endfor ifnotequal endifnotequal widthratio extends include spaceless endspaceless regroup ifequal endifequal ssi now with cycle url filter endfilter debug block endblock else autoescape endautoescape csrf_token empty elif endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix plural get_current_language language get_available_languages get_current_language_bidi get_language_info get_language_info_list localize endlocalize localtime endlocaltime timezone endtimezone get_current_timezone verbatim"},starts:{endsWithParent:!0,keywords:"in by as",contains:[t],relevance:0}}]},{className:"template-variable",begin:/\{\{/,end:/}}/,contains:[t]}]}}}}]);
-//# sourceMappingURL=django.js.map?v=b053b56cf0aae271b5ee \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[44],{411:function(e,t){e.exports=function(e){var t={begin:/\|[A-Za-z]+:?/,keywords:{name:"truncatewords removetags linebreaksbr yesno get_digit timesince random striptags filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort dictsortreversed default_if_none pluralize lower join center default truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize localtime utc timezone"},contains:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE]};return{aliases:["jinja"],case_insensitive:!0,subLanguage:"xml",contains:[e.COMMENT(/\{%\s*comment\s*%}/,/\{%\s*endcomment\s*%}/),e.COMMENT(/\{#/,/#}/),{className:"template-tag",begin:/\{%/,end:/%}/,contains:[{className:"name",begin:/\w+/,keywords:{name:"comment endcomment load templatetag ifchanged endifchanged if endif firstof for endfor ifnotequal endifnotequal widthratio extends include spaceless endspaceless regroup ifequal endifequal ssi now with cycle url filter endfilter debug block endblock else autoescape endautoescape csrf_token empty elif endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix plural get_current_language language get_available_languages get_current_language_bidi get_language_info get_language_info_list localize endlocalize localtime endlocaltime timezone endtimezone get_current_timezone verbatim"},starts:{endsWithParent:!0,keywords:"in by as",contains:[t],relevance:0}}]},{className:"template-variable",begin:/\{\{/,end:/}}/,contains:[t]}]}}}}]);
+//# sourceMappingURL=django.js.map?v=eca9bda3374febe1408f \ No newline at end of file
diff --git a/js/highlight/django.js.map b/js/highlight/django.js.map
index c1b48aeaf..6acd743f0 100644
--- a/js/highlight/django.js.map
+++ b/js/highlight/django.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/django.js"],"names":["module","exports","hljs","FILTER","begin","keywords","name","contains","QUOTE_STRING_MODE","APOS_STRING_MODE","aliases","case_insensitive","subLanguage","COMMENT","className","end","starts","endsWithParent","relevance"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAS,CACXC,MAAO,gBACPC,SAAU,CACRC,KACE,4kBASJC,SAAU,CACRL,EAAKM,kBACLN,EAAKO,mBAIT,MAAO,CACLC,QAAS,CAAC,SACVC,kBAAkB,EAClBC,YAAa,MACbL,SAAU,CACRL,EAAKW,QAAQ,qBAAsB,yBACnCX,EAAKW,QAAQ,MAAO,MACpB,CACEC,UAAW,eACXV,MAAO,MAAOW,IAAK,KACnBR,SAAU,CACR,CACEO,UAAW,OACXV,MAAO,MACPC,SAAU,CACRC,KACE,imBAUJU,OAAQ,CACNC,gBAAgB,EAChBZ,SAAU,WACVE,SAAU,CAACJ,GACXe,UAAW,MAKnB,CACEJ,UAAW,oBACXV,MAAO,OAAQW,IAAK,KACpBR,SAAU,CAACJ","file":"highlight/django.js?v=b053b56cf0aae271b5ee","sourcesContent":["module.exports = function(hljs) {\n var FILTER = {\n begin: /\\|[A-Za-z]+:?/,\n keywords: {\n name:\n 'truncatewords removetags linebreaksbr yesno get_digit timesince random striptags ' +\n 'filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands ' +\n 'title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode ' +\n 'timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort ' +\n 'dictsortreversed default_if_none pluralize lower join center default ' +\n 'truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first ' +\n 'escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize ' +\n 'localtime utc timezone'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE\n ]\n };\n\n return {\n aliases: ['jinja'],\n case_insensitive: true,\n subLanguage: 'xml',\n contains: [\n hljs.COMMENT(/\\{%\\s*comment\\s*%}/, /\\{%\\s*endcomment\\s*%}/),\n hljs.COMMENT(/\\{#/, /#}/),\n {\n className: 'template-tag',\n begin: /\\{%/, end: /%}/,\n contains: [\n {\n className: 'name',\n begin: /\\w+/,\n keywords: {\n name:\n 'comment endcomment load templatetag ifchanged endifchanged if endif firstof for ' +\n 'endfor ifnotequal endifnotequal widthratio extends include spaceless ' +\n 'endspaceless regroup ifequal endifequal ssi now with cycle url filter ' +\n 'endfilter debug block endblock else autoescape endautoescape csrf_token empty elif ' +\n 'endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix ' +\n 'plural get_current_language language get_available_languages ' +\n 'get_current_language_bidi get_language_info get_language_info_list localize ' +\n 'endlocalize localtime endlocaltime timezone endtimezone get_current_timezone ' +\n 'verbatim'\n },\n starts: {\n endsWithParent: true,\n keywords: 'in by as',\n contains: [FILTER],\n relevance: 0\n }\n }\n ]\n },\n {\n className: 'template-variable',\n begin: /\\{\\{/, end: /}}/,\n contains: [FILTER]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/django.js"],"names":["module","exports","hljs","FILTER","begin","keywords","name","contains","QUOTE_STRING_MODE","APOS_STRING_MODE","aliases","case_insensitive","subLanguage","COMMENT","className","end","starts","endsWithParent","relevance"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAS,CACXC,MAAO,gBACPC,SAAU,CACRC,KACE,4kBASJC,SAAU,CACRL,EAAKM,kBACLN,EAAKO,mBAIT,MAAO,CACLC,QAAS,CAAC,SACVC,kBAAkB,EAClBC,YAAa,MACbL,SAAU,CACRL,EAAKW,QAAQ,qBAAsB,yBACnCX,EAAKW,QAAQ,MAAO,MACpB,CACEC,UAAW,eACXV,MAAO,MAAOW,IAAK,KACnBR,SAAU,CACR,CACEO,UAAW,OACXV,MAAO,MACPC,SAAU,CACRC,KACE,imBAUJU,OAAQ,CACNC,gBAAgB,EAChBZ,SAAU,WACVE,SAAU,CAACJ,GACXe,UAAW,MAKnB,CACEJ,UAAW,oBACXV,MAAO,OAAQW,IAAK,KACpBR,SAAU,CAACJ","file":"highlight/django.js?v=eca9bda3374febe1408f","sourcesContent":["module.exports = function(hljs) {\n var FILTER = {\n begin: /\\|[A-Za-z]+:?/,\n keywords: {\n name:\n 'truncatewords removetags linebreaksbr yesno get_digit timesince random striptags ' +\n 'filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands ' +\n 'title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode ' +\n 'timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort ' +\n 'dictsortreversed default_if_none pluralize lower join center default ' +\n 'truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first ' +\n 'escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize ' +\n 'localtime utc timezone'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE\n ]\n };\n\n return {\n aliases: ['jinja'],\n case_insensitive: true,\n subLanguage: 'xml',\n contains: [\n hljs.COMMENT(/\\{%\\s*comment\\s*%}/, /\\{%\\s*endcomment\\s*%}/),\n hljs.COMMENT(/\\{#/, /#}/),\n {\n className: 'template-tag',\n begin: /\\{%/, end: /%}/,\n contains: [\n {\n className: 'name',\n begin: /\\w+/,\n keywords: {\n name:\n 'comment endcomment load templatetag ifchanged endifchanged if endif firstof for ' +\n 'endfor ifnotequal endifnotequal widthratio extends include spaceless ' +\n 'endspaceless regroup ifequal endifequal ssi now with cycle url filter ' +\n 'endfilter debug block endblock else autoescape endautoescape csrf_token empty elif ' +\n 'endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix ' +\n 'plural get_current_language language get_available_languages ' +\n 'get_current_language_bidi get_language_info get_language_info_list localize ' +\n 'endlocalize localtime endlocaltime timezone endtimezone get_current_timezone ' +\n 'verbatim'\n },\n starts: {\n endsWithParent: true,\n keywords: 'in by as',\n contains: [FILTER],\n relevance: 0\n }\n }\n ]\n },\n {\n className: 'template-variable',\n begin: /\\{\\{/, end: /}}/,\n contains: [FILTER]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/dns.js b/js/highlight/dns.js
index fec57df34..72078a5e9 100644
--- a/js/highlight/dns.js
+++ b/js/highlight/dns.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[45],{411:function(d,A){d.exports=function(d){return{aliases:["bind","zone"],keywords:{keyword:"IN A AAAA AFSDB APL CAA CDNSKEY CDS CERT CNAME DHCID DLV DNAME DNSKEY DS HIP IPSECKEY KEY KX LOC MX NAPTR NS NSEC NSEC3 NSEC3PARAM PTR RRSIG RP SIG SOA SRV SSHFP TA TKEY TLSA TSIG TXT"},contains:[d.COMMENT(";","$",{relevance:0}),{className:"meta",begin:/^\$(TTL|GENERATE|INCLUDE|ORIGIN)\b/},{className:"number",begin:"((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:)))\\b"},{className:"number",begin:"((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9]).){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\b"},d.inherit(d.NUMBER_MODE,{begin:/\b\d+[dhwm]?/})]}}}}]);
-//# sourceMappingURL=dns.js.map?v=625803695a16972bdd7d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[45],{412:function(d,A){d.exports=function(d){return{aliases:["bind","zone"],keywords:{keyword:"IN A AAAA AFSDB APL CAA CDNSKEY CDS CERT CNAME DHCID DLV DNAME DNSKEY DS HIP IPSECKEY KEY KX LOC MX NAPTR NS NSEC NSEC3 NSEC3PARAM PTR RRSIG RP SIG SOA SRV SSHFP TA TKEY TLSA TSIG TXT"},contains:[d.COMMENT(";","$",{relevance:0}),{className:"meta",begin:/^\$(TTL|GENERATE|INCLUDE|ORIGIN)\b/},{className:"number",begin:"((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:)))\\b"},{className:"number",begin:"((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9]).){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\b"},d.inherit(d.NUMBER_MODE,{begin:/\b\d+[dhwm]?/})]}}}}]);
+//# sourceMappingURL=dns.js.map?v=bfd30fc702acf9b5b234 \ No newline at end of file
diff --git a/js/highlight/dns.js.map b/js/highlight/dns.js.map
index 5be91b13c..fc9698711 100644
--- a/js/highlight/dns.js.map
+++ b/js/highlight/dns.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dns.js"],"names":["module","exports","hljs","aliases","keywords","keyword","contains","COMMENT","relevance","className","begin","inherit","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,OAAQ,QAClBC,SAAU,CACRC,QACE,2LAGJC,SAAU,CACRJ,EAAKK,QAAQ,IAAK,IAAK,CAACC,UAAW,IACnC,CACEC,UAAW,OACXC,MAAO,sCAGT,CACED,UAAW,SACXC,MAAO,+mCAGT,CACED,UAAW,SACXC,MAAO,+FAETR,EAAKS,QAAQT,EAAKU,YAAa,CAACF,MAAO","file":"highlight/dns.js?v=625803695a16972bdd7d","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['bind', 'zone'],\n keywords: {\n keyword:\n 'IN A AAAA AFSDB APL CAA CDNSKEY CDS CERT CNAME DHCID DLV DNAME DNSKEY DS HIP IPSECKEY KEY KX ' +\n 'LOC MX NAPTR NS NSEC NSEC3 NSEC3PARAM PTR RRSIG RP SIG SOA SRV SSHFP TA TKEY TLSA TSIG TXT'\n },\n contains: [\n hljs.COMMENT(';', '$', {relevance: 0}),\n {\n className: 'meta',\n begin: /^\\$(TTL|GENERATE|INCLUDE|ORIGIN)\\b/\n },\n // IPv6\n {\n className: 'number',\n begin: '((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:)))\\\\b'\n },\n // IPv4\n {\n className: 'number',\n begin: '((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\.){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\\\b'\n },\n hljs.inherit(hljs.NUMBER_MODE, {begin: /\\b\\d+[dhwm]?/})\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dns.js"],"names":["module","exports","hljs","aliases","keywords","keyword","contains","COMMENT","relevance","className","begin","inherit","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,OAAQ,QAClBC,SAAU,CACRC,QACE,2LAGJC,SAAU,CACRJ,EAAKK,QAAQ,IAAK,IAAK,CAACC,UAAW,IACnC,CACEC,UAAW,OACXC,MAAO,sCAGT,CACED,UAAW,SACXC,MAAO,+mCAGT,CACED,UAAW,SACXC,MAAO,+FAETR,EAAKS,QAAQT,EAAKU,YAAa,CAACF,MAAO","file":"highlight/dns.js?v=bfd30fc702acf9b5b234","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['bind', 'zone'],\n keywords: {\n keyword:\n 'IN A AAAA AFSDB APL CAA CDNSKEY CDS CERT CNAME DHCID DLV DNAME DNSKEY DS HIP IPSECKEY KEY KX ' +\n 'LOC MX NAPTR NS NSEC NSEC3 NSEC3PARAM PTR RRSIG RP SIG SOA SRV SSHFP TA TKEY TLSA TSIG TXT'\n },\n contains: [\n hljs.COMMENT(';', '$', {relevance: 0}),\n {\n className: 'meta',\n begin: /^\\$(TTL|GENERATE|INCLUDE|ORIGIN)\\b/\n },\n // IPv6\n {\n className: 'number',\n begin: '((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:)))\\\\b'\n },\n // IPv4\n {\n className: 'number',\n begin: '((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\.){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\\\b'\n },\n hljs.inherit(hljs.NUMBER_MODE, {begin: /\\b\\d+[dhwm]?/})\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/dockerfile.js b/js/highlight/dockerfile.js
index 13a58b4e9..99170fc0e 100644
--- a/js/highlight/dockerfile.js
+++ b/js/highlight/dockerfile.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[46],{412:function(e,n){e.exports=function(e){return{aliases:["docker"],case_insensitive:!0,keywords:"from maintainer expose env arg user onbuild stopsignal",contains:[e.HASH_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,{beginKeywords:"run cmd entrypoint volume add copy workdir label healthcheck shell",starts:{end:/[^\\]$/,subLanguage:"bash"}}],illegal:"</"}}}}]);
-//# sourceMappingURL=dockerfile.js.map?v=3bc9854c21810d4e263a \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[46],{413:function(e,n){e.exports=function(e){return{aliases:["docker"],case_insensitive:!0,keywords:"from maintainer expose env arg user onbuild stopsignal",contains:[e.HASH_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,{beginKeywords:"run cmd entrypoint volume add copy workdir label healthcheck shell",starts:{end:/[^\\]$/,subLanguage:"bash"}}],illegal:"</"}}}}]);
+//# sourceMappingURL=dockerfile.js.map?v=459bafbfedeedec393f2 \ No newline at end of file
diff --git a/js/highlight/dockerfile.js.map b/js/highlight/dockerfile.js.map
index e238ca9d7..246d5ee53 100644
--- a/js/highlight/dockerfile.js.map
+++ b/js/highlight/dockerfile.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dockerfile.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","contains","HASH_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","NUMBER_MODE","beginKeywords","starts","end","subLanguage","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,UACVC,kBAAkB,EAClBC,SAAU,yDACVC,SAAU,CACRJ,EAAKK,kBACLL,EAAKM,iBACLN,EAAKO,kBACLP,EAAKQ,YACL,CACEC,cAAe,qEACfC,OAAQ,CACNC,IAAK,SACLC,YAAa,UAInBC,QAAS","file":"highlight/dockerfile.js?v=3bc9854c21810d4e263a","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['docker'],\n case_insensitive: true,\n keywords: 'from maintainer expose env arg user onbuild stopsignal',\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE,\n {\n beginKeywords: 'run cmd entrypoint volume add copy workdir label healthcheck shell',\n starts: {\n end: /[^\\\\]$/,\n subLanguage: 'bash'\n }\n }\n ],\n illegal: '</'\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dockerfile.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","contains","HASH_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","NUMBER_MODE","beginKeywords","starts","end","subLanguage","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,UACVC,kBAAkB,EAClBC,SAAU,yDACVC,SAAU,CACRJ,EAAKK,kBACLL,EAAKM,iBACLN,EAAKO,kBACLP,EAAKQ,YACL,CACEC,cAAe,qEACfC,OAAQ,CACNC,IAAK,SACLC,YAAa,UAInBC,QAAS","file":"highlight/dockerfile.js?v=459bafbfedeedec393f2","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['docker'],\n case_insensitive: true,\n keywords: 'from maintainer expose env arg user onbuild stopsignal',\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE,\n {\n beginKeywords: 'run cmd entrypoint volume add copy workdir label healthcheck shell',\n starts: {\n end: /[^\\\\]$/,\n subLanguage: 'bash'\n }\n }\n ],\n illegal: '</'\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/dos.js b/js/highlight/dos.js
index 4dd324562..1f5b8f505 100644
--- a/js/highlight/dos.js
+++ b/js/highlight/dos.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[47],{413:function(e,t){e.exports=function(e){var t=e.COMMENT(/^\s*@?rem\b/,/$/,{relevance:10});return{aliases:["bat","cmd"],case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:"if else goto for in do call exit not exist errorlevel defined equ neq lss leq gtr geq",built_in:"prn nul lpt3 lpt2 lpt1 con com4 com3 com2 com1 aux shift cd dir echo setlocal endlocal set pause copy append assoc at attrib break cacls cd chcp chdir chkdsk chkntfs cls cmd color comp compact convert date dir diskcomp diskcopy doskey erase fs find findstr format ftype graftabl help keyb label md mkdir mode more move path pause print popd pushd promt rd recover rem rename replace restore rmdir shiftsort start subst time title tree type ver verify vol ping net ipconfig taskkill xcopy ren del"},contains:[{className:"variable",begin:/%%[^ ]|%[^ ]+?%|![^ ]+?!/},{className:"function",begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)",end:"goto:eof",contains:[e.inherit(e.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),t]},{className:"number",begin:"\\b\\d+",relevance:0},t]}}}}]);
-//# sourceMappingURL=dos.js.map?v=c12e545c5010d19f0a58 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[47],{414:function(e,t){e.exports=function(e){var t=e.COMMENT(/^\s*@?rem\b/,/$/,{relevance:10});return{aliases:["bat","cmd"],case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:"if else goto for in do call exit not exist errorlevel defined equ neq lss leq gtr geq",built_in:"prn nul lpt3 lpt2 lpt1 con com4 com3 com2 com1 aux shift cd dir echo setlocal endlocal set pause copy append assoc at attrib break cacls cd chcp chdir chkdsk chkntfs cls cmd color comp compact convert date dir diskcomp diskcopy doskey erase fs find findstr format ftype graftabl help keyb label md mkdir mode more move path pause print popd pushd promt rd recover rem rename replace restore rmdir shiftsort start subst time title tree type ver verify vol ping net ipconfig taskkill xcopy ren del"},contains:[{className:"variable",begin:/%%[^ ]|%[^ ]+?%|![^ ]+?!/},{className:"function",begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)",end:"goto:eof",contains:[e.inherit(e.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),t]},{className:"number",begin:"\\b\\d+",relevance:0},t]}}}}]);
+//# sourceMappingURL=dos.js.map?v=e8fe346d7dd1651dc9fc \ No newline at end of file
diff --git a/js/highlight/dos.js.map b/js/highlight/dos.js.map
index e04588916..cc11ac38f 100644
--- a/js/highlight/dos.js.map
+++ b/js/highlight/dos.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dos.js"],"names":["module","exports","hljs","COMMENT","relevance","aliases","case_insensitive","illegal","keywords","keyword","built_in","contains","className","begin","end","inherit","TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAUD,EAAKC,QACjB,cAAe,IACf,CACEC,UAAW,KAQf,MAAO,CACLC,QAAS,CAAC,MAAO,OACjBC,kBAAkB,EAClBC,QAAS,OACTC,SAAU,CACRC,QACE,wFAEFC,SACE,mfAUJC,SAAU,CACR,CACEC,UAAW,WAAYC,MAAO,4BAEhC,CACED,UAAW,WACXC,MA5BG,mDA4BiBC,IAAK,WACzBH,SAAU,CACRT,EAAKa,QAAQb,EAAKc,WAAY,CAACH,MAAO,sDACtCV,IAGJ,CACES,UAAW,SAAUC,MAAO,UAC5BT,UAAW,GAEbD","file":"highlight/dos.js?v=c12e545c5010d19f0a58","sourcesContent":["module.exports = function(hljs) {\n var COMMENT = hljs.COMMENT(\n /^\\s*@?rem\\b/, /$/,\n {\n relevance: 10\n }\n );\n var LABEL = {\n className: 'symbol',\n begin: '^\\\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\\\s+label)',\n relevance: 0\n };\n return {\n aliases: ['bat', 'cmd'],\n case_insensitive: true,\n illegal: /\\/\\*/,\n keywords: {\n keyword:\n 'if else goto for in do call exit not exist errorlevel defined ' +\n 'equ neq lss leq gtr geq',\n built_in:\n 'prn nul lpt3 lpt2 lpt1 con com4 com3 com2 com1 aux ' +\n 'shift cd dir echo setlocal endlocal set pause copy ' +\n 'append assoc at attrib break cacls cd chcp chdir chkdsk chkntfs cls cmd color ' +\n 'comp compact convert date dir diskcomp diskcopy doskey erase fs ' +\n 'find findstr format ftype graftabl help keyb label md mkdir mode more move path ' +\n 'pause print popd pushd promt rd recover rem rename replace restore rmdir shift' +\n 'sort start subst time title tree type ver verify vol ' +\n // winutils\n 'ping net ipconfig taskkill xcopy ren del'\n },\n contains: [\n {\n className: 'variable', begin: /%%[^ ]|%[^ ]+?%|![^ ]+?!/\n },\n {\n className: 'function',\n begin: LABEL.begin, end: 'goto:eof',\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: '([_a-zA-Z]\\\\w*\\\\.)*([_a-zA-Z]\\\\w*:)?[_a-zA-Z]\\\\w*'}),\n COMMENT\n ]\n },\n {\n className: 'number', begin: '\\\\b\\\\d+',\n relevance: 0\n },\n COMMENT\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dos.js"],"names":["module","exports","hljs","COMMENT","relevance","aliases","case_insensitive","illegal","keywords","keyword","built_in","contains","className","begin","end","inherit","TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAUD,EAAKC,QACjB,cAAe,IACf,CACEC,UAAW,KAQf,MAAO,CACLC,QAAS,CAAC,MAAO,OACjBC,kBAAkB,EAClBC,QAAS,OACTC,SAAU,CACRC,QACE,wFAEFC,SACE,mfAUJC,SAAU,CACR,CACEC,UAAW,WAAYC,MAAO,4BAEhC,CACED,UAAW,WACXC,MA5BG,mDA4BiBC,IAAK,WACzBH,SAAU,CACRT,EAAKa,QAAQb,EAAKc,WAAY,CAACH,MAAO,sDACtCV,IAGJ,CACES,UAAW,SAAUC,MAAO,UAC5BT,UAAW,GAEbD","file":"highlight/dos.js?v=e8fe346d7dd1651dc9fc","sourcesContent":["module.exports = function(hljs) {\n var COMMENT = hljs.COMMENT(\n /^\\s*@?rem\\b/, /$/,\n {\n relevance: 10\n }\n );\n var LABEL = {\n className: 'symbol',\n begin: '^\\\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\\\s+label)',\n relevance: 0\n };\n return {\n aliases: ['bat', 'cmd'],\n case_insensitive: true,\n illegal: /\\/\\*/,\n keywords: {\n keyword:\n 'if else goto for in do call exit not exist errorlevel defined ' +\n 'equ neq lss leq gtr geq',\n built_in:\n 'prn nul lpt3 lpt2 lpt1 con com4 com3 com2 com1 aux ' +\n 'shift cd dir echo setlocal endlocal set pause copy ' +\n 'append assoc at attrib break cacls cd chcp chdir chkdsk chkntfs cls cmd color ' +\n 'comp compact convert date dir diskcomp diskcopy doskey erase fs ' +\n 'find findstr format ftype graftabl help keyb label md mkdir mode more move path ' +\n 'pause print popd pushd promt rd recover rem rename replace restore rmdir shift' +\n 'sort start subst time title tree type ver verify vol ' +\n // winutils\n 'ping net ipconfig taskkill xcopy ren del'\n },\n contains: [\n {\n className: 'variable', begin: /%%[^ ]|%[^ ]+?%|![^ ]+?!/\n },\n {\n className: 'function',\n begin: LABEL.begin, end: 'goto:eof',\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: '([_a-zA-Z]\\\\w*\\\\.)*([_a-zA-Z]\\\\w*:)?[_a-zA-Z]\\\\w*'}),\n COMMENT\n ]\n },\n {\n className: 'number', begin: '\\\\b\\\\d+',\n relevance: 0\n },\n COMMENT\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/dsconfig.js b/js/highlight/dsconfig.js
index fcae31970..ac2edd7a8 100644
--- a/js/highlight/dsconfig.js
+++ b/js/highlight/dsconfig.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[48],{414:function(e,n){e.exports=function(e){return{keywords:"dsconfig",contains:[{className:"keyword",begin:"^dsconfig",end:"\\s",excludeEnd:!0,relevance:10},{className:"built_in",begin:"(list|create|get|set|delete)-(\\w+)",end:"\\s",excludeEnd:!0,illegal:"!@#$%^&*()",relevance:10},{className:"built_in",begin:"--(\\w+)",end:"\\s",excludeEnd:!0},{className:"string",begin:/"/,end:/"/},{className:"string",begin:/'/,end:/'/},{className:"string",begin:"[\\w-?]+:\\w+",end:"\\W",relevance:0},{className:"string",begin:"\\w+-?\\w+",end:"\\W",relevance:0},e.HASH_COMMENT_MODE]}}}}]);
-//# sourceMappingURL=dsconfig.js.map?v=8efbb91ad56c3968ed1f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[48],{415:function(e,n){e.exports=function(e){return{keywords:"dsconfig",contains:[{className:"keyword",begin:"^dsconfig",end:"\\s",excludeEnd:!0,relevance:10},{className:"built_in",begin:"(list|create|get|set|delete)-(\\w+)",end:"\\s",excludeEnd:!0,illegal:"!@#$%^&*()",relevance:10},{className:"built_in",begin:"--(\\w+)",end:"\\s",excludeEnd:!0},{className:"string",begin:/"/,end:/"/},{className:"string",begin:/'/,end:/'/},{className:"string",begin:"[\\w-?]+:\\w+",end:"\\W",relevance:0},{className:"string",begin:"\\w+-?\\w+",end:"\\W",relevance:0},e.HASH_COMMENT_MODE]}}}}]);
+//# sourceMappingURL=dsconfig.js.map?v=a7a41eca2b432ecb456c \ No newline at end of file
diff --git a/js/highlight/dsconfig.js.map b/js/highlight/dsconfig.js.map
index 729d4ea1f..f87c42a6e 100644
--- a/js/highlight/dsconfig.js.map
+++ b/js/highlight/dsconfig.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dsconfig.js"],"names":["module","exports","hljs","keywords","contains","className","begin","end","excludeEnd","relevance","illegal","HASH_COMMENT_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAoBxB,MAAO,CACLC,SAAU,WACVC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,YAAaC,IAAK,MAAOC,YAAY,EAC5CC,UAAW,IAEb,CACEJ,UAAW,WACXC,MAAO,sCAAuCC,IAAK,MAAOC,YAAY,EACtEE,QAAS,aACTD,UAAW,IAEb,CACEJ,UAAW,WACXC,MAAO,WAAYC,IAAK,MAAOC,YAAY,GAnC3B,CACpBH,UAAW,SACXC,MAAO,IAAKC,IAAK,KAEC,CAClBF,UAAW,SACXC,MAAO,IAAKC,IAAK,KAEK,CACtBF,UAAW,SACXC,MAAO,gBAAiBC,IAAK,MAC7BE,UAAW,GAEY,CACvBJ,UAAW,SACXC,MAAO,aAAcC,IAAK,MAC1BE,UAAW,GAyBTP,EAAKS","file":"highlight/dsconfig.js?v=8efbb91ad56c3968ed1f","sourcesContent":["module.exports = function(hljs) {\n var QUOTED_PROPERTY = {\n className: 'string',\n begin: /\"/, end: /\"/\n };\n var APOS_PROPERTY = {\n className: 'string',\n begin: /'/, end: /'/\n };\n var UNQUOTED_PROPERTY = {\n className: 'string',\n begin: '[\\\\w-?]+:\\\\w+', end: '\\\\W',\n relevance: 0\n };\n var VALUELESS_PROPERTY = {\n className: 'string',\n begin: '\\\\w+-?\\\\w+', end: '\\\\W',\n relevance: 0\n };\n\n return {\n keywords: 'dsconfig',\n contains: [\n {\n className: 'keyword',\n begin: '^dsconfig', end: '\\\\s', excludeEnd: true,\n relevance: 10\n },\n {\n className: 'built_in',\n begin: '(list|create|get|set|delete)-(\\\\w+)', end: '\\\\s', excludeEnd: true,\n illegal: '!@#$%^&*()',\n relevance: 10\n },\n {\n className: 'built_in',\n begin: '--(\\\\w+)', end: '\\\\s', excludeEnd: true\n },\n QUOTED_PROPERTY,\n APOS_PROPERTY,\n UNQUOTED_PROPERTY,\n VALUELESS_PROPERTY,\n hljs.HASH_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dsconfig.js"],"names":["module","exports","hljs","keywords","contains","className","begin","end","excludeEnd","relevance","illegal","HASH_COMMENT_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAoBxB,MAAO,CACLC,SAAU,WACVC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,YAAaC,IAAK,MAAOC,YAAY,EAC5CC,UAAW,IAEb,CACEJ,UAAW,WACXC,MAAO,sCAAuCC,IAAK,MAAOC,YAAY,EACtEE,QAAS,aACTD,UAAW,IAEb,CACEJ,UAAW,WACXC,MAAO,WAAYC,IAAK,MAAOC,YAAY,GAnC3B,CACpBH,UAAW,SACXC,MAAO,IAAKC,IAAK,KAEC,CAClBF,UAAW,SACXC,MAAO,IAAKC,IAAK,KAEK,CACtBF,UAAW,SACXC,MAAO,gBAAiBC,IAAK,MAC7BE,UAAW,GAEY,CACvBJ,UAAW,SACXC,MAAO,aAAcC,IAAK,MAC1BE,UAAW,GAyBTP,EAAKS","file":"highlight/dsconfig.js?v=a7a41eca2b432ecb456c","sourcesContent":["module.exports = function(hljs) {\n var QUOTED_PROPERTY = {\n className: 'string',\n begin: /\"/, end: /\"/\n };\n var APOS_PROPERTY = {\n className: 'string',\n begin: /'/, end: /'/\n };\n var UNQUOTED_PROPERTY = {\n className: 'string',\n begin: '[\\\\w-?]+:\\\\w+', end: '\\\\W',\n relevance: 0\n };\n var VALUELESS_PROPERTY = {\n className: 'string',\n begin: '\\\\w+-?\\\\w+', end: '\\\\W',\n relevance: 0\n };\n\n return {\n keywords: 'dsconfig',\n contains: [\n {\n className: 'keyword',\n begin: '^dsconfig', end: '\\\\s', excludeEnd: true,\n relevance: 10\n },\n {\n className: 'built_in',\n begin: '(list|create|get|set|delete)-(\\\\w+)', end: '\\\\s', excludeEnd: true,\n illegal: '!@#$%^&*()',\n relevance: 10\n },\n {\n className: 'built_in',\n begin: '--(\\\\w+)', end: '\\\\s', excludeEnd: true\n },\n QUOTED_PROPERTY,\n APOS_PROPERTY,\n UNQUOTED_PROPERTY,\n VALUELESS_PROPERTY,\n hljs.HASH_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/dts.js b/js/highlight/dts.js
index ee41c0d97..b395b17a6 100644
--- a/js/highlight/dts.js
+++ b/js/highlight/dts.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[49],{415:function(e,n){e.exports=function(e){var n={className:"string",variants:[e.inherit(e.QUOTE_STRING_MODE,{begin:'((u8?|U)|L)?"'}),{begin:'(u8?|U)?R"',end:'"',contains:[e.BACKSLASH_ESCAPE]},{begin:"'\\\\?.",end:"'",illegal:"."}]},a={className:"number",variants:[{begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)(u|U|l|L|ul|UL|f|F)"},{begin:e.C_NUMBER_RE}],relevance:0},s={className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"if else elif endif define undef ifdef ifndef"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",keywords:{"meta-keyword":"include"},contains:[e.inherit(n,{className:"meta-string"}),{className:"meta-string",begin:"<",end:">",illegal:"\\n"}]},n,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},i={className:"variable",begin:"\\&[a-z\\d_]*\\b"},d={className:"meta-keyword",begin:"/[a-z][a-z\\d-]*/"},l={className:"symbol",begin:"^\\s*[a-zA-Z_][a-zA-Z\\d_]*:"},c={className:"params",begin:"<",end:">",contains:[a,i]},_={className:"class",begin:/[a-zA-Z_][a-zA-Z\d_@]*\s{/,end:/[{;=]/,returnBegin:!0,excludeEnd:!0};return{keywords:"",contains:[{className:"class",begin:"/\\s*{",end:"};",relevance:10,contains:[i,d,l,_,c,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,n]},i,d,l,_,c,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,n,s,{begin:e.IDENT_RE+"::",keywords:""}]}}}}]);
-//# sourceMappingURL=dts.js.map?v=92d947b623617aa3eece \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[49],{416:function(e,n){e.exports=function(e){var n={className:"string",variants:[e.inherit(e.QUOTE_STRING_MODE,{begin:'((u8?|U)|L)?"'}),{begin:'(u8?|U)?R"',end:'"',contains:[e.BACKSLASH_ESCAPE]},{begin:"'\\\\?.",end:"'",illegal:"."}]},a={className:"number",variants:[{begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)(u|U|l|L|ul|UL|f|F)"},{begin:e.C_NUMBER_RE}],relevance:0},s={className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"if else elif endif define undef ifdef ifndef"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",keywords:{"meta-keyword":"include"},contains:[e.inherit(n,{className:"meta-string"}),{className:"meta-string",begin:"<",end:">",illegal:"\\n"}]},n,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},i={className:"variable",begin:"\\&[a-z\\d_]*\\b"},d={className:"meta-keyword",begin:"/[a-z][a-z\\d-]*/"},l={className:"symbol",begin:"^\\s*[a-zA-Z_][a-zA-Z\\d_]*:"},c={className:"params",begin:"<",end:">",contains:[a,i]},_={className:"class",begin:/[a-zA-Z_][a-zA-Z\d_@]*\s{/,end:/[{;=]/,returnBegin:!0,excludeEnd:!0};return{keywords:"",contains:[{className:"class",begin:"/\\s*{",end:"};",relevance:10,contains:[i,d,l,_,c,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,n]},i,d,l,_,c,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,n,s,{begin:e.IDENT_RE+"::",keywords:""}]}}}}]);
+//# sourceMappingURL=dts.js.map?v=78f8dd3917437cc93e18 \ No newline at end of file
diff --git a/js/highlight/dts.js.map b/js/highlight/dts.js.map
index 13572a0f9..a4672f02c 100644
--- a/js/highlight/dts.js.map
+++ b/js/highlight/dts.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dts.js"],"names":["module","exports","hljs","STRINGS","className","variants","inherit","QUOTE_STRING_MODE","begin","end","contains","BACKSLASH_ESCAPE","illegal","NUMBERS","C_NUMBER_RE","relevance","PREPROCESSOR","keywords","beginKeywords","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","DTS_REFERENCE","DTS_KEYWORD","DTS_LABEL","DTS_CELL_PROPERTY","DTS_NODE","returnBegin","excludeEnd","IDENT_RE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,UAAW,SACXC,SAAU,CACRH,EAAKI,QAAQJ,EAAKK,kBAAmB,CAAEC,MAAO,kBAC9C,CACEA,MAAO,aAAcC,IAAK,IAC1BC,SAAU,CAACR,EAAKS,mBAElB,CACEH,MAAO,UAAYC,IAAK,IACxBG,QAAS,OAKXC,EAAU,CACZT,UAAW,SACXC,SAAU,CACR,CAAEG,MAAO,kDACT,CAAEA,MAAON,EAAKY,cAEhBC,UAAW,GAGTC,EAAe,CACjBZ,UAAW,OACXI,MAAO,IAAKC,IAAK,IACjBQ,SAAU,CAAC,eAAgB,gDAC3BP,SAAU,CACR,CACEF,MAAO,OAAQO,UAAW,GAE5B,CACEG,cAAe,UAAWT,IAAK,IAC/BQ,SAAU,CAAC,eAAgB,WAC3BP,SAAU,CACRR,EAAKI,QAAQH,EAAS,CAACC,UAAW,gBAClC,CACEA,UAAW,cACXI,MAAO,IAAKC,IAAK,IACjBG,QAAS,SAIfT,EACAD,EAAKiB,oBACLjB,EAAKkB,uBAILC,EAAgB,CAClBjB,UAAW,WACXI,MAAO,oBAGLc,EAAc,CAChBlB,UAAW,eACXI,MAAO,qBAGLe,EAAY,CACdnB,UAAW,SACXI,MAAO,gCAGLgB,EAAoB,CACtBpB,UAAW,SACXI,MAAO,IACPC,IAAK,IACLC,SAAU,CACRG,EACAQ,IAIAI,EAAW,CACbrB,UAAW,QACXI,MAAO,4BACPC,IAAK,QACLiB,aAAa,EACbC,YAAY,GAqBd,MAAO,CACLV,SAAU,GACVP,SAAU,CApBQ,CAClBN,UAAW,QACXI,MAAO,SACPC,IAAK,KACLM,UAAW,GACXL,SAAU,CACRW,EACAC,EACAC,EACAE,EACAD,EACAtB,EAAKiB,oBACLjB,EAAKkB,qBACLP,EACAV,IAQAkB,EACAC,EACAC,EACAE,EACAD,EACAtB,EAAKiB,oBACLjB,EAAKkB,qBACLP,EACAV,EACAa,EACA,CACER,MAAON,EAAK0B,SAAW,KACvBX,SAAU","file":"highlight/dts.js?v=92d947b623617aa3eece","sourcesContent":["module.exports = function(hljs) {\n var STRINGS = {\n className: 'string',\n variants: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, { begin: '((u8?|U)|L)?\"' }),\n {\n begin: '(u8?|U)?R\"', end: '\"',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '\\'\\\\\\\\?.', end: '\\'',\n illegal: '.'\n }\n ]\n };\n\n var NUMBERS = {\n className: 'number',\n variants: [\n { begin: '\\\\b(\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)(u|U|l|L|ul|UL|f|F)' },\n { begin: hljs.C_NUMBER_RE }\n ],\n relevance: 0\n };\n\n var PREPROCESSOR = {\n className: 'meta',\n begin: '#', end: '$',\n keywords: {'meta-keyword': 'if else elif endif define undef ifdef ifndef'},\n contains: [\n {\n begin: /\\\\\\n/, relevance: 0\n },\n {\n beginKeywords: 'include', end: '$',\n keywords: {'meta-keyword': 'include'},\n contains: [\n hljs.inherit(STRINGS, {className: 'meta-string'}),\n {\n className: 'meta-string',\n begin: '<', end: '>',\n illegal: '\\\\n'\n }\n ]\n },\n STRINGS,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n\n var DTS_REFERENCE = {\n className: 'variable',\n begin: '\\\\&[a-z\\\\d_]*\\\\b'\n };\n\n var DTS_KEYWORD = {\n className: 'meta-keyword',\n begin: '/[a-z][a-z\\\\d-]*/'\n };\n\n var DTS_LABEL = {\n className: 'symbol',\n begin: '^\\\\s*[a-zA-Z_][a-zA-Z\\\\d_]*:'\n };\n\n var DTS_CELL_PROPERTY = {\n className: 'params',\n begin: '<',\n end: '>',\n contains: [\n NUMBERS,\n DTS_REFERENCE\n ]\n };\n\n var DTS_NODE = {\n className: 'class',\n begin: /[a-zA-Z_][a-zA-Z\\d_@]*\\s{/,\n end: /[{;=]/,\n returnBegin: true,\n excludeEnd: true\n };\n\n var DTS_ROOT_NODE = {\n className: 'class',\n begin: '/\\\\s*{',\n end: '};',\n relevance: 10,\n contains: [\n DTS_REFERENCE,\n DTS_KEYWORD,\n DTS_LABEL,\n DTS_NODE,\n DTS_CELL_PROPERTY,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMBERS,\n STRINGS\n ]\n };\n\n return {\n keywords: \"\",\n contains: [\n DTS_ROOT_NODE,\n DTS_REFERENCE,\n DTS_KEYWORD,\n DTS_LABEL,\n DTS_NODE,\n DTS_CELL_PROPERTY,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMBERS,\n STRINGS,\n PREPROCESSOR,\n {\n begin: hljs.IDENT_RE + '::',\n keywords: \"\"\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dts.js"],"names":["module","exports","hljs","STRINGS","className","variants","inherit","QUOTE_STRING_MODE","begin","end","contains","BACKSLASH_ESCAPE","illegal","NUMBERS","C_NUMBER_RE","relevance","PREPROCESSOR","keywords","beginKeywords","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","DTS_REFERENCE","DTS_KEYWORD","DTS_LABEL","DTS_CELL_PROPERTY","DTS_NODE","returnBegin","excludeEnd","IDENT_RE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,UAAW,SACXC,SAAU,CACRH,EAAKI,QAAQJ,EAAKK,kBAAmB,CAAEC,MAAO,kBAC9C,CACEA,MAAO,aAAcC,IAAK,IAC1BC,SAAU,CAACR,EAAKS,mBAElB,CACEH,MAAO,UAAYC,IAAK,IACxBG,QAAS,OAKXC,EAAU,CACZT,UAAW,SACXC,SAAU,CACR,CAAEG,MAAO,kDACT,CAAEA,MAAON,EAAKY,cAEhBC,UAAW,GAGTC,EAAe,CACjBZ,UAAW,OACXI,MAAO,IAAKC,IAAK,IACjBQ,SAAU,CAAC,eAAgB,gDAC3BP,SAAU,CACR,CACEF,MAAO,OAAQO,UAAW,GAE5B,CACEG,cAAe,UAAWT,IAAK,IAC/BQ,SAAU,CAAC,eAAgB,WAC3BP,SAAU,CACRR,EAAKI,QAAQH,EAAS,CAACC,UAAW,gBAClC,CACEA,UAAW,cACXI,MAAO,IAAKC,IAAK,IACjBG,QAAS,SAIfT,EACAD,EAAKiB,oBACLjB,EAAKkB,uBAILC,EAAgB,CAClBjB,UAAW,WACXI,MAAO,oBAGLc,EAAc,CAChBlB,UAAW,eACXI,MAAO,qBAGLe,EAAY,CACdnB,UAAW,SACXI,MAAO,gCAGLgB,EAAoB,CACtBpB,UAAW,SACXI,MAAO,IACPC,IAAK,IACLC,SAAU,CACRG,EACAQ,IAIAI,EAAW,CACbrB,UAAW,QACXI,MAAO,4BACPC,IAAK,QACLiB,aAAa,EACbC,YAAY,GAqBd,MAAO,CACLV,SAAU,GACVP,SAAU,CApBQ,CAClBN,UAAW,QACXI,MAAO,SACPC,IAAK,KACLM,UAAW,GACXL,SAAU,CACRW,EACAC,EACAC,EACAE,EACAD,EACAtB,EAAKiB,oBACLjB,EAAKkB,qBACLP,EACAV,IAQAkB,EACAC,EACAC,EACAE,EACAD,EACAtB,EAAKiB,oBACLjB,EAAKkB,qBACLP,EACAV,EACAa,EACA,CACER,MAAON,EAAK0B,SAAW,KACvBX,SAAU","file":"highlight/dts.js?v=78f8dd3917437cc93e18","sourcesContent":["module.exports = function(hljs) {\n var STRINGS = {\n className: 'string',\n variants: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, { begin: '((u8?|U)|L)?\"' }),\n {\n begin: '(u8?|U)?R\"', end: '\"',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '\\'\\\\\\\\?.', end: '\\'',\n illegal: '.'\n }\n ]\n };\n\n var NUMBERS = {\n className: 'number',\n variants: [\n { begin: '\\\\b(\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)(u|U|l|L|ul|UL|f|F)' },\n { begin: hljs.C_NUMBER_RE }\n ],\n relevance: 0\n };\n\n var PREPROCESSOR = {\n className: 'meta',\n begin: '#', end: '$',\n keywords: {'meta-keyword': 'if else elif endif define undef ifdef ifndef'},\n contains: [\n {\n begin: /\\\\\\n/, relevance: 0\n },\n {\n beginKeywords: 'include', end: '$',\n keywords: {'meta-keyword': 'include'},\n contains: [\n hljs.inherit(STRINGS, {className: 'meta-string'}),\n {\n className: 'meta-string',\n begin: '<', end: '>',\n illegal: '\\\\n'\n }\n ]\n },\n STRINGS,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n\n var DTS_REFERENCE = {\n className: 'variable',\n begin: '\\\\&[a-z\\\\d_]*\\\\b'\n };\n\n var DTS_KEYWORD = {\n className: 'meta-keyword',\n begin: '/[a-z][a-z\\\\d-]*/'\n };\n\n var DTS_LABEL = {\n className: 'symbol',\n begin: '^\\\\s*[a-zA-Z_][a-zA-Z\\\\d_]*:'\n };\n\n var DTS_CELL_PROPERTY = {\n className: 'params',\n begin: '<',\n end: '>',\n contains: [\n NUMBERS,\n DTS_REFERENCE\n ]\n };\n\n var DTS_NODE = {\n className: 'class',\n begin: /[a-zA-Z_][a-zA-Z\\d_@]*\\s{/,\n end: /[{;=]/,\n returnBegin: true,\n excludeEnd: true\n };\n\n var DTS_ROOT_NODE = {\n className: 'class',\n begin: '/\\\\s*{',\n end: '};',\n relevance: 10,\n contains: [\n DTS_REFERENCE,\n DTS_KEYWORD,\n DTS_LABEL,\n DTS_NODE,\n DTS_CELL_PROPERTY,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMBERS,\n STRINGS\n ]\n };\n\n return {\n keywords: \"\",\n contains: [\n DTS_ROOT_NODE,\n DTS_REFERENCE,\n DTS_KEYWORD,\n DTS_LABEL,\n DTS_NODE,\n DTS_CELL_PROPERTY,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMBERS,\n STRINGS,\n PREPROCESSOR,\n {\n begin: hljs.IDENT_RE + '::',\n keywords: \"\"\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/dust.js b/js/highlight/dust.js
index e97488616..db8d8ca1f 100644
--- a/js/highlight/dust.js
+++ b/js/highlight/dust.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[50],{416:function(e,a){e.exports=function(e){return{aliases:["dst"],case_insensitive:!0,subLanguage:"xml",contains:[{className:"template-tag",begin:/\{[#\/]/,end:/\}/,illegal:/;/,contains:[{className:"name",begin:/[a-zA-Z\.-]+/,starts:{endsWithParent:!0,relevance:0,contains:[e.QUOTE_STRING_MODE]}}]},{className:"template-variable",begin:/\{/,end:/\}/,illegal:/;/,keywords:"if eq ne lt lte gt gte select default math sep"}]}}}}]);
-//# sourceMappingURL=dust.js.map?v=7cea281ba25ba8179ba6 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[50],{417:function(e,a){e.exports=function(e){return{aliases:["dst"],case_insensitive:!0,subLanguage:"xml",contains:[{className:"template-tag",begin:/\{[#\/]/,end:/\}/,illegal:/;/,contains:[{className:"name",begin:/[a-zA-Z\.-]+/,starts:{endsWithParent:!0,relevance:0,contains:[e.QUOTE_STRING_MODE]}}]},{className:"template-variable",begin:/\{/,end:/\}/,illegal:/;/,keywords:"if eq ne lt lte gt gte select default math sep"}]}}}}]);
+//# sourceMappingURL=dust.js.map?v=ee32b8fb945aa398bec1 \ No newline at end of file
diff --git a/js/highlight/dust.js.map b/js/highlight/dust.js.map
index eb5aeb17d..f5c9b4f0a 100644
--- a/js/highlight/dust.js.map
+++ b/js/highlight/dust.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dust.js"],"names":["module","exports","hljs","aliases","case_insensitive","subLanguage","contains","className","begin","end","illegal","starts","endsWithParent","relevance","QUOTE_STRING_MODE","keywords"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClBC,YAAa,MACbC,SAAU,CACR,CACEC,UAAW,eACXC,MAAO,UAAWC,IAAK,KAAMC,QAAS,IACtCJ,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,eACPG,OAAQ,CACNC,gBAAgB,EAAMC,UAAW,EACjCP,SAAU,CACRJ,EAAKY,uBAMf,CACEP,UAAW,oBACXC,MAAO,KAAMC,IAAK,KAAMC,QAAS,IACjCK,SAzBoB","file":"highlight/dust.js?v=7cea281ba25ba8179ba6","sourcesContent":["module.exports = function(hljs) {\n var EXPRESSION_KEYWORDS = 'if eq ne lt lte gt gte select default math sep';\n return {\n aliases: ['dst'],\n case_insensitive: true,\n subLanguage: 'xml',\n contains: [\n {\n className: 'template-tag',\n begin: /\\{[#\\/]/, end: /\\}/, illegal: /;/,\n contains: [\n {\n className: 'name',\n begin: /[a-zA-Z\\.-]+/,\n starts: {\n endsWithParent: true, relevance: 0,\n contains: [\n hljs.QUOTE_STRING_MODE\n ]\n }\n }\n ]\n },\n {\n className: 'template-variable',\n begin: /\\{/, end: /\\}/, illegal: /;/,\n keywords: EXPRESSION_KEYWORDS\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/dust.js"],"names":["module","exports","hljs","aliases","case_insensitive","subLanguage","contains","className","begin","end","illegal","starts","endsWithParent","relevance","QUOTE_STRING_MODE","keywords"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClBC,YAAa,MACbC,SAAU,CACR,CACEC,UAAW,eACXC,MAAO,UAAWC,IAAK,KAAMC,QAAS,IACtCJ,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,eACPG,OAAQ,CACNC,gBAAgB,EAAMC,UAAW,EACjCP,SAAU,CACRJ,EAAKY,uBAMf,CACEP,UAAW,oBACXC,MAAO,KAAMC,IAAK,KAAMC,QAAS,IACjCK,SAzBoB","file":"highlight/dust.js?v=ee32b8fb945aa398bec1","sourcesContent":["module.exports = function(hljs) {\n var EXPRESSION_KEYWORDS = 'if eq ne lt lte gt gte select default math sep';\n return {\n aliases: ['dst'],\n case_insensitive: true,\n subLanguage: 'xml',\n contains: [\n {\n className: 'template-tag',\n begin: /\\{[#\\/]/, end: /\\}/, illegal: /;/,\n contains: [\n {\n className: 'name',\n begin: /[a-zA-Z\\.-]+/,\n starts: {\n endsWithParent: true, relevance: 0,\n contains: [\n hljs.QUOTE_STRING_MODE\n ]\n }\n }\n ]\n },\n {\n className: 'template-variable',\n begin: /\\{/, end: /\\}/, illegal: /;/,\n keywords: EXPRESSION_KEYWORDS\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/ebnf.js b/js/highlight/ebnf.js
index 3b146ed0e..3b334a6c5 100644
--- a/js/highlight/ebnf.js
+++ b/js/highlight/ebnf.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[51],{417:function(n,a){n.exports=function(n){var a=n.COMMENT(/\(\*/,/\*\)/);return{illegal:/\S/,contains:[a,{className:"attribute",begin:/^[ ]*[a-zA-Z][a-zA-Z-]*([\s-]+[a-zA-Z][a-zA-Z]*)*/},{begin:/=/,end:/;/,contains:[a,{className:"meta",begin:/\?.*\?/},n.APOS_STRING_MODE,n.QUOTE_STRING_MODE]}]}}}}]);
-//# sourceMappingURL=ebnf.js.map?v=efbdc8a740fc5f59526a \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[51],{418:function(n,a){n.exports=function(n){var a=n.COMMENT(/\(\*/,/\*\)/);return{illegal:/\S/,contains:[a,{className:"attribute",begin:/^[ ]*[a-zA-Z][a-zA-Z-_]*([\s-_]+[a-zA-Z][a-zA-Z]*)*/},{begin:/=/,end:/[.;]/,contains:[a,{className:"meta",begin:/\?.*\?/},{className:"string",variants:[n.APOS_STRING_MODE,n.QUOTE_STRING_MODE,{begin:"`",end:"`"}]}]}]}}}}]);
+//# sourceMappingURL=ebnf.js.map?v=bcbee3a03044a8950774 \ No newline at end of file
diff --git a/js/highlight/ebnf.js.map b/js/highlight/ebnf.js.map
index 66d520ff1..1f98f168d 100644
--- a/js/highlight/ebnf.js.map
+++ b/js/highlight/ebnf.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ebnf.js"],"names":["module","exports","hljs","commentMode","COMMENT","illegal","contains","className","begin","end","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACtB,IAAIC,EAAcD,EAAKE,QAAQ,OAAQ,QAsBvC,MAAO,CACHC,QAAS,KACTC,SAAU,CACNH,EAvBc,CAClBI,UAAW,YACXC,MAAO,qDAQQ,CACfA,MAAO,IAAKC,IAAK,IACjBH,SAAU,CACNH,EARkB,CACtBI,UAAW,OACXC,MAAO,UASHN,EAAKQ,iBAAkBR,EAAKS","file":"highlight/ebnf.js?v=efbdc8a740fc5f59526a","sourcesContent":["module.exports = function(hljs) {\n var commentMode = hljs.COMMENT(/\\(\\*/, /\\*\\)/);\n\n var nonTerminalMode = {\n className: \"attribute\",\n begin: /^[ ]*[a-zA-Z][a-zA-Z-]*([\\s-]+[a-zA-Z][a-zA-Z]*)*/\n };\n\n var specialSequenceMode = {\n className: \"meta\",\n begin: /\\?.*\\?/\n };\n\n var ruleBodyMode = {\n begin: /=/, end: /;/,\n contains: [\n commentMode,\n specialSequenceMode,\n // terminals\n hljs.APOS_STRING_MODE, hljs.QUOTE_STRING_MODE\n ]\n };\n\n return {\n illegal: /\\S/,\n contains: [\n commentMode,\n nonTerminalMode,\n ruleBodyMode\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ebnf.js"],"names":["module","exports","hljs","commentMode","COMMENT","illegal","contains","className","begin","end","variants","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACtB,IAAIC,EAAcD,EAAKE,QAAQ,OAAQ,QA6BvC,MAAO,CACHC,QAAS,KACTC,SAAU,CACNH,EA9Bc,CAClBI,UAAW,YACXC,MAAO,uDAQQ,CACfA,MAAO,IAAKC,IAAK,OACjBH,SAAU,CACNH,EARkB,CACtBI,UAAW,OACXC,MAAO,UAQH,CAEED,UAAW,SACXG,SAAU,CACRR,EAAKS,iBACLT,EAAKU,kBACL,CAACJ,MAAO,IAAKC,IAAK","file":"highlight/ebnf.js?v=bcbee3a03044a8950774","sourcesContent":["module.exports = function(hljs) {\n var commentMode = hljs.COMMENT(/\\(\\*/, /\\*\\)/);\n\n var nonTerminalMode = {\n className: \"attribute\",\n begin: /^[ ]*[a-zA-Z][a-zA-Z-_]*([\\s-_]+[a-zA-Z][a-zA-Z]*)*/\n };\n\n var specialSequenceMode = {\n className: \"meta\",\n begin: /\\?.*\\?/\n };\n\n var ruleBodyMode = {\n begin: /=/, end: /[.;]/,\n contains: [\n commentMode,\n specialSequenceMode,\n {\n // terminals\n className: 'string',\n variants: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {begin: '`', end: '`'},\n ]\n },\n ]\n };\n\n return {\n illegal: /\\S/,\n contains: [\n commentMode,\n nonTerminalMode,\n ruleBodyMode\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/elixir.js b/js/highlight/elixir.js
index b7c1f464f..0a897f75a 100644
--- a/js/highlight/elixir.js
+++ b/js/highlight/elixir.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[52],{418:function(e,n){e.exports=function(e){var n="[a-zA-Z_][a-zA-Z0-9_.]*(\\!|\\?)?",a="and false then defined module in return redo retry end for true self when next until do begin unless nil break not case cond alias while ensure or include use alias fn quote require import with|0",s={className:"subst",begin:"#\\{",end:"}",lexemes:n,keywords:a},i={className:"string",contains:[e.BACKSLASH_ESCAPE,s],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},r={className:"function",beginKeywords:"def defp defmacro",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:n,endsParent:!0})]},o=e.inherit(r,{className:"class",beginKeywords:"defimpl defmodule defprotocol defrecord",end:/\bdo\b|$|;/}),l=[i,e.HASH_COMMENT_MODE,o,r,{begin:"::"},{className:"symbol",begin:":(?![\\s:])",contains:[i,{begin:"[a-zA-Z_]\\w*[!?=]?|[-+~]\\@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?"}],relevance:0},{className:"symbol",begin:n+":(?!:)",relevance:0},{className:"number",begin:"(\\b0o[0-7_]+)|(\\b0b[01_]+)|(\\b0x[0-9a-fA-F_]+)|(-?\\b[1-9][0-9_]*(.[0-9_]+([eE][-+]?[0-9]+)?)?)",relevance:0},{className:"variable",begin:"(\\$\\W)|((\\$|\\@\\@?)(\\w+))"},{begin:"->"},{begin:"("+e.RE_STARTERS_RE+")\\s*",contains:[e.HASH_COMMENT_MODE,{className:"regexp",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,s],variants:[{begin:"/",end:"/[a-z]*"},{begin:"%r\\[",end:"\\][a-z]*"}]}],relevance:0}];return s.contains=l,{lexemes:n,keywords:a,contains:l}}}}]);
-//# sourceMappingURL=elixir.js.map?v=0a0dc45473581e3c61a4 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[52],{419:function(e,n){e.exports=function(e){var n="[a-zA-Z_][a-zA-Z0-9_.]*(\\!|\\?)?",i="and false then defined module in return redo retry end for true self when next until do begin unless nil break not case cond alias while ensure or include use alias fn quote require import with|0",a={className:"subst",begin:"#\\{",end:"}",lexemes:n,keywords:i},s={className:"string",begin:"~[a-z](?=[/|([{<\"'])",contains:[{endsParent:!0,contains:[{contains:[e.BACKSLASH_ESCAPE,a],variants:[{begin:/"/,end:/"/},{begin:/'/,end:/'/},{begin:/\//,end:/\//},{begin:/\|/,end:/\|/},{begin:/\(/,end:/\)/},{begin:/\[/,end:/\]/},{begin:/\{/,end:/\}/},{begin:/</,end:/>/}]}]}]},b={className:"string",begin:"~[A-Z](?=[/|([{<\"'])",contains:[{begin:/"/,end:/"/},{begin:/'/,end:/'/},{begin:/\//,end:/\//},{begin:/\|/,end:/\|/},{begin:/\(/,end:/\)/},{begin:/\[/,end:/\]/},{begin:/\{/,end:/\}/},{begin:/\</,end:/\>/}]},d={className:"string",contains:[e.BACKSLASH_ESCAPE,a],variants:[{begin:/"""/,end:/"""/},{begin:/'''/,end:/'''/},{begin:/~S"""/,end:/"""/,contains:[]},{begin:/~S"/,end:/"/,contains:[]},{begin:/~S'''/,end:/'''/,contains:[]},{begin:/~S'/,end:/'/,contains:[]},{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},g={className:"function",beginKeywords:"def defp defmacro",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:n,endsParent:!0})]},o=e.inherit(g,{className:"class",beginKeywords:"defimpl defmodule defprotocol defrecord",end:/\bdo\b|$|;/}),t=[d,b,s,e.HASH_COMMENT_MODE,o,g,{begin:"::"},{className:"symbol",begin:":(?![\\s:])",contains:[d,{begin:"[a-zA-Z_]\\w*[!?=]?|[-+~]\\@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?"}],relevance:0},{className:"symbol",begin:n+":(?!:)",relevance:0},{className:"number",begin:"(\\b0o[0-7_]+)|(\\b0b[01_]+)|(\\b0x[0-9a-fA-F_]+)|(-?\\b[1-9][0-9_]*(.[0-9_]+([eE][-+]?[0-9]+)?)?)",relevance:0},{className:"variable",begin:"(\\$\\W)|((\\$|\\@\\@?)(\\w+))"},{begin:"->"},{begin:"("+e.RE_STARTERS_RE+")\\s*",contains:[e.HASH_COMMENT_MODE,{className:"regexp",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,a],variants:[{begin:"/",end:"/[a-z]*"},{begin:"%r\\[",end:"\\][a-z]*"}]}],relevance:0}];return a.contains=t,{lexemes:n,keywords:i,contains:t}}}}]);
+//# sourceMappingURL=elixir.js.map?v=7b450fbefd2e3706ec70 \ No newline at end of file
diff --git a/js/highlight/elixir.js.map b/js/highlight/elixir.js.map
index 9ae1a3b03..20ec25291 100644
--- a/js/highlight/elixir.js.map
+++ b/js/highlight/elixir.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/elixir.js"],"names":["module","exports","hljs","ELIXIR_IDENT_RE","ELIXIR_KEYWORDS","SUBST","className","begin","end","lexemes","keywords","STRING","contains","BACKSLASH_ESCAPE","variants","FUNCTION","beginKeywords","inherit","TITLE_MODE","endsParent","CLASS","ELIXIR_DEFAULT_CONTAINS","HASH_COMMENT_MODE","relevance","RE_STARTERS_RE","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAkB,oCAElBC,EACF,sMAGEC,EAAQ,CACVC,UAAW,QACXC,MAAO,OAAQC,IAAK,IACpBC,QAASN,EACTO,SAAUN,GAERO,EAAS,CACXL,UAAW,SACXM,SAAU,CAACV,EAAKW,iBAAkBR,GAClCS,SAAU,CACR,CACEP,MAAO,IAAKC,IAAK,KAEnB,CACED,MAAO,IAAKC,IAAK,OAInBO,EAAW,CACbT,UAAW,WACXU,cAAe,oBAAqBR,IAAK,OACzCI,SAAU,CACRV,EAAKe,QAAQf,EAAKgB,WAAY,CAC5BX,MAAOJ,EACPgB,YAAY,MAIdC,EAAQlB,EAAKe,QAAQF,EAAU,CACjCT,UAAW,QACXU,cAAe,0CAA2CR,IAAK,eAE7Da,EAA0B,CAC5BV,EACAT,EAAKoB,kBACLF,EACAL,EACA,CACER,MAAO,MAET,CACED,UAAW,SACXC,MAAO,cACPK,SAAU,CAACD,EAAQ,CAACJ,MAhDD,uFAiDnBgB,UAAW,GAEb,CACEjB,UAAW,SACXC,MAAOJ,EAAkB,SACzBoB,UAAW,GAEb,CACEjB,UAAW,SACXC,MAAO,qGACPgB,UAAW,GAEb,CACEjB,UAAW,WACXC,MAAO,kCAET,CACEA,MAAO,MAET,CACEA,MAAO,IAAML,EAAKsB,eAAiB,QACnCZ,SAAU,CACRV,EAAKoB,kBACL,CACEhB,UAAW,SACXmB,QAAS,MACTb,SAAU,CAACV,EAAKW,iBAAkBR,GAClCS,SAAU,CACR,CACEP,MAAO,IAAKC,IAAK,WAEnB,CACED,MAAO,QAASC,IAAK,gBAK7Be,UAAW,IAKf,OAFAlB,EAAMO,SAAWS,EAEV,CACLZ,QAASN,EACTO,SAAUN,EACVQ,SAAUS","file":"highlight/elixir.js?v=0a0dc45473581e3c61a4","sourcesContent":["module.exports = function(hljs) {\n var ELIXIR_IDENT_RE = '[a-zA-Z_][a-zA-Z0-9_.]*(\\\\!|\\\\?)?';\n var ELIXIR_METHOD_RE = '[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|=~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~`|]|\\\\[\\\\]=?';\n var ELIXIR_KEYWORDS =\n 'and false then defined module in return redo retry end for true self when ' +\n 'next until do begin unless nil break not case cond alias while ensure or ' +\n 'include use alias fn quote require import with|0';\n var SUBST = {\n className: 'subst',\n begin: '#\\\\{', end: '}',\n lexemes: ELIXIR_IDENT_RE,\n keywords: ELIXIR_KEYWORDS\n };\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {\n begin: /'/, end: /'/\n },\n {\n begin: /\"/, end: /\"/\n }\n ]\n };\n var FUNCTION = {\n className: 'function',\n beginKeywords: 'def defp defmacro', end: /\\B\\b/, // the mode is ended by the title\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n begin: ELIXIR_IDENT_RE,\n endsParent: true\n })\n ]\n };\n var CLASS = hljs.inherit(FUNCTION, {\n className: 'class',\n beginKeywords: 'defimpl defmodule defprotocol defrecord', end: /\\bdo\\b|$|;/\n });\n var ELIXIR_DEFAULT_CONTAINS = [\n STRING,\n hljs.HASH_COMMENT_MODE,\n CLASS,\n FUNCTION,\n {\n begin: '::'\n },\n {\n className: 'symbol',\n begin: ':(?![\\\\s:])',\n contains: [STRING, {begin: ELIXIR_METHOD_RE}],\n relevance: 0\n },\n {\n className: 'symbol',\n begin: ELIXIR_IDENT_RE + ':(?!:)',\n relevance: 0\n },\n {\n className: 'number',\n begin: '(\\\\b0o[0-7_]+)|(\\\\b0b[01_]+)|(\\\\b0x[0-9a-fA-F_]+)|(-?\\\\b[1-9][0-9_]*(.[0-9_]+([eE][-+]?[0-9]+)?)?)',\n relevance: 0\n },\n {\n className: 'variable',\n begin: '(\\\\$\\\\W)|((\\\\$|\\\\@\\\\@?)(\\\\w+))'\n },\n {\n begin: '->'\n },\n { // regexp container\n begin: '(' + hljs.RE_STARTERS_RE + ')\\\\s*',\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n className: 'regexp',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {\n begin: '/', end: '/[a-z]*'\n },\n {\n begin: '%r\\\\[', end: '\\\\][a-z]*'\n }\n ]\n }\n ],\n relevance: 0\n }\n ];\n SUBST.contains = ELIXIR_DEFAULT_CONTAINS;\n\n return {\n lexemes: ELIXIR_IDENT_RE,\n keywords: ELIXIR_KEYWORDS,\n contains: ELIXIR_DEFAULT_CONTAINS\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/elixir.js"],"names":["module","exports","hljs","ELIXIR_IDENT_RE","ELIXIR_KEYWORDS","SUBST","className","begin","end","lexemes","keywords","LOWERCASE_SIGIL","contains","endsParent","BACKSLASH_ESCAPE","variants","UPCASE_SIGIL","STRING","FUNCTION","beginKeywords","inherit","TITLE_MODE","CLASS","ELIXIR_DEFAULT_CONTAINS","HASH_COMMENT_MODE","relevance","RE_STARTERS_RE","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAkB,oCAElBC,EACF,sMAGEC,EAAQ,CACVC,UAAW,QACXC,MAAO,OAAQC,IAAK,IACpBC,QAASN,EACTO,SAAUN,GAIRO,EAAkB,CACpBL,UAAW,SACXC,MAAO,wBACPK,SAAU,CACR,CACEC,YAAW,EACXD,SAAU,CAAC,CACTA,SAAU,CAACV,EAAKY,iBAAkBT,GAClCU,SAAU,CACR,CAAER,MAAO,IAAKC,IAAK,KACnB,CAAED,MAAO,IAAKC,IAAK,KACnB,CAAED,MAAO,KAAMC,IAAK,MACpB,CAAED,MAAO,KAAMC,IAAK,MACpB,CAAED,MAAO,KAAMC,IAAK,MACpB,CAAED,MAAO,KAAMC,IAAK,MACpB,CAAED,MAAO,KAAMC,IAAK,MACpB,CAAED,MAAO,IAAKC,IAAK,WAOzBQ,EAAe,CACjBV,UAAW,SACXC,MAAO,wBACPK,SAAU,CACR,CAAEL,MAAO,IAAKC,IAAK,KACnB,CAAED,MAAO,IAAKC,IAAK,KACnB,CAAED,MAAO,KAAMC,IAAK,MACpB,CAAED,MAAO,KAAMC,IAAK,MACpB,CAAED,MAAO,KAAMC,IAAK,MACpB,CAAED,MAAO,KAAMC,IAAK,MACpB,CAAED,MAAO,KAAMC,IAAK,MACpB,CAAED,MAAO,KAAMC,IAAK,QAIpBS,EAAS,CACXX,UAAW,SACXM,SAAU,CAACV,EAAKY,iBAAkBT,GAClCU,SAAU,CACR,CACER,MAAO,MAAOC,IAAK,OAErB,CACED,MAAO,MAAOC,IAAK,OAErB,CACED,MAAO,QAASC,IAAK,MACrBI,SAAU,IAEZ,CACEL,MAAO,MAAOC,IAAK,IACnBI,SAAU,IAEZ,CACEL,MAAO,QAASC,IAAK,MACrBI,SAAU,IAEZ,CACEL,MAAO,MAAOC,IAAK,IACnBI,SAAU,IAEZ,CACEL,MAAO,IAAKC,IAAK,KAEnB,CACED,MAAO,IAAKC,IAAK,OAInBU,EAAW,CACbZ,UAAW,WACXa,cAAe,oBAAqBX,IAAK,OACzCI,SAAU,CACRV,EAAKkB,QAAQlB,EAAKmB,WAAY,CAC5Bd,MAAOJ,EACPU,YAAY,MAIdS,EAAQpB,EAAKkB,QAAQF,EAAU,CACjCZ,UAAW,QACXa,cAAe,0CAA2CX,IAAK,eAE7De,EAA0B,CAC5BN,EACAD,EACAL,EACAT,EAAKsB,kBACLF,EACAJ,EACA,CACEX,MAAO,MAET,CACED,UAAW,SACXC,MAAO,cACPK,SAAU,CAACK,EAAQ,CAACV,MAhHD,uFAiHnBkB,UAAW,GAEb,CACEnB,UAAW,SACXC,MAAOJ,EAAkB,SACzBsB,UAAW,GAEb,CACEnB,UAAW,SACXC,MAAO,qGACPkB,UAAW,GAEb,CACEnB,UAAW,WACXC,MAAO,kCAET,CACEA,MAAO,MAET,CACEA,MAAO,IAAML,EAAKwB,eAAiB,QACnCd,SAAU,CACRV,EAAKsB,kBACL,CACElB,UAAW,SACXqB,QAAS,MACTf,SAAU,CAACV,EAAKY,iBAAkBT,GAClCU,SAAU,CACR,CACER,MAAO,IAAKC,IAAK,WAEnB,CACED,MAAO,QAASC,IAAK,gBAK7BiB,UAAW,IAKf,OAFApB,EAAMO,SAAWW,EAEV,CACLd,QAASN,EACTO,SAAUN,EACVQ,SAAUW","file":"highlight/elixir.js?v=7b450fbefd2e3706ec70","sourcesContent":["module.exports = function(hljs) {\n var ELIXIR_IDENT_RE = '[a-zA-Z_][a-zA-Z0-9_.]*(\\\\!|\\\\?)?';\n var ELIXIR_METHOD_RE = '[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|=~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~`|]|\\\\[\\\\]=?';\n var ELIXIR_KEYWORDS =\n 'and false then defined module in return redo retry end for true self when ' +\n 'next until do begin unless nil break not case cond alias while ensure or ' +\n 'include use alias fn quote require import with|0';\n var SUBST = {\n className: 'subst',\n begin: '#\\\\{', end: '}',\n lexemes: ELIXIR_IDENT_RE,\n keywords: ELIXIR_KEYWORDS\n };\n\n var SIGIL_DELIMITERS = '[/|([{<\"\\']'\n var LOWERCASE_SIGIL = {\n className: 'string',\n begin: '~[a-z]' + '(?=' + SIGIL_DELIMITERS + ')',\n contains: [\n {\n endsParent:true,\n contains: [{\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n { begin: /\"/, end: /\"/ },\n { begin: /'/, end: /'/ },\n { begin: /\\//, end: /\\// },\n { begin: /\\|/, end: /\\|/ },\n { begin: /\\(/, end: /\\)/ },\n { begin: /\\[/, end: /\\]/ },\n { begin: /\\{/, end: /\\}/ },\n { begin: /</, end: />/ }\n ]\n }]\n },\n ],\n };\n\n var UPCASE_SIGIL = {\n className: 'string',\n begin: '~[A-Z]' + '(?=' + SIGIL_DELIMITERS + ')',\n contains: [\n { begin: /\"/, end: /\"/ },\n { begin: /'/, end: /'/ },\n { begin: /\\//, end: /\\// },\n { begin: /\\|/, end: /\\|/ },\n { begin: /\\(/, end: /\\)/ },\n { begin: /\\[/, end: /\\]/ },\n { begin: /\\{/, end: /\\}/ },\n { begin: /\\</, end: /\\>/ }\n ]\n };\n\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {\n begin: /\"\"\"/, end: /\"\"\"/,\n },\n {\n begin: /'''/, end: /'''/,\n },\n {\n begin: /~S\"\"\"/, end: /\"\"\"/,\n contains: []\n },\n {\n begin: /~S\"/, end: /\"/,\n contains: []\n },\n {\n begin: /~S'''/, end: /'''/,\n contains: []\n },\n {\n begin: /~S'/, end: /'/,\n contains: []\n },\n {\n begin: /'/, end: /'/\n },\n {\n begin: /\"/, end: /\"/\n },\n ]\n };\n var FUNCTION = {\n className: 'function',\n beginKeywords: 'def defp defmacro', end: /\\B\\b/, // the mode is ended by the title\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n begin: ELIXIR_IDENT_RE,\n endsParent: true\n })\n ]\n };\n var CLASS = hljs.inherit(FUNCTION, {\n className: 'class',\n beginKeywords: 'defimpl defmodule defprotocol defrecord', end: /\\bdo\\b|$|;/\n });\n var ELIXIR_DEFAULT_CONTAINS = [\n STRING,\n UPCASE_SIGIL,\n LOWERCASE_SIGIL,\n hljs.HASH_COMMENT_MODE,\n CLASS,\n FUNCTION,\n {\n begin: '::'\n },\n {\n className: 'symbol',\n begin: ':(?![\\\\s:])',\n contains: [STRING, {begin: ELIXIR_METHOD_RE}],\n relevance: 0\n },\n {\n className: 'symbol',\n begin: ELIXIR_IDENT_RE + ':(?!:)',\n relevance: 0\n },\n {\n className: 'number',\n begin: '(\\\\b0o[0-7_]+)|(\\\\b0b[01_]+)|(\\\\b0x[0-9a-fA-F_]+)|(-?\\\\b[1-9][0-9_]*(.[0-9_]+([eE][-+]?[0-9]+)?)?)',\n relevance: 0\n },\n {\n className: 'variable',\n begin: '(\\\\$\\\\W)|((\\\\$|\\\\@\\\\@?)(\\\\w+))'\n },\n {\n begin: '->'\n },\n { // regexp container\n begin: '(' + hljs.RE_STARTERS_RE + ')\\\\s*',\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n className: 'regexp',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {\n begin: '/', end: '/[a-z]*'\n },\n {\n begin: '%r\\\\[', end: '\\\\][a-z]*'\n }\n ]\n }\n ],\n relevance: 0\n }\n ];\n SUBST.contains = ELIXIR_DEFAULT_CONTAINS;\n\n return {\n lexemes: ELIXIR_IDENT_RE,\n keywords: ELIXIR_KEYWORDS,\n contains: ELIXIR_DEFAULT_CONTAINS\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/elm.js b/js/highlight/elm.js
index 1f41dab75..9b068a567 100644
--- a/js/highlight/elm.js
+++ b/js/highlight/elm.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[53],{419:function(e,n){e.exports=function(e){var n={variants:[e.COMMENT("--","$"),e.COMMENT("{-","-}",{contains:["self"]})]},i={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},o={begin:"\\(",end:"\\)",illegal:'"',contains:[{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},n]};return{keywords:"let in if then else case of where module import exposing type alias as infix infixl infixr port effect command subscription",contains:[{beginKeywords:"port effect module",end:"exposing",keywords:"port effect module where command subscription exposing",contains:[o,n],illegal:"\\W\\.|;"},{begin:"import",end:"$",keywords:"import as exposing",contains:[o,n],illegal:"\\W\\.|;"},{begin:"type",end:"$",keywords:"type alias",contains:[i,o,{begin:"{",end:"}",contains:o.contains},n]},{beginKeywords:"infix infixl infixr",end:"$",contains:[e.C_NUMBER_MODE,n]},{begin:"port",end:"$",keywords:"port",contains:[n]},{className:"string",begin:"'\\\\?.",end:"'",illegal:"."},e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,i,e.inherit(e.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),n,{begin:"->|<-"}],illegal:/;/}}}}]);
-//# sourceMappingURL=elm.js.map?v=7f111a57a9520e4338e6 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[53],{420:function(e,n){e.exports=function(e){var n={variants:[e.COMMENT("--","$"),e.COMMENT("{-","-}",{contains:["self"]})]},i={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},o={begin:"\\(",end:"\\)",illegal:'"',contains:[{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},n]};return{keywords:"let in if then else case of where module import exposing type alias as infix infixl infixr port effect command subscription",contains:[{beginKeywords:"port effect module",end:"exposing",keywords:"port effect module where command subscription exposing",contains:[o,n],illegal:"\\W\\.|;"},{begin:"import",end:"$",keywords:"import as exposing",contains:[o,n],illegal:"\\W\\.|;"},{begin:"type",end:"$",keywords:"type alias",contains:[i,o,{begin:"{",end:"}",contains:o.contains},n]},{beginKeywords:"infix infixl infixr",end:"$",contains:[e.C_NUMBER_MODE,n]},{begin:"port",end:"$",keywords:"port",contains:[n]},{className:"string",begin:"'\\\\?.",end:"'",illegal:"."},e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,i,e.inherit(e.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),n,{begin:"->|<-"}],illegal:/;/}}}}]);
+//# sourceMappingURL=elm.js.map?v=2926d9f5b9996ea173dc \ No newline at end of file
diff --git a/js/highlight/elm.js.map b/js/highlight/elm.js.map
index b24c52016..89cf89380 100644
--- a/js/highlight/elm.js.map
+++ b/js/highlight/elm.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/elm.js"],"names":["module","exports","hljs","COMMENT","variants","contains","CONSTRUCTOR","className","begin","relevance","LIST","end","illegal","keywords","beginKeywords","C_NUMBER_MODE","QUOTE_STRING_MODE","inherit","TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,SAAU,CACRF,EAAKC,QAAQ,KAAM,KACnBD,EAAKC,QACH,KACA,KACA,CACEE,SAAU,CAAC,YAMfC,EAAc,CAChBC,UAAW,OACXC,MAAO,kBACPC,UAAW,GAGTC,EAAO,CACTF,MAAO,MAAOG,IAAK,MACnBC,QAAS,IACTP,SAAU,CACR,CAACE,UAAW,OAAQC,MAAO,0CAC3BL,IAeJ,MAAO,CACLU,SACE,8HAEFR,SAAU,CAIR,CACES,cAAe,qBAAsBH,IAAK,WAC1CE,SAAU,yDACVR,SAAU,CAACK,EAAMP,GACjBS,QAAS,YAEX,CACEJ,MAAO,SAAUG,IAAK,IACtBE,SAAU,qBACVR,SAAU,CAACK,EAAMP,GACjBS,QAAS,YAEX,CACEJ,MAAO,OAAQG,IAAK,IACpBE,SAAU,aACVR,SAAU,CAACC,EAAaI,EAlCjB,CACXF,MAAO,IAAKG,IAAK,IACjBN,SAAUK,EAAKL,UAgC2BF,IAExC,CACEW,cAAe,sBAAuBH,IAAK,IAC3CN,SAAU,CAACH,EAAKa,cAAeZ,IAEjC,CACEK,MAAO,OAAQG,IAAK,IACpBE,SAAU,OACVR,SAAU,CAACF,IAtCD,CACdI,UAAW,SACXC,MAAO,UAAYG,IAAK,IACxBC,QAAS,KAyCPV,EAAKc,kBACLd,EAAKa,cACLT,EACAJ,EAAKe,QAAQf,EAAKgB,WAAY,CAACV,MAAO,mBACtCL,EAEA,CAACK,MAAO,UAEVI,QAAS","file":"highlight/elm.js?v=7f111a57a9520e4338e6","sourcesContent":["module.exports = function(hljs) {\n var COMMENT = {\n variants: [\n hljs.COMMENT('--', '$'),\n hljs.COMMENT(\n '{-',\n '-}',\n {\n contains: ['self']\n }\n )\n ]\n };\n\n var CONSTRUCTOR = {\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\']*', // TODO: other constructors (built-in, infix).\n relevance: 0\n };\n\n var LIST = {\n begin: '\\\\(', end: '\\\\)',\n illegal: '\"',\n contains: [\n {className: 'type', begin: '\\\\b[A-Z][\\\\w]*(\\\\((\\\\.\\\\.|,|\\\\w+)\\\\))?'},\n COMMENT\n ]\n };\n\n var RECORD = {\n begin: '{', end: '}',\n contains: LIST.contains\n };\n\n var CHARACTER = {\n className: 'string',\n begin: '\\'\\\\\\\\?.', end: '\\'',\n illegal: '.'\n };\n\n return {\n keywords:\n 'let in if then else case of where module import exposing ' +\n 'type alias as infix infixl infixr port effect command subscription',\n contains: [\n\n // Top-level constructions.\n\n {\n beginKeywords: 'port effect module', end: 'exposing',\n keywords: 'port effect module where command subscription exposing',\n contains: [LIST, COMMENT],\n illegal: '\\\\W\\\\.|;'\n },\n {\n begin: 'import', end: '$',\n keywords: 'import as exposing',\n contains: [LIST, COMMENT],\n illegal: '\\\\W\\\\.|;'\n },\n {\n begin: 'type', end: '$',\n keywords: 'type alias',\n contains: [CONSTRUCTOR, LIST, RECORD, COMMENT]\n },\n {\n beginKeywords: 'infix infixl infixr', end: '$',\n contains: [hljs.C_NUMBER_MODE, COMMENT]\n },\n {\n begin: 'port', end: '$',\n keywords: 'port',\n contains: [COMMENT]\n },\n\n // Literals and names.\n\n CHARACTER,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n CONSTRUCTOR,\n hljs.inherit(hljs.TITLE_MODE, {begin: '^[_a-z][\\\\w\\']*'}),\n COMMENT,\n\n {begin: '->|<-'} // No markup, relevance booster\n ],\n illegal: /;/\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/elm.js"],"names":["module","exports","hljs","COMMENT","variants","contains","CONSTRUCTOR","className","begin","relevance","LIST","end","illegal","keywords","beginKeywords","C_NUMBER_MODE","QUOTE_STRING_MODE","inherit","TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,SAAU,CACRF,EAAKC,QAAQ,KAAM,KACnBD,EAAKC,QACH,KACA,KACA,CACEE,SAAU,CAAC,YAMfC,EAAc,CAChBC,UAAW,OACXC,MAAO,kBACPC,UAAW,GAGTC,EAAO,CACTF,MAAO,MAAOG,IAAK,MACnBC,QAAS,IACTP,SAAU,CACR,CAACE,UAAW,OAAQC,MAAO,0CAC3BL,IAeJ,MAAO,CACLU,SACE,8HAEFR,SAAU,CAIR,CACES,cAAe,qBAAsBH,IAAK,WAC1CE,SAAU,yDACVR,SAAU,CAACK,EAAMP,GACjBS,QAAS,YAEX,CACEJ,MAAO,SAAUG,IAAK,IACtBE,SAAU,qBACVR,SAAU,CAACK,EAAMP,GACjBS,QAAS,YAEX,CACEJ,MAAO,OAAQG,IAAK,IACpBE,SAAU,aACVR,SAAU,CAACC,EAAaI,EAlCjB,CACXF,MAAO,IAAKG,IAAK,IACjBN,SAAUK,EAAKL,UAgC2BF,IAExC,CACEW,cAAe,sBAAuBH,IAAK,IAC3CN,SAAU,CAACH,EAAKa,cAAeZ,IAEjC,CACEK,MAAO,OAAQG,IAAK,IACpBE,SAAU,OACVR,SAAU,CAACF,IAtCD,CACdI,UAAW,SACXC,MAAO,UAAYG,IAAK,IACxBC,QAAS,KAyCPV,EAAKc,kBACLd,EAAKa,cACLT,EACAJ,EAAKe,QAAQf,EAAKgB,WAAY,CAACV,MAAO,mBACtCL,EAEA,CAACK,MAAO,UAEVI,QAAS","file":"highlight/elm.js?v=2926d9f5b9996ea173dc","sourcesContent":["module.exports = function(hljs) {\n var COMMENT = {\n variants: [\n hljs.COMMENT('--', '$'),\n hljs.COMMENT(\n '{-',\n '-}',\n {\n contains: ['self']\n }\n )\n ]\n };\n\n var CONSTRUCTOR = {\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\']*', // TODO: other constructors (built-in, infix).\n relevance: 0\n };\n\n var LIST = {\n begin: '\\\\(', end: '\\\\)',\n illegal: '\"',\n contains: [\n {className: 'type', begin: '\\\\b[A-Z][\\\\w]*(\\\\((\\\\.\\\\.|,|\\\\w+)\\\\))?'},\n COMMENT\n ]\n };\n\n var RECORD = {\n begin: '{', end: '}',\n contains: LIST.contains\n };\n\n var CHARACTER = {\n className: 'string',\n begin: '\\'\\\\\\\\?.', end: '\\'',\n illegal: '.'\n };\n\n return {\n keywords:\n 'let in if then else case of where module import exposing ' +\n 'type alias as infix infixl infixr port effect command subscription',\n contains: [\n\n // Top-level constructions.\n\n {\n beginKeywords: 'port effect module', end: 'exposing',\n keywords: 'port effect module where command subscription exposing',\n contains: [LIST, COMMENT],\n illegal: '\\\\W\\\\.|;'\n },\n {\n begin: 'import', end: '$',\n keywords: 'import as exposing',\n contains: [LIST, COMMENT],\n illegal: '\\\\W\\\\.|;'\n },\n {\n begin: 'type', end: '$',\n keywords: 'type alias',\n contains: [CONSTRUCTOR, LIST, RECORD, COMMENT]\n },\n {\n beginKeywords: 'infix infixl infixr', end: '$',\n contains: [hljs.C_NUMBER_MODE, COMMENT]\n },\n {\n begin: 'port', end: '$',\n keywords: 'port',\n contains: [COMMENT]\n },\n\n // Literals and names.\n\n CHARACTER,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n CONSTRUCTOR,\n hljs.inherit(hljs.TITLE_MODE, {begin: '^[_a-z][\\\\w\\']*'}),\n COMMENT,\n\n {begin: '->|<-'} // No markup, relevance booster\n ],\n illegal: /;/\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/erb.js b/js/highlight/erb.js
index 04be56c56..63aaced42 100644
--- a/js/highlight/erb.js
+++ b/js/highlight/erb.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[54],{420:function(n,e){n.exports=function(n){return{subLanguage:"xml",contains:[n.COMMENT("<%#","%>"),{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}}}]);
-//# sourceMappingURL=erb.js.map?v=6ccc1724f23c9880b1af \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[54],{421:function(n,e){n.exports=function(n){return{subLanguage:"xml",contains:[n.COMMENT("<%#","%>"),{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}}}]);
+//# sourceMappingURL=erb.js.map?v=528937053c9973030a88 \ No newline at end of file
diff --git a/js/highlight/erb.js.map b/js/highlight/erb.js.map
index eebc54f27..1bde15341 100644
--- a/js/highlight/erb.js.map
+++ b/js/highlight/erb.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/erb.js"],"names":["module","exports","hljs","subLanguage","contains","COMMENT","begin","end","excludeBegin","excludeEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,YAAa,MACbC,SAAU,CACRF,EAAKG,QAAQ,MAAO,MACpB,CACEC,MAAO,WAAYC,IAAK,UACxBJ,YAAa,OACbK,cAAc,EACdC,YAAY","file":"highlight/erb.js?v=6ccc1724f23c9880b1af","sourcesContent":["module.exports = function(hljs) {\n return {\n subLanguage: 'xml',\n contains: [\n hljs.COMMENT('<%#', '%>'),\n {\n begin: '<%[%=-]?', end: '[%-]?%>',\n subLanguage: 'ruby',\n excludeBegin: true,\n excludeEnd: true\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/erb.js"],"names":["module","exports","hljs","subLanguage","contains","COMMENT","begin","end","excludeBegin","excludeEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,YAAa,MACbC,SAAU,CACRF,EAAKG,QAAQ,MAAO,MACpB,CACEC,MAAO,WAAYC,IAAK,UACxBJ,YAAa,OACbK,cAAc,EACdC,YAAY","file":"highlight/erb.js?v=528937053c9973030a88","sourcesContent":["module.exports = function(hljs) {\n return {\n subLanguage: 'xml',\n contains: [\n hljs.COMMENT('<%#', '%>'),\n {\n begin: '<%[%=-]?', end: '[%-]?%>',\n subLanguage: 'ruby',\n excludeBegin: true,\n excludeEnd: true\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/erlang-repl.js b/js/highlight/erlang-repl.js
index 61f207a06..85ba32d75 100644
--- a/js/highlight/erlang-repl.js
+++ b/js/highlight/erlang-repl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[56],{421:function(e,n){e.exports=function(e){return{keywords:{built_in:"spawn spawn_link self",keyword:"after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor"},contains:[{className:"meta",begin:"^[0-9]+> ",relevance:10},e.COMMENT("%","$"),{className:"number",begin:"\\b(\\d+#[a-fA-F0-9]+|\\d+(\\.\\d+)?([eE][-+]?\\d+)?)",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\?(::)?([A-Z]\\w*(::)?)+"},{begin:"->"},{begin:"ok"},{begin:"!"},{begin:"(\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\b[a-z'][a-zA-Z0-9_']*)",relevance:0},{begin:"[A-Z][a-zA-Z0-9_']*",relevance:0}]}}}}]);
-//# sourceMappingURL=erlang-repl.js.map?v=0ea1e64f690e80a51ef8 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[56],{422:function(e,n){e.exports=function(e){return{keywords:{built_in:"spawn spawn_link self",keyword:"after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor"},contains:[{className:"meta",begin:"^[0-9]+> ",relevance:10},e.COMMENT("%","$"),{className:"number",begin:"\\b(\\d+#[a-fA-F0-9]+|\\d+(\\.\\d+)?([eE][-+]?\\d+)?)",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\?(::)?([A-Z]\\w*(::)?)+"},{begin:"->"},{begin:"ok"},{begin:"!"},{begin:"(\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\b[a-z'][a-zA-Z0-9_']*)",relevance:0},{begin:"[A-Z][a-zA-Z0-9_']*",relevance:0}]}}}}]);
+//# sourceMappingURL=erlang-repl.js.map?v=9260d01a166e0b5a57a6 \ No newline at end of file
diff --git a/js/highlight/erlang-repl.js.map b/js/highlight/erlang-repl.js.map
index 794609cea..554aff881 100644
--- a/js/highlight/erlang-repl.js.map
+++ b/js/highlight/erlang-repl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/erlang-repl.js"],"names":["module","exports","hljs","keywords","built_in","keyword","contains","className","begin","relevance","COMMENT","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,SACE,wBACFC,QACE,+IAGJC,SAAU,CACR,CACEC,UAAW,OAAQC,MAAO,YAC1BC,UAAW,IAEbP,EAAKQ,QAAQ,IAAK,KAClB,CACEH,UAAW,SACXC,MAAO,wDACPC,UAAW,GAEbP,EAAKS,iBACLT,EAAKU,kBACL,CACEJ,MAAO,6BAET,CACEA,MAAO,MAET,CACEA,MAAO,MAET,CACEA,MAAO,KAET,CACEA,MAAO,2EACPC,UAAW,GAEb,CACED,MAAO,sBACPC,UAAW","file":"highlight/erlang-repl.js?v=0ea1e64f690e80a51ef8","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n built_in:\n 'spawn spawn_link self',\n keyword:\n 'after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if ' +\n 'let not of or orelse|10 query receive rem try when xor'\n },\n contains: [\n {\n className: 'meta', begin: '^[0-9]+> ',\n relevance: 10\n },\n hljs.COMMENT('%', '$'),\n {\n className: 'number',\n begin: '\\\\b(\\\\d+#[a-fA-F0-9]+|\\\\d+(\\\\.\\\\d+)?([eE][-+]?\\\\d+)?)',\n relevance: 0\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n begin: '\\\\?(::)?([A-Z]\\\\w*(::)?)+'\n },\n {\n begin: '->'\n },\n {\n begin: 'ok'\n },\n {\n begin: '!'\n },\n {\n begin: '(\\\\b[a-z\\'][a-zA-Z0-9_\\']*:[a-z\\'][a-zA-Z0-9_\\']*)|(\\\\b[a-z\\'][a-zA-Z0-9_\\']*)',\n relevance: 0\n },\n {\n begin: '[A-Z][a-zA-Z0-9_\\']*',\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/erlang-repl.js"],"names":["module","exports","hljs","keywords","built_in","keyword","contains","className","begin","relevance","COMMENT","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,SACE,wBACFC,QACE,+IAGJC,SAAU,CACR,CACEC,UAAW,OAAQC,MAAO,YAC1BC,UAAW,IAEbP,EAAKQ,QAAQ,IAAK,KAClB,CACEH,UAAW,SACXC,MAAO,wDACPC,UAAW,GAEbP,EAAKS,iBACLT,EAAKU,kBACL,CACEJ,MAAO,6BAET,CACEA,MAAO,MAET,CACEA,MAAO,MAET,CACEA,MAAO,KAET,CACEA,MAAO,2EACPC,UAAW,GAEb,CACED,MAAO,sBACPC,UAAW","file":"highlight/erlang-repl.js?v=9260d01a166e0b5a57a6","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n built_in:\n 'spawn spawn_link self',\n keyword:\n 'after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if ' +\n 'let not of or orelse|10 query receive rem try when xor'\n },\n contains: [\n {\n className: 'meta', begin: '^[0-9]+> ',\n relevance: 10\n },\n hljs.COMMENT('%', '$'),\n {\n className: 'number',\n begin: '\\\\b(\\\\d+#[a-fA-F0-9]+|\\\\d+(\\\\.\\\\d+)?([eE][-+]?\\\\d+)?)',\n relevance: 0\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n begin: '\\\\?(::)?([A-Z]\\\\w*(::)?)+'\n },\n {\n begin: '->'\n },\n {\n begin: 'ok'\n },\n {\n begin: '!'\n },\n {\n begin: '(\\\\b[a-z\\'][a-zA-Z0-9_\\']*:[a-z\\'][a-zA-Z0-9_\\']*)|(\\\\b[a-z\\'][a-zA-Z0-9_\\']*)',\n relevance: 0\n },\n {\n begin: '[A-Z][a-zA-Z0-9_\\']*',\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/erlang.js b/js/highlight/erlang.js
index b69a93593..c6fc674eb 100644
--- a/js/highlight/erlang.js
+++ b/js/highlight/erlang.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[55],{422:function(e,n){e.exports=function(e){var n="[a-z'][a-zA-Z0-9_']*",i="("+n+":"+n+"|"+n+")",a={keyword:"after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor",literal:"false true"},r=e.COMMENT("%","$"),c={className:"number",begin:"\\b(\\d+#[a-fA-F0-9]+|\\d+(\\.\\d+)?([eE][-+]?\\d+)?)",relevance:0},s={begin:"fun\\s+"+n+"/\\d+"},o={begin:i+"\\(",end:"\\)",returnBegin:!0,relevance:0,contains:[{begin:i,relevance:0},{begin:"\\(",end:"\\)",endsWithParent:!0,returnEnd:!0,relevance:0}]},t={begin:"{",end:"}",relevance:0},d={begin:"\\b_([A-Z][A-Za-z0-9_]*)?",relevance:0},l={begin:"[A-Z][a-zA-Z0-9_]*",relevance:0},b={begin:"#"+e.UNDERSCORE_IDENT_RE,relevance:0,returnBegin:!0,contains:[{begin:"#"+e.UNDERSCORE_IDENT_RE,relevance:0},{begin:"{",end:"}",relevance:0}]},g={beginKeywords:"fun receive if try case",end:"end",keywords:a};g.contains=[r,s,e.inherit(e.APOS_STRING_MODE,{className:""}),g,o,e.QUOTE_STRING_MODE,c,t,d,l,b];var u=[r,s,g,o,e.QUOTE_STRING_MODE,c,t,d,l,b];o.contains[1].contains=u,t.contains=u,b.contains[1].contains=u;var E={className:"params",begin:"\\(",end:"\\)",contains:u};return{aliases:["erl"],keywords:a,illegal:"(</|\\*=|\\+=|-=|/\\*|\\*/|\\(\\*|\\*\\))",contains:[{className:"function",begin:"^"+n+"\\s*\\(",end:"->",returnBegin:!0,illegal:"\\(|#|//|/\\*|\\\\|:|;",contains:[E,e.inherit(e.TITLE_MODE,{begin:n})],starts:{end:";|\\.",keywords:a,contains:u}},r,{begin:"^-",end:"\\.",relevance:0,excludeEnd:!0,returnBegin:!0,lexemes:"-"+e.IDENT_RE,keywords:"-module -record -undef -export -ifdef -ifndef -author -copyright -doc -vsn -import -include -include_lib -compile -define -else -endif -file -behaviour -behavior -spec",contains:[E]},c,e.QUOTE_STRING_MODE,b,d,l,t,{begin:/\.$/}]}}}}]);
-//# sourceMappingURL=erlang.js.map?v=1db2104639486ce6a886 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[55],{423:function(e,n){e.exports=function(e){var n="[a-z'][a-zA-Z0-9_']*",i="("+n+":"+n+"|"+n+")",a={keyword:"after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor",literal:"false true"},r=e.COMMENT("%","$"),c={className:"number",begin:"\\b(\\d+#[a-fA-F0-9]+|\\d+(\\.\\d+)?([eE][-+]?\\d+)?)",relevance:0},s={begin:"fun\\s+"+n+"/\\d+"},o={begin:i+"\\(",end:"\\)",returnBegin:!0,relevance:0,contains:[{begin:i,relevance:0},{begin:"\\(",end:"\\)",endsWithParent:!0,returnEnd:!0,relevance:0}]},t={begin:"{",end:"}",relevance:0},d={begin:"\\b_([A-Z][A-Za-z0-9_]*)?",relevance:0},l={begin:"[A-Z][a-zA-Z0-9_]*",relevance:0},b={begin:"#"+e.UNDERSCORE_IDENT_RE,relevance:0,returnBegin:!0,contains:[{begin:"#"+e.UNDERSCORE_IDENT_RE,relevance:0},{begin:"{",end:"}",relevance:0}]},g={beginKeywords:"fun receive if try case",end:"end",keywords:a};g.contains=[r,s,e.inherit(e.APOS_STRING_MODE,{className:""}),g,o,e.QUOTE_STRING_MODE,c,t,d,l,b];var u=[r,s,g,o,e.QUOTE_STRING_MODE,c,t,d,l,b];o.contains[1].contains=u,t.contains=u,b.contains[1].contains=u;var E={className:"params",begin:"\\(",end:"\\)",contains:u};return{aliases:["erl"],keywords:a,illegal:"(</|\\*=|\\+=|-=|/\\*|\\*/|\\(\\*|\\*\\))",contains:[{className:"function",begin:"^"+n+"\\s*\\(",end:"->",returnBegin:!0,illegal:"\\(|#|//|/\\*|\\\\|:|;",contains:[E,e.inherit(e.TITLE_MODE,{begin:n})],starts:{end:";|\\.",keywords:a,contains:u}},r,{begin:"^-",end:"\\.",relevance:0,excludeEnd:!0,returnBegin:!0,lexemes:"-"+e.IDENT_RE,keywords:"-module -record -undef -export -ifdef -ifndef -author -copyright -doc -vsn -import -include -include_lib -compile -define -else -endif -file -behaviour -behavior -spec",contains:[E]},c,e.QUOTE_STRING_MODE,b,d,l,t,{begin:/\.$/}]}}}}]);
+//# sourceMappingURL=erlang.js.map?v=2b7f25a3f54ef89fa6e3 \ No newline at end of file
diff --git a/js/highlight/erlang.js.map b/js/highlight/erlang.js.map
index 9476f7f09..67f4970a0 100644
--- a/js/highlight/erlang.js.map
+++ b/js/highlight/erlang.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/erlang.js"],"names":["module","exports","hljs","BASIC_ATOM_RE","FUNCTION_NAME_RE","ERLANG_RESERVED","keyword","literal","COMMENT","NUMBER","className","begin","relevance","NAMED_FUN","FUNCTION_CALL","end","returnBegin","contains","endsWithParent","returnEnd","TUPLE","VAR1","VAR2","RECORD_ACCESS","UNDERSCORE_IDENT_RE","BLOCK_STATEMENTS","beginKeywords","keywords","inherit","APOS_STRING_MODE","QUOTE_STRING_MODE","BASIC_MODES","PARAMS","aliases","illegal","TITLE_MODE","starts","excludeEnd","lexemes","IDENT_RE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAgB,uBAChBC,EAAmB,IAAMD,EAAgB,IAAMA,EAAgB,IAAMA,EAAgB,IACrFE,EAAkB,CACpBC,QACE,2IAEFC,QACE,cAGAC,EAAUN,EAAKM,QAAQ,IAAK,KAC5BC,EAAS,CACXC,UAAW,SACXC,MAAO,wDACPC,UAAW,GAETC,EAAY,CACdF,MAAO,UAAYR,EAAgB,SAEjCW,EAAgB,CAClBH,MAAOP,EAAmB,MAAOW,IAAK,MACtCC,aAAa,EACbJ,UAAW,EACXK,SAAU,CACR,CACEN,MAAOP,EAAkBQ,UAAW,GAEtC,CACED,MAAO,MAAOI,IAAK,MAAOG,gBAAgB,EAC1CC,WAAW,EACXP,UAAW,KAKbQ,EAAQ,CACVT,MAAO,IAAKI,IAAK,IACjBH,UAAW,GAGTS,EAAO,CACTV,MAAO,4BACPC,UAAW,GAETU,EAAO,CACTX,MAAO,qBACPC,UAAW,GAETW,EAAgB,CAClBZ,MAAO,IAAMT,EAAKsB,oBAClBZ,UAAW,EACXI,aAAa,EACbC,SAAU,CACR,CACEN,MAAO,IAAMT,EAAKsB,oBAClBZ,UAAW,GAEb,CACED,MAAO,IAAKI,IAAK,IACjBH,UAAW,KAMba,EAAmB,CACrBC,cAAe,0BAA2BX,IAAK,MAC/CY,SAAUtB,GAEZoB,EAAiBR,SAAW,CAC1BT,EACAK,EACAX,EAAK0B,QAAQ1B,EAAK2B,iBAAkB,CAACnB,UAAW,KAChDe,EACAX,EACAZ,EAAK4B,kBACLrB,EACAW,EACAC,EAAMC,EACNC,GAGF,IAAIQ,EAAc,CAChBvB,EACAK,EACAY,EACAX,EACAZ,EAAK4B,kBACLrB,EACAW,EACAC,EAAMC,EACNC,GAEFT,EAAcG,SAAS,GAAGA,SAAWc,EACrCX,EAAMH,SAAWc,EACjBR,EAAcN,SAAS,GAAGA,SAAWc,EAErC,IAAIC,EAAS,CACXtB,UAAW,SACXC,MAAO,MAAOI,IAAK,MACnBE,SAAUc,GAEZ,MAAO,CACLE,QAAS,CAAC,OACVN,SAAUtB,EACV6B,QAAS,4CACTjB,SAAU,CACR,CACEP,UAAW,WACXC,MAAO,IAAMR,EAAgB,UAAWY,IAAK,KAC7CC,aAAa,EACbkB,QAAS,yBACTjB,SAAU,CACRe,EACA9B,EAAK0B,QAAQ1B,EAAKiC,WAAY,CAACxB,MAAOR,KAExCiC,OAAQ,CACNrB,IAAK,QACLY,SAAUtB,EACVY,SAAUc,IAGdvB,EACA,CACEG,MAAO,KAAMI,IAAK,MAClBH,UAAW,EACXyB,YAAY,EACZrB,aAAa,EACbsB,QAAS,IAAMpC,EAAKqC,SACpBZ,SACE,0KAGFV,SAAU,CAACe,IAEbvB,EACAP,EAAK4B,kBACLP,EACAF,EAAMC,EACNF,EACA,CAACT,MAAO","file":"highlight/erlang.js?v=1db2104639486ce6a886","sourcesContent":["module.exports = function(hljs) {\n var BASIC_ATOM_RE = '[a-z\\'][a-zA-Z0-9_\\']*';\n var FUNCTION_NAME_RE = '(' + BASIC_ATOM_RE + ':' + BASIC_ATOM_RE + '|' + BASIC_ATOM_RE + ')';\n var ERLANG_RESERVED = {\n keyword:\n 'after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if ' +\n 'let not of orelse|10 query receive rem try when xor',\n literal:\n 'false true'\n };\n\n var COMMENT = hljs.COMMENT('%', '$');\n var NUMBER = {\n className: 'number',\n begin: '\\\\b(\\\\d+#[a-fA-F0-9]+|\\\\d+(\\\\.\\\\d+)?([eE][-+]?\\\\d+)?)',\n relevance: 0\n };\n var NAMED_FUN = {\n begin: 'fun\\\\s+' + BASIC_ATOM_RE + '/\\\\d+'\n };\n var FUNCTION_CALL = {\n begin: FUNCTION_NAME_RE + '\\\\(', end: '\\\\)',\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n begin: FUNCTION_NAME_RE, relevance: 0\n },\n {\n begin: '\\\\(', end: '\\\\)', endsWithParent: true,\n returnEnd: true,\n relevance: 0\n // \"contains\" defined later\n }\n ]\n };\n var TUPLE = {\n begin: '{', end: '}',\n relevance: 0\n // \"contains\" defined later\n };\n var VAR1 = {\n begin: '\\\\b_([A-Z][A-Za-z0-9_]*)?',\n relevance: 0\n };\n var VAR2 = {\n begin: '[A-Z][a-zA-Z0-9_]*',\n relevance: 0\n };\n var RECORD_ACCESS = {\n begin: '#' + hljs.UNDERSCORE_IDENT_RE,\n relevance: 0,\n returnBegin: true,\n contains: [\n {\n begin: '#' + hljs.UNDERSCORE_IDENT_RE,\n relevance: 0\n },\n {\n begin: '{', end: '}',\n relevance: 0\n // \"contains\" defined later\n }\n ]\n };\n\n var BLOCK_STATEMENTS = {\n beginKeywords: 'fun receive if try case', end: 'end',\n keywords: ERLANG_RESERVED\n };\n BLOCK_STATEMENTS.contains = [\n COMMENT,\n NAMED_FUN,\n hljs.inherit(hljs.APOS_STRING_MODE, {className: ''}),\n BLOCK_STATEMENTS,\n FUNCTION_CALL,\n hljs.QUOTE_STRING_MODE,\n NUMBER,\n TUPLE,\n VAR1, VAR2,\n RECORD_ACCESS\n ];\n\n var BASIC_MODES = [\n COMMENT,\n NAMED_FUN,\n BLOCK_STATEMENTS,\n FUNCTION_CALL,\n hljs.QUOTE_STRING_MODE,\n NUMBER,\n TUPLE,\n VAR1, VAR2,\n RECORD_ACCESS\n ];\n FUNCTION_CALL.contains[1].contains = BASIC_MODES;\n TUPLE.contains = BASIC_MODES;\n RECORD_ACCESS.contains[1].contains = BASIC_MODES;\n\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n contains: BASIC_MODES\n };\n return {\n aliases: ['erl'],\n keywords: ERLANG_RESERVED,\n illegal: '(</|\\\\*=|\\\\+=|-=|/\\\\*|\\\\*/|\\\\(\\\\*|\\\\*\\\\))',\n contains: [\n {\n className: 'function',\n begin: '^' + BASIC_ATOM_RE + '\\\\s*\\\\(', end: '->',\n returnBegin: true,\n illegal: '\\\\(|#|//|/\\\\*|\\\\\\\\|:|;',\n contains: [\n PARAMS,\n hljs.inherit(hljs.TITLE_MODE, {begin: BASIC_ATOM_RE})\n ],\n starts: {\n end: ';|\\\\.',\n keywords: ERLANG_RESERVED,\n contains: BASIC_MODES\n }\n },\n COMMENT,\n {\n begin: '^-', end: '\\\\.',\n relevance: 0,\n excludeEnd: true,\n returnBegin: true,\n lexemes: '-' + hljs.IDENT_RE,\n keywords:\n '-module -record -undef -export -ifdef -ifndef -author -copyright -doc -vsn ' +\n '-import -include -include_lib -compile -define -else -endif -file -behaviour ' +\n '-behavior -spec',\n contains: [PARAMS]\n },\n NUMBER,\n hljs.QUOTE_STRING_MODE,\n RECORD_ACCESS,\n VAR1, VAR2,\n TUPLE,\n {begin: /\\.$/} // relevance booster\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/erlang.js"],"names":["module","exports","hljs","BASIC_ATOM_RE","FUNCTION_NAME_RE","ERLANG_RESERVED","keyword","literal","COMMENT","NUMBER","className","begin","relevance","NAMED_FUN","FUNCTION_CALL","end","returnBegin","contains","endsWithParent","returnEnd","TUPLE","VAR1","VAR2","RECORD_ACCESS","UNDERSCORE_IDENT_RE","BLOCK_STATEMENTS","beginKeywords","keywords","inherit","APOS_STRING_MODE","QUOTE_STRING_MODE","BASIC_MODES","PARAMS","aliases","illegal","TITLE_MODE","starts","excludeEnd","lexemes","IDENT_RE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAgB,uBAChBC,EAAmB,IAAMD,EAAgB,IAAMA,EAAgB,IAAMA,EAAgB,IACrFE,EAAkB,CACpBC,QACE,2IAEFC,QACE,cAGAC,EAAUN,EAAKM,QAAQ,IAAK,KAC5BC,EAAS,CACXC,UAAW,SACXC,MAAO,wDACPC,UAAW,GAETC,EAAY,CACdF,MAAO,UAAYR,EAAgB,SAEjCW,EAAgB,CAClBH,MAAOP,EAAmB,MAAOW,IAAK,MACtCC,aAAa,EACbJ,UAAW,EACXK,SAAU,CACR,CACEN,MAAOP,EAAkBQ,UAAW,GAEtC,CACED,MAAO,MAAOI,IAAK,MAAOG,gBAAgB,EAC1CC,WAAW,EACXP,UAAW,KAKbQ,EAAQ,CACVT,MAAO,IAAKI,IAAK,IACjBH,UAAW,GAGTS,EAAO,CACTV,MAAO,4BACPC,UAAW,GAETU,EAAO,CACTX,MAAO,qBACPC,UAAW,GAETW,EAAgB,CAClBZ,MAAO,IAAMT,EAAKsB,oBAClBZ,UAAW,EACXI,aAAa,EACbC,SAAU,CACR,CACEN,MAAO,IAAMT,EAAKsB,oBAClBZ,UAAW,GAEb,CACED,MAAO,IAAKI,IAAK,IACjBH,UAAW,KAMba,EAAmB,CACrBC,cAAe,0BAA2BX,IAAK,MAC/CY,SAAUtB,GAEZoB,EAAiBR,SAAW,CAC1BT,EACAK,EACAX,EAAK0B,QAAQ1B,EAAK2B,iBAAkB,CAACnB,UAAW,KAChDe,EACAX,EACAZ,EAAK4B,kBACLrB,EACAW,EACAC,EAAMC,EACNC,GAGF,IAAIQ,EAAc,CAChBvB,EACAK,EACAY,EACAX,EACAZ,EAAK4B,kBACLrB,EACAW,EACAC,EAAMC,EACNC,GAEFT,EAAcG,SAAS,GAAGA,SAAWc,EACrCX,EAAMH,SAAWc,EACjBR,EAAcN,SAAS,GAAGA,SAAWc,EAErC,IAAIC,EAAS,CACXtB,UAAW,SACXC,MAAO,MAAOI,IAAK,MACnBE,SAAUc,GAEZ,MAAO,CACLE,QAAS,CAAC,OACVN,SAAUtB,EACV6B,QAAS,4CACTjB,SAAU,CACR,CACEP,UAAW,WACXC,MAAO,IAAMR,EAAgB,UAAWY,IAAK,KAC7CC,aAAa,EACbkB,QAAS,yBACTjB,SAAU,CACRe,EACA9B,EAAK0B,QAAQ1B,EAAKiC,WAAY,CAACxB,MAAOR,KAExCiC,OAAQ,CACNrB,IAAK,QACLY,SAAUtB,EACVY,SAAUc,IAGdvB,EACA,CACEG,MAAO,KAAMI,IAAK,MAClBH,UAAW,EACXyB,YAAY,EACZrB,aAAa,EACbsB,QAAS,IAAMpC,EAAKqC,SACpBZ,SACE,0KAGFV,SAAU,CAACe,IAEbvB,EACAP,EAAK4B,kBACLP,EACAF,EAAMC,EACNF,EACA,CAACT,MAAO","file":"highlight/erlang.js?v=2b7f25a3f54ef89fa6e3","sourcesContent":["module.exports = function(hljs) {\n var BASIC_ATOM_RE = '[a-z\\'][a-zA-Z0-9_\\']*';\n var FUNCTION_NAME_RE = '(' + BASIC_ATOM_RE + ':' + BASIC_ATOM_RE + '|' + BASIC_ATOM_RE + ')';\n var ERLANG_RESERVED = {\n keyword:\n 'after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if ' +\n 'let not of orelse|10 query receive rem try when xor',\n literal:\n 'false true'\n };\n\n var COMMENT = hljs.COMMENT('%', '$');\n var NUMBER = {\n className: 'number',\n begin: '\\\\b(\\\\d+#[a-fA-F0-9]+|\\\\d+(\\\\.\\\\d+)?([eE][-+]?\\\\d+)?)',\n relevance: 0\n };\n var NAMED_FUN = {\n begin: 'fun\\\\s+' + BASIC_ATOM_RE + '/\\\\d+'\n };\n var FUNCTION_CALL = {\n begin: FUNCTION_NAME_RE + '\\\\(', end: '\\\\)',\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n begin: FUNCTION_NAME_RE, relevance: 0\n },\n {\n begin: '\\\\(', end: '\\\\)', endsWithParent: true,\n returnEnd: true,\n relevance: 0\n // \"contains\" defined later\n }\n ]\n };\n var TUPLE = {\n begin: '{', end: '}',\n relevance: 0\n // \"contains\" defined later\n };\n var VAR1 = {\n begin: '\\\\b_([A-Z][A-Za-z0-9_]*)?',\n relevance: 0\n };\n var VAR2 = {\n begin: '[A-Z][a-zA-Z0-9_]*',\n relevance: 0\n };\n var RECORD_ACCESS = {\n begin: '#' + hljs.UNDERSCORE_IDENT_RE,\n relevance: 0,\n returnBegin: true,\n contains: [\n {\n begin: '#' + hljs.UNDERSCORE_IDENT_RE,\n relevance: 0\n },\n {\n begin: '{', end: '}',\n relevance: 0\n // \"contains\" defined later\n }\n ]\n };\n\n var BLOCK_STATEMENTS = {\n beginKeywords: 'fun receive if try case', end: 'end',\n keywords: ERLANG_RESERVED\n };\n BLOCK_STATEMENTS.contains = [\n COMMENT,\n NAMED_FUN,\n hljs.inherit(hljs.APOS_STRING_MODE, {className: ''}),\n BLOCK_STATEMENTS,\n FUNCTION_CALL,\n hljs.QUOTE_STRING_MODE,\n NUMBER,\n TUPLE,\n VAR1, VAR2,\n RECORD_ACCESS\n ];\n\n var BASIC_MODES = [\n COMMENT,\n NAMED_FUN,\n BLOCK_STATEMENTS,\n FUNCTION_CALL,\n hljs.QUOTE_STRING_MODE,\n NUMBER,\n TUPLE,\n VAR1, VAR2,\n RECORD_ACCESS\n ];\n FUNCTION_CALL.contains[1].contains = BASIC_MODES;\n TUPLE.contains = BASIC_MODES;\n RECORD_ACCESS.contains[1].contains = BASIC_MODES;\n\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n contains: BASIC_MODES\n };\n return {\n aliases: ['erl'],\n keywords: ERLANG_RESERVED,\n illegal: '(</|\\\\*=|\\\\+=|-=|/\\\\*|\\\\*/|\\\\(\\\\*|\\\\*\\\\))',\n contains: [\n {\n className: 'function',\n begin: '^' + BASIC_ATOM_RE + '\\\\s*\\\\(', end: '->',\n returnBegin: true,\n illegal: '\\\\(|#|//|/\\\\*|\\\\\\\\|:|;',\n contains: [\n PARAMS,\n hljs.inherit(hljs.TITLE_MODE, {begin: BASIC_ATOM_RE})\n ],\n starts: {\n end: ';|\\\\.',\n keywords: ERLANG_RESERVED,\n contains: BASIC_MODES\n }\n },\n COMMENT,\n {\n begin: '^-', end: '\\\\.',\n relevance: 0,\n excludeEnd: true,\n returnBegin: true,\n lexemes: '-' + hljs.IDENT_RE,\n keywords:\n '-module -record -undef -export -ifdef -ifndef -author -copyright -doc -vsn ' +\n '-import -include -include_lib -compile -define -else -endif -file -behaviour ' +\n '-behavior -spec',\n contains: [PARAMS]\n },\n NUMBER,\n hljs.QUOTE_STRING_MODE,\n RECORD_ACCESS,\n VAR1, VAR2,\n TUPLE,\n {begin: /\\.$/} // relevance booster\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/excel.js b/js/highlight/excel.js
index 1bc77f7ae..47e0c209c 100644
--- a/js/highlight/excel.js
+++ b/js/highlight/excel.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[57],{423:function(E,I){E.exports=function(E){return{aliases:["xlsx","xls"],case_insensitive:!0,lexemes:/[a-zA-Z][\w\.]*/,keywords:{built_in:"ABS ACCRINT ACCRINTM ACOS ACOSH ACOT ACOTH AGGREGATE ADDRESS AMORDEGRC AMORLINC AND ARABIC AREAS ASC ASIN ASINH ATAN ATAN2 ATANH AVEDEV AVERAGE AVERAGEA AVERAGEIF AVERAGEIFS BAHTTEXT BASE BESSELI BESSELJ BESSELK BESSELY BETADIST BETA.DIST BETAINV BETA.INV BIN2DEC BIN2HEX BIN2OCT BINOMDIST BINOM.DIST BINOM.DIST.RANGE BINOM.INV BITAND BITLSHIFT BITOR BITRSHIFT BITXOR CALL CEILING CEILING.MATH CEILING.PRECISE CELL CHAR CHIDIST CHIINV CHITEST CHISQ.DIST CHISQ.DIST.RT CHISQ.INV CHISQ.INV.RT CHISQ.TEST CHOOSE CLEAN CODE COLUMN COLUMNS COMBIN COMBINA COMPLEX CONCAT CONCATENATE CONFIDENCE CONFIDENCE.NORM CONFIDENCE.T CONVERT CORREL COS COSH COT COTH COUNT COUNTA COUNTBLANK COUNTIF COUNTIFS COUPDAYBS COUPDAYS COUPDAYSNC COUPNCD COUPNUM COUPPCD COVAR COVARIANCE.P COVARIANCE.S CRITBINOM CSC CSCH CUBEKPIMEMBER CUBEMEMBER CUBEMEMBERPROPERTY CUBERANKEDMEMBER CUBESET CUBESETCOUNT CUBEVALUE CUMIPMT CUMPRINC DATE DATEDIF DATEVALUE DAVERAGE DAY DAYS DAYS360 DB DBCS DCOUNT DCOUNTA DDB DEC2BIN DEC2HEX DEC2OCT DECIMAL DEGREES DELTA DEVSQ DGET DISC DMAX DMIN DOLLAR DOLLARDE DOLLARFR DPRODUCT DSTDEV DSTDEVP DSUM DURATION DVAR DVARP EDATE EFFECT ENCODEURL EOMONTH ERF ERF.PRECISE ERFC ERFC.PRECISE ERROR.TYPE EUROCONVERT EVEN EXACT EXP EXPON.DIST EXPONDIST FACT FACTDOUBLE FALSE|0 F.DIST FDIST F.DIST.RT FILTERXML FIND FINDB F.INV F.INV.RT FINV FISHER FISHERINV FIXED FLOOR FLOOR.MATH FLOOR.PRECISE FORECAST FORECAST.ETS FORECAST.ETS.CONFINT FORECAST.ETS.SEASONALITY FORECAST.ETS.STAT FORECAST.LINEAR FORMULATEXT FREQUENCY F.TEST FTEST FV FVSCHEDULE GAMMA GAMMA.DIST GAMMADIST GAMMA.INV GAMMAINV GAMMALN GAMMALN.PRECISE GAUSS GCD GEOMEAN GESTEP GETPIVOTDATA GROWTH HARMEAN HEX2BIN HEX2DEC HEX2OCT HLOOKUP HOUR HYPERLINK HYPGEOM.DIST HYPGEOMDIST IF IFERROR IFNA IFS IMABS IMAGINARY IMARGUMENT IMCONJUGATE IMCOS IMCOSH IMCOT IMCSC IMCSCH IMDIV IMEXP IMLN IMLOG10 IMLOG2 IMPOWER IMPRODUCT IMREAL IMSEC IMSECH IMSIN IMSINH IMSQRT IMSUB IMSUM IMTAN INDEX INDIRECT INFO INT INTERCEPT INTRATE IPMT IRR ISBLANK ISERR ISERROR ISEVEN ISFORMULA ISLOGICAL ISNA ISNONTEXT ISNUMBER ISODD ISREF ISTEXT ISO.CEILING ISOWEEKNUM ISPMT JIS KURT LARGE LCM LEFT LEFTB LEN LENB LINEST LN LOG LOG10 LOGEST LOGINV LOGNORM.DIST LOGNORMDIST LOGNORM.INV LOOKUP LOWER MATCH MAX MAXA MAXIFS MDETERM MDURATION MEDIAN MID MIDBs MIN MINIFS MINA MINUTE MINVERSE MIRR MMULT MOD MODE MODE.MULT MODE.SNGL MONTH MROUND MULTINOMIAL MUNIT N NA NEGBINOM.DIST NEGBINOMDIST NETWORKDAYS NETWORKDAYS.INTL NOMINAL NORM.DIST NORMDIST NORMINV NORM.INV NORM.S.DIST NORMSDIST NORM.S.INV NORMSINV NOT NOW NPER NPV NUMBERVALUE OCT2BIN OCT2DEC OCT2HEX ODD ODDFPRICE ODDFYIELD ODDLPRICE ODDLYIELD OFFSET OR PDURATION PEARSON PERCENTILE.EXC PERCENTILE.INC PERCENTILE PERCENTRANK.EXC PERCENTRANK.INC PERCENTRANK PERMUT PERMUTATIONA PHI PHONETIC PI PMT POISSON.DIST POISSON POWER PPMT PRICE PRICEDISC PRICEMAT PROB PRODUCT PROPER PV QUARTILE QUARTILE.EXC QUARTILE.INC QUOTIENT RADIANS RAND RANDBETWEEN RANK.AVG RANK.EQ RANK RATE RECEIVED REGISTER.ID REPLACE REPLACEB REPT RIGHT RIGHTB ROMAN ROUND ROUNDDOWN ROUNDUP ROW ROWS RRI RSQ RTD SEARCH SEARCHB SEC SECH SECOND SERIESSUM SHEET SHEETS SIGN SIN SINH SKEW SKEW.P SLN SLOPE SMALL SQL.REQUEST SQRT SQRTPI STANDARDIZE STDEV STDEV.P STDEV.S STDEVA STDEVP STDEVPA STEYX SUBSTITUTE SUBTOTAL SUM SUMIF SUMIFS SUMPRODUCT SUMSQ SUMX2MY2 SUMX2PY2 SUMXMY2 SWITCH SYD T TAN TANH TBILLEQ TBILLPRICE TBILLYIELD T.DIST T.DIST.2T T.DIST.RT TDIST TEXT TEXTJOIN TIME TIMEVALUE T.INV T.INV.2T TINV TODAY TRANSPOSE TREND TRIM TRIMMEAN TRUE|0 TRUNC T.TEST TTEST TYPE UNICHAR UNICODE UPPER VALUE VAR VAR.P VAR.S VARA VARP VARPA VDB VLOOKUP WEBSERVICE WEEKDAY WEEKNUM WEIBULL WEIBULL.DIST WORKDAY WORKDAY.INTL XIRR XNPV XOR YEAR YEARFRAC YIELD YIELDDISC YIELDMAT Z.TEST ZTEST"},contains:[{begin:/^=/,end:/[^=]/,returnEnd:!0,illegal:/=/,relevance:10},{className:"symbol",begin:/\b[A-Z]{1,2}\d+\b/,end:/[^\d]/,excludeEnd:!0,relevance:0},{className:"symbol",begin:/[A-Z]{0,2}\d*:[A-Z]{0,2}\d*/,relevance:0},E.BACKSLASH_ESCAPE,E.QUOTE_STRING_MODE,{className:"number",begin:E.NUMBER_RE+"(%)?",relevance:0},E.COMMENT(/\bN\(/,/\)/,{excludeBegin:!0,excludeEnd:!0,illegal:/\n/})]}}}}]);
-//# sourceMappingURL=excel.js.map?v=f7d5755284641d7499f6 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[57],{424:function(E,I){E.exports=function(E){return{aliases:["xlsx","xls"],case_insensitive:!0,lexemes:/[a-zA-Z][\w\.]*/,keywords:{built_in:"ABS ACCRINT ACCRINTM ACOS ACOSH ACOT ACOTH AGGREGATE ADDRESS AMORDEGRC AMORLINC AND ARABIC AREAS ASC ASIN ASINH ATAN ATAN2 ATANH AVEDEV AVERAGE AVERAGEA AVERAGEIF AVERAGEIFS BAHTTEXT BASE BESSELI BESSELJ BESSELK BESSELY BETADIST BETA.DIST BETAINV BETA.INV BIN2DEC BIN2HEX BIN2OCT BINOMDIST BINOM.DIST BINOM.DIST.RANGE BINOM.INV BITAND BITLSHIFT BITOR BITRSHIFT BITXOR CALL CEILING CEILING.MATH CEILING.PRECISE CELL CHAR CHIDIST CHIINV CHITEST CHISQ.DIST CHISQ.DIST.RT CHISQ.INV CHISQ.INV.RT CHISQ.TEST CHOOSE CLEAN CODE COLUMN COLUMNS COMBIN COMBINA COMPLEX CONCAT CONCATENATE CONFIDENCE CONFIDENCE.NORM CONFIDENCE.T CONVERT CORREL COS COSH COT COTH COUNT COUNTA COUNTBLANK COUNTIF COUNTIFS COUPDAYBS COUPDAYS COUPDAYSNC COUPNCD COUPNUM COUPPCD COVAR COVARIANCE.P COVARIANCE.S CRITBINOM CSC CSCH CUBEKPIMEMBER CUBEMEMBER CUBEMEMBERPROPERTY CUBERANKEDMEMBER CUBESET CUBESETCOUNT CUBEVALUE CUMIPMT CUMPRINC DATE DATEDIF DATEVALUE DAVERAGE DAY DAYS DAYS360 DB DBCS DCOUNT DCOUNTA DDB DEC2BIN DEC2HEX DEC2OCT DECIMAL DEGREES DELTA DEVSQ DGET DISC DMAX DMIN DOLLAR DOLLARDE DOLLARFR DPRODUCT DSTDEV DSTDEVP DSUM DURATION DVAR DVARP EDATE EFFECT ENCODEURL EOMONTH ERF ERF.PRECISE ERFC ERFC.PRECISE ERROR.TYPE EUROCONVERT EVEN EXACT EXP EXPON.DIST EXPONDIST FACT FACTDOUBLE FALSE|0 F.DIST FDIST F.DIST.RT FILTERXML FIND FINDB F.INV F.INV.RT FINV FISHER FISHERINV FIXED FLOOR FLOOR.MATH FLOOR.PRECISE FORECAST FORECAST.ETS FORECAST.ETS.CONFINT FORECAST.ETS.SEASONALITY FORECAST.ETS.STAT FORECAST.LINEAR FORMULATEXT FREQUENCY F.TEST FTEST FV FVSCHEDULE GAMMA GAMMA.DIST GAMMADIST GAMMA.INV GAMMAINV GAMMALN GAMMALN.PRECISE GAUSS GCD GEOMEAN GESTEP GETPIVOTDATA GROWTH HARMEAN HEX2BIN HEX2DEC HEX2OCT HLOOKUP HOUR HYPERLINK HYPGEOM.DIST HYPGEOMDIST IF IFERROR IFNA IFS IMABS IMAGINARY IMARGUMENT IMCONJUGATE IMCOS IMCOSH IMCOT IMCSC IMCSCH IMDIV IMEXP IMLN IMLOG10 IMLOG2 IMPOWER IMPRODUCT IMREAL IMSEC IMSECH IMSIN IMSINH IMSQRT IMSUB IMSUM IMTAN INDEX INDIRECT INFO INT INTERCEPT INTRATE IPMT IRR ISBLANK ISERR ISERROR ISEVEN ISFORMULA ISLOGICAL ISNA ISNONTEXT ISNUMBER ISODD ISREF ISTEXT ISO.CEILING ISOWEEKNUM ISPMT JIS KURT LARGE LCM LEFT LEFTB LEN LENB LINEST LN LOG LOG10 LOGEST LOGINV LOGNORM.DIST LOGNORMDIST LOGNORM.INV LOOKUP LOWER MATCH MAX MAXA MAXIFS MDETERM MDURATION MEDIAN MID MIDBs MIN MINIFS MINA MINUTE MINVERSE MIRR MMULT MOD MODE MODE.MULT MODE.SNGL MONTH MROUND MULTINOMIAL MUNIT N NA NEGBINOM.DIST NEGBINOMDIST NETWORKDAYS NETWORKDAYS.INTL NOMINAL NORM.DIST NORMDIST NORMINV NORM.INV NORM.S.DIST NORMSDIST NORM.S.INV NORMSINV NOT NOW NPER NPV NUMBERVALUE OCT2BIN OCT2DEC OCT2HEX ODD ODDFPRICE ODDFYIELD ODDLPRICE ODDLYIELD OFFSET OR PDURATION PEARSON PERCENTILE.EXC PERCENTILE.INC PERCENTILE PERCENTRANK.EXC PERCENTRANK.INC PERCENTRANK PERMUT PERMUTATIONA PHI PHONETIC PI PMT POISSON.DIST POISSON POWER PPMT PRICE PRICEDISC PRICEMAT PROB PRODUCT PROPER PV QUARTILE QUARTILE.EXC QUARTILE.INC QUOTIENT RADIANS RAND RANDBETWEEN RANK.AVG RANK.EQ RANK RATE RECEIVED REGISTER.ID REPLACE REPLACEB REPT RIGHT RIGHTB ROMAN ROUND ROUNDDOWN ROUNDUP ROW ROWS RRI RSQ RTD SEARCH SEARCHB SEC SECH SECOND SERIESSUM SHEET SHEETS SIGN SIN SINH SKEW SKEW.P SLN SLOPE SMALL SQL.REQUEST SQRT SQRTPI STANDARDIZE STDEV STDEV.P STDEV.S STDEVA STDEVP STDEVPA STEYX SUBSTITUTE SUBTOTAL SUM SUMIF SUMIFS SUMPRODUCT SUMSQ SUMX2MY2 SUMX2PY2 SUMXMY2 SWITCH SYD T TAN TANH TBILLEQ TBILLPRICE TBILLYIELD T.DIST T.DIST.2T T.DIST.RT TDIST TEXT TEXTJOIN TIME TIMEVALUE T.INV T.INV.2T TINV TODAY TRANSPOSE TREND TRIM TRIMMEAN TRUE|0 TRUNC T.TEST TTEST TYPE UNICHAR UNICODE UPPER VALUE VAR VAR.P VAR.S VARA VARP VARPA VDB VLOOKUP WEBSERVICE WEEKDAY WEEKNUM WEIBULL WEIBULL.DIST WORKDAY WORKDAY.INTL XIRR XNPV XOR YEAR YEARFRAC YIELD YIELDDISC YIELDMAT Z.TEST ZTEST"},contains:[{begin:/^=/,end:/[^=]/,returnEnd:!0,illegal:/=/,relevance:10},{className:"symbol",begin:/\b[A-Z]{1,2}\d+\b/,end:/[^\d]/,excludeEnd:!0,relevance:0},{className:"symbol",begin:/[A-Z]{0,2}\d*:[A-Z]{0,2}\d*/,relevance:0},E.BACKSLASH_ESCAPE,E.QUOTE_STRING_MODE,{className:"number",begin:E.NUMBER_RE+"(%)?",relevance:0},E.COMMENT(/\bN\(/,/\)/,{excludeBegin:!0,excludeEnd:!0,illegal:/\n/})]}}}}]);
+//# sourceMappingURL=excel.js.map?v=be8879bc01887c64e79b \ No newline at end of file
diff --git a/js/highlight/excel.js.map b/js/highlight/excel.js.map
index c0cbb47f2..08012076a 100644
--- a/js/highlight/excel.js.map
+++ b/js/highlight/excel.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/excel.js"],"names":["module","exports","hljs","aliases","case_insensitive","lexemes","keywords","built_in","contains","begin","end","returnEnd","illegal","relevance","className","excludeEnd","BACKSLASH_ESCAPE","QUOTE_STRING_MODE","NUMBER_RE","COMMENT","excludeBegin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,OAAQ,OAClBC,kBAAkB,EAClBC,QAAS,kBAETC,SAAU,CACNC,SAAU,kqHAEdC,SAAU,CACR,CAEEC,MAAO,KACPC,IAAK,OAAQC,WAAW,EAAMC,QAAS,IACvCC,UAAW,IAGb,CAEEC,UAAW,SACXL,MAAO,oBACPC,IAAK,QAASK,YAAY,EAC1BF,UAAW,GAEb,CAEEC,UAAW,SACXL,MAAO,8BACPI,UAAW,GAEbX,EAAKc,iBACLd,EAAKe,kBACL,CACEH,UAAW,SACXL,MAAOP,EAAKgB,UAAY,OACxBL,UAAW,GAGbX,EAAKiB,QAAQ,QAAQ,KACrB,CACEC,cAAc,EACdL,YAAY,EACZH,QAAS","file":"highlight/excel.js?v=f7d5755284641d7499f6","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['xlsx', 'xls'],\n case_insensitive: true,\n lexemes: /[a-zA-Z][\\w\\.]*/,\n // built-in functions imported from https://web.archive.org/web/20160513042710/https://support.office.com/en-us/article/Excel-functions-alphabetical-b3944572-255d-4efb-bb96-c6d90033e188\n keywords: {\n built_in: 'ABS ACCRINT ACCRINTM ACOS ACOSH ACOT ACOTH AGGREGATE ADDRESS AMORDEGRC AMORLINC AND ARABIC AREAS ASC ASIN ASINH ATAN ATAN2 ATANH AVEDEV AVERAGE AVERAGEA AVERAGEIF AVERAGEIFS BAHTTEXT BASE BESSELI BESSELJ BESSELK BESSELY BETADIST BETA.DIST BETAINV BETA.INV BIN2DEC BIN2HEX BIN2OCT BINOMDIST BINOM.DIST BINOM.DIST.RANGE BINOM.INV BITAND BITLSHIFT BITOR BITRSHIFT BITXOR CALL CEILING CEILING.MATH CEILING.PRECISE CELL CHAR CHIDIST CHIINV CHITEST CHISQ.DIST CHISQ.DIST.RT CHISQ.INV CHISQ.INV.RT CHISQ.TEST CHOOSE CLEAN CODE COLUMN COLUMNS COMBIN COMBINA COMPLEX CONCAT CONCATENATE CONFIDENCE CONFIDENCE.NORM CONFIDENCE.T CONVERT CORREL COS COSH COT COTH COUNT COUNTA COUNTBLANK COUNTIF COUNTIFS COUPDAYBS COUPDAYS COUPDAYSNC COUPNCD COUPNUM COUPPCD COVAR COVARIANCE.P COVARIANCE.S CRITBINOM CSC CSCH CUBEKPIMEMBER CUBEMEMBER CUBEMEMBERPROPERTY CUBERANKEDMEMBER CUBESET CUBESETCOUNT CUBEVALUE CUMIPMT CUMPRINC DATE DATEDIF DATEVALUE DAVERAGE DAY DAYS DAYS360 DB DBCS DCOUNT DCOUNTA DDB DEC2BIN DEC2HEX DEC2OCT DECIMAL DEGREES DELTA DEVSQ DGET DISC DMAX DMIN DOLLAR DOLLARDE DOLLARFR DPRODUCT DSTDEV DSTDEVP DSUM DURATION DVAR DVARP EDATE EFFECT ENCODEURL EOMONTH ERF ERF.PRECISE ERFC ERFC.PRECISE ERROR.TYPE EUROCONVERT EVEN EXACT EXP EXPON.DIST EXPONDIST FACT FACTDOUBLE FALSE|0 F.DIST FDIST F.DIST.RT FILTERXML FIND FINDB F.INV F.INV.RT FINV FISHER FISHERINV FIXED FLOOR FLOOR.MATH FLOOR.PRECISE FORECAST FORECAST.ETS FORECAST.ETS.CONFINT FORECAST.ETS.SEASONALITY FORECAST.ETS.STAT FORECAST.LINEAR FORMULATEXT FREQUENCY F.TEST FTEST FV FVSCHEDULE GAMMA GAMMA.DIST GAMMADIST GAMMA.INV GAMMAINV GAMMALN GAMMALN.PRECISE GAUSS GCD GEOMEAN GESTEP GETPIVOTDATA GROWTH HARMEAN HEX2BIN HEX2DEC HEX2OCT HLOOKUP HOUR HYPERLINK HYPGEOM.DIST HYPGEOMDIST IF IFERROR IFNA IFS IMABS IMAGINARY IMARGUMENT IMCONJUGATE IMCOS IMCOSH IMCOT IMCSC IMCSCH IMDIV IMEXP IMLN IMLOG10 IMLOG2 IMPOWER IMPRODUCT IMREAL IMSEC IMSECH IMSIN IMSINH IMSQRT IMSUB IMSUM IMTAN INDEX INDIRECT INFO INT INTERCEPT INTRATE IPMT IRR ISBLANK ISERR ISERROR ISEVEN ISFORMULA ISLOGICAL ISNA ISNONTEXT ISNUMBER ISODD ISREF ISTEXT ISO.CEILING ISOWEEKNUM ISPMT JIS KURT LARGE LCM LEFT LEFTB LEN LENB LINEST LN LOG LOG10 LOGEST LOGINV LOGNORM.DIST LOGNORMDIST LOGNORM.INV LOOKUP LOWER MATCH MAX MAXA MAXIFS MDETERM MDURATION MEDIAN MID MIDBs MIN MINIFS MINA MINUTE MINVERSE MIRR MMULT MOD MODE MODE.MULT MODE.SNGL MONTH MROUND MULTINOMIAL MUNIT N NA NEGBINOM.DIST NEGBINOMDIST NETWORKDAYS NETWORKDAYS.INTL NOMINAL NORM.DIST NORMDIST NORMINV NORM.INV NORM.S.DIST NORMSDIST NORM.S.INV NORMSINV NOT NOW NPER NPV NUMBERVALUE OCT2BIN OCT2DEC OCT2HEX ODD ODDFPRICE ODDFYIELD ODDLPRICE ODDLYIELD OFFSET OR PDURATION PEARSON PERCENTILE.EXC PERCENTILE.INC PERCENTILE PERCENTRANK.EXC PERCENTRANK.INC PERCENTRANK PERMUT PERMUTATIONA PHI PHONETIC PI PMT POISSON.DIST POISSON POWER PPMT PRICE PRICEDISC PRICEMAT PROB PRODUCT PROPER PV QUARTILE QUARTILE.EXC QUARTILE.INC QUOTIENT RADIANS RAND RANDBETWEEN RANK.AVG RANK.EQ RANK RATE RECEIVED REGISTER.ID REPLACE REPLACEB REPT RIGHT RIGHTB ROMAN ROUND ROUNDDOWN ROUNDUP ROW ROWS RRI RSQ RTD SEARCH SEARCHB SEC SECH SECOND SERIESSUM SHEET SHEETS SIGN SIN SINH SKEW SKEW.P SLN SLOPE SMALL SQL.REQUEST SQRT SQRTPI STANDARDIZE STDEV STDEV.P STDEV.S STDEVA STDEVP STDEVPA STEYX SUBSTITUTE SUBTOTAL SUM SUMIF SUMIFS SUMPRODUCT SUMSQ SUMX2MY2 SUMX2PY2 SUMXMY2 SWITCH SYD T TAN TANH TBILLEQ TBILLPRICE TBILLYIELD T.DIST T.DIST.2T T.DIST.RT TDIST TEXT TEXTJOIN TIME TIMEVALUE T.INV T.INV.2T TINV TODAY TRANSPOSE TREND TRIM TRIMMEAN TRUE|0 TRUNC T.TEST TTEST TYPE UNICHAR UNICODE UPPER VALUE VAR VAR.P VAR.S VARA VARP VARPA VDB VLOOKUP WEBSERVICE WEEKDAY WEEKNUM WEIBULL WEIBULL.DIST WORKDAY WORKDAY.INTL XIRR XNPV XOR YEAR YEARFRAC YIELD YIELDDISC YIELDMAT Z.TEST ZTEST'\n },\n contains: [\n {\n /* matches a beginning equal sign found in Excel formula examples */\n begin: /^=/,\n end: /[^=]/, returnEnd: true, illegal: /=/, /* only allow single equal sign at front of line */\n relevance: 10\n },\n /* technically, there can be more than 2 letters in column names, but this prevents conflict with some keywords */\n {\n /* matches a reference to a single cell */\n className: 'symbol',\n begin: /\\b[A-Z]{1,2}\\d+\\b/,\n end: /[^\\d]/, excludeEnd: true,\n relevance: 0\n },\n {\n /* matches a reference to a range of cells */\n className: 'symbol',\n begin: /[A-Z]{0,2}\\d*:[A-Z]{0,2}\\d*/,\n relevance: 0\n },\n hljs.BACKSLASH_ESCAPE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'number',\n begin: hljs.NUMBER_RE + '(%)?',\n relevance: 0\n },\n /* Excel formula comments are done by putting the comment in a function call to N() */\n hljs.COMMENT(/\\bN\\(/,/\\)/,\n {\n excludeBegin: true,\n excludeEnd: true,\n illegal: /\\n/\n })\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/excel.js"],"names":["module","exports","hljs","aliases","case_insensitive","lexemes","keywords","built_in","contains","begin","end","returnEnd","illegal","relevance","className","excludeEnd","BACKSLASH_ESCAPE","QUOTE_STRING_MODE","NUMBER_RE","COMMENT","excludeBegin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,OAAQ,OAClBC,kBAAkB,EAClBC,QAAS,kBAETC,SAAU,CACNC,SAAU,kqHAEdC,SAAU,CACR,CAEEC,MAAO,KACPC,IAAK,OAAQC,WAAW,EAAMC,QAAS,IACvCC,UAAW,IAGb,CAEEC,UAAW,SACXL,MAAO,oBACPC,IAAK,QAASK,YAAY,EAC1BF,UAAW,GAEb,CAEEC,UAAW,SACXL,MAAO,8BACPI,UAAW,GAEbX,EAAKc,iBACLd,EAAKe,kBACL,CACEH,UAAW,SACXL,MAAOP,EAAKgB,UAAY,OACxBL,UAAW,GAGbX,EAAKiB,QAAQ,QAAQ,KACrB,CACEC,cAAc,EACdL,YAAY,EACZH,QAAS","file":"highlight/excel.js?v=be8879bc01887c64e79b","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['xlsx', 'xls'],\n case_insensitive: true,\n lexemes: /[a-zA-Z][\\w\\.]*/,\n // built-in functions imported from https://web.archive.org/web/20160513042710/https://support.office.com/en-us/article/Excel-functions-alphabetical-b3944572-255d-4efb-bb96-c6d90033e188\n keywords: {\n built_in: 'ABS ACCRINT ACCRINTM ACOS ACOSH ACOT ACOTH AGGREGATE ADDRESS AMORDEGRC AMORLINC AND ARABIC AREAS ASC ASIN ASINH ATAN ATAN2 ATANH AVEDEV AVERAGE AVERAGEA AVERAGEIF AVERAGEIFS BAHTTEXT BASE BESSELI BESSELJ BESSELK BESSELY BETADIST BETA.DIST BETAINV BETA.INV BIN2DEC BIN2HEX BIN2OCT BINOMDIST BINOM.DIST BINOM.DIST.RANGE BINOM.INV BITAND BITLSHIFT BITOR BITRSHIFT BITXOR CALL CEILING CEILING.MATH CEILING.PRECISE CELL CHAR CHIDIST CHIINV CHITEST CHISQ.DIST CHISQ.DIST.RT CHISQ.INV CHISQ.INV.RT CHISQ.TEST CHOOSE CLEAN CODE COLUMN COLUMNS COMBIN COMBINA COMPLEX CONCAT CONCATENATE CONFIDENCE CONFIDENCE.NORM CONFIDENCE.T CONVERT CORREL COS COSH COT COTH COUNT COUNTA COUNTBLANK COUNTIF COUNTIFS COUPDAYBS COUPDAYS COUPDAYSNC COUPNCD COUPNUM COUPPCD COVAR COVARIANCE.P COVARIANCE.S CRITBINOM CSC CSCH CUBEKPIMEMBER CUBEMEMBER CUBEMEMBERPROPERTY CUBERANKEDMEMBER CUBESET CUBESETCOUNT CUBEVALUE CUMIPMT CUMPRINC DATE DATEDIF DATEVALUE DAVERAGE DAY DAYS DAYS360 DB DBCS DCOUNT DCOUNTA DDB DEC2BIN DEC2HEX DEC2OCT DECIMAL DEGREES DELTA DEVSQ DGET DISC DMAX DMIN DOLLAR DOLLARDE DOLLARFR DPRODUCT DSTDEV DSTDEVP DSUM DURATION DVAR DVARP EDATE EFFECT ENCODEURL EOMONTH ERF ERF.PRECISE ERFC ERFC.PRECISE ERROR.TYPE EUROCONVERT EVEN EXACT EXP EXPON.DIST EXPONDIST FACT FACTDOUBLE FALSE|0 F.DIST FDIST F.DIST.RT FILTERXML FIND FINDB F.INV F.INV.RT FINV FISHER FISHERINV FIXED FLOOR FLOOR.MATH FLOOR.PRECISE FORECAST FORECAST.ETS FORECAST.ETS.CONFINT FORECAST.ETS.SEASONALITY FORECAST.ETS.STAT FORECAST.LINEAR FORMULATEXT FREQUENCY F.TEST FTEST FV FVSCHEDULE GAMMA GAMMA.DIST GAMMADIST GAMMA.INV GAMMAINV GAMMALN GAMMALN.PRECISE GAUSS GCD GEOMEAN GESTEP GETPIVOTDATA GROWTH HARMEAN HEX2BIN HEX2DEC HEX2OCT HLOOKUP HOUR HYPERLINK HYPGEOM.DIST HYPGEOMDIST IF IFERROR IFNA IFS IMABS IMAGINARY IMARGUMENT IMCONJUGATE IMCOS IMCOSH IMCOT IMCSC IMCSCH IMDIV IMEXP IMLN IMLOG10 IMLOG2 IMPOWER IMPRODUCT IMREAL IMSEC IMSECH IMSIN IMSINH IMSQRT IMSUB IMSUM IMTAN INDEX INDIRECT INFO INT INTERCEPT INTRATE IPMT IRR ISBLANK ISERR ISERROR ISEVEN ISFORMULA ISLOGICAL ISNA ISNONTEXT ISNUMBER ISODD ISREF ISTEXT ISO.CEILING ISOWEEKNUM ISPMT JIS KURT LARGE LCM LEFT LEFTB LEN LENB LINEST LN LOG LOG10 LOGEST LOGINV LOGNORM.DIST LOGNORMDIST LOGNORM.INV LOOKUP LOWER MATCH MAX MAXA MAXIFS MDETERM MDURATION MEDIAN MID MIDBs MIN MINIFS MINA MINUTE MINVERSE MIRR MMULT MOD MODE MODE.MULT MODE.SNGL MONTH MROUND MULTINOMIAL MUNIT N NA NEGBINOM.DIST NEGBINOMDIST NETWORKDAYS NETWORKDAYS.INTL NOMINAL NORM.DIST NORMDIST NORMINV NORM.INV NORM.S.DIST NORMSDIST NORM.S.INV NORMSINV NOT NOW NPER NPV NUMBERVALUE OCT2BIN OCT2DEC OCT2HEX ODD ODDFPRICE ODDFYIELD ODDLPRICE ODDLYIELD OFFSET OR PDURATION PEARSON PERCENTILE.EXC PERCENTILE.INC PERCENTILE PERCENTRANK.EXC PERCENTRANK.INC PERCENTRANK PERMUT PERMUTATIONA PHI PHONETIC PI PMT POISSON.DIST POISSON POWER PPMT PRICE PRICEDISC PRICEMAT PROB PRODUCT PROPER PV QUARTILE QUARTILE.EXC QUARTILE.INC QUOTIENT RADIANS RAND RANDBETWEEN RANK.AVG RANK.EQ RANK RATE RECEIVED REGISTER.ID REPLACE REPLACEB REPT RIGHT RIGHTB ROMAN ROUND ROUNDDOWN ROUNDUP ROW ROWS RRI RSQ RTD SEARCH SEARCHB SEC SECH SECOND SERIESSUM SHEET SHEETS SIGN SIN SINH SKEW SKEW.P SLN SLOPE SMALL SQL.REQUEST SQRT SQRTPI STANDARDIZE STDEV STDEV.P STDEV.S STDEVA STDEVP STDEVPA STEYX SUBSTITUTE SUBTOTAL SUM SUMIF SUMIFS SUMPRODUCT SUMSQ SUMX2MY2 SUMX2PY2 SUMXMY2 SWITCH SYD T TAN TANH TBILLEQ TBILLPRICE TBILLYIELD T.DIST T.DIST.2T T.DIST.RT TDIST TEXT TEXTJOIN TIME TIMEVALUE T.INV T.INV.2T TINV TODAY TRANSPOSE TREND TRIM TRIMMEAN TRUE|0 TRUNC T.TEST TTEST TYPE UNICHAR UNICODE UPPER VALUE VAR VAR.P VAR.S VARA VARP VARPA VDB VLOOKUP WEBSERVICE WEEKDAY WEEKNUM WEIBULL WEIBULL.DIST WORKDAY WORKDAY.INTL XIRR XNPV XOR YEAR YEARFRAC YIELD YIELDDISC YIELDMAT Z.TEST ZTEST'\n },\n contains: [\n {\n /* matches a beginning equal sign found in Excel formula examples */\n begin: /^=/,\n end: /[^=]/, returnEnd: true, illegal: /=/, /* only allow single equal sign at front of line */\n relevance: 10\n },\n /* technically, there can be more than 2 letters in column names, but this prevents conflict with some keywords */\n {\n /* matches a reference to a single cell */\n className: 'symbol',\n begin: /\\b[A-Z]{1,2}\\d+\\b/,\n end: /[^\\d]/, excludeEnd: true,\n relevance: 0\n },\n {\n /* matches a reference to a range of cells */\n className: 'symbol',\n begin: /[A-Z]{0,2}\\d*:[A-Z]{0,2}\\d*/,\n relevance: 0\n },\n hljs.BACKSLASH_ESCAPE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'number',\n begin: hljs.NUMBER_RE + '(%)?',\n relevance: 0\n },\n /* Excel formula comments are done by putting the comment in a function call to N() */\n hljs.COMMENT(/\\bN\\(/,/\\)/,\n {\n excludeBegin: true,\n excludeEnd: true,\n illegal: /\\n/\n })\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/fix.js b/js/highlight/fix.js
index e59dd42c9..7278b22b3 100644
--- a/js/highlight/fix.js
+++ b/js/highlight/fix.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[58],{424:function(n,e){n.exports=function(n){return{contains:[{begin:/[^\u2401\u0001]+/,end:/[\u2401\u0001]/,excludeEnd:!0,returnBegin:!0,returnEnd:!1,contains:[{begin:/([^\u2401\u0001=]+)/,end:/=([^\u2401\u0001=]+)/,returnEnd:!0,returnBegin:!1,className:"attr"},{begin:/=/,end:/([\u2401\u0001])/,excludeEnd:!0,excludeBegin:!0,className:"string"}]}],case_insensitive:!0}}}}]);
-//# sourceMappingURL=fix.js.map?v=ff4b8a653e14b25452ee \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[58],{425:function(n,e){n.exports=function(n){return{contains:[{begin:/[^\u2401\u0001]+/,end:/[\u2401\u0001]/,excludeEnd:!0,returnBegin:!0,returnEnd:!1,contains:[{begin:/([^\u2401\u0001=]+)/,end:/=([^\u2401\u0001=]+)/,returnEnd:!0,returnBegin:!1,className:"attr"},{begin:/=/,end:/([\u2401\u0001])/,excludeEnd:!0,excludeBegin:!0,className:"string"}]}],case_insensitive:!0}}}}]);
+//# sourceMappingURL=fix.js.map?v=996d2460737c6c1b0e81 \ No newline at end of file
diff --git a/js/highlight/fix.js.map b/js/highlight/fix.js.map
index 6db5aafc3..d791d4ea3 100644
--- a/js/highlight/fix.js.map
+++ b/js/highlight/fix.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/fix.js"],"names":["module","exports","hljs","contains","begin","end","excludeEnd","returnBegin","returnEnd","className","excludeBegin","case_insensitive"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACV,CACEC,MAAO,mBACPC,IAAK,iBACLC,YAAY,EACZC,aAAa,EACbC,WAAW,EACXL,SAAU,CACV,CACEC,MAAO,sBACPC,IAAK,uBACLG,WAAW,EACXD,aAAa,EACbE,UAAW,QAEb,CACEL,MAAO,IACPC,IAAK,mBACLC,YAAY,EACZI,cAAc,EACdD,UAAW,aAGfE,kBAAkB","file":"highlight/fix.js?v=ff4b8a653e14b25452ee","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n {\n begin: /[^\\u2401\\u0001]+/,\n end: /[\\u2401\\u0001]/,\n excludeEnd: true,\n returnBegin: true,\n returnEnd: false,\n contains: [\n {\n begin: /([^\\u2401\\u0001=]+)/,\n end: /=([^\\u2401\\u0001=]+)/,\n returnEnd: true,\n returnBegin: false,\n className: 'attr'\n },\n {\n begin: /=/,\n end: /([\\u2401\\u0001])/,\n excludeEnd: true,\n excludeBegin: true,\n className: 'string'\n }]\n }],\n case_insensitive: true\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/fix.js"],"names":["module","exports","hljs","contains","begin","end","excludeEnd","returnBegin","returnEnd","className","excludeBegin","case_insensitive"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACV,CACEC,MAAO,mBACPC,IAAK,iBACLC,YAAY,EACZC,aAAa,EACbC,WAAW,EACXL,SAAU,CACV,CACEC,MAAO,sBACPC,IAAK,uBACLG,WAAW,EACXD,aAAa,EACbE,UAAW,QAEb,CACEL,MAAO,IACPC,IAAK,mBACLC,YAAY,EACZI,cAAc,EACdD,UAAW,aAGfE,kBAAkB","file":"highlight/fix.js?v=996d2460737c6c1b0e81","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n {\n begin: /[^\\u2401\\u0001]+/,\n end: /[\\u2401\\u0001]/,\n excludeEnd: true,\n returnBegin: true,\n returnEnd: false,\n contains: [\n {\n begin: /([^\\u2401\\u0001=]+)/,\n end: /=([^\\u2401\\u0001=]+)/,\n returnEnd: true,\n returnBegin: false,\n className: 'attr'\n },\n {\n begin: /=/,\n end: /([\\u2401\\u0001])/,\n excludeEnd: true,\n excludeBegin: true,\n className: 'string'\n }]\n }],\n case_insensitive: true\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/flix.js b/js/highlight/flix.js
index 68cd22aae..f0ff9e8cb 100644
--- a/js/highlight/flix.js
+++ b/js/highlight/flix.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[59],{425:function(e,n){e.exports=function(e){var n={className:"function",beginKeywords:"def",end:/[:={\[(\n;]/,excludeEnd:!0,contains:[{className:"title",begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/}]};return{keywords:{literal:"true false",keyword:"case class def else enum if impl import in lat rel index let match namespace switch type yield with"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},{className:"string",variants:[{begin:'"',end:'"'}]},n,e.C_NUMBER_MODE]}}}}]);
-//# sourceMappingURL=flix.js.map?v=e9a31c00d950fd74fd30 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[59],{426:function(e,n){e.exports=function(e){var n={className:"function",beginKeywords:"def",end:/[:={\[(\n;]/,excludeEnd:!0,contains:[{className:"title",begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/}]};return{keywords:{literal:"true false",keyword:"case class def else enum if impl import in lat rel index let match namespace switch type yield with"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},{className:"string",variants:[{begin:'"',end:'"'}]},n,e.C_NUMBER_MODE]}}}}]);
+//# sourceMappingURL=flix.js.map?v=9a35d6dc0ded8bce2582 \ No newline at end of file
diff --git a/js/highlight/flix.js.map b/js/highlight/flix.js.map
index 627de6f37..ab612f3a0 100644
--- a/js/highlight/flix.js.map
+++ b/js/highlight/flix.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/flix.js"],"names":["module","exports","hljs","METHOD","className","beginKeywords","end","excludeEnd","contains","begin","keywords","literal","keyword","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","variants","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GAEvB,IAmBIC,EAAS,CACTC,UAAW,WACXC,cAAe,MACfC,IAAK,cACLC,YAAY,EACZC,SAAU,CAVH,CACPJ,UAAW,QACXK,MAAO,oFAWX,MAAO,CACHC,SAAU,CACNC,QAAS,aACTC,QAAS,uGAEbJ,SAAU,CACNN,EAAKW,oBACLX,EAAKY,qBAlCF,CACPV,UAAW,SACXK,MAAO,8BAGE,CACTL,UAAW,SACXW,SAAU,CACN,CACIN,MAAO,IAAKH,IAAK,OA4BrBH,EACAD,EAAKc","file":"highlight/flix.js?v=e9a31c00d950fd74fd30","sourcesContent":["module.exports = function (hljs) {\n\n var CHAR = {\n className: 'string',\n begin: /'(.|\\\\[xXuU][a-zA-Z0-9]+)'/\n };\n\n var STRING = {\n className: 'string',\n variants: [\n {\n begin: '\"', end: '\"'\n }\n ]\n };\n\n var NAME = {\n className: 'title',\n begin: /[^0-9\\n\\t \"'(),.`{}\\[\\]:;][^\\n\\t \"'(),.`{}\\[\\]:;]+|[^0-9\\n\\t \"'(),.`{}\\[\\]:;=]/\n };\n\n var METHOD = {\n className: 'function',\n beginKeywords: 'def',\n end: /[:={\\[(\\n;]/,\n excludeEnd: true,\n contains: [NAME]\n };\n\n return {\n keywords: {\n literal: 'true false',\n keyword: 'case class def else enum if impl import in lat rel index let match namespace switch type yield with'\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n CHAR,\n STRING,\n METHOD,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/flix.js"],"names":["module","exports","hljs","METHOD","className","beginKeywords","end","excludeEnd","contains","begin","keywords","literal","keyword","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","variants","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GAEvB,IAmBIC,EAAS,CACTC,UAAW,WACXC,cAAe,MACfC,IAAK,cACLC,YAAY,EACZC,SAAU,CAVH,CACPJ,UAAW,QACXK,MAAO,oFAWX,MAAO,CACHC,SAAU,CACNC,QAAS,aACTC,QAAS,uGAEbJ,SAAU,CACNN,EAAKW,oBACLX,EAAKY,qBAlCF,CACPV,UAAW,SACXK,MAAO,8BAGE,CACTL,UAAW,SACXW,SAAU,CACN,CACIN,MAAO,IAAKH,IAAK,OA4BrBH,EACAD,EAAKc","file":"highlight/flix.js?v=9a35d6dc0ded8bce2582","sourcesContent":["module.exports = function (hljs) {\n\n var CHAR = {\n className: 'string',\n begin: /'(.|\\\\[xXuU][a-zA-Z0-9]+)'/\n };\n\n var STRING = {\n className: 'string',\n variants: [\n {\n begin: '\"', end: '\"'\n }\n ]\n };\n\n var NAME = {\n className: 'title',\n begin: /[^0-9\\n\\t \"'(),.`{}\\[\\]:;][^\\n\\t \"'(),.`{}\\[\\]:;]+|[^0-9\\n\\t \"'(),.`{}\\[\\]:;=]/\n };\n\n var METHOD = {\n className: 'function',\n beginKeywords: 'def',\n end: /[:={\\[(\\n;]/,\n excludeEnd: true,\n contains: [NAME]\n };\n\n return {\n keywords: {\n literal: 'true false',\n keyword: 'case class def else enum if impl import in lat rel index let match namespace switch type yield with'\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n CHAR,\n STRING,\n METHOD,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/fortran.js b/js/highlight/fortran.js
index aa588639c..c0849a25d 100644
--- a/js/highlight/fortran.js
+++ b/js/highlight/fortran.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[60],{426:function(e,n){e.exports=function(e){return{case_insensitive:!0,aliases:["f90","f95"],keywords:{literal:".False. .True.",keyword:"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data",built_in:"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_ofacosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image"},illegal:/\/\*/,contains:[e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{className:"string",relevance:0}),{className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},e.COMMENT("!","$",{relevance:0}),{className:"number",begin:"(?=\\b|\\+|\\-|\\.)(?=\\.\\d|\\d)(?:\\d+)?(?:\\.?\\d*)(?:[de][+-]?\\d+)?\\b\\.?",relevance:0}]}}}}]);
-//# sourceMappingURL=fortran.js.map?v=7b9d865da00740ad6235 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[60],{427:function(e,n){e.exports=function(e){return{case_insensitive:!0,aliases:["f90","f95"],keywords:{literal:".False. .True.",keyword:"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then block endblock public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data",built_in:"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_ofacosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image"},illegal:/\/\*/,contains:[e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{className:"string",relevance:0}),{className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},e.COMMENT("!","$",{relevance:0}),{className:"number",begin:"(?=\\b|\\+|\\-|\\.)(?=\\.\\d|\\d)(?:\\d+)?(?:\\.?\\d*)(?:[de][+-]?\\d+)?\\b\\.?",relevance:0}]}}}}]);
+//# sourceMappingURL=fortran.js.map?v=a4301b687567a5797eae \ No newline at end of file
diff --git a/js/highlight/fortran.js.map b/js/highlight/fortran.js.map
index 3a6a90b84..924a0b116 100644
--- a/js/highlight/fortran.js.map
+++ b/js/highlight/fortran.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/fortran.js"],"names":["module","exports","hljs","case_insensitive","aliases","keywords","literal","keyword","built_in","illegal","contains","inherit","APOS_STRING_MODE","className","relevance","QUOTE_STRING_MODE","beginKeywords","UNDERSCORE_TITLE_MODE","begin","end","COMMENT"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GA+CxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,CAAC,MAAO,OACjBC,SA5Ce,CACfC,QAAS,iBACTC,QAAS,uuDAoBTC,SAAU,i9DAuBVC,QAAS,OACTC,SAAU,CACRR,EAAKS,QAAQT,EAAKU,iBAAkB,CAACC,UAAW,SAAUC,UAAW,IACrEZ,EAAKS,QAAQT,EAAKa,kBAAmB,CAACF,UAAW,SAAUC,UAAW,IACtE,CACED,UAAW,WACXG,cAAe,8BACfP,QAAS,WACTC,SAAU,CAACR,EAAKe,sBA1DT,CACXJ,UAAW,SACXK,MAAO,MAAOC,IAAK,SA0DjBjB,EAAKkB,QAAQ,IAAK,IAAK,CAACN,UAAW,IACnC,CACED,UAAW,SACXK,MAAO,kFACPJ,UAAW","file":"highlight/fortran.js?v=7b9d865da00740ad6235","sourcesContent":["module.exports = function(hljs) {\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)'\n };\n\n var F_KEYWORDS = {\n literal: '.False. .True.',\n keyword: 'kind do while private call intrinsic where elsewhere ' +\n 'type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then ' +\n 'public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. ' +\n 'goto save else use module select case ' +\n 'access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit ' +\n 'continue format pause cycle exit ' +\n 'c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg ' +\n 'synchronous nopass non_overridable pass protected volatile abstract extends import ' +\n 'non_intrinsic value deferred generic final enumerator class associate bind enum ' +\n 'c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t ' +\n 'c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double ' +\n 'c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr ' +\n 'c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer ' +\n 'c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor ' +\n 'numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ' +\n 'ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive ' +\n 'pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure ' +\n 'integer real character complex logical dimension allocatable|10 parameter ' +\n 'external implicit|10 none double precision assign intent optional pointer ' +\n 'target in out common equivalence data',\n built_in: 'alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint ' +\n 'dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl ' +\n 'algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama ' +\n 'iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod ' +\n 'qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log ' +\n 'log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate ' +\n 'adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product ' +\n 'eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul ' +\n 'maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product ' +\n 'radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind ' +\n 'set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer ' +\n 'dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ' +\n 'ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode ' +\n 'is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of' +\n 'acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 ' +\n 'atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits ' +\n 'bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr ' +\n 'num_images parity popcnt poppar shifta shiftl shiftr this_image'\n };\n return {\n case_insensitive: true,\n aliases: ['f90', 'f95'],\n keywords: F_KEYWORDS,\n illegal: /\\/\\*/,\n contains: [\n hljs.inherit(hljs.APOS_STRING_MODE, {className: 'string', relevance: 0}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {className: 'string', relevance: 0}),\n {\n className: 'function',\n beginKeywords: 'subroutine function program',\n illegal: '[${=\\\\n]',\n contains: [hljs.UNDERSCORE_TITLE_MODE, PARAMS]\n },\n hljs.COMMENT('!', '$', {relevance: 0}),\n {\n className: 'number',\n begin: '(?=\\\\b|\\\\+|\\\\-|\\\\.)(?=\\\\.\\\\d|\\\\d)(?:\\\\d+)?(?:\\\\.?\\\\d*)(?:[de][+-]?\\\\d+)?\\\\b\\\\.?',\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/fortran.js"],"names":["module","exports","hljs","case_insensitive","aliases","keywords","literal","keyword","built_in","illegal","contains","inherit","APOS_STRING_MODE","className","relevance","QUOTE_STRING_MODE","beginKeywords","UNDERSCORE_TITLE_MODE","begin","end","COMMENT"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GA+CxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,CAAC,MAAO,OACjBC,SA5Ce,CACfC,QAAS,iBACTC,QAAS,svDAoBTC,SAAU,i9DAuBVC,QAAS,OACTC,SAAU,CACRR,EAAKS,QAAQT,EAAKU,iBAAkB,CAACC,UAAW,SAAUC,UAAW,IACrEZ,EAAKS,QAAQT,EAAKa,kBAAmB,CAACF,UAAW,SAAUC,UAAW,IACtE,CACED,UAAW,WACXG,cAAe,8BACfP,QAAS,WACTC,SAAU,CAACR,EAAKe,sBA1DT,CACXJ,UAAW,SACXK,MAAO,MAAOC,IAAK,SA0DjBjB,EAAKkB,QAAQ,IAAK,IAAK,CAACN,UAAW,IACnC,CACED,UAAW,SACXK,MAAO,kFACPJ,UAAW","file":"highlight/fortran.js?v=a4301b687567a5797eae","sourcesContent":["module.exports = function(hljs) {\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)'\n };\n\n var F_KEYWORDS = {\n literal: '.False. .True.',\n keyword: 'kind do while private call intrinsic where elsewhere ' +\n 'type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then block endblock ' +\n 'public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. ' +\n 'goto save else use module select case ' +\n 'access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit ' +\n 'continue format pause cycle exit ' +\n 'c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg ' +\n 'synchronous nopass non_overridable pass protected volatile abstract extends import ' +\n 'non_intrinsic value deferred generic final enumerator class associate bind enum ' +\n 'c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t ' +\n 'c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double ' +\n 'c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr ' +\n 'c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer ' +\n 'c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor ' +\n 'numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ' +\n 'ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive ' +\n 'pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure ' +\n 'integer real character complex logical dimension allocatable|10 parameter ' +\n 'external implicit|10 none double precision assign intent optional pointer ' +\n 'target in out common equivalence data',\n built_in: 'alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint ' +\n 'dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl ' +\n 'algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama ' +\n 'iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod ' +\n 'qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log ' +\n 'log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate ' +\n 'adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product ' +\n 'eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul ' +\n 'maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product ' +\n 'radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind ' +\n 'set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer ' +\n 'dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ' +\n 'ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode ' +\n 'is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of' +\n 'acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 ' +\n 'atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits ' +\n 'bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr ' +\n 'num_images parity popcnt poppar shifta shiftl shiftr this_image'\n };\n return {\n case_insensitive: true,\n aliases: ['f90', 'f95'],\n keywords: F_KEYWORDS,\n illegal: /\\/\\*/,\n contains: [\n hljs.inherit(hljs.APOS_STRING_MODE, {className: 'string', relevance: 0}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {className: 'string', relevance: 0}),\n {\n className: 'function',\n beginKeywords: 'subroutine function program',\n illegal: '[${=\\\\n]',\n contains: [hljs.UNDERSCORE_TITLE_MODE, PARAMS]\n },\n hljs.COMMENT('!', '$', {relevance: 0}),\n {\n className: 'number',\n begin: '(?=\\\\b|\\\\+|\\\\-|\\\\.)(?=\\\\.\\\\d|\\\\d)(?:\\\\d+)?(?:\\\\.?\\\\d*)(?:[de][+-]?\\\\d+)?\\\\b\\\\.?',\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/fsharp.js b/js/highlight/fsharp.js
index e2691720c..66e090f8b 100644
--- a/js/highlight/fsharp.js
+++ b/js/highlight/fsharp.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[61],{427:function(e,n){e.exports=function(e){var n={begin:"<",end:">",contains:[e.inherit(e.TITLE_MODE,{begin:/'[a-zA-Z0-9_]+/})]};return{aliases:["fs"],keywords:"abstract and as assert base begin class default delegate do done downcast downto elif else end exception extern false finally for fun function global if in inherit inline interface internal lazy let match member module mutable namespace new null of open or override private public rec return sig static struct then to true try type upcast use val void when while with yield",illegal:/\/\*/,contains:[{className:"keyword",begin:/\b(yield|return|let|do)!/},{className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},{className:"string",begin:'"""',end:'"""'},e.COMMENT("\\(\\*","\\*\\)"),{className:"class",beginKeywords:"type",end:"\\(|=|$",excludeEnd:!0,contains:[e.UNDERSCORE_TITLE_MODE,n]},{className:"meta",begin:"\\[<",end:">\\]",relevance:10},{className:"symbol",begin:"\\B('[A-Za-z])\\b",contains:[e.BACKSLASH_ESCAPE]},e.C_LINE_COMMENT_MODE,e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),e.C_NUMBER_MODE]}}}}]);
-//# sourceMappingURL=fsharp.js.map?v=e52ae1f393a85870b0db \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[61],{428:function(e,n){e.exports=function(e){var n={begin:"<",end:">",contains:[e.inherit(e.TITLE_MODE,{begin:/'[a-zA-Z0-9_]+/})]};return{aliases:["fs"],keywords:"abstract and as assert base begin class default delegate do done downcast downto elif else end exception extern false finally for fun function global if in inherit inline interface internal lazy let match member module mutable namespace new null of open or override private public rec return sig static struct then to true try type upcast use val void when while with yield",illegal:/\/\*/,contains:[{className:"keyword",begin:/\b(yield|return|let|do)!/},{className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},{className:"string",begin:'"""',end:'"""'},e.COMMENT("\\(\\*","\\*\\)"),{className:"class",beginKeywords:"type",end:"\\(|=|$",excludeEnd:!0,contains:[e.UNDERSCORE_TITLE_MODE,n]},{className:"meta",begin:"\\[<",end:">\\]",relevance:10},{className:"symbol",begin:"\\B('[A-Za-z])\\b",contains:[e.BACKSLASH_ESCAPE]},e.C_LINE_COMMENT_MODE,e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),e.C_NUMBER_MODE]}}}}]);
+//# sourceMappingURL=fsharp.js.map?v=fa47cb385b5375960fea \ No newline at end of file
diff --git a/js/highlight/fsharp.js.map b/js/highlight/fsharp.js.map
index a4ea65468..73bb2c598 100644
--- a/js/highlight/fsharp.js.map
+++ b/js/highlight/fsharp.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/fsharp.js"],"names":["module","exports","hljs","TYPEPARAM","begin","end","contains","inherit","TITLE_MODE","aliases","keywords","illegal","className","COMMENT","beginKeywords","excludeEnd","UNDERSCORE_TITLE_MODE","relevance","BACKSLASH_ESCAPE","C_LINE_COMMENT_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAY,CACdC,MAAO,IAAKC,IAAK,IACjBC,SAAU,CACRJ,EAAKK,QAAQL,EAAKM,WAAY,CAACJ,MAAO,qBAI1C,MAAO,CACLK,QAAS,CAAC,MACVC,SACE,wXAMFC,QAAS,OACTL,SAAU,CACR,CAEEM,UAAW,UACXR,MAAO,4BAET,CACEQ,UAAW,SACXR,MAAO,KAAMC,IAAK,IAClBC,SAAU,CAAC,CAACF,MAAO,QAErB,CACEQ,UAAW,SACXR,MAAO,MAAOC,IAAK,OAErBH,EAAKW,QAAQ,SAAU,UACvB,CACED,UAAW,QACXE,cAAe,OAAQT,IAAK,UAAWU,YAAY,EACnDT,SAAU,CACRJ,EAAKc,sBACLb,IAGJ,CACES,UAAW,OACXR,MAAO,OAAQC,IAAK,OACpBY,UAAW,IAEb,CACEL,UAAW,SACXR,MAAO,oBACPE,SAAU,CAACJ,EAAKgB,mBAElBhB,EAAKiB,oBACLjB,EAAKK,QAAQL,EAAKkB,kBAAmB,CAACT,QAAS,OAC/CT,EAAKmB","file":"highlight/fsharp.js?v=e52ae1f393a85870b0db","sourcesContent":["module.exports = function(hljs) {\n var TYPEPARAM = {\n begin: '<', end: '>',\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: /'[a-zA-Z0-9_]+/})\n ]\n };\n\n return {\n aliases: ['fs'],\n keywords:\n 'abstract and as assert base begin class default delegate do done ' +\n 'downcast downto elif else end exception extern false finally for ' +\n 'fun function global if in inherit inline interface internal lazy let ' +\n 'match member module mutable namespace new null of open or ' +\n 'override private public rec return sig static struct then to ' +\n 'true try type upcast use val void when while with yield',\n illegal: /\\/\\*/,\n contains: [\n {\n // monad builder keywords (matches before non-bang kws)\n className: 'keyword',\n begin: /\\b(yield|return|let|do)!/\n },\n {\n className: 'string',\n begin: '@\"', end: '\"',\n contains: [{begin: '\"\"'}]\n },\n {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"'\n },\n hljs.COMMENT('\\\\(\\\\*', '\\\\*\\\\)'),\n {\n className: 'class',\n beginKeywords: 'type', end: '\\\\(|=|$', excludeEnd: true,\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n TYPEPARAM\n ]\n },\n {\n className: 'meta',\n begin: '\\\\[<', end: '>\\\\]',\n relevance: 10\n },\n {\n className: 'symbol',\n begin: '\\\\B(\\'[A-Za-z])\\\\b',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/fsharp.js"],"names":["module","exports","hljs","TYPEPARAM","begin","end","contains","inherit","TITLE_MODE","aliases","keywords","illegal","className","COMMENT","beginKeywords","excludeEnd","UNDERSCORE_TITLE_MODE","relevance","BACKSLASH_ESCAPE","C_LINE_COMMENT_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAY,CACdC,MAAO,IAAKC,IAAK,IACjBC,SAAU,CACRJ,EAAKK,QAAQL,EAAKM,WAAY,CAACJ,MAAO,qBAI1C,MAAO,CACLK,QAAS,CAAC,MACVC,SACE,wXAMFC,QAAS,OACTL,SAAU,CACR,CAEEM,UAAW,UACXR,MAAO,4BAET,CACEQ,UAAW,SACXR,MAAO,KAAMC,IAAK,IAClBC,SAAU,CAAC,CAACF,MAAO,QAErB,CACEQ,UAAW,SACXR,MAAO,MAAOC,IAAK,OAErBH,EAAKW,QAAQ,SAAU,UACvB,CACED,UAAW,QACXE,cAAe,OAAQT,IAAK,UAAWU,YAAY,EACnDT,SAAU,CACRJ,EAAKc,sBACLb,IAGJ,CACES,UAAW,OACXR,MAAO,OAAQC,IAAK,OACpBY,UAAW,IAEb,CACEL,UAAW,SACXR,MAAO,oBACPE,SAAU,CAACJ,EAAKgB,mBAElBhB,EAAKiB,oBACLjB,EAAKK,QAAQL,EAAKkB,kBAAmB,CAACT,QAAS,OAC/CT,EAAKmB","file":"highlight/fsharp.js?v=fa47cb385b5375960fea","sourcesContent":["module.exports = function(hljs) {\n var TYPEPARAM = {\n begin: '<', end: '>',\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: /'[a-zA-Z0-9_]+/})\n ]\n };\n\n return {\n aliases: ['fs'],\n keywords:\n 'abstract and as assert base begin class default delegate do done ' +\n 'downcast downto elif else end exception extern false finally for ' +\n 'fun function global if in inherit inline interface internal lazy let ' +\n 'match member module mutable namespace new null of open or ' +\n 'override private public rec return sig static struct then to ' +\n 'true try type upcast use val void when while with yield',\n illegal: /\\/\\*/,\n contains: [\n {\n // monad builder keywords (matches before non-bang kws)\n className: 'keyword',\n begin: /\\b(yield|return|let|do)!/\n },\n {\n className: 'string',\n begin: '@\"', end: '\"',\n contains: [{begin: '\"\"'}]\n },\n {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"'\n },\n hljs.COMMENT('\\\\(\\\\*', '\\\\*\\\\)'),\n {\n className: 'class',\n beginKeywords: 'type', end: '\\\\(|=|$', excludeEnd: true,\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n TYPEPARAM\n ]\n },\n {\n className: 'meta',\n begin: '\\\\[<', end: '>\\\\]',\n relevance: 10\n },\n {\n className: 'symbol',\n begin: '\\\\B(\\'[A-Za-z])\\\\b',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/gams.js b/js/highlight/gams.js
index dee718949..2cbbe0627 100644
--- a/js/highlight/gams.js
+++ b/js/highlight/gams.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[62],{428:function(e,n){e.exports=function(e){var n={keyword:"abort acronym acronyms alias all and assign binary card diag display else eq file files for free ge gt if integer le loop lt maximizing minimizing model models ne negative no not option options or ord positive prod put putpage puttl repeat sameas semicont semiint smax smin solve sos1 sos2 sum system table then until using while xor yes",literal:"eps inf na","built-in":"abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power randBinomial randLinear randTriangle round rPower sigmoid sign signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion handleCollect handleDelete handleStatus handleSubmit heapFree heapLimit heapSize jobHandle jobKill jobStatus jobTerminate licenseLevel licenseStatus maxExecError sleep timeClose timeComp timeElapsed timeExec timeStart"},a={className:"symbol",variants:[{begin:/\=[lgenxc]=/},{begin:/\$/}]},i={className:"comment",variants:[{begin:"'",end:"'"},{begin:'"',end:'"'}],illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},s={begin:"/",end:"/",keywords:n,contains:[i,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},o={begin:/[a-z][a-z0-9_]*(\([a-z0-9_, ]*\))?[ \t]+/,excludeBegin:!0,end:"$",endsWithParent:!0,contains:[i,s,{className:"comment",begin:/([ ]*[a-z0-9&#*=?@>\\<:\-,()$\[\]_.{}!+%^]+)+/,relevance:0}]};return{aliases:["gms"],case_insensitive:!0,keywords:n,contains:[e.COMMENT(/^\$ontext/,/^\$offtext/),{className:"meta",begin:"^\\$[a-z0-9]+",end:"$",returnBegin:!0,contains:[{className:"meta-keyword",begin:"^\\$[a-z0-9]+"}]},e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{beginKeywords:"set sets parameter parameters variable variables scalar scalars equation equations",end:";",contains:[e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,s,o]},{beginKeywords:"table",end:";",returnBegin:!0,contains:[{beginKeywords:"table",end:"$",contains:[o]},e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},{className:"function",begin:/^[a-z][a-z0-9_,\-+' ()$]+\.{2}/,returnBegin:!0,contains:[{className:"title",begin:/^[a-z0-9_]+/},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0},a]},e.C_NUMBER_MODE,a]}}}}]);
-//# sourceMappingURL=gams.js.map?v=80b8ca041bdcc1368596 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[62],{429:function(e,n){e.exports=function(e){var n={keyword:"abort acronym acronyms alias all and assign binary card diag display else eq file files for free ge gt if integer le loop lt maximizing minimizing model models ne negative no not option options or ord positive prod put putpage puttl repeat sameas semicont semiint smax smin solve sos1 sos2 sum system table then until using while xor yes",literal:"eps inf na","built-in":"abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power randBinomial randLinear randTriangle round rPower sigmoid sign signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion handleCollect handleDelete handleStatus handleSubmit heapFree heapLimit heapSize jobHandle jobKill jobStatus jobTerminate licenseLevel licenseStatus maxExecError sleep timeClose timeComp timeElapsed timeExec timeStart"},a={className:"symbol",variants:[{begin:/\=[lgenxc]=/},{begin:/\$/}]},i={className:"comment",variants:[{begin:"'",end:"'"},{begin:'"',end:'"'}],illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},s={begin:"/",end:"/",keywords:n,contains:[i,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},o={begin:/[a-z][a-z0-9_]*(\([a-z0-9_, ]*\))?[ \t]+/,excludeBegin:!0,end:"$",endsWithParent:!0,contains:[i,s,{className:"comment",begin:/([ ]*[a-z0-9&#*=?@>\\<:\-,()$\[\]_.{}!+%^]+)+/,relevance:0}]};return{aliases:["gms"],case_insensitive:!0,keywords:n,contains:[e.COMMENT(/^\$ontext/,/^\$offtext/),{className:"meta",begin:"^\\$[a-z0-9]+",end:"$",returnBegin:!0,contains:[{className:"meta-keyword",begin:"^\\$[a-z0-9]+"}]},e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{beginKeywords:"set sets parameter parameters variable variables scalar scalars equation equations",end:";",contains:[e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,s,o]},{beginKeywords:"table",end:";",returnBegin:!0,contains:[{beginKeywords:"table",end:"$",contains:[o]},e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},{className:"function",begin:/^[a-z][a-z0-9_,\-+' ()$]+\.{2}/,returnBegin:!0,contains:[{className:"title",begin:/^[a-z0-9_]+/},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0},a]},e.C_NUMBER_MODE,a]}}}}]);
+//# sourceMappingURL=gams.js.map?v=9a0bf8a54e622f03528d \ No newline at end of file
diff --git a/js/highlight/gams.js.map b/js/highlight/gams.js.map
index cddd870ee..bae4eca60 100644
--- a/js/highlight/gams.js.map
+++ b/js/highlight/gams.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gams.js"],"names":["module","exports","hljs","KEYWORDS","SYMBOLS","className","variants","begin","QSTR","end","illegal","contains","BACKSLASH_ESCAPE","ASSIGNMENT","keywords","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","C_NUMBER_MODE","DESCTEXT","excludeBegin","endsWithParent","relevance","aliases","case_insensitive","COMMENT","returnBegin","beginKeywords","excludeEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,IAAIC,EAAW,CACb,QACE,oVAKF,QAAW,aACX,WACE,u3BAqBAC,EAAU,CACZC,UAAW,SACXC,SAAU,CACR,CAACC,MAAO,eACR,CAACA,MAAO,QAGRC,EAAO,CACTH,UAAW,UACXC,SAAU,CACR,CAACC,MAAO,IAAME,IAAK,KACnB,CAACF,MAAO,IAAKE,IAAK,MAEpBC,QAAS,MACTC,SAAU,CAACT,EAAKU,mBAEdC,EAAa,CACfN,MAAO,IACPE,IAAK,IACLK,SAAUX,EACVQ,SAAU,CACRH,EACAN,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,kBACLf,EAAKgB,iBACLhB,EAAKiB,gBAGLC,EAAW,CACbb,MAAO,2CACPc,cAAc,EACdZ,IAAK,IACLa,gBAAgB,EAChBX,SAAU,CACRH,EACAK,EACA,CACER,UAAW,UACXE,MAAO,gDACPgB,UAAW,KAKjB,MAAO,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClBX,SAAUX,EACVQ,SAAU,CACRT,EAAKwB,QAAQ,YAAa,cAC1B,CACErB,UAAW,OACXE,MAAO,gBACPE,IAAK,IACLkB,aAAa,EACbhB,SAAU,CACR,CACEN,UAAW,eACXE,MAAO,mBAIbL,EAAKwB,QAAQ,OAAQ,KACrBxB,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,kBACLf,EAAKgB,iBAEL,CACEU,cACE,qFAEFnB,IAAK,IACLE,SAAU,CACRT,EAAKwB,QAAQ,OAAQ,KACrBxB,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,kBACLf,EAAKgB,iBACLL,EACAO,IAGJ,CACEQ,cAAe,QACfnB,IAAK,IACLkB,aAAa,EACbhB,SAAU,CACR,CACEiB,cAAe,QACfnB,IAAK,IACLE,SAAU,CAACS,IAEblB,EAAKwB,QAAQ,OAAQ,KACrBxB,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,kBACLf,EAAKgB,iBACLhB,EAAKiB,gBAKT,CACEd,UAAW,WACXE,MAAO,iCACPoB,aAAa,EACbhB,SAAU,CACJ,CACEN,UAAW,QACXE,MAAO,eArHR,CACXF,UAAW,SACXE,MAAO,KAAME,IAAK,KAClBY,cAAc,EACdQ,YAAY,GAoHFzB,IAGRF,EAAKiB,cACLf","file":"highlight/gams.js?v=80b8ca041bdcc1368596","sourcesContent":["module.exports = function (hljs) {\n var KEYWORDS = {\n 'keyword':\n 'abort acronym acronyms alias all and assign binary card diag display ' +\n 'else eq file files for free ge gt if integer le loop lt maximizing ' +\n 'minimizing model models ne negative no not option options or ord ' +\n 'positive prod put putpage puttl repeat sameas semicont semiint smax ' +\n 'smin solve sos1 sos2 sum system table then until using while xor yes',\n 'literal': 'eps inf na',\n 'built-in':\n 'abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy ' +\n 'cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact ' +\n 'floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max ' +\n 'min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power ' +\n 'randBinomial randLinear randTriangle round rPower sigmoid sign ' +\n 'signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt ' +\n 'tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp ' +\n 'bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt ' +\n 'rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear ' +\n 'jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion ' +\n 'handleCollect handleDelete handleStatus handleSubmit heapFree ' +\n 'heapLimit heapSize jobHandle jobKill jobStatus jobTerminate ' +\n 'licenseLevel licenseStatus maxExecError sleep timeClose timeComp ' +\n 'timeElapsed timeExec timeStart'\n };\n var PARAMS = {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n };\n var SYMBOLS = {\n className: 'symbol',\n variants: [\n {begin: /\\=[lgenxc]=/},\n {begin: /\\$/},\n ]\n };\n var QSTR = { // One-line quoted comment string\n className: 'comment',\n variants: [\n {begin: '\\'', end: '\\''},\n {begin: '\"', end: '\"'},\n ],\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE]\n };\n var ASSIGNMENT = {\n begin: '/',\n end: '/',\n keywords: KEYWORDS,\n contains: [\n QSTR,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.C_NUMBER_MODE,\n ],\n };\n var DESCTEXT = { // Parameter/set/variable description text\n begin: /[a-z][a-z0-9_]*(\\([a-z0-9_, ]*\\))?[ \\t]+/,\n excludeBegin: true,\n end: '$',\n endsWithParent: true,\n contains: [\n QSTR,\n ASSIGNMENT,\n {\n className: 'comment',\n begin: /([ ]*[a-z0-9&#*=?@>\\\\<:\\-,()$\\[\\]_.{}!+%^]+)+/,\n relevance: 0\n },\n ],\n };\n\n return {\n aliases: ['gms'],\n case_insensitive: true,\n keywords: KEYWORDS,\n contains: [\n hljs.COMMENT(/^\\$ontext/, /^\\$offtext/),\n {\n className: 'meta',\n begin: '^\\\\$[a-z0-9]+',\n end: '$',\n returnBegin: true,\n contains: [\n {\n className: 'meta-keyword',\n begin: '^\\\\$[a-z0-9]+',\n }\n ]\n },\n hljs.COMMENT('^\\\\*', '$'),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n // Declarations\n {\n beginKeywords:\n 'set sets parameter parameters variable variables ' +\n 'scalar scalars equation equations',\n end: ';',\n contains: [\n hljs.COMMENT('^\\\\*', '$'),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n ASSIGNMENT,\n DESCTEXT,\n ]\n },\n { // table environment\n beginKeywords: 'table',\n end: ';',\n returnBegin: true,\n contains: [\n { // table header row\n beginKeywords: 'table',\n end: '$',\n contains: [DESCTEXT],\n },\n hljs.COMMENT('^\\\\*', '$'),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.C_NUMBER_MODE,\n // Table does not contain DESCTEXT or ASSIGNMENT\n ]\n },\n // Function definitions\n {\n className: 'function',\n begin: /^[a-z][a-z0-9_,\\-+' ()$]+\\.{2}/,\n returnBegin: true,\n contains: [\n { // Function title\n className: 'title',\n begin: /^[a-z0-9_]+/,\n },\n PARAMS,\n SYMBOLS,\n ],\n },\n hljs.C_NUMBER_MODE,\n SYMBOLS,\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gams.js"],"names":["module","exports","hljs","KEYWORDS","SYMBOLS","className","variants","begin","QSTR","end","illegal","contains","BACKSLASH_ESCAPE","ASSIGNMENT","keywords","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","C_NUMBER_MODE","DESCTEXT","excludeBegin","endsWithParent","relevance","aliases","case_insensitive","COMMENT","returnBegin","beginKeywords","excludeEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,IAAIC,EAAW,CACb,QACE,oVAKF,QAAW,aACX,WACE,u3BAqBAC,EAAU,CACZC,UAAW,SACXC,SAAU,CACR,CAACC,MAAO,eACR,CAACA,MAAO,QAGRC,EAAO,CACTH,UAAW,UACXC,SAAU,CACR,CAACC,MAAO,IAAME,IAAK,KACnB,CAACF,MAAO,IAAKE,IAAK,MAEpBC,QAAS,MACTC,SAAU,CAACT,EAAKU,mBAEdC,EAAa,CACfN,MAAO,IACPE,IAAK,IACLK,SAAUX,EACVQ,SAAU,CACRH,EACAN,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,kBACLf,EAAKgB,iBACLhB,EAAKiB,gBAGLC,EAAW,CACbb,MAAO,2CACPc,cAAc,EACdZ,IAAK,IACLa,gBAAgB,EAChBX,SAAU,CACRH,EACAK,EACA,CACER,UAAW,UACXE,MAAO,gDACPgB,UAAW,KAKjB,MAAO,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClBX,SAAUX,EACVQ,SAAU,CACRT,EAAKwB,QAAQ,YAAa,cAC1B,CACErB,UAAW,OACXE,MAAO,gBACPE,IAAK,IACLkB,aAAa,EACbhB,SAAU,CACR,CACEN,UAAW,eACXE,MAAO,mBAIbL,EAAKwB,QAAQ,OAAQ,KACrBxB,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,kBACLf,EAAKgB,iBAEL,CACEU,cACE,qFAEFnB,IAAK,IACLE,SAAU,CACRT,EAAKwB,QAAQ,OAAQ,KACrBxB,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,kBACLf,EAAKgB,iBACLL,EACAO,IAGJ,CACEQ,cAAe,QACfnB,IAAK,IACLkB,aAAa,EACbhB,SAAU,CACR,CACEiB,cAAe,QACfnB,IAAK,IACLE,SAAU,CAACS,IAEblB,EAAKwB,QAAQ,OAAQ,KACrBxB,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,kBACLf,EAAKgB,iBACLhB,EAAKiB,gBAKT,CACEd,UAAW,WACXE,MAAO,iCACPoB,aAAa,EACbhB,SAAU,CACJ,CACEN,UAAW,QACXE,MAAO,eArHR,CACXF,UAAW,SACXE,MAAO,KAAME,IAAK,KAClBY,cAAc,EACdQ,YAAY,GAoHFzB,IAGRF,EAAKiB,cACLf","file":"highlight/gams.js?v=9a0bf8a54e622f03528d","sourcesContent":["module.exports = function (hljs) {\n var KEYWORDS = {\n 'keyword':\n 'abort acronym acronyms alias all and assign binary card diag display ' +\n 'else eq file files for free ge gt if integer le loop lt maximizing ' +\n 'minimizing model models ne negative no not option options or ord ' +\n 'positive prod put putpage puttl repeat sameas semicont semiint smax ' +\n 'smin solve sos1 sos2 sum system table then until using while xor yes',\n 'literal': 'eps inf na',\n 'built-in':\n 'abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy ' +\n 'cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact ' +\n 'floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max ' +\n 'min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power ' +\n 'randBinomial randLinear randTriangle round rPower sigmoid sign ' +\n 'signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt ' +\n 'tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp ' +\n 'bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt ' +\n 'rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear ' +\n 'jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion ' +\n 'handleCollect handleDelete handleStatus handleSubmit heapFree ' +\n 'heapLimit heapSize jobHandle jobKill jobStatus jobTerminate ' +\n 'licenseLevel licenseStatus maxExecError sleep timeClose timeComp ' +\n 'timeElapsed timeExec timeStart'\n };\n var PARAMS = {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n };\n var SYMBOLS = {\n className: 'symbol',\n variants: [\n {begin: /\\=[lgenxc]=/},\n {begin: /\\$/},\n ]\n };\n var QSTR = { // One-line quoted comment string\n className: 'comment',\n variants: [\n {begin: '\\'', end: '\\''},\n {begin: '\"', end: '\"'},\n ],\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE]\n };\n var ASSIGNMENT = {\n begin: '/',\n end: '/',\n keywords: KEYWORDS,\n contains: [\n QSTR,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.C_NUMBER_MODE,\n ],\n };\n var DESCTEXT = { // Parameter/set/variable description text\n begin: /[a-z][a-z0-9_]*(\\([a-z0-9_, ]*\\))?[ \\t]+/,\n excludeBegin: true,\n end: '$',\n endsWithParent: true,\n contains: [\n QSTR,\n ASSIGNMENT,\n {\n className: 'comment',\n begin: /([ ]*[a-z0-9&#*=?@>\\\\<:\\-,()$\\[\\]_.{}!+%^]+)+/,\n relevance: 0\n },\n ],\n };\n\n return {\n aliases: ['gms'],\n case_insensitive: true,\n keywords: KEYWORDS,\n contains: [\n hljs.COMMENT(/^\\$ontext/, /^\\$offtext/),\n {\n className: 'meta',\n begin: '^\\\\$[a-z0-9]+',\n end: '$',\n returnBegin: true,\n contains: [\n {\n className: 'meta-keyword',\n begin: '^\\\\$[a-z0-9]+',\n }\n ]\n },\n hljs.COMMENT('^\\\\*', '$'),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n // Declarations\n {\n beginKeywords:\n 'set sets parameter parameters variable variables ' +\n 'scalar scalars equation equations',\n end: ';',\n contains: [\n hljs.COMMENT('^\\\\*', '$'),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n ASSIGNMENT,\n DESCTEXT,\n ]\n },\n { // table environment\n beginKeywords: 'table',\n end: ';',\n returnBegin: true,\n contains: [\n { // table header row\n beginKeywords: 'table',\n end: '$',\n contains: [DESCTEXT],\n },\n hljs.COMMENT('^\\\\*', '$'),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.C_NUMBER_MODE,\n // Table does not contain DESCTEXT or ASSIGNMENT\n ]\n },\n // Function definitions\n {\n className: 'function',\n begin: /^[a-z][a-z0-9_,\\-+' ()$]+\\.{2}/,\n returnBegin: true,\n contains: [\n { // Function title\n className: 'title',\n begin: /^[a-z0-9_]+/,\n },\n PARAMS,\n SYMBOLS,\n ],\n },\n hljs.C_NUMBER_MODE,\n SYMBOLS,\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/gauss.js b/js/highlight/gauss.js
index a50eb56c8..5db987ace 100644
--- a/js/highlight/gauss.js
+++ b/js/highlight/gauss.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[63],{429:function(e,t){e.exports=function(e){var t={keyword:"bool break call callexe checkinterrupt clear clearg closeall cls comlog compile continue create debug declare delete disable dlibrary dllcall do dos ed edit else elseif enable end endfor endif endp endo errorlog errorlogat expr external fn for format goto gosub graph if keyword let lib library line load loadarray loadexe loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen scroll setarray show sparse stop string struct system trace trap threadfor threadendfor threadbegin threadjoin threadstat threadend until use while winprint ne ge le gt lt and xor or not eq eqv",built_in:"abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester strtrim",literal:"DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR"},a=e.COMMENT("@","@"),r={className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",keywords:{"meta-keyword":"include"},contains:[{className:"meta-string",begin:'"',end:'"',illegal:"\\n"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a]},n={begin:/\bstruct\s+/,end:/\s/,keywords:"struct",contains:[{className:"type",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},o=[{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,endsWithParent:!0,relevance:0,contains:[{className:"literal",begin:/\.\.\./},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,a,n]}],s={className:"title",begin:e.UNDERSCORE_IDENT_RE,relevance:0},d=function(t,r,n){var d=e.inherit({className:"function",beginKeywords:t,end:r,excludeEnd:!0,contains:[].concat(o)},n||{});return d.contains.push(s),d.contains.push(e.C_NUMBER_MODE),d.contains.push(e.C_BLOCK_COMMENT_MODE),d.contains.push(a),d},l={className:"built_in",begin:"\\b("+t.built_in.split(" ").join("|")+")\\b"},i={className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE],relevance:0},c={begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,keywords:t,relevance:0,contains:[{beginKeywords:t.keyword},l,{className:"built_in",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},p={begin:/\(/,end:/\)/,relevance:0,keywords:{built_in:t.built_in,literal:t.literal},contains:[e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,a,l,c,i,"self"]};return c.contains.push(p),{aliases:["gss"],case_insensitive:!0,keywords:t,illegal:/(\{[%#]|[%#]\}| <- )/,contains:[e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,i,r,{className:"keyword",begin:/\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/},d("proc keyword",";"),d("fn","="),{beginKeywords:"for threadfor",end:/;/,relevance:0,contains:[e.C_BLOCK_COMMENT_MODE,a,p]},{variants:[{begin:e.UNDERSCORE_IDENT_RE+"\\."+e.UNDERSCORE_IDENT_RE},{begin:e.UNDERSCORE_IDENT_RE+"\\s*="}],relevance:0},c,n]}}}}]);
-//# sourceMappingURL=gauss.js.map?v=bb78d535d122c148ce9f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[63],{430:function(e,t){e.exports=function(e){var t={keyword:"bool break call callexe checkinterrupt clear clearg closeall cls comlog compile continue create debug declare delete disable dlibrary dllcall do dos ed edit else elseif enable end endfor endif endp endo errorlog errorlogat expr external fn for format goto gosub graph if keyword let lib library line load loadarray loadexe loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen scroll setarray show sparse stop string struct system trace trap threadfor threadendfor threadbegin threadjoin threadstat threadend until use while winprint ne ge le gt lt and xor or not eq eqv",built_in:"abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester strtrim",literal:"DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR"},a=e.COMMENT("@","@"),r={className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",keywords:{"meta-keyword":"include"},contains:[{className:"meta-string",begin:'"',end:'"',illegal:"\\n"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a]},n={begin:/\bstruct\s+/,end:/\s/,keywords:"struct",contains:[{className:"type",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},o=[{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,endsWithParent:!0,relevance:0,contains:[{className:"literal",begin:/\.\.\./},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,a,n]}],s={className:"title",begin:e.UNDERSCORE_IDENT_RE,relevance:0},d=function(t,r,n){var d=e.inherit({className:"function",beginKeywords:t,end:r,excludeEnd:!0,contains:[].concat(o)},n||{});return d.contains.push(s),d.contains.push(e.C_NUMBER_MODE),d.contains.push(e.C_BLOCK_COMMENT_MODE),d.contains.push(a),d},l={className:"built_in",begin:"\\b("+t.built_in.split(" ").join("|")+")\\b"},i={className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE],relevance:0},c={begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,keywords:t,relevance:0,contains:[{beginKeywords:t.keyword},l,{className:"built_in",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},p={begin:/\(/,end:/\)/,relevance:0,keywords:{built_in:t.built_in,literal:t.literal},contains:[e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,a,l,c,i,"self"]};return c.contains.push(p),{aliases:["gss"],case_insensitive:!0,keywords:t,illegal:/(\{[%#]|[%#]\}| <- )/,contains:[e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,i,r,{className:"keyword",begin:/\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/},d("proc keyword",";"),d("fn","="),{beginKeywords:"for threadfor",end:/;/,relevance:0,contains:[e.C_BLOCK_COMMENT_MODE,a,p]},{variants:[{begin:e.UNDERSCORE_IDENT_RE+"\\."+e.UNDERSCORE_IDENT_RE},{begin:e.UNDERSCORE_IDENT_RE+"\\s*="}],relevance:0},c,n]}}}}]);
+//# sourceMappingURL=gauss.js.map?v=3cee1c94793426c6fe0c \ No newline at end of file
diff --git a/js/highlight/gauss.js.map b/js/highlight/gauss.js.map
index 6cc31de4e..48072cfc1 100644
--- a/js/highlight/gauss.js.map
+++ b/js/highlight/gauss.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gauss.js"],"names":["module","exports","hljs","KEYWORDS","keyword","built_in","literal","AT_COMMENT_MODE","COMMENT","PREPROCESSOR","className","begin","end","keywords","contains","relevance","beginKeywords","illegal","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","STRUCT_TYPE","UNDERSCORE_IDENT_RE","PARSE_PARAMS","excludeBegin","excludeEnd","endsWithParent","C_NUMBER_MODE","FUNCTION_DEF","DEFINITION","inherits","mode","inherit","concat","push","BUILT_IN_REF","split","join","STRING_REF","BACKSLASH_ESCAPE","FUNCTION_REF","returnBegin","FUNCTION_REF_PARAMS","aliases","case_insensitive","variants"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QAAU,0vBAUVC,SAAU,40SAqFVC,QAAS,8dAQPC,EAAkBL,EAAKM,QAAQ,IAAK,KAEpCC,EACJ,CACEC,UAAW,OACXC,MAAO,IAAKC,IAAK,IACjBC,SAAU,CAAC,eAAgB,6HAC3BC,SAAU,CACR,CACEH,MAAO,OAAQI,UAAW,GAE5B,CACEC,cAAe,UAAWJ,IAAK,IAC/BC,SAAU,CAAC,eAAgB,WAC3BC,SAAU,CACR,CACEJ,UAAW,cACXC,MAAO,IAAKC,IAAK,IACjBK,QAAS,SAIff,EAAKgB,oBACLhB,EAAKiB,qBACLZ,IAIAa,EACJ,CACET,MAAO,cACPC,IAAK,KACLC,SAAU,SACVC,SAAU,CACR,CACEJ,UAAW,OACXC,MAAOT,EAAKmB,oBACZN,UAAW,KAMbO,EAAe,CACjB,CACEZ,UAAW,SACXC,MAAO,KAAMC,IAAK,KAClBW,cAAc,EACdC,YAAY,EACZC,gBAAgB,EAChBV,UAAW,EACXD,SAAU,CACR,CACEJ,UAAW,UACXC,MAAO,UAETT,EAAKwB,cACLxB,EAAKiB,qBACLZ,EACAa,KAKFO,EACJ,CACEjB,UAAW,QACXC,MAAOT,EAAKmB,oBACZN,UAAW,GAGTa,EAAa,SAAUZ,EAAeJ,EAAKiB,GAC7C,IAAIC,EAAO5B,EAAK6B,QACd,CACErB,UAAW,WACXM,cAAeA,EACfJ,IAAKA,EACLY,YAAY,EACZV,SAAU,GAAGkB,OAAOV,IAEtBO,GAAY,IAMd,OAJAC,EAAKhB,SAASmB,KAAKN,GACnBG,EAAKhB,SAASmB,KAAK/B,EAAKwB,eACxBI,EAAKhB,SAASmB,KAAK/B,EAAKiB,sBACxBW,EAAKhB,SAASmB,KAAK1B,GACZuB,GAGLI,EACJ,CACExB,UAAW,WACXC,MAAO,OAASR,EAASE,SAAS8B,MAAM,KAAKC,KAAK,KAAO,QAGvDC,EACJ,CACE3B,UAAW,SACXC,MAAO,IAAKC,IAAK,IACjBE,SAAU,CAACZ,EAAKoC,kBAChBvB,UAAW,GAGTwB,EACJ,CAEE5B,MAAOT,EAAKmB,oBAAsB,UAClCmB,aAAa,EACb3B,SAAUV,EACVY,UAAW,EACXD,SAAU,CACR,CACEE,cAAeb,EAASC,SAE1B8B,EACA,CACExB,UAAW,WACXC,MAAOT,EAAKmB,oBACZN,UAAW,KAKb0B,EACJ,CAEE9B,MAAO,KACPC,IAAK,KACLG,UAAW,EACXF,SAAU,CAAER,SAAUF,EAASE,SAAUC,QAASH,EAASG,SAC3DQ,SAAU,CACRZ,EAAKwB,cACLxB,EAAKiB,qBACLZ,EACA2B,EACAK,EACAF,EACA,SAMJ,OAFAE,EAAazB,SAASmB,KAAKQ,GAEpB,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClB9B,SAAUV,EACVc,QAAS,uBACTH,SAAU,CACRZ,EAAKwB,cACLxB,EAAKgB,oBACLhB,EAAKiB,qBACLZ,EACA8B,EACA5B,EACA,CACEC,UAAW,UACXC,MAAO,yEAETiB,EAAW,eAAgB,KAC3BA,EAAW,KAAM,KACjB,CACEZ,cAAe,gBACfJ,IAAK,IAELG,UAAW,EACXD,SAAU,CACRZ,EAAKiB,qBACLZ,EACAkC,IAGJ,CAEEG,SAAU,CACR,CAAEjC,MAAOT,EAAKmB,oBAAsB,MAAQnB,EAAKmB,qBACjD,CAAEV,MAAOT,EAAKmB,oBAAsB,UAEtCN,UAAW,GAEbwB,EACAnB","file":"highlight/gauss.js?v=bb78d535d122c148ce9f","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword: 'bool break call callexe checkinterrupt clear clearg closeall cls comlog compile ' +\n 'continue create debug declare delete disable dlibrary dllcall do dos ed edit else ' +\n 'elseif enable end endfor endif endp endo errorlog errorlogat expr external fn ' +\n 'for format goto gosub graph if keyword let lib library line load loadarray loadexe ' +\n 'loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow ' +\n 'matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print ' +\n 'printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen ' +\n 'scroll setarray show sparse stop string struct system trace trap threadfor ' +\n 'threadendfor threadbegin threadjoin threadstat threadend until use while winprint ' +\n 'ne ge le gt lt and xor or not eq eqv',\n built_in: 'abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol ' +\n 'AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks ' +\n 'AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults ' +\n 'annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness ' +\n 'annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd ' +\n 'astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar ' +\n 'base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 ' +\n 'cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv ' +\n 'cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn ' +\n 'cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi ' +\n 'cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ' +\n 'ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated ' +\n 'complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs ' +\n 'cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos ' +\n 'datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd ' +\n 'dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName ' +\n 'dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy ' +\n 'dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen ' +\n 'dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA ' +\n 'dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField ' +\n 'dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition ' +\n 'dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows ' +\n 'dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly ' +\n 'dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy ' +\n 'dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl ' +\n 'dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt ' +\n 'dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday ' +\n 'dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays ' +\n 'endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error ' +\n 'etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut ' +\n 'EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol ' +\n 'EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq ' +\n 'feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt ' +\n 'floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC ' +\n 'gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders ' +\n 'gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse ' +\n 'gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray ' +\n 'getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders ' +\n 'getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT ' +\n 'gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm ' +\n 'hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 ' +\n 'indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 ' +\n 'inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf ' +\n 'isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv ' +\n 'lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn ' +\n 'lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind ' +\n 'loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars ' +\n 'makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli ' +\n 'mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave ' +\n 'movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate ' +\n 'olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto ' +\n 'pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox ' +\n 'plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea ' +\n 'plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout ' +\n 'plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill ' +\n 'plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol ' +\n 'plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange ' +\n 'plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel ' +\n 'plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot ' +\n 'pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames ' +\n 'pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector ' +\n 'pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate ' +\n 'qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr ' +\n 'real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn ' +\n 'rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel ' +\n 'rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn ' +\n 'rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh ' +\n 'rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind ' +\n 'scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa ' +\n 'setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind ' +\n 'sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL ' +\n 'spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense ' +\n 'spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet ' +\n 'sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt ' +\n 'strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr ' +\n 'surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname ' +\n 'time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk ' +\n 'trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt ' +\n 'utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs ' +\n 'vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window ' +\n 'writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM ' +\n 'xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute ' +\n 'h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels ' +\n 'plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester ' +\n 'strtrim',\n literal: 'DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS ' +\n 'DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 ' +\n 'DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS ' +\n 'DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES ' +\n 'DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR'\n };\n\n\n var AT_COMMENT_MODE = hljs.COMMENT('@', '@');\n\n var PREPROCESSOR =\n {\n className: 'meta',\n begin: '#', end: '$',\n keywords: {'meta-keyword': 'define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline'},\n contains: [\n {\n begin: /\\\\\\n/, relevance: 0\n },\n {\n beginKeywords: 'include', end: '$',\n keywords: {'meta-keyword': 'include'},\n contains: [\n {\n className: 'meta-string',\n begin: '\"', end: '\"',\n illegal: '\\\\n'\n }\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AT_COMMENT_MODE,\n ]\n };\n\n var STRUCT_TYPE =\n {\n begin: /\\bstruct\\s+/,\n end: /\\s/,\n keywords: \"struct\",\n contains: [\n {\n className: \"type\",\n begin: hljs.UNDERSCORE_IDENT_RE,\n relevance: 0,\n },\n ],\n };\n\n // only for definitions\n var PARSE_PARAMS = [\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n endsWithParent: true,\n relevance: 0,\n contains: [\n { // dots\n className: 'literal',\n begin: /\\.\\.\\./,\n },\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AT_COMMENT_MODE,\n STRUCT_TYPE,\n ]\n }\n ];\n\n var FUNCTION_DEF =\n {\n className: \"title\",\n begin: hljs.UNDERSCORE_IDENT_RE,\n relevance: 0,\n };\n\n var DEFINITION = function (beginKeywords, end, inherits) {\n var mode = hljs.inherit(\n {\n className: \"function\",\n beginKeywords: beginKeywords,\n end: end,\n excludeEnd: true,\n contains: [].concat(PARSE_PARAMS),\n },\n inherits || {}\n );\n mode.contains.push(FUNCTION_DEF);\n mode.contains.push(hljs.C_NUMBER_MODE);\n mode.contains.push(hljs.C_BLOCK_COMMENT_MODE);\n mode.contains.push(AT_COMMENT_MODE);\n return mode;\n };\n\n var BUILT_IN_REF =\n { // these are explicitly named internal function calls\n className: 'built_in',\n begin: '\\\\b(' + KEYWORDS.built_in.split(' ').join('|') + ')\\\\b',\n };\n\n var STRING_REF =\n {\n className: 'string',\n begin: '\"', end: '\"',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 0,\n };\n\n var FUNCTION_REF =\n {\n //className: \"fn_ref\",\n begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(',\n returnBegin: true,\n keywords: KEYWORDS,\n relevance: 0,\n contains: [\n {\n beginKeywords: KEYWORDS.keyword,\n },\n BUILT_IN_REF,\n { // ambiguously named function calls get a relevance of 0\n className: 'built_in',\n begin: hljs.UNDERSCORE_IDENT_RE,\n relevance: 0,\n },\n ],\n };\n\n var FUNCTION_REF_PARAMS =\n {\n //className: \"fn_ref_params\",\n begin: /\\(/,\n end: /\\)/,\n relevance: 0,\n keywords: { built_in: KEYWORDS.built_in, literal: KEYWORDS.literal },\n contains: [\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AT_COMMENT_MODE,\n BUILT_IN_REF,\n FUNCTION_REF,\n STRING_REF,\n 'self',\n ],\n };\n\n FUNCTION_REF.contains.push(FUNCTION_REF_PARAMS);\n\n return {\n aliases: ['gss'],\n case_insensitive: true, // language is case-insensitive\n keywords: KEYWORDS,\n illegal: /(\\{[%#]|[%#]\\}| <- )/,\n contains: [\n hljs.C_NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AT_COMMENT_MODE,\n STRING_REF,\n PREPROCESSOR,\n {\n className: 'keyword',\n begin: /\\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/,\n },\n DEFINITION('proc keyword', ';'),\n DEFINITION('fn', '='),\n {\n beginKeywords: 'for threadfor',\n end: /;/,\n //end: /\\(/,\n relevance: 0,\n contains: [\n hljs.C_BLOCK_COMMENT_MODE,\n AT_COMMENT_MODE,\n FUNCTION_REF_PARAMS,\n ],\n },\n { // custom method guard\n // excludes method names from keyword processing\n variants: [\n { begin: hljs.UNDERSCORE_IDENT_RE + '\\\\.' + hljs.UNDERSCORE_IDENT_RE, },\n { begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s*=', },\n ],\n relevance: 0,\n },\n FUNCTION_REF,\n STRUCT_TYPE,\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gauss.js"],"names":["module","exports","hljs","KEYWORDS","keyword","built_in","literal","AT_COMMENT_MODE","COMMENT","PREPROCESSOR","className","begin","end","keywords","contains","relevance","beginKeywords","illegal","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","STRUCT_TYPE","UNDERSCORE_IDENT_RE","PARSE_PARAMS","excludeBegin","excludeEnd","endsWithParent","C_NUMBER_MODE","FUNCTION_DEF","DEFINITION","inherits","mode","inherit","concat","push","BUILT_IN_REF","split","join","STRING_REF","BACKSLASH_ESCAPE","FUNCTION_REF","returnBegin","FUNCTION_REF_PARAMS","aliases","case_insensitive","variants"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QAAU,0vBAUVC,SAAU,40SAqFVC,QAAS,8dAQPC,EAAkBL,EAAKM,QAAQ,IAAK,KAEpCC,EACJ,CACEC,UAAW,OACXC,MAAO,IAAKC,IAAK,IACjBC,SAAU,CAAC,eAAgB,6HAC3BC,SAAU,CACR,CACEH,MAAO,OAAQI,UAAW,GAE5B,CACEC,cAAe,UAAWJ,IAAK,IAC/BC,SAAU,CAAC,eAAgB,WAC3BC,SAAU,CACR,CACEJ,UAAW,cACXC,MAAO,IAAKC,IAAK,IACjBK,QAAS,SAIff,EAAKgB,oBACLhB,EAAKiB,qBACLZ,IAIAa,EACJ,CACET,MAAO,cACPC,IAAK,KACLC,SAAU,SACVC,SAAU,CACR,CACEJ,UAAW,OACXC,MAAOT,EAAKmB,oBACZN,UAAW,KAMbO,EAAe,CACjB,CACEZ,UAAW,SACXC,MAAO,KAAMC,IAAK,KAClBW,cAAc,EACdC,YAAY,EACZC,gBAAgB,EAChBV,UAAW,EACXD,SAAU,CACR,CACEJ,UAAW,UACXC,MAAO,UAETT,EAAKwB,cACLxB,EAAKiB,qBACLZ,EACAa,KAKFO,EACJ,CACEjB,UAAW,QACXC,MAAOT,EAAKmB,oBACZN,UAAW,GAGTa,EAAa,SAAUZ,EAAeJ,EAAKiB,GAC7C,IAAIC,EAAO5B,EAAK6B,QACd,CACErB,UAAW,WACXM,cAAeA,EACfJ,IAAKA,EACLY,YAAY,EACZV,SAAU,GAAGkB,OAAOV,IAEtBO,GAAY,IAMd,OAJAC,EAAKhB,SAASmB,KAAKN,GACnBG,EAAKhB,SAASmB,KAAK/B,EAAKwB,eACxBI,EAAKhB,SAASmB,KAAK/B,EAAKiB,sBACxBW,EAAKhB,SAASmB,KAAK1B,GACZuB,GAGLI,EACJ,CACExB,UAAW,WACXC,MAAO,OAASR,EAASE,SAAS8B,MAAM,KAAKC,KAAK,KAAO,QAGvDC,EACJ,CACE3B,UAAW,SACXC,MAAO,IAAKC,IAAK,IACjBE,SAAU,CAACZ,EAAKoC,kBAChBvB,UAAW,GAGTwB,EACJ,CAEE5B,MAAOT,EAAKmB,oBAAsB,UAClCmB,aAAa,EACb3B,SAAUV,EACVY,UAAW,EACXD,SAAU,CACR,CACEE,cAAeb,EAASC,SAE1B8B,EACA,CACExB,UAAW,WACXC,MAAOT,EAAKmB,oBACZN,UAAW,KAKb0B,EACJ,CAEE9B,MAAO,KACPC,IAAK,KACLG,UAAW,EACXF,SAAU,CAAER,SAAUF,EAASE,SAAUC,QAASH,EAASG,SAC3DQ,SAAU,CACRZ,EAAKwB,cACLxB,EAAKiB,qBACLZ,EACA2B,EACAK,EACAF,EACA,SAMJ,OAFAE,EAAazB,SAASmB,KAAKQ,GAEpB,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClB9B,SAAUV,EACVc,QAAS,uBACTH,SAAU,CACRZ,EAAKwB,cACLxB,EAAKgB,oBACLhB,EAAKiB,qBACLZ,EACA8B,EACA5B,EACA,CACEC,UAAW,UACXC,MAAO,yEAETiB,EAAW,eAAgB,KAC3BA,EAAW,KAAM,KACjB,CACEZ,cAAe,gBACfJ,IAAK,IAELG,UAAW,EACXD,SAAU,CACRZ,EAAKiB,qBACLZ,EACAkC,IAGJ,CAEEG,SAAU,CACR,CAAEjC,MAAOT,EAAKmB,oBAAsB,MAAQnB,EAAKmB,qBACjD,CAAEV,MAAOT,EAAKmB,oBAAsB,UAEtCN,UAAW,GAEbwB,EACAnB","file":"highlight/gauss.js?v=3cee1c94793426c6fe0c","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword: 'bool break call callexe checkinterrupt clear clearg closeall cls comlog compile ' +\n 'continue create debug declare delete disable dlibrary dllcall do dos ed edit else ' +\n 'elseif enable end endfor endif endp endo errorlog errorlogat expr external fn ' +\n 'for format goto gosub graph if keyword let lib library line load loadarray loadexe ' +\n 'loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow ' +\n 'matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print ' +\n 'printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen ' +\n 'scroll setarray show sparse stop string struct system trace trap threadfor ' +\n 'threadendfor threadbegin threadjoin threadstat threadend until use while winprint ' +\n 'ne ge le gt lt and xor or not eq eqv',\n built_in: 'abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol ' +\n 'AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks ' +\n 'AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults ' +\n 'annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness ' +\n 'annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd ' +\n 'astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar ' +\n 'base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 ' +\n 'cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv ' +\n 'cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn ' +\n 'cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi ' +\n 'cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ' +\n 'ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated ' +\n 'complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs ' +\n 'cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos ' +\n 'datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd ' +\n 'dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName ' +\n 'dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy ' +\n 'dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen ' +\n 'dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA ' +\n 'dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField ' +\n 'dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition ' +\n 'dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows ' +\n 'dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly ' +\n 'dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy ' +\n 'dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl ' +\n 'dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt ' +\n 'dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday ' +\n 'dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays ' +\n 'endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error ' +\n 'etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut ' +\n 'EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol ' +\n 'EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq ' +\n 'feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt ' +\n 'floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC ' +\n 'gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders ' +\n 'gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse ' +\n 'gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray ' +\n 'getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders ' +\n 'getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT ' +\n 'gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm ' +\n 'hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 ' +\n 'indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 ' +\n 'inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf ' +\n 'isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv ' +\n 'lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn ' +\n 'lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind ' +\n 'loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars ' +\n 'makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli ' +\n 'mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave ' +\n 'movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate ' +\n 'olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto ' +\n 'pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox ' +\n 'plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea ' +\n 'plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout ' +\n 'plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill ' +\n 'plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol ' +\n 'plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange ' +\n 'plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel ' +\n 'plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot ' +\n 'pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames ' +\n 'pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector ' +\n 'pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate ' +\n 'qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr ' +\n 'real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn ' +\n 'rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel ' +\n 'rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn ' +\n 'rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh ' +\n 'rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind ' +\n 'scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa ' +\n 'setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind ' +\n 'sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL ' +\n 'spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense ' +\n 'spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet ' +\n 'sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt ' +\n 'strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr ' +\n 'surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname ' +\n 'time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk ' +\n 'trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt ' +\n 'utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs ' +\n 'vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window ' +\n 'writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM ' +\n 'xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute ' +\n 'h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels ' +\n 'plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester ' +\n 'strtrim',\n literal: 'DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS ' +\n 'DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 ' +\n 'DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS ' +\n 'DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES ' +\n 'DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR'\n };\n\n\n var AT_COMMENT_MODE = hljs.COMMENT('@', '@');\n\n var PREPROCESSOR =\n {\n className: 'meta',\n begin: '#', end: '$',\n keywords: {'meta-keyword': 'define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline'},\n contains: [\n {\n begin: /\\\\\\n/, relevance: 0\n },\n {\n beginKeywords: 'include', end: '$',\n keywords: {'meta-keyword': 'include'},\n contains: [\n {\n className: 'meta-string',\n begin: '\"', end: '\"',\n illegal: '\\\\n'\n }\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AT_COMMENT_MODE,\n ]\n };\n\n var STRUCT_TYPE =\n {\n begin: /\\bstruct\\s+/,\n end: /\\s/,\n keywords: \"struct\",\n contains: [\n {\n className: \"type\",\n begin: hljs.UNDERSCORE_IDENT_RE,\n relevance: 0,\n },\n ],\n };\n\n // only for definitions\n var PARSE_PARAMS = [\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n endsWithParent: true,\n relevance: 0,\n contains: [\n { // dots\n className: 'literal',\n begin: /\\.\\.\\./,\n },\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AT_COMMENT_MODE,\n STRUCT_TYPE,\n ]\n }\n ];\n\n var FUNCTION_DEF =\n {\n className: \"title\",\n begin: hljs.UNDERSCORE_IDENT_RE,\n relevance: 0,\n };\n\n var DEFINITION = function (beginKeywords, end, inherits) {\n var mode = hljs.inherit(\n {\n className: \"function\",\n beginKeywords: beginKeywords,\n end: end,\n excludeEnd: true,\n contains: [].concat(PARSE_PARAMS),\n },\n inherits || {}\n );\n mode.contains.push(FUNCTION_DEF);\n mode.contains.push(hljs.C_NUMBER_MODE);\n mode.contains.push(hljs.C_BLOCK_COMMENT_MODE);\n mode.contains.push(AT_COMMENT_MODE);\n return mode;\n };\n\n var BUILT_IN_REF =\n { // these are explicitly named internal function calls\n className: 'built_in',\n begin: '\\\\b(' + KEYWORDS.built_in.split(' ').join('|') + ')\\\\b',\n };\n\n var STRING_REF =\n {\n className: 'string',\n begin: '\"', end: '\"',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 0,\n };\n\n var FUNCTION_REF =\n {\n //className: \"fn_ref\",\n begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(',\n returnBegin: true,\n keywords: KEYWORDS,\n relevance: 0,\n contains: [\n {\n beginKeywords: KEYWORDS.keyword,\n },\n BUILT_IN_REF,\n { // ambiguously named function calls get a relevance of 0\n className: 'built_in',\n begin: hljs.UNDERSCORE_IDENT_RE,\n relevance: 0,\n },\n ],\n };\n\n var FUNCTION_REF_PARAMS =\n {\n //className: \"fn_ref_params\",\n begin: /\\(/,\n end: /\\)/,\n relevance: 0,\n keywords: { built_in: KEYWORDS.built_in, literal: KEYWORDS.literal },\n contains: [\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AT_COMMENT_MODE,\n BUILT_IN_REF,\n FUNCTION_REF,\n STRING_REF,\n 'self',\n ],\n };\n\n FUNCTION_REF.contains.push(FUNCTION_REF_PARAMS);\n\n return {\n aliases: ['gss'],\n case_insensitive: true, // language is case-insensitive\n keywords: KEYWORDS,\n illegal: /(\\{[%#]|[%#]\\}| <- )/,\n contains: [\n hljs.C_NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AT_COMMENT_MODE,\n STRING_REF,\n PREPROCESSOR,\n {\n className: 'keyword',\n begin: /\\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/,\n },\n DEFINITION('proc keyword', ';'),\n DEFINITION('fn', '='),\n {\n beginKeywords: 'for threadfor',\n end: /;/,\n //end: /\\(/,\n relevance: 0,\n contains: [\n hljs.C_BLOCK_COMMENT_MODE,\n AT_COMMENT_MODE,\n FUNCTION_REF_PARAMS,\n ],\n },\n { // custom method guard\n // excludes method names from keyword processing\n variants: [\n { begin: hljs.UNDERSCORE_IDENT_RE + '\\\\.' + hljs.UNDERSCORE_IDENT_RE, },\n { begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s*=', },\n ],\n relevance: 0,\n },\n FUNCTION_REF,\n STRUCT_TYPE,\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/gcode.js b/js/highlight/gcode.js
index eea2e39cc..85384e7b1 100644
--- a/js/highlight/gcode.js
+++ b/js/highlight/gcode.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[64],{430:function(e,n){e.exports=function(e){var n=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(/\(/,/\)/),e.inherit(e.C_NUMBER_MODE,{begin:"([-+]?([0-9]*\\.?[0-9]+\\.?))|"+e.C_NUMBER_RE}),e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"name",begin:"([G])([0-9]+\\.?[0-9]?)"},{className:"name",begin:"([M])([0-9]+\\.?[0-9]?)"},{className:"attr",begin:"(VC|VS|#)",end:"(\\d+)"},{className:"attr",begin:"(VZOFX|VZOFY|VZOFZ)"},{className:"built_in",begin:"(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\[)",end:"([-+]?([0-9]*\\.?[0-9]+\\.?))(\\])"},{className:"symbol",variants:[{begin:"N",end:"\\d+",illegal:"\\W"}]}];return{aliases:["nc"],case_insensitive:!0,lexemes:"[A-Z_][A-Z0-9_.]*",keywords:"IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT EQ LT GT NE GE LE OR XOR",contains:[{className:"meta",begin:"\\%"},{className:"meta",begin:"([O])([0-9]+)"}].concat(n)}}}}]);
-//# sourceMappingURL=gcode.js.map?v=c938bd5783c1cba9271e \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[64],{431:function(e,n){e.exports=function(e){var n=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(/\(/,/\)/),e.inherit(e.C_NUMBER_MODE,{begin:"([-+]?([0-9]*\\.?[0-9]+\\.?))|"+e.C_NUMBER_RE}),e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"name",begin:"([G])([0-9]+\\.?[0-9]?)"},{className:"name",begin:"([M])([0-9]+\\.?[0-9]?)"},{className:"attr",begin:"(VC|VS|#)",end:"(\\d+)"},{className:"attr",begin:"(VZOFX|VZOFY|VZOFZ)"},{className:"built_in",begin:"(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\[)",end:"([-+]?([0-9]*\\.?[0-9]+\\.?))(\\])"},{className:"symbol",variants:[{begin:"N",end:"\\d+",illegal:"\\W"}]}];return{aliases:["nc"],case_insensitive:!0,lexemes:"[A-Z_][A-Z0-9_.]*",keywords:"IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT EQ LT GT NE GE LE OR XOR",contains:[{className:"meta",begin:"\\%"},{className:"meta",begin:"([O])([0-9]+)"}].concat(n)}}}}]);
+//# sourceMappingURL=gcode.js.map?v=10f4e9c5ca4207cf2739 \ No newline at end of file
diff --git a/js/highlight/gcode.js.map b/js/highlight/gcode.js.map
index 5de474a33..450939f12 100644
--- a/js/highlight/gcode.js.map
+++ b/js/highlight/gcode.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gcode.js"],"names":["module","exports","hljs","GCODE_CODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","COMMENT","inherit","C_NUMBER_MODE","begin","C_NUMBER_RE","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","className","end","variants","aliases","case_insensitive","lexemes","keywords","contains","concat"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACtB,IASIC,EAAa,CACbD,EAAKE,oBACLF,EAAKG,qBACLH,EAAKI,QAAQ,KAAM,MACnBJ,EAAKK,QAAQL,EAAKM,cAAe,CAACC,MAAO,iCAAmCP,EAAKQ,cACjFR,EAAKK,QAAQL,EAAKS,iBAAkB,CAACC,QAAS,OAC9CV,EAAKK,QAAQL,EAAKW,kBAAmB,CAACD,QAAS,OAC/C,CACIE,UAAW,OACXL,MAAO,2BAEX,CACIK,UAAW,OACXL,MAAO,2BAEX,CACIK,UAAW,OACXL,MAAO,YACPM,IAAK,UAET,CACID,UAAW,OACXL,MAAO,uBAEX,CACIK,UAAW,WACXL,MAAO,6DACPM,IAAK,sCAET,CACID,UAAW,SACXE,SAAU,CACN,CACIP,MAAO,IAAKM,IAAK,OACjBH,QAAS,UAMzB,MAAO,CACHK,QAAS,CAAC,MAGVC,kBAAkB,EAClBC,QAtDiB,oBAuDjBC,SApDF,4FAqDEC,SAAU,CACN,CACIP,UAAW,OACXL,MA1DS,OAIH,CACdK,UAAW,OACXL,MAAO,kBAuDLa,OAAOnB","file":"highlight/gcode.js?v=c938bd5783c1cba9271e","sourcesContent":["module.exports = function(hljs) {\n var GCODE_IDENT_RE = '[A-Z_][A-Z0-9_.]*';\n var GCODE_CLOSE_RE = '\\\\%';\n var GCODE_KEYWORDS =\n 'IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT ' +\n 'EQ LT GT NE GE LE OR XOR';\n var GCODE_START = {\n className: 'meta',\n begin: '([O])([0-9]+)'\n };\n var GCODE_CODE = [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.COMMENT(/\\(/, /\\)/),\n hljs.inherit(hljs.C_NUMBER_MODE, {begin: '([-+]?([0-9]*\\\\.?[0-9]+\\\\.?))|' + hljs.C_NUMBER_RE}),\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n {\n className: 'name',\n begin: '([G])([0-9]+\\\\.?[0-9]?)'\n },\n {\n className: 'name',\n begin: '([M])([0-9]+\\\\.?[0-9]?)'\n },\n {\n className: 'attr',\n begin: '(VC|VS|#)',\n end: '(\\\\d+)'\n },\n {\n className: 'attr',\n begin: '(VZOFX|VZOFY|VZOFZ)'\n },\n {\n className: 'built_in',\n begin: '(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\\\[)',\n end: '([-+]?([0-9]*\\\\.?[0-9]+\\\\.?))(\\\\])'\n },\n {\n className: 'symbol',\n variants: [\n {\n begin: 'N', end: '\\\\d+',\n illegal: '\\\\W'\n }\n ]\n }\n ];\n\n return {\n aliases: ['nc'],\n // Some implementations (CNC controls) of G-code are interoperable with uppercase and lowercase letters seamlessly.\n // However, most prefer all uppercase and uppercase is customary.\n case_insensitive: true,\n lexemes: GCODE_IDENT_RE,\n keywords: GCODE_KEYWORDS,\n contains: [\n {\n className: 'meta',\n begin: GCODE_CLOSE_RE\n },\n GCODE_START\n ].concat(GCODE_CODE)\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gcode.js"],"names":["module","exports","hljs","GCODE_CODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","COMMENT","inherit","C_NUMBER_MODE","begin","C_NUMBER_RE","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","className","end","variants","aliases","case_insensitive","lexemes","keywords","contains","concat"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACtB,IASIC,EAAa,CACbD,EAAKE,oBACLF,EAAKG,qBACLH,EAAKI,QAAQ,KAAM,MACnBJ,EAAKK,QAAQL,EAAKM,cAAe,CAACC,MAAO,iCAAmCP,EAAKQ,cACjFR,EAAKK,QAAQL,EAAKS,iBAAkB,CAACC,QAAS,OAC9CV,EAAKK,QAAQL,EAAKW,kBAAmB,CAACD,QAAS,OAC/C,CACIE,UAAW,OACXL,MAAO,2BAEX,CACIK,UAAW,OACXL,MAAO,2BAEX,CACIK,UAAW,OACXL,MAAO,YACPM,IAAK,UAET,CACID,UAAW,OACXL,MAAO,uBAEX,CACIK,UAAW,WACXL,MAAO,6DACPM,IAAK,sCAET,CACID,UAAW,SACXE,SAAU,CACN,CACIP,MAAO,IAAKM,IAAK,OACjBH,QAAS,UAMzB,MAAO,CACHK,QAAS,CAAC,MAGVC,kBAAkB,EAClBC,QAtDiB,oBAuDjBC,SApDF,4FAqDEC,SAAU,CACN,CACIP,UAAW,OACXL,MA1DS,OAIH,CACdK,UAAW,OACXL,MAAO,kBAuDLa,OAAOnB","file":"highlight/gcode.js?v=10f4e9c5ca4207cf2739","sourcesContent":["module.exports = function(hljs) {\n var GCODE_IDENT_RE = '[A-Z_][A-Z0-9_.]*';\n var GCODE_CLOSE_RE = '\\\\%';\n var GCODE_KEYWORDS =\n 'IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT ' +\n 'EQ LT GT NE GE LE OR XOR';\n var GCODE_START = {\n className: 'meta',\n begin: '([O])([0-9]+)'\n };\n var GCODE_CODE = [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.COMMENT(/\\(/, /\\)/),\n hljs.inherit(hljs.C_NUMBER_MODE, {begin: '([-+]?([0-9]*\\\\.?[0-9]+\\\\.?))|' + hljs.C_NUMBER_RE}),\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n {\n className: 'name',\n begin: '([G])([0-9]+\\\\.?[0-9]?)'\n },\n {\n className: 'name',\n begin: '([M])([0-9]+\\\\.?[0-9]?)'\n },\n {\n className: 'attr',\n begin: '(VC|VS|#)',\n end: '(\\\\d+)'\n },\n {\n className: 'attr',\n begin: '(VZOFX|VZOFY|VZOFZ)'\n },\n {\n className: 'built_in',\n begin: '(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\\\[)',\n end: '([-+]?([0-9]*\\\\.?[0-9]+\\\\.?))(\\\\])'\n },\n {\n className: 'symbol',\n variants: [\n {\n begin: 'N', end: '\\\\d+',\n illegal: '\\\\W'\n }\n ]\n }\n ];\n\n return {\n aliases: ['nc'],\n // Some implementations (CNC controls) of G-code are interoperable with uppercase and lowercase letters seamlessly.\n // However, most prefer all uppercase and uppercase is customary.\n case_insensitive: true,\n lexemes: GCODE_IDENT_RE,\n keywords: GCODE_KEYWORDS,\n contains: [\n {\n className: 'meta',\n begin: GCODE_CLOSE_RE\n },\n GCODE_START\n ].concat(GCODE_CODE)\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/gherkin.js b/js/highlight/gherkin.js
index e1123fd02..7c524b697 100644
--- a/js/highlight/gherkin.js
+++ b/js/highlight/gherkin.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[65],{431:function(e,n){e.exports=function(e){return{aliases:["feature"],keywords:"Feature Background Ability Business Need Scenario Scenarios Scenario Outline Scenario Template Examples Given And Then But When",contains:[{className:"symbol",begin:"\\*",relevance:0},{className:"meta",begin:"@[^@\\s]+"},{begin:"\\|",end:"\\|\\w*$",contains:[{className:"string",begin:"[^|]+"}]},{className:"variable",begin:"<",end:">"},e.HASH_COMMENT_MODE,{className:"string",begin:'"""',end:'"""'},e.QUOTE_STRING_MODE]}}}}]);
-//# sourceMappingURL=gherkin.js.map?v=34f40631f4912e010eb4 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[65],{432:function(e,n){e.exports=function(e){return{aliases:["feature"],keywords:"Feature Background Ability Business Need Scenario Scenarios Scenario Outline Scenario Template Examples Given And Then But When",contains:[{className:"symbol",begin:"\\*",relevance:0},{className:"meta",begin:"@[^@\\s]+"},{begin:"\\|",end:"\\|\\w*$",contains:[{className:"string",begin:"[^|]+"}]},{className:"variable",begin:"<",end:">"},e.HASH_COMMENT_MODE,{className:"string",begin:'"""',end:'"""'},e.QUOTE_STRING_MODE]}}}}]);
+//# sourceMappingURL=gherkin.js.map?v=3985cce434296c7eb5c2 \ No newline at end of file
diff --git a/js/highlight/gherkin.js.map b/js/highlight/gherkin.js.map
index 325e62ef5..c950ee22b 100644
--- a/js/highlight/gherkin.js.map
+++ b/js/highlight/gherkin.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gherkin.js"],"names":["module","exports","hljs","aliases","keywords","contains","className","begin","relevance","end","HASH_COMMENT_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,MAAO,CACLC,QAAS,CAAC,WACVC,SAAU,kIACVC,SAAU,CACR,CACEC,UAAW,SACXC,MAAO,MACPC,UAAW,GAEb,CACEF,UAAW,OACXC,MAAO,aAET,CACEA,MAAO,MAAOE,IAAK,WACnBJ,SAAU,CACR,CACEC,UAAW,SACXC,MAAO,WAIb,CACED,UAAW,WACXC,MAAO,IAAKE,IAAK,KAEnBP,EAAKQ,kBACL,CACEJ,UAAW,SACXC,MAAO,MAAOE,IAAK,OAErBP,EAAKS","file":"highlight/gherkin.js?v=34f40631f4912e010eb4","sourcesContent":["module.exports = function (hljs) {\n return {\n aliases: ['feature'],\n keywords: 'Feature Background Ability Business\\ Need Scenario Scenarios Scenario\\ Outline Scenario\\ Template Examples Given And Then But When',\n contains: [\n {\n className: 'symbol',\n begin: '\\\\*',\n relevance: 0\n },\n {\n className: 'meta',\n begin: '@[^@\\\\s]+'\n },\n {\n begin: '\\\\|', end: '\\\\|\\\\w*$',\n contains: [\n {\n className: 'string',\n begin: '[^|]+'\n }\n ]\n },\n {\n className: 'variable',\n begin: '<', end: '>'\n },\n hljs.HASH_COMMENT_MODE,\n {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"'\n },\n hljs.QUOTE_STRING_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gherkin.js"],"names":["module","exports","hljs","aliases","keywords","contains","className","begin","relevance","end","HASH_COMMENT_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,MAAO,CACLC,QAAS,CAAC,WACVC,SAAU,kIACVC,SAAU,CACR,CACEC,UAAW,SACXC,MAAO,MACPC,UAAW,GAEb,CACEF,UAAW,OACXC,MAAO,aAET,CACEA,MAAO,MAAOE,IAAK,WACnBJ,SAAU,CACR,CACEC,UAAW,SACXC,MAAO,WAIb,CACED,UAAW,WACXC,MAAO,IAAKE,IAAK,KAEnBP,EAAKQ,kBACL,CACEJ,UAAW,SACXC,MAAO,MAAOE,IAAK,OAErBP,EAAKS","file":"highlight/gherkin.js?v=3985cce434296c7eb5c2","sourcesContent":["module.exports = function (hljs) {\n return {\n aliases: ['feature'],\n keywords: 'Feature Background Ability Business\\ Need Scenario Scenarios Scenario\\ Outline Scenario\\ Template Examples Given And Then But When',\n contains: [\n {\n className: 'symbol',\n begin: '\\\\*',\n relevance: 0\n },\n {\n className: 'meta',\n begin: '@[^@\\\\s]+'\n },\n {\n begin: '\\\\|', end: '\\\\|\\\\w*$',\n contains: [\n {\n className: 'string',\n begin: '[^|]+'\n }\n ]\n },\n {\n className: 'variable',\n begin: '<', end: '>'\n },\n hljs.HASH_COMMENT_MODE,\n {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"'\n },\n hljs.QUOTE_STRING_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/glsl.js b/js/highlight/glsl.js
index 414d07b19..11aacdf92 100644
--- a/js/highlight/glsl.js
+++ b/js/highlight/glsl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[66],{432:function(e,r){e.exports=function(e){return{keywords:{keyword:"break continue discard do else for if return while switch case default attribute binding buffer ccw centroid centroid varying coherent column_major const cw depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip triangles triangles_adjacency uniform varying vertices volatile writeonly",type:"atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBufferiimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void",built_in:"gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow",literal:"true false"},illegal:'"',contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"}]}}}}]);
-//# sourceMappingURL=glsl.js.map?v=4a5b068975eb4fe5fc1a \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[66],{433:function(e,r){e.exports=function(e){return{keywords:{keyword:"break continue discard do else for if return while switch case default attribute binding buffer ccw centroid centroid varying coherent column_major const cw depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip triangles triangles_adjacency uniform varying vertices volatile writeonly",type:"atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBufferiimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void",built_in:"gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow",literal:"true false"},illegal:'"',contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"}]}}}}]);
+//# sourceMappingURL=glsl.js.map?v=f199328703a109721e77 \ No newline at end of file
diff --git a/js/highlight/glsl.js.map b/js/highlight/glsl.js.map
index be77b11cc..56e9694d1 100644
--- a/js/highlight/glsl.js.map
+++ b/js/highlight/glsl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/glsl.js"],"names":["module","exports","hljs","keywords","keyword","type","built_in","literal","illegal","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","C_NUMBER_MODE","className","begin","end"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QAEE,igCAaFC,KACE,uwCAeFC,SAEE,ynLAkEFC,QAAS,cAEXC,QAAS,IACTC,SAAU,CACRP,EAAKQ,oBACLR,EAAKS,qBACLT,EAAKU,cACL,CACEC,UAAW,OACXC,MAAO,IAAKC,IAAK","file":"highlight/glsl.js?v=4a5b068975eb4fe5fc1a","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword:\n // Statements\n 'break continue discard do else for if return while switch case default ' +\n // Qualifiers\n 'attribute binding buffer ccw centroid centroid varying coherent column_major const cw ' +\n 'depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing ' +\n 'flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant ' +\n 'invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y ' +\n 'local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left ' +\n 'out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f '+\n 'r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict ' +\n 'rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 ' +\n 'rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 ' +\n 'rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip ' +\n 'triangles triangles_adjacency uniform varying vertices volatile writeonly',\n type:\n 'atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 ' +\n 'dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray ' +\n 'iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBuffer' +\n 'iimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray ' +\n 'image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray ' +\n 'isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D ' +\n 'isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 ' +\n 'mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray ' +\n 'sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow ' +\n 'sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D ' +\n 'samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow ' +\n 'image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect ' +\n 'uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray ' +\n 'usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D ' +\n 'samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void',\n built_in:\n // Constants\n 'gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes ' +\n 'gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms ' +\n 'gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers ' +\n 'gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits ' +\n 'gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize ' +\n 'gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters ' +\n 'gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors ' +\n 'gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers ' +\n 'gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents ' +\n 'gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits ' +\n 'gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents ' +\n 'gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset ' +\n 'gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms ' +\n 'gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits ' +\n 'gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents ' +\n 'gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters ' +\n 'gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents ' +\n 'gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents ' +\n 'gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits ' +\n 'gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors ' +\n 'gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms ' +\n 'gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits ' +\n 'gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset ' +\n // Variables\n 'gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial ' +\n 'gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color ' +\n 'gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord ' +\n 'gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor ' +\n 'gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial ' +\n 'gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel ' +\n 'gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix ' +\n 'gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose ' +\n 'gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose ' +\n 'gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 ' +\n 'gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 ' +\n 'gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ ' +\n 'gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord ' +\n 'gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse ' +\n 'gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask ' +\n 'gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter ' +\n 'gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose ' +\n 'gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out ' +\n // Functions\n 'EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin ' +\n 'asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement ' +\n 'atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier ' +\n 'bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross ' +\n 'dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB ' +\n 'floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan ' +\n 'greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap ' +\n 'imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad ' +\n 'imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset ' +\n 'interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log ' +\n 'log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer ' +\n 'memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 ' +\n 'normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 ' +\n 'packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod ' +\n 'shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh ' +\n 'smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod ' +\n 'texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod ' +\n 'texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod ' +\n 'textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset ' +\n 'textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset ' +\n 'textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod ' +\n 'textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 ' +\n 'unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow',\n literal: 'true false'\n },\n illegal: '\"',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '#', end: '$'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/glsl.js"],"names":["module","exports","hljs","keywords","keyword","type","built_in","literal","illegal","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","C_NUMBER_MODE","className","begin","end"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QAEE,igCAaFC,KACE,uwCAeFC,SAEE,ynLAkEFC,QAAS,cAEXC,QAAS,IACTC,SAAU,CACRP,EAAKQ,oBACLR,EAAKS,qBACLT,EAAKU,cACL,CACEC,UAAW,OACXC,MAAO,IAAKC,IAAK","file":"highlight/glsl.js?v=f199328703a109721e77","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword:\n // Statements\n 'break continue discard do else for if return while switch case default ' +\n // Qualifiers\n 'attribute binding buffer ccw centroid centroid varying coherent column_major const cw ' +\n 'depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing ' +\n 'flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant ' +\n 'invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y ' +\n 'local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left ' +\n 'out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f '+\n 'r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict ' +\n 'rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 ' +\n 'rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 ' +\n 'rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip ' +\n 'triangles triangles_adjacency uniform varying vertices volatile writeonly',\n type:\n 'atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 ' +\n 'dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray ' +\n 'iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBuffer' +\n 'iimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray ' +\n 'image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray ' +\n 'isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D ' +\n 'isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 ' +\n 'mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray ' +\n 'sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow ' +\n 'sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D ' +\n 'samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow ' +\n 'image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect ' +\n 'uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray ' +\n 'usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D ' +\n 'samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void',\n built_in:\n // Constants\n 'gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes ' +\n 'gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms ' +\n 'gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers ' +\n 'gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits ' +\n 'gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize ' +\n 'gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters ' +\n 'gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors ' +\n 'gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers ' +\n 'gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents ' +\n 'gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits ' +\n 'gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents ' +\n 'gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset ' +\n 'gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms ' +\n 'gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits ' +\n 'gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents ' +\n 'gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters ' +\n 'gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents ' +\n 'gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents ' +\n 'gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits ' +\n 'gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors ' +\n 'gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms ' +\n 'gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits ' +\n 'gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset ' +\n // Variables\n 'gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial ' +\n 'gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color ' +\n 'gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord ' +\n 'gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor ' +\n 'gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial ' +\n 'gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel ' +\n 'gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix ' +\n 'gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose ' +\n 'gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose ' +\n 'gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 ' +\n 'gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 ' +\n 'gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ ' +\n 'gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord ' +\n 'gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse ' +\n 'gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask ' +\n 'gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter ' +\n 'gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose ' +\n 'gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out ' +\n // Functions\n 'EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin ' +\n 'asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement ' +\n 'atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier ' +\n 'bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross ' +\n 'dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB ' +\n 'floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan ' +\n 'greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap ' +\n 'imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad ' +\n 'imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset ' +\n 'interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log ' +\n 'log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer ' +\n 'memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 ' +\n 'normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 ' +\n 'packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod ' +\n 'shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh ' +\n 'smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod ' +\n 'texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod ' +\n 'texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod ' +\n 'textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset ' +\n 'textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset ' +\n 'textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod ' +\n 'textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 ' +\n 'unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow',\n literal: 'true false'\n },\n illegal: '\"',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '#', end: '$'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/gml.js b/js/highlight/gml.js
index 9a2b3b69c..d5a5ae80e 100644
--- a/js/highlight/gml.js
+++ b/js/highlight/gml.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[67],{433:function(e,_){e.exports=function(e){return{aliases:["gml","GML"],case_insensitive:!1,keywords:{keywords:"begin end if then else while do for break continue with until repeat exit and or xor not return mod div switch case default var globalvar enum #macro #region #endregion",built_in:"is_real is_string is_array is_undefined is_int32 is_int64 is_ptr is_vec3 is_vec4 is_matrix is_bool typeof variable_global_exists variable_global_get variable_global_set variable_instance_exists variable_instance_get variable_instance_set variable_instance_get_names array_length_1d array_length_2d array_height_2d array_equals array_create array_copy random random_range irandom irandom_range random_set_seed random_get_seed randomize randomise choose abs round floor ceil sign frac sqrt sqr exp ln log2 log10 sin cos tan arcsin arccos arctan arctan2 dsin dcos dtan darcsin darccos darctan darctan2 degtorad radtodeg power logn min max mean median clamp lerp dot_product dot_product_3d dot_product_normalised dot_product_3d_normalised dot_product_normalized dot_product_3d_normalized math_set_epsilon math_get_epsilon angle_difference point_distance_3d point_distance point_direction lengthdir_x lengthdir_y real string int64 ptr string_format chr ansi_char ord string_length string_byte_length string_pos string_copy string_char_at string_ord_at string_byte_at string_set_byte_at string_delete string_insert string_lower string_upper string_repeat string_letters string_digits string_lettersdigits string_replace string_replace_all string_count string_hash_to_newline clipboard_has_text clipboard_set_text clipboard_get_text date_current_datetime date_create_datetime date_valid_datetime date_inc_year date_inc_month date_inc_week date_inc_day date_inc_hour date_inc_minute date_inc_second date_get_year date_get_month date_get_week date_get_day date_get_hour date_get_minute date_get_second date_get_weekday date_get_day_of_year date_get_hour_of_year date_get_minute_of_year date_get_second_of_year date_year_span date_month_span date_week_span date_day_span date_hour_span date_minute_span date_second_span date_compare_datetime date_compare_date date_compare_time date_date_of date_time_of date_datetime_string date_date_string date_time_string date_days_in_month date_days_in_year date_leap_year date_is_today date_set_timezone date_get_timezone game_set_speed game_get_speed motion_set motion_add place_free place_empty place_meeting place_snapped move_random move_snap move_towards_point move_contact_solid move_contact_all move_outside_solid move_outside_all move_bounce_solid move_bounce_all move_wrap distance_to_point distance_to_object position_empty position_meeting path_start path_end mp_linear_step mp_potential_step mp_linear_step_object mp_potential_step_object mp_potential_settings mp_linear_path mp_potential_path mp_linear_path_object mp_potential_path_object mp_grid_create mp_grid_destroy mp_grid_clear_all mp_grid_clear_cell mp_grid_clear_rectangle mp_grid_add_cell mp_grid_get_cell mp_grid_add_rectangle mp_grid_add_instances mp_grid_path mp_grid_draw mp_grid_to_ds_grid collision_point collision_rectangle collision_circle collision_ellipse collision_line collision_point_list collision_rectangle_list collision_circle_list collision_ellipse_list collision_line_list instance_position_list instance_place_list point_in_rectangle point_in_triangle point_in_circle rectangle_in_rectangle rectangle_in_triangle rectangle_in_circle instance_find instance_exists instance_number instance_position instance_nearest instance_furthest instance_place instance_create_depth instance_create_layer instance_copy instance_change instance_destroy position_destroy position_change instance_id_get instance_deactivate_all instance_deactivate_object instance_deactivate_region instance_activate_all instance_activate_object instance_activate_region room_goto room_goto_previous room_goto_next room_previous room_next room_restart game_end game_restart game_load game_save game_save_buffer game_load_buffer event_perform event_user event_perform_object event_inherited show_debug_message show_debug_overlay debug_event debug_get_callstack alarm_get alarm_set font_texture_page_size keyboard_set_map keyboard_get_map keyboard_unset_map keyboard_check keyboard_check_pressed keyboard_check_released keyboard_check_direct keyboard_get_numlock keyboard_set_numlock keyboard_key_press keyboard_key_release keyboard_clear io_clear mouse_check_button mouse_check_button_pressed mouse_check_button_released mouse_wheel_up mouse_wheel_down mouse_clear draw_self draw_sprite draw_sprite_pos draw_sprite_ext draw_sprite_stretched draw_sprite_stretched_ext draw_sprite_tiled draw_sprite_tiled_ext draw_sprite_part draw_sprite_part_ext draw_sprite_general draw_clear draw_clear_alpha draw_point draw_line draw_line_width draw_rectangle draw_roundrect draw_roundrect_ext draw_triangle draw_circle draw_ellipse draw_set_circle_precision draw_arrow draw_button draw_path draw_healthbar draw_getpixel draw_getpixel_ext draw_set_colour draw_set_color draw_set_alpha draw_get_colour draw_get_color draw_get_alpha merge_colour make_colour_rgb make_colour_hsv colour_get_red colour_get_green colour_get_blue colour_get_hue colour_get_saturation colour_get_value merge_color make_color_rgb make_color_hsv color_get_red color_get_green color_get_blue color_get_hue color_get_saturation color_get_value merge_color screen_save screen_save_part draw_set_font draw_set_halign draw_set_valign draw_text draw_text_ext string_width string_height string_width_ext string_height_ext draw_text_transformed draw_text_ext_transformed draw_text_colour draw_text_ext_colour draw_text_transformed_colour draw_text_ext_transformed_colour draw_text_color draw_text_ext_color draw_text_transformed_color draw_text_ext_transformed_color draw_point_colour draw_line_colour draw_line_width_colour draw_rectangle_colour draw_roundrect_colour draw_roundrect_colour_ext draw_triangle_colour draw_circle_colour draw_ellipse_colour draw_point_color draw_line_color draw_line_width_color draw_rectangle_color draw_roundrect_color draw_roundrect_color_ext draw_triangle_color draw_circle_color draw_ellipse_color draw_primitive_begin draw_vertex draw_vertex_colour draw_vertex_color draw_primitive_end sprite_get_uvs font_get_uvs sprite_get_texture font_get_texture texture_get_width texture_get_height texture_get_uvs draw_primitive_begin_texture draw_vertex_texture draw_vertex_texture_colour draw_vertex_texture_color texture_global_scale surface_create surface_create_ext surface_resize surface_free surface_exists surface_get_width surface_get_height surface_get_texture surface_set_target surface_set_target_ext surface_reset_target surface_depth_disable surface_get_depth_disable draw_surface draw_surface_stretched draw_surface_tiled draw_surface_part draw_surface_ext draw_surface_stretched_ext draw_surface_tiled_ext draw_surface_part_ext draw_surface_general surface_getpixel surface_getpixel_ext surface_save surface_save_part surface_copy surface_copy_part application_surface_draw_enable application_get_position application_surface_enable application_surface_is_enabled display_get_width display_get_height display_get_orientation display_get_gui_width display_get_gui_height display_reset display_mouse_get_x display_mouse_get_y display_mouse_set display_set_ui_visibility window_set_fullscreen window_get_fullscreen window_set_caption window_set_min_width window_set_max_width window_set_min_height window_set_max_height window_get_visible_rects window_get_caption window_set_cursor window_get_cursor window_set_colour window_get_colour window_set_color window_get_color window_set_position window_set_size window_set_rectangle window_center window_get_x window_get_y window_get_width window_get_height window_mouse_get_x window_mouse_get_y window_mouse_set window_view_mouse_get_x window_view_mouse_get_y window_views_mouse_get_x window_views_mouse_get_y audio_listener_position audio_listener_velocity audio_listener_orientation audio_emitter_position audio_emitter_create audio_emitter_free audio_emitter_exists audio_emitter_pitch audio_emitter_velocity audio_emitter_falloff audio_emitter_gain audio_play_sound audio_play_sound_on audio_play_sound_at audio_stop_sound audio_resume_music audio_music_is_playing audio_resume_sound audio_pause_sound audio_pause_music audio_channel_num audio_sound_length audio_get_type audio_falloff_set_model audio_play_music audio_stop_music audio_master_gain audio_music_gain audio_sound_gain audio_sound_pitch audio_stop_all audio_resume_all audio_pause_all audio_is_playing audio_is_paused audio_exists audio_sound_set_track_position audio_sound_get_track_position audio_emitter_get_gain audio_emitter_get_pitch audio_emitter_get_x audio_emitter_get_y audio_emitter_get_z audio_emitter_get_vx audio_emitter_get_vy audio_emitter_get_vz audio_listener_set_position audio_listener_set_velocity audio_listener_set_orientation audio_listener_get_data audio_set_master_gain audio_get_master_gain audio_sound_get_gain audio_sound_get_pitch audio_get_name audio_sound_set_track_position audio_sound_get_track_position audio_create_stream audio_destroy_stream audio_create_sync_group audio_destroy_sync_group audio_play_in_sync_group audio_start_sync_group audio_stop_sync_group audio_pause_sync_group audio_resume_sync_group audio_sync_group_get_track_pos audio_sync_group_debug audio_sync_group_is_playing audio_debug audio_group_load audio_group_unload audio_group_is_loaded audio_group_load_progress audio_group_name audio_group_stop_all audio_group_set_gain audio_create_buffer_sound audio_free_buffer_sound audio_create_play_queue audio_free_play_queue audio_queue_sound audio_get_recorder_count audio_get_recorder_info audio_start_recording audio_stop_recording audio_sound_get_listener_mask audio_emitter_get_listener_mask audio_get_listener_mask audio_sound_set_listener_mask audio_emitter_set_listener_mask audio_set_listener_mask audio_get_listener_count audio_get_listener_info audio_system show_message show_message_async clickable_add clickable_add_ext clickable_change clickable_change_ext clickable_delete clickable_exists clickable_set_style show_question show_question_async get_integer get_string get_integer_async get_string_async get_login_async get_open_filename get_save_filename get_open_filename_ext get_save_filename_ext show_error highscore_clear highscore_add highscore_value highscore_name draw_highscore sprite_exists sprite_get_name sprite_get_number sprite_get_width sprite_get_height sprite_get_xoffset sprite_get_yoffset sprite_get_bbox_left sprite_get_bbox_right sprite_get_bbox_top sprite_get_bbox_bottom sprite_save sprite_save_strip sprite_set_cache_size sprite_set_cache_size_ext sprite_get_tpe sprite_prefetch sprite_prefetch_multi sprite_flush sprite_flush_multi sprite_set_speed sprite_get_speed_type sprite_get_speed font_exists font_get_name font_get_fontname font_get_bold font_get_italic font_get_first font_get_last font_get_size font_set_cache_size path_exists path_get_name path_get_length path_get_time path_get_kind path_get_closed path_get_precision path_get_number path_get_point_x path_get_point_y path_get_point_speed path_get_x path_get_y path_get_speed script_exists script_get_name timeline_add timeline_delete timeline_clear timeline_exists timeline_get_name timeline_moment_clear timeline_moment_add_script timeline_size timeline_max_moment object_exists object_get_name object_get_sprite object_get_solid object_get_visible object_get_persistent object_get_mask object_get_parent object_get_physics object_is_ancestor room_exists room_get_name sprite_set_offset sprite_duplicate sprite_assign sprite_merge sprite_add sprite_replace sprite_create_from_surface sprite_add_from_surface sprite_delete sprite_set_alpha_from_sprite sprite_collision_mask font_add_enable_aa font_add_get_enable_aa font_add font_add_sprite font_add_sprite_ext font_replace font_replace_sprite font_replace_sprite_ext font_delete path_set_kind path_set_closed path_set_precision path_add path_assign path_duplicate path_append path_delete path_add_point path_insert_point path_change_point path_delete_point path_clear_points path_reverse path_mirror path_flip path_rotate path_rescale path_shift script_execute object_set_sprite object_set_solid object_set_visible object_set_persistent object_set_mask room_set_width room_set_height room_set_persistent room_set_background_colour room_set_background_color room_set_view room_set_viewport room_get_viewport room_set_view_enabled room_add room_duplicate room_assign room_instance_add room_instance_clear room_get_camera room_set_camera asset_get_index asset_get_type file_text_open_from_string file_text_open_read file_text_open_write file_text_open_append file_text_close file_text_write_string file_text_write_real file_text_writeln file_text_read_string file_text_read_real file_text_readln file_text_eof file_text_eoln file_exists file_delete file_rename file_copy directory_exists directory_create directory_destroy file_find_first file_find_next file_find_close file_attributes filename_name filename_path filename_dir filename_drive filename_ext filename_change_ext file_bin_open file_bin_rewrite file_bin_close file_bin_position file_bin_size file_bin_seek file_bin_write_byte file_bin_read_byte parameter_count parameter_string environment_get_variable ini_open_from_string ini_open ini_close ini_read_string ini_read_real ini_write_string ini_write_real ini_key_exists ini_section_exists ini_key_delete ini_section_delete ds_set_precision ds_exists ds_stack_create ds_stack_destroy ds_stack_clear ds_stack_copy ds_stack_size ds_stack_empty ds_stack_push ds_stack_pop ds_stack_top ds_stack_write ds_stack_read ds_queue_create ds_queue_destroy ds_queue_clear ds_queue_copy ds_queue_size ds_queue_empty ds_queue_enqueue ds_queue_dequeue ds_queue_head ds_queue_tail ds_queue_write ds_queue_read ds_list_create ds_list_destroy ds_list_clear ds_list_copy ds_list_size ds_list_empty ds_list_add ds_list_insert ds_list_replace ds_list_delete ds_list_find_index ds_list_find_value ds_list_mark_as_list ds_list_mark_as_map ds_list_sort ds_list_shuffle ds_list_write ds_list_read ds_list_set ds_map_create ds_map_destroy ds_map_clear ds_map_copy ds_map_size ds_map_empty ds_map_add ds_map_add_list ds_map_add_map ds_map_replace ds_map_replace_map ds_map_replace_list ds_map_delete ds_map_exists ds_map_find_value ds_map_find_previous ds_map_find_next ds_map_find_first ds_map_find_last ds_map_write ds_map_read ds_map_secure_save ds_map_secure_load ds_map_secure_load_buffer ds_map_secure_save_buffer ds_map_set ds_priority_create ds_priority_destroy ds_priority_clear ds_priority_copy ds_priority_size ds_priority_empty ds_priority_add ds_priority_change_priority ds_priority_find_priority ds_priority_delete_value ds_priority_delete_min ds_priority_find_min ds_priority_delete_max ds_priority_find_max ds_priority_write ds_priority_read ds_grid_create ds_grid_destroy ds_grid_copy ds_grid_resize ds_grid_width ds_grid_height ds_grid_clear ds_grid_set ds_grid_add ds_grid_multiply ds_grid_set_region ds_grid_add_region ds_grid_multiply_region ds_grid_set_disk ds_grid_add_disk ds_grid_multiply_disk ds_grid_set_grid_region ds_grid_add_grid_region ds_grid_multiply_grid_region ds_grid_get ds_grid_get_sum ds_grid_get_max ds_grid_get_min ds_grid_get_mean ds_grid_get_disk_sum ds_grid_get_disk_min ds_grid_get_disk_max ds_grid_get_disk_mean ds_grid_value_exists ds_grid_value_x ds_grid_value_y ds_grid_value_disk_exists ds_grid_value_disk_x ds_grid_value_disk_y ds_grid_shuffle ds_grid_write ds_grid_read ds_grid_sort ds_grid_set ds_grid_get effect_create_below effect_create_above effect_clear part_type_create part_type_destroy part_type_exists part_type_clear part_type_shape part_type_sprite part_type_size part_type_scale part_type_orientation part_type_life part_type_step part_type_death part_type_speed part_type_direction part_type_gravity part_type_colour1 part_type_colour2 part_type_colour3 part_type_colour_mix part_type_colour_rgb part_type_colour_hsv part_type_color1 part_type_color2 part_type_color3 part_type_color_mix part_type_color_rgb part_type_color_hsv part_type_alpha1 part_type_alpha2 part_type_alpha3 part_type_blend part_system_create part_system_create_layer part_system_destroy part_system_exists part_system_clear part_system_draw_order part_system_depth part_system_position part_system_automatic_update part_system_automatic_draw part_system_update part_system_drawit part_system_get_layer part_system_layer part_particles_create part_particles_create_colour part_particles_create_color part_particles_clear part_particles_count part_emitter_create part_emitter_destroy part_emitter_destroy_all part_emitter_exists part_emitter_clear part_emitter_region part_emitter_burst part_emitter_stream external_call external_define external_free window_handle window_device matrix_get matrix_set matrix_build_identity matrix_build matrix_build_lookat matrix_build_projection_ortho matrix_build_projection_perspective matrix_build_projection_perspective_fov matrix_multiply matrix_transform_vertex matrix_stack_push matrix_stack_pop matrix_stack_multiply matrix_stack_set matrix_stack_clear matrix_stack_top matrix_stack_is_empty browser_input_capture os_get_config os_get_info os_get_language os_get_region os_lock_orientation display_get_dpi_x display_get_dpi_y display_set_gui_size display_set_gui_maximise display_set_gui_maximize device_mouse_dbclick_enable display_set_timing_method display_get_timing_method display_set_sleep_margin display_get_sleep_margin virtual_key_add virtual_key_hide virtual_key_delete virtual_key_show draw_enable_drawevent draw_enable_swf_aa draw_set_swf_aa_level draw_get_swf_aa_level draw_texture_flush draw_flush gpu_set_blendenable gpu_set_ztestenable gpu_set_zfunc gpu_set_zwriteenable gpu_set_lightingenable gpu_set_fog gpu_set_cullmode gpu_set_blendmode gpu_set_blendmode_ext gpu_set_blendmode_ext_sepalpha gpu_set_colorwriteenable gpu_set_colourwriteenable gpu_set_alphatestenable gpu_set_alphatestref gpu_set_alphatestfunc gpu_set_texfilter gpu_set_texfilter_ext gpu_set_texrepeat gpu_set_texrepeat_ext gpu_set_tex_filter gpu_set_tex_filter_ext gpu_set_tex_repeat gpu_set_tex_repeat_ext gpu_set_tex_mip_filter gpu_set_tex_mip_filter_ext gpu_set_tex_mip_bias gpu_set_tex_mip_bias_ext gpu_set_tex_min_mip gpu_set_tex_min_mip_ext gpu_set_tex_max_mip gpu_set_tex_max_mip_ext gpu_set_tex_max_aniso gpu_set_tex_max_aniso_ext gpu_set_tex_mip_enable gpu_set_tex_mip_enable_ext gpu_get_blendenable gpu_get_ztestenable gpu_get_zfunc gpu_get_zwriteenable gpu_get_lightingenable gpu_get_fog gpu_get_cullmode gpu_get_blendmode gpu_get_blendmode_ext gpu_get_blendmode_ext_sepalpha gpu_get_blendmode_src gpu_get_blendmode_dest gpu_get_blendmode_srcalpha gpu_get_blendmode_destalpha gpu_get_colorwriteenable gpu_get_colourwriteenable gpu_get_alphatestenable gpu_get_alphatestref gpu_get_alphatestfunc gpu_get_texfilter gpu_get_texfilter_ext gpu_get_texrepeat gpu_get_texrepeat_ext gpu_get_tex_filter gpu_get_tex_filter_ext gpu_get_tex_repeat gpu_get_tex_repeat_ext gpu_get_tex_mip_filter gpu_get_tex_mip_filter_ext gpu_get_tex_mip_bias gpu_get_tex_mip_bias_ext gpu_get_tex_min_mip gpu_get_tex_min_mip_ext gpu_get_tex_max_mip gpu_get_tex_max_mip_ext gpu_get_tex_max_aniso gpu_get_tex_max_aniso_ext gpu_get_tex_mip_enable gpu_get_tex_mip_enable_ext gpu_push_state gpu_pop_state gpu_get_state gpu_set_state draw_light_define_ambient draw_light_define_direction draw_light_define_point draw_light_enable draw_set_lighting draw_light_get_ambient draw_light_get draw_get_lighting shop_leave_rating url_get_domain url_open url_open_ext url_open_full get_timer achievement_login achievement_logout achievement_post achievement_increment achievement_post_score achievement_available achievement_show_achievements achievement_show_leaderboards achievement_load_friends achievement_load_leaderboard achievement_send_challenge achievement_load_progress achievement_reset achievement_login_status achievement_get_pic achievement_show_challenge_notifications achievement_get_challenges achievement_event achievement_show achievement_get_info cloud_file_save cloud_string_save cloud_synchronise ads_enable ads_disable ads_setup ads_engagement_launch ads_engagement_available ads_engagement_active ads_event ads_event_preload ads_set_reward_callback ads_get_display_height ads_get_display_width ads_move ads_interstitial_available ads_interstitial_display device_get_tilt_x device_get_tilt_y device_get_tilt_z device_is_keypad_open device_mouse_check_button device_mouse_check_button_pressed device_mouse_check_button_released device_mouse_x device_mouse_y device_mouse_raw_x device_mouse_raw_y device_mouse_x_to_gui device_mouse_y_to_gui iap_activate iap_status iap_enumerate_products iap_restore_all iap_acquire iap_consume iap_product_details iap_purchase_details facebook_init facebook_login facebook_status facebook_graph_request facebook_dialog facebook_logout facebook_launch_offerwall facebook_post_message facebook_send_invite facebook_user_id facebook_accesstoken facebook_check_permission facebook_request_read_permissions facebook_request_publish_permissions gamepad_is_supported gamepad_get_device_count gamepad_is_connected gamepad_get_description gamepad_get_button_threshold gamepad_set_button_threshold gamepad_get_axis_deadzone gamepad_set_axis_deadzone gamepad_button_count gamepad_button_check gamepad_button_check_pressed gamepad_button_check_released gamepad_button_value gamepad_axis_count gamepad_axis_value gamepad_set_vibration gamepad_set_colour gamepad_set_color os_is_paused window_has_focus code_is_compiled http_get http_get_file http_post_string http_request json_encode json_decode zip_unzip load_csv base64_encode base64_decode md5_string_unicode md5_string_utf8 md5_file os_is_network_connected sha1_string_unicode sha1_string_utf8 sha1_file os_powersave_enable analytics_event analytics_event_ext win8_livetile_tile_notification win8_livetile_tile_clear win8_livetile_badge_notification win8_livetile_badge_clear win8_livetile_queue_enable win8_secondarytile_pin win8_secondarytile_badge_notification win8_secondarytile_delete win8_livetile_notification_begin win8_livetile_notification_secondary_begin win8_livetile_notification_expiry win8_livetile_notification_tag win8_livetile_notification_text_add win8_livetile_notification_image_add win8_livetile_notification_end win8_appbar_enable win8_appbar_add_element win8_appbar_remove_element win8_settingscharm_add_entry win8_settingscharm_add_html_entry win8_settingscharm_add_xaml_entry win8_settingscharm_set_xaml_property win8_settingscharm_get_xaml_property win8_settingscharm_remove_entry win8_share_image win8_share_screenshot win8_share_file win8_share_url win8_share_text win8_search_enable win8_search_disable win8_search_add_suggestions win8_device_touchscreen_available win8_license_initialize_sandbox win8_license_trial_version winphone_license_trial_version winphone_tile_title winphone_tile_count winphone_tile_back_title winphone_tile_back_content winphone_tile_back_content_wide winphone_tile_front_image winphone_tile_front_image_small winphone_tile_front_image_wide winphone_tile_back_image winphone_tile_back_image_wide winphone_tile_background_colour winphone_tile_background_color winphone_tile_icon_image winphone_tile_small_icon_image winphone_tile_wide_content winphone_tile_cycle_images winphone_tile_small_background_image physics_world_create physics_world_gravity physics_world_update_speed physics_world_update_iterations physics_world_draw_debug physics_pause_enable physics_fixture_create physics_fixture_set_kinematic physics_fixture_set_density physics_fixture_set_awake physics_fixture_set_restitution physics_fixture_set_friction physics_fixture_set_collision_group physics_fixture_set_sensor physics_fixture_set_linear_damping physics_fixture_set_angular_damping physics_fixture_set_circle_shape physics_fixture_set_box_shape physics_fixture_set_edge_shape physics_fixture_set_polygon_shape physics_fixture_set_chain_shape physics_fixture_add_point physics_fixture_bind physics_fixture_bind_ext physics_fixture_delete physics_apply_force physics_apply_impulse physics_apply_angular_impulse physics_apply_local_force physics_apply_local_impulse physics_apply_torque physics_mass_properties physics_draw_debug physics_test_overlap physics_remove_fixture physics_set_friction physics_set_density physics_set_restitution physics_get_friction physics_get_density physics_get_restitution physics_joint_distance_create physics_joint_rope_create physics_joint_revolute_create physics_joint_prismatic_create physics_joint_pulley_create physics_joint_wheel_create physics_joint_weld_create physics_joint_friction_create physics_joint_gear_create physics_joint_enable_motor physics_joint_get_value physics_joint_set_value physics_joint_delete physics_particle_create physics_particle_delete physics_particle_delete_region_circle physics_particle_delete_region_box physics_particle_delete_region_poly physics_particle_set_flags physics_particle_set_category_flags physics_particle_draw physics_particle_draw_ext physics_particle_count physics_particle_get_data physics_particle_get_data_particle physics_particle_group_begin physics_particle_group_circle physics_particle_group_box physics_particle_group_polygon physics_particle_group_add_point physics_particle_group_end physics_particle_group_join physics_particle_group_delete physics_particle_group_count physics_particle_group_get_data physics_particle_group_get_mass physics_particle_group_get_inertia physics_particle_group_get_centre_x physics_particle_group_get_centre_y physics_particle_group_get_vel_x physics_particle_group_get_vel_y physics_particle_group_get_ang_vel physics_particle_group_get_x physics_particle_group_get_y physics_particle_group_get_angle physics_particle_set_group_flags physics_particle_get_group_flags physics_particle_get_max_count physics_particle_get_radius physics_particle_get_density physics_particle_get_damping physics_particle_get_gravity_scale physics_particle_set_max_count physics_particle_set_radius physics_particle_set_density physics_particle_set_damping physics_particle_set_gravity_scale network_create_socket network_create_socket_ext network_create_server network_create_server_raw network_connect network_connect_raw network_send_packet network_send_raw network_send_broadcast network_send_udp network_send_udp_raw network_set_timeout network_set_config network_resolve network_destroy buffer_create buffer_write buffer_read buffer_seek buffer_get_surface buffer_set_surface buffer_delete buffer_exists buffer_get_type buffer_get_alignment buffer_poke buffer_peek buffer_save buffer_save_ext buffer_load buffer_load_ext buffer_load_partial buffer_copy buffer_fill buffer_get_size buffer_tell buffer_resize buffer_md5 buffer_sha1 buffer_base64_encode buffer_base64_decode buffer_base64_decode_ext buffer_sizeof buffer_get_address buffer_create_from_vertex_buffer buffer_create_from_vertex_buffer_ext buffer_copy_from_vertex_buffer buffer_async_group_begin buffer_async_group_option buffer_async_group_end buffer_load_async buffer_save_async gml_release_mode gml_pragma steam_activate_overlay steam_is_overlay_enabled steam_is_overlay_activated steam_get_persona_name steam_initialised steam_is_cloud_enabled_for_app steam_is_cloud_enabled_for_account steam_file_persisted steam_get_quota_total steam_get_quota_free steam_file_write steam_file_write_file steam_file_read steam_file_delete steam_file_exists steam_file_size steam_file_share steam_is_screenshot_requested steam_send_screenshot steam_is_user_logged_on steam_get_user_steam_id steam_user_owns_dlc steam_user_installed_dlc steam_set_achievement steam_get_achievement steam_clear_achievement steam_set_stat_int steam_set_stat_float steam_set_stat_avg_rate steam_get_stat_int steam_get_stat_float steam_get_stat_avg_rate steam_reset_all_stats steam_reset_all_stats_achievements steam_stats_ready steam_create_leaderboard steam_upload_score steam_upload_score_ext steam_download_scores_around_user steam_download_scores steam_download_friends_scores steam_upload_score_buffer steam_upload_score_buffer_ext steam_current_game_language steam_available_languages steam_activate_overlay_browser steam_activate_overlay_user steam_activate_overlay_store steam_get_user_persona_name steam_get_app_id steam_get_user_account_id steam_ugc_download steam_ugc_create_item steam_ugc_start_item_update steam_ugc_set_item_title steam_ugc_set_item_description steam_ugc_set_item_visibility steam_ugc_set_item_tags steam_ugc_set_item_content steam_ugc_set_item_preview steam_ugc_submit_item_update steam_ugc_get_item_update_progress steam_ugc_subscribe_item steam_ugc_unsubscribe_item steam_ugc_num_subscribed_items steam_ugc_get_subscribed_items steam_ugc_get_item_install_info steam_ugc_get_item_update_info steam_ugc_request_item_details steam_ugc_create_query_user steam_ugc_create_query_user_ex steam_ugc_create_query_all steam_ugc_create_query_all_ex steam_ugc_query_set_cloud_filename_filter steam_ugc_query_set_match_any_tag steam_ugc_query_set_search_text steam_ugc_query_set_ranked_by_trend_days steam_ugc_query_add_required_tag steam_ugc_query_add_excluded_tag steam_ugc_query_set_return_long_description steam_ugc_query_set_return_total_only steam_ugc_query_set_allow_cached_response steam_ugc_send_query shader_set shader_get_name shader_reset shader_current shader_is_compiled shader_get_sampler_index shader_get_uniform shader_set_uniform_i shader_set_uniform_i_array shader_set_uniform_f shader_set_uniform_f_array shader_set_uniform_matrix shader_set_uniform_matrix_array shader_enable_corner_id texture_set_stage texture_get_texel_width texture_get_texel_height shaders_are_supported vertex_format_begin vertex_format_end vertex_format_delete vertex_format_add_position vertex_format_add_position_3d vertex_format_add_colour vertex_format_add_color vertex_format_add_normal vertex_format_add_texcoord vertex_format_add_textcoord vertex_format_add_custom vertex_create_buffer vertex_create_buffer_ext vertex_delete_buffer vertex_begin vertex_end vertex_position vertex_position_3d vertex_colour vertex_color vertex_argb vertex_texcoord vertex_normal vertex_float1 vertex_float2 vertex_float3 vertex_float4 vertex_ubyte4 vertex_submit vertex_freeze vertex_get_number vertex_get_buffer_size vertex_create_buffer_from_buffer vertex_create_buffer_from_buffer_ext push_local_notification push_get_first_local_notification push_get_next_local_notification push_cancel_local_notification skeleton_animation_set skeleton_animation_get skeleton_animation_mix skeleton_animation_set_ext skeleton_animation_get_ext skeleton_animation_get_duration skeleton_animation_get_frames skeleton_animation_clear skeleton_skin_set skeleton_skin_get skeleton_attachment_set skeleton_attachment_get skeleton_attachment_create skeleton_collision_draw_set skeleton_bone_data_get skeleton_bone_data_set skeleton_bone_state_get skeleton_bone_state_set skeleton_get_minmax skeleton_get_num_bounds skeleton_get_bounds skeleton_animation_get_frame skeleton_animation_set_frame draw_skeleton draw_skeleton_time draw_skeleton_instance draw_skeleton_collision skeleton_animation_list skeleton_skin_list skeleton_slot_data layer_get_id layer_get_id_at_depth layer_get_depth layer_create layer_destroy layer_destroy_instances layer_add_instance layer_has_instance layer_set_visible layer_get_visible layer_exists layer_x layer_y layer_get_x layer_get_y layer_hspeed layer_vspeed layer_get_hspeed layer_get_vspeed layer_script_begin layer_script_end layer_shader layer_get_script_begin layer_get_script_end layer_get_shader layer_set_target_room layer_get_target_room layer_reset_target_room layer_get_all layer_get_all_elements layer_get_name layer_depth layer_get_element_layer layer_get_element_type layer_element_move layer_force_draw_depth layer_is_draw_depth_forced layer_get_forced_depth layer_background_get_id layer_background_exists layer_background_create layer_background_destroy layer_background_visible layer_background_change layer_background_sprite layer_background_htiled layer_background_vtiled layer_background_stretch layer_background_yscale layer_background_xscale layer_background_blend layer_background_alpha layer_background_index layer_background_speed layer_background_get_visible layer_background_get_sprite layer_background_get_htiled layer_background_get_vtiled layer_background_get_stretch layer_background_get_yscale layer_background_get_xscale layer_background_get_blend layer_background_get_alpha layer_background_get_index layer_background_get_speed layer_sprite_get_id layer_sprite_exists layer_sprite_create layer_sprite_destroy layer_sprite_change layer_sprite_index layer_sprite_speed layer_sprite_xscale layer_sprite_yscale layer_sprite_angle layer_sprite_blend layer_sprite_alpha layer_sprite_x layer_sprite_y layer_sprite_get_sprite layer_sprite_get_index layer_sprite_get_speed layer_sprite_get_xscale layer_sprite_get_yscale layer_sprite_get_angle layer_sprite_get_blend layer_sprite_get_alpha layer_sprite_get_x layer_sprite_get_y layer_tilemap_get_id layer_tilemap_exists layer_tilemap_create layer_tilemap_destroy tilemap_tileset tilemap_x tilemap_y tilemap_set tilemap_set_at_pixel tilemap_get_tileset tilemap_get_tile_width tilemap_get_tile_height tilemap_get_width tilemap_get_height tilemap_get_x tilemap_get_y tilemap_get tilemap_get_at_pixel tilemap_get_cell_x_at_pixel tilemap_get_cell_y_at_pixel tilemap_clear draw_tilemap draw_tile tilemap_set_global_mask tilemap_get_global_mask tilemap_set_mask tilemap_get_mask tilemap_get_frame tile_set_empty tile_set_index tile_set_flip tile_set_mirror tile_set_rotate tile_get_empty tile_get_index tile_get_flip tile_get_mirror tile_get_rotate layer_tile_exists layer_tile_create layer_tile_destroy layer_tile_change layer_tile_xscale layer_tile_yscale layer_tile_blend layer_tile_alpha layer_tile_x layer_tile_y layer_tile_region layer_tile_visible layer_tile_get_sprite layer_tile_get_xscale layer_tile_get_yscale layer_tile_get_blend layer_tile_get_alpha layer_tile_get_x layer_tile_get_y layer_tile_get_region layer_tile_get_visible layer_instance_get_instance instance_activate_layer instance_deactivate_layer camera_create camera_create_view camera_destroy camera_apply camera_get_active camera_get_default camera_set_default camera_set_view_mat camera_set_proj_mat camera_set_update_script camera_set_begin_script camera_set_end_script camera_set_view_pos camera_set_view_size camera_set_view_speed camera_set_view_border camera_set_view_angle camera_set_view_target camera_get_view_mat camera_get_proj_mat camera_get_update_script camera_get_begin_script camera_get_end_script camera_get_view_x camera_get_view_y camera_get_view_width camera_get_view_height camera_get_view_speed_x camera_get_view_speed_y camera_get_view_border_x camera_get_view_border_y camera_get_view_angle camera_get_view_target view_get_camera view_get_visible view_get_xport view_get_yport view_get_wport view_get_hport view_get_surface_id view_set_camera view_set_visible view_set_xport view_set_yport view_set_wport view_set_hport view_set_surface_id gesture_drag_time gesture_drag_distance gesture_flick_speed gesture_double_tap_time gesture_double_tap_distance gesture_pinch_distance gesture_pinch_angle_towards gesture_pinch_angle_away gesture_rotate_time gesture_rotate_angle gesture_tap_count gesture_get_drag_time gesture_get_drag_distance gesture_get_flick_speed gesture_get_double_tap_time gesture_get_double_tap_distance gesture_get_pinch_distance gesture_get_pinch_angle_towards gesture_get_pinch_angle_away gesture_get_rotate_time gesture_get_rotate_angle gesture_get_tap_count keyboard_virtual_show keyboard_virtual_hide keyboard_virtual_status keyboard_virtual_height",literal:"self other all noone global local undefined pointer_invalid pointer_null path_action_stop path_action_restart path_action_continue path_action_reverse true false pi GM_build_date GM_version GM_runtime_version timezone_local timezone_utc gamespeed_fps gamespeed_microseconds ev_create ev_destroy ev_step ev_alarm ev_keyboard ev_mouse ev_collision ev_other ev_draw ev_draw_begin ev_draw_end ev_draw_pre ev_draw_post ev_keypress ev_keyrelease ev_trigger ev_left_button ev_right_button ev_middle_button ev_no_button ev_left_press ev_right_press ev_middle_press ev_left_release ev_right_release ev_middle_release ev_mouse_enter ev_mouse_leave ev_mouse_wheel_up ev_mouse_wheel_down ev_global_left_button ev_global_right_button ev_global_middle_button ev_global_left_press ev_global_right_press ev_global_middle_press ev_global_left_release ev_global_right_release ev_global_middle_release ev_joystick1_left ev_joystick1_right ev_joystick1_up ev_joystick1_down ev_joystick1_button1 ev_joystick1_button2 ev_joystick1_button3 ev_joystick1_button4 ev_joystick1_button5 ev_joystick1_button6 ev_joystick1_button7 ev_joystick1_button8 ev_joystick2_left ev_joystick2_right ev_joystick2_up ev_joystick2_down ev_joystick2_button1 ev_joystick2_button2 ev_joystick2_button3 ev_joystick2_button4 ev_joystick2_button5 ev_joystick2_button6 ev_joystick2_button7 ev_joystick2_button8 ev_outside ev_boundary ev_game_start ev_game_end ev_room_start ev_room_end ev_no_more_lives ev_animation_end ev_end_of_path ev_no_more_health ev_close_button ev_user0 ev_user1 ev_user2 ev_user3 ev_user4 ev_user5 ev_user6 ev_user7 ev_user8 ev_user9 ev_user10 ev_user11 ev_user12 ev_user13 ev_user14 ev_user15 ev_step_normal ev_step_begin ev_step_end ev_gui ev_gui_begin ev_gui_end ev_cleanup ev_gesture ev_gesture_tap ev_gesture_double_tap ev_gesture_drag_start ev_gesture_dragging ev_gesture_drag_end ev_gesture_flick ev_gesture_pinch_start ev_gesture_pinch_in ev_gesture_pinch_out ev_gesture_pinch_end ev_gesture_rotate_start ev_gesture_rotating ev_gesture_rotate_end ev_global_gesture_tap ev_global_gesture_double_tap ev_global_gesture_drag_start ev_global_gesture_dragging ev_global_gesture_drag_end ev_global_gesture_flick ev_global_gesture_pinch_start ev_global_gesture_pinch_in ev_global_gesture_pinch_out ev_global_gesture_pinch_end ev_global_gesture_rotate_start ev_global_gesture_rotating ev_global_gesture_rotate_end vk_nokey vk_anykey vk_enter vk_return vk_shift vk_control vk_alt vk_escape vk_space vk_backspace vk_tab vk_pause vk_printscreen vk_left vk_right vk_up vk_down vk_home vk_end vk_delete vk_insert vk_pageup vk_pagedown vk_f1 vk_f2 vk_f3 vk_f4 vk_f5 vk_f6 vk_f7 vk_f8 vk_f9 vk_f10 vk_f11 vk_f12 vk_numpad0 vk_numpad1 vk_numpad2 vk_numpad3 vk_numpad4 vk_numpad5 vk_numpad6 vk_numpad7 vk_numpad8 vk_numpad9 vk_divide vk_multiply vk_subtract vk_add vk_decimal vk_lshift vk_lcontrol vk_lalt vk_rshift vk_rcontrol vk_ralt mb_any mb_none mb_left mb_right mb_middle c_aqua c_black c_blue c_dkgray c_fuchsia c_gray c_green c_lime c_ltgray c_maroon c_navy c_olive c_purple c_red c_silver c_teal c_white c_yellow c_orange fa_left fa_center fa_right fa_top fa_middle fa_bottom pr_pointlist pr_linelist pr_linestrip pr_trianglelist pr_trianglestrip pr_trianglefan bm_complex bm_normal bm_add bm_max bm_subtract bm_zero bm_one bm_src_colour bm_inv_src_colour bm_src_color bm_inv_src_color bm_src_alpha bm_inv_src_alpha bm_dest_alpha bm_inv_dest_alpha bm_dest_colour bm_inv_dest_colour bm_dest_color bm_inv_dest_color bm_src_alpha_sat tf_point tf_linear tf_anisotropic mip_off mip_on mip_markedonly audio_falloff_none audio_falloff_inverse_distance audio_falloff_inverse_distance_clamped audio_falloff_linear_distance audio_falloff_linear_distance_clamped audio_falloff_exponent_distance audio_falloff_exponent_distance_clamped audio_old_system audio_new_system audio_mono audio_stereo audio_3d cr_default cr_none cr_arrow cr_cross cr_beam cr_size_nesw cr_size_ns cr_size_nwse cr_size_we cr_uparrow cr_hourglass cr_drag cr_appstart cr_handpoint cr_size_all spritespeed_framespersecond spritespeed_framespergameframe asset_object asset_unknown asset_sprite asset_sound asset_room asset_path asset_script asset_font asset_timeline asset_tiles asset_shader fa_readonly fa_hidden fa_sysfile fa_volumeid fa_directory fa_archive ds_type_map ds_type_list ds_type_stack ds_type_queue ds_type_grid ds_type_priority ef_explosion ef_ring ef_ellipse ef_firework ef_smoke ef_smokeup ef_star ef_spark ef_flare ef_cloud ef_rain ef_snow pt_shape_pixel pt_shape_disk pt_shape_square pt_shape_line pt_shape_star pt_shape_circle pt_shape_ring pt_shape_sphere pt_shape_flare pt_shape_spark pt_shape_explosion pt_shape_cloud pt_shape_smoke pt_shape_snow ps_distr_linear ps_distr_gaussian ps_distr_invgaussian ps_shape_rectangle ps_shape_ellipse ps_shape_diamond ps_shape_line ty_real ty_string dll_cdecl dll_stdcall matrix_view matrix_projection matrix_world os_win32 os_windows os_macosx os_ios os_android os_symbian os_linux os_unknown os_winphone os_tizen os_win8native os_wiiu os_3ds os_psvita os_bb10 os_ps4 os_xboxone os_ps3 os_xbox360 os_uwp os_tvos os_switch browser_not_a_browser browser_unknown browser_ie browser_firefox browser_chrome browser_safari browser_safari_mobile browser_opera browser_tizen browser_edge browser_windows_store browser_ie_mobile device_ios_unknown device_ios_iphone device_ios_iphone_retina device_ios_ipad device_ios_ipad_retina device_ios_iphone5 device_ios_iphone6 device_ios_iphone6plus device_emulator device_tablet display_landscape display_landscape_flipped display_portrait display_portrait_flipped tm_sleep tm_countvsyncs of_challenge_win of_challen ge_lose of_challenge_tie leaderboard_type_number leaderboard_type_time_mins_secs cmpfunc_never cmpfunc_less cmpfunc_equal cmpfunc_lessequal cmpfunc_greater cmpfunc_notequal cmpfunc_greaterequal cmpfunc_always cull_noculling cull_clockwise cull_counterclockwise lighttype_dir lighttype_point iap_ev_storeload iap_ev_product iap_ev_purchase iap_ev_consume iap_ev_restore iap_storeload_ok iap_storeload_failed iap_status_uninitialised iap_status_unavailable iap_status_loading iap_status_available iap_status_processing iap_status_restoring iap_failed iap_unavailable iap_available iap_purchased iap_canceled iap_refunded fb_login_default fb_login_fallback_to_webview fb_login_no_fallback_to_webview fb_login_forcing_webview fb_login_use_system_account fb_login_forcing_safari phy_joint_anchor_1_x phy_joint_anchor_1_y phy_joint_anchor_2_x phy_joint_anchor_2_y phy_joint_reaction_force_x phy_joint_reaction_force_y phy_joint_reaction_torque phy_joint_motor_speed phy_joint_angle phy_joint_motor_torque phy_joint_max_motor_torque phy_joint_translation phy_joint_speed phy_joint_motor_force phy_joint_max_motor_force phy_joint_length_1 phy_joint_length_2 phy_joint_damping_ratio phy_joint_frequency phy_joint_lower_angle_limit phy_joint_upper_angle_limit phy_joint_angle_limits phy_joint_max_length phy_joint_max_torque phy_joint_max_force phy_debug_render_aabb phy_debug_render_collision_pairs phy_debug_render_coms phy_debug_render_core_shapes phy_debug_render_joints phy_debug_render_obb phy_debug_render_shapes phy_particle_flag_water phy_particle_flag_zombie phy_particle_flag_wall phy_particle_flag_spring phy_particle_flag_elastic phy_particle_flag_viscous phy_particle_flag_powder phy_particle_flag_tensile phy_particle_flag_colourmixing phy_particle_flag_colormixing phy_particle_group_flag_solid phy_particle_group_flag_rigid phy_particle_data_flag_typeflags phy_particle_data_flag_position phy_particle_data_flag_velocity phy_particle_data_flag_colour phy_particle_data_flag_color phy_particle_data_flag_category achievement_our_info achievement_friends_info achievement_leaderboard_info achievement_achievement_info achievement_filter_all_players achievement_filter_friends_only achievement_filter_favorites_only achievement_type_achievement_challenge achievement_type_score_challenge achievement_pic_loaded achievement_show_ui achievement_show_profile achievement_show_leaderboard achievement_show_achievement achievement_show_bank achievement_show_friend_picker achievement_show_purchase_prompt network_socket_tcp network_socket_udp network_socket_bluetooth network_type_connect network_type_disconnect network_type_data network_type_non_blocking_connect network_config_connect_timeout network_config_use_non_blocking_socket network_config_enable_reliable_udp network_config_disable_reliable_udp buffer_fixed buffer_grow buffer_wrap buffer_fast buffer_vbuffer buffer_network buffer_u8 buffer_s8 buffer_u16 buffer_s16 buffer_u32 buffer_s32 buffer_u64 buffer_f16 buffer_f32 buffer_f64 buffer_bool buffer_text buffer_string buffer_surface_copy buffer_seek_start buffer_seek_relative buffer_seek_end buffer_generalerror buffer_outofspace buffer_outofbounds buffer_invalidtype text_type button_type input_type ANSI_CHARSET DEFAULT_CHARSET EASTEUROPE_CHARSET RUSSIAN_CHARSET SYMBOL_CHARSET SHIFTJIS_CHARSET HANGEUL_CHARSET GB2312_CHARSET CHINESEBIG5_CHARSET JOHAB_CHARSET HEBREW_CHARSET ARABIC_CHARSET GREEK_CHARSET TURKISH_CHARSET VIETNAMESE_CHARSET THAI_CHARSET MAC_CHARSET BALTIC_CHARSET OEM_CHARSET gp_face1 gp_face2 gp_face3 gp_face4 gp_shoulderl gp_shoulderr gp_shoulderlb gp_shoulderrb gp_select gp_start gp_stickl gp_stickr gp_padu gp_padd gp_padl gp_padr gp_axislh gp_axislv gp_axisrh gp_axisrv ov_friends ov_community ov_players ov_settings ov_gamegroup ov_achievements lb_sort_none lb_sort_ascending lb_sort_descending lb_disp_none lb_disp_numeric lb_disp_time_sec lb_disp_time_ms ugc_result_success ugc_filetype_community ugc_filetype_microtrans ugc_visibility_public ugc_visibility_friends_only ugc_visibility_private ugc_query_RankedByVote ugc_query_RankedByPublicationDate ugc_query_AcceptedForGameRankedByAcceptanceDate ugc_query_RankedByTrend ugc_query_FavoritedByFriendsRankedByPublicationDate ugc_query_CreatedByFriendsRankedByPublicationDate ugc_query_RankedByNumTimesReported ugc_query_CreatedByFollowedUsersRankedByPublicationDate ugc_query_NotYetRated ugc_query_RankedByTotalVotesAsc ugc_query_RankedByVotesUp ugc_query_RankedByTextSearch ugc_sortorder_CreationOrderDesc ugc_sortorder_CreationOrderAsc ugc_sortorder_TitleAsc ugc_sortorder_LastUpdatedDesc ugc_sortorder_SubscriptionDateDesc ugc_sortorder_VoteScoreDesc ugc_sortorder_ForModeration ugc_list_Published ugc_list_VotedOn ugc_list_VotedUp ugc_list_VotedDown ugc_list_WillVoteLater ugc_list_Favorited ugc_list_Subscribed ugc_list_UsedOrPlayed ugc_list_Followed ugc_match_Items ugc_match_Items_Mtx ugc_match_Items_ReadyToUse ugc_match_Collections ugc_match_Artwork ugc_match_Videos ugc_match_Screenshots ugc_match_AllGuides ugc_match_WebGuides ugc_match_IntegratedGuides ugc_match_UsableInGame ugc_match_ControllerBindings vertex_usage_position vertex_usage_colour vertex_usage_color vertex_usage_normal vertex_usage_texcoord vertex_usage_textcoord vertex_usage_blendweight vertex_usage_blendindices vertex_usage_psize vertex_usage_tangent vertex_usage_binormal vertex_usage_fog vertex_usage_depth vertex_usage_sample vertex_type_float1 vertex_type_float2 vertex_type_float3 vertex_type_float4 vertex_type_colour vertex_type_color vertex_type_ubyte4 layerelementtype_undefined layerelementtype_background layerelementtype_instance layerelementtype_oldtilemap layerelementtype_sprite layerelementtype_tilemap layerelementtype_particlesystem layerelementtype_tile tile_rotate tile_flip tile_mirror tile_index_mask kbv_type_default kbv_type_ascii kbv_type_url kbv_type_email kbv_type_numbers kbv_type_phone kbv_type_phone_name kbv_returnkey_default kbv_returnkey_go kbv_returnkey_google kbv_returnkey_join kbv_returnkey_next kbv_returnkey_route kbv_returnkey_search kbv_returnkey_send kbv_returnkey_yahoo kbv_returnkey_done kbv_returnkey_continue kbv_returnkey_emergency kbv_autocapitalize_none kbv_autocapitalize_words kbv_autocapitalize_sentences kbv_autocapitalize_characters",symbol:"argument_relative argument argument0 argument1 argument2 argument3 argument4 argument5 argument6 argument7 argument8 argument9 argument10 argument11 argument12 argument13 argument14 argument15 argument_count x y xprevious yprevious xstart ystart hspeed vspeed direction speed friction gravity gravity_direction path_index path_position path_positionprevious path_speed path_scale path_orientation path_endaction object_index id solid persistent mask_index instance_count instance_id room_speed fps fps_real current_time current_year current_month current_day current_weekday current_hour current_minute current_second alarm timeline_index timeline_position timeline_speed timeline_running timeline_loop room room_first room_last room_width room_height room_caption room_persistent score lives health show_score show_lives show_health caption_score caption_lives caption_health event_type event_number event_object event_action application_surface gamemaker_pro gamemaker_registered gamemaker_version error_occurred error_last debug_mode keyboard_key keyboard_lastkey keyboard_lastchar keyboard_string mouse_x mouse_y mouse_button mouse_lastbutton cursor_sprite visible sprite_index sprite_width sprite_height sprite_xoffset sprite_yoffset image_number image_index image_speed depth image_xscale image_yscale image_angle image_alpha image_blend bbox_left bbox_right bbox_top bbox_bottom layer background_colour background_showcolour background_color background_showcolor view_enabled view_current view_visible view_xview view_yview view_wview view_hview view_xport view_yport view_wport view_hport view_angle view_hborder view_vborder view_hspeed view_vspeed view_object view_surface_id view_camera game_id game_display_name game_project_name game_save_id working_directory temp_directory program_directory browser_width browser_height os_type os_device os_browser os_version display_aa async_load delta_time webgl_enabled event_data iap_data phy_rotation phy_position_x phy_position_y phy_angular_velocity phy_linear_velocity_x phy_linear_velocity_y phy_speed_x phy_speed_y phy_speed phy_angular_damping phy_linear_damping phy_bullet phy_fixed_rotation phy_active phy_mass phy_inertia phy_com_x phy_com_y phy_dynamic phy_kinematic phy_sleeping phy_collision_points phy_collision_x phy_collision_y phy_col_normal_x phy_col_normal_y phy_position_xprevious phy_position_yprevious"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}}}]);
-//# sourceMappingURL=gml.js.map?v=8603d4cd1b8ec3850173 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[67],{434:function(e,_){e.exports=function(e){return{aliases:["gml","GML"],case_insensitive:!1,keywords:{keyword:"begin end if then else while do for break continue with until repeat exit and or xor not return mod div switch case default var globalvar enum #macro #region #endregion",built_in:"is_real is_string is_array is_undefined is_int32 is_int64 is_ptr is_vec3 is_vec4 is_matrix is_bool typeof variable_global_exists variable_global_get variable_global_set variable_instance_exists variable_instance_get variable_instance_set variable_instance_get_names array_length_1d array_length_2d array_height_2d array_equals array_create array_copy random random_range irandom irandom_range random_set_seed random_get_seed randomize randomise choose abs round floor ceil sign frac sqrt sqr exp ln log2 log10 sin cos tan arcsin arccos arctan arctan2 dsin dcos dtan darcsin darccos darctan darctan2 degtorad radtodeg power logn min max mean median clamp lerp dot_product dot_product_3d dot_product_normalised dot_product_3d_normalised dot_product_normalized dot_product_3d_normalized math_set_epsilon math_get_epsilon angle_difference point_distance_3d point_distance point_direction lengthdir_x lengthdir_y real string int64 ptr string_format chr ansi_char ord string_length string_byte_length string_pos string_copy string_char_at string_ord_at string_byte_at string_set_byte_at string_delete string_insert string_lower string_upper string_repeat string_letters string_digits string_lettersdigits string_replace string_replace_all string_count string_hash_to_newline clipboard_has_text clipboard_set_text clipboard_get_text date_current_datetime date_create_datetime date_valid_datetime date_inc_year date_inc_month date_inc_week date_inc_day date_inc_hour date_inc_minute date_inc_second date_get_year date_get_month date_get_week date_get_day date_get_hour date_get_minute date_get_second date_get_weekday date_get_day_of_year date_get_hour_of_year date_get_minute_of_year date_get_second_of_year date_year_span date_month_span date_week_span date_day_span date_hour_span date_minute_span date_second_span date_compare_datetime date_compare_date date_compare_time date_date_of date_time_of date_datetime_string date_date_string date_time_string date_days_in_month date_days_in_year date_leap_year date_is_today date_set_timezone date_get_timezone game_set_speed game_get_speed motion_set motion_add place_free place_empty place_meeting place_snapped move_random move_snap move_towards_point move_contact_solid move_contact_all move_outside_solid move_outside_all move_bounce_solid move_bounce_all move_wrap distance_to_point distance_to_object position_empty position_meeting path_start path_end mp_linear_step mp_potential_step mp_linear_step_object mp_potential_step_object mp_potential_settings mp_linear_path mp_potential_path mp_linear_path_object mp_potential_path_object mp_grid_create mp_grid_destroy mp_grid_clear_all mp_grid_clear_cell mp_grid_clear_rectangle mp_grid_add_cell mp_grid_get_cell mp_grid_add_rectangle mp_grid_add_instances mp_grid_path mp_grid_draw mp_grid_to_ds_grid collision_point collision_rectangle collision_circle collision_ellipse collision_line collision_point_list collision_rectangle_list collision_circle_list collision_ellipse_list collision_line_list instance_position_list instance_place_list point_in_rectangle point_in_triangle point_in_circle rectangle_in_rectangle rectangle_in_triangle rectangle_in_circle instance_find instance_exists instance_number instance_position instance_nearest instance_furthest instance_place instance_create_depth instance_create_layer instance_copy instance_change instance_destroy position_destroy position_change instance_id_get instance_deactivate_all instance_deactivate_object instance_deactivate_region instance_activate_all instance_activate_object instance_activate_region room_goto room_goto_previous room_goto_next room_previous room_next room_restart game_end game_restart game_load game_save game_save_buffer game_load_buffer event_perform event_user event_perform_object event_inherited show_debug_message show_debug_overlay debug_event debug_get_callstack alarm_get alarm_set font_texture_page_size keyboard_set_map keyboard_get_map keyboard_unset_map keyboard_check keyboard_check_pressed keyboard_check_released keyboard_check_direct keyboard_get_numlock keyboard_set_numlock keyboard_key_press keyboard_key_release keyboard_clear io_clear mouse_check_button mouse_check_button_pressed mouse_check_button_released mouse_wheel_up mouse_wheel_down mouse_clear draw_self draw_sprite draw_sprite_pos draw_sprite_ext draw_sprite_stretched draw_sprite_stretched_ext draw_sprite_tiled draw_sprite_tiled_ext draw_sprite_part draw_sprite_part_ext draw_sprite_general draw_clear draw_clear_alpha draw_point draw_line draw_line_width draw_rectangle draw_roundrect draw_roundrect_ext draw_triangle draw_circle draw_ellipse draw_set_circle_precision draw_arrow draw_button draw_path draw_healthbar draw_getpixel draw_getpixel_ext draw_set_colour draw_set_color draw_set_alpha draw_get_colour draw_get_color draw_get_alpha merge_colour make_colour_rgb make_colour_hsv colour_get_red colour_get_green colour_get_blue colour_get_hue colour_get_saturation colour_get_value merge_color make_color_rgb make_color_hsv color_get_red color_get_green color_get_blue color_get_hue color_get_saturation color_get_value merge_color screen_save screen_save_part draw_set_font draw_set_halign draw_set_valign draw_text draw_text_ext string_width string_height string_width_ext string_height_ext draw_text_transformed draw_text_ext_transformed draw_text_colour draw_text_ext_colour draw_text_transformed_colour draw_text_ext_transformed_colour draw_text_color draw_text_ext_color draw_text_transformed_color draw_text_ext_transformed_color draw_point_colour draw_line_colour draw_line_width_colour draw_rectangle_colour draw_roundrect_colour draw_roundrect_colour_ext draw_triangle_colour draw_circle_colour draw_ellipse_colour draw_point_color draw_line_color draw_line_width_color draw_rectangle_color draw_roundrect_color draw_roundrect_color_ext draw_triangle_color draw_circle_color draw_ellipse_color draw_primitive_begin draw_vertex draw_vertex_colour draw_vertex_color draw_primitive_end sprite_get_uvs font_get_uvs sprite_get_texture font_get_texture texture_get_width texture_get_height texture_get_uvs draw_primitive_begin_texture draw_vertex_texture draw_vertex_texture_colour draw_vertex_texture_color texture_global_scale surface_create surface_create_ext surface_resize surface_free surface_exists surface_get_width surface_get_height surface_get_texture surface_set_target surface_set_target_ext surface_reset_target surface_depth_disable surface_get_depth_disable draw_surface draw_surface_stretched draw_surface_tiled draw_surface_part draw_surface_ext draw_surface_stretched_ext draw_surface_tiled_ext draw_surface_part_ext draw_surface_general surface_getpixel surface_getpixel_ext surface_save surface_save_part surface_copy surface_copy_part application_surface_draw_enable application_get_position application_surface_enable application_surface_is_enabled display_get_width display_get_height display_get_orientation display_get_gui_width display_get_gui_height display_reset display_mouse_get_x display_mouse_get_y display_mouse_set display_set_ui_visibility window_set_fullscreen window_get_fullscreen window_set_caption window_set_min_width window_set_max_width window_set_min_height window_set_max_height window_get_visible_rects window_get_caption window_set_cursor window_get_cursor window_set_colour window_get_colour window_set_color window_get_color window_set_position window_set_size window_set_rectangle window_center window_get_x window_get_y window_get_width window_get_height window_mouse_get_x window_mouse_get_y window_mouse_set window_view_mouse_get_x window_view_mouse_get_y window_views_mouse_get_x window_views_mouse_get_y audio_listener_position audio_listener_velocity audio_listener_orientation audio_emitter_position audio_emitter_create audio_emitter_free audio_emitter_exists audio_emitter_pitch audio_emitter_velocity audio_emitter_falloff audio_emitter_gain audio_play_sound audio_play_sound_on audio_play_sound_at audio_stop_sound audio_resume_music audio_music_is_playing audio_resume_sound audio_pause_sound audio_pause_music audio_channel_num audio_sound_length audio_get_type audio_falloff_set_model audio_play_music audio_stop_music audio_master_gain audio_music_gain audio_sound_gain audio_sound_pitch audio_stop_all audio_resume_all audio_pause_all audio_is_playing audio_is_paused audio_exists audio_sound_set_track_position audio_sound_get_track_position audio_emitter_get_gain audio_emitter_get_pitch audio_emitter_get_x audio_emitter_get_y audio_emitter_get_z audio_emitter_get_vx audio_emitter_get_vy audio_emitter_get_vz audio_listener_set_position audio_listener_set_velocity audio_listener_set_orientation audio_listener_get_data audio_set_master_gain audio_get_master_gain audio_sound_get_gain audio_sound_get_pitch audio_get_name audio_sound_set_track_position audio_sound_get_track_position audio_create_stream audio_destroy_stream audio_create_sync_group audio_destroy_sync_group audio_play_in_sync_group audio_start_sync_group audio_stop_sync_group audio_pause_sync_group audio_resume_sync_group audio_sync_group_get_track_pos audio_sync_group_debug audio_sync_group_is_playing audio_debug audio_group_load audio_group_unload audio_group_is_loaded audio_group_load_progress audio_group_name audio_group_stop_all audio_group_set_gain audio_create_buffer_sound audio_free_buffer_sound audio_create_play_queue audio_free_play_queue audio_queue_sound audio_get_recorder_count audio_get_recorder_info audio_start_recording audio_stop_recording audio_sound_get_listener_mask audio_emitter_get_listener_mask audio_get_listener_mask audio_sound_set_listener_mask audio_emitter_set_listener_mask audio_set_listener_mask audio_get_listener_count audio_get_listener_info audio_system show_message show_message_async clickable_add clickable_add_ext clickable_change clickable_change_ext clickable_delete clickable_exists clickable_set_style show_question show_question_async get_integer get_string get_integer_async get_string_async get_login_async get_open_filename get_save_filename get_open_filename_ext get_save_filename_ext show_error highscore_clear highscore_add highscore_value highscore_name draw_highscore sprite_exists sprite_get_name sprite_get_number sprite_get_width sprite_get_height sprite_get_xoffset sprite_get_yoffset sprite_get_bbox_left sprite_get_bbox_right sprite_get_bbox_top sprite_get_bbox_bottom sprite_save sprite_save_strip sprite_set_cache_size sprite_set_cache_size_ext sprite_get_tpe sprite_prefetch sprite_prefetch_multi sprite_flush sprite_flush_multi sprite_set_speed sprite_get_speed_type sprite_get_speed font_exists font_get_name font_get_fontname font_get_bold font_get_italic font_get_first font_get_last font_get_size font_set_cache_size path_exists path_get_name path_get_length path_get_time path_get_kind path_get_closed path_get_precision path_get_number path_get_point_x path_get_point_y path_get_point_speed path_get_x path_get_y path_get_speed script_exists script_get_name timeline_add timeline_delete timeline_clear timeline_exists timeline_get_name timeline_moment_clear timeline_moment_add_script timeline_size timeline_max_moment object_exists object_get_name object_get_sprite object_get_solid object_get_visible object_get_persistent object_get_mask object_get_parent object_get_physics object_is_ancestor room_exists room_get_name sprite_set_offset sprite_duplicate sprite_assign sprite_merge sprite_add sprite_replace sprite_create_from_surface sprite_add_from_surface sprite_delete sprite_set_alpha_from_sprite sprite_collision_mask font_add_enable_aa font_add_get_enable_aa font_add font_add_sprite font_add_sprite_ext font_replace font_replace_sprite font_replace_sprite_ext font_delete path_set_kind path_set_closed path_set_precision path_add path_assign path_duplicate path_append path_delete path_add_point path_insert_point path_change_point path_delete_point path_clear_points path_reverse path_mirror path_flip path_rotate path_rescale path_shift script_execute object_set_sprite object_set_solid object_set_visible object_set_persistent object_set_mask room_set_width room_set_height room_set_persistent room_set_background_colour room_set_background_color room_set_view room_set_viewport room_get_viewport room_set_view_enabled room_add room_duplicate room_assign room_instance_add room_instance_clear room_get_camera room_set_camera asset_get_index asset_get_type file_text_open_from_string file_text_open_read file_text_open_write file_text_open_append file_text_close file_text_write_string file_text_write_real file_text_writeln file_text_read_string file_text_read_real file_text_readln file_text_eof file_text_eoln file_exists file_delete file_rename file_copy directory_exists directory_create directory_destroy file_find_first file_find_next file_find_close file_attributes filename_name filename_path filename_dir filename_drive filename_ext filename_change_ext file_bin_open file_bin_rewrite file_bin_close file_bin_position file_bin_size file_bin_seek file_bin_write_byte file_bin_read_byte parameter_count parameter_string environment_get_variable ini_open_from_string ini_open ini_close ini_read_string ini_read_real ini_write_string ini_write_real ini_key_exists ini_section_exists ini_key_delete ini_section_delete ds_set_precision ds_exists ds_stack_create ds_stack_destroy ds_stack_clear ds_stack_copy ds_stack_size ds_stack_empty ds_stack_push ds_stack_pop ds_stack_top ds_stack_write ds_stack_read ds_queue_create ds_queue_destroy ds_queue_clear ds_queue_copy ds_queue_size ds_queue_empty ds_queue_enqueue ds_queue_dequeue ds_queue_head ds_queue_tail ds_queue_write ds_queue_read ds_list_create ds_list_destroy ds_list_clear ds_list_copy ds_list_size ds_list_empty ds_list_add ds_list_insert ds_list_replace ds_list_delete ds_list_find_index ds_list_find_value ds_list_mark_as_list ds_list_mark_as_map ds_list_sort ds_list_shuffle ds_list_write ds_list_read ds_list_set ds_map_create ds_map_destroy ds_map_clear ds_map_copy ds_map_size ds_map_empty ds_map_add ds_map_add_list ds_map_add_map ds_map_replace ds_map_replace_map ds_map_replace_list ds_map_delete ds_map_exists ds_map_find_value ds_map_find_previous ds_map_find_next ds_map_find_first ds_map_find_last ds_map_write ds_map_read ds_map_secure_save ds_map_secure_load ds_map_secure_load_buffer ds_map_secure_save_buffer ds_map_set ds_priority_create ds_priority_destroy ds_priority_clear ds_priority_copy ds_priority_size ds_priority_empty ds_priority_add ds_priority_change_priority ds_priority_find_priority ds_priority_delete_value ds_priority_delete_min ds_priority_find_min ds_priority_delete_max ds_priority_find_max ds_priority_write ds_priority_read ds_grid_create ds_grid_destroy ds_grid_copy ds_grid_resize ds_grid_width ds_grid_height ds_grid_clear ds_grid_set ds_grid_add ds_grid_multiply ds_grid_set_region ds_grid_add_region ds_grid_multiply_region ds_grid_set_disk ds_grid_add_disk ds_grid_multiply_disk ds_grid_set_grid_region ds_grid_add_grid_region ds_grid_multiply_grid_region ds_grid_get ds_grid_get_sum ds_grid_get_max ds_grid_get_min ds_grid_get_mean ds_grid_get_disk_sum ds_grid_get_disk_min ds_grid_get_disk_max ds_grid_get_disk_mean ds_grid_value_exists ds_grid_value_x ds_grid_value_y ds_grid_value_disk_exists ds_grid_value_disk_x ds_grid_value_disk_y ds_grid_shuffle ds_grid_write ds_grid_read ds_grid_sort ds_grid_set ds_grid_get effect_create_below effect_create_above effect_clear part_type_create part_type_destroy part_type_exists part_type_clear part_type_shape part_type_sprite part_type_size part_type_scale part_type_orientation part_type_life part_type_step part_type_death part_type_speed part_type_direction part_type_gravity part_type_colour1 part_type_colour2 part_type_colour3 part_type_colour_mix part_type_colour_rgb part_type_colour_hsv part_type_color1 part_type_color2 part_type_color3 part_type_color_mix part_type_color_rgb part_type_color_hsv part_type_alpha1 part_type_alpha2 part_type_alpha3 part_type_blend part_system_create part_system_create_layer part_system_destroy part_system_exists part_system_clear part_system_draw_order part_system_depth part_system_position part_system_automatic_update part_system_automatic_draw part_system_update part_system_drawit part_system_get_layer part_system_layer part_particles_create part_particles_create_colour part_particles_create_color part_particles_clear part_particles_count part_emitter_create part_emitter_destroy part_emitter_destroy_all part_emitter_exists part_emitter_clear part_emitter_region part_emitter_burst part_emitter_stream external_call external_define external_free window_handle window_device matrix_get matrix_set matrix_build_identity matrix_build matrix_build_lookat matrix_build_projection_ortho matrix_build_projection_perspective matrix_build_projection_perspective_fov matrix_multiply matrix_transform_vertex matrix_stack_push matrix_stack_pop matrix_stack_multiply matrix_stack_set matrix_stack_clear matrix_stack_top matrix_stack_is_empty browser_input_capture os_get_config os_get_info os_get_language os_get_region os_lock_orientation display_get_dpi_x display_get_dpi_y display_set_gui_size display_set_gui_maximise display_set_gui_maximize device_mouse_dbclick_enable display_set_timing_method display_get_timing_method display_set_sleep_margin display_get_sleep_margin virtual_key_add virtual_key_hide virtual_key_delete virtual_key_show draw_enable_drawevent draw_enable_swf_aa draw_set_swf_aa_level draw_get_swf_aa_level draw_texture_flush draw_flush gpu_set_blendenable gpu_set_ztestenable gpu_set_zfunc gpu_set_zwriteenable gpu_set_lightingenable gpu_set_fog gpu_set_cullmode gpu_set_blendmode gpu_set_blendmode_ext gpu_set_blendmode_ext_sepalpha gpu_set_colorwriteenable gpu_set_colourwriteenable gpu_set_alphatestenable gpu_set_alphatestref gpu_set_alphatestfunc gpu_set_texfilter gpu_set_texfilter_ext gpu_set_texrepeat gpu_set_texrepeat_ext gpu_set_tex_filter gpu_set_tex_filter_ext gpu_set_tex_repeat gpu_set_tex_repeat_ext gpu_set_tex_mip_filter gpu_set_tex_mip_filter_ext gpu_set_tex_mip_bias gpu_set_tex_mip_bias_ext gpu_set_tex_min_mip gpu_set_tex_min_mip_ext gpu_set_tex_max_mip gpu_set_tex_max_mip_ext gpu_set_tex_max_aniso gpu_set_tex_max_aniso_ext gpu_set_tex_mip_enable gpu_set_tex_mip_enable_ext gpu_get_blendenable gpu_get_ztestenable gpu_get_zfunc gpu_get_zwriteenable gpu_get_lightingenable gpu_get_fog gpu_get_cullmode gpu_get_blendmode gpu_get_blendmode_ext gpu_get_blendmode_ext_sepalpha gpu_get_blendmode_src gpu_get_blendmode_dest gpu_get_blendmode_srcalpha gpu_get_blendmode_destalpha gpu_get_colorwriteenable gpu_get_colourwriteenable gpu_get_alphatestenable gpu_get_alphatestref gpu_get_alphatestfunc gpu_get_texfilter gpu_get_texfilter_ext gpu_get_texrepeat gpu_get_texrepeat_ext gpu_get_tex_filter gpu_get_tex_filter_ext gpu_get_tex_repeat gpu_get_tex_repeat_ext gpu_get_tex_mip_filter gpu_get_tex_mip_filter_ext gpu_get_tex_mip_bias gpu_get_tex_mip_bias_ext gpu_get_tex_min_mip gpu_get_tex_min_mip_ext gpu_get_tex_max_mip gpu_get_tex_max_mip_ext gpu_get_tex_max_aniso gpu_get_tex_max_aniso_ext gpu_get_tex_mip_enable gpu_get_tex_mip_enable_ext gpu_push_state gpu_pop_state gpu_get_state gpu_set_state draw_light_define_ambient draw_light_define_direction draw_light_define_point draw_light_enable draw_set_lighting draw_light_get_ambient draw_light_get draw_get_lighting shop_leave_rating url_get_domain url_open url_open_ext url_open_full get_timer achievement_login achievement_logout achievement_post achievement_increment achievement_post_score achievement_available achievement_show_achievements achievement_show_leaderboards achievement_load_friends achievement_load_leaderboard achievement_send_challenge achievement_load_progress achievement_reset achievement_login_status achievement_get_pic achievement_show_challenge_notifications achievement_get_challenges achievement_event achievement_show achievement_get_info cloud_file_save cloud_string_save cloud_synchronise ads_enable ads_disable ads_setup ads_engagement_launch ads_engagement_available ads_engagement_active ads_event ads_event_preload ads_set_reward_callback ads_get_display_height ads_get_display_width ads_move ads_interstitial_available ads_interstitial_display device_get_tilt_x device_get_tilt_y device_get_tilt_z device_is_keypad_open device_mouse_check_button device_mouse_check_button_pressed device_mouse_check_button_released device_mouse_x device_mouse_y device_mouse_raw_x device_mouse_raw_y device_mouse_x_to_gui device_mouse_y_to_gui iap_activate iap_status iap_enumerate_products iap_restore_all iap_acquire iap_consume iap_product_details iap_purchase_details facebook_init facebook_login facebook_status facebook_graph_request facebook_dialog facebook_logout facebook_launch_offerwall facebook_post_message facebook_send_invite facebook_user_id facebook_accesstoken facebook_check_permission facebook_request_read_permissions facebook_request_publish_permissions gamepad_is_supported gamepad_get_device_count gamepad_is_connected gamepad_get_description gamepad_get_button_threshold gamepad_set_button_threshold gamepad_get_axis_deadzone gamepad_set_axis_deadzone gamepad_button_count gamepad_button_check gamepad_button_check_pressed gamepad_button_check_released gamepad_button_value gamepad_axis_count gamepad_axis_value gamepad_set_vibration gamepad_set_colour gamepad_set_color os_is_paused window_has_focus code_is_compiled http_get http_get_file http_post_string http_request json_encode json_decode zip_unzip load_csv base64_encode base64_decode md5_string_unicode md5_string_utf8 md5_file os_is_network_connected sha1_string_unicode sha1_string_utf8 sha1_file os_powersave_enable analytics_event analytics_event_ext win8_livetile_tile_notification win8_livetile_tile_clear win8_livetile_badge_notification win8_livetile_badge_clear win8_livetile_queue_enable win8_secondarytile_pin win8_secondarytile_badge_notification win8_secondarytile_delete win8_livetile_notification_begin win8_livetile_notification_secondary_begin win8_livetile_notification_expiry win8_livetile_notification_tag win8_livetile_notification_text_add win8_livetile_notification_image_add win8_livetile_notification_end win8_appbar_enable win8_appbar_add_element win8_appbar_remove_element win8_settingscharm_add_entry win8_settingscharm_add_html_entry win8_settingscharm_add_xaml_entry win8_settingscharm_set_xaml_property win8_settingscharm_get_xaml_property win8_settingscharm_remove_entry win8_share_image win8_share_screenshot win8_share_file win8_share_url win8_share_text win8_search_enable win8_search_disable win8_search_add_suggestions win8_device_touchscreen_available win8_license_initialize_sandbox win8_license_trial_version winphone_license_trial_version winphone_tile_title winphone_tile_count winphone_tile_back_title winphone_tile_back_content winphone_tile_back_content_wide winphone_tile_front_image winphone_tile_front_image_small winphone_tile_front_image_wide winphone_tile_back_image winphone_tile_back_image_wide winphone_tile_background_colour winphone_tile_background_color winphone_tile_icon_image winphone_tile_small_icon_image winphone_tile_wide_content winphone_tile_cycle_images winphone_tile_small_background_image physics_world_create physics_world_gravity physics_world_update_speed physics_world_update_iterations physics_world_draw_debug physics_pause_enable physics_fixture_create physics_fixture_set_kinematic physics_fixture_set_density physics_fixture_set_awake physics_fixture_set_restitution physics_fixture_set_friction physics_fixture_set_collision_group physics_fixture_set_sensor physics_fixture_set_linear_damping physics_fixture_set_angular_damping physics_fixture_set_circle_shape physics_fixture_set_box_shape physics_fixture_set_edge_shape physics_fixture_set_polygon_shape physics_fixture_set_chain_shape physics_fixture_add_point physics_fixture_bind physics_fixture_bind_ext physics_fixture_delete physics_apply_force physics_apply_impulse physics_apply_angular_impulse physics_apply_local_force physics_apply_local_impulse physics_apply_torque physics_mass_properties physics_draw_debug physics_test_overlap physics_remove_fixture physics_set_friction physics_set_density physics_set_restitution physics_get_friction physics_get_density physics_get_restitution physics_joint_distance_create physics_joint_rope_create physics_joint_revolute_create physics_joint_prismatic_create physics_joint_pulley_create physics_joint_wheel_create physics_joint_weld_create physics_joint_friction_create physics_joint_gear_create physics_joint_enable_motor physics_joint_get_value physics_joint_set_value physics_joint_delete physics_particle_create physics_particle_delete physics_particle_delete_region_circle physics_particle_delete_region_box physics_particle_delete_region_poly physics_particle_set_flags physics_particle_set_category_flags physics_particle_draw physics_particle_draw_ext physics_particle_count physics_particle_get_data physics_particle_get_data_particle physics_particle_group_begin physics_particle_group_circle physics_particle_group_box physics_particle_group_polygon physics_particle_group_add_point physics_particle_group_end physics_particle_group_join physics_particle_group_delete physics_particle_group_count physics_particle_group_get_data physics_particle_group_get_mass physics_particle_group_get_inertia physics_particle_group_get_centre_x physics_particle_group_get_centre_y physics_particle_group_get_vel_x physics_particle_group_get_vel_y physics_particle_group_get_ang_vel physics_particle_group_get_x physics_particle_group_get_y physics_particle_group_get_angle physics_particle_set_group_flags physics_particle_get_group_flags physics_particle_get_max_count physics_particle_get_radius physics_particle_get_density physics_particle_get_damping physics_particle_get_gravity_scale physics_particle_set_max_count physics_particle_set_radius physics_particle_set_density physics_particle_set_damping physics_particle_set_gravity_scale network_create_socket network_create_socket_ext network_create_server network_create_server_raw network_connect network_connect_raw network_send_packet network_send_raw network_send_broadcast network_send_udp network_send_udp_raw network_set_timeout network_set_config network_resolve network_destroy buffer_create buffer_write buffer_read buffer_seek buffer_get_surface buffer_set_surface buffer_delete buffer_exists buffer_get_type buffer_get_alignment buffer_poke buffer_peek buffer_save buffer_save_ext buffer_load buffer_load_ext buffer_load_partial buffer_copy buffer_fill buffer_get_size buffer_tell buffer_resize buffer_md5 buffer_sha1 buffer_base64_encode buffer_base64_decode buffer_base64_decode_ext buffer_sizeof buffer_get_address buffer_create_from_vertex_buffer buffer_create_from_vertex_buffer_ext buffer_copy_from_vertex_buffer buffer_async_group_begin buffer_async_group_option buffer_async_group_end buffer_load_async buffer_save_async gml_release_mode gml_pragma steam_activate_overlay steam_is_overlay_enabled steam_is_overlay_activated steam_get_persona_name steam_initialised steam_is_cloud_enabled_for_app steam_is_cloud_enabled_for_account steam_file_persisted steam_get_quota_total steam_get_quota_free steam_file_write steam_file_write_file steam_file_read steam_file_delete steam_file_exists steam_file_size steam_file_share steam_is_screenshot_requested steam_send_screenshot steam_is_user_logged_on steam_get_user_steam_id steam_user_owns_dlc steam_user_installed_dlc steam_set_achievement steam_get_achievement steam_clear_achievement steam_set_stat_int steam_set_stat_float steam_set_stat_avg_rate steam_get_stat_int steam_get_stat_float steam_get_stat_avg_rate steam_reset_all_stats steam_reset_all_stats_achievements steam_stats_ready steam_create_leaderboard steam_upload_score steam_upload_score_ext steam_download_scores_around_user steam_download_scores steam_download_friends_scores steam_upload_score_buffer steam_upload_score_buffer_ext steam_current_game_language steam_available_languages steam_activate_overlay_browser steam_activate_overlay_user steam_activate_overlay_store steam_get_user_persona_name steam_get_app_id steam_get_user_account_id steam_ugc_download steam_ugc_create_item steam_ugc_start_item_update steam_ugc_set_item_title steam_ugc_set_item_description steam_ugc_set_item_visibility steam_ugc_set_item_tags steam_ugc_set_item_content steam_ugc_set_item_preview steam_ugc_submit_item_update steam_ugc_get_item_update_progress steam_ugc_subscribe_item steam_ugc_unsubscribe_item steam_ugc_num_subscribed_items steam_ugc_get_subscribed_items steam_ugc_get_item_install_info steam_ugc_get_item_update_info steam_ugc_request_item_details steam_ugc_create_query_user steam_ugc_create_query_user_ex steam_ugc_create_query_all steam_ugc_create_query_all_ex steam_ugc_query_set_cloud_filename_filter steam_ugc_query_set_match_any_tag steam_ugc_query_set_search_text steam_ugc_query_set_ranked_by_trend_days steam_ugc_query_add_required_tag steam_ugc_query_add_excluded_tag steam_ugc_query_set_return_long_description steam_ugc_query_set_return_total_only steam_ugc_query_set_allow_cached_response steam_ugc_send_query shader_set shader_get_name shader_reset shader_current shader_is_compiled shader_get_sampler_index shader_get_uniform shader_set_uniform_i shader_set_uniform_i_array shader_set_uniform_f shader_set_uniform_f_array shader_set_uniform_matrix shader_set_uniform_matrix_array shader_enable_corner_id texture_set_stage texture_get_texel_width texture_get_texel_height shaders_are_supported vertex_format_begin vertex_format_end vertex_format_delete vertex_format_add_position vertex_format_add_position_3d vertex_format_add_colour vertex_format_add_color vertex_format_add_normal vertex_format_add_texcoord vertex_format_add_textcoord vertex_format_add_custom vertex_create_buffer vertex_create_buffer_ext vertex_delete_buffer vertex_begin vertex_end vertex_position vertex_position_3d vertex_colour vertex_color vertex_argb vertex_texcoord vertex_normal vertex_float1 vertex_float2 vertex_float3 vertex_float4 vertex_ubyte4 vertex_submit vertex_freeze vertex_get_number vertex_get_buffer_size vertex_create_buffer_from_buffer vertex_create_buffer_from_buffer_ext push_local_notification push_get_first_local_notification push_get_next_local_notification push_cancel_local_notification skeleton_animation_set skeleton_animation_get skeleton_animation_mix skeleton_animation_set_ext skeleton_animation_get_ext skeleton_animation_get_duration skeleton_animation_get_frames skeleton_animation_clear skeleton_skin_set skeleton_skin_get skeleton_attachment_set skeleton_attachment_get skeleton_attachment_create skeleton_collision_draw_set skeleton_bone_data_get skeleton_bone_data_set skeleton_bone_state_get skeleton_bone_state_set skeleton_get_minmax skeleton_get_num_bounds skeleton_get_bounds skeleton_animation_get_frame skeleton_animation_set_frame draw_skeleton draw_skeleton_time draw_skeleton_instance draw_skeleton_collision skeleton_animation_list skeleton_skin_list skeleton_slot_data layer_get_id layer_get_id_at_depth layer_get_depth layer_create layer_destroy layer_destroy_instances layer_add_instance layer_has_instance layer_set_visible layer_get_visible layer_exists layer_x layer_y layer_get_x layer_get_y layer_hspeed layer_vspeed layer_get_hspeed layer_get_vspeed layer_script_begin layer_script_end layer_shader layer_get_script_begin layer_get_script_end layer_get_shader layer_set_target_room layer_get_target_room layer_reset_target_room layer_get_all layer_get_all_elements layer_get_name layer_depth layer_get_element_layer layer_get_element_type layer_element_move layer_force_draw_depth layer_is_draw_depth_forced layer_get_forced_depth layer_background_get_id layer_background_exists layer_background_create layer_background_destroy layer_background_visible layer_background_change layer_background_sprite layer_background_htiled layer_background_vtiled layer_background_stretch layer_background_yscale layer_background_xscale layer_background_blend layer_background_alpha layer_background_index layer_background_speed layer_background_get_visible layer_background_get_sprite layer_background_get_htiled layer_background_get_vtiled layer_background_get_stretch layer_background_get_yscale layer_background_get_xscale layer_background_get_blend layer_background_get_alpha layer_background_get_index layer_background_get_speed layer_sprite_get_id layer_sprite_exists layer_sprite_create layer_sprite_destroy layer_sprite_change layer_sprite_index layer_sprite_speed layer_sprite_xscale layer_sprite_yscale layer_sprite_angle layer_sprite_blend layer_sprite_alpha layer_sprite_x layer_sprite_y layer_sprite_get_sprite layer_sprite_get_index layer_sprite_get_speed layer_sprite_get_xscale layer_sprite_get_yscale layer_sprite_get_angle layer_sprite_get_blend layer_sprite_get_alpha layer_sprite_get_x layer_sprite_get_y layer_tilemap_get_id layer_tilemap_exists layer_tilemap_create layer_tilemap_destroy tilemap_tileset tilemap_x tilemap_y tilemap_set tilemap_set_at_pixel tilemap_get_tileset tilemap_get_tile_width tilemap_get_tile_height tilemap_get_width tilemap_get_height tilemap_get_x tilemap_get_y tilemap_get tilemap_get_at_pixel tilemap_get_cell_x_at_pixel tilemap_get_cell_y_at_pixel tilemap_clear draw_tilemap draw_tile tilemap_set_global_mask tilemap_get_global_mask tilemap_set_mask tilemap_get_mask tilemap_get_frame tile_set_empty tile_set_index tile_set_flip tile_set_mirror tile_set_rotate tile_get_empty tile_get_index tile_get_flip tile_get_mirror tile_get_rotate layer_tile_exists layer_tile_create layer_tile_destroy layer_tile_change layer_tile_xscale layer_tile_yscale layer_tile_blend layer_tile_alpha layer_tile_x layer_tile_y layer_tile_region layer_tile_visible layer_tile_get_sprite layer_tile_get_xscale layer_tile_get_yscale layer_tile_get_blend layer_tile_get_alpha layer_tile_get_x layer_tile_get_y layer_tile_get_region layer_tile_get_visible layer_instance_get_instance instance_activate_layer instance_deactivate_layer camera_create camera_create_view camera_destroy camera_apply camera_get_active camera_get_default camera_set_default camera_set_view_mat camera_set_proj_mat camera_set_update_script camera_set_begin_script camera_set_end_script camera_set_view_pos camera_set_view_size camera_set_view_speed camera_set_view_border camera_set_view_angle camera_set_view_target camera_get_view_mat camera_get_proj_mat camera_get_update_script camera_get_begin_script camera_get_end_script camera_get_view_x camera_get_view_y camera_get_view_width camera_get_view_height camera_get_view_speed_x camera_get_view_speed_y camera_get_view_border_x camera_get_view_border_y camera_get_view_angle camera_get_view_target view_get_camera view_get_visible view_get_xport view_get_yport view_get_wport view_get_hport view_get_surface_id view_set_camera view_set_visible view_set_xport view_set_yport view_set_wport view_set_hport view_set_surface_id gesture_drag_time gesture_drag_distance gesture_flick_speed gesture_double_tap_time gesture_double_tap_distance gesture_pinch_distance gesture_pinch_angle_towards gesture_pinch_angle_away gesture_rotate_time gesture_rotate_angle gesture_tap_count gesture_get_drag_time gesture_get_drag_distance gesture_get_flick_speed gesture_get_double_tap_time gesture_get_double_tap_distance gesture_get_pinch_distance gesture_get_pinch_angle_towards gesture_get_pinch_angle_away gesture_get_rotate_time gesture_get_rotate_angle gesture_get_tap_count keyboard_virtual_show keyboard_virtual_hide keyboard_virtual_status keyboard_virtual_height",literal:"self other all noone global local undefined pointer_invalid pointer_null path_action_stop path_action_restart path_action_continue path_action_reverse true false pi GM_build_date GM_version GM_runtime_version timezone_local timezone_utc gamespeed_fps gamespeed_microseconds ev_create ev_destroy ev_step ev_alarm ev_keyboard ev_mouse ev_collision ev_other ev_draw ev_draw_begin ev_draw_end ev_draw_pre ev_draw_post ev_keypress ev_keyrelease ev_trigger ev_left_button ev_right_button ev_middle_button ev_no_button ev_left_press ev_right_press ev_middle_press ev_left_release ev_right_release ev_middle_release ev_mouse_enter ev_mouse_leave ev_mouse_wheel_up ev_mouse_wheel_down ev_global_left_button ev_global_right_button ev_global_middle_button ev_global_left_press ev_global_right_press ev_global_middle_press ev_global_left_release ev_global_right_release ev_global_middle_release ev_joystick1_left ev_joystick1_right ev_joystick1_up ev_joystick1_down ev_joystick1_button1 ev_joystick1_button2 ev_joystick1_button3 ev_joystick1_button4 ev_joystick1_button5 ev_joystick1_button6 ev_joystick1_button7 ev_joystick1_button8 ev_joystick2_left ev_joystick2_right ev_joystick2_up ev_joystick2_down ev_joystick2_button1 ev_joystick2_button2 ev_joystick2_button3 ev_joystick2_button4 ev_joystick2_button5 ev_joystick2_button6 ev_joystick2_button7 ev_joystick2_button8 ev_outside ev_boundary ev_game_start ev_game_end ev_room_start ev_room_end ev_no_more_lives ev_animation_end ev_end_of_path ev_no_more_health ev_close_button ev_user0 ev_user1 ev_user2 ev_user3 ev_user4 ev_user5 ev_user6 ev_user7 ev_user8 ev_user9 ev_user10 ev_user11 ev_user12 ev_user13 ev_user14 ev_user15 ev_step_normal ev_step_begin ev_step_end ev_gui ev_gui_begin ev_gui_end ev_cleanup ev_gesture ev_gesture_tap ev_gesture_double_tap ev_gesture_drag_start ev_gesture_dragging ev_gesture_drag_end ev_gesture_flick ev_gesture_pinch_start ev_gesture_pinch_in ev_gesture_pinch_out ev_gesture_pinch_end ev_gesture_rotate_start ev_gesture_rotating ev_gesture_rotate_end ev_global_gesture_tap ev_global_gesture_double_tap ev_global_gesture_drag_start ev_global_gesture_dragging ev_global_gesture_drag_end ev_global_gesture_flick ev_global_gesture_pinch_start ev_global_gesture_pinch_in ev_global_gesture_pinch_out ev_global_gesture_pinch_end ev_global_gesture_rotate_start ev_global_gesture_rotating ev_global_gesture_rotate_end vk_nokey vk_anykey vk_enter vk_return vk_shift vk_control vk_alt vk_escape vk_space vk_backspace vk_tab vk_pause vk_printscreen vk_left vk_right vk_up vk_down vk_home vk_end vk_delete vk_insert vk_pageup vk_pagedown vk_f1 vk_f2 vk_f3 vk_f4 vk_f5 vk_f6 vk_f7 vk_f8 vk_f9 vk_f10 vk_f11 vk_f12 vk_numpad0 vk_numpad1 vk_numpad2 vk_numpad3 vk_numpad4 vk_numpad5 vk_numpad6 vk_numpad7 vk_numpad8 vk_numpad9 vk_divide vk_multiply vk_subtract vk_add vk_decimal vk_lshift vk_lcontrol vk_lalt vk_rshift vk_rcontrol vk_ralt mb_any mb_none mb_left mb_right mb_middle c_aqua c_black c_blue c_dkgray c_fuchsia c_gray c_green c_lime c_ltgray c_maroon c_navy c_olive c_purple c_red c_silver c_teal c_white c_yellow c_orange fa_left fa_center fa_right fa_top fa_middle fa_bottom pr_pointlist pr_linelist pr_linestrip pr_trianglelist pr_trianglestrip pr_trianglefan bm_complex bm_normal bm_add bm_max bm_subtract bm_zero bm_one bm_src_colour bm_inv_src_colour bm_src_color bm_inv_src_color bm_src_alpha bm_inv_src_alpha bm_dest_alpha bm_inv_dest_alpha bm_dest_colour bm_inv_dest_colour bm_dest_color bm_inv_dest_color bm_src_alpha_sat tf_point tf_linear tf_anisotropic mip_off mip_on mip_markedonly audio_falloff_none audio_falloff_inverse_distance audio_falloff_inverse_distance_clamped audio_falloff_linear_distance audio_falloff_linear_distance_clamped audio_falloff_exponent_distance audio_falloff_exponent_distance_clamped audio_old_system audio_new_system audio_mono audio_stereo audio_3d cr_default cr_none cr_arrow cr_cross cr_beam cr_size_nesw cr_size_ns cr_size_nwse cr_size_we cr_uparrow cr_hourglass cr_drag cr_appstart cr_handpoint cr_size_all spritespeed_framespersecond spritespeed_framespergameframe asset_object asset_unknown asset_sprite asset_sound asset_room asset_path asset_script asset_font asset_timeline asset_tiles asset_shader fa_readonly fa_hidden fa_sysfile fa_volumeid fa_directory fa_archive ds_type_map ds_type_list ds_type_stack ds_type_queue ds_type_grid ds_type_priority ef_explosion ef_ring ef_ellipse ef_firework ef_smoke ef_smokeup ef_star ef_spark ef_flare ef_cloud ef_rain ef_snow pt_shape_pixel pt_shape_disk pt_shape_square pt_shape_line pt_shape_star pt_shape_circle pt_shape_ring pt_shape_sphere pt_shape_flare pt_shape_spark pt_shape_explosion pt_shape_cloud pt_shape_smoke pt_shape_snow ps_distr_linear ps_distr_gaussian ps_distr_invgaussian ps_shape_rectangle ps_shape_ellipse ps_shape_diamond ps_shape_line ty_real ty_string dll_cdecl dll_stdcall matrix_view matrix_projection matrix_world os_win32 os_windows os_macosx os_ios os_android os_symbian os_linux os_unknown os_winphone os_tizen os_win8native os_wiiu os_3ds os_psvita os_bb10 os_ps4 os_xboxone os_ps3 os_xbox360 os_uwp os_tvos os_switch browser_not_a_browser browser_unknown browser_ie browser_firefox browser_chrome browser_safari browser_safari_mobile browser_opera browser_tizen browser_edge browser_windows_store browser_ie_mobile device_ios_unknown device_ios_iphone device_ios_iphone_retina device_ios_ipad device_ios_ipad_retina device_ios_iphone5 device_ios_iphone6 device_ios_iphone6plus device_emulator device_tablet display_landscape display_landscape_flipped display_portrait display_portrait_flipped tm_sleep tm_countvsyncs of_challenge_win of_challen ge_lose of_challenge_tie leaderboard_type_number leaderboard_type_time_mins_secs cmpfunc_never cmpfunc_less cmpfunc_equal cmpfunc_lessequal cmpfunc_greater cmpfunc_notequal cmpfunc_greaterequal cmpfunc_always cull_noculling cull_clockwise cull_counterclockwise lighttype_dir lighttype_point iap_ev_storeload iap_ev_product iap_ev_purchase iap_ev_consume iap_ev_restore iap_storeload_ok iap_storeload_failed iap_status_uninitialised iap_status_unavailable iap_status_loading iap_status_available iap_status_processing iap_status_restoring iap_failed iap_unavailable iap_available iap_purchased iap_canceled iap_refunded fb_login_default fb_login_fallback_to_webview fb_login_no_fallback_to_webview fb_login_forcing_webview fb_login_use_system_account fb_login_forcing_safari phy_joint_anchor_1_x phy_joint_anchor_1_y phy_joint_anchor_2_x phy_joint_anchor_2_y phy_joint_reaction_force_x phy_joint_reaction_force_y phy_joint_reaction_torque phy_joint_motor_speed phy_joint_angle phy_joint_motor_torque phy_joint_max_motor_torque phy_joint_translation phy_joint_speed phy_joint_motor_force phy_joint_max_motor_force phy_joint_length_1 phy_joint_length_2 phy_joint_damping_ratio phy_joint_frequency phy_joint_lower_angle_limit phy_joint_upper_angle_limit phy_joint_angle_limits phy_joint_max_length phy_joint_max_torque phy_joint_max_force phy_debug_render_aabb phy_debug_render_collision_pairs phy_debug_render_coms phy_debug_render_core_shapes phy_debug_render_joints phy_debug_render_obb phy_debug_render_shapes phy_particle_flag_water phy_particle_flag_zombie phy_particle_flag_wall phy_particle_flag_spring phy_particle_flag_elastic phy_particle_flag_viscous phy_particle_flag_powder phy_particle_flag_tensile phy_particle_flag_colourmixing phy_particle_flag_colormixing phy_particle_group_flag_solid phy_particle_group_flag_rigid phy_particle_data_flag_typeflags phy_particle_data_flag_position phy_particle_data_flag_velocity phy_particle_data_flag_colour phy_particle_data_flag_color phy_particle_data_flag_category achievement_our_info achievement_friends_info achievement_leaderboard_info achievement_achievement_info achievement_filter_all_players achievement_filter_friends_only achievement_filter_favorites_only achievement_type_achievement_challenge achievement_type_score_challenge achievement_pic_loaded achievement_show_ui achievement_show_profile achievement_show_leaderboard achievement_show_achievement achievement_show_bank achievement_show_friend_picker achievement_show_purchase_prompt network_socket_tcp network_socket_udp network_socket_bluetooth network_type_connect network_type_disconnect network_type_data network_type_non_blocking_connect network_config_connect_timeout network_config_use_non_blocking_socket network_config_enable_reliable_udp network_config_disable_reliable_udp buffer_fixed buffer_grow buffer_wrap buffer_fast buffer_vbuffer buffer_network buffer_u8 buffer_s8 buffer_u16 buffer_s16 buffer_u32 buffer_s32 buffer_u64 buffer_f16 buffer_f32 buffer_f64 buffer_bool buffer_text buffer_string buffer_surface_copy buffer_seek_start buffer_seek_relative buffer_seek_end buffer_generalerror buffer_outofspace buffer_outofbounds buffer_invalidtype text_type button_type input_type ANSI_CHARSET DEFAULT_CHARSET EASTEUROPE_CHARSET RUSSIAN_CHARSET SYMBOL_CHARSET SHIFTJIS_CHARSET HANGEUL_CHARSET GB2312_CHARSET CHINESEBIG5_CHARSET JOHAB_CHARSET HEBREW_CHARSET ARABIC_CHARSET GREEK_CHARSET TURKISH_CHARSET VIETNAMESE_CHARSET THAI_CHARSET MAC_CHARSET BALTIC_CHARSET OEM_CHARSET gp_face1 gp_face2 gp_face3 gp_face4 gp_shoulderl gp_shoulderr gp_shoulderlb gp_shoulderrb gp_select gp_start gp_stickl gp_stickr gp_padu gp_padd gp_padl gp_padr gp_axislh gp_axislv gp_axisrh gp_axisrv ov_friends ov_community ov_players ov_settings ov_gamegroup ov_achievements lb_sort_none lb_sort_ascending lb_sort_descending lb_disp_none lb_disp_numeric lb_disp_time_sec lb_disp_time_ms ugc_result_success ugc_filetype_community ugc_filetype_microtrans ugc_visibility_public ugc_visibility_friends_only ugc_visibility_private ugc_query_RankedByVote ugc_query_RankedByPublicationDate ugc_query_AcceptedForGameRankedByAcceptanceDate ugc_query_RankedByTrend ugc_query_FavoritedByFriendsRankedByPublicationDate ugc_query_CreatedByFriendsRankedByPublicationDate ugc_query_RankedByNumTimesReported ugc_query_CreatedByFollowedUsersRankedByPublicationDate ugc_query_NotYetRated ugc_query_RankedByTotalVotesAsc ugc_query_RankedByVotesUp ugc_query_RankedByTextSearch ugc_sortorder_CreationOrderDesc ugc_sortorder_CreationOrderAsc ugc_sortorder_TitleAsc ugc_sortorder_LastUpdatedDesc ugc_sortorder_SubscriptionDateDesc ugc_sortorder_VoteScoreDesc ugc_sortorder_ForModeration ugc_list_Published ugc_list_VotedOn ugc_list_VotedUp ugc_list_VotedDown ugc_list_WillVoteLater ugc_list_Favorited ugc_list_Subscribed ugc_list_UsedOrPlayed ugc_list_Followed ugc_match_Items ugc_match_Items_Mtx ugc_match_Items_ReadyToUse ugc_match_Collections ugc_match_Artwork ugc_match_Videos ugc_match_Screenshots ugc_match_AllGuides ugc_match_WebGuides ugc_match_IntegratedGuides ugc_match_UsableInGame ugc_match_ControllerBindings vertex_usage_position vertex_usage_colour vertex_usage_color vertex_usage_normal vertex_usage_texcoord vertex_usage_textcoord vertex_usage_blendweight vertex_usage_blendindices vertex_usage_psize vertex_usage_tangent vertex_usage_binormal vertex_usage_fog vertex_usage_depth vertex_usage_sample vertex_type_float1 vertex_type_float2 vertex_type_float3 vertex_type_float4 vertex_type_colour vertex_type_color vertex_type_ubyte4 layerelementtype_undefined layerelementtype_background layerelementtype_instance layerelementtype_oldtilemap layerelementtype_sprite layerelementtype_tilemap layerelementtype_particlesystem layerelementtype_tile tile_rotate tile_flip tile_mirror tile_index_mask kbv_type_default kbv_type_ascii kbv_type_url kbv_type_email kbv_type_numbers kbv_type_phone kbv_type_phone_name kbv_returnkey_default kbv_returnkey_go kbv_returnkey_google kbv_returnkey_join kbv_returnkey_next kbv_returnkey_route kbv_returnkey_search kbv_returnkey_send kbv_returnkey_yahoo kbv_returnkey_done kbv_returnkey_continue kbv_returnkey_emergency kbv_autocapitalize_none kbv_autocapitalize_words kbv_autocapitalize_sentences kbv_autocapitalize_characters",symbol:"argument_relative argument argument0 argument1 argument2 argument3 argument4 argument5 argument6 argument7 argument8 argument9 argument10 argument11 argument12 argument13 argument14 argument15 argument_count x y xprevious yprevious xstart ystart hspeed vspeed direction speed friction gravity gravity_direction path_index path_position path_positionprevious path_speed path_scale path_orientation path_endaction object_index id solid persistent mask_index instance_count instance_id room_speed fps fps_real current_time current_year current_month current_day current_weekday current_hour current_minute current_second alarm timeline_index timeline_position timeline_speed timeline_running timeline_loop room room_first room_last room_width room_height room_caption room_persistent score lives health show_score show_lives show_health caption_score caption_lives caption_health event_type event_number event_object event_action application_surface gamemaker_pro gamemaker_registered gamemaker_version error_occurred error_last debug_mode keyboard_key keyboard_lastkey keyboard_lastchar keyboard_string mouse_x mouse_y mouse_button mouse_lastbutton cursor_sprite visible sprite_index sprite_width sprite_height sprite_xoffset sprite_yoffset image_number image_index image_speed depth image_xscale image_yscale image_angle image_alpha image_blend bbox_left bbox_right bbox_top bbox_bottom layer background_colour background_showcolour background_color background_showcolor view_enabled view_current view_visible view_xview view_yview view_wview view_hview view_xport view_yport view_wport view_hport view_angle view_hborder view_vborder view_hspeed view_vspeed view_object view_surface_id view_camera game_id game_display_name game_project_name game_save_id working_directory temp_directory program_directory browser_width browser_height os_type os_device os_browser os_version display_aa async_load delta_time webgl_enabled event_data iap_data phy_rotation phy_position_x phy_position_y phy_angular_velocity phy_linear_velocity_x phy_linear_velocity_y phy_speed_x phy_speed_y phy_speed phy_angular_damping phy_linear_damping phy_bullet phy_fixed_rotation phy_active phy_mass phy_inertia phy_com_x phy_com_y phy_dynamic phy_kinematic phy_sleeping phy_collision_points phy_collision_x phy_collision_y phy_col_normal_x phy_col_normal_y phy_position_xprevious phy_position_yprevious"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}}}]);
+//# sourceMappingURL=gml.js.map?v=3e195b8b02db26ba5c7a \ No newline at end of file
diff --git a/js/highlight/gml.js.map b/js/highlight/gml.js.map
index aa548d781..bd9ebeeaa 100644
--- a/js/highlight/gml.js.map
+++ b/js/highlight/gml.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gml.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","built_in","literal","symbol","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GA01BxB,MAAO,CACLC,QAAS,CAAC,MAAO,OACjBC,kBAAkB,EAClBC,SA51BiB,CACjBA,SAAU,2KAGVC,SAAU,oslCA+lBVC,QAAS,+oXA4MTC,OAAQ,60EA+CRC,SAAU,CACRP,EAAKQ,oBACLR,EAAKS,qBACLT,EAAKU,iBACLV,EAAKW,kBACLX,EAAKY","file":"highlight/gml.js?v=8603d4cd1b8ec3850173","sourcesContent":["module.exports = function(hljs) {\n var GML_KEYWORDS = {\n keywords: 'begin end if then else while do for break continue with until ' +\n 'repeat exit and or xor not return mod div switch case default var ' +\n 'globalvar enum #macro #region #endregion',\n built_in: 'is_real is_string is_array is_undefined is_int32 is_int64 ' +\n 'is_ptr is_vec3 is_vec4 is_matrix is_bool typeof ' +\n 'variable_global_exists variable_global_get variable_global_set ' +\n 'variable_instance_exists variable_instance_get variable_instance_set ' +\n 'variable_instance_get_names array_length_1d array_length_2d ' +\n 'array_height_2d array_equals array_create array_copy random ' +\n 'random_range irandom irandom_range random_set_seed random_get_seed ' +\n 'randomize randomise choose abs round floor ceil sign frac sqrt sqr ' +\n 'exp ln log2 log10 sin cos tan arcsin arccos arctan arctan2 dsin dcos ' +\n 'dtan darcsin darccos darctan darctan2 degtorad radtodeg power logn ' +\n 'min max mean median clamp lerp dot_product dot_product_3d ' +\n 'dot_product_normalised dot_product_3d_normalised ' +\n 'dot_product_normalized dot_product_3d_normalized math_set_epsilon ' +\n 'math_get_epsilon angle_difference point_distance_3d point_distance ' +\n 'point_direction lengthdir_x lengthdir_y real string int64 ptr ' +\n 'string_format chr ansi_char ord string_length string_byte_length ' +\n 'string_pos string_copy string_char_at string_ord_at string_byte_at ' +\n 'string_set_byte_at string_delete string_insert string_lower ' +\n 'string_upper string_repeat string_letters string_digits ' +\n 'string_lettersdigits string_replace string_replace_all string_count ' +\n 'string_hash_to_newline clipboard_has_text clipboard_set_text ' +\n 'clipboard_get_text date_current_datetime date_create_datetime ' +\n 'date_valid_datetime date_inc_year date_inc_month date_inc_week ' +\n 'date_inc_day date_inc_hour date_inc_minute date_inc_second ' +\n 'date_get_year date_get_month date_get_week date_get_day ' +\n 'date_get_hour date_get_minute date_get_second date_get_weekday ' +\n 'date_get_day_of_year date_get_hour_of_year date_get_minute_of_year ' +\n 'date_get_second_of_year date_year_span date_month_span ' +\n 'date_week_span date_day_span date_hour_span date_minute_span ' +\n 'date_second_span date_compare_datetime date_compare_date ' +\n 'date_compare_time date_date_of date_time_of date_datetime_string ' +\n 'date_date_string date_time_string date_days_in_month ' +\n 'date_days_in_year date_leap_year date_is_today date_set_timezone ' +\n 'date_get_timezone game_set_speed game_get_speed motion_set ' +\n 'motion_add place_free place_empty place_meeting place_snapped ' +\n 'move_random move_snap move_towards_point move_contact_solid ' +\n 'move_contact_all move_outside_solid move_outside_all ' +\n 'move_bounce_solid move_bounce_all move_wrap distance_to_point ' +\n 'distance_to_object position_empty position_meeting path_start ' +\n 'path_end mp_linear_step mp_potential_step mp_linear_step_object ' +\n 'mp_potential_step_object mp_potential_settings mp_linear_path ' +\n 'mp_potential_path mp_linear_path_object mp_potential_path_object ' +\n 'mp_grid_create mp_grid_destroy mp_grid_clear_all mp_grid_clear_cell ' +\n 'mp_grid_clear_rectangle mp_grid_add_cell mp_grid_get_cell ' +\n 'mp_grid_add_rectangle mp_grid_add_instances mp_grid_path ' +\n 'mp_grid_draw mp_grid_to_ds_grid collision_point collision_rectangle ' +\n 'collision_circle collision_ellipse collision_line ' +\n 'collision_point_list collision_rectangle_list collision_circle_list ' +\n 'collision_ellipse_list collision_line_list instance_position_list ' +\n 'instance_place_list point_in_rectangle ' +\n 'point_in_triangle point_in_circle rectangle_in_rectangle ' +\n 'rectangle_in_triangle rectangle_in_circle instance_find ' +\n 'instance_exists instance_number instance_position instance_nearest ' +\n 'instance_furthest instance_place instance_create_depth ' +\n 'instance_create_layer instance_copy instance_change instance_destroy ' +\n 'position_destroy position_change instance_id_get ' +\n 'instance_deactivate_all instance_deactivate_object ' +\n 'instance_deactivate_region instance_activate_all ' +\n 'instance_activate_object instance_activate_region room_goto ' +\n 'room_goto_previous room_goto_next room_previous room_next ' +\n 'room_restart game_end game_restart game_load game_save ' +\n 'game_save_buffer game_load_buffer event_perform event_user ' +\n 'event_perform_object event_inherited show_debug_message ' +\n 'show_debug_overlay debug_event debug_get_callstack alarm_get ' +\n 'alarm_set font_texture_page_size keyboard_set_map keyboard_get_map ' +\n 'keyboard_unset_map keyboard_check keyboard_check_pressed ' +\n 'keyboard_check_released keyboard_check_direct keyboard_get_numlock ' +\n 'keyboard_set_numlock keyboard_key_press keyboard_key_release ' +\n 'keyboard_clear io_clear mouse_check_button ' +\n 'mouse_check_button_pressed mouse_check_button_released ' +\n 'mouse_wheel_up mouse_wheel_down mouse_clear draw_self draw_sprite ' +\n 'draw_sprite_pos draw_sprite_ext draw_sprite_stretched ' +\n 'draw_sprite_stretched_ext draw_sprite_tiled draw_sprite_tiled_ext ' +\n 'draw_sprite_part draw_sprite_part_ext draw_sprite_general draw_clear ' +\n 'draw_clear_alpha draw_point draw_line draw_line_width draw_rectangle ' +\n 'draw_roundrect draw_roundrect_ext draw_triangle draw_circle ' +\n 'draw_ellipse draw_set_circle_precision draw_arrow draw_button ' +\n 'draw_path draw_healthbar draw_getpixel draw_getpixel_ext ' +\n 'draw_set_colour draw_set_color draw_set_alpha draw_get_colour ' +\n 'draw_get_color draw_get_alpha merge_colour make_colour_rgb ' +\n 'make_colour_hsv colour_get_red colour_get_green colour_get_blue ' +\n 'colour_get_hue colour_get_saturation colour_get_value merge_color ' +\n 'make_color_rgb make_color_hsv color_get_red color_get_green ' +\n 'color_get_blue color_get_hue color_get_saturation color_get_value ' +\n 'merge_color screen_save screen_save_part draw_set_font ' +\n 'draw_set_halign draw_set_valign draw_text draw_text_ext string_width ' +\n 'string_height string_width_ext string_height_ext ' +\n 'draw_text_transformed draw_text_ext_transformed draw_text_colour ' +\n 'draw_text_ext_colour draw_text_transformed_colour ' +\n 'draw_text_ext_transformed_colour draw_text_color draw_text_ext_color ' +\n 'draw_text_transformed_color draw_text_ext_transformed_color ' +\n 'draw_point_colour draw_line_colour draw_line_width_colour ' +\n 'draw_rectangle_colour draw_roundrect_colour ' +\n 'draw_roundrect_colour_ext draw_triangle_colour draw_circle_colour ' +\n 'draw_ellipse_colour draw_point_color draw_line_color ' +\n 'draw_line_width_color draw_rectangle_color draw_roundrect_color ' +\n 'draw_roundrect_color_ext draw_triangle_color draw_circle_color ' +\n 'draw_ellipse_color draw_primitive_begin draw_vertex ' +\n 'draw_vertex_colour draw_vertex_color draw_primitive_end ' +\n 'sprite_get_uvs font_get_uvs sprite_get_texture font_get_texture ' +\n 'texture_get_width texture_get_height texture_get_uvs ' +\n 'draw_primitive_begin_texture draw_vertex_texture ' +\n 'draw_vertex_texture_colour draw_vertex_texture_color ' +\n 'texture_global_scale surface_create surface_create_ext ' +\n 'surface_resize surface_free surface_exists surface_get_width ' +\n 'surface_get_height surface_get_texture surface_set_target ' +\n 'surface_set_target_ext surface_reset_target surface_depth_disable ' +\n 'surface_get_depth_disable draw_surface draw_surface_stretched ' +\n 'draw_surface_tiled draw_surface_part draw_surface_ext ' +\n 'draw_surface_stretched_ext draw_surface_tiled_ext ' +\n 'draw_surface_part_ext draw_surface_general surface_getpixel ' +\n 'surface_getpixel_ext surface_save surface_save_part surface_copy ' +\n 'surface_copy_part application_surface_draw_enable ' +\n 'application_get_position application_surface_enable ' +\n 'application_surface_is_enabled display_get_width display_get_height ' +\n 'display_get_orientation display_get_gui_width display_get_gui_height ' +\n 'display_reset display_mouse_get_x display_mouse_get_y ' +\n 'display_mouse_set display_set_ui_visibility ' +\n 'window_set_fullscreen window_get_fullscreen ' +\n 'window_set_caption window_set_min_width window_set_max_width ' +\n 'window_set_min_height window_set_max_height window_get_visible_rects ' +\n 'window_get_caption window_set_cursor window_get_cursor ' +\n 'window_set_colour window_get_colour window_set_color ' +\n 'window_get_color window_set_position window_set_size ' +\n 'window_set_rectangle window_center window_get_x window_get_y ' +\n 'window_get_width window_get_height window_mouse_get_x ' +\n 'window_mouse_get_y window_mouse_set window_view_mouse_get_x ' +\n 'window_view_mouse_get_y window_views_mouse_get_x ' +\n 'window_views_mouse_get_y audio_listener_position ' +\n 'audio_listener_velocity audio_listener_orientation ' +\n 'audio_emitter_position audio_emitter_create audio_emitter_free ' +\n 'audio_emitter_exists audio_emitter_pitch audio_emitter_velocity ' +\n 'audio_emitter_falloff audio_emitter_gain audio_play_sound ' +\n 'audio_play_sound_on audio_play_sound_at audio_stop_sound ' +\n 'audio_resume_music audio_music_is_playing audio_resume_sound ' +\n 'audio_pause_sound audio_pause_music audio_channel_num ' +\n 'audio_sound_length audio_get_type audio_falloff_set_model ' +\n 'audio_play_music audio_stop_music audio_master_gain audio_music_gain ' +\n 'audio_sound_gain audio_sound_pitch audio_stop_all audio_resume_all ' +\n 'audio_pause_all audio_is_playing audio_is_paused audio_exists ' +\n 'audio_sound_set_track_position audio_sound_get_track_position ' +\n 'audio_emitter_get_gain audio_emitter_get_pitch audio_emitter_get_x ' +\n 'audio_emitter_get_y audio_emitter_get_z audio_emitter_get_vx ' +\n 'audio_emitter_get_vy audio_emitter_get_vz ' +\n 'audio_listener_set_position audio_listener_set_velocity ' +\n 'audio_listener_set_orientation audio_listener_get_data ' +\n 'audio_set_master_gain audio_get_master_gain audio_sound_get_gain ' +\n 'audio_sound_get_pitch audio_get_name audio_sound_set_track_position ' +\n 'audio_sound_get_track_position audio_create_stream ' +\n 'audio_destroy_stream audio_create_sync_group ' +\n 'audio_destroy_sync_group audio_play_in_sync_group ' +\n 'audio_start_sync_group audio_stop_sync_group audio_pause_sync_group ' +\n 'audio_resume_sync_group audio_sync_group_get_track_pos ' +\n 'audio_sync_group_debug audio_sync_group_is_playing audio_debug ' +\n 'audio_group_load audio_group_unload audio_group_is_loaded ' +\n 'audio_group_load_progress audio_group_name audio_group_stop_all ' +\n 'audio_group_set_gain audio_create_buffer_sound ' +\n 'audio_free_buffer_sound audio_create_play_queue ' +\n 'audio_free_play_queue audio_queue_sound audio_get_recorder_count ' +\n 'audio_get_recorder_info audio_start_recording audio_stop_recording ' +\n 'audio_sound_get_listener_mask audio_emitter_get_listener_mask ' +\n 'audio_get_listener_mask audio_sound_set_listener_mask ' +\n 'audio_emitter_set_listener_mask audio_set_listener_mask ' +\n 'audio_get_listener_count audio_get_listener_info audio_system ' +\n 'show_message show_message_async clickable_add clickable_add_ext ' +\n 'clickable_change clickable_change_ext clickable_delete ' +\n 'clickable_exists clickable_set_style show_question ' +\n 'show_question_async get_integer get_string get_integer_async ' +\n 'get_string_async get_login_async get_open_filename get_save_filename ' +\n 'get_open_filename_ext get_save_filename_ext show_error ' +\n 'highscore_clear highscore_add highscore_value highscore_name ' +\n 'draw_highscore sprite_exists sprite_get_name sprite_get_number ' +\n 'sprite_get_width sprite_get_height sprite_get_xoffset ' +\n 'sprite_get_yoffset sprite_get_bbox_left sprite_get_bbox_right ' +\n 'sprite_get_bbox_top sprite_get_bbox_bottom sprite_save ' +\n 'sprite_save_strip sprite_set_cache_size sprite_set_cache_size_ext ' +\n 'sprite_get_tpe sprite_prefetch sprite_prefetch_multi sprite_flush ' +\n 'sprite_flush_multi sprite_set_speed sprite_get_speed_type ' +\n 'sprite_get_speed font_exists font_get_name font_get_fontname ' +\n 'font_get_bold font_get_italic font_get_first font_get_last ' +\n 'font_get_size font_set_cache_size path_exists path_get_name ' +\n 'path_get_length path_get_time path_get_kind path_get_closed ' +\n 'path_get_precision path_get_number path_get_point_x path_get_point_y ' +\n 'path_get_point_speed path_get_x path_get_y path_get_speed ' +\n 'script_exists script_get_name timeline_add timeline_delete ' +\n 'timeline_clear timeline_exists timeline_get_name ' +\n 'timeline_moment_clear timeline_moment_add_script timeline_size ' +\n 'timeline_max_moment object_exists object_get_name object_get_sprite ' +\n 'object_get_solid object_get_visible object_get_persistent ' +\n 'object_get_mask object_get_parent object_get_physics ' +\n 'object_is_ancestor room_exists room_get_name sprite_set_offset ' +\n 'sprite_duplicate sprite_assign sprite_merge sprite_add ' +\n 'sprite_replace sprite_create_from_surface sprite_add_from_surface ' +\n 'sprite_delete sprite_set_alpha_from_sprite sprite_collision_mask ' +\n 'font_add_enable_aa font_add_get_enable_aa font_add font_add_sprite ' +\n 'font_add_sprite_ext font_replace font_replace_sprite ' +\n 'font_replace_sprite_ext font_delete path_set_kind path_set_closed ' +\n 'path_set_precision path_add path_assign path_duplicate path_append ' +\n 'path_delete path_add_point path_insert_point path_change_point ' +\n 'path_delete_point path_clear_points path_reverse path_mirror ' +\n 'path_flip path_rotate path_rescale path_shift script_execute ' +\n 'object_set_sprite object_set_solid object_set_visible ' +\n 'object_set_persistent object_set_mask room_set_width room_set_height ' +\n 'room_set_persistent room_set_background_colour ' +\n 'room_set_background_color room_set_view room_set_viewport ' +\n 'room_get_viewport room_set_view_enabled room_add room_duplicate ' +\n 'room_assign room_instance_add room_instance_clear room_get_camera ' +\n 'room_set_camera asset_get_index asset_get_type ' +\n 'file_text_open_from_string file_text_open_read file_text_open_write ' +\n 'file_text_open_append file_text_close file_text_write_string ' +\n 'file_text_write_real file_text_writeln file_text_read_string ' +\n 'file_text_read_real file_text_readln file_text_eof file_text_eoln ' +\n 'file_exists file_delete file_rename file_copy directory_exists ' +\n 'directory_create directory_destroy file_find_first file_find_next ' +\n 'file_find_close file_attributes filename_name filename_path ' +\n 'filename_dir filename_drive filename_ext filename_change_ext ' +\n 'file_bin_open file_bin_rewrite file_bin_close file_bin_position ' +\n 'file_bin_size file_bin_seek file_bin_write_byte file_bin_read_byte ' +\n 'parameter_count parameter_string environment_get_variable ' +\n 'ini_open_from_string ini_open ini_close ini_read_string ' +\n 'ini_read_real ini_write_string ini_write_real ini_key_exists ' +\n 'ini_section_exists ini_key_delete ini_section_delete ' +\n 'ds_set_precision ds_exists ds_stack_create ds_stack_destroy ' +\n 'ds_stack_clear ds_stack_copy ds_stack_size ds_stack_empty ' +\n 'ds_stack_push ds_stack_pop ds_stack_top ds_stack_write ds_stack_read ' +\n 'ds_queue_create ds_queue_destroy ds_queue_clear ds_queue_copy ' +\n 'ds_queue_size ds_queue_empty ds_queue_enqueue ds_queue_dequeue ' +\n 'ds_queue_head ds_queue_tail ds_queue_write ds_queue_read ' +\n 'ds_list_create ds_list_destroy ds_list_clear ds_list_copy ' +\n 'ds_list_size ds_list_empty ds_list_add ds_list_insert ' +\n 'ds_list_replace ds_list_delete ds_list_find_index ds_list_find_value ' +\n 'ds_list_mark_as_list ds_list_mark_as_map ds_list_sort ' +\n 'ds_list_shuffle ds_list_write ds_list_read ds_list_set ds_map_create ' +\n 'ds_map_destroy ds_map_clear ds_map_copy ds_map_size ds_map_empty ' +\n 'ds_map_add ds_map_add_list ds_map_add_map ds_map_replace ' +\n 'ds_map_replace_map ds_map_replace_list ds_map_delete ds_map_exists ' +\n 'ds_map_find_value ds_map_find_previous ds_map_find_next ' +\n 'ds_map_find_first ds_map_find_last ds_map_write ds_map_read ' +\n 'ds_map_secure_save ds_map_secure_load ds_map_secure_load_buffer ' +\n 'ds_map_secure_save_buffer ds_map_set ds_priority_create ' +\n 'ds_priority_destroy ds_priority_clear ds_priority_copy ' +\n 'ds_priority_size ds_priority_empty ds_priority_add ' +\n 'ds_priority_change_priority ds_priority_find_priority ' +\n 'ds_priority_delete_value ds_priority_delete_min ds_priority_find_min ' +\n 'ds_priority_delete_max ds_priority_find_max ds_priority_write ' +\n 'ds_priority_read ds_grid_create ds_grid_destroy ds_grid_copy ' +\n 'ds_grid_resize ds_grid_width ds_grid_height ds_grid_clear ' +\n 'ds_grid_set ds_grid_add ds_grid_multiply ds_grid_set_region ' +\n 'ds_grid_add_region ds_grid_multiply_region ds_grid_set_disk ' +\n 'ds_grid_add_disk ds_grid_multiply_disk ds_grid_set_grid_region ' +\n 'ds_grid_add_grid_region ds_grid_multiply_grid_region ds_grid_get ' +\n 'ds_grid_get_sum ds_grid_get_max ds_grid_get_min ds_grid_get_mean ' +\n 'ds_grid_get_disk_sum ds_grid_get_disk_min ds_grid_get_disk_max ' +\n 'ds_grid_get_disk_mean ds_grid_value_exists ds_grid_value_x ' +\n 'ds_grid_value_y ds_grid_value_disk_exists ds_grid_value_disk_x ' +\n 'ds_grid_value_disk_y ds_grid_shuffle ds_grid_write ds_grid_read ' +\n 'ds_grid_sort ds_grid_set ds_grid_get effect_create_below ' +\n 'effect_create_above effect_clear part_type_create part_type_destroy ' +\n 'part_type_exists part_type_clear part_type_shape part_type_sprite ' +\n 'part_type_size part_type_scale part_type_orientation part_type_life ' +\n 'part_type_step part_type_death part_type_speed part_type_direction ' +\n 'part_type_gravity part_type_colour1 part_type_colour2 ' +\n 'part_type_colour3 part_type_colour_mix part_type_colour_rgb ' +\n 'part_type_colour_hsv part_type_color1 part_type_color2 ' +\n 'part_type_color3 part_type_color_mix part_type_color_rgb ' +\n 'part_type_color_hsv part_type_alpha1 part_type_alpha2 ' +\n 'part_type_alpha3 part_type_blend part_system_create ' +\n 'part_system_create_layer part_system_destroy part_system_exists ' +\n 'part_system_clear part_system_draw_order part_system_depth ' +\n 'part_system_position part_system_automatic_update ' +\n 'part_system_automatic_draw part_system_update part_system_drawit ' +\n 'part_system_get_layer part_system_layer part_particles_create ' +\n 'part_particles_create_colour part_particles_create_color ' +\n 'part_particles_clear part_particles_count part_emitter_create ' +\n 'part_emitter_destroy part_emitter_destroy_all part_emitter_exists ' +\n 'part_emitter_clear part_emitter_region part_emitter_burst ' +\n 'part_emitter_stream external_call external_define external_free ' +\n 'window_handle window_device matrix_get matrix_set ' +\n 'matrix_build_identity matrix_build matrix_build_lookat ' +\n 'matrix_build_projection_ortho matrix_build_projection_perspective ' +\n 'matrix_build_projection_perspective_fov matrix_multiply ' +\n 'matrix_transform_vertex matrix_stack_push matrix_stack_pop ' +\n 'matrix_stack_multiply matrix_stack_set matrix_stack_clear ' +\n 'matrix_stack_top matrix_stack_is_empty browser_input_capture ' +\n 'os_get_config os_get_info os_get_language os_get_region ' +\n 'os_lock_orientation display_get_dpi_x display_get_dpi_y ' +\n 'display_set_gui_size display_set_gui_maximise ' +\n 'display_set_gui_maximize device_mouse_dbclick_enable ' +\n 'display_set_timing_method display_get_timing_method ' +\n 'display_set_sleep_margin display_get_sleep_margin virtual_key_add ' +\n 'virtual_key_hide virtual_key_delete virtual_key_show ' +\n 'draw_enable_drawevent draw_enable_swf_aa draw_set_swf_aa_level ' +\n 'draw_get_swf_aa_level draw_texture_flush draw_flush ' +\n 'gpu_set_blendenable gpu_set_ztestenable gpu_set_zfunc ' +\n 'gpu_set_zwriteenable gpu_set_lightingenable gpu_set_fog ' +\n 'gpu_set_cullmode gpu_set_blendmode gpu_set_blendmode_ext ' +\n 'gpu_set_blendmode_ext_sepalpha gpu_set_colorwriteenable ' +\n 'gpu_set_colourwriteenable gpu_set_alphatestenable ' +\n 'gpu_set_alphatestref gpu_set_alphatestfunc gpu_set_texfilter ' +\n 'gpu_set_texfilter_ext gpu_set_texrepeat gpu_set_texrepeat_ext ' +\n 'gpu_set_tex_filter gpu_set_tex_filter_ext gpu_set_tex_repeat ' +\n 'gpu_set_tex_repeat_ext gpu_set_tex_mip_filter ' +\n 'gpu_set_tex_mip_filter_ext gpu_set_tex_mip_bias ' +\n 'gpu_set_tex_mip_bias_ext gpu_set_tex_min_mip gpu_set_tex_min_mip_ext ' +\n 'gpu_set_tex_max_mip gpu_set_tex_max_mip_ext gpu_set_tex_max_aniso ' +\n 'gpu_set_tex_max_aniso_ext gpu_set_tex_mip_enable ' +\n 'gpu_set_tex_mip_enable_ext gpu_get_blendenable gpu_get_ztestenable ' +\n 'gpu_get_zfunc gpu_get_zwriteenable gpu_get_lightingenable ' +\n 'gpu_get_fog gpu_get_cullmode gpu_get_blendmode gpu_get_blendmode_ext ' +\n 'gpu_get_blendmode_ext_sepalpha gpu_get_blendmode_src ' +\n 'gpu_get_blendmode_dest gpu_get_blendmode_srcalpha ' +\n 'gpu_get_blendmode_destalpha gpu_get_colorwriteenable ' +\n 'gpu_get_colourwriteenable gpu_get_alphatestenable ' +\n 'gpu_get_alphatestref gpu_get_alphatestfunc gpu_get_texfilter ' +\n 'gpu_get_texfilter_ext gpu_get_texrepeat gpu_get_texrepeat_ext ' +\n 'gpu_get_tex_filter gpu_get_tex_filter_ext gpu_get_tex_repeat ' +\n 'gpu_get_tex_repeat_ext gpu_get_tex_mip_filter ' +\n 'gpu_get_tex_mip_filter_ext gpu_get_tex_mip_bias ' +\n 'gpu_get_tex_mip_bias_ext gpu_get_tex_min_mip gpu_get_tex_min_mip_ext ' +\n 'gpu_get_tex_max_mip gpu_get_tex_max_mip_ext gpu_get_tex_max_aniso ' +\n 'gpu_get_tex_max_aniso_ext gpu_get_tex_mip_enable ' +\n 'gpu_get_tex_mip_enable_ext gpu_push_state gpu_pop_state ' +\n 'gpu_get_state gpu_set_state draw_light_define_ambient ' +\n 'draw_light_define_direction draw_light_define_point ' +\n 'draw_light_enable draw_set_lighting draw_light_get_ambient ' +\n 'draw_light_get draw_get_lighting shop_leave_rating url_get_domain ' +\n 'url_open url_open_ext url_open_full get_timer achievement_login ' +\n 'achievement_logout achievement_post achievement_increment ' +\n 'achievement_post_score achievement_available ' +\n 'achievement_show_achievements achievement_show_leaderboards ' +\n 'achievement_load_friends achievement_load_leaderboard ' +\n 'achievement_send_challenge achievement_load_progress ' +\n 'achievement_reset achievement_login_status achievement_get_pic ' +\n 'achievement_show_challenge_notifications achievement_get_challenges ' +\n 'achievement_event achievement_show achievement_get_info ' +\n 'cloud_file_save cloud_string_save cloud_synchronise ads_enable ' +\n 'ads_disable ads_setup ads_engagement_launch ads_engagement_available ' +\n 'ads_engagement_active ads_event ads_event_preload ' +\n 'ads_set_reward_callback ads_get_display_height ads_get_display_width ' +\n 'ads_move ads_interstitial_available ads_interstitial_display ' +\n 'device_get_tilt_x device_get_tilt_y device_get_tilt_z ' +\n 'device_is_keypad_open device_mouse_check_button ' +\n 'device_mouse_check_button_pressed device_mouse_check_button_released ' +\n 'device_mouse_x device_mouse_y device_mouse_raw_x device_mouse_raw_y ' +\n 'device_mouse_x_to_gui device_mouse_y_to_gui iap_activate iap_status ' +\n 'iap_enumerate_products iap_restore_all iap_acquire iap_consume ' +\n 'iap_product_details iap_purchase_details facebook_init ' +\n 'facebook_login facebook_status facebook_graph_request ' +\n 'facebook_dialog facebook_logout facebook_launch_offerwall ' +\n 'facebook_post_message facebook_send_invite facebook_user_id ' +\n 'facebook_accesstoken facebook_check_permission ' +\n 'facebook_request_read_permissions ' +\n 'facebook_request_publish_permissions gamepad_is_supported ' +\n 'gamepad_get_device_count gamepad_is_connected ' +\n 'gamepad_get_description gamepad_get_button_threshold ' +\n 'gamepad_set_button_threshold gamepad_get_axis_deadzone ' +\n 'gamepad_set_axis_deadzone gamepad_button_count gamepad_button_check ' +\n 'gamepad_button_check_pressed gamepad_button_check_released ' +\n 'gamepad_button_value gamepad_axis_count gamepad_axis_value ' +\n 'gamepad_set_vibration gamepad_set_colour gamepad_set_color ' +\n 'os_is_paused window_has_focus code_is_compiled http_get ' +\n 'http_get_file http_post_string http_request json_encode json_decode ' +\n 'zip_unzip load_csv base64_encode base64_decode md5_string_unicode ' +\n 'md5_string_utf8 md5_file os_is_network_connected sha1_string_unicode ' +\n 'sha1_string_utf8 sha1_file os_powersave_enable analytics_event ' +\n 'analytics_event_ext win8_livetile_tile_notification ' +\n 'win8_livetile_tile_clear win8_livetile_badge_notification ' +\n 'win8_livetile_badge_clear win8_livetile_queue_enable ' +\n 'win8_secondarytile_pin win8_secondarytile_badge_notification ' +\n 'win8_secondarytile_delete win8_livetile_notification_begin ' +\n 'win8_livetile_notification_secondary_begin ' +\n 'win8_livetile_notification_expiry win8_livetile_notification_tag ' +\n 'win8_livetile_notification_text_add ' +\n 'win8_livetile_notification_image_add win8_livetile_notification_end ' +\n 'win8_appbar_enable win8_appbar_add_element ' +\n 'win8_appbar_remove_element win8_settingscharm_add_entry ' +\n 'win8_settingscharm_add_html_entry win8_settingscharm_add_xaml_entry ' +\n 'win8_settingscharm_set_xaml_property ' +\n 'win8_settingscharm_get_xaml_property win8_settingscharm_remove_entry ' +\n 'win8_share_image win8_share_screenshot win8_share_file ' +\n 'win8_share_url win8_share_text win8_search_enable ' +\n 'win8_search_disable win8_search_add_suggestions ' +\n 'win8_device_touchscreen_available win8_license_initialize_sandbox ' +\n 'win8_license_trial_version winphone_license_trial_version ' +\n 'winphone_tile_title winphone_tile_count winphone_tile_back_title ' +\n 'winphone_tile_back_content winphone_tile_back_content_wide ' +\n 'winphone_tile_front_image winphone_tile_front_image_small ' +\n 'winphone_tile_front_image_wide winphone_tile_back_image ' +\n 'winphone_tile_back_image_wide winphone_tile_background_colour ' +\n 'winphone_tile_background_color winphone_tile_icon_image ' +\n 'winphone_tile_small_icon_image winphone_tile_wide_content ' +\n 'winphone_tile_cycle_images winphone_tile_small_background_image ' +\n 'physics_world_create physics_world_gravity ' +\n 'physics_world_update_speed physics_world_update_iterations ' +\n 'physics_world_draw_debug physics_pause_enable physics_fixture_create ' +\n 'physics_fixture_set_kinematic physics_fixture_set_density ' +\n 'physics_fixture_set_awake physics_fixture_set_restitution ' +\n 'physics_fixture_set_friction physics_fixture_set_collision_group ' +\n 'physics_fixture_set_sensor physics_fixture_set_linear_damping ' +\n 'physics_fixture_set_angular_damping physics_fixture_set_circle_shape ' +\n 'physics_fixture_set_box_shape physics_fixture_set_edge_shape ' +\n 'physics_fixture_set_polygon_shape physics_fixture_set_chain_shape ' +\n 'physics_fixture_add_point physics_fixture_bind ' +\n 'physics_fixture_bind_ext physics_fixture_delete physics_apply_force ' +\n 'physics_apply_impulse physics_apply_angular_impulse ' +\n 'physics_apply_local_force physics_apply_local_impulse ' +\n 'physics_apply_torque physics_mass_properties physics_draw_debug ' +\n 'physics_test_overlap physics_remove_fixture physics_set_friction ' +\n 'physics_set_density physics_set_restitution physics_get_friction ' +\n 'physics_get_density physics_get_restitution ' +\n 'physics_joint_distance_create physics_joint_rope_create ' +\n 'physics_joint_revolute_create physics_joint_prismatic_create ' +\n 'physics_joint_pulley_create physics_joint_wheel_create ' +\n 'physics_joint_weld_create physics_joint_friction_create ' +\n 'physics_joint_gear_create physics_joint_enable_motor ' +\n 'physics_joint_get_value physics_joint_set_value physics_joint_delete ' +\n 'physics_particle_create physics_particle_delete ' +\n 'physics_particle_delete_region_circle ' +\n 'physics_particle_delete_region_box ' +\n 'physics_particle_delete_region_poly physics_particle_set_flags ' +\n 'physics_particle_set_category_flags physics_particle_draw ' +\n 'physics_particle_draw_ext physics_particle_count ' +\n 'physics_particle_get_data physics_particle_get_data_particle ' +\n 'physics_particle_group_begin physics_particle_group_circle ' +\n 'physics_particle_group_box physics_particle_group_polygon ' +\n 'physics_particle_group_add_point physics_particle_group_end ' +\n 'physics_particle_group_join physics_particle_group_delete ' +\n 'physics_particle_group_count physics_particle_group_get_data ' +\n 'physics_particle_group_get_mass physics_particle_group_get_inertia ' +\n 'physics_particle_group_get_centre_x ' +\n 'physics_particle_group_get_centre_y physics_particle_group_get_vel_x ' +\n 'physics_particle_group_get_vel_y physics_particle_group_get_ang_vel ' +\n 'physics_particle_group_get_x physics_particle_group_get_y ' +\n 'physics_particle_group_get_angle physics_particle_set_group_flags ' +\n 'physics_particle_get_group_flags physics_particle_get_max_count ' +\n 'physics_particle_get_radius physics_particle_get_density ' +\n 'physics_particle_get_damping physics_particle_get_gravity_scale ' +\n 'physics_particle_set_max_count physics_particle_set_radius ' +\n 'physics_particle_set_density physics_particle_set_damping ' +\n 'physics_particle_set_gravity_scale network_create_socket ' +\n 'network_create_socket_ext network_create_server ' +\n 'network_create_server_raw network_connect network_connect_raw ' +\n 'network_send_packet network_send_raw network_send_broadcast ' +\n 'network_send_udp network_send_udp_raw network_set_timeout ' +\n 'network_set_config network_resolve network_destroy buffer_create ' +\n 'buffer_write buffer_read buffer_seek buffer_get_surface ' +\n 'buffer_set_surface buffer_delete buffer_exists buffer_get_type ' +\n 'buffer_get_alignment buffer_poke buffer_peek buffer_save ' +\n 'buffer_save_ext buffer_load buffer_load_ext buffer_load_partial ' +\n 'buffer_copy buffer_fill buffer_get_size buffer_tell buffer_resize ' +\n 'buffer_md5 buffer_sha1 buffer_base64_encode buffer_base64_decode ' +\n 'buffer_base64_decode_ext buffer_sizeof buffer_get_address ' +\n 'buffer_create_from_vertex_buffer ' +\n 'buffer_create_from_vertex_buffer_ext buffer_copy_from_vertex_buffer ' +\n 'buffer_async_group_begin buffer_async_group_option ' +\n 'buffer_async_group_end buffer_load_async buffer_save_async ' +\n 'gml_release_mode gml_pragma steam_activate_overlay ' +\n 'steam_is_overlay_enabled steam_is_overlay_activated ' +\n 'steam_get_persona_name steam_initialised ' +\n 'steam_is_cloud_enabled_for_app steam_is_cloud_enabled_for_account ' +\n 'steam_file_persisted steam_get_quota_total steam_get_quota_free ' +\n 'steam_file_write steam_file_write_file steam_file_read ' +\n 'steam_file_delete steam_file_exists steam_file_size steam_file_share ' +\n 'steam_is_screenshot_requested steam_send_screenshot ' +\n 'steam_is_user_logged_on steam_get_user_steam_id steam_user_owns_dlc ' +\n 'steam_user_installed_dlc steam_set_achievement steam_get_achievement ' +\n 'steam_clear_achievement steam_set_stat_int steam_set_stat_float ' +\n 'steam_set_stat_avg_rate steam_get_stat_int steam_get_stat_float ' +\n 'steam_get_stat_avg_rate steam_reset_all_stats ' +\n 'steam_reset_all_stats_achievements steam_stats_ready ' +\n 'steam_create_leaderboard steam_upload_score steam_upload_score_ext ' +\n 'steam_download_scores_around_user steam_download_scores ' +\n 'steam_download_friends_scores steam_upload_score_buffer ' +\n 'steam_upload_score_buffer_ext steam_current_game_language ' +\n 'steam_available_languages steam_activate_overlay_browser ' +\n 'steam_activate_overlay_user steam_activate_overlay_store ' +\n 'steam_get_user_persona_name steam_get_app_id ' +\n 'steam_get_user_account_id steam_ugc_download steam_ugc_create_item ' +\n 'steam_ugc_start_item_update steam_ugc_set_item_title ' +\n 'steam_ugc_set_item_description steam_ugc_set_item_visibility ' +\n 'steam_ugc_set_item_tags steam_ugc_set_item_content ' +\n 'steam_ugc_set_item_preview steam_ugc_submit_item_update ' +\n 'steam_ugc_get_item_update_progress steam_ugc_subscribe_item ' +\n 'steam_ugc_unsubscribe_item steam_ugc_num_subscribed_items ' +\n 'steam_ugc_get_subscribed_items steam_ugc_get_item_install_info ' +\n 'steam_ugc_get_item_update_info steam_ugc_request_item_details ' +\n 'steam_ugc_create_query_user steam_ugc_create_query_user_ex ' +\n 'steam_ugc_create_query_all steam_ugc_create_query_all_ex ' +\n 'steam_ugc_query_set_cloud_filename_filter ' +\n 'steam_ugc_query_set_match_any_tag steam_ugc_query_set_search_text ' +\n 'steam_ugc_query_set_ranked_by_trend_days ' +\n 'steam_ugc_query_add_required_tag steam_ugc_query_add_excluded_tag ' +\n 'steam_ugc_query_set_return_long_description ' +\n 'steam_ugc_query_set_return_total_only ' +\n 'steam_ugc_query_set_allow_cached_response steam_ugc_send_query ' +\n 'shader_set shader_get_name shader_reset shader_current ' +\n 'shader_is_compiled shader_get_sampler_index shader_get_uniform ' +\n 'shader_set_uniform_i shader_set_uniform_i_array shader_set_uniform_f ' +\n 'shader_set_uniform_f_array shader_set_uniform_matrix ' +\n 'shader_set_uniform_matrix_array shader_enable_corner_id ' +\n 'texture_set_stage texture_get_texel_width texture_get_texel_height ' +\n 'shaders_are_supported vertex_format_begin vertex_format_end ' +\n 'vertex_format_delete vertex_format_add_position ' +\n 'vertex_format_add_position_3d vertex_format_add_colour ' +\n 'vertex_format_add_color vertex_format_add_normal ' +\n 'vertex_format_add_texcoord vertex_format_add_textcoord ' +\n 'vertex_format_add_custom vertex_create_buffer ' +\n 'vertex_create_buffer_ext vertex_delete_buffer vertex_begin ' +\n 'vertex_end vertex_position vertex_position_3d vertex_colour ' +\n 'vertex_color vertex_argb vertex_texcoord vertex_normal vertex_float1 ' +\n 'vertex_float2 vertex_float3 vertex_float4 vertex_ubyte4 ' +\n 'vertex_submit vertex_freeze vertex_get_number vertex_get_buffer_size ' +\n 'vertex_create_buffer_from_buffer ' +\n 'vertex_create_buffer_from_buffer_ext push_local_notification ' +\n 'push_get_first_local_notification push_get_next_local_notification ' +\n 'push_cancel_local_notification skeleton_animation_set ' +\n 'skeleton_animation_get skeleton_animation_mix ' +\n 'skeleton_animation_set_ext skeleton_animation_get_ext ' +\n 'skeleton_animation_get_duration skeleton_animation_get_frames ' +\n 'skeleton_animation_clear skeleton_skin_set skeleton_skin_get ' +\n 'skeleton_attachment_set skeleton_attachment_get ' +\n 'skeleton_attachment_create skeleton_collision_draw_set ' +\n 'skeleton_bone_data_get skeleton_bone_data_set ' +\n 'skeleton_bone_state_get skeleton_bone_state_set skeleton_get_minmax ' +\n 'skeleton_get_num_bounds skeleton_get_bounds ' +\n 'skeleton_animation_get_frame skeleton_animation_set_frame ' +\n 'draw_skeleton draw_skeleton_time draw_skeleton_instance ' +\n 'draw_skeleton_collision skeleton_animation_list skeleton_skin_list ' +\n 'skeleton_slot_data layer_get_id layer_get_id_at_depth ' +\n 'layer_get_depth layer_create layer_destroy layer_destroy_instances ' +\n 'layer_add_instance layer_has_instance layer_set_visible ' +\n 'layer_get_visible layer_exists layer_x layer_y layer_get_x ' +\n 'layer_get_y layer_hspeed layer_vspeed layer_get_hspeed ' +\n 'layer_get_vspeed layer_script_begin layer_script_end layer_shader ' +\n 'layer_get_script_begin layer_get_script_end layer_get_shader ' +\n 'layer_set_target_room layer_get_target_room layer_reset_target_room ' +\n 'layer_get_all layer_get_all_elements layer_get_name layer_depth ' +\n 'layer_get_element_layer layer_get_element_type layer_element_move ' +\n 'layer_force_draw_depth layer_is_draw_depth_forced ' +\n 'layer_get_forced_depth layer_background_get_id ' +\n 'layer_background_exists layer_background_create ' +\n 'layer_background_destroy layer_background_visible ' +\n 'layer_background_change layer_background_sprite ' +\n 'layer_background_htiled layer_background_vtiled ' +\n 'layer_background_stretch layer_background_yscale ' +\n 'layer_background_xscale layer_background_blend ' +\n 'layer_background_alpha layer_background_index layer_background_speed ' +\n 'layer_background_get_visible layer_background_get_sprite ' +\n 'layer_background_get_htiled layer_background_get_vtiled ' +\n 'layer_background_get_stretch layer_background_get_yscale ' +\n 'layer_background_get_xscale layer_background_get_blend ' +\n 'layer_background_get_alpha layer_background_get_index ' +\n 'layer_background_get_speed layer_sprite_get_id layer_sprite_exists ' +\n 'layer_sprite_create layer_sprite_destroy layer_sprite_change ' +\n 'layer_sprite_index layer_sprite_speed layer_sprite_xscale ' +\n 'layer_sprite_yscale layer_sprite_angle layer_sprite_blend ' +\n 'layer_sprite_alpha layer_sprite_x layer_sprite_y ' +\n 'layer_sprite_get_sprite layer_sprite_get_index ' +\n 'layer_sprite_get_speed layer_sprite_get_xscale ' +\n 'layer_sprite_get_yscale layer_sprite_get_angle ' +\n 'layer_sprite_get_blend layer_sprite_get_alpha layer_sprite_get_x ' +\n 'layer_sprite_get_y layer_tilemap_get_id layer_tilemap_exists ' +\n 'layer_tilemap_create layer_tilemap_destroy tilemap_tileset tilemap_x ' +\n 'tilemap_y tilemap_set tilemap_set_at_pixel tilemap_get_tileset ' +\n 'tilemap_get_tile_width tilemap_get_tile_height tilemap_get_width ' +\n 'tilemap_get_height tilemap_get_x tilemap_get_y tilemap_get ' +\n 'tilemap_get_at_pixel tilemap_get_cell_x_at_pixel ' +\n 'tilemap_get_cell_y_at_pixel tilemap_clear draw_tilemap draw_tile ' +\n 'tilemap_set_global_mask tilemap_get_global_mask tilemap_set_mask ' +\n 'tilemap_get_mask tilemap_get_frame tile_set_empty tile_set_index ' +\n 'tile_set_flip tile_set_mirror tile_set_rotate tile_get_empty ' +\n 'tile_get_index tile_get_flip tile_get_mirror tile_get_rotate ' +\n 'layer_tile_exists layer_tile_create layer_tile_destroy ' +\n 'layer_tile_change layer_tile_xscale layer_tile_yscale ' +\n 'layer_tile_blend layer_tile_alpha layer_tile_x layer_tile_y ' +\n 'layer_tile_region layer_tile_visible layer_tile_get_sprite ' +\n 'layer_tile_get_xscale layer_tile_get_yscale layer_tile_get_blend ' +\n 'layer_tile_get_alpha layer_tile_get_x layer_tile_get_y ' +\n 'layer_tile_get_region layer_tile_get_visible ' +\n 'layer_instance_get_instance instance_activate_layer ' +\n 'instance_deactivate_layer camera_create camera_create_view ' +\n 'camera_destroy camera_apply camera_get_active camera_get_default ' +\n 'camera_set_default camera_set_view_mat camera_set_proj_mat ' +\n 'camera_set_update_script camera_set_begin_script ' +\n 'camera_set_end_script camera_set_view_pos camera_set_view_size ' +\n 'camera_set_view_speed camera_set_view_border camera_set_view_angle ' +\n 'camera_set_view_target camera_get_view_mat camera_get_proj_mat ' +\n 'camera_get_update_script camera_get_begin_script ' +\n 'camera_get_end_script camera_get_view_x camera_get_view_y ' +\n 'camera_get_view_width camera_get_view_height camera_get_view_speed_x ' +\n 'camera_get_view_speed_y camera_get_view_border_x ' +\n 'camera_get_view_border_y camera_get_view_angle ' +\n 'camera_get_view_target view_get_camera view_get_visible ' +\n 'view_get_xport view_get_yport view_get_wport view_get_hport ' +\n 'view_get_surface_id view_set_camera view_set_visible view_set_xport ' +\n 'view_set_yport view_set_wport view_set_hport view_set_surface_id ' +\n 'gesture_drag_time gesture_drag_distance gesture_flick_speed ' +\n 'gesture_double_tap_time gesture_double_tap_distance ' +\n 'gesture_pinch_distance gesture_pinch_angle_towards ' +\n 'gesture_pinch_angle_away gesture_rotate_time gesture_rotate_angle ' +\n 'gesture_tap_count gesture_get_drag_time gesture_get_drag_distance ' +\n 'gesture_get_flick_speed gesture_get_double_tap_time ' +\n 'gesture_get_double_tap_distance gesture_get_pinch_distance ' +\n 'gesture_get_pinch_angle_towards gesture_get_pinch_angle_away ' +\n 'gesture_get_rotate_time gesture_get_rotate_angle ' +\n 'gesture_get_tap_count keyboard_virtual_show keyboard_virtual_hide ' +\n 'keyboard_virtual_status keyboard_virtual_height',\n literal: 'self other all noone global local undefined pointer_invalid ' +\n 'pointer_null path_action_stop path_action_restart ' +\n 'path_action_continue path_action_reverse true false pi GM_build_date ' +\n 'GM_version GM_runtime_version timezone_local timezone_utc ' +\n 'gamespeed_fps gamespeed_microseconds ev_create ev_destroy ev_step ' +\n 'ev_alarm ev_keyboard ev_mouse ev_collision ev_other ev_draw ' +\n 'ev_draw_begin ev_draw_end ev_draw_pre ev_draw_post ev_keypress ' +\n 'ev_keyrelease ev_trigger ev_left_button ev_right_button ' +\n 'ev_middle_button ev_no_button ev_left_press ev_right_press ' +\n 'ev_middle_press ev_left_release ev_right_release ev_middle_release ' +\n 'ev_mouse_enter ev_mouse_leave ev_mouse_wheel_up ev_mouse_wheel_down ' +\n 'ev_global_left_button ev_global_right_button ev_global_middle_button ' +\n 'ev_global_left_press ev_global_right_press ev_global_middle_press ' +\n 'ev_global_left_release ev_global_right_release ' +\n 'ev_global_middle_release ev_joystick1_left ev_joystick1_right ' +\n 'ev_joystick1_up ev_joystick1_down ev_joystick1_button1 ' +\n 'ev_joystick1_button2 ev_joystick1_button3 ev_joystick1_button4 ' +\n 'ev_joystick1_button5 ev_joystick1_button6 ev_joystick1_button7 ' +\n 'ev_joystick1_button8 ev_joystick2_left ev_joystick2_right ' +\n 'ev_joystick2_up ev_joystick2_down ev_joystick2_button1 ' +\n 'ev_joystick2_button2 ev_joystick2_button3 ev_joystick2_button4 ' +\n 'ev_joystick2_button5 ev_joystick2_button6 ev_joystick2_button7 ' +\n 'ev_joystick2_button8 ev_outside ev_boundary ev_game_start ' +\n 'ev_game_end ev_room_start ev_room_end ev_no_more_lives ' +\n 'ev_animation_end ev_end_of_path ev_no_more_health ev_close_button ' +\n 'ev_user0 ev_user1 ev_user2 ev_user3 ev_user4 ev_user5 ev_user6 ' +\n 'ev_user7 ev_user8 ev_user9 ev_user10 ev_user11 ev_user12 ev_user13 ' +\n 'ev_user14 ev_user15 ev_step_normal ev_step_begin ev_step_end ev_gui ' +\n 'ev_gui_begin ev_gui_end ev_cleanup ev_gesture ev_gesture_tap ' +\n 'ev_gesture_double_tap ev_gesture_drag_start ev_gesture_dragging ' +\n 'ev_gesture_drag_end ev_gesture_flick ev_gesture_pinch_start ' +\n 'ev_gesture_pinch_in ev_gesture_pinch_out ev_gesture_pinch_end ' +\n 'ev_gesture_rotate_start ev_gesture_rotating ev_gesture_rotate_end ' +\n 'ev_global_gesture_tap ev_global_gesture_double_tap ' +\n 'ev_global_gesture_drag_start ev_global_gesture_dragging ' +\n 'ev_global_gesture_drag_end ev_global_gesture_flick ' +\n 'ev_global_gesture_pinch_start ev_global_gesture_pinch_in ' +\n 'ev_global_gesture_pinch_out ev_global_gesture_pinch_end ' +\n 'ev_global_gesture_rotate_start ev_global_gesture_rotating ' +\n 'ev_global_gesture_rotate_end vk_nokey vk_anykey vk_enter vk_return ' +\n 'vk_shift vk_control vk_alt vk_escape vk_space vk_backspace vk_tab ' +\n 'vk_pause vk_printscreen vk_left vk_right vk_up vk_down vk_home ' +\n 'vk_end vk_delete vk_insert vk_pageup vk_pagedown vk_f1 vk_f2 vk_f3 ' +\n 'vk_f4 vk_f5 vk_f6 vk_f7 vk_f8 vk_f9 vk_f10 vk_f11 vk_f12 vk_numpad0 ' +\n 'vk_numpad1 vk_numpad2 vk_numpad3 vk_numpad4 vk_numpad5 vk_numpad6 ' +\n 'vk_numpad7 vk_numpad8 vk_numpad9 vk_divide vk_multiply vk_subtract ' +\n 'vk_add vk_decimal vk_lshift vk_lcontrol vk_lalt vk_rshift ' +\n 'vk_rcontrol vk_ralt mb_any mb_none mb_left mb_right mb_middle ' +\n 'c_aqua c_black c_blue c_dkgray c_fuchsia c_gray c_green c_lime ' +\n 'c_ltgray c_maroon c_navy c_olive c_purple c_red c_silver c_teal ' +\n 'c_white c_yellow c_orange fa_left fa_center fa_right fa_top ' +\n 'fa_middle fa_bottom pr_pointlist pr_linelist pr_linestrip ' +\n 'pr_trianglelist pr_trianglestrip pr_trianglefan bm_complex bm_normal ' +\n 'bm_add bm_max bm_subtract bm_zero bm_one bm_src_colour ' +\n 'bm_inv_src_colour bm_src_color bm_inv_src_color bm_src_alpha ' +\n 'bm_inv_src_alpha bm_dest_alpha bm_inv_dest_alpha bm_dest_colour ' +\n 'bm_inv_dest_colour bm_dest_color bm_inv_dest_color bm_src_alpha_sat ' +\n 'tf_point tf_linear tf_anisotropic mip_off mip_on mip_markedonly ' +\n 'audio_falloff_none audio_falloff_inverse_distance ' +\n 'audio_falloff_inverse_distance_clamped audio_falloff_linear_distance ' +\n 'audio_falloff_linear_distance_clamped ' +\n 'audio_falloff_exponent_distance ' +\n 'audio_falloff_exponent_distance_clamped audio_old_system ' +\n 'audio_new_system audio_mono audio_stereo audio_3d cr_default cr_none ' +\n 'cr_arrow cr_cross cr_beam cr_size_nesw cr_size_ns cr_size_nwse ' +\n 'cr_size_we cr_uparrow cr_hourglass cr_drag cr_appstart cr_handpoint ' +\n 'cr_size_all spritespeed_framespersecond ' +\n 'spritespeed_framespergameframe asset_object asset_unknown ' +\n 'asset_sprite asset_sound asset_room asset_path asset_script ' +\n 'asset_font asset_timeline asset_tiles asset_shader fa_readonly ' +\n 'fa_hidden fa_sysfile fa_volumeid fa_directory fa_archive ' +\n 'ds_type_map ds_type_list ds_type_stack ds_type_queue ds_type_grid ' +\n 'ds_type_priority ef_explosion ef_ring ef_ellipse ef_firework ' +\n 'ef_smoke ef_smokeup ef_star ef_spark ef_flare ef_cloud ef_rain ' +\n 'ef_snow pt_shape_pixel pt_shape_disk pt_shape_square pt_shape_line ' +\n 'pt_shape_star pt_shape_circle pt_shape_ring pt_shape_sphere ' +\n 'pt_shape_flare pt_shape_spark pt_shape_explosion pt_shape_cloud ' +\n 'pt_shape_smoke pt_shape_snow ps_distr_linear ps_distr_gaussian ' +\n 'ps_distr_invgaussian ps_shape_rectangle ps_shape_ellipse ' +\n 'ps_shape_diamond ps_shape_line ty_real ty_string dll_cdecl ' +\n 'dll_stdcall matrix_view matrix_projection matrix_world os_win32 ' +\n 'os_windows os_macosx os_ios os_android os_symbian os_linux ' +\n 'os_unknown os_winphone os_tizen os_win8native ' +\n 'os_wiiu os_3ds os_psvita os_bb10 os_ps4 os_xboxone ' +\n 'os_ps3 os_xbox360 os_uwp os_tvos os_switch ' +\n 'browser_not_a_browser browser_unknown browser_ie browser_firefox ' +\n 'browser_chrome browser_safari browser_safari_mobile browser_opera ' +\n 'browser_tizen browser_edge browser_windows_store browser_ie_mobile ' +\n 'device_ios_unknown device_ios_iphone device_ios_iphone_retina ' +\n 'device_ios_ipad device_ios_ipad_retina device_ios_iphone5 ' +\n 'device_ios_iphone6 device_ios_iphone6plus device_emulator ' +\n 'device_tablet display_landscape display_landscape_flipped ' +\n 'display_portrait display_portrait_flipped tm_sleep tm_countvsyncs ' +\n 'of_challenge_win of_challen ge_lose of_challenge_tie ' +\n 'leaderboard_type_number leaderboard_type_time_mins_secs ' +\n 'cmpfunc_never cmpfunc_less cmpfunc_equal cmpfunc_lessequal ' +\n 'cmpfunc_greater cmpfunc_notequal cmpfunc_greaterequal cmpfunc_always ' +\n 'cull_noculling cull_clockwise cull_counterclockwise lighttype_dir ' +\n 'lighttype_point iap_ev_storeload iap_ev_product iap_ev_purchase ' +\n 'iap_ev_consume iap_ev_restore iap_storeload_ok iap_storeload_failed ' +\n 'iap_status_uninitialised iap_status_unavailable iap_status_loading ' +\n 'iap_status_available iap_status_processing iap_status_restoring ' +\n 'iap_failed iap_unavailable iap_available iap_purchased iap_canceled ' +\n 'iap_refunded fb_login_default fb_login_fallback_to_webview ' +\n 'fb_login_no_fallback_to_webview fb_login_forcing_webview ' +\n 'fb_login_use_system_account fb_login_forcing_safari ' +\n 'phy_joint_anchor_1_x phy_joint_anchor_1_y phy_joint_anchor_2_x ' +\n 'phy_joint_anchor_2_y phy_joint_reaction_force_x ' +\n 'phy_joint_reaction_force_y phy_joint_reaction_torque ' +\n 'phy_joint_motor_speed phy_joint_angle phy_joint_motor_torque ' +\n 'phy_joint_max_motor_torque phy_joint_translation phy_joint_speed ' +\n 'phy_joint_motor_force phy_joint_max_motor_force phy_joint_length_1 ' +\n 'phy_joint_length_2 phy_joint_damping_ratio phy_joint_frequency ' +\n 'phy_joint_lower_angle_limit phy_joint_upper_angle_limit ' +\n 'phy_joint_angle_limits phy_joint_max_length phy_joint_max_torque ' +\n 'phy_joint_max_force phy_debug_render_aabb ' +\n 'phy_debug_render_collision_pairs phy_debug_render_coms ' +\n 'phy_debug_render_core_shapes phy_debug_render_joints ' +\n 'phy_debug_render_obb phy_debug_render_shapes ' +\n 'phy_particle_flag_water phy_particle_flag_zombie ' +\n 'phy_particle_flag_wall phy_particle_flag_spring ' +\n 'phy_particle_flag_elastic phy_particle_flag_viscous ' +\n 'phy_particle_flag_powder phy_particle_flag_tensile ' +\n 'phy_particle_flag_colourmixing phy_particle_flag_colormixing ' +\n 'phy_particle_group_flag_solid phy_particle_group_flag_rigid ' +\n 'phy_particle_data_flag_typeflags phy_particle_data_flag_position ' +\n 'phy_particle_data_flag_velocity phy_particle_data_flag_colour ' +\n 'phy_particle_data_flag_color phy_particle_data_flag_category ' +\n 'achievement_our_info achievement_friends_info ' +\n 'achievement_leaderboard_info achievement_achievement_info ' +\n 'achievement_filter_all_players achievement_filter_friends_only ' +\n 'achievement_filter_favorites_only ' +\n 'achievement_type_achievement_challenge ' +\n 'achievement_type_score_challenge achievement_pic_loaded ' +\n 'achievement_show_ui achievement_show_profile ' +\n 'achievement_show_leaderboard achievement_show_achievement ' +\n 'achievement_show_bank achievement_show_friend_picker ' +\n 'achievement_show_purchase_prompt network_socket_tcp ' +\n 'network_socket_udp network_socket_bluetooth network_type_connect ' +\n 'network_type_disconnect network_type_data ' +\n 'network_type_non_blocking_connect network_config_connect_timeout ' +\n 'network_config_use_non_blocking_socket ' +\n 'network_config_enable_reliable_udp ' +\n 'network_config_disable_reliable_udp buffer_fixed buffer_grow ' +\n 'buffer_wrap buffer_fast buffer_vbuffer buffer_network buffer_u8 ' +\n 'buffer_s8 buffer_u16 buffer_s16 buffer_u32 buffer_s32 buffer_u64 ' +\n 'buffer_f16 buffer_f32 buffer_f64 buffer_bool buffer_text ' +\n 'buffer_string buffer_surface_copy buffer_seek_start ' +\n 'buffer_seek_relative buffer_seek_end ' +\n 'buffer_generalerror buffer_outofspace buffer_outofbounds ' +\n 'buffer_invalidtype text_type button_type input_type ANSI_CHARSET ' +\n 'DEFAULT_CHARSET EASTEUROPE_CHARSET RUSSIAN_CHARSET SYMBOL_CHARSET ' +\n 'SHIFTJIS_CHARSET HANGEUL_CHARSET GB2312_CHARSET CHINESEBIG5_CHARSET ' +\n 'JOHAB_CHARSET HEBREW_CHARSET ARABIC_CHARSET GREEK_CHARSET ' +\n 'TURKISH_CHARSET VIETNAMESE_CHARSET THAI_CHARSET MAC_CHARSET ' +\n 'BALTIC_CHARSET OEM_CHARSET gp_face1 gp_face2 gp_face3 gp_face4 ' +\n 'gp_shoulderl gp_shoulderr gp_shoulderlb gp_shoulderrb gp_select ' +\n 'gp_start gp_stickl gp_stickr gp_padu gp_padd gp_padl gp_padr ' +\n 'gp_axislh gp_axislv gp_axisrh gp_axisrv ov_friends ov_community ' +\n 'ov_players ov_settings ov_gamegroup ov_achievements lb_sort_none ' +\n 'lb_sort_ascending lb_sort_descending lb_disp_none lb_disp_numeric ' +\n 'lb_disp_time_sec lb_disp_time_ms ugc_result_success ' +\n 'ugc_filetype_community ugc_filetype_microtrans ugc_visibility_public ' +\n 'ugc_visibility_friends_only ugc_visibility_private ' +\n 'ugc_query_RankedByVote ugc_query_RankedByPublicationDate ' +\n 'ugc_query_AcceptedForGameRankedByAcceptanceDate ' +\n 'ugc_query_RankedByTrend ' +\n 'ugc_query_FavoritedByFriendsRankedByPublicationDate ' +\n 'ugc_query_CreatedByFriendsRankedByPublicationDate ' +\n 'ugc_query_RankedByNumTimesReported ' +\n 'ugc_query_CreatedByFollowedUsersRankedByPublicationDate ' +\n 'ugc_query_NotYetRated ugc_query_RankedByTotalVotesAsc ' +\n 'ugc_query_RankedByVotesUp ugc_query_RankedByTextSearch ' +\n 'ugc_sortorder_CreationOrderDesc ugc_sortorder_CreationOrderAsc ' +\n 'ugc_sortorder_TitleAsc ugc_sortorder_LastUpdatedDesc ' +\n 'ugc_sortorder_SubscriptionDateDesc ugc_sortorder_VoteScoreDesc ' +\n 'ugc_sortorder_ForModeration ugc_list_Published ugc_list_VotedOn ' +\n 'ugc_list_VotedUp ugc_list_VotedDown ugc_list_WillVoteLater ' +\n 'ugc_list_Favorited ugc_list_Subscribed ugc_list_UsedOrPlayed ' +\n 'ugc_list_Followed ugc_match_Items ugc_match_Items_Mtx ' +\n 'ugc_match_Items_ReadyToUse ugc_match_Collections ugc_match_Artwork ' +\n 'ugc_match_Videos ugc_match_Screenshots ugc_match_AllGuides ' +\n 'ugc_match_WebGuides ugc_match_IntegratedGuides ' +\n 'ugc_match_UsableInGame ugc_match_ControllerBindings ' +\n 'vertex_usage_position vertex_usage_colour vertex_usage_color ' +\n 'vertex_usage_normal vertex_usage_texcoord vertex_usage_textcoord ' +\n 'vertex_usage_blendweight vertex_usage_blendindices ' +\n 'vertex_usage_psize vertex_usage_tangent vertex_usage_binormal ' +\n 'vertex_usage_fog vertex_usage_depth vertex_usage_sample ' +\n 'vertex_type_float1 vertex_type_float2 vertex_type_float3 ' +\n 'vertex_type_float4 vertex_type_colour vertex_type_color ' +\n 'vertex_type_ubyte4 layerelementtype_undefined ' +\n 'layerelementtype_background layerelementtype_instance ' +\n 'layerelementtype_oldtilemap layerelementtype_sprite ' +\n 'layerelementtype_tilemap layerelementtype_particlesystem ' +\n 'layerelementtype_tile tile_rotate tile_flip tile_mirror ' +\n 'tile_index_mask kbv_type_default kbv_type_ascii kbv_type_url ' +\n 'kbv_type_email kbv_type_numbers kbv_type_phone kbv_type_phone_name ' +\n 'kbv_returnkey_default kbv_returnkey_go kbv_returnkey_google ' +\n 'kbv_returnkey_join kbv_returnkey_next kbv_returnkey_route ' +\n 'kbv_returnkey_search kbv_returnkey_send kbv_returnkey_yahoo ' +\n 'kbv_returnkey_done kbv_returnkey_continue kbv_returnkey_emergency ' +\n 'kbv_autocapitalize_none kbv_autocapitalize_words ' +\n 'kbv_autocapitalize_sentences kbv_autocapitalize_characters',\n symbol: 'argument_relative argument argument0 argument1 argument2 ' +\n 'argument3 argument4 argument5 argument6 argument7 argument8 ' +\n 'argument9 argument10 argument11 argument12 argument13 argument14 ' +\n 'argument15 argument_count x y xprevious yprevious xstart ystart ' +\n 'hspeed vspeed direction speed friction gravity gravity_direction ' +\n 'path_index path_position path_positionprevious path_speed ' +\n 'path_scale path_orientation path_endaction object_index id solid ' +\n 'persistent mask_index instance_count instance_id room_speed fps ' +\n 'fps_real current_time current_year current_month current_day ' +\n 'current_weekday current_hour current_minute current_second alarm ' +\n 'timeline_index timeline_position timeline_speed timeline_running ' +\n 'timeline_loop room room_first room_last room_width room_height ' +\n 'room_caption room_persistent score lives health show_score ' +\n 'show_lives show_health caption_score caption_lives caption_health ' +\n 'event_type event_number event_object event_action ' +\n 'application_surface gamemaker_pro gamemaker_registered ' +\n 'gamemaker_version error_occurred error_last debug_mode ' +\n 'keyboard_key keyboard_lastkey keyboard_lastchar keyboard_string ' +\n 'mouse_x mouse_y mouse_button mouse_lastbutton cursor_sprite ' +\n 'visible sprite_index sprite_width sprite_height sprite_xoffset ' +\n 'sprite_yoffset image_number image_index image_speed depth ' +\n 'image_xscale image_yscale image_angle image_alpha image_blend ' +\n 'bbox_left bbox_right bbox_top bbox_bottom layer background_colour ' +\n 'background_showcolour background_color background_showcolor ' +\n 'view_enabled view_current view_visible view_xview view_yview ' +\n 'view_wview view_hview view_xport view_yport view_wport view_hport ' +\n 'view_angle view_hborder view_vborder view_hspeed view_vspeed ' +\n 'view_object view_surface_id view_camera game_id game_display_name ' +\n 'game_project_name game_save_id working_directory temp_directory ' +\n 'program_directory browser_width browser_height os_type os_device ' +\n 'os_browser os_version display_aa async_load delta_time ' +\n 'webgl_enabled event_data iap_data phy_rotation phy_position_x ' +\n 'phy_position_y phy_angular_velocity phy_linear_velocity_x ' +\n 'phy_linear_velocity_y phy_speed_x phy_speed_y phy_speed ' +\n 'phy_angular_damping phy_linear_damping phy_bullet ' +\n 'phy_fixed_rotation phy_active phy_mass phy_inertia phy_com_x ' +\n 'phy_com_y phy_dynamic phy_kinematic phy_sleeping ' +\n 'phy_collision_points phy_collision_x phy_collision_y ' +\n 'phy_col_normal_x phy_col_normal_y phy_position_xprevious ' +\n 'phy_position_yprevious'\n };\n\n return {\n aliases: ['gml', 'GML'],\n case_insensitive: false, // language is case-insensitive\n keywords: GML_KEYWORDS,\n\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gml.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","built_in","literal","symbol","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GA01BxB,MAAO,CACLC,QAAS,CAAC,MAAO,OACjBC,kBAAkB,EAClBC,SA51BiB,CACjBC,QAAS,2KAGTC,SAAU,oslCA+lBVC,QAAS,+oXA4MTC,OAAQ,60EA+CRC,SAAU,CACRR,EAAKS,oBACLT,EAAKU,qBACLV,EAAKW,iBACLX,EAAKY,kBACLZ,EAAKa","file":"highlight/gml.js?v=3e195b8b02db26ba5c7a","sourcesContent":["module.exports = function(hljs) {\n var GML_KEYWORDS = {\n keyword: 'begin end if then else while do for break continue with until ' +\n 'repeat exit and or xor not return mod div switch case default var ' +\n 'globalvar enum #macro #region #endregion',\n built_in: 'is_real is_string is_array is_undefined is_int32 is_int64 ' +\n 'is_ptr is_vec3 is_vec4 is_matrix is_bool typeof ' +\n 'variable_global_exists variable_global_get variable_global_set ' +\n 'variable_instance_exists variable_instance_get variable_instance_set ' +\n 'variable_instance_get_names array_length_1d array_length_2d ' +\n 'array_height_2d array_equals array_create array_copy random ' +\n 'random_range irandom irandom_range random_set_seed random_get_seed ' +\n 'randomize randomise choose abs round floor ceil sign frac sqrt sqr ' +\n 'exp ln log2 log10 sin cos tan arcsin arccos arctan arctan2 dsin dcos ' +\n 'dtan darcsin darccos darctan darctan2 degtorad radtodeg power logn ' +\n 'min max mean median clamp lerp dot_product dot_product_3d ' +\n 'dot_product_normalised dot_product_3d_normalised ' +\n 'dot_product_normalized dot_product_3d_normalized math_set_epsilon ' +\n 'math_get_epsilon angle_difference point_distance_3d point_distance ' +\n 'point_direction lengthdir_x lengthdir_y real string int64 ptr ' +\n 'string_format chr ansi_char ord string_length string_byte_length ' +\n 'string_pos string_copy string_char_at string_ord_at string_byte_at ' +\n 'string_set_byte_at string_delete string_insert string_lower ' +\n 'string_upper string_repeat string_letters string_digits ' +\n 'string_lettersdigits string_replace string_replace_all string_count ' +\n 'string_hash_to_newline clipboard_has_text clipboard_set_text ' +\n 'clipboard_get_text date_current_datetime date_create_datetime ' +\n 'date_valid_datetime date_inc_year date_inc_month date_inc_week ' +\n 'date_inc_day date_inc_hour date_inc_minute date_inc_second ' +\n 'date_get_year date_get_month date_get_week date_get_day ' +\n 'date_get_hour date_get_minute date_get_second date_get_weekday ' +\n 'date_get_day_of_year date_get_hour_of_year date_get_minute_of_year ' +\n 'date_get_second_of_year date_year_span date_month_span ' +\n 'date_week_span date_day_span date_hour_span date_minute_span ' +\n 'date_second_span date_compare_datetime date_compare_date ' +\n 'date_compare_time date_date_of date_time_of date_datetime_string ' +\n 'date_date_string date_time_string date_days_in_month ' +\n 'date_days_in_year date_leap_year date_is_today date_set_timezone ' +\n 'date_get_timezone game_set_speed game_get_speed motion_set ' +\n 'motion_add place_free place_empty place_meeting place_snapped ' +\n 'move_random move_snap move_towards_point move_contact_solid ' +\n 'move_contact_all move_outside_solid move_outside_all ' +\n 'move_bounce_solid move_bounce_all move_wrap distance_to_point ' +\n 'distance_to_object position_empty position_meeting path_start ' +\n 'path_end mp_linear_step mp_potential_step mp_linear_step_object ' +\n 'mp_potential_step_object mp_potential_settings mp_linear_path ' +\n 'mp_potential_path mp_linear_path_object mp_potential_path_object ' +\n 'mp_grid_create mp_grid_destroy mp_grid_clear_all mp_grid_clear_cell ' +\n 'mp_grid_clear_rectangle mp_grid_add_cell mp_grid_get_cell ' +\n 'mp_grid_add_rectangle mp_grid_add_instances mp_grid_path ' +\n 'mp_grid_draw mp_grid_to_ds_grid collision_point collision_rectangle ' +\n 'collision_circle collision_ellipse collision_line ' +\n 'collision_point_list collision_rectangle_list collision_circle_list ' +\n 'collision_ellipse_list collision_line_list instance_position_list ' +\n 'instance_place_list point_in_rectangle ' +\n 'point_in_triangle point_in_circle rectangle_in_rectangle ' +\n 'rectangle_in_triangle rectangle_in_circle instance_find ' +\n 'instance_exists instance_number instance_position instance_nearest ' +\n 'instance_furthest instance_place instance_create_depth ' +\n 'instance_create_layer instance_copy instance_change instance_destroy ' +\n 'position_destroy position_change instance_id_get ' +\n 'instance_deactivate_all instance_deactivate_object ' +\n 'instance_deactivate_region instance_activate_all ' +\n 'instance_activate_object instance_activate_region room_goto ' +\n 'room_goto_previous room_goto_next room_previous room_next ' +\n 'room_restart game_end game_restart game_load game_save ' +\n 'game_save_buffer game_load_buffer event_perform event_user ' +\n 'event_perform_object event_inherited show_debug_message ' +\n 'show_debug_overlay debug_event debug_get_callstack alarm_get ' +\n 'alarm_set font_texture_page_size keyboard_set_map keyboard_get_map ' +\n 'keyboard_unset_map keyboard_check keyboard_check_pressed ' +\n 'keyboard_check_released keyboard_check_direct keyboard_get_numlock ' +\n 'keyboard_set_numlock keyboard_key_press keyboard_key_release ' +\n 'keyboard_clear io_clear mouse_check_button ' +\n 'mouse_check_button_pressed mouse_check_button_released ' +\n 'mouse_wheel_up mouse_wheel_down mouse_clear draw_self draw_sprite ' +\n 'draw_sprite_pos draw_sprite_ext draw_sprite_stretched ' +\n 'draw_sprite_stretched_ext draw_sprite_tiled draw_sprite_tiled_ext ' +\n 'draw_sprite_part draw_sprite_part_ext draw_sprite_general draw_clear ' +\n 'draw_clear_alpha draw_point draw_line draw_line_width draw_rectangle ' +\n 'draw_roundrect draw_roundrect_ext draw_triangle draw_circle ' +\n 'draw_ellipse draw_set_circle_precision draw_arrow draw_button ' +\n 'draw_path draw_healthbar draw_getpixel draw_getpixel_ext ' +\n 'draw_set_colour draw_set_color draw_set_alpha draw_get_colour ' +\n 'draw_get_color draw_get_alpha merge_colour make_colour_rgb ' +\n 'make_colour_hsv colour_get_red colour_get_green colour_get_blue ' +\n 'colour_get_hue colour_get_saturation colour_get_value merge_color ' +\n 'make_color_rgb make_color_hsv color_get_red color_get_green ' +\n 'color_get_blue color_get_hue color_get_saturation color_get_value ' +\n 'merge_color screen_save screen_save_part draw_set_font ' +\n 'draw_set_halign draw_set_valign draw_text draw_text_ext string_width ' +\n 'string_height string_width_ext string_height_ext ' +\n 'draw_text_transformed draw_text_ext_transformed draw_text_colour ' +\n 'draw_text_ext_colour draw_text_transformed_colour ' +\n 'draw_text_ext_transformed_colour draw_text_color draw_text_ext_color ' +\n 'draw_text_transformed_color draw_text_ext_transformed_color ' +\n 'draw_point_colour draw_line_colour draw_line_width_colour ' +\n 'draw_rectangle_colour draw_roundrect_colour ' +\n 'draw_roundrect_colour_ext draw_triangle_colour draw_circle_colour ' +\n 'draw_ellipse_colour draw_point_color draw_line_color ' +\n 'draw_line_width_color draw_rectangle_color draw_roundrect_color ' +\n 'draw_roundrect_color_ext draw_triangle_color draw_circle_color ' +\n 'draw_ellipse_color draw_primitive_begin draw_vertex ' +\n 'draw_vertex_colour draw_vertex_color draw_primitive_end ' +\n 'sprite_get_uvs font_get_uvs sprite_get_texture font_get_texture ' +\n 'texture_get_width texture_get_height texture_get_uvs ' +\n 'draw_primitive_begin_texture draw_vertex_texture ' +\n 'draw_vertex_texture_colour draw_vertex_texture_color ' +\n 'texture_global_scale surface_create surface_create_ext ' +\n 'surface_resize surface_free surface_exists surface_get_width ' +\n 'surface_get_height surface_get_texture surface_set_target ' +\n 'surface_set_target_ext surface_reset_target surface_depth_disable ' +\n 'surface_get_depth_disable draw_surface draw_surface_stretched ' +\n 'draw_surface_tiled draw_surface_part draw_surface_ext ' +\n 'draw_surface_stretched_ext draw_surface_tiled_ext ' +\n 'draw_surface_part_ext draw_surface_general surface_getpixel ' +\n 'surface_getpixel_ext surface_save surface_save_part surface_copy ' +\n 'surface_copy_part application_surface_draw_enable ' +\n 'application_get_position application_surface_enable ' +\n 'application_surface_is_enabled display_get_width display_get_height ' +\n 'display_get_orientation display_get_gui_width display_get_gui_height ' +\n 'display_reset display_mouse_get_x display_mouse_get_y ' +\n 'display_mouse_set display_set_ui_visibility ' +\n 'window_set_fullscreen window_get_fullscreen ' +\n 'window_set_caption window_set_min_width window_set_max_width ' +\n 'window_set_min_height window_set_max_height window_get_visible_rects ' +\n 'window_get_caption window_set_cursor window_get_cursor ' +\n 'window_set_colour window_get_colour window_set_color ' +\n 'window_get_color window_set_position window_set_size ' +\n 'window_set_rectangle window_center window_get_x window_get_y ' +\n 'window_get_width window_get_height window_mouse_get_x ' +\n 'window_mouse_get_y window_mouse_set window_view_mouse_get_x ' +\n 'window_view_mouse_get_y window_views_mouse_get_x ' +\n 'window_views_mouse_get_y audio_listener_position ' +\n 'audio_listener_velocity audio_listener_orientation ' +\n 'audio_emitter_position audio_emitter_create audio_emitter_free ' +\n 'audio_emitter_exists audio_emitter_pitch audio_emitter_velocity ' +\n 'audio_emitter_falloff audio_emitter_gain audio_play_sound ' +\n 'audio_play_sound_on audio_play_sound_at audio_stop_sound ' +\n 'audio_resume_music audio_music_is_playing audio_resume_sound ' +\n 'audio_pause_sound audio_pause_music audio_channel_num ' +\n 'audio_sound_length audio_get_type audio_falloff_set_model ' +\n 'audio_play_music audio_stop_music audio_master_gain audio_music_gain ' +\n 'audio_sound_gain audio_sound_pitch audio_stop_all audio_resume_all ' +\n 'audio_pause_all audio_is_playing audio_is_paused audio_exists ' +\n 'audio_sound_set_track_position audio_sound_get_track_position ' +\n 'audio_emitter_get_gain audio_emitter_get_pitch audio_emitter_get_x ' +\n 'audio_emitter_get_y audio_emitter_get_z audio_emitter_get_vx ' +\n 'audio_emitter_get_vy audio_emitter_get_vz ' +\n 'audio_listener_set_position audio_listener_set_velocity ' +\n 'audio_listener_set_orientation audio_listener_get_data ' +\n 'audio_set_master_gain audio_get_master_gain audio_sound_get_gain ' +\n 'audio_sound_get_pitch audio_get_name audio_sound_set_track_position ' +\n 'audio_sound_get_track_position audio_create_stream ' +\n 'audio_destroy_stream audio_create_sync_group ' +\n 'audio_destroy_sync_group audio_play_in_sync_group ' +\n 'audio_start_sync_group audio_stop_sync_group audio_pause_sync_group ' +\n 'audio_resume_sync_group audio_sync_group_get_track_pos ' +\n 'audio_sync_group_debug audio_sync_group_is_playing audio_debug ' +\n 'audio_group_load audio_group_unload audio_group_is_loaded ' +\n 'audio_group_load_progress audio_group_name audio_group_stop_all ' +\n 'audio_group_set_gain audio_create_buffer_sound ' +\n 'audio_free_buffer_sound audio_create_play_queue ' +\n 'audio_free_play_queue audio_queue_sound audio_get_recorder_count ' +\n 'audio_get_recorder_info audio_start_recording audio_stop_recording ' +\n 'audio_sound_get_listener_mask audio_emitter_get_listener_mask ' +\n 'audio_get_listener_mask audio_sound_set_listener_mask ' +\n 'audio_emitter_set_listener_mask audio_set_listener_mask ' +\n 'audio_get_listener_count audio_get_listener_info audio_system ' +\n 'show_message show_message_async clickable_add clickable_add_ext ' +\n 'clickable_change clickable_change_ext clickable_delete ' +\n 'clickable_exists clickable_set_style show_question ' +\n 'show_question_async get_integer get_string get_integer_async ' +\n 'get_string_async get_login_async get_open_filename get_save_filename ' +\n 'get_open_filename_ext get_save_filename_ext show_error ' +\n 'highscore_clear highscore_add highscore_value highscore_name ' +\n 'draw_highscore sprite_exists sprite_get_name sprite_get_number ' +\n 'sprite_get_width sprite_get_height sprite_get_xoffset ' +\n 'sprite_get_yoffset sprite_get_bbox_left sprite_get_bbox_right ' +\n 'sprite_get_bbox_top sprite_get_bbox_bottom sprite_save ' +\n 'sprite_save_strip sprite_set_cache_size sprite_set_cache_size_ext ' +\n 'sprite_get_tpe sprite_prefetch sprite_prefetch_multi sprite_flush ' +\n 'sprite_flush_multi sprite_set_speed sprite_get_speed_type ' +\n 'sprite_get_speed font_exists font_get_name font_get_fontname ' +\n 'font_get_bold font_get_italic font_get_first font_get_last ' +\n 'font_get_size font_set_cache_size path_exists path_get_name ' +\n 'path_get_length path_get_time path_get_kind path_get_closed ' +\n 'path_get_precision path_get_number path_get_point_x path_get_point_y ' +\n 'path_get_point_speed path_get_x path_get_y path_get_speed ' +\n 'script_exists script_get_name timeline_add timeline_delete ' +\n 'timeline_clear timeline_exists timeline_get_name ' +\n 'timeline_moment_clear timeline_moment_add_script timeline_size ' +\n 'timeline_max_moment object_exists object_get_name object_get_sprite ' +\n 'object_get_solid object_get_visible object_get_persistent ' +\n 'object_get_mask object_get_parent object_get_physics ' +\n 'object_is_ancestor room_exists room_get_name sprite_set_offset ' +\n 'sprite_duplicate sprite_assign sprite_merge sprite_add ' +\n 'sprite_replace sprite_create_from_surface sprite_add_from_surface ' +\n 'sprite_delete sprite_set_alpha_from_sprite sprite_collision_mask ' +\n 'font_add_enable_aa font_add_get_enable_aa font_add font_add_sprite ' +\n 'font_add_sprite_ext font_replace font_replace_sprite ' +\n 'font_replace_sprite_ext font_delete path_set_kind path_set_closed ' +\n 'path_set_precision path_add path_assign path_duplicate path_append ' +\n 'path_delete path_add_point path_insert_point path_change_point ' +\n 'path_delete_point path_clear_points path_reverse path_mirror ' +\n 'path_flip path_rotate path_rescale path_shift script_execute ' +\n 'object_set_sprite object_set_solid object_set_visible ' +\n 'object_set_persistent object_set_mask room_set_width room_set_height ' +\n 'room_set_persistent room_set_background_colour ' +\n 'room_set_background_color room_set_view room_set_viewport ' +\n 'room_get_viewport room_set_view_enabled room_add room_duplicate ' +\n 'room_assign room_instance_add room_instance_clear room_get_camera ' +\n 'room_set_camera asset_get_index asset_get_type ' +\n 'file_text_open_from_string file_text_open_read file_text_open_write ' +\n 'file_text_open_append file_text_close file_text_write_string ' +\n 'file_text_write_real file_text_writeln file_text_read_string ' +\n 'file_text_read_real file_text_readln file_text_eof file_text_eoln ' +\n 'file_exists file_delete file_rename file_copy directory_exists ' +\n 'directory_create directory_destroy file_find_first file_find_next ' +\n 'file_find_close file_attributes filename_name filename_path ' +\n 'filename_dir filename_drive filename_ext filename_change_ext ' +\n 'file_bin_open file_bin_rewrite file_bin_close file_bin_position ' +\n 'file_bin_size file_bin_seek file_bin_write_byte file_bin_read_byte ' +\n 'parameter_count parameter_string environment_get_variable ' +\n 'ini_open_from_string ini_open ini_close ini_read_string ' +\n 'ini_read_real ini_write_string ini_write_real ini_key_exists ' +\n 'ini_section_exists ini_key_delete ini_section_delete ' +\n 'ds_set_precision ds_exists ds_stack_create ds_stack_destroy ' +\n 'ds_stack_clear ds_stack_copy ds_stack_size ds_stack_empty ' +\n 'ds_stack_push ds_stack_pop ds_stack_top ds_stack_write ds_stack_read ' +\n 'ds_queue_create ds_queue_destroy ds_queue_clear ds_queue_copy ' +\n 'ds_queue_size ds_queue_empty ds_queue_enqueue ds_queue_dequeue ' +\n 'ds_queue_head ds_queue_tail ds_queue_write ds_queue_read ' +\n 'ds_list_create ds_list_destroy ds_list_clear ds_list_copy ' +\n 'ds_list_size ds_list_empty ds_list_add ds_list_insert ' +\n 'ds_list_replace ds_list_delete ds_list_find_index ds_list_find_value ' +\n 'ds_list_mark_as_list ds_list_mark_as_map ds_list_sort ' +\n 'ds_list_shuffle ds_list_write ds_list_read ds_list_set ds_map_create ' +\n 'ds_map_destroy ds_map_clear ds_map_copy ds_map_size ds_map_empty ' +\n 'ds_map_add ds_map_add_list ds_map_add_map ds_map_replace ' +\n 'ds_map_replace_map ds_map_replace_list ds_map_delete ds_map_exists ' +\n 'ds_map_find_value ds_map_find_previous ds_map_find_next ' +\n 'ds_map_find_first ds_map_find_last ds_map_write ds_map_read ' +\n 'ds_map_secure_save ds_map_secure_load ds_map_secure_load_buffer ' +\n 'ds_map_secure_save_buffer ds_map_set ds_priority_create ' +\n 'ds_priority_destroy ds_priority_clear ds_priority_copy ' +\n 'ds_priority_size ds_priority_empty ds_priority_add ' +\n 'ds_priority_change_priority ds_priority_find_priority ' +\n 'ds_priority_delete_value ds_priority_delete_min ds_priority_find_min ' +\n 'ds_priority_delete_max ds_priority_find_max ds_priority_write ' +\n 'ds_priority_read ds_grid_create ds_grid_destroy ds_grid_copy ' +\n 'ds_grid_resize ds_grid_width ds_grid_height ds_grid_clear ' +\n 'ds_grid_set ds_grid_add ds_grid_multiply ds_grid_set_region ' +\n 'ds_grid_add_region ds_grid_multiply_region ds_grid_set_disk ' +\n 'ds_grid_add_disk ds_grid_multiply_disk ds_grid_set_grid_region ' +\n 'ds_grid_add_grid_region ds_grid_multiply_grid_region ds_grid_get ' +\n 'ds_grid_get_sum ds_grid_get_max ds_grid_get_min ds_grid_get_mean ' +\n 'ds_grid_get_disk_sum ds_grid_get_disk_min ds_grid_get_disk_max ' +\n 'ds_grid_get_disk_mean ds_grid_value_exists ds_grid_value_x ' +\n 'ds_grid_value_y ds_grid_value_disk_exists ds_grid_value_disk_x ' +\n 'ds_grid_value_disk_y ds_grid_shuffle ds_grid_write ds_grid_read ' +\n 'ds_grid_sort ds_grid_set ds_grid_get effect_create_below ' +\n 'effect_create_above effect_clear part_type_create part_type_destroy ' +\n 'part_type_exists part_type_clear part_type_shape part_type_sprite ' +\n 'part_type_size part_type_scale part_type_orientation part_type_life ' +\n 'part_type_step part_type_death part_type_speed part_type_direction ' +\n 'part_type_gravity part_type_colour1 part_type_colour2 ' +\n 'part_type_colour3 part_type_colour_mix part_type_colour_rgb ' +\n 'part_type_colour_hsv part_type_color1 part_type_color2 ' +\n 'part_type_color3 part_type_color_mix part_type_color_rgb ' +\n 'part_type_color_hsv part_type_alpha1 part_type_alpha2 ' +\n 'part_type_alpha3 part_type_blend part_system_create ' +\n 'part_system_create_layer part_system_destroy part_system_exists ' +\n 'part_system_clear part_system_draw_order part_system_depth ' +\n 'part_system_position part_system_automatic_update ' +\n 'part_system_automatic_draw part_system_update part_system_drawit ' +\n 'part_system_get_layer part_system_layer part_particles_create ' +\n 'part_particles_create_colour part_particles_create_color ' +\n 'part_particles_clear part_particles_count part_emitter_create ' +\n 'part_emitter_destroy part_emitter_destroy_all part_emitter_exists ' +\n 'part_emitter_clear part_emitter_region part_emitter_burst ' +\n 'part_emitter_stream external_call external_define external_free ' +\n 'window_handle window_device matrix_get matrix_set ' +\n 'matrix_build_identity matrix_build matrix_build_lookat ' +\n 'matrix_build_projection_ortho matrix_build_projection_perspective ' +\n 'matrix_build_projection_perspective_fov matrix_multiply ' +\n 'matrix_transform_vertex matrix_stack_push matrix_stack_pop ' +\n 'matrix_stack_multiply matrix_stack_set matrix_stack_clear ' +\n 'matrix_stack_top matrix_stack_is_empty browser_input_capture ' +\n 'os_get_config os_get_info os_get_language os_get_region ' +\n 'os_lock_orientation display_get_dpi_x display_get_dpi_y ' +\n 'display_set_gui_size display_set_gui_maximise ' +\n 'display_set_gui_maximize device_mouse_dbclick_enable ' +\n 'display_set_timing_method display_get_timing_method ' +\n 'display_set_sleep_margin display_get_sleep_margin virtual_key_add ' +\n 'virtual_key_hide virtual_key_delete virtual_key_show ' +\n 'draw_enable_drawevent draw_enable_swf_aa draw_set_swf_aa_level ' +\n 'draw_get_swf_aa_level draw_texture_flush draw_flush ' +\n 'gpu_set_blendenable gpu_set_ztestenable gpu_set_zfunc ' +\n 'gpu_set_zwriteenable gpu_set_lightingenable gpu_set_fog ' +\n 'gpu_set_cullmode gpu_set_blendmode gpu_set_blendmode_ext ' +\n 'gpu_set_blendmode_ext_sepalpha gpu_set_colorwriteenable ' +\n 'gpu_set_colourwriteenable gpu_set_alphatestenable ' +\n 'gpu_set_alphatestref gpu_set_alphatestfunc gpu_set_texfilter ' +\n 'gpu_set_texfilter_ext gpu_set_texrepeat gpu_set_texrepeat_ext ' +\n 'gpu_set_tex_filter gpu_set_tex_filter_ext gpu_set_tex_repeat ' +\n 'gpu_set_tex_repeat_ext gpu_set_tex_mip_filter ' +\n 'gpu_set_tex_mip_filter_ext gpu_set_tex_mip_bias ' +\n 'gpu_set_tex_mip_bias_ext gpu_set_tex_min_mip gpu_set_tex_min_mip_ext ' +\n 'gpu_set_tex_max_mip gpu_set_tex_max_mip_ext gpu_set_tex_max_aniso ' +\n 'gpu_set_tex_max_aniso_ext gpu_set_tex_mip_enable ' +\n 'gpu_set_tex_mip_enable_ext gpu_get_blendenable gpu_get_ztestenable ' +\n 'gpu_get_zfunc gpu_get_zwriteenable gpu_get_lightingenable ' +\n 'gpu_get_fog gpu_get_cullmode gpu_get_blendmode gpu_get_blendmode_ext ' +\n 'gpu_get_blendmode_ext_sepalpha gpu_get_blendmode_src ' +\n 'gpu_get_blendmode_dest gpu_get_blendmode_srcalpha ' +\n 'gpu_get_blendmode_destalpha gpu_get_colorwriteenable ' +\n 'gpu_get_colourwriteenable gpu_get_alphatestenable ' +\n 'gpu_get_alphatestref gpu_get_alphatestfunc gpu_get_texfilter ' +\n 'gpu_get_texfilter_ext gpu_get_texrepeat gpu_get_texrepeat_ext ' +\n 'gpu_get_tex_filter gpu_get_tex_filter_ext gpu_get_tex_repeat ' +\n 'gpu_get_tex_repeat_ext gpu_get_tex_mip_filter ' +\n 'gpu_get_tex_mip_filter_ext gpu_get_tex_mip_bias ' +\n 'gpu_get_tex_mip_bias_ext gpu_get_tex_min_mip gpu_get_tex_min_mip_ext ' +\n 'gpu_get_tex_max_mip gpu_get_tex_max_mip_ext gpu_get_tex_max_aniso ' +\n 'gpu_get_tex_max_aniso_ext gpu_get_tex_mip_enable ' +\n 'gpu_get_tex_mip_enable_ext gpu_push_state gpu_pop_state ' +\n 'gpu_get_state gpu_set_state draw_light_define_ambient ' +\n 'draw_light_define_direction draw_light_define_point ' +\n 'draw_light_enable draw_set_lighting draw_light_get_ambient ' +\n 'draw_light_get draw_get_lighting shop_leave_rating url_get_domain ' +\n 'url_open url_open_ext url_open_full get_timer achievement_login ' +\n 'achievement_logout achievement_post achievement_increment ' +\n 'achievement_post_score achievement_available ' +\n 'achievement_show_achievements achievement_show_leaderboards ' +\n 'achievement_load_friends achievement_load_leaderboard ' +\n 'achievement_send_challenge achievement_load_progress ' +\n 'achievement_reset achievement_login_status achievement_get_pic ' +\n 'achievement_show_challenge_notifications achievement_get_challenges ' +\n 'achievement_event achievement_show achievement_get_info ' +\n 'cloud_file_save cloud_string_save cloud_synchronise ads_enable ' +\n 'ads_disable ads_setup ads_engagement_launch ads_engagement_available ' +\n 'ads_engagement_active ads_event ads_event_preload ' +\n 'ads_set_reward_callback ads_get_display_height ads_get_display_width ' +\n 'ads_move ads_interstitial_available ads_interstitial_display ' +\n 'device_get_tilt_x device_get_tilt_y device_get_tilt_z ' +\n 'device_is_keypad_open device_mouse_check_button ' +\n 'device_mouse_check_button_pressed device_mouse_check_button_released ' +\n 'device_mouse_x device_mouse_y device_mouse_raw_x device_mouse_raw_y ' +\n 'device_mouse_x_to_gui device_mouse_y_to_gui iap_activate iap_status ' +\n 'iap_enumerate_products iap_restore_all iap_acquire iap_consume ' +\n 'iap_product_details iap_purchase_details facebook_init ' +\n 'facebook_login facebook_status facebook_graph_request ' +\n 'facebook_dialog facebook_logout facebook_launch_offerwall ' +\n 'facebook_post_message facebook_send_invite facebook_user_id ' +\n 'facebook_accesstoken facebook_check_permission ' +\n 'facebook_request_read_permissions ' +\n 'facebook_request_publish_permissions gamepad_is_supported ' +\n 'gamepad_get_device_count gamepad_is_connected ' +\n 'gamepad_get_description gamepad_get_button_threshold ' +\n 'gamepad_set_button_threshold gamepad_get_axis_deadzone ' +\n 'gamepad_set_axis_deadzone gamepad_button_count gamepad_button_check ' +\n 'gamepad_button_check_pressed gamepad_button_check_released ' +\n 'gamepad_button_value gamepad_axis_count gamepad_axis_value ' +\n 'gamepad_set_vibration gamepad_set_colour gamepad_set_color ' +\n 'os_is_paused window_has_focus code_is_compiled http_get ' +\n 'http_get_file http_post_string http_request json_encode json_decode ' +\n 'zip_unzip load_csv base64_encode base64_decode md5_string_unicode ' +\n 'md5_string_utf8 md5_file os_is_network_connected sha1_string_unicode ' +\n 'sha1_string_utf8 sha1_file os_powersave_enable analytics_event ' +\n 'analytics_event_ext win8_livetile_tile_notification ' +\n 'win8_livetile_tile_clear win8_livetile_badge_notification ' +\n 'win8_livetile_badge_clear win8_livetile_queue_enable ' +\n 'win8_secondarytile_pin win8_secondarytile_badge_notification ' +\n 'win8_secondarytile_delete win8_livetile_notification_begin ' +\n 'win8_livetile_notification_secondary_begin ' +\n 'win8_livetile_notification_expiry win8_livetile_notification_tag ' +\n 'win8_livetile_notification_text_add ' +\n 'win8_livetile_notification_image_add win8_livetile_notification_end ' +\n 'win8_appbar_enable win8_appbar_add_element ' +\n 'win8_appbar_remove_element win8_settingscharm_add_entry ' +\n 'win8_settingscharm_add_html_entry win8_settingscharm_add_xaml_entry ' +\n 'win8_settingscharm_set_xaml_property ' +\n 'win8_settingscharm_get_xaml_property win8_settingscharm_remove_entry ' +\n 'win8_share_image win8_share_screenshot win8_share_file ' +\n 'win8_share_url win8_share_text win8_search_enable ' +\n 'win8_search_disable win8_search_add_suggestions ' +\n 'win8_device_touchscreen_available win8_license_initialize_sandbox ' +\n 'win8_license_trial_version winphone_license_trial_version ' +\n 'winphone_tile_title winphone_tile_count winphone_tile_back_title ' +\n 'winphone_tile_back_content winphone_tile_back_content_wide ' +\n 'winphone_tile_front_image winphone_tile_front_image_small ' +\n 'winphone_tile_front_image_wide winphone_tile_back_image ' +\n 'winphone_tile_back_image_wide winphone_tile_background_colour ' +\n 'winphone_tile_background_color winphone_tile_icon_image ' +\n 'winphone_tile_small_icon_image winphone_tile_wide_content ' +\n 'winphone_tile_cycle_images winphone_tile_small_background_image ' +\n 'physics_world_create physics_world_gravity ' +\n 'physics_world_update_speed physics_world_update_iterations ' +\n 'physics_world_draw_debug physics_pause_enable physics_fixture_create ' +\n 'physics_fixture_set_kinematic physics_fixture_set_density ' +\n 'physics_fixture_set_awake physics_fixture_set_restitution ' +\n 'physics_fixture_set_friction physics_fixture_set_collision_group ' +\n 'physics_fixture_set_sensor physics_fixture_set_linear_damping ' +\n 'physics_fixture_set_angular_damping physics_fixture_set_circle_shape ' +\n 'physics_fixture_set_box_shape physics_fixture_set_edge_shape ' +\n 'physics_fixture_set_polygon_shape physics_fixture_set_chain_shape ' +\n 'physics_fixture_add_point physics_fixture_bind ' +\n 'physics_fixture_bind_ext physics_fixture_delete physics_apply_force ' +\n 'physics_apply_impulse physics_apply_angular_impulse ' +\n 'physics_apply_local_force physics_apply_local_impulse ' +\n 'physics_apply_torque physics_mass_properties physics_draw_debug ' +\n 'physics_test_overlap physics_remove_fixture physics_set_friction ' +\n 'physics_set_density physics_set_restitution physics_get_friction ' +\n 'physics_get_density physics_get_restitution ' +\n 'physics_joint_distance_create physics_joint_rope_create ' +\n 'physics_joint_revolute_create physics_joint_prismatic_create ' +\n 'physics_joint_pulley_create physics_joint_wheel_create ' +\n 'physics_joint_weld_create physics_joint_friction_create ' +\n 'physics_joint_gear_create physics_joint_enable_motor ' +\n 'physics_joint_get_value physics_joint_set_value physics_joint_delete ' +\n 'physics_particle_create physics_particle_delete ' +\n 'physics_particle_delete_region_circle ' +\n 'physics_particle_delete_region_box ' +\n 'physics_particle_delete_region_poly physics_particle_set_flags ' +\n 'physics_particle_set_category_flags physics_particle_draw ' +\n 'physics_particle_draw_ext physics_particle_count ' +\n 'physics_particle_get_data physics_particle_get_data_particle ' +\n 'physics_particle_group_begin physics_particle_group_circle ' +\n 'physics_particle_group_box physics_particle_group_polygon ' +\n 'physics_particle_group_add_point physics_particle_group_end ' +\n 'physics_particle_group_join physics_particle_group_delete ' +\n 'physics_particle_group_count physics_particle_group_get_data ' +\n 'physics_particle_group_get_mass physics_particle_group_get_inertia ' +\n 'physics_particle_group_get_centre_x ' +\n 'physics_particle_group_get_centre_y physics_particle_group_get_vel_x ' +\n 'physics_particle_group_get_vel_y physics_particle_group_get_ang_vel ' +\n 'physics_particle_group_get_x physics_particle_group_get_y ' +\n 'physics_particle_group_get_angle physics_particle_set_group_flags ' +\n 'physics_particle_get_group_flags physics_particle_get_max_count ' +\n 'physics_particle_get_radius physics_particle_get_density ' +\n 'physics_particle_get_damping physics_particle_get_gravity_scale ' +\n 'physics_particle_set_max_count physics_particle_set_radius ' +\n 'physics_particle_set_density physics_particle_set_damping ' +\n 'physics_particle_set_gravity_scale network_create_socket ' +\n 'network_create_socket_ext network_create_server ' +\n 'network_create_server_raw network_connect network_connect_raw ' +\n 'network_send_packet network_send_raw network_send_broadcast ' +\n 'network_send_udp network_send_udp_raw network_set_timeout ' +\n 'network_set_config network_resolve network_destroy buffer_create ' +\n 'buffer_write buffer_read buffer_seek buffer_get_surface ' +\n 'buffer_set_surface buffer_delete buffer_exists buffer_get_type ' +\n 'buffer_get_alignment buffer_poke buffer_peek buffer_save ' +\n 'buffer_save_ext buffer_load buffer_load_ext buffer_load_partial ' +\n 'buffer_copy buffer_fill buffer_get_size buffer_tell buffer_resize ' +\n 'buffer_md5 buffer_sha1 buffer_base64_encode buffer_base64_decode ' +\n 'buffer_base64_decode_ext buffer_sizeof buffer_get_address ' +\n 'buffer_create_from_vertex_buffer ' +\n 'buffer_create_from_vertex_buffer_ext buffer_copy_from_vertex_buffer ' +\n 'buffer_async_group_begin buffer_async_group_option ' +\n 'buffer_async_group_end buffer_load_async buffer_save_async ' +\n 'gml_release_mode gml_pragma steam_activate_overlay ' +\n 'steam_is_overlay_enabled steam_is_overlay_activated ' +\n 'steam_get_persona_name steam_initialised ' +\n 'steam_is_cloud_enabled_for_app steam_is_cloud_enabled_for_account ' +\n 'steam_file_persisted steam_get_quota_total steam_get_quota_free ' +\n 'steam_file_write steam_file_write_file steam_file_read ' +\n 'steam_file_delete steam_file_exists steam_file_size steam_file_share ' +\n 'steam_is_screenshot_requested steam_send_screenshot ' +\n 'steam_is_user_logged_on steam_get_user_steam_id steam_user_owns_dlc ' +\n 'steam_user_installed_dlc steam_set_achievement steam_get_achievement ' +\n 'steam_clear_achievement steam_set_stat_int steam_set_stat_float ' +\n 'steam_set_stat_avg_rate steam_get_stat_int steam_get_stat_float ' +\n 'steam_get_stat_avg_rate steam_reset_all_stats ' +\n 'steam_reset_all_stats_achievements steam_stats_ready ' +\n 'steam_create_leaderboard steam_upload_score steam_upload_score_ext ' +\n 'steam_download_scores_around_user steam_download_scores ' +\n 'steam_download_friends_scores steam_upload_score_buffer ' +\n 'steam_upload_score_buffer_ext steam_current_game_language ' +\n 'steam_available_languages steam_activate_overlay_browser ' +\n 'steam_activate_overlay_user steam_activate_overlay_store ' +\n 'steam_get_user_persona_name steam_get_app_id ' +\n 'steam_get_user_account_id steam_ugc_download steam_ugc_create_item ' +\n 'steam_ugc_start_item_update steam_ugc_set_item_title ' +\n 'steam_ugc_set_item_description steam_ugc_set_item_visibility ' +\n 'steam_ugc_set_item_tags steam_ugc_set_item_content ' +\n 'steam_ugc_set_item_preview steam_ugc_submit_item_update ' +\n 'steam_ugc_get_item_update_progress steam_ugc_subscribe_item ' +\n 'steam_ugc_unsubscribe_item steam_ugc_num_subscribed_items ' +\n 'steam_ugc_get_subscribed_items steam_ugc_get_item_install_info ' +\n 'steam_ugc_get_item_update_info steam_ugc_request_item_details ' +\n 'steam_ugc_create_query_user steam_ugc_create_query_user_ex ' +\n 'steam_ugc_create_query_all steam_ugc_create_query_all_ex ' +\n 'steam_ugc_query_set_cloud_filename_filter ' +\n 'steam_ugc_query_set_match_any_tag steam_ugc_query_set_search_text ' +\n 'steam_ugc_query_set_ranked_by_trend_days ' +\n 'steam_ugc_query_add_required_tag steam_ugc_query_add_excluded_tag ' +\n 'steam_ugc_query_set_return_long_description ' +\n 'steam_ugc_query_set_return_total_only ' +\n 'steam_ugc_query_set_allow_cached_response steam_ugc_send_query ' +\n 'shader_set shader_get_name shader_reset shader_current ' +\n 'shader_is_compiled shader_get_sampler_index shader_get_uniform ' +\n 'shader_set_uniform_i shader_set_uniform_i_array shader_set_uniform_f ' +\n 'shader_set_uniform_f_array shader_set_uniform_matrix ' +\n 'shader_set_uniform_matrix_array shader_enable_corner_id ' +\n 'texture_set_stage texture_get_texel_width texture_get_texel_height ' +\n 'shaders_are_supported vertex_format_begin vertex_format_end ' +\n 'vertex_format_delete vertex_format_add_position ' +\n 'vertex_format_add_position_3d vertex_format_add_colour ' +\n 'vertex_format_add_color vertex_format_add_normal ' +\n 'vertex_format_add_texcoord vertex_format_add_textcoord ' +\n 'vertex_format_add_custom vertex_create_buffer ' +\n 'vertex_create_buffer_ext vertex_delete_buffer vertex_begin ' +\n 'vertex_end vertex_position vertex_position_3d vertex_colour ' +\n 'vertex_color vertex_argb vertex_texcoord vertex_normal vertex_float1 ' +\n 'vertex_float2 vertex_float3 vertex_float4 vertex_ubyte4 ' +\n 'vertex_submit vertex_freeze vertex_get_number vertex_get_buffer_size ' +\n 'vertex_create_buffer_from_buffer ' +\n 'vertex_create_buffer_from_buffer_ext push_local_notification ' +\n 'push_get_first_local_notification push_get_next_local_notification ' +\n 'push_cancel_local_notification skeleton_animation_set ' +\n 'skeleton_animation_get skeleton_animation_mix ' +\n 'skeleton_animation_set_ext skeleton_animation_get_ext ' +\n 'skeleton_animation_get_duration skeleton_animation_get_frames ' +\n 'skeleton_animation_clear skeleton_skin_set skeleton_skin_get ' +\n 'skeleton_attachment_set skeleton_attachment_get ' +\n 'skeleton_attachment_create skeleton_collision_draw_set ' +\n 'skeleton_bone_data_get skeleton_bone_data_set ' +\n 'skeleton_bone_state_get skeleton_bone_state_set skeleton_get_minmax ' +\n 'skeleton_get_num_bounds skeleton_get_bounds ' +\n 'skeleton_animation_get_frame skeleton_animation_set_frame ' +\n 'draw_skeleton draw_skeleton_time draw_skeleton_instance ' +\n 'draw_skeleton_collision skeleton_animation_list skeleton_skin_list ' +\n 'skeleton_slot_data layer_get_id layer_get_id_at_depth ' +\n 'layer_get_depth layer_create layer_destroy layer_destroy_instances ' +\n 'layer_add_instance layer_has_instance layer_set_visible ' +\n 'layer_get_visible layer_exists layer_x layer_y layer_get_x ' +\n 'layer_get_y layer_hspeed layer_vspeed layer_get_hspeed ' +\n 'layer_get_vspeed layer_script_begin layer_script_end layer_shader ' +\n 'layer_get_script_begin layer_get_script_end layer_get_shader ' +\n 'layer_set_target_room layer_get_target_room layer_reset_target_room ' +\n 'layer_get_all layer_get_all_elements layer_get_name layer_depth ' +\n 'layer_get_element_layer layer_get_element_type layer_element_move ' +\n 'layer_force_draw_depth layer_is_draw_depth_forced ' +\n 'layer_get_forced_depth layer_background_get_id ' +\n 'layer_background_exists layer_background_create ' +\n 'layer_background_destroy layer_background_visible ' +\n 'layer_background_change layer_background_sprite ' +\n 'layer_background_htiled layer_background_vtiled ' +\n 'layer_background_stretch layer_background_yscale ' +\n 'layer_background_xscale layer_background_blend ' +\n 'layer_background_alpha layer_background_index layer_background_speed ' +\n 'layer_background_get_visible layer_background_get_sprite ' +\n 'layer_background_get_htiled layer_background_get_vtiled ' +\n 'layer_background_get_stretch layer_background_get_yscale ' +\n 'layer_background_get_xscale layer_background_get_blend ' +\n 'layer_background_get_alpha layer_background_get_index ' +\n 'layer_background_get_speed layer_sprite_get_id layer_sprite_exists ' +\n 'layer_sprite_create layer_sprite_destroy layer_sprite_change ' +\n 'layer_sprite_index layer_sprite_speed layer_sprite_xscale ' +\n 'layer_sprite_yscale layer_sprite_angle layer_sprite_blend ' +\n 'layer_sprite_alpha layer_sprite_x layer_sprite_y ' +\n 'layer_sprite_get_sprite layer_sprite_get_index ' +\n 'layer_sprite_get_speed layer_sprite_get_xscale ' +\n 'layer_sprite_get_yscale layer_sprite_get_angle ' +\n 'layer_sprite_get_blend layer_sprite_get_alpha layer_sprite_get_x ' +\n 'layer_sprite_get_y layer_tilemap_get_id layer_tilemap_exists ' +\n 'layer_tilemap_create layer_tilemap_destroy tilemap_tileset tilemap_x ' +\n 'tilemap_y tilemap_set tilemap_set_at_pixel tilemap_get_tileset ' +\n 'tilemap_get_tile_width tilemap_get_tile_height tilemap_get_width ' +\n 'tilemap_get_height tilemap_get_x tilemap_get_y tilemap_get ' +\n 'tilemap_get_at_pixel tilemap_get_cell_x_at_pixel ' +\n 'tilemap_get_cell_y_at_pixel tilemap_clear draw_tilemap draw_tile ' +\n 'tilemap_set_global_mask tilemap_get_global_mask tilemap_set_mask ' +\n 'tilemap_get_mask tilemap_get_frame tile_set_empty tile_set_index ' +\n 'tile_set_flip tile_set_mirror tile_set_rotate tile_get_empty ' +\n 'tile_get_index tile_get_flip tile_get_mirror tile_get_rotate ' +\n 'layer_tile_exists layer_tile_create layer_tile_destroy ' +\n 'layer_tile_change layer_tile_xscale layer_tile_yscale ' +\n 'layer_tile_blend layer_tile_alpha layer_tile_x layer_tile_y ' +\n 'layer_tile_region layer_tile_visible layer_tile_get_sprite ' +\n 'layer_tile_get_xscale layer_tile_get_yscale layer_tile_get_blend ' +\n 'layer_tile_get_alpha layer_tile_get_x layer_tile_get_y ' +\n 'layer_tile_get_region layer_tile_get_visible ' +\n 'layer_instance_get_instance instance_activate_layer ' +\n 'instance_deactivate_layer camera_create camera_create_view ' +\n 'camera_destroy camera_apply camera_get_active camera_get_default ' +\n 'camera_set_default camera_set_view_mat camera_set_proj_mat ' +\n 'camera_set_update_script camera_set_begin_script ' +\n 'camera_set_end_script camera_set_view_pos camera_set_view_size ' +\n 'camera_set_view_speed camera_set_view_border camera_set_view_angle ' +\n 'camera_set_view_target camera_get_view_mat camera_get_proj_mat ' +\n 'camera_get_update_script camera_get_begin_script ' +\n 'camera_get_end_script camera_get_view_x camera_get_view_y ' +\n 'camera_get_view_width camera_get_view_height camera_get_view_speed_x ' +\n 'camera_get_view_speed_y camera_get_view_border_x ' +\n 'camera_get_view_border_y camera_get_view_angle ' +\n 'camera_get_view_target view_get_camera view_get_visible ' +\n 'view_get_xport view_get_yport view_get_wport view_get_hport ' +\n 'view_get_surface_id view_set_camera view_set_visible view_set_xport ' +\n 'view_set_yport view_set_wport view_set_hport view_set_surface_id ' +\n 'gesture_drag_time gesture_drag_distance gesture_flick_speed ' +\n 'gesture_double_tap_time gesture_double_tap_distance ' +\n 'gesture_pinch_distance gesture_pinch_angle_towards ' +\n 'gesture_pinch_angle_away gesture_rotate_time gesture_rotate_angle ' +\n 'gesture_tap_count gesture_get_drag_time gesture_get_drag_distance ' +\n 'gesture_get_flick_speed gesture_get_double_tap_time ' +\n 'gesture_get_double_tap_distance gesture_get_pinch_distance ' +\n 'gesture_get_pinch_angle_towards gesture_get_pinch_angle_away ' +\n 'gesture_get_rotate_time gesture_get_rotate_angle ' +\n 'gesture_get_tap_count keyboard_virtual_show keyboard_virtual_hide ' +\n 'keyboard_virtual_status keyboard_virtual_height',\n literal: 'self other all noone global local undefined pointer_invalid ' +\n 'pointer_null path_action_stop path_action_restart ' +\n 'path_action_continue path_action_reverse true false pi GM_build_date ' +\n 'GM_version GM_runtime_version timezone_local timezone_utc ' +\n 'gamespeed_fps gamespeed_microseconds ev_create ev_destroy ev_step ' +\n 'ev_alarm ev_keyboard ev_mouse ev_collision ev_other ev_draw ' +\n 'ev_draw_begin ev_draw_end ev_draw_pre ev_draw_post ev_keypress ' +\n 'ev_keyrelease ev_trigger ev_left_button ev_right_button ' +\n 'ev_middle_button ev_no_button ev_left_press ev_right_press ' +\n 'ev_middle_press ev_left_release ev_right_release ev_middle_release ' +\n 'ev_mouse_enter ev_mouse_leave ev_mouse_wheel_up ev_mouse_wheel_down ' +\n 'ev_global_left_button ev_global_right_button ev_global_middle_button ' +\n 'ev_global_left_press ev_global_right_press ev_global_middle_press ' +\n 'ev_global_left_release ev_global_right_release ' +\n 'ev_global_middle_release ev_joystick1_left ev_joystick1_right ' +\n 'ev_joystick1_up ev_joystick1_down ev_joystick1_button1 ' +\n 'ev_joystick1_button2 ev_joystick1_button3 ev_joystick1_button4 ' +\n 'ev_joystick1_button5 ev_joystick1_button6 ev_joystick1_button7 ' +\n 'ev_joystick1_button8 ev_joystick2_left ev_joystick2_right ' +\n 'ev_joystick2_up ev_joystick2_down ev_joystick2_button1 ' +\n 'ev_joystick2_button2 ev_joystick2_button3 ev_joystick2_button4 ' +\n 'ev_joystick2_button5 ev_joystick2_button6 ev_joystick2_button7 ' +\n 'ev_joystick2_button8 ev_outside ev_boundary ev_game_start ' +\n 'ev_game_end ev_room_start ev_room_end ev_no_more_lives ' +\n 'ev_animation_end ev_end_of_path ev_no_more_health ev_close_button ' +\n 'ev_user0 ev_user1 ev_user2 ev_user3 ev_user4 ev_user5 ev_user6 ' +\n 'ev_user7 ev_user8 ev_user9 ev_user10 ev_user11 ev_user12 ev_user13 ' +\n 'ev_user14 ev_user15 ev_step_normal ev_step_begin ev_step_end ev_gui ' +\n 'ev_gui_begin ev_gui_end ev_cleanup ev_gesture ev_gesture_tap ' +\n 'ev_gesture_double_tap ev_gesture_drag_start ev_gesture_dragging ' +\n 'ev_gesture_drag_end ev_gesture_flick ev_gesture_pinch_start ' +\n 'ev_gesture_pinch_in ev_gesture_pinch_out ev_gesture_pinch_end ' +\n 'ev_gesture_rotate_start ev_gesture_rotating ev_gesture_rotate_end ' +\n 'ev_global_gesture_tap ev_global_gesture_double_tap ' +\n 'ev_global_gesture_drag_start ev_global_gesture_dragging ' +\n 'ev_global_gesture_drag_end ev_global_gesture_flick ' +\n 'ev_global_gesture_pinch_start ev_global_gesture_pinch_in ' +\n 'ev_global_gesture_pinch_out ev_global_gesture_pinch_end ' +\n 'ev_global_gesture_rotate_start ev_global_gesture_rotating ' +\n 'ev_global_gesture_rotate_end vk_nokey vk_anykey vk_enter vk_return ' +\n 'vk_shift vk_control vk_alt vk_escape vk_space vk_backspace vk_tab ' +\n 'vk_pause vk_printscreen vk_left vk_right vk_up vk_down vk_home ' +\n 'vk_end vk_delete vk_insert vk_pageup vk_pagedown vk_f1 vk_f2 vk_f3 ' +\n 'vk_f4 vk_f5 vk_f6 vk_f7 vk_f8 vk_f9 vk_f10 vk_f11 vk_f12 vk_numpad0 ' +\n 'vk_numpad1 vk_numpad2 vk_numpad3 vk_numpad4 vk_numpad5 vk_numpad6 ' +\n 'vk_numpad7 vk_numpad8 vk_numpad9 vk_divide vk_multiply vk_subtract ' +\n 'vk_add vk_decimal vk_lshift vk_lcontrol vk_lalt vk_rshift ' +\n 'vk_rcontrol vk_ralt mb_any mb_none mb_left mb_right mb_middle ' +\n 'c_aqua c_black c_blue c_dkgray c_fuchsia c_gray c_green c_lime ' +\n 'c_ltgray c_maroon c_navy c_olive c_purple c_red c_silver c_teal ' +\n 'c_white c_yellow c_orange fa_left fa_center fa_right fa_top ' +\n 'fa_middle fa_bottom pr_pointlist pr_linelist pr_linestrip ' +\n 'pr_trianglelist pr_trianglestrip pr_trianglefan bm_complex bm_normal ' +\n 'bm_add bm_max bm_subtract bm_zero bm_one bm_src_colour ' +\n 'bm_inv_src_colour bm_src_color bm_inv_src_color bm_src_alpha ' +\n 'bm_inv_src_alpha bm_dest_alpha bm_inv_dest_alpha bm_dest_colour ' +\n 'bm_inv_dest_colour bm_dest_color bm_inv_dest_color bm_src_alpha_sat ' +\n 'tf_point tf_linear tf_anisotropic mip_off mip_on mip_markedonly ' +\n 'audio_falloff_none audio_falloff_inverse_distance ' +\n 'audio_falloff_inverse_distance_clamped audio_falloff_linear_distance ' +\n 'audio_falloff_linear_distance_clamped ' +\n 'audio_falloff_exponent_distance ' +\n 'audio_falloff_exponent_distance_clamped audio_old_system ' +\n 'audio_new_system audio_mono audio_stereo audio_3d cr_default cr_none ' +\n 'cr_arrow cr_cross cr_beam cr_size_nesw cr_size_ns cr_size_nwse ' +\n 'cr_size_we cr_uparrow cr_hourglass cr_drag cr_appstart cr_handpoint ' +\n 'cr_size_all spritespeed_framespersecond ' +\n 'spritespeed_framespergameframe asset_object asset_unknown ' +\n 'asset_sprite asset_sound asset_room asset_path asset_script ' +\n 'asset_font asset_timeline asset_tiles asset_shader fa_readonly ' +\n 'fa_hidden fa_sysfile fa_volumeid fa_directory fa_archive ' +\n 'ds_type_map ds_type_list ds_type_stack ds_type_queue ds_type_grid ' +\n 'ds_type_priority ef_explosion ef_ring ef_ellipse ef_firework ' +\n 'ef_smoke ef_smokeup ef_star ef_spark ef_flare ef_cloud ef_rain ' +\n 'ef_snow pt_shape_pixel pt_shape_disk pt_shape_square pt_shape_line ' +\n 'pt_shape_star pt_shape_circle pt_shape_ring pt_shape_sphere ' +\n 'pt_shape_flare pt_shape_spark pt_shape_explosion pt_shape_cloud ' +\n 'pt_shape_smoke pt_shape_snow ps_distr_linear ps_distr_gaussian ' +\n 'ps_distr_invgaussian ps_shape_rectangle ps_shape_ellipse ' +\n 'ps_shape_diamond ps_shape_line ty_real ty_string dll_cdecl ' +\n 'dll_stdcall matrix_view matrix_projection matrix_world os_win32 ' +\n 'os_windows os_macosx os_ios os_android os_symbian os_linux ' +\n 'os_unknown os_winphone os_tizen os_win8native ' +\n 'os_wiiu os_3ds os_psvita os_bb10 os_ps4 os_xboxone ' +\n 'os_ps3 os_xbox360 os_uwp os_tvos os_switch ' +\n 'browser_not_a_browser browser_unknown browser_ie browser_firefox ' +\n 'browser_chrome browser_safari browser_safari_mobile browser_opera ' +\n 'browser_tizen browser_edge browser_windows_store browser_ie_mobile ' +\n 'device_ios_unknown device_ios_iphone device_ios_iphone_retina ' +\n 'device_ios_ipad device_ios_ipad_retina device_ios_iphone5 ' +\n 'device_ios_iphone6 device_ios_iphone6plus device_emulator ' +\n 'device_tablet display_landscape display_landscape_flipped ' +\n 'display_portrait display_portrait_flipped tm_sleep tm_countvsyncs ' +\n 'of_challenge_win of_challen ge_lose of_challenge_tie ' +\n 'leaderboard_type_number leaderboard_type_time_mins_secs ' +\n 'cmpfunc_never cmpfunc_less cmpfunc_equal cmpfunc_lessequal ' +\n 'cmpfunc_greater cmpfunc_notequal cmpfunc_greaterequal cmpfunc_always ' +\n 'cull_noculling cull_clockwise cull_counterclockwise lighttype_dir ' +\n 'lighttype_point iap_ev_storeload iap_ev_product iap_ev_purchase ' +\n 'iap_ev_consume iap_ev_restore iap_storeload_ok iap_storeload_failed ' +\n 'iap_status_uninitialised iap_status_unavailable iap_status_loading ' +\n 'iap_status_available iap_status_processing iap_status_restoring ' +\n 'iap_failed iap_unavailable iap_available iap_purchased iap_canceled ' +\n 'iap_refunded fb_login_default fb_login_fallback_to_webview ' +\n 'fb_login_no_fallback_to_webview fb_login_forcing_webview ' +\n 'fb_login_use_system_account fb_login_forcing_safari ' +\n 'phy_joint_anchor_1_x phy_joint_anchor_1_y phy_joint_anchor_2_x ' +\n 'phy_joint_anchor_2_y phy_joint_reaction_force_x ' +\n 'phy_joint_reaction_force_y phy_joint_reaction_torque ' +\n 'phy_joint_motor_speed phy_joint_angle phy_joint_motor_torque ' +\n 'phy_joint_max_motor_torque phy_joint_translation phy_joint_speed ' +\n 'phy_joint_motor_force phy_joint_max_motor_force phy_joint_length_1 ' +\n 'phy_joint_length_2 phy_joint_damping_ratio phy_joint_frequency ' +\n 'phy_joint_lower_angle_limit phy_joint_upper_angle_limit ' +\n 'phy_joint_angle_limits phy_joint_max_length phy_joint_max_torque ' +\n 'phy_joint_max_force phy_debug_render_aabb ' +\n 'phy_debug_render_collision_pairs phy_debug_render_coms ' +\n 'phy_debug_render_core_shapes phy_debug_render_joints ' +\n 'phy_debug_render_obb phy_debug_render_shapes ' +\n 'phy_particle_flag_water phy_particle_flag_zombie ' +\n 'phy_particle_flag_wall phy_particle_flag_spring ' +\n 'phy_particle_flag_elastic phy_particle_flag_viscous ' +\n 'phy_particle_flag_powder phy_particle_flag_tensile ' +\n 'phy_particle_flag_colourmixing phy_particle_flag_colormixing ' +\n 'phy_particle_group_flag_solid phy_particle_group_flag_rigid ' +\n 'phy_particle_data_flag_typeflags phy_particle_data_flag_position ' +\n 'phy_particle_data_flag_velocity phy_particle_data_flag_colour ' +\n 'phy_particle_data_flag_color phy_particle_data_flag_category ' +\n 'achievement_our_info achievement_friends_info ' +\n 'achievement_leaderboard_info achievement_achievement_info ' +\n 'achievement_filter_all_players achievement_filter_friends_only ' +\n 'achievement_filter_favorites_only ' +\n 'achievement_type_achievement_challenge ' +\n 'achievement_type_score_challenge achievement_pic_loaded ' +\n 'achievement_show_ui achievement_show_profile ' +\n 'achievement_show_leaderboard achievement_show_achievement ' +\n 'achievement_show_bank achievement_show_friend_picker ' +\n 'achievement_show_purchase_prompt network_socket_tcp ' +\n 'network_socket_udp network_socket_bluetooth network_type_connect ' +\n 'network_type_disconnect network_type_data ' +\n 'network_type_non_blocking_connect network_config_connect_timeout ' +\n 'network_config_use_non_blocking_socket ' +\n 'network_config_enable_reliable_udp ' +\n 'network_config_disable_reliable_udp buffer_fixed buffer_grow ' +\n 'buffer_wrap buffer_fast buffer_vbuffer buffer_network buffer_u8 ' +\n 'buffer_s8 buffer_u16 buffer_s16 buffer_u32 buffer_s32 buffer_u64 ' +\n 'buffer_f16 buffer_f32 buffer_f64 buffer_bool buffer_text ' +\n 'buffer_string buffer_surface_copy buffer_seek_start ' +\n 'buffer_seek_relative buffer_seek_end ' +\n 'buffer_generalerror buffer_outofspace buffer_outofbounds ' +\n 'buffer_invalidtype text_type button_type input_type ANSI_CHARSET ' +\n 'DEFAULT_CHARSET EASTEUROPE_CHARSET RUSSIAN_CHARSET SYMBOL_CHARSET ' +\n 'SHIFTJIS_CHARSET HANGEUL_CHARSET GB2312_CHARSET CHINESEBIG5_CHARSET ' +\n 'JOHAB_CHARSET HEBREW_CHARSET ARABIC_CHARSET GREEK_CHARSET ' +\n 'TURKISH_CHARSET VIETNAMESE_CHARSET THAI_CHARSET MAC_CHARSET ' +\n 'BALTIC_CHARSET OEM_CHARSET gp_face1 gp_face2 gp_face3 gp_face4 ' +\n 'gp_shoulderl gp_shoulderr gp_shoulderlb gp_shoulderrb gp_select ' +\n 'gp_start gp_stickl gp_stickr gp_padu gp_padd gp_padl gp_padr ' +\n 'gp_axislh gp_axislv gp_axisrh gp_axisrv ov_friends ov_community ' +\n 'ov_players ov_settings ov_gamegroup ov_achievements lb_sort_none ' +\n 'lb_sort_ascending lb_sort_descending lb_disp_none lb_disp_numeric ' +\n 'lb_disp_time_sec lb_disp_time_ms ugc_result_success ' +\n 'ugc_filetype_community ugc_filetype_microtrans ugc_visibility_public ' +\n 'ugc_visibility_friends_only ugc_visibility_private ' +\n 'ugc_query_RankedByVote ugc_query_RankedByPublicationDate ' +\n 'ugc_query_AcceptedForGameRankedByAcceptanceDate ' +\n 'ugc_query_RankedByTrend ' +\n 'ugc_query_FavoritedByFriendsRankedByPublicationDate ' +\n 'ugc_query_CreatedByFriendsRankedByPublicationDate ' +\n 'ugc_query_RankedByNumTimesReported ' +\n 'ugc_query_CreatedByFollowedUsersRankedByPublicationDate ' +\n 'ugc_query_NotYetRated ugc_query_RankedByTotalVotesAsc ' +\n 'ugc_query_RankedByVotesUp ugc_query_RankedByTextSearch ' +\n 'ugc_sortorder_CreationOrderDesc ugc_sortorder_CreationOrderAsc ' +\n 'ugc_sortorder_TitleAsc ugc_sortorder_LastUpdatedDesc ' +\n 'ugc_sortorder_SubscriptionDateDesc ugc_sortorder_VoteScoreDesc ' +\n 'ugc_sortorder_ForModeration ugc_list_Published ugc_list_VotedOn ' +\n 'ugc_list_VotedUp ugc_list_VotedDown ugc_list_WillVoteLater ' +\n 'ugc_list_Favorited ugc_list_Subscribed ugc_list_UsedOrPlayed ' +\n 'ugc_list_Followed ugc_match_Items ugc_match_Items_Mtx ' +\n 'ugc_match_Items_ReadyToUse ugc_match_Collections ugc_match_Artwork ' +\n 'ugc_match_Videos ugc_match_Screenshots ugc_match_AllGuides ' +\n 'ugc_match_WebGuides ugc_match_IntegratedGuides ' +\n 'ugc_match_UsableInGame ugc_match_ControllerBindings ' +\n 'vertex_usage_position vertex_usage_colour vertex_usage_color ' +\n 'vertex_usage_normal vertex_usage_texcoord vertex_usage_textcoord ' +\n 'vertex_usage_blendweight vertex_usage_blendindices ' +\n 'vertex_usage_psize vertex_usage_tangent vertex_usage_binormal ' +\n 'vertex_usage_fog vertex_usage_depth vertex_usage_sample ' +\n 'vertex_type_float1 vertex_type_float2 vertex_type_float3 ' +\n 'vertex_type_float4 vertex_type_colour vertex_type_color ' +\n 'vertex_type_ubyte4 layerelementtype_undefined ' +\n 'layerelementtype_background layerelementtype_instance ' +\n 'layerelementtype_oldtilemap layerelementtype_sprite ' +\n 'layerelementtype_tilemap layerelementtype_particlesystem ' +\n 'layerelementtype_tile tile_rotate tile_flip tile_mirror ' +\n 'tile_index_mask kbv_type_default kbv_type_ascii kbv_type_url ' +\n 'kbv_type_email kbv_type_numbers kbv_type_phone kbv_type_phone_name ' +\n 'kbv_returnkey_default kbv_returnkey_go kbv_returnkey_google ' +\n 'kbv_returnkey_join kbv_returnkey_next kbv_returnkey_route ' +\n 'kbv_returnkey_search kbv_returnkey_send kbv_returnkey_yahoo ' +\n 'kbv_returnkey_done kbv_returnkey_continue kbv_returnkey_emergency ' +\n 'kbv_autocapitalize_none kbv_autocapitalize_words ' +\n 'kbv_autocapitalize_sentences kbv_autocapitalize_characters',\n symbol: 'argument_relative argument argument0 argument1 argument2 ' +\n 'argument3 argument4 argument5 argument6 argument7 argument8 ' +\n 'argument9 argument10 argument11 argument12 argument13 argument14 ' +\n 'argument15 argument_count x y xprevious yprevious xstart ystart ' +\n 'hspeed vspeed direction speed friction gravity gravity_direction ' +\n 'path_index path_position path_positionprevious path_speed ' +\n 'path_scale path_orientation path_endaction object_index id solid ' +\n 'persistent mask_index instance_count instance_id room_speed fps ' +\n 'fps_real current_time current_year current_month current_day ' +\n 'current_weekday current_hour current_minute current_second alarm ' +\n 'timeline_index timeline_position timeline_speed timeline_running ' +\n 'timeline_loop room room_first room_last room_width room_height ' +\n 'room_caption room_persistent score lives health show_score ' +\n 'show_lives show_health caption_score caption_lives caption_health ' +\n 'event_type event_number event_object event_action ' +\n 'application_surface gamemaker_pro gamemaker_registered ' +\n 'gamemaker_version error_occurred error_last debug_mode ' +\n 'keyboard_key keyboard_lastkey keyboard_lastchar keyboard_string ' +\n 'mouse_x mouse_y mouse_button mouse_lastbutton cursor_sprite ' +\n 'visible sprite_index sprite_width sprite_height sprite_xoffset ' +\n 'sprite_yoffset image_number image_index image_speed depth ' +\n 'image_xscale image_yscale image_angle image_alpha image_blend ' +\n 'bbox_left bbox_right bbox_top bbox_bottom layer background_colour ' +\n 'background_showcolour background_color background_showcolor ' +\n 'view_enabled view_current view_visible view_xview view_yview ' +\n 'view_wview view_hview view_xport view_yport view_wport view_hport ' +\n 'view_angle view_hborder view_vborder view_hspeed view_vspeed ' +\n 'view_object view_surface_id view_camera game_id game_display_name ' +\n 'game_project_name game_save_id working_directory temp_directory ' +\n 'program_directory browser_width browser_height os_type os_device ' +\n 'os_browser os_version display_aa async_load delta_time ' +\n 'webgl_enabled event_data iap_data phy_rotation phy_position_x ' +\n 'phy_position_y phy_angular_velocity phy_linear_velocity_x ' +\n 'phy_linear_velocity_y phy_speed_x phy_speed_y phy_speed ' +\n 'phy_angular_damping phy_linear_damping phy_bullet ' +\n 'phy_fixed_rotation phy_active phy_mass phy_inertia phy_com_x ' +\n 'phy_com_y phy_dynamic phy_kinematic phy_sleeping ' +\n 'phy_collision_points phy_collision_x phy_collision_y ' +\n 'phy_col_normal_x phy_col_normal_y phy_position_xprevious ' +\n 'phy_position_yprevious'\n };\n\n return {\n aliases: ['gml', 'GML'],\n case_insensitive: false, // language is case-insensitive\n keywords: GML_KEYWORDS,\n\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/go.js b/js/highlight/go.js
index 8f17aa3f6..0c1047834 100644
--- a/js/highlight/go.js
+++ b/js/highlight/go.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[68],{434:function(e,n){e.exports=function(e){var n={keyword:"break default func interface select case map struct chan else goto package switch const fallthrough if range type continue for import return var go defer bool byte complex64 complex128 float32 float64 int8 int16 int32 int64 string uint8 uint16 uint32 uint64 int uint uintptr rune",literal:"true false iota nil",built_in:"append cap close complex copy imag len make new panic print println real recover delete"};return{aliases:["golang"],keywords:n,illegal:"</",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",variants:[e.QUOTE_STRING_MODE,{begin:"'",end:"[^\\\\]'"},{begin:"`",end:"`"}]},{className:"number",variants:[{begin:e.C_NUMBER_RE+"[i]",relevance:1},e.C_NUMBER_MODE]},{begin:/:=/},{className:"function",beginKeywords:"func",end:"\\s*(\\{|$)",excludeEnd:!0,contains:[e.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:n,illegal:/["']/}]}]}}}}]);
-//# sourceMappingURL=go.js.map?v=9184313b6a16567d122d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[68],{435:function(e,n){e.exports=function(e){var n={keyword:"break default func interface select case map struct chan else goto package switch const fallthrough if range type continue for import return var go defer bool byte complex64 complex128 float32 float64 int8 int16 int32 int64 string uint8 uint16 uint32 uint64 int uint uintptr rune",literal:"true false iota nil",built_in:"append cap close complex copy imag len make new panic print println real recover delete"};return{aliases:["golang"],keywords:n,illegal:"</",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",variants:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{begin:"`",end:"`"}]},{className:"number",variants:[{begin:e.C_NUMBER_RE+"[i]",relevance:1},e.C_NUMBER_MODE]},{begin:/:=/},{className:"function",beginKeywords:"func",end:"\\s*(\\{|$)",excludeEnd:!0,contains:[e.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:n,illegal:/["']/}]}]}}}}]);
+//# sourceMappingURL=go.js.map?v=8ba3db8152267cfaeb1b \ No newline at end of file
diff --git a/js/highlight/go.js.map b/js/highlight/go.js.map
index b423645ff..0ac396181 100644
--- a/js/highlight/go.js.map
+++ b/js/highlight/go.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/go.js"],"names":["module","exports","hljs","GO_KEYWORDS","keyword","literal","built_in","aliases","keywords","illegal","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","className","variants","QUOTE_STRING_MODE","begin","end","C_NUMBER_RE","relevance","C_NUMBER_MODE","beginKeywords","excludeEnd","TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAc,CAChBC,QACE,0RAIFC,QACG,sBACHC,SACE,2FAEJ,MAAO,CACLC,QAAS,CAAC,UACVC,SAAUL,EACVM,QAAS,KACTC,SAAU,CACRR,EAAKS,oBACLT,EAAKU,qBACL,CACEC,UAAW,SACXC,SAAU,CACRZ,EAAKa,kBACL,CAACC,MAAO,IAAMC,IAAK,YACnB,CAACD,MAAO,IAAKC,IAAK,OAGtB,CACEJ,UAAW,SACXC,SAAU,CACR,CAACE,MAAOd,EAAKgB,YAAc,MAAOC,UAAW,GAC7CjB,EAAKkB,gBAGT,CACEJ,MAAO,MAET,CACEH,UAAW,WACXQ,cAAe,OAAQJ,IAAK,cAAeK,YAAY,EACvDZ,SAAU,CACRR,EAAKqB,WACL,CACEV,UAAW,SACXG,MAAO,KAAMC,IAAK,KAClBT,SAAUL,EACVM,QAAS","file":"highlight/go.js?v=9184313b6a16567d122d","sourcesContent":["module.exports = function(hljs) {\n var GO_KEYWORDS = {\n keyword:\n 'break default func interface select case map struct chan else goto package switch ' +\n 'const fallthrough if range type continue for import return var go defer ' +\n 'bool byte complex64 complex128 float32 float64 int8 int16 int32 int64 string uint8 ' +\n 'uint16 uint32 uint64 int uint uintptr rune',\n literal:\n 'true false iota nil',\n built_in:\n 'append cap close complex copy imag len make new panic print println real recover delete'\n };\n return {\n aliases: ['golang'],\n keywords: GO_KEYWORDS,\n illegal: '</',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'string',\n variants: [\n hljs.QUOTE_STRING_MODE,\n {begin: '\\'', end: '[^\\\\\\\\]\\''},\n {begin: '`', end: '`'},\n ]\n },\n {\n className: 'number',\n variants: [\n {begin: hljs.C_NUMBER_RE + '[i]', relevance: 1},\n hljs.C_NUMBER_MODE\n ]\n },\n {\n begin: /:=/ // relevance booster\n },\n {\n className: 'function',\n beginKeywords: 'func', end: '\\\\s*(\\\\{|$)', excludeEnd: true,\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n keywords: GO_KEYWORDS,\n illegal: /[\"']/\n }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/go.js"],"names":["module","exports","hljs","GO_KEYWORDS","keyword","literal","built_in","aliases","keywords","illegal","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","className","variants","QUOTE_STRING_MODE","APOS_STRING_MODE","begin","end","C_NUMBER_RE","relevance","C_NUMBER_MODE","beginKeywords","excludeEnd","TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAc,CAChBC,QACE,0RAIFC,QACG,sBACHC,SACE,2FAEJ,MAAO,CACLC,QAAS,CAAC,UACVC,SAAUL,EACVM,QAAS,KACTC,SAAU,CACRR,EAAKS,oBACLT,EAAKU,qBACL,CACEC,UAAW,SACXC,SAAU,CACRZ,EAAKa,kBACLb,EAAKc,iBACL,CAACC,MAAO,IAAKC,IAAK,OAGtB,CACEL,UAAW,SACXC,SAAU,CACR,CAACG,MAAOf,EAAKiB,YAAc,MAAOC,UAAW,GAC7ClB,EAAKmB,gBAGT,CACEJ,MAAO,MAET,CACEJ,UAAW,WACXS,cAAe,OAAQJ,IAAK,cAAeK,YAAY,EACvDb,SAAU,CACRR,EAAKsB,WACL,CACEX,UAAW,SACXI,MAAO,KAAMC,IAAK,KAClBV,SAAUL,EACVM,QAAS","file":"highlight/go.js?v=8ba3db8152267cfaeb1b","sourcesContent":["module.exports = function(hljs) {\n var GO_KEYWORDS = {\n keyword:\n 'break default func interface select case map struct chan else goto package switch ' +\n 'const fallthrough if range type continue for import return var go defer ' +\n 'bool byte complex64 complex128 float32 float64 int8 int16 int32 int64 string uint8 ' +\n 'uint16 uint32 uint64 int uint uintptr rune',\n literal:\n 'true false iota nil',\n built_in:\n 'append cap close complex copy imag len make new panic print println real recover delete'\n };\n return {\n aliases: ['golang'],\n keywords: GO_KEYWORDS,\n illegal: '</',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'string',\n variants: [\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n {begin: '`', end: '`'},\n ]\n },\n {\n className: 'number',\n variants: [\n {begin: hljs.C_NUMBER_RE + '[i]', relevance: 1},\n hljs.C_NUMBER_MODE\n ]\n },\n {\n begin: /:=/ // relevance booster\n },\n {\n className: 'function',\n beginKeywords: 'func', end: '\\\\s*(\\\\{|$)', excludeEnd: true,\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n keywords: GO_KEYWORDS,\n illegal: /[\"']/\n }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/golo.js b/js/highlight/golo.js
index 526f0f152..a3bdcc4a4 100644
--- a/js/highlight/golo.js
+++ b/js/highlight/golo.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[69],{435:function(e,t){e.exports=function(e){return{keywords:{keyword:"println readln print import module function local return let var while for foreach times in case when match with break continue augment augmentation each find filter reduce if then else otherwise try catch finally raise throw orIfNull DynamicObject|10 DynamicVariable struct Observable map set vector list array",literal:"true false null"},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"}]}}}}]);
-//# sourceMappingURL=golo.js.map?v=e849b1f0d657b6bcedcc \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[69],{436:function(e,t){e.exports=function(e){return{keywords:{keyword:"println readln print import module function local return let var while for foreach times in case when match with break continue augment augmentation each find filter reduce if then else otherwise try catch finally raise throw orIfNull DynamicObject|10 DynamicVariable struct Observable map set vector list array",literal:"true false null"},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"}]}}}}]);
+//# sourceMappingURL=golo.js.map?v=2dbea908dd7c4a0e74a1 \ No newline at end of file
diff --git a/js/highlight/golo.js.map b/js/highlight/golo.js.map
index 124f1630f..dfa089f5e 100644
--- a/js/highlight/golo.js.map
+++ b/js/highlight/golo.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/golo.js"],"names":["module","exports","hljs","keywords","keyword","literal","contains","HASH_COMMENT_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE","className","begin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACtB,MAAO,CACLC,SAAU,CACRC,QACE,0TAKFC,QACE,mBAEJC,SAAU,CACRJ,EAAKK,kBACLL,EAAKM,kBACLN,EAAKO,cACL,CACEC,UAAW,OAAQC,MAAO","file":"highlight/golo.js?v=e849b1f0d657b6bcedcc","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword:\n 'println readln print import module function local return let var ' +\n 'while for foreach times in case when match with break continue ' +\n 'augment augmentation each find filter reduce ' +\n 'if then else otherwise try catch finally raise throw orIfNull ' +\n 'DynamicObject|10 DynamicVariable struct Observable map set vector list array',\n literal:\n 'true false null'\n },\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'meta', begin: '@[A-Za-z]+'\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/golo.js"],"names":["module","exports","hljs","keywords","keyword","literal","contains","HASH_COMMENT_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE","className","begin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACtB,MAAO,CACLC,SAAU,CACRC,QACE,0TAKFC,QACE,mBAEJC,SAAU,CACRJ,EAAKK,kBACLL,EAAKM,kBACLN,EAAKO,cACL,CACEC,UAAW,OAAQC,MAAO","file":"highlight/golo.js?v=2dbea908dd7c4a0e74a1","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword:\n 'println readln print import module function local return let var ' +\n 'while for foreach times in case when match with break continue ' +\n 'augment augmentation each find filter reduce ' +\n 'if then else otherwise try catch finally raise throw orIfNull ' +\n 'DynamicObject|10 DynamicVariable struct Observable map set vector list array',\n literal:\n 'true false null'\n },\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'meta', begin: '@[A-Za-z]+'\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/gradle.js b/js/highlight/gradle.js
index 0666c54ee..d546133ee 100644
--- a/js/highlight/gradle.js
+++ b/js/highlight/gradle.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[70],{436:function(e,t){e.exports=function(e){return{case_insensitive:!0,keywords:{keyword:"task project allprojects subprojects artifacts buildscript configurations dependencies repositories sourceSets description delete from into include exclude source classpath destinationDir includes options sourceCompatibility targetCompatibility group flatDir doLast doFirst flatten todir fromdir ant def abstract break case catch continue default do else extends final finally for if implements instanceof native new private protected public return static switch synchronized throw throws transient try volatile while strictfp package import false null super this true antlrtask checkstyle codenarc copy boolean byte char class double float int interface long short void compile runTime file fileTree abs any append asList asWritable call collect compareTo count div dump each eachByte eachFile eachLine every find findAll flatten getAt getErr getIn getOut getText grep immutable inject inspect intersect invokeMethods isCase join leftShift minus multiply newInputStream newOutputStream newPrintWriter newReader newWriter next plus pop power previous print println push putAt read readBytes readLines reverse reverseEach round size sort splitEachLine step subMap times toInteger toList tokenize upto waitForOrKill withPrintWriter withReader withStream withWriter withWriterAppend write writeLine"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.REGEXP_MODE]}}}}]);
-//# sourceMappingURL=gradle.js.map?v=db86e40009abe2cb833f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[70],{437:function(e,t){e.exports=function(e){return{case_insensitive:!0,keywords:{keyword:"task project allprojects subprojects artifacts buildscript configurations dependencies repositories sourceSets description delete from into include exclude source classpath destinationDir includes options sourceCompatibility targetCompatibility group flatDir doLast doFirst flatten todir fromdir ant def abstract break case catch continue default do else extends final finally for if implements instanceof native new private protected public return static switch synchronized throw throws transient try volatile while strictfp package import false null super this true antlrtask checkstyle codenarc copy boolean byte char class double float int interface long short void compile runTime file fileTree abs any append asList asWritable call collect compareTo count div dump each eachByte eachFile eachLine every find findAll flatten getAt getErr getIn getOut getText grep immutable inject inspect intersect invokeMethods isCase join leftShift minus multiply newInputStream newOutputStream newPrintWriter newReader newWriter next plus pop power previous print println push putAt read readBytes readLines reverse reverseEach round size sort splitEachLine step subMap times toInteger toList tokenize upto waitForOrKill withPrintWriter withReader withStream withWriter withWriterAppend write writeLine"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.REGEXP_MODE]}}}}]);
+//# sourceMappingURL=gradle.js.map?v=c4208dc434db3ef75467 \ No newline at end of file
diff --git a/js/highlight/gradle.js.map b/js/highlight/gradle.js.map
index e3dece61d..d4cf075db 100644
--- a/js/highlight/gradle.js.map
+++ b/js/highlight/gradle.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gradle.js"],"names":["module","exports","hljs","case_insensitive","keywords","keyword","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","NUMBER_MODE","REGEXP_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACRC,QACE,mxCAkBJC,SAAU,CACRJ,EAAKK,oBACLL,EAAKM,qBACLN,EAAKO,iBACLP,EAAKQ,kBACLR,EAAKS,YACLT,EAAKU","file":"highlight/gradle.js?v=db86e40009abe2cb833f","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n keywords: {\n keyword:\n 'task project allprojects subprojects artifacts buildscript configurations ' +\n 'dependencies repositories sourceSets description delete from into include ' +\n 'exclude source classpath destinationDir includes options sourceCompatibility ' +\n 'targetCompatibility group flatDir doLast doFirst flatten todir fromdir ant ' +\n 'def abstract break case catch continue default do else extends final finally ' +\n 'for if implements instanceof native new private protected public return static ' +\n 'switch synchronized throw throws transient try volatile while strictfp package ' +\n 'import false null super this true antlrtask checkstyle codenarc copy boolean ' +\n 'byte char class double float int interface long short void compile runTime ' +\n 'file fileTree abs any append asList asWritable call collect compareTo count ' +\n 'div dump each eachByte eachFile eachLine every find findAll flatten getAt ' +\n 'getErr getIn getOut getText grep immutable inject inspect intersect invokeMethods ' +\n 'isCase join leftShift minus multiply newInputStream newOutputStream newPrintWriter ' +\n 'newReader newWriter next plus pop power previous print println push putAt read ' +\n 'readBytes readLines reverse reverseEach round size sort splitEachLine step subMap ' +\n 'times toInteger toList tokenize upto waitForOrKill withPrintWriter withReader ' +\n 'withStream withWriter withWriterAppend write writeLine'\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE,\n hljs.REGEXP_MODE\n\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/gradle.js"],"names":["module","exports","hljs","case_insensitive","keywords","keyword","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","NUMBER_MODE","REGEXP_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACRC,QACE,mxCAkBJC,SAAU,CACRJ,EAAKK,oBACLL,EAAKM,qBACLN,EAAKO,iBACLP,EAAKQ,kBACLR,EAAKS,YACLT,EAAKU","file":"highlight/gradle.js?v=c4208dc434db3ef75467","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n keywords: {\n keyword:\n 'task project allprojects subprojects artifacts buildscript configurations ' +\n 'dependencies repositories sourceSets description delete from into include ' +\n 'exclude source classpath destinationDir includes options sourceCompatibility ' +\n 'targetCompatibility group flatDir doLast doFirst flatten todir fromdir ant ' +\n 'def abstract break case catch continue default do else extends final finally ' +\n 'for if implements instanceof native new private protected public return static ' +\n 'switch synchronized throw throws transient try volatile while strictfp package ' +\n 'import false null super this true antlrtask checkstyle codenarc copy boolean ' +\n 'byte char class double float int interface long short void compile runTime ' +\n 'file fileTree abs any append asList asWritable call collect compareTo count ' +\n 'div dump each eachByte eachFile eachLine every find findAll flatten getAt ' +\n 'getErr getIn getOut getText grep immutable inject inspect intersect invokeMethods ' +\n 'isCase join leftShift minus multiply newInputStream newOutputStream newPrintWriter ' +\n 'newReader newWriter next plus pop power previous print println push putAt read ' +\n 'readBytes readLines reverse reverseEach round size sort splitEachLine step subMap ' +\n 'times toInteger toList tokenize upto waitForOrKill withPrintWriter withReader ' +\n 'withStream withWriter withWriterAppend write writeLine'\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE,\n hljs.REGEXP_MODE\n\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/groovy.js b/js/highlight/groovy.js
index ae4705eb9..d40192827 100644
--- a/js/highlight/groovy.js
+++ b/js/highlight/groovy.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[71],{437:function(e,n){e.exports=function(e){return{keywords:{literal:"true false null",keyword:"byte short char int long boolean float double void def as in assert trait super this abstract static volatile transient public private protected synchronized final class interface enum if else for while switch case break default continue throw throws try catch finally implements extends new import package return instanceof"},contains:[e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",begin:'"""',end:'"""'},{className:"string",begin:"'''",end:"'''"},{className:"string",begin:"\\$/",end:"/\\$",relevance:10},e.APOS_STRING_MODE,{className:"regexp",begin:/~?\/[^\/\n]+\//,contains:[e.BACKSLASH_ESCAPE]},e.QUOTE_STRING_MODE,{className:"meta",begin:"^#!/usr/bin/env",end:"$",illegal:"\n"},e.BINARY_NUMBER_MODE,{className:"class",beginKeywords:"class interface trait enum",end:"{",illegal:":",contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"},{className:"string",begin:/[^\?]{0}[A-Za-z0-9_$]+ *:/},{begin:/\?/,end:/\:/},{className:"symbol",begin:"^\\s*[A-Za-z0-9_$]+:",relevance:0}],illegal:/#|<\//}}}}]);
-//# sourceMappingURL=groovy.js.map?v=f2c66ec3181a23a20bb3 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[71],{438:function(e,n){e.exports=function(e){return{keywords:{literal:"true false null",keyword:"byte short char int long boolean float double void def as in assert trait super this abstract static volatile transient public private protected synchronized final class interface enum if else for while switch case break default continue throw throws try catch finally implements extends new import package return instanceof"},contains:[e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",begin:'"""',end:'"""'},{className:"string",begin:"'''",end:"'''"},{className:"string",begin:"\\$/",end:"/\\$",relevance:10},e.APOS_STRING_MODE,{className:"regexp",begin:/~?\/[^\/\n]+\//,contains:[e.BACKSLASH_ESCAPE]},e.QUOTE_STRING_MODE,{className:"meta",begin:"^#!/usr/bin/env",end:"$",illegal:"\n"},e.BINARY_NUMBER_MODE,{className:"class",beginKeywords:"class interface trait enum",end:"{",illegal:":",contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"},{className:"string",begin:/[^\?]{0}[A-Za-z0-9_$]+ *:/},{begin:/\?/,end:/\:/},{className:"symbol",begin:"^\\s*[A-Za-z0-9_$]+:",relevance:0}],illegal:/#|<\//}}}}]);
+//# sourceMappingURL=groovy.js.map?v=1bea4a55bf6330dd337c \ No newline at end of file
diff --git a/js/highlight/groovy.js.map b/js/highlight/groovy.js.map
index 189911a13..985a17e5f 100644
--- a/js/highlight/groovy.js.map
+++ b/js/highlight/groovy.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/groovy.js"],"names":["module","exports","hljs","keywords","literal","keyword","contains","COMMENT","relevance","begin","className","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","end","APOS_STRING_MODE","BACKSLASH_ESCAPE","QUOTE_STRING_MODE","illegal","BINARY_NUMBER_MODE","beginKeywords","UNDERSCORE_TITLE_MODE","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACtB,MAAO,CACHC,SAAU,CACNC,QAAU,kBACVC,QACA,wUASJC,SAAU,CACNJ,EAAKK,QACD,UACA,OACA,CACIC,UAAY,EACZF,SAAW,CACT,CAEIG,MAAO,OAAQD,UAAW,GAE9B,CACIE,UAAY,SACZD,MAAQ,iBAKtBP,EAAKS,oBACLT,EAAKU,qBACL,CACIF,UAAW,SACXD,MAAO,MAAOI,IAAK,OAEvB,CACIH,UAAW,SACXD,MAAO,MAAOI,IAAK,OAEvB,CACIH,UAAW,SACXD,MAAO,OAAQI,IAAK,OACpBL,UAAW,IAEfN,EAAKY,iBACL,CACIJ,UAAW,SACXD,MAAO,iBACPH,SAAU,CACNJ,EAAKa,mBAGbb,EAAKc,kBACL,CACIN,UAAW,OACXD,MAAO,kBAAmBI,IAAK,IAC/BI,QAAS,MAEbf,EAAKgB,mBACL,CACIR,UAAW,QACXS,cAAe,6BAA8BN,IAAK,IAClDI,QAAS,IACTX,SAAU,CACN,CAACa,cAAe,sBAChBjB,EAAKkB,wBAGblB,EAAKmB,cACL,CACIX,UAAW,OAAQD,MAAO,cAE9B,CAEIC,UAAW,SAAUD,MAAO,6BAEhC,CAGIA,MAAO,KAAMI,IAAK,MAEtB,CAEIH,UAAW,SAAUD,MAAO,uBAC5BD,UAAW,IAGnBS,QAAS","file":"highlight/groovy.js?v=f2c66ec3181a23a20bb3","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n literal : 'true false null',\n keyword:\n 'byte short char int long boolean float double void ' +\n // groovy specific keywords\n 'def as in assert trait ' +\n // common keywords with Java\n 'super this abstract static volatile transient public private protected synchronized final ' +\n 'class interface enum if else for while switch case break default continue ' +\n 'throw throws try catch finally implements extends new import package return instanceof'\n },\n\n contains: [\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/',\n {\n relevance : 0,\n contains : [\n {\n // eat up @'s in emails to prevent them to be recognized as doctags\n begin: /\\w+@/, relevance: 0\n },\n {\n className : 'doctag',\n begin : '@[A-Za-z]+'\n }\n ]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"'\n },\n {\n className: 'string',\n begin: \"'''\", end: \"'''\"\n },\n {\n className: 'string',\n begin: \"\\\\$/\", end: \"/\\\\$\",\n relevance: 10\n },\n hljs.APOS_STRING_MODE,\n {\n className: 'regexp',\n begin: /~?\\/[^\\/\\n]+\\//,\n contains: [\n hljs.BACKSLASH_ESCAPE\n ]\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'meta',\n begin: \"^#!/usr/bin/env\", end: '$',\n illegal: '\\n'\n },\n hljs.BINARY_NUMBER_MODE,\n {\n className: 'class',\n beginKeywords: 'class interface trait enum', end: '{',\n illegal: ':',\n contains: [\n {beginKeywords: 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n hljs.C_NUMBER_MODE,\n {\n className: 'meta', begin: '@[A-Za-z]+'\n },\n {\n // highlight map keys and named parameters as strings\n className: 'string', begin: /[^\\?]{0}[A-Za-z0-9_$]+ *:/\n },\n {\n // catch middle element of the ternary operator\n // to avoid highlight it as a label, named parameter, or map key\n begin: /\\?/, end: /\\:/\n },\n {\n // highlight labeled statements\n className: 'symbol', begin: '^\\\\s*[A-Za-z0-9_$]+:',\n relevance: 0\n }\n ],\n illegal: /#|<\\//\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/groovy.js"],"names":["module","exports","hljs","keywords","literal","keyword","contains","COMMENT","relevance","begin","className","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","end","APOS_STRING_MODE","BACKSLASH_ESCAPE","QUOTE_STRING_MODE","illegal","BINARY_NUMBER_MODE","beginKeywords","UNDERSCORE_TITLE_MODE","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACtB,MAAO,CACHC,SAAU,CACNC,QAAU,kBACVC,QACA,wUASJC,SAAU,CACNJ,EAAKK,QACD,UACA,OACA,CACIC,UAAY,EACZF,SAAW,CACT,CAEIG,MAAO,OAAQD,UAAW,GAE9B,CACIE,UAAY,SACZD,MAAQ,iBAKtBP,EAAKS,oBACLT,EAAKU,qBACL,CACIF,UAAW,SACXD,MAAO,MAAOI,IAAK,OAEvB,CACIH,UAAW,SACXD,MAAO,MAAOI,IAAK,OAEvB,CACIH,UAAW,SACXD,MAAO,OAAQI,IAAK,OACpBL,UAAW,IAEfN,EAAKY,iBACL,CACIJ,UAAW,SACXD,MAAO,iBACPH,SAAU,CACNJ,EAAKa,mBAGbb,EAAKc,kBACL,CACIN,UAAW,OACXD,MAAO,kBAAmBI,IAAK,IAC/BI,QAAS,MAEbf,EAAKgB,mBACL,CACIR,UAAW,QACXS,cAAe,6BAA8BN,IAAK,IAClDI,QAAS,IACTX,SAAU,CACN,CAACa,cAAe,sBAChBjB,EAAKkB,wBAGblB,EAAKmB,cACL,CACIX,UAAW,OAAQD,MAAO,cAE9B,CAEIC,UAAW,SAAUD,MAAO,6BAEhC,CAGIA,MAAO,KAAMI,IAAK,MAEtB,CAEIH,UAAW,SAAUD,MAAO,uBAC5BD,UAAW,IAGnBS,QAAS","file":"highlight/groovy.js?v=1bea4a55bf6330dd337c","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n literal : 'true false null',\n keyword:\n 'byte short char int long boolean float double void ' +\n // groovy specific keywords\n 'def as in assert trait ' +\n // common keywords with Java\n 'super this abstract static volatile transient public private protected synchronized final ' +\n 'class interface enum if else for while switch case break default continue ' +\n 'throw throws try catch finally implements extends new import package return instanceof'\n },\n\n contains: [\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/',\n {\n relevance : 0,\n contains : [\n {\n // eat up @'s in emails to prevent them to be recognized as doctags\n begin: /\\w+@/, relevance: 0\n },\n {\n className : 'doctag',\n begin : '@[A-Za-z]+'\n }\n ]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"'\n },\n {\n className: 'string',\n begin: \"'''\", end: \"'''\"\n },\n {\n className: 'string',\n begin: \"\\\\$/\", end: \"/\\\\$\",\n relevance: 10\n },\n hljs.APOS_STRING_MODE,\n {\n className: 'regexp',\n begin: /~?\\/[^\\/\\n]+\\//,\n contains: [\n hljs.BACKSLASH_ESCAPE\n ]\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'meta',\n begin: \"^#!/usr/bin/env\", end: '$',\n illegal: '\\n'\n },\n hljs.BINARY_NUMBER_MODE,\n {\n className: 'class',\n beginKeywords: 'class interface trait enum', end: '{',\n illegal: ':',\n contains: [\n {beginKeywords: 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n hljs.C_NUMBER_MODE,\n {\n className: 'meta', begin: '@[A-Za-z]+'\n },\n {\n // highlight map keys and named parameters as strings\n className: 'string', begin: /[^\\?]{0}[A-Za-z0-9_$]+ *:/\n },\n {\n // catch middle element of the ternary operator\n // to avoid highlight it as a label, named parameter, or map key\n begin: /\\?/, end: /\\:/\n },\n {\n // highlight labeled statements\n className: 'symbol', begin: '^\\\\s*[A-Za-z0-9_$]+:',\n relevance: 0\n }\n ],\n illegal: /#|<\\//\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/haml.js b/js/highlight/haml.js
index fd5a0384c..77ee7fd32 100644
--- a/js/highlight/haml.js
+++ b/js/highlight/haml.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[72],{438:function(e,n){e.exports=function(e){return{case_insensitive:!0,contains:[{className:"meta",begin:"^!!!( (5|1\\.1|Strict|Frameset|Basic|Mobile|RDFa|XML\\b.*))?$",relevance:10},e.COMMENT("^\\s*(!=#|=#|-#|/).*$",!1,{relevance:0}),{begin:"^\\s*(-|=|!=)(?!#)",starts:{end:"\\n",subLanguage:"ruby"}},{className:"tag",begin:"^\\s*%",contains:[{className:"selector-tag",begin:"\\w+"},{className:"selector-id",begin:"#[\\w-]+"},{className:"selector-class",begin:"\\.[\\w-]+"},{begin:"{\\s*",end:"\\s*}",contains:[{begin:":\\w+\\s*=>",end:",\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:":\\w+"},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]},{begin:"\\(\\s*",end:"\\s*\\)",excludeEnd:!0,contains:[{begin:"\\w+\\s*=",end:"\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:"\\w+",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]}]},{begin:"^\\s*[=~]\\s*"},{begin:"#{",starts:{end:"}",subLanguage:"ruby"}}]}}}}]);
-//# sourceMappingURL=haml.js.map?v=8e8b1a6c7418a162ca0c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[72],{439:function(e,n){e.exports=function(e){return{case_insensitive:!0,contains:[{className:"meta",begin:"^!!!( (5|1\\.1|Strict|Frameset|Basic|Mobile|RDFa|XML\\b.*))?$",relevance:10},e.COMMENT("^\\s*(!=#|=#|-#|/).*$",!1,{relevance:0}),{begin:"^\\s*(-|=|!=)(?!#)",starts:{end:"\\n",subLanguage:"ruby"}},{className:"tag",begin:"^\\s*%",contains:[{className:"selector-tag",begin:"\\w+"},{className:"selector-id",begin:"#[\\w-]+"},{className:"selector-class",begin:"\\.[\\w-]+"},{begin:"{\\s*",end:"\\s*}",contains:[{begin:":\\w+\\s*=>",end:",\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:":\\w+"},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]},{begin:"\\(\\s*",end:"\\s*\\)",excludeEnd:!0,contains:[{begin:"\\w+\\s*=",end:"\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:"\\w+",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]}]},{begin:"^\\s*[=~]\\s*"},{begin:"#{",starts:{end:"}",subLanguage:"ruby"}}]}}}}]);
+//# sourceMappingURL=haml.js.map?v=5403fd41ba6dadfb0069 \ No newline at end of file
diff --git a/js/highlight/haml.js.map b/js/highlight/haml.js.map
index de5d17fb5..3d32a23d4 100644
--- a/js/highlight/haml.js.map
+++ b/js/highlight/haml.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/haml.js"],"names":["module","exports","hljs","case_insensitive","contains","className","begin","relevance","COMMENT","starts","end","subLanguage","returnBegin","endsWithParent","APOS_STRING_MODE","QUOTE_STRING_MODE","excludeEnd"],"mappings":"oFAAAA,EAAOC,QACP,SAASC,GACP,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,gEACPC,UAAW,IAGbL,EAAKM,QACH,yBACA,EACA,CACED,UAAW,IAGf,CACED,MAAO,qBACPG,OAAQ,CACNC,IAAK,MACLC,YAAa,SAGjB,CACEN,UAAW,MACXC,MAAO,SACPF,SAAU,CACR,CACEC,UAAW,eACXC,MAAO,QAET,CACED,UAAW,cACXC,MAAO,YAET,CACED,UAAW,iBACXC,MAAO,cAET,CACEA,MAAO,QACPI,IAAK,QACLN,SAAU,CACR,CACEE,MAAO,cACPI,IAAK,QACLE,aAAa,EACbC,gBAAgB,EAChBT,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,SAETJ,EAAKY,iBACLZ,EAAKa,kBACL,CACET,MAAO,OACPC,UAAW,OAMrB,CACED,MAAO,UACPI,IAAK,UACLM,YAAY,EACZZ,SAAU,CACR,CACEE,MAAO,YACPI,IAAK,OACLE,aAAa,EACbC,gBAAgB,EAChBT,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,OACPC,UAAW,GAEbL,EAAKY,iBACLZ,EAAKa,kBACL,CACET,MAAO,OACPC,UAAW,SAQzB,CACED,MAAO,iBAET,CACEA,MAAO,KACPG,OAAQ,CACNC,IAAK,IACLC,YAAa","file":"highlight/haml.js?v=8e8b1a6c7418a162ca0c","sourcesContent":["module.exports = // TODO support filter tags like :javascript, support inline HTML\nfunction(hljs) {\n return {\n case_insensitive: true,\n contains: [\n {\n className: 'meta',\n begin: '^!!!( (5|1\\\\.1|Strict|Frameset|Basic|Mobile|RDFa|XML\\\\b.*))?$',\n relevance: 10\n },\n // FIXME these comments should be allowed to span indented lines\n hljs.COMMENT(\n '^\\\\s*(!=#|=#|-#|/).*$',\n false,\n {\n relevance: 0\n }\n ),\n {\n begin: '^\\\\s*(-|=|!=)(?!#)',\n starts: {\n end: '\\\\n',\n subLanguage: 'ruby'\n }\n },\n {\n className: 'tag',\n begin: '^\\\\s*%',\n contains: [\n {\n className: 'selector-tag',\n begin: '\\\\w+'\n },\n {\n className: 'selector-id',\n begin: '#[\\\\w-]+'\n },\n {\n className: 'selector-class',\n begin: '\\\\.[\\\\w-]+'\n },\n {\n begin: '{\\\\s*',\n end: '\\\\s*}',\n contains: [\n {\n begin: ':\\\\w+\\\\s*=>',\n end: ',\\\\s+',\n returnBegin: true,\n endsWithParent: true,\n contains: [\n {\n className: 'attr',\n begin: ':\\\\w+'\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n begin: '\\\\w+',\n relevance: 0\n }\n ]\n }\n ]\n },\n {\n begin: '\\\\(\\\\s*',\n end: '\\\\s*\\\\)',\n excludeEnd: true,\n contains: [\n {\n begin: '\\\\w+\\\\s*=',\n end: '\\\\s+',\n returnBegin: true,\n endsWithParent: true,\n contains: [\n {\n className: 'attr',\n begin: '\\\\w+',\n relevance: 0\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n begin: '\\\\w+',\n relevance: 0\n }\n ]\n }\n ]\n }\n ]\n },\n {\n begin: '^\\\\s*[=~]\\\\s*'\n },\n {\n begin: '#{',\n starts: {\n end: '}',\n subLanguage: 'ruby'\n }\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/haml.js"],"names":["module","exports","hljs","case_insensitive","contains","className","begin","relevance","COMMENT","starts","end","subLanguage","returnBegin","endsWithParent","APOS_STRING_MODE","QUOTE_STRING_MODE","excludeEnd"],"mappings":"oFAAAA,EAAOC,QACP,SAASC,GACP,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,gEACPC,UAAW,IAGbL,EAAKM,QACH,yBACA,EACA,CACED,UAAW,IAGf,CACED,MAAO,qBACPG,OAAQ,CACNC,IAAK,MACLC,YAAa,SAGjB,CACEN,UAAW,MACXC,MAAO,SACPF,SAAU,CACR,CACEC,UAAW,eACXC,MAAO,QAET,CACED,UAAW,cACXC,MAAO,YAET,CACED,UAAW,iBACXC,MAAO,cAET,CACEA,MAAO,QACPI,IAAK,QACLN,SAAU,CACR,CACEE,MAAO,cACPI,IAAK,QACLE,aAAa,EACbC,gBAAgB,EAChBT,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,SAETJ,EAAKY,iBACLZ,EAAKa,kBACL,CACET,MAAO,OACPC,UAAW,OAMrB,CACED,MAAO,UACPI,IAAK,UACLM,YAAY,EACZZ,SAAU,CACR,CACEE,MAAO,YACPI,IAAK,OACLE,aAAa,EACbC,gBAAgB,EAChBT,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,OACPC,UAAW,GAEbL,EAAKY,iBACLZ,EAAKa,kBACL,CACET,MAAO,OACPC,UAAW,SAQzB,CACED,MAAO,iBAET,CACEA,MAAO,KACPG,OAAQ,CACNC,IAAK,IACLC,YAAa","file":"highlight/haml.js?v=5403fd41ba6dadfb0069","sourcesContent":["module.exports = // TODO support filter tags like :javascript, support inline HTML\nfunction(hljs) {\n return {\n case_insensitive: true,\n contains: [\n {\n className: 'meta',\n begin: '^!!!( (5|1\\\\.1|Strict|Frameset|Basic|Mobile|RDFa|XML\\\\b.*))?$',\n relevance: 10\n },\n // FIXME these comments should be allowed to span indented lines\n hljs.COMMENT(\n '^\\\\s*(!=#|=#|-#|/).*$',\n false,\n {\n relevance: 0\n }\n ),\n {\n begin: '^\\\\s*(-|=|!=)(?!#)',\n starts: {\n end: '\\\\n',\n subLanguage: 'ruby'\n }\n },\n {\n className: 'tag',\n begin: '^\\\\s*%',\n contains: [\n {\n className: 'selector-tag',\n begin: '\\\\w+'\n },\n {\n className: 'selector-id',\n begin: '#[\\\\w-]+'\n },\n {\n className: 'selector-class',\n begin: '\\\\.[\\\\w-]+'\n },\n {\n begin: '{\\\\s*',\n end: '\\\\s*}',\n contains: [\n {\n begin: ':\\\\w+\\\\s*=>',\n end: ',\\\\s+',\n returnBegin: true,\n endsWithParent: true,\n contains: [\n {\n className: 'attr',\n begin: ':\\\\w+'\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n begin: '\\\\w+',\n relevance: 0\n }\n ]\n }\n ]\n },\n {\n begin: '\\\\(\\\\s*',\n end: '\\\\s*\\\\)',\n excludeEnd: true,\n contains: [\n {\n begin: '\\\\w+\\\\s*=',\n end: '\\\\s+',\n returnBegin: true,\n endsWithParent: true,\n contains: [\n {\n className: 'attr',\n begin: '\\\\w+',\n relevance: 0\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n begin: '\\\\w+',\n relevance: 0\n }\n ]\n }\n ]\n }\n ]\n },\n {\n begin: '^\\\\s*[=~]\\\\s*'\n },\n {\n begin: '#{',\n starts: {\n end: '}',\n subLanguage: 'ruby'\n }\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/handlebars.js b/js/highlight/handlebars.js
index 65451be25..bef1fc7c7 100644
--- a/js/highlight/handlebars.js
+++ b/js/highlight/handlebars.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[73],{439:function(e,n){e.exports=function(e){var n={"builtin-name":"each in with if else unless bindattr action collection debugger log outlet template unbound view yield lookup"},a={begin:/".*?"|'.*?'|\[.*?\]|\w+/},t=e.inherit(a,{keywords:n,starts:{endsWithParent:!0,relevance:0,contains:[e.inherit(a,{relevance:0})]}}),i=e.inherit(t,{className:"name"}),s=e.inherit(t,{relevance:0});return{aliases:["hbs","html.hbs","html.handlebars"],case_insensitive:!0,subLanguage:"xml",contains:[{begin:/\\\{\{/,skip:!0},{begin:/\\\\(?=\{\{)/,skip:!0},e.COMMENT(/\{\{!--/,/--\}\}/),e.COMMENT(/\{\{!/,/\}\}/),{className:"template-tag",begin:/\{\{\{\{(?!\/)/,end:/\}\}\}\}/,contains:[i],starts:{end:/\{\{\{\{\//,returnEnd:!0,subLanguage:"xml"}},{className:"template-tag",begin:/\{\{\{\{\//,end:/\}\}\}\}/,contains:[i]},{className:"template-tag",begin:/\{\{[#\/]/,end:/\}\}/,contains:[i]},{className:"template-variable",begin:/\{\{\{/,end:/\}\}\}/,keywords:n,contains:[s]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,keywords:n,contains:[s]}]}}}}]);
-//# sourceMappingURL=handlebars.js.map?v=7f8238c2027977ba846f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[73],{440:function(e,n){e.exports=function(e){var n={"builtin-name":"each in with if else unless bindattr action collection debugger log outlet template unbound view yield lookup"},a={begin:/".*?"|'.*?'|\[.*?\]|\w+/},t=e.inherit(a,{keywords:n,starts:{endsWithParent:!0,relevance:0,contains:[e.inherit(a,{relevance:0})]}}),i=e.inherit(t,{className:"name"}),s=e.inherit(t,{relevance:0});return{aliases:["hbs","html.hbs","html.handlebars"],case_insensitive:!0,subLanguage:"xml",contains:[{begin:/\\\{\{/,skip:!0},{begin:/\\\\(?=\{\{)/,skip:!0},e.COMMENT(/\{\{!--/,/--\}\}/),e.COMMENT(/\{\{!/,/\}\}/),{className:"template-tag",begin:/\{\{\{\{(?!\/)/,end:/\}\}\}\}/,contains:[i],starts:{end:/\{\{\{\{\//,returnEnd:!0,subLanguage:"xml"}},{className:"template-tag",begin:/\{\{\{\{\//,end:/\}\}\}\}/,contains:[i]},{className:"template-tag",begin:/\{\{[#\/]/,end:/\}\}/,contains:[i]},{className:"template-variable",begin:/\{\{\{/,end:/\}\}\}/,keywords:n,contains:[s]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,keywords:n,contains:[s]}]}}}}]);
+//# sourceMappingURL=handlebars.js.map?v=c6726e29b97cafb89aa2 \ No newline at end of file
diff --git a/js/highlight/handlebars.js.map b/js/highlight/handlebars.js.map
index f893b4575..b5b75b8d4 100644
--- a/js/highlight/handlebars.js.map
+++ b/js/highlight/handlebars.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/handlebars.js"],"names":["module","exports","hljs","BUILT_INS","IDENTIFIER_PLAIN_OR_QUOTED","begin","EXPRESSION_OR_HELPER_CALL","inherit","keywords","starts","endsWithParent","relevance","contains","BLOCK_MUSTACHE_CONTENTS","className","BASIC_MUSTACHE_CONTENTS","aliases","case_insensitive","subLanguage","skip","COMMENT","end","returnEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,IAAIC,EAAY,CAAC,eAAgB,iHAE7BC,EAA6B,CAC/BC,MAAO,2BAGLC,EAA4BJ,EAAKK,QAAQH,EAA4B,CACvEI,SAAUL,EACVM,OAAQ,CAENC,gBAAgB,EAChBC,UAAW,EACXC,SAAU,CAACV,EAAKK,QAAQH,EAA4B,CAACO,UAAW,QAIhEE,EAA0BX,EAAKK,QAAQD,EAA2B,CACpEQ,UAAW,SAGTC,EAA0Bb,EAAKK,QAAQD,EAA2B,CAEpEK,UAAW,IAMb,MAAO,CACLK,QAAS,CAAC,MAAO,WAAY,mBAC7BC,kBAAkB,EAClBC,YAAa,MACbN,SAAU,CAPoC,CAACP,MAAO,SAAUc,MAAM,GACjB,CAACd,MAAO,eAAgBc,MAAM,GASjFjB,EAAKkB,QAAQ,UAAW,UACxBlB,EAAKkB,QAAQ,QAAS,QACtB,CAEEN,UAAW,eACXT,MAAO,iBAAkBgB,IAAK,WAC9BT,SAAU,CAACC,GACXJ,OAAQ,CAACY,IAAK,aAAcC,WAAW,EAAMJ,YAAa,QAE5D,CAEEJ,UAAW,eACXT,MAAO,aAAcgB,IAAK,WAC1BT,SAAU,CAACC,IAEb,CAEEC,UAAW,eACXT,MAAO,YAAagB,IAAK,OACzBT,SAAU,CAACC,IAEb,CAEEC,UAAW,oBACXT,MAAO,SAAUgB,IAAK,SACtBb,SAAUL,EACVS,SAAU,CAACG,IAEb,CAEED,UAAW,oBACXT,MAAO,OAAQgB,IAAK,OACpBb,SAAUL,EACVS,SAAU,CAACG","file":"highlight/handlebars.js?v=7f8238c2027977ba846f","sourcesContent":["module.exports = function (hljs) {\n var BUILT_INS = {'builtin-name': 'each in with if else unless bindattr action collection debugger log outlet template unbound view yield lookup'};\n\n var IDENTIFIER_PLAIN_OR_QUOTED = {\n begin: /\".*?\"|'.*?'|\\[.*?\\]|\\w+/\n };\n\n var EXPRESSION_OR_HELPER_CALL = hljs.inherit(IDENTIFIER_PLAIN_OR_QUOTED, {\n keywords: BUILT_INS,\n starts: {\n // helper params\n endsWithParent: true,\n relevance: 0,\n contains: [hljs.inherit(IDENTIFIER_PLAIN_OR_QUOTED, {relevance: 0})]\n }\n });\n\n var BLOCK_MUSTACHE_CONTENTS = hljs.inherit(EXPRESSION_OR_HELPER_CALL, {\n className: 'name'\n });\n\n var BASIC_MUSTACHE_CONTENTS = hljs.inherit(EXPRESSION_OR_HELPER_CALL, {\n // relevance 0 for backward compatibility concerning auto-detection\n relevance: 0\n });\n\n var ESCAPE_MUSTACHE_WITH_PRECEEDING_BACKSLASH = {begin: /\\\\\\{\\{/, skip: true};\n var PREVENT_ESCAPE_WITH_ANOTHER_PRECEEDING_BACKSLASH = {begin: /\\\\\\\\(?=\\{\\{)/, skip: true};\n\n return {\n aliases: ['hbs', 'html.hbs', 'html.handlebars'],\n case_insensitive: true,\n subLanguage: 'xml',\n contains: [\n ESCAPE_MUSTACHE_WITH_PRECEEDING_BACKSLASH,\n PREVENT_ESCAPE_WITH_ANOTHER_PRECEEDING_BACKSLASH,\n hljs.COMMENT(/\\{\\{!--/, /--\\}\\}/),\n hljs.COMMENT(/\\{\\{!/, /\\}\\}/),\n {\n // open raw block \"{{{{raw}}}} content not evaluated {{{{/raw}}}}\"\n className: 'template-tag',\n begin: /\\{\\{\\{\\{(?!\\/)/, end: /\\}\\}\\}\\}/,\n contains: [BLOCK_MUSTACHE_CONTENTS],\n starts: {end: /\\{\\{\\{\\{\\//, returnEnd: true, subLanguage: 'xml'}\n },\n {\n // close raw block\n className: 'template-tag',\n begin: /\\{\\{\\{\\{\\//, end: /\\}\\}\\}\\}/,\n contains: [BLOCK_MUSTACHE_CONTENTS]\n },\n {\n // open block statement\n className: 'template-tag',\n begin: /\\{\\{[#\\/]/, end: /\\}\\}/,\n contains: [BLOCK_MUSTACHE_CONTENTS],\n },\n {\n // template variable or helper-call that is NOT html-escaped\n className: 'template-variable',\n begin: /\\{\\{\\{/, end: /\\}\\}\\}/,\n keywords: BUILT_INS,\n contains: [BASIC_MUSTACHE_CONTENTS]\n },\n {\n // template variable or helper-call that is html-escaped\n className: 'template-variable',\n begin: /\\{\\{/, end: /\\}\\}/,\n keywords: BUILT_INS,\n contains: [BASIC_MUSTACHE_CONTENTS]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/handlebars.js"],"names":["module","exports","hljs","BUILT_INS","IDENTIFIER_PLAIN_OR_QUOTED","begin","EXPRESSION_OR_HELPER_CALL","inherit","keywords","starts","endsWithParent","relevance","contains","BLOCK_MUSTACHE_CONTENTS","className","BASIC_MUSTACHE_CONTENTS","aliases","case_insensitive","subLanguage","skip","COMMENT","end","returnEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,IAAIC,EAAY,CAAC,eAAgB,iHAE7BC,EAA6B,CAC/BC,MAAO,2BAGLC,EAA4BJ,EAAKK,QAAQH,EAA4B,CACvEI,SAAUL,EACVM,OAAQ,CAENC,gBAAgB,EAChBC,UAAW,EACXC,SAAU,CAACV,EAAKK,QAAQH,EAA4B,CAACO,UAAW,QAIhEE,EAA0BX,EAAKK,QAAQD,EAA2B,CACpEQ,UAAW,SAGTC,EAA0Bb,EAAKK,QAAQD,EAA2B,CAEpEK,UAAW,IAMb,MAAO,CACLK,QAAS,CAAC,MAAO,WAAY,mBAC7BC,kBAAkB,EAClBC,YAAa,MACbN,SAAU,CAPoC,CAACP,MAAO,SAAUc,MAAM,GACjB,CAACd,MAAO,eAAgBc,MAAM,GASjFjB,EAAKkB,QAAQ,UAAW,UACxBlB,EAAKkB,QAAQ,QAAS,QACtB,CAEEN,UAAW,eACXT,MAAO,iBAAkBgB,IAAK,WAC9BT,SAAU,CAACC,GACXJ,OAAQ,CAACY,IAAK,aAAcC,WAAW,EAAMJ,YAAa,QAE5D,CAEEJ,UAAW,eACXT,MAAO,aAAcgB,IAAK,WAC1BT,SAAU,CAACC,IAEb,CAEEC,UAAW,eACXT,MAAO,YAAagB,IAAK,OACzBT,SAAU,CAACC,IAEb,CAEEC,UAAW,oBACXT,MAAO,SAAUgB,IAAK,SACtBb,SAAUL,EACVS,SAAU,CAACG,IAEb,CAEED,UAAW,oBACXT,MAAO,OAAQgB,IAAK,OACpBb,SAAUL,EACVS,SAAU,CAACG","file":"highlight/handlebars.js?v=c6726e29b97cafb89aa2","sourcesContent":["module.exports = function (hljs) {\n var BUILT_INS = {'builtin-name': 'each in with if else unless bindattr action collection debugger log outlet template unbound view yield lookup'};\n\n var IDENTIFIER_PLAIN_OR_QUOTED = {\n begin: /\".*?\"|'.*?'|\\[.*?\\]|\\w+/\n };\n\n var EXPRESSION_OR_HELPER_CALL = hljs.inherit(IDENTIFIER_PLAIN_OR_QUOTED, {\n keywords: BUILT_INS,\n starts: {\n // helper params\n endsWithParent: true,\n relevance: 0,\n contains: [hljs.inherit(IDENTIFIER_PLAIN_OR_QUOTED, {relevance: 0})]\n }\n });\n\n var BLOCK_MUSTACHE_CONTENTS = hljs.inherit(EXPRESSION_OR_HELPER_CALL, {\n className: 'name'\n });\n\n var BASIC_MUSTACHE_CONTENTS = hljs.inherit(EXPRESSION_OR_HELPER_CALL, {\n // relevance 0 for backward compatibility concerning auto-detection\n relevance: 0\n });\n\n var ESCAPE_MUSTACHE_WITH_PRECEEDING_BACKSLASH = {begin: /\\\\\\{\\{/, skip: true};\n var PREVENT_ESCAPE_WITH_ANOTHER_PRECEEDING_BACKSLASH = {begin: /\\\\\\\\(?=\\{\\{)/, skip: true};\n\n return {\n aliases: ['hbs', 'html.hbs', 'html.handlebars'],\n case_insensitive: true,\n subLanguage: 'xml',\n contains: [\n ESCAPE_MUSTACHE_WITH_PRECEEDING_BACKSLASH,\n PREVENT_ESCAPE_WITH_ANOTHER_PRECEEDING_BACKSLASH,\n hljs.COMMENT(/\\{\\{!--/, /--\\}\\}/),\n hljs.COMMENT(/\\{\\{!/, /\\}\\}/),\n {\n // open raw block \"{{{{raw}}}} content not evaluated {{{{/raw}}}}\"\n className: 'template-tag',\n begin: /\\{\\{\\{\\{(?!\\/)/, end: /\\}\\}\\}\\}/,\n contains: [BLOCK_MUSTACHE_CONTENTS],\n starts: {end: /\\{\\{\\{\\{\\//, returnEnd: true, subLanguage: 'xml'}\n },\n {\n // close raw block\n className: 'template-tag',\n begin: /\\{\\{\\{\\{\\//, end: /\\}\\}\\}\\}/,\n contains: [BLOCK_MUSTACHE_CONTENTS]\n },\n {\n // open block statement\n className: 'template-tag',\n begin: /\\{\\{[#\\/]/, end: /\\}\\}/,\n contains: [BLOCK_MUSTACHE_CONTENTS],\n },\n {\n // template variable or helper-call that is NOT html-escaped\n className: 'template-variable',\n begin: /\\{\\{\\{/, end: /\\}\\}\\}/,\n keywords: BUILT_INS,\n contains: [BASIC_MUSTACHE_CONTENTS]\n },\n {\n // template variable or helper-call that is html-escaped\n className: 'template-variable',\n begin: /\\{\\{/, end: /\\}\\}/,\n keywords: BUILT_INS,\n contains: [BASIC_MUSTACHE_CONTENTS]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/haskell.js b/js/highlight/haskell.js
index 4f65ce59c..2cf472963 100644
--- a/js/highlight/haskell.js
+++ b/js/highlight/haskell.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[74],{440:function(e,n){e.exports=function(e){var n={variants:[e.COMMENT("--","$"),e.COMMENT("{-","-}",{contains:["self"]})]},i={className:"meta",begin:"{-#",end:"#-}"},a={className:"meta",begin:"^#",end:"$"},s={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},t={begin:"\\(",end:"\\)",illegal:'"',contains:[i,a,{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},e.inherit(e.TITLE_MODE,{begin:"[_a-z][\\w']*"}),n]};return{aliases:["hs"],keywords:"let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec",contains:[{beginKeywords:"module",end:"where",keywords:"module where",contains:[t,n],illegal:"\\W\\.|;"},{begin:"\\bimport\\b",end:"$",keywords:"import qualified as hiding",contains:[t,n],illegal:"\\W\\.|;"},{className:"class",begin:"^(\\s*)?(class|instance)\\b",end:"where",keywords:"class family instance where",contains:[s,t,n]},{className:"class",begin:"\\b(data|(new)?type)\\b",end:"$",keywords:"data family type newtype deriving",contains:[i,s,t,{begin:"{",end:"}",contains:t.contains},n]},{beginKeywords:"default",end:"$",contains:[s,t,n]},{beginKeywords:"infix infixl infixr",end:"$",contains:[e.C_NUMBER_MODE,n]},{begin:"\\bforeign\\b",end:"$",keywords:"foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe",contains:[s,e.QUOTE_STRING_MODE,n]},{className:"meta",begin:"#!\\/usr\\/bin\\/env runhaskell",end:"$"},i,a,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,s,e.inherit(e.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),n,{begin:"->|<-"}]}}}}]);
-//# sourceMappingURL=haskell.js.map?v=8d4d5c447105b87a1561 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[74],{441:function(e,n){e.exports=function(e){var n={variants:[e.COMMENT("--","$"),e.COMMENT("{-","-}",{contains:["self"]})]},i={className:"meta",begin:"{-#",end:"#-}"},a={className:"meta",begin:"^#",end:"$"},s={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},t={begin:"\\(",end:"\\)",illegal:'"',contains:[i,a,{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},e.inherit(e.TITLE_MODE,{begin:"[_a-z][\\w']*"}),n]};return{aliases:["hs"],keywords:"let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec",contains:[{beginKeywords:"module",end:"where",keywords:"module where",contains:[t,n],illegal:"\\W\\.|;"},{begin:"\\bimport\\b",end:"$",keywords:"import qualified as hiding",contains:[t,n],illegal:"\\W\\.|;"},{className:"class",begin:"^(\\s*)?(class|instance)\\b",end:"where",keywords:"class family instance where",contains:[s,t,n]},{className:"class",begin:"\\b(data|(new)?type)\\b",end:"$",keywords:"data family type newtype deriving",contains:[i,s,t,{begin:"{",end:"}",contains:t.contains},n]},{beginKeywords:"default",end:"$",contains:[s,t,n]},{beginKeywords:"infix infixl infixr",end:"$",contains:[e.C_NUMBER_MODE,n]},{begin:"\\bforeign\\b",end:"$",keywords:"foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe",contains:[s,e.QUOTE_STRING_MODE,n]},{className:"meta",begin:"#!\\/usr\\/bin\\/env runhaskell",end:"$"},i,a,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,s,e.inherit(e.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),n,{begin:"->|<-"}]}}}}]);
+//# sourceMappingURL=haskell.js.map?v=b50375f6d30f874d112b \ No newline at end of file
diff --git a/js/highlight/haskell.js.map b/js/highlight/haskell.js.map
index 9323969a4..0bd210aa7 100644
--- a/js/highlight/haskell.js.map
+++ b/js/highlight/haskell.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/haskell.js"],"names":["module","exports","hljs","COMMENT","variants","contains","PRAGMA","className","begin","end","PREPROCESSOR","CONSTRUCTOR","relevance","LIST","illegal","inherit","TITLE_MODE","aliases","keywords","beginKeywords","C_NUMBER_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,SAAU,CACRF,EAAKC,QAAQ,KAAM,KACnBD,EAAKC,QACH,KACA,KACA,CACEE,SAAU,CAAC,YAMfC,EAAS,CACXC,UAAW,OACXC,MAAO,MAAOC,IAAK,OAGjBC,EAAe,CACjBH,UAAW,OACXC,MAAO,KAAMC,IAAK,KAGhBE,EAAc,CAChBJ,UAAW,OACXC,MAAO,kBACPI,UAAW,GAGTC,EAAO,CACTL,MAAO,MAAOC,IAAK,MACnBK,QAAS,IACTT,SAAU,CACRC,EACAI,EACA,CAACH,UAAW,OAAQC,MAAO,0CAC3BN,EAAKa,QAAQb,EAAKc,WAAY,CAACR,MAAO,kBACtCL,IASJ,MAAO,CACLc,QAAS,CAAC,MACVC,SACE,wOAIFb,SAAU,CAIR,CACEc,cAAe,SAAUV,IAAK,QAC9BS,SAAU,eACVb,SAAU,CAACQ,EAAMV,GACjBW,QAAS,YAEX,CACEN,MAAO,eAAgBC,IAAK,IAC5BS,SAAU,6BACVb,SAAU,CAACQ,EAAMV,GACjBW,QAAS,YAGX,CACEP,UAAW,QACXC,MAAO,8BAA+BC,IAAK,QAC3CS,SAAU,8BACVb,SAAU,CAACM,EAAaE,EAAMV,IAEhC,CACEI,UAAW,QACXC,MAAO,0BAA2BC,IAAK,IACvCS,SAAU,oCACVb,SAAU,CAACC,EAAQK,EAAaE,EAvCzB,CACXL,MAAO,IAAKC,IAAK,IACjBJ,SAAUQ,EAAKR,UAqCmCF,IAEhD,CACEgB,cAAe,UAAWV,IAAK,IAC/BJ,SAAU,CAACM,EAAaE,EAAMV,IAEhC,CACEgB,cAAe,sBAAuBV,IAAK,IAC3CJ,SAAU,CAACH,EAAKkB,cAAejB,IAEjC,CACEK,MAAO,gBAAiBC,IAAK,IAC7BS,SAAU,uEAEVb,SAAU,CAACM,EAAaT,EAAKmB,kBAAmBlB,IAElD,CACEI,UAAW,OACXC,MAAO,kCAAoCC,IAAK,KAKlDH,EACAI,EAKAR,EAAKmB,kBACLnB,EAAKkB,cACLT,EACAT,EAAKa,QAAQb,EAAKc,WAAY,CAACR,MAAO,mBAEtCL,EAEA,CAACK,MAAO","file":"highlight/haskell.js?v=8d4d5c447105b87a1561","sourcesContent":["module.exports = function(hljs) {\n var COMMENT = {\n variants: [\n hljs.COMMENT('--', '$'),\n hljs.COMMENT(\n '{-',\n '-}',\n {\n contains: ['self']\n }\n )\n ]\n };\n\n var PRAGMA = {\n className: 'meta',\n begin: '{-#', end: '#-}'\n };\n\n var PREPROCESSOR = {\n className: 'meta',\n begin: '^#', end: '$'\n };\n\n var CONSTRUCTOR = {\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\']*', // TODO: other constructors (build-in, infix).\n relevance: 0\n };\n\n var LIST = {\n begin: '\\\\(', end: '\\\\)',\n illegal: '\"',\n contains: [\n PRAGMA,\n PREPROCESSOR,\n {className: 'type', begin: '\\\\b[A-Z][\\\\w]*(\\\\((\\\\.\\\\.|,|\\\\w+)\\\\))?'},\n hljs.inherit(hljs.TITLE_MODE, {begin: '[_a-z][\\\\w\\']*'}),\n COMMENT\n ]\n };\n\n var RECORD = {\n begin: '{', end: '}',\n contains: LIST.contains\n };\n\n return {\n aliases: ['hs'],\n keywords:\n 'let in if then else case of where do module import hiding ' +\n 'qualified type data newtype deriving class instance as default ' +\n 'infix infixl infixr foreign export ccall stdcall cplusplus ' +\n 'jvm dotnet safe unsafe family forall mdo proc rec',\n contains: [\n\n // Top-level constructions.\n\n {\n beginKeywords: 'module', end: 'where',\n keywords: 'module where',\n contains: [LIST, COMMENT],\n illegal: '\\\\W\\\\.|;'\n },\n {\n begin: '\\\\bimport\\\\b', end: '$',\n keywords: 'import qualified as hiding',\n contains: [LIST, COMMENT],\n illegal: '\\\\W\\\\.|;'\n },\n\n {\n className: 'class',\n begin: '^(\\\\s*)?(class|instance)\\\\b', end: 'where',\n keywords: 'class family instance where',\n contains: [CONSTRUCTOR, LIST, COMMENT]\n },\n {\n className: 'class',\n begin: '\\\\b(data|(new)?type)\\\\b', end: '$',\n keywords: 'data family type newtype deriving',\n contains: [PRAGMA, CONSTRUCTOR, LIST, RECORD, COMMENT]\n },\n {\n beginKeywords: 'default', end: '$',\n contains: [CONSTRUCTOR, LIST, COMMENT]\n },\n {\n beginKeywords: 'infix infixl infixr', end: '$',\n contains: [hljs.C_NUMBER_MODE, COMMENT]\n },\n {\n begin: '\\\\bforeign\\\\b', end: '$',\n keywords: 'foreign import export ccall stdcall cplusplus jvm ' +\n 'dotnet safe unsafe',\n contains: [CONSTRUCTOR, hljs.QUOTE_STRING_MODE, COMMENT]\n },\n {\n className: 'meta',\n begin: '#!\\\\/usr\\\\/bin\\\\/env\\ runhaskell', end: '$'\n },\n\n // \"Whitespaces\".\n\n PRAGMA,\n PREPROCESSOR,\n\n // Literals and names.\n\n // TODO: characters.\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n CONSTRUCTOR,\n hljs.inherit(hljs.TITLE_MODE, {begin: '^[_a-z][\\\\w\\']*'}),\n\n COMMENT,\n\n {begin: '->|<-'} // No markup, relevance booster\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/haskell.js"],"names":["module","exports","hljs","COMMENT","variants","contains","PRAGMA","className","begin","end","PREPROCESSOR","CONSTRUCTOR","relevance","LIST","illegal","inherit","TITLE_MODE","aliases","keywords","beginKeywords","C_NUMBER_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,SAAU,CACRF,EAAKC,QAAQ,KAAM,KACnBD,EAAKC,QACH,KACA,KACA,CACEE,SAAU,CAAC,YAMfC,EAAS,CACXC,UAAW,OACXC,MAAO,MAAOC,IAAK,OAGjBC,EAAe,CACjBH,UAAW,OACXC,MAAO,KAAMC,IAAK,KAGhBE,EAAc,CAChBJ,UAAW,OACXC,MAAO,kBACPI,UAAW,GAGTC,EAAO,CACTL,MAAO,MAAOC,IAAK,MACnBK,QAAS,IACTT,SAAU,CACRC,EACAI,EACA,CAACH,UAAW,OAAQC,MAAO,0CAC3BN,EAAKa,QAAQb,EAAKc,WAAY,CAACR,MAAO,kBACtCL,IASJ,MAAO,CACLc,QAAS,CAAC,MACVC,SACE,wOAIFb,SAAU,CAIR,CACEc,cAAe,SAAUV,IAAK,QAC9BS,SAAU,eACVb,SAAU,CAACQ,EAAMV,GACjBW,QAAS,YAEX,CACEN,MAAO,eAAgBC,IAAK,IAC5BS,SAAU,6BACVb,SAAU,CAACQ,EAAMV,GACjBW,QAAS,YAGX,CACEP,UAAW,QACXC,MAAO,8BAA+BC,IAAK,QAC3CS,SAAU,8BACVb,SAAU,CAACM,EAAaE,EAAMV,IAEhC,CACEI,UAAW,QACXC,MAAO,0BAA2BC,IAAK,IACvCS,SAAU,oCACVb,SAAU,CAACC,EAAQK,EAAaE,EAvCzB,CACXL,MAAO,IAAKC,IAAK,IACjBJ,SAAUQ,EAAKR,UAqCmCF,IAEhD,CACEgB,cAAe,UAAWV,IAAK,IAC/BJ,SAAU,CAACM,EAAaE,EAAMV,IAEhC,CACEgB,cAAe,sBAAuBV,IAAK,IAC3CJ,SAAU,CAACH,EAAKkB,cAAejB,IAEjC,CACEK,MAAO,gBAAiBC,IAAK,IAC7BS,SAAU,uEAEVb,SAAU,CAACM,EAAaT,EAAKmB,kBAAmBlB,IAElD,CACEI,UAAW,OACXC,MAAO,kCAAoCC,IAAK,KAKlDH,EACAI,EAKAR,EAAKmB,kBACLnB,EAAKkB,cACLT,EACAT,EAAKa,QAAQb,EAAKc,WAAY,CAACR,MAAO,mBAEtCL,EAEA,CAACK,MAAO","file":"highlight/haskell.js?v=b50375f6d30f874d112b","sourcesContent":["module.exports = function(hljs) {\n var COMMENT = {\n variants: [\n hljs.COMMENT('--', '$'),\n hljs.COMMENT(\n '{-',\n '-}',\n {\n contains: ['self']\n }\n )\n ]\n };\n\n var PRAGMA = {\n className: 'meta',\n begin: '{-#', end: '#-}'\n };\n\n var PREPROCESSOR = {\n className: 'meta',\n begin: '^#', end: '$'\n };\n\n var CONSTRUCTOR = {\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\']*', // TODO: other constructors (build-in, infix).\n relevance: 0\n };\n\n var LIST = {\n begin: '\\\\(', end: '\\\\)',\n illegal: '\"',\n contains: [\n PRAGMA,\n PREPROCESSOR,\n {className: 'type', begin: '\\\\b[A-Z][\\\\w]*(\\\\((\\\\.\\\\.|,|\\\\w+)\\\\))?'},\n hljs.inherit(hljs.TITLE_MODE, {begin: '[_a-z][\\\\w\\']*'}),\n COMMENT\n ]\n };\n\n var RECORD = {\n begin: '{', end: '}',\n contains: LIST.contains\n };\n\n return {\n aliases: ['hs'],\n keywords:\n 'let in if then else case of where do module import hiding ' +\n 'qualified type data newtype deriving class instance as default ' +\n 'infix infixl infixr foreign export ccall stdcall cplusplus ' +\n 'jvm dotnet safe unsafe family forall mdo proc rec',\n contains: [\n\n // Top-level constructions.\n\n {\n beginKeywords: 'module', end: 'where',\n keywords: 'module where',\n contains: [LIST, COMMENT],\n illegal: '\\\\W\\\\.|;'\n },\n {\n begin: '\\\\bimport\\\\b', end: '$',\n keywords: 'import qualified as hiding',\n contains: [LIST, COMMENT],\n illegal: '\\\\W\\\\.|;'\n },\n\n {\n className: 'class',\n begin: '^(\\\\s*)?(class|instance)\\\\b', end: 'where',\n keywords: 'class family instance where',\n contains: [CONSTRUCTOR, LIST, COMMENT]\n },\n {\n className: 'class',\n begin: '\\\\b(data|(new)?type)\\\\b', end: '$',\n keywords: 'data family type newtype deriving',\n contains: [PRAGMA, CONSTRUCTOR, LIST, RECORD, COMMENT]\n },\n {\n beginKeywords: 'default', end: '$',\n contains: [CONSTRUCTOR, LIST, COMMENT]\n },\n {\n beginKeywords: 'infix infixl infixr', end: '$',\n contains: [hljs.C_NUMBER_MODE, COMMENT]\n },\n {\n begin: '\\\\bforeign\\\\b', end: '$',\n keywords: 'foreign import export ccall stdcall cplusplus jvm ' +\n 'dotnet safe unsafe',\n contains: [CONSTRUCTOR, hljs.QUOTE_STRING_MODE, COMMENT]\n },\n {\n className: 'meta',\n begin: '#!\\\\/usr\\\\/bin\\\\/env\\ runhaskell', end: '$'\n },\n\n // \"Whitespaces\".\n\n PRAGMA,\n PREPROCESSOR,\n\n // Literals and names.\n\n // TODO: characters.\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n CONSTRUCTOR,\n hljs.inherit(hljs.TITLE_MODE, {begin: '^[_a-z][\\\\w\\']*'}),\n\n COMMENT,\n\n {begin: '->|<-'} // No markup, relevance booster\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/haxe.js b/js/highlight/haxe.js
index 1d5e0fdd5..25e7ced6a 100644
--- a/js/highlight/haxe.js
+++ b/js/highlight/haxe.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[75],{441:function(e,n){e.exports=function(e){return{aliases:["hx"],keywords:{keyword:"break case cast catch continue default do dynamic else enum extern for function here if import in inline never new override package private get set public return static super switch this throw trace try typedef untyped using var while Int Float String Bool Dynamic Void Array ",built_in:"trace this",literal:"true false null _"},contains:[{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"},{className:"subst",begin:"\\$",end:"\\W}"}]},e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"@:",end:"$"},{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"if else elseif end error"}},{className:"type",begin:":[ \t]*",end:"[^A-Za-z0-9_ \t\\->]",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:":[ \t]*",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"new *",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"class",beginKeywords:"enum",end:"\\{",contains:[e.TITLE_MODE]},{className:"class",beginKeywords:"abstract",end:"[\\{$]",contains:[{className:"type",begin:"\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"from +",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"to +",end:"\\W",excludeBegin:!0,excludeEnd:!0},e.TITLE_MODE],keywords:{keyword:"abstract from to"}},{className:"class",begin:"\\b(class|interface) +",end:"[\\{$]",excludeEnd:!0,keywords:"class interface",contains:[{className:"keyword",begin:"\\b(extends|implements) +",keywords:"extends implements",contains:[{className:"type",begin:e.IDENT_RE,relevance:0}]},e.TITLE_MODE]},{className:"function",beginKeywords:"function",end:"\\(",excludeEnd:!0,illegal:"\\S",contains:[e.TITLE_MODE]}],illegal:/<\//}}}}]);
-//# sourceMappingURL=haxe.js.map?v=e083aa45046ae27dd8f9 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[75],{442:function(e,n){e.exports=function(e){return{aliases:["hx"],keywords:{keyword:"break case cast catch continue default do dynamic else enum extern for function here if import in inline never new override package private get set public return static super switch this throw trace try typedef untyped using var while Int Float String Bool Dynamic Void Array ",built_in:"trace this",literal:"true false null _"},contains:[{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"},{className:"subst",begin:"\\$",end:"\\W}"}]},e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"@:",end:"$"},{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"if else elseif end error"}},{className:"type",begin:":[ \t]*",end:"[^A-Za-z0-9_ \t\\->]",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:":[ \t]*",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"new *",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"class",beginKeywords:"enum",end:"\\{",contains:[e.TITLE_MODE]},{className:"class",beginKeywords:"abstract",end:"[\\{$]",contains:[{className:"type",begin:"\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"from +",end:"\\W",excludeBegin:!0,excludeEnd:!0},{className:"type",begin:"to +",end:"\\W",excludeBegin:!0,excludeEnd:!0},e.TITLE_MODE],keywords:{keyword:"abstract from to"}},{className:"class",begin:"\\b(class|interface) +",end:"[\\{$]",excludeEnd:!0,keywords:"class interface",contains:[{className:"keyword",begin:"\\b(extends|implements) +",keywords:"extends implements",contains:[{className:"type",begin:e.IDENT_RE,relevance:0}]},e.TITLE_MODE]},{className:"function",beginKeywords:"function",end:"\\(",excludeEnd:!0,illegal:"\\S",contains:[e.TITLE_MODE]}],illegal:/<\//}}}}]);
+//# sourceMappingURL=haxe.js.map?v=18918d37d2462dedbe24 \ No newline at end of file
diff --git a/js/highlight/haxe.js.map b/js/highlight/haxe.js.map
index 4f9d0c9fb..5758a72fd 100644
--- a/js/highlight/haxe.js.map
+++ b/js/highlight/haxe.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/haxe.js"],"names":["module","exports","hljs","aliases","keywords","keyword","built_in","literal","contains","className","begin","end","BACKSLASH_ESCAPE","QUOTE_STRING_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","C_NUMBER_MODE","excludeBegin","excludeEnd","relevance","beginKeywords","TITLE_MODE","IDENT_RE","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAMxB,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRC,QAAS,uRAITC,SACE,aACFC,QACE,qBAEJC,SAAU,CACR,CAAEC,UAAW,SACXC,MAAO,IAAMC,IAAK,IAClBH,SAAU,CACRN,EAAKU,iBACL,CAAEH,UAAW,QACXC,MAAO,SAAUC,IAAK,OAExB,CAAEF,UAAW,QACXC,MAAO,MAAOC,IAAK,UAIzBT,EAAKW,kBACLX,EAAKY,oBACLZ,EAAKa,qBACLb,EAAKc,cACL,CAAEP,UAAW,OACXC,MAAO,KAAMC,IAAK,KAEpB,CAAEF,UAAW,OACXC,MAAO,IAAKC,IAAK,IACjBP,SAAU,CAAC,eAAgB,6BAE7B,CAAEK,UAAW,OACXC,MAAO,UAAWC,IAAK,uBACvBM,cAAc,EAAMC,YAAY,EAChCC,UAAW,GAEb,CAAEV,UAAW,OACXC,MAAO,UAAWC,IAAK,MACvBM,cAAc,EAAMC,YAAY,GAElC,CAAET,UAAW,OACXC,MAAO,QAASC,IAAK,MACrBM,cAAc,EAAMC,YAAY,GAElC,CAAET,UAAW,QACXW,cAAe,OAAQT,IAAK,MAC5BH,SAAU,CACRN,EAAKmB,aAGT,CAAEZ,UAAW,QACXW,cAAe,WAAYT,IAAK,SAChCH,SAAU,CACR,CAAEC,UAAW,OACXC,MAAO,MAAOC,IAAK,MACnBM,cAAc,EAAMC,YAAY,GAElC,CAAET,UAAW,OACXC,MAAO,SAAUC,IAAK,MACtBM,cAAc,EAAMC,YAAY,GAElC,CAAET,UAAW,OACXC,MAAO,OAAQC,IAAK,MACpBM,cAAc,EAAMC,YAAY,GAElChB,EAAKmB,YAEPjB,SAAU,CACRC,QAAS,qBAGb,CAAEI,UAAW,QACXC,MAAO,yBAA0BC,IAAK,SAAWO,YAAY,EAC7Dd,SAAU,kBACVI,SAAU,CACR,CAAEC,UAAW,UACXC,MAAO,4BACPN,SAAU,qBACVI,SAAU,CACR,CACEC,UAAW,OACXC,MAAOR,EAAKoB,SACZH,UAAW,KAIjBjB,EAAKmB,aAGT,CAAEZ,UAAW,WACXW,cAAe,WAAYT,IAAK,MAAOO,YAAY,EACnDK,QAAS,MACTf,SAAU,CACRN,EAAKmB,cAIXE,QAAS","file":"highlight/haxe.js?v=e083aa45046ae27dd8f9","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[a-zA-Z_$][a-zA-Z0-9_$]*';\n var IDENT_FUNC_RETURN_TYPE_RE = '([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)';\n\n var HAXE_BASIC_TYPES = 'Int Float String Bool Dynamic Void Array ';\n\n return {\n aliases: ['hx'],\n keywords: {\n keyword: 'break case cast catch continue default do dynamic else enum extern ' +\n 'for function here if import in inline never new override package private get set ' +\n 'public return static super switch this throw trace try typedef untyped using var while ' +\n HAXE_BASIC_TYPES,\n built_in:\n 'trace this',\n literal:\n 'true false null _'\n },\n contains: [\n { className: 'string', // interpolate-able strings\n begin: '\\'', end: '\\'',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n { className: 'subst', // interpolation\n begin: '\\\\$\\\\{', end: '\\\\}'\n },\n { className: 'subst', // interpolation\n begin: '\\\\$', end: '\\\\W}'\n }\n ]\n },\n hljs.QUOTE_STRING_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_NUMBER_MODE,\n { className: 'meta', // compiler meta\n begin: '@:', end: '$'\n },\n { className: 'meta', // compiler conditionals\n begin: '#', end: '$',\n keywords: {'meta-keyword': 'if else elseif end error'}\n },\n { className: 'type', // function types\n begin: ':[ \\t]*', end: '[^A-Za-z0-9_ \\t\\\\->]',\n excludeBegin: true, excludeEnd: true,\n relevance: 0\n },\n { className: 'type', // types\n begin: ':[ \\t]*', end: '\\\\W',\n excludeBegin: true, excludeEnd: true\n },\n { className: 'type', // instantiation\n begin: 'new *', end: '\\\\W',\n excludeBegin: true, excludeEnd: true\n },\n { className: 'class', // enums\n beginKeywords: 'enum', end: '\\\\{',\n contains: [\n hljs.TITLE_MODE\n ]\n },\n { className: 'class', // abstracts\n beginKeywords: 'abstract', end: '[\\\\{$]',\n contains: [\n { className: 'type',\n begin: '\\\\(', end: '\\\\)',\n excludeBegin: true, excludeEnd: true\n },\n { className: 'type',\n begin: 'from +', end: '\\\\W',\n excludeBegin: true, excludeEnd: true\n },\n { className: 'type',\n begin: 'to +', end: '\\\\W',\n excludeBegin: true, excludeEnd: true\n },\n hljs.TITLE_MODE\n ],\n keywords: {\n keyword: 'abstract from to'\n }\n },\n { className: 'class', // classes\n begin: '\\\\b(class|interface) +', end: '[\\\\{$]', excludeEnd: true,\n keywords: 'class interface',\n contains: [\n { className: 'keyword',\n begin: '\\\\b(extends|implements) +',\n keywords: 'extends implements',\n contains: [\n {\n className: 'type',\n begin: hljs.IDENT_RE,\n relevance: 0\n }\n ]\n },\n hljs.TITLE_MODE\n ]\n },\n { className: 'function',\n beginKeywords: 'function', end: '\\\\(', excludeEnd: true,\n illegal: '\\\\S',\n contains: [\n hljs.TITLE_MODE\n ]\n }\n ],\n illegal: /<\\//\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/haxe.js"],"names":["module","exports","hljs","aliases","keywords","keyword","built_in","literal","contains","className","begin","end","BACKSLASH_ESCAPE","QUOTE_STRING_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","C_NUMBER_MODE","excludeBegin","excludeEnd","relevance","beginKeywords","TITLE_MODE","IDENT_RE","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAMxB,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRC,QAAS,uRAITC,SACE,aACFC,QACE,qBAEJC,SAAU,CACR,CAAEC,UAAW,SACXC,MAAO,IAAMC,IAAK,IAClBH,SAAU,CACRN,EAAKU,iBACL,CAAEH,UAAW,QACXC,MAAO,SAAUC,IAAK,OAExB,CAAEF,UAAW,QACXC,MAAO,MAAOC,IAAK,UAIzBT,EAAKW,kBACLX,EAAKY,oBACLZ,EAAKa,qBACLb,EAAKc,cACL,CAAEP,UAAW,OACXC,MAAO,KAAMC,IAAK,KAEpB,CAAEF,UAAW,OACXC,MAAO,IAAKC,IAAK,IACjBP,SAAU,CAAC,eAAgB,6BAE7B,CAAEK,UAAW,OACXC,MAAO,UAAWC,IAAK,uBACvBM,cAAc,EAAMC,YAAY,EAChCC,UAAW,GAEb,CAAEV,UAAW,OACXC,MAAO,UAAWC,IAAK,MACvBM,cAAc,EAAMC,YAAY,GAElC,CAAET,UAAW,OACXC,MAAO,QAASC,IAAK,MACrBM,cAAc,EAAMC,YAAY,GAElC,CAAET,UAAW,QACXW,cAAe,OAAQT,IAAK,MAC5BH,SAAU,CACRN,EAAKmB,aAGT,CAAEZ,UAAW,QACXW,cAAe,WAAYT,IAAK,SAChCH,SAAU,CACR,CAAEC,UAAW,OACXC,MAAO,MAAOC,IAAK,MACnBM,cAAc,EAAMC,YAAY,GAElC,CAAET,UAAW,OACXC,MAAO,SAAUC,IAAK,MACtBM,cAAc,EAAMC,YAAY,GAElC,CAAET,UAAW,OACXC,MAAO,OAAQC,IAAK,MACpBM,cAAc,EAAMC,YAAY,GAElChB,EAAKmB,YAEPjB,SAAU,CACRC,QAAS,qBAGb,CAAEI,UAAW,QACXC,MAAO,yBAA0BC,IAAK,SAAWO,YAAY,EAC7Dd,SAAU,kBACVI,SAAU,CACR,CAAEC,UAAW,UACXC,MAAO,4BACPN,SAAU,qBACVI,SAAU,CACR,CACEC,UAAW,OACXC,MAAOR,EAAKoB,SACZH,UAAW,KAIjBjB,EAAKmB,aAGT,CAAEZ,UAAW,WACXW,cAAe,WAAYT,IAAK,MAAOO,YAAY,EACnDK,QAAS,MACTf,SAAU,CACRN,EAAKmB,cAIXE,QAAS","file":"highlight/haxe.js?v=18918d37d2462dedbe24","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[a-zA-Z_$][a-zA-Z0-9_$]*';\n var IDENT_FUNC_RETURN_TYPE_RE = '([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)';\n\n var HAXE_BASIC_TYPES = 'Int Float String Bool Dynamic Void Array ';\n\n return {\n aliases: ['hx'],\n keywords: {\n keyword: 'break case cast catch continue default do dynamic else enum extern ' +\n 'for function here if import in inline never new override package private get set ' +\n 'public return static super switch this throw trace try typedef untyped using var while ' +\n HAXE_BASIC_TYPES,\n built_in:\n 'trace this',\n literal:\n 'true false null _'\n },\n contains: [\n { className: 'string', // interpolate-able strings\n begin: '\\'', end: '\\'',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n { className: 'subst', // interpolation\n begin: '\\\\$\\\\{', end: '\\\\}'\n },\n { className: 'subst', // interpolation\n begin: '\\\\$', end: '\\\\W}'\n }\n ]\n },\n hljs.QUOTE_STRING_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_NUMBER_MODE,\n { className: 'meta', // compiler meta\n begin: '@:', end: '$'\n },\n { className: 'meta', // compiler conditionals\n begin: '#', end: '$',\n keywords: {'meta-keyword': 'if else elseif end error'}\n },\n { className: 'type', // function types\n begin: ':[ \\t]*', end: '[^A-Za-z0-9_ \\t\\\\->]',\n excludeBegin: true, excludeEnd: true,\n relevance: 0\n },\n { className: 'type', // types\n begin: ':[ \\t]*', end: '\\\\W',\n excludeBegin: true, excludeEnd: true\n },\n { className: 'type', // instantiation\n begin: 'new *', end: '\\\\W',\n excludeBegin: true, excludeEnd: true\n },\n { className: 'class', // enums\n beginKeywords: 'enum', end: '\\\\{',\n contains: [\n hljs.TITLE_MODE\n ]\n },\n { className: 'class', // abstracts\n beginKeywords: 'abstract', end: '[\\\\{$]',\n contains: [\n { className: 'type',\n begin: '\\\\(', end: '\\\\)',\n excludeBegin: true, excludeEnd: true\n },\n { className: 'type',\n begin: 'from +', end: '\\\\W',\n excludeBegin: true, excludeEnd: true\n },\n { className: 'type',\n begin: 'to +', end: '\\\\W',\n excludeBegin: true, excludeEnd: true\n },\n hljs.TITLE_MODE\n ],\n keywords: {\n keyword: 'abstract from to'\n }\n },\n { className: 'class', // classes\n begin: '\\\\b(class|interface) +', end: '[\\\\{$]', excludeEnd: true,\n keywords: 'class interface',\n contains: [\n { className: 'keyword',\n begin: '\\\\b(extends|implements) +',\n keywords: 'extends implements',\n contains: [\n {\n className: 'type',\n begin: hljs.IDENT_RE,\n relevance: 0\n }\n ]\n },\n hljs.TITLE_MODE\n ]\n },\n { className: 'function',\n beginKeywords: 'function', end: '\\\\(', excludeEnd: true,\n illegal: '\\\\S',\n contains: [\n hljs.TITLE_MODE\n ]\n }\n ],\n illegal: /<\\//\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/hsp.js b/js/highlight/hsp.js
index fd7f85e92..361fbe9ef 100644
--- a/js/highlight/hsp.js
+++ b/js/highlight/hsp.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[76],{442:function(e,o){e.exports=function(e){return{case_insensitive:!0,lexemes:/[\w\._]+/,keywords:"goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:"string",begin:'{"',end:'"}',contains:[e.BACKSLASH_ESCAPE]},e.COMMENT(";","$",{relevance:0}),{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib"},contains:[e.inherit(e.QUOTE_STRING_MODE,{className:"meta-string"}),e.NUMBER_MODE,e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"symbol",begin:"^\\*(\\w+|@)"},e.NUMBER_MODE,e.C_NUMBER_MODE]}}}}]);
-//# sourceMappingURL=hsp.js.map?v=2e120e96171c74f2c192 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[76],{443:function(e,o){e.exports=function(e){return{case_insensitive:!0,lexemes:/[\w\._]+/,keywords:"goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:"string",begin:'{"',end:'"}',contains:[e.BACKSLASH_ESCAPE]},e.COMMENT(";","$",{relevance:0}),{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib"},contains:[e.inherit(e.QUOTE_STRING_MODE,{className:"meta-string"}),e.NUMBER_MODE,e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"symbol",begin:"^\\*(\\w+|@)"},e.NUMBER_MODE,e.C_NUMBER_MODE]}}}}]);
+//# sourceMappingURL=hsp.js.map?v=104df33b1e29b92c7e05 \ No newline at end of file
diff --git a/js/highlight/hsp.js.map b/js/highlight/hsp.js.map
index f71e4dfb2..3a2867424 100644
--- a/js/highlight/hsp.js.map
+++ b/js/highlight/hsp.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/hsp.js"],"names":["module","exports","hljs","case_insensitive","lexemes","keywords","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","className","begin","end","BACKSLASH_ESCAPE","COMMENT","relevance","inherit","NUMBER_MODE","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,WACTC,SAAU,2/EACVC,SAAU,CACRJ,EAAKK,oBACLL,EAAKM,qBACLN,EAAKO,kBACLP,EAAKQ,iBAEL,CAEEC,UAAW,SACXC,MAAO,KAAMC,IAAK,KAClBP,SAAU,CAACJ,EAAKY,mBAGlBZ,EAAKa,QAAQ,IAAK,IAAK,CAACC,UAAW,IAEnC,CAEEL,UAAW,OACXC,MAAO,IAAKC,IAAK,IACjBR,SAAU,CAAC,eAAgB,mNAC3BC,SAAU,CACRJ,EAAKe,QAAQf,EAAKO,kBAAmB,CAACE,UAAW,gBACjDT,EAAKgB,YACLhB,EAAKiB,cACLjB,EAAKK,oBACLL,EAAKM,uBAIT,CAEEG,UAAW,SACXC,MAAO,gBAGTV,EAAKgB,YACLhB,EAAKiB","file":"highlight/hsp.js?v=2e120e96171c74f2c192","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n lexemes: /[\\w\\._]+/,\n keywords: 'goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n\n {\n // multi-line string\n className: 'string',\n begin: '{\"', end: '\"}',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n\n hljs.COMMENT(';', '$', {relevance: 0}),\n\n {\n // pre-processor\n className: 'meta',\n begin: '#', end: '$',\n keywords: {'meta-keyword': 'addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib'},\n contains: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, {className: 'meta-string'}),\n hljs.NUMBER_MODE,\n hljs.C_NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n\n {\n // label\n className: 'symbol',\n begin: '^\\\\*(\\\\w+|@)'\n },\n\n hljs.NUMBER_MODE,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/hsp.js"],"names":["module","exports","hljs","case_insensitive","lexemes","keywords","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","className","begin","end","BACKSLASH_ESCAPE","COMMENT","relevance","inherit","NUMBER_MODE","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,WACTC,SAAU,2/EACVC,SAAU,CACRJ,EAAKK,oBACLL,EAAKM,qBACLN,EAAKO,kBACLP,EAAKQ,iBAEL,CAEEC,UAAW,SACXC,MAAO,KAAMC,IAAK,KAClBP,SAAU,CAACJ,EAAKY,mBAGlBZ,EAAKa,QAAQ,IAAK,IAAK,CAACC,UAAW,IAEnC,CAEEL,UAAW,OACXC,MAAO,IAAKC,IAAK,IACjBR,SAAU,CAAC,eAAgB,mNAC3BC,SAAU,CACRJ,EAAKe,QAAQf,EAAKO,kBAAmB,CAACE,UAAW,gBACjDT,EAAKgB,YACLhB,EAAKiB,cACLjB,EAAKK,oBACLL,EAAKM,uBAIT,CAEEG,UAAW,SACXC,MAAO,gBAGTV,EAAKgB,YACLhB,EAAKiB","file":"highlight/hsp.js?v=104df33b1e29b92c7e05","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n lexemes: /[\\w\\._]+/,\n keywords: 'goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n\n {\n // multi-line string\n className: 'string',\n begin: '{\"', end: '\"}',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n\n hljs.COMMENT(';', '$', {relevance: 0}),\n\n {\n // pre-processor\n className: 'meta',\n begin: '#', end: '$',\n keywords: {'meta-keyword': 'addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib'},\n contains: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, {className: 'meta-string'}),\n hljs.NUMBER_MODE,\n hljs.C_NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n\n {\n // label\n className: 'symbol',\n begin: '^\\\\*(\\\\w+|@)'\n },\n\n hljs.NUMBER_MODE,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/htmlbars.js b/js/highlight/htmlbars.js
index b123a9969..b73ee374a 100644
--- a/js/highlight/htmlbars.js
+++ b/js/highlight/htmlbars.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[77],{443:function(e,n){e.exports=function(e){var n="action collection component concat debugger each each-in else get hash if input link-to loc log mut outlet partial query-params render textarea unbound unless with yield view",a=(e.QUOTE_STRING_MODE,{endsWithParent:!0,relevance:0,keywords:{keyword:"as",built_in:n},contains:[e.QUOTE_STRING_MODE,{illegal:/\}\}/,begin:/[a-zA-Z0-9_]+=/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/[a-zA-Z0-9_]+/}]},e.NUMBER_MODE]});return{case_insensitive:!0,subLanguage:"xml",contains:[e.COMMENT("{{!(--)?","(--)?}}"),{className:"template-tag",begin:/\{\{[#\/]/,end:/\}\}/,contains:[{className:"name",begin:/[a-zA-Z\.\-]+/,keywords:{"builtin-name":n},starts:a}]},{className:"template-variable",begin:/\{\{[a-zA-Z][a-zA-Z\-]+/,end:/\}\}/,keywords:{keyword:"as",built_in:n},contains:[e.QUOTE_STRING_MODE]}]}}}}]);
-//# sourceMappingURL=htmlbars.js.map?v=e2fad7bd884a27dbd116 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[77],{444:function(e,n){e.exports=function(e){var n="action collection component concat debugger each each-in else get hash if input link-to loc log mut outlet partial query-params render textarea unbound unless with yield view",a=(e.QUOTE_STRING_MODE,{endsWithParent:!0,relevance:0,keywords:{keyword:"as",built_in:n},contains:[e.QUOTE_STRING_MODE,{illegal:/\}\}/,begin:/[a-zA-Z0-9_]+=/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/[a-zA-Z0-9_]+/}]},e.NUMBER_MODE]});return{case_insensitive:!0,subLanguage:"xml",contains:[e.COMMENT("{{!(--)?","(--)?}}"),{className:"template-tag",begin:/\{\{[#\/]/,end:/\}\}/,contains:[{className:"name",begin:/[a-zA-Z\.\-]+/,keywords:{"builtin-name":n},starts:a}]},{className:"template-variable",begin:/\{\{[a-zA-Z][a-zA-Z\-]+/,end:/\}\}/,keywords:{keyword:"as",built_in:n},contains:[e.QUOTE_STRING_MODE]}]}}}}]);
+//# sourceMappingURL=htmlbars.js.map?v=230f0f7e75220878d753 \ No newline at end of file
diff --git a/js/highlight/htmlbars.js.map b/js/highlight/htmlbars.js.map
index 2272c0350..42c398263 100644
--- a/js/highlight/htmlbars.js.map
+++ b/js/highlight/htmlbars.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/htmlbars.js"],"names":["module","exports","hljs","BUILT_INS","TAG_INNARDS","QUOTE_STRING_MODE","endsWithParent","relevance","keywords","keyword","built_in","contains","illegal","begin","returnBegin","className","NUMBER_MODE","case_insensitive","subLanguage","COMMENT","end","starts"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAY,iLA+BZC,GAPMF,EAAKG,kBAOG,CAChBC,gBAAgB,EAAMC,UAAW,EACjCC,SAAU,CAACC,QAAS,KAAMC,SAAUP,GACpCQ,SAAU,CACRT,EAAKG,kBAjCa,CACpBO,QAAS,OACTC,MAAO,iBACPC,aAAa,EACbP,UAAW,EACXI,SAAU,CACR,CACEI,UAAW,OAAQF,MAAO,mBA4B5BX,EAAKc,eAIT,MAAO,CACLC,kBAAkB,EAClBC,YAAa,MACbP,SAAU,CACRT,EAAKiB,QAAQ,WAAY,WACzB,CACEJ,UAAW,eACXF,MAAO,YAAaO,IAAK,OACzBT,SAAU,CACR,CACEI,UAAW,OACXF,MAAO,gBACPL,SAAU,CAAC,eAAgBL,GAC3BkB,OAAQjB,KAId,CACEW,UAAW,oBACXF,MAAO,0BAA2BO,IAAK,OACvCZ,SAAU,CAACC,QAAS,KAAMC,SAAUP,GACpCQ,SAAU,CACRT,EAAKG","file":"highlight/htmlbars.js?v=e2fad7bd884a27dbd116","sourcesContent":["module.exports = function(hljs) {\n var BUILT_INS = 'action collection component concat debugger each each-in else get hash if input link-to loc log mut outlet partial query-params render textarea unbound unless with yield view';\n\n var ATTR_ASSIGNMENT = {\n illegal: /\\}\\}/,\n begin: /[a-zA-Z0-9_]+=/,\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'attr', begin: /[a-zA-Z0-9_]+/\n }\n ]\n };\n\n var SUB_EXPR = {\n illegal: /\\}\\}/,\n begin: /\\)/, end: /\\)/,\n contains: [\n {\n begin: /[a-zA-Z\\.\\-]+/,\n keywords: {built_in: BUILT_INS},\n starts: {\n endsWithParent: true, relevance: 0,\n contains: [\n hljs.QUOTE_STRING_MODE,\n ]\n }\n }\n ]\n };\n\n var TAG_INNARDS = {\n endsWithParent: true, relevance: 0,\n keywords: {keyword: 'as', built_in: BUILT_INS},\n contains: [\n hljs.QUOTE_STRING_MODE,\n ATTR_ASSIGNMENT,\n hljs.NUMBER_MODE\n ]\n };\n\n return {\n case_insensitive: true,\n subLanguage: 'xml',\n contains: [\n hljs.COMMENT('{{!(--)?', '(--)?}}'),\n {\n className: 'template-tag',\n begin: /\\{\\{[#\\/]/, end: /\\}\\}/,\n contains: [\n {\n className: 'name',\n begin: /[a-zA-Z\\.\\-]+/,\n keywords: {'builtin-name': BUILT_INS},\n starts: TAG_INNARDS\n }\n ]\n },\n {\n className: 'template-variable',\n begin: /\\{\\{[a-zA-Z][a-zA-Z\\-]+/, end: /\\}\\}/,\n keywords: {keyword: 'as', built_in: BUILT_INS},\n contains: [\n hljs.QUOTE_STRING_MODE\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/htmlbars.js"],"names":["module","exports","hljs","BUILT_INS","TAG_INNARDS","QUOTE_STRING_MODE","endsWithParent","relevance","keywords","keyword","built_in","contains","illegal","begin","returnBegin","className","NUMBER_MODE","case_insensitive","subLanguage","COMMENT","end","starts"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAY,iLA+BZC,GAPMF,EAAKG,kBAOG,CAChBC,gBAAgB,EAAMC,UAAW,EACjCC,SAAU,CAACC,QAAS,KAAMC,SAAUP,GACpCQ,SAAU,CACRT,EAAKG,kBAjCa,CACpBO,QAAS,OACTC,MAAO,iBACPC,aAAa,EACbP,UAAW,EACXI,SAAU,CACR,CACEI,UAAW,OAAQF,MAAO,mBA4B5BX,EAAKc,eAIT,MAAO,CACLC,kBAAkB,EAClBC,YAAa,MACbP,SAAU,CACRT,EAAKiB,QAAQ,WAAY,WACzB,CACEJ,UAAW,eACXF,MAAO,YAAaO,IAAK,OACzBT,SAAU,CACR,CACEI,UAAW,OACXF,MAAO,gBACPL,SAAU,CAAC,eAAgBL,GAC3BkB,OAAQjB,KAId,CACEW,UAAW,oBACXF,MAAO,0BAA2BO,IAAK,OACvCZ,SAAU,CAACC,QAAS,KAAMC,SAAUP,GACpCQ,SAAU,CACRT,EAAKG","file":"highlight/htmlbars.js?v=230f0f7e75220878d753","sourcesContent":["module.exports = function(hljs) {\n var BUILT_INS = 'action collection component concat debugger each each-in else get hash if input link-to loc log mut outlet partial query-params render textarea unbound unless with yield view';\n\n var ATTR_ASSIGNMENT = {\n illegal: /\\}\\}/,\n begin: /[a-zA-Z0-9_]+=/,\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'attr', begin: /[a-zA-Z0-9_]+/\n }\n ]\n };\n\n var SUB_EXPR = {\n illegal: /\\}\\}/,\n begin: /\\)/, end: /\\)/,\n contains: [\n {\n begin: /[a-zA-Z\\.\\-]+/,\n keywords: {built_in: BUILT_INS},\n starts: {\n endsWithParent: true, relevance: 0,\n contains: [\n hljs.QUOTE_STRING_MODE,\n ]\n }\n }\n ]\n };\n\n var TAG_INNARDS = {\n endsWithParent: true, relevance: 0,\n keywords: {keyword: 'as', built_in: BUILT_INS},\n contains: [\n hljs.QUOTE_STRING_MODE,\n ATTR_ASSIGNMENT,\n hljs.NUMBER_MODE\n ]\n };\n\n return {\n case_insensitive: true,\n subLanguage: 'xml',\n contains: [\n hljs.COMMENT('{{!(--)?', '(--)?}}'),\n {\n className: 'template-tag',\n begin: /\\{\\{[#\\/]/, end: /\\}\\}/,\n contains: [\n {\n className: 'name',\n begin: /[a-zA-Z\\.\\-]+/,\n keywords: {'builtin-name': BUILT_INS},\n starts: TAG_INNARDS\n }\n ]\n },\n {\n className: 'template-variable',\n begin: /\\{\\{[a-zA-Z][a-zA-Z\\-]+/, end: /\\}\\}/,\n keywords: {keyword: 'as', built_in: BUILT_INS},\n contains: [\n hljs.QUOTE_STRING_MODE\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/http.js b/js/highlight/http.js
index f8c7d93a3..f0fc9c268 100644
--- a/js/highlight/http.js
+++ b/js/highlight/http.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[78],{444:function(e,n){e.exports=function(e){return{aliases:["https"],illegal:"\\S",contains:[{begin:"^HTTP/[0-9\\.]+",end:"$",contains:[{className:"number",begin:"\\b\\d{3}\\b"}]},{begin:"^[A-Z]+ (.*?) HTTP/[0-9\\.]+$",returnBegin:!0,end:"$",contains:[{className:"string",begin:" ",end:" ",excludeBegin:!0,excludeEnd:!0},{begin:"HTTP/[0-9\\.]+"},{className:"keyword",begin:"[A-Z]+"}]},{className:"attribute",begin:"^\\w",end:": ",excludeEnd:!0,illegal:"\\n|\\s|=",starts:{end:"$",relevance:0}},{begin:"\\n\\n",starts:{subLanguage:[],endsWithParent:!0}}]}}}}]);
-//# sourceMappingURL=http.js.map?v=98335291648e3efa80a0 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[78],{445:function(e,n){e.exports=function(e){return{aliases:["https"],illegal:"\\S",contains:[{begin:"^HTTP/[0-9\\.]+",end:"$",contains:[{className:"number",begin:"\\b\\d{3}\\b"}]},{begin:"^[A-Z]+ (.*?) HTTP/[0-9\\.]+$",returnBegin:!0,end:"$",contains:[{className:"string",begin:" ",end:" ",excludeBegin:!0,excludeEnd:!0},{begin:"HTTP/[0-9\\.]+"},{className:"keyword",begin:"[A-Z]+"}]},{className:"attribute",begin:"^\\w",end:": ",excludeEnd:!0,illegal:"\\n|\\s|=",starts:{end:"$",relevance:0}},{begin:"\\n\\n",starts:{subLanguage:[],endsWithParent:!0}}]}}}}]);
+//# sourceMappingURL=http.js.map?v=3620b0f6777c0d97d088 \ No newline at end of file
diff --git a/js/highlight/http.js.map b/js/highlight/http.js.map
index 512b814b1..807c28ce6 100644
--- a/js/highlight/http.js.map
+++ b/js/highlight/http.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/http.js"],"names":["module","exports","hljs","aliases","illegal","contains","begin","end","className","returnBegin","excludeBegin","excludeEnd","starts","relevance","subLanguage","endsWithParent"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,QAAS,CAAC,SACVC,QAAS,MACTC,SAAU,CACR,CACEC,MAAO,kBAAeC,IAAK,IAC3BF,SAAU,CAAC,CAACG,UAAW,SAAUF,MAAO,kBAE1C,CACEA,MAAO,gCAAkCG,aAAa,EAAMF,IAAK,IACjEF,SAAU,CACR,CACEG,UAAW,SACXF,MAAO,IAAKC,IAAK,IACjBG,cAAc,EAAMC,YAAY,GAElC,CACEL,MAlBI,kBAoBN,CACEE,UAAW,UACXF,MAAO,YAIb,CACEE,UAAW,YACXF,MAAO,OAAQC,IAAK,KAAMI,YAAY,EACtCP,QAAS,YACTQ,OAAQ,CAACL,IAAK,IAAKM,UAAW,IAEhC,CACEP,MAAO,SACPM,OAAQ,CAACE,YAAa,GAAIC,gBAAgB","file":"highlight/http.js?v=98335291648e3efa80a0","sourcesContent":["module.exports = function(hljs) {\n var VERSION = 'HTTP/[0-9\\\\.]+';\n return {\n aliases: ['https'],\n illegal: '\\\\S',\n contains: [\n {\n begin: '^' + VERSION, end: '$',\n contains: [{className: 'number', begin: '\\\\b\\\\d{3}\\\\b'}]\n },\n {\n begin: '^[A-Z]+ (.*?) ' + VERSION + '$', returnBegin: true, end: '$',\n contains: [\n {\n className: 'string',\n begin: ' ', end: ' ',\n excludeBegin: true, excludeEnd: true\n },\n {\n begin: VERSION\n },\n {\n className: 'keyword',\n begin: '[A-Z]+'\n }\n ]\n },\n {\n className: 'attribute',\n begin: '^\\\\w', end: ': ', excludeEnd: true,\n illegal: '\\\\n|\\\\s|=',\n starts: {end: '$', relevance: 0}\n },\n {\n begin: '\\\\n\\\\n',\n starts: {subLanguage: [], endsWithParent: true}\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/http.js"],"names":["module","exports","hljs","aliases","illegal","contains","begin","end","className","returnBegin","excludeBegin","excludeEnd","starts","relevance","subLanguage","endsWithParent"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,QAAS,CAAC,SACVC,QAAS,MACTC,SAAU,CACR,CACEC,MAAO,kBAAeC,IAAK,IAC3BF,SAAU,CAAC,CAACG,UAAW,SAAUF,MAAO,kBAE1C,CACEA,MAAO,gCAAkCG,aAAa,EAAMF,IAAK,IACjEF,SAAU,CACR,CACEG,UAAW,SACXF,MAAO,IAAKC,IAAK,IACjBG,cAAc,EAAMC,YAAY,GAElC,CACEL,MAlBI,kBAoBN,CACEE,UAAW,UACXF,MAAO,YAIb,CACEE,UAAW,YACXF,MAAO,OAAQC,IAAK,KAAMI,YAAY,EACtCP,QAAS,YACTQ,OAAQ,CAACL,IAAK,IAAKM,UAAW,IAEhC,CACEP,MAAO,SACPM,OAAQ,CAACE,YAAa,GAAIC,gBAAgB","file":"highlight/http.js?v=3620b0f6777c0d97d088","sourcesContent":["module.exports = function(hljs) {\n var VERSION = 'HTTP/[0-9\\\\.]+';\n return {\n aliases: ['https'],\n illegal: '\\\\S',\n contains: [\n {\n begin: '^' + VERSION, end: '$',\n contains: [{className: 'number', begin: '\\\\b\\\\d{3}\\\\b'}]\n },\n {\n begin: '^[A-Z]+ (.*?) ' + VERSION + '$', returnBegin: true, end: '$',\n contains: [\n {\n className: 'string',\n begin: ' ', end: ' ',\n excludeBegin: true, excludeEnd: true\n },\n {\n begin: VERSION\n },\n {\n className: 'keyword',\n begin: '[A-Z]+'\n }\n ]\n },\n {\n className: 'attribute',\n begin: '^\\\\w', end: ': ', excludeEnd: true,\n illegal: '\\\\n|\\\\s|=',\n starts: {end: '$', relevance: 0}\n },\n {\n begin: '\\\\n\\\\n',\n starts: {subLanguage: [], endsWithParent: true}\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/hy.js b/js/highlight/hy.js
index 19a211490..1d944de81 100644
--- a/js/highlight/hy.js
+++ b/js/highlight/hy.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[79],{445:function(e,a){e.exports=function(e){var a="[a-zA-Z_\\-!.?+*=<>&#'][a-zA-Z_\\-!.?+*=<>&#'0-9/;:]*",t={begin:a,relevance:0},i={className:"number",begin:"[-+]?\\d+(\\.\\d+)?",relevance:0},n=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),s=e.COMMENT(";","$",{relevance:0}),r={className:"literal",begin:/\b([Tt]rue|[Ff]alse|nil|None)\b/},o={begin:"[\\[\\{]",end:"[\\]\\}]"},l={className:"comment",begin:"\\^"+a},c=e.COMMENT("\\^\\{","\\}"),d={className:"symbol",begin:"[:]{1,2}"+a},p={begin:"\\(",end:"\\)"},m={endsWithParent:!0,relevance:0},u={keywords:{"builtin-name":"!= % %= & &= * ** **= *= *map + += , --build-class-- --import-- -= . / // //= /= < << <<= <= = > >= >> >>= @ @= ^ ^= abs accumulate all and any ap-compose ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast callable calling-module-name car case cdr chain chr coll? combinations compile compress cond cons cons? continue count curry cut cycle dec def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first flatten float? fn fnc fnr for for* format fraction genexpr gensym get getattr global globals group-by hasattr hash hex id identity if if* if-not if-python2 import in inc input instance? integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass iter iterable? iterate iterator? keyword keyword? lambda last len let lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all map max merge-with method-decorator min multi-decorator multicombinations name neg? next none? nonlocal not not-in not? nth numeric? oct odd? open or ord partition permutations pos? post-route postwalk pow prewalk print product profile/calls profile/cpu put-route quasiquote quote raise range read read-str recursive-replace reduce remove repeat repeatedly repr require rest round route route-with-methods rwm second seq set-comp setattr setv some sorted string string? sum switch symbol? take take-nth take-while tee try unless unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms xi xor yield yield-from zero? zip zip-longest | |= ~"},lexemes:a,className:"name",begin:a,starts:m},f=[p,n,l,c,s,d,o,i,r,t];return p.contains=[e.COMMENT("comment",""),u,m],m.contains=f,o.contains=f,{aliases:["hylang"],illegal:/\S/,contains:[{className:"meta",begin:"^#!",end:"$"},p,n,l,c,s,d,o,i,r]}}}}]);
-//# sourceMappingURL=hy.js.map?v=b68c0a22eafb43ae73f2 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[79],{446:function(e,a){e.exports=function(e){var a="[a-zA-Z_\\-!.?+*=<>&#'][a-zA-Z_\\-!.?+*=<>&#'0-9/;:]*",t={begin:a,relevance:0},i={className:"number",begin:"[-+]?\\d+(\\.\\d+)?",relevance:0},n=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),s=e.COMMENT(";","$",{relevance:0}),r={className:"literal",begin:/\b([Tt]rue|[Ff]alse|nil|None)\b/},o={begin:"[\\[\\{]",end:"[\\]\\}]"},l={className:"comment",begin:"\\^"+a},c=e.COMMENT("\\^\\{","\\}"),d={className:"symbol",begin:"[:]{1,2}"+a},p={begin:"\\(",end:"\\)"},m={endsWithParent:!0,relevance:0},u={keywords:{"builtin-name":"!= % %= & &= * ** **= *= *map + += , --build-class-- --import-- -= . / // //= /= < << <<= <= = > >= >> >>= @ @= ^ ^= abs accumulate all and any ap-compose ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast callable calling-module-name car case cdr chain chr coll? combinations compile compress cond cons cons? continue count curry cut cycle dec def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first flatten float? fn fnc fnr for for* format fraction genexpr gensym get getattr global globals group-by hasattr hash hex id identity if if* if-not if-python2 import in inc input instance? integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass iter iterable? iterate iterator? keyword keyword? lambda last len let lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all map max merge-with method-decorator min multi-decorator multicombinations name neg? next none? nonlocal not not-in not? nth numeric? oct odd? open or ord partition permutations pos? post-route postwalk pow prewalk print product profile/calls profile/cpu put-route quasiquote quote raise range read read-str recursive-replace reduce remove repeat repeatedly repr require rest round route route-with-methods rwm second seq set-comp setattr setv some sorted string string? sum switch symbol? take take-nth take-while tee try unless unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms xi xor yield yield-from zero? zip zip-longest | |= ~"},lexemes:a,className:"name",begin:a,starts:m},f=[p,n,l,c,s,d,o,i,r,t];return p.contains=[e.COMMENT("comment",""),u,m],m.contains=f,o.contains=f,{aliases:["hylang"],illegal:/\S/,contains:[{className:"meta",begin:"^#!",end:"$"},p,n,l,c,s,d,o,i,r]}}}}]);
+//# sourceMappingURL=hy.js.map?v=e5519677d9528132d1b2 \ No newline at end of file
diff --git a/js/highlight/hy.js.map b/js/highlight/hy.js.map
index b97785eac..86b891e6a 100644
--- a/js/highlight/hy.js.map
+++ b/js/highlight/hy.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/hy.js"],"names":["module","exports","hljs","SYMBOL_RE","SYMBOL","begin","relevance","NUMBER","className","STRING","inherit","QUOTE_STRING_MODE","illegal","COMMENT","LITERAL","COLLECTION","end","HINT","HINT_COL","KEY","LIST","BODY","endsWithParent","NAME","keywords","lexemes","starts","DEFAULT_CONTAINS","contains","aliases"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAmCIC,EAAY,wDAQZC,EAAS,CACXC,MAAOF,EACPG,UAAW,GAETC,EAAS,CACXC,UAAW,SAAUH,MAZA,sBAarBC,UAAW,GAETG,EAASP,EAAKQ,QAAQR,EAAKS,kBAAmB,CAACC,QAAS,OACxDC,EAAUX,EAAKW,QACjB,IACA,IACA,CACEP,UAAW,IAGXQ,EAAU,CACZN,UAAW,UACXH,MAAO,mCAELU,EAAa,CACfV,MAAO,WAAYW,IAAK,YAEtBC,EAAO,CACTT,UAAW,UACXH,MAAO,MAAQF,GAEbe,EAAWhB,EAAKW,QAAQ,SAAU,OAClCM,EAAM,CACRX,UAAW,SACXH,MAAO,WAAaF,GAElBiB,EAAO,CACTf,MAAO,MAAOW,IAAK,OAEjBK,EAAO,CACTC,gBAAgB,EAChBhB,UAAW,GAETiB,EAAO,CACTC,SAnFa,CACb,eAEE,okEAiFFC,QAAStB,EACTK,UAAW,OAAQH,MAAOF,EAC1BuB,OAAQL,GAENM,EAAmB,CAACP,EAAMX,EAAQQ,EAAMC,EAAUL,EAASM,EAAKJ,EAAYR,EAAQO,EAASV,GAMjG,OAJAgB,EAAKQ,SAAW,CAAC1B,EAAKW,QAAQ,UAAW,IAAKU,EAAMF,GACpDA,EAAKO,SAAWD,EAChBZ,EAAWa,SAAWD,EAEf,CACLE,QAAS,CAAC,UACVjB,QAAS,KACTgB,SAAU,CA3DE,CACZpB,UAAW,OACXH,MAAO,MAAOW,IAAK,KAyDCI,EAAMX,EAAQQ,EAAMC,EAAUL,EAASM,EAAKJ,EAAYR,EAAQO","file":"highlight/hy.js?v=b68c0a22eafb43ae73f2","sourcesContent":["module.exports = function(hljs) {\n var keywords = {\n 'builtin-name':\n // keywords\n '!= % %= & &= * ** **= *= *map ' +\n '+ += , --build-class-- --import-- -= . / // //= ' +\n '/= < << <<= <= = > >= >> >>= ' +\n '@ @= ^ ^= abs accumulate all and any ap-compose ' +\n 'ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ' +\n 'ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast ' +\n 'callable calling-module-name car case cdr chain chr coll? combinations compile ' +\n 'compress cond cons cons? continue count curry cut cycle dec ' +\n 'def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn ' +\n 'defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir ' +\n 'disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? ' +\n 'end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first ' +\n 'flatten float? fn fnc fnr for for* format fraction genexpr ' +\n 'gensym get getattr global globals group-by hasattr hash hex id ' +\n 'identity if if* if-not if-python2 import in inc input instance? ' +\n 'integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even ' +\n 'is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none ' +\n 'is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass ' +\n 'iter iterable? iterate iterator? keyword keyword? lambda last len let ' +\n 'lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all ' +\n 'map max merge-with method-decorator min multi-decorator multicombinations name neg? next ' +\n 'none? nonlocal not not-in not? nth numeric? oct odd? open ' +\n 'or ord partition permutations pos? post-route postwalk pow prewalk print ' +\n 'product profile/calls profile/cpu put-route quasiquote quote raise range read read-str ' +\n 'recursive-replace reduce remove repeat repeatedly repr require rest round route ' +\n 'route-with-methods rwm second seq set-comp setattr setv some sorted string ' +\n 'string? sum switch symbol? take take-nth take-while tee try unless ' +\n 'unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms ' +\n 'xi xor yield yield-from zero? zip zip-longest | |= ~'\n };\n\n var SYMBOLSTART = 'a-zA-Z_\\\\-!.?+*=<>&#\\'';\n var SYMBOL_RE = '[' + SYMBOLSTART + '][' + SYMBOLSTART + '0-9/;:]*';\n var SIMPLE_NUMBER_RE = '[-+]?\\\\d+(\\\\.\\\\d+)?';\n\n var SHEBANG = {\n className: 'meta',\n begin: '^#!', end: '$'\n };\n\n var SYMBOL = {\n begin: SYMBOL_RE,\n relevance: 0\n };\n var NUMBER = {\n className: 'number', begin: SIMPLE_NUMBER_RE,\n relevance: 0\n };\n var STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null});\n var COMMENT = hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n );\n var LITERAL = {\n className: 'literal',\n begin: /\\b([Tt]rue|[Ff]alse|nil|None)\\b/\n };\n var COLLECTION = {\n begin: '[\\\\[\\\\{]', end: '[\\\\]\\\\}]'\n };\n var HINT = {\n className: 'comment',\n begin: '\\\\^' + SYMBOL_RE\n };\n var HINT_COL = hljs.COMMENT('\\\\^\\\\{', '\\\\}');\n var KEY = {\n className: 'symbol',\n begin: '[:]{1,2}' + SYMBOL_RE\n };\n var LIST = {\n begin: '\\\\(', end: '\\\\)'\n };\n var BODY = {\n endsWithParent: true,\n relevance: 0\n };\n var NAME = {\n keywords: keywords,\n lexemes: SYMBOL_RE,\n className: 'name', begin: SYMBOL_RE,\n starts: BODY\n };\n var DEFAULT_CONTAINS = [LIST, STRING, HINT, HINT_COL, COMMENT, KEY, COLLECTION, NUMBER, LITERAL, SYMBOL];\n\n LIST.contains = [hljs.COMMENT('comment', ''), NAME, BODY];\n BODY.contains = DEFAULT_CONTAINS;\n COLLECTION.contains = DEFAULT_CONTAINS;\n\n return {\n aliases: ['hylang'],\n illegal: /\\S/,\n contains: [SHEBANG, LIST, STRING, HINT, HINT_COL, COMMENT, KEY, COLLECTION, NUMBER, LITERAL]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/hy.js"],"names":["module","exports","hljs","SYMBOL_RE","SYMBOL","begin","relevance","NUMBER","className","STRING","inherit","QUOTE_STRING_MODE","illegal","COMMENT","LITERAL","COLLECTION","end","HINT","HINT_COL","KEY","LIST","BODY","endsWithParent","NAME","keywords","lexemes","starts","DEFAULT_CONTAINS","contains","aliases"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAmCIC,EAAY,wDAQZC,EAAS,CACXC,MAAOF,EACPG,UAAW,GAETC,EAAS,CACXC,UAAW,SAAUH,MAZA,sBAarBC,UAAW,GAETG,EAASP,EAAKQ,QAAQR,EAAKS,kBAAmB,CAACC,QAAS,OACxDC,EAAUX,EAAKW,QACjB,IACA,IACA,CACEP,UAAW,IAGXQ,EAAU,CACZN,UAAW,UACXH,MAAO,mCAELU,EAAa,CACfV,MAAO,WAAYW,IAAK,YAEtBC,EAAO,CACTT,UAAW,UACXH,MAAO,MAAQF,GAEbe,EAAWhB,EAAKW,QAAQ,SAAU,OAClCM,EAAM,CACRX,UAAW,SACXH,MAAO,WAAaF,GAElBiB,EAAO,CACTf,MAAO,MAAOW,IAAK,OAEjBK,EAAO,CACTC,gBAAgB,EAChBhB,UAAW,GAETiB,EAAO,CACTC,SAnFa,CACb,eAEE,okEAiFFC,QAAStB,EACTK,UAAW,OAAQH,MAAOF,EAC1BuB,OAAQL,GAENM,EAAmB,CAACP,EAAMX,EAAQQ,EAAMC,EAAUL,EAASM,EAAKJ,EAAYR,EAAQO,EAASV,GAMjG,OAJAgB,EAAKQ,SAAW,CAAC1B,EAAKW,QAAQ,UAAW,IAAKU,EAAMF,GACpDA,EAAKO,SAAWD,EAChBZ,EAAWa,SAAWD,EAEf,CACLE,QAAS,CAAC,UACVjB,QAAS,KACTgB,SAAU,CA3DE,CACZpB,UAAW,OACXH,MAAO,MAAOW,IAAK,KAyDCI,EAAMX,EAAQQ,EAAMC,EAAUL,EAASM,EAAKJ,EAAYR,EAAQO","file":"highlight/hy.js?v=e5519677d9528132d1b2","sourcesContent":["module.exports = function(hljs) {\n var keywords = {\n 'builtin-name':\n // keywords\n '!= % %= & &= * ** **= *= *map ' +\n '+ += , --build-class-- --import-- -= . / // //= ' +\n '/= < << <<= <= = > >= >> >>= ' +\n '@ @= ^ ^= abs accumulate all and any ap-compose ' +\n 'ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ' +\n 'ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast ' +\n 'callable calling-module-name car case cdr chain chr coll? combinations compile ' +\n 'compress cond cons cons? continue count curry cut cycle dec ' +\n 'def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn ' +\n 'defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir ' +\n 'disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? ' +\n 'end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first ' +\n 'flatten float? fn fnc fnr for for* format fraction genexpr ' +\n 'gensym get getattr global globals group-by hasattr hash hex id ' +\n 'identity if if* if-not if-python2 import in inc input instance? ' +\n 'integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even ' +\n 'is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none ' +\n 'is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass ' +\n 'iter iterable? iterate iterator? keyword keyword? lambda last len let ' +\n 'lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all ' +\n 'map max merge-with method-decorator min multi-decorator multicombinations name neg? next ' +\n 'none? nonlocal not not-in not? nth numeric? oct odd? open ' +\n 'or ord partition permutations pos? post-route postwalk pow prewalk print ' +\n 'product profile/calls profile/cpu put-route quasiquote quote raise range read read-str ' +\n 'recursive-replace reduce remove repeat repeatedly repr require rest round route ' +\n 'route-with-methods rwm second seq set-comp setattr setv some sorted string ' +\n 'string? sum switch symbol? take take-nth take-while tee try unless ' +\n 'unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms ' +\n 'xi xor yield yield-from zero? zip zip-longest | |= ~'\n };\n\n var SYMBOLSTART = 'a-zA-Z_\\\\-!.?+*=<>&#\\'';\n var SYMBOL_RE = '[' + SYMBOLSTART + '][' + SYMBOLSTART + '0-9/;:]*';\n var SIMPLE_NUMBER_RE = '[-+]?\\\\d+(\\\\.\\\\d+)?';\n\n var SHEBANG = {\n className: 'meta',\n begin: '^#!', end: '$'\n };\n\n var SYMBOL = {\n begin: SYMBOL_RE,\n relevance: 0\n };\n var NUMBER = {\n className: 'number', begin: SIMPLE_NUMBER_RE,\n relevance: 0\n };\n var STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null});\n var COMMENT = hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n );\n var LITERAL = {\n className: 'literal',\n begin: /\\b([Tt]rue|[Ff]alse|nil|None)\\b/\n };\n var COLLECTION = {\n begin: '[\\\\[\\\\{]', end: '[\\\\]\\\\}]'\n };\n var HINT = {\n className: 'comment',\n begin: '\\\\^' + SYMBOL_RE\n };\n var HINT_COL = hljs.COMMENT('\\\\^\\\\{', '\\\\}');\n var KEY = {\n className: 'symbol',\n begin: '[:]{1,2}' + SYMBOL_RE\n };\n var LIST = {\n begin: '\\\\(', end: '\\\\)'\n };\n var BODY = {\n endsWithParent: true,\n relevance: 0\n };\n var NAME = {\n keywords: keywords,\n lexemes: SYMBOL_RE,\n className: 'name', begin: SYMBOL_RE,\n starts: BODY\n };\n var DEFAULT_CONTAINS = [LIST, STRING, HINT, HINT_COL, COMMENT, KEY, COLLECTION, NUMBER, LITERAL, SYMBOL];\n\n LIST.contains = [hljs.COMMENT('comment', ''), NAME, BODY];\n BODY.contains = DEFAULT_CONTAINS;\n COLLECTION.contains = DEFAULT_CONTAINS;\n\n return {\n aliases: ['hylang'],\n illegal: /\\S/,\n contains: [SHEBANG, LIST, STRING, HINT, HINT_COL, COMMENT, KEY, COLLECTION, NUMBER, LITERAL]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/inform7.js b/js/highlight/inform7.js
index 80afeb65b..50e4f1858 100644
--- a/js/highlight/inform7.js
+++ b/js/highlight/inform7.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[80],{446:function(e,n){e.exports=function(e){return{aliases:["i7"],case_insensitive:!0,keywords:{keyword:"thing room person man woman animal container supporter backdrop door scenery open closed locked inside gender is are say understand kind of rule"},contains:[{className:"string",begin:'"',end:'"',relevance:0,contains:[{className:"subst",begin:"\\[",end:"\\]"}]},{className:"section",begin:/^(Volume|Book|Part|Chapter|Section|Table)\b/,end:"$"},{begin:/^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\b/,end:":",contains:[{begin:"\\(This",end:"\\)"}]},{className:"comment",begin:"\\[",end:"\\]",contains:["self"]}]}}}}]);
-//# sourceMappingURL=inform7.js.map?v=5901c54722f00ddb0fff \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[80],{447:function(e,n){e.exports=function(e){return{aliases:["i7"],case_insensitive:!0,keywords:{keyword:"thing room person man woman animal container supporter backdrop door scenery open closed locked inside gender is are say understand kind of rule"},contains:[{className:"string",begin:'"',end:'"',relevance:0,contains:[{className:"subst",begin:"\\[",end:"\\]"}]},{className:"section",begin:/^(Volume|Book|Part|Chapter|Section|Table)\b/,end:"$"},{begin:/^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\b/,end:":",contains:[{begin:"\\(This",end:"\\)"}]},{className:"comment",begin:"\\[",end:"\\]",contains:["self"]}]}}}}]);
+//# sourceMappingURL=inform7.js.map?v=6a6088db96341850bc6c \ No newline at end of file
diff --git a/js/highlight/inform7.js.map b/js/highlight/inform7.js.map
index 4c1d5900f..4535d2a7b 100644
--- a/js/highlight/inform7.js.map
+++ b/js/highlight/inform7.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/inform7.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","contains","className","begin","end","relevance"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAGxB,MAAO,CACLC,QAAS,CAAC,MACVC,kBAAkB,EAClBC,SAAU,CAERC,QAEE,oJASJC,SAAU,CACR,CACEC,UAAW,SACXC,MAAO,IAAKC,IAAK,IACjBC,UAAW,EACXJ,SAAU,CACR,CACEC,UAAW,QACXC,MA1BU,MA0BYC,IAzBd,SA6Bd,CACEF,UAAW,UACXC,MAAO,8CACPC,IAAK,KAEP,CAGED,MAAO,mEACPC,IAAK,IACLH,SAAU,CACR,CAEEE,MAAO,UAAWC,IAAK,SAI7B,CACEF,UAAW,UACXC,MAjDc,MAiDQC,IAhDV,MAiDZH,SAAU,CAAC","file":"highlight/inform7.js?v=5901c54722f00ddb0fff","sourcesContent":["module.exports = function(hljs) {\n var START_BRACKET = '\\\\[';\n var END_BRACKET = '\\\\]';\n return {\n aliases: ['i7'],\n case_insensitive: true,\n keywords: {\n // Some keywords more or less unique to I7, for relevance.\n keyword:\n // kind:\n 'thing room person man woman animal container ' +\n 'supporter backdrop door ' +\n // characteristic:\n 'scenery open closed locked inside gender ' +\n // verb:\n 'is are say understand ' +\n // misc keyword:\n 'kind of rule'\n },\n contains: [\n {\n className: 'string',\n begin: '\"', end: '\"',\n relevance: 0,\n contains: [\n {\n className: 'subst',\n begin: START_BRACKET, end: END_BRACKET\n }\n ]\n },\n {\n className: 'section',\n begin: /^(Volume|Book|Part|Chapter|Section|Table)\\b/,\n end: '$'\n },\n {\n // Rule definition\n // This is here for relevance.\n begin: /^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\\b/,\n end: ':',\n contains: [\n {\n //Rule name\n begin: '\\\\(This', end: '\\\\)'\n }\n ]\n },\n {\n className: 'comment',\n begin: START_BRACKET, end: END_BRACKET,\n contains: ['self']\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/inform7.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","contains","className","begin","end","relevance"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAGxB,MAAO,CACLC,QAAS,CAAC,MACVC,kBAAkB,EAClBC,SAAU,CAERC,QAEE,oJASJC,SAAU,CACR,CACEC,UAAW,SACXC,MAAO,IAAKC,IAAK,IACjBC,UAAW,EACXJ,SAAU,CACR,CACEC,UAAW,QACXC,MA1BU,MA0BYC,IAzBd,SA6Bd,CACEF,UAAW,UACXC,MAAO,8CACPC,IAAK,KAEP,CAGED,MAAO,mEACPC,IAAK,IACLH,SAAU,CACR,CAEEE,MAAO,UAAWC,IAAK,SAI7B,CACEF,UAAW,UACXC,MAjDc,MAiDQC,IAhDV,MAiDZH,SAAU,CAAC","file":"highlight/inform7.js?v=6a6088db96341850bc6c","sourcesContent":["module.exports = function(hljs) {\n var START_BRACKET = '\\\\[';\n var END_BRACKET = '\\\\]';\n return {\n aliases: ['i7'],\n case_insensitive: true,\n keywords: {\n // Some keywords more or less unique to I7, for relevance.\n keyword:\n // kind:\n 'thing room person man woman animal container ' +\n 'supporter backdrop door ' +\n // characteristic:\n 'scenery open closed locked inside gender ' +\n // verb:\n 'is are say understand ' +\n // misc keyword:\n 'kind of rule'\n },\n contains: [\n {\n className: 'string',\n begin: '\"', end: '\"',\n relevance: 0,\n contains: [\n {\n className: 'subst',\n begin: START_BRACKET, end: END_BRACKET\n }\n ]\n },\n {\n className: 'section',\n begin: /^(Volume|Book|Part|Chapter|Section|Table)\\b/,\n end: '$'\n },\n {\n // Rule definition\n // This is here for relevance.\n begin: /^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\\b/,\n end: ':',\n contains: [\n {\n //Rule name\n begin: '\\\\(This', end: '\\\\)'\n }\n ]\n },\n {\n className: 'comment',\n begin: START_BRACKET, end: END_BRACKET,\n contains: ['self']\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/ini.js b/js/highlight/ini.js
index d71d7f15a..32ba7f88f 100644
--- a/js/highlight/ini.js
+++ b/js/highlight/ini.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[81],{447:function(e,n){e.exports=function(e){var n={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:"'''",end:"'''",relevance:10},{begin:'"""',end:'"""',relevance:10},{begin:'"',end:'"'},{begin:"'",end:"'"}]};return{aliases:["toml"],case_insensitive:!0,illegal:/\S/,contains:[e.COMMENT(";","$"),e.HASH_COMMENT_MODE,{className:"section",begin:/^\s*\[+/,end:/\]+/},{begin:/^[a-z0-9\[\]_\.-]+\s*=\s*/,end:"$",returnBegin:!0,contains:[{className:"attr",begin:/[a-z0-9\[\]_\.-]+/},{begin:/=/,endsWithParent:!0,relevance:0,contains:[e.COMMENT(";","$"),e.HASH_COMMENT_MODE,{className:"literal",begin:/\bon|off|true|false|yes|no\b/},{className:"variable",variants:[{begin:/\$[\w\d"][\w\d_]*/},{begin:/\$\{(.*?)}/}]},n,{className:"number",begin:/([\+\-]+)?[\d]+_[\d_]+/},e.NUMBER_MODE]}]}]}}}}]);
-//# sourceMappingURL=ini.js.map?v=0c070095cd563fb283f9 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[81],{448:function(e,n){e.exports=function(e){var n={className:"number",relevance:0,variants:[{begin:/([\+\-]+)?[\d]+_[\d_]+/},{begin:e.NUMBER_RE}]},a=e.COMMENT();a.variants=[{begin:/;/,end:/$/},{begin:/#/,end:/$/}];var s={className:"variable",variants:[{begin:/\$[\w\d"][\w\d_]*/},{begin:/\$\{(.*?)}/}]},i={className:"literal",begin:/\bon|off|true|false|yes|no\b/},t={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:"'''",end:"'''",relevance:10},{begin:'"""',end:'"""',relevance:10},{begin:'"',end:'"'},{begin:"'",end:"'"}]};return{aliases:["toml"],case_insensitive:!0,illegal:/\S/,contains:[a,{className:"section",begin:/\[+/,end:/\]+/},{begin:/^[a-z0-9\[\]_\.-]+(?=\s*=\s*)/,className:"attr",starts:{end:/$/,contains:[a,{begin:/\[/,end:/\]/,contains:[a,i,s,t,n,"self"],relevance:0},i,s,t,n]}}]}}}}]);
+//# sourceMappingURL=ini.js.map?v=5e0594b511ddf2286b2b \ No newline at end of file
diff --git a/js/highlight/ini.js.map b/js/highlight/ini.js.map
index 82482d3de..8079ac109 100644
--- a/js/highlight/ini.js.map
+++ b/js/highlight/ini.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ini.js"],"names":["module","exports","hljs","STRING","className","contains","BACKSLASH_ESCAPE","variants","begin","end","relevance","aliases","case_insensitive","illegal","COMMENT","HASH_COMMENT_MODE","returnBegin","endsWithParent","NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAS,CACXC,UAAW,SACXC,SAAU,CAACH,EAAKI,kBAChBC,SAAU,CACR,CACEC,MAAO,MAAOC,IAAK,MACnBC,UAAW,IACV,CACDF,MAAO,MAAOC,IAAK,MACnBC,UAAW,IACV,CACDF,MAAO,IAAKC,IAAK,KAChB,CACDD,MAAO,IAAKC,IAAK,OAIvB,MAAO,CACLE,QAAS,CAAC,QACVC,kBAAkB,EAClBC,QAAS,KACTR,SAAU,CACRH,EAAKY,QAAQ,IAAK,KAClBZ,EAAKa,kBACL,CACEX,UAAW,UACXI,MAAO,UAAWC,IAAK,OAEzB,CACED,MAAO,4BAA6BC,IAAK,IACzCO,aAAa,EACbX,SAAU,CACR,CACED,UAAW,OACXI,MAAO,qBAET,CACEA,MAAO,IAAKS,gBAAgB,EAC5BP,UAAW,EACXL,SAAU,CACRH,EAAKY,QAAQ,IAAK,KAClBZ,EAAKa,kBACL,CACEX,UAAW,UACXI,MAAO,gCAET,CACEJ,UAAW,WACXG,SAAU,CACR,CAACC,MAAO,qBACR,CAACA,MAAO,gBAGZL,EACA,CACEC,UAAW,SACXI,MAAO,0BAETN,EAAKgB","file":"highlight/ini.js?v=0c070095cd563fb283f9","sourcesContent":["module.exports = function(hljs) {\n var STRING = {\n className: \"string\",\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {\n begin: \"'''\", end: \"'''\",\n relevance: 10\n }, {\n begin: '\"\"\"', end: '\"\"\"',\n relevance: 10\n }, {\n begin: '\"', end: '\"'\n }, {\n begin: \"'\", end: \"'\"\n }\n ]\n };\n return {\n aliases: ['toml'],\n case_insensitive: true,\n illegal: /\\S/,\n contains: [\n hljs.COMMENT(';', '$'),\n hljs.HASH_COMMENT_MODE,\n {\n className: 'section',\n begin: /^\\s*\\[+/, end: /\\]+/\n },\n {\n begin: /^[a-z0-9\\[\\]_\\.-]+\\s*=\\s*/, end: '$',\n returnBegin: true,\n contains: [\n {\n className: 'attr',\n begin: /[a-z0-9\\[\\]_\\.-]+/\n },\n {\n begin: /=/, endsWithParent: true,\n relevance: 0,\n contains: [\n hljs.COMMENT(';', '$'),\n hljs.HASH_COMMENT_MODE,\n {\n className: 'literal',\n begin: /\\bon|off|true|false|yes|no\\b/\n },\n {\n className: 'variable',\n variants: [\n {begin: /\\$[\\w\\d\"][\\w\\d_]*/},\n {begin: /\\$\\{(.*?)}/}\n ]\n },\n STRING,\n {\n className: 'number',\n begin: /([\\+\\-]+)?[\\d]+_[\\d_]+/\n },\n hljs.NUMBER_MODE\n ]\n }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ini.js"],"names":["module","exports","hljs","NUMBERS","className","relevance","variants","begin","NUMBER_RE","COMMENTS","COMMENT","end","VARIABLES","LITERALS","STRINGS","contains","BACKSLASH_ESCAPE","aliases","case_insensitive","illegal","starts"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,UAAW,SACXC,UAAW,EACXC,SAAU,CACR,CAAEC,MAAO,0BACT,CAAEA,MAAOL,EAAKM,aAGdC,EAAWP,EAAKQ,UACpBD,EAASH,SAAW,CAClB,CAACC,MAAO,IAAKI,IAAK,KAClB,CAACJ,MAAO,IAAKI,IAAK,MAEpB,IAAIC,EAAY,CACdR,UAAW,WACXE,SAAU,CACR,CAAEC,MAAO,qBACT,CAAEA,MAAO,gBAGTM,EAAW,CACbT,UAAW,UACXG,MAAO,gCAELO,EAAU,CACZV,UAAW,SACXW,SAAU,CAACb,EAAKc,kBAChBV,SAAU,CACR,CAAEC,MAAO,MAAOI,IAAK,MAAON,UAAW,IACvC,CAAEE,MAAO,MAAOI,IAAK,MAAON,UAAW,IACvC,CAAEE,MAAO,IAAKI,IAAK,KACnB,CAAEJ,MAAO,IAAKI,IAAK,OAgBvB,MAAO,CACLM,QAAS,CAAC,QACVC,kBAAkB,EAClBC,QAAS,KACTJ,SAAU,CACRN,EACA,CACEL,UAAW,UACXG,MAAO,MAAOI,IAAK,OAErB,CACEJ,MAAO,gCACPH,UAAW,OACXgB,OAAQ,CACNT,IAAK,IACLI,SAAU,CACRN,EA7BE,CACVF,MAAO,KAAMI,IAAK,KAClBI,SAAU,CACRN,EACAI,EACAD,EACAE,EACAX,EACA,QAEFE,UAAU,GAqBFQ,EACAD,EACAE,EACAX","file":"highlight/ini.js?v=5e0594b511ddf2286b2b","sourcesContent":["module.exports = function(hljs) {\n var NUMBERS = {\n className: 'number',\n relevance: 0,\n variants: [\n { begin: /([\\+\\-]+)?[\\d]+_[\\d_]+/ },\n { begin: hljs.NUMBER_RE }\n ]\n };\n var COMMENTS = hljs.COMMENT();\n COMMENTS.variants = [\n {begin: /;/, end: /$/},\n {begin: /#/, end: /$/},\n ];\n var VARIABLES = {\n className: 'variable',\n variants: [\n { begin: /\\$[\\w\\d\"][\\w\\d_]*/ },\n { begin: /\\$\\{(.*?)}/ }\n ]\n };\n var LITERALS = {\n className: 'literal',\n begin: /\\bon|off|true|false|yes|no\\b/\n };\n var STRINGS = {\n className: \"string\",\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n { begin: \"'''\", end: \"'''\", relevance: 10 },\n { begin: '\"\"\"', end: '\"\"\"', relevance: 10 },\n { begin: '\"', end: '\"' },\n { begin: \"'\", end: \"'\" }\n ]\n };\n var ARRAY = {\n begin: /\\[/, end: /\\]/,\n contains: [\n COMMENTS,\n LITERALS,\n VARIABLES,\n STRINGS,\n NUMBERS,\n 'self'\n ],\n relevance:0\n };\n\n return {\n aliases: ['toml'],\n case_insensitive: true,\n illegal: /\\S/,\n contains: [\n COMMENTS,\n {\n className: 'section',\n begin: /\\[+/, end: /\\]+/\n },\n {\n begin: /^[a-z0-9\\[\\]_\\.-]+(?=\\s*=\\s*)/,\n className: 'attr',\n starts: {\n end: /$/,\n contains: [\n COMMENTS,\n ARRAY,\n LITERALS,\n VARIABLES,\n STRINGS,\n NUMBERS\n ]\n }\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/irpf90.js b/js/highlight/irpf90.js
index 1d03a648d..cfd66b19e 100644
--- a/js/highlight/irpf90.js
+++ b/js/highlight/irpf90.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[82],{448:function(e,n){e.exports=function(e){return{case_insensitive:!0,keywords:{literal:".False. .True.",keyword:"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read",built_in:"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_ofacosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image IRP_ALIGN irp_here"},illegal:/\/\*/,contains:[e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{className:"string",relevance:0}),{className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},e.COMMENT("!","$",{relevance:0}),e.COMMENT("begin_doc","end_doc",{relevance:10}),{className:"number",begin:"(?=\\b|\\+|\\-|\\.)(?=\\.\\d|\\d)(?:\\d+)?(?:\\.?\\d*)(?:[de][+-]?\\d+)?\\b\\.?",relevance:0}]}}}}]);
-//# sourceMappingURL=irpf90.js.map?v=fec83e19cc60434e549e \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[82],{449:function(e,n){e.exports=function(e){return{case_insensitive:!0,keywords:{literal:".False. .True.",keyword:"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read",built_in:"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_ofacosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image IRP_ALIGN irp_here"},illegal:/\/\*/,contains:[e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{className:"string",relevance:0}),{className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},e.COMMENT("!","$",{relevance:0}),e.COMMENT("begin_doc","end_doc",{relevance:10}),{className:"number",begin:"(?=\\b|\\+|\\-|\\.)(?=\\.\\d|\\d)(?:\\d+)?(?:\\.?\\d*)(?:[de][+-]?\\d+)?\\b\\.?",relevance:0}]}}}}]);
+//# sourceMappingURL=irpf90.js.map?v=a5f154fc0aec26d1af48 \ No newline at end of file
diff --git a/js/highlight/irpf90.js.map b/js/highlight/irpf90.js.map
index 2822208da..fd3d03353 100644
--- a/js/highlight/irpf90.js.map
+++ b/js/highlight/irpf90.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/irpf90.js"],"names":["module","exports","hljs","case_insensitive","keywords","literal","keyword","built_in","illegal","contains","inherit","APOS_STRING_MODE","className","relevance","QUOTE_STRING_MODE","beginKeywords","UNDERSCORE_TITLE_MODE","begin","end","COMMENT"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAoDxB,MAAO,CACLC,kBAAkB,EAClBC,SAhDe,CACfC,QAAS,iBACTC,QAAS,o6DAuBTC,SAAU,o+DAwBVC,QAAS,OACTC,SAAU,CACRP,EAAKQ,QAAQR,EAAKS,iBAAkB,CAACC,UAAW,SAAUC,UAAW,IACrEX,EAAKQ,QAAQR,EAAKY,kBAAmB,CAACF,UAAW,SAAUC,UAAW,IACtE,CACED,UAAW,WACXG,cAAe,8BACfP,QAAS,WACTC,SAAU,CAACP,EAAKc,sBA9DT,CACXJ,UAAW,SACXK,MAAO,MAAOC,IAAK,SA8DjBhB,EAAKiB,QAAQ,IAAK,IAAK,CAACN,UAAW,IACnCX,EAAKiB,QAAQ,YAAa,UAAW,CAACN,UAAW,KACjD,CACED,UAAW,SACXK,MAAO,kFACPJ,UAAW","file":"highlight/irpf90.js?v=fec83e19cc60434e549e","sourcesContent":["module.exports = function(hljs) {\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)'\n };\n\n var F_KEYWORDS = {\n literal: '.False. .True.',\n keyword: 'kind do while private call intrinsic where elsewhere ' +\n 'type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then ' +\n 'public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. ' +\n 'goto save else use module select case ' +\n 'access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit ' +\n 'continue format pause cycle exit ' +\n 'c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg ' +\n 'synchronous nopass non_overridable pass protected volatile abstract extends import ' +\n 'non_intrinsic value deferred generic final enumerator class associate bind enum ' +\n 'c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t ' +\n 'c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double ' +\n 'c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr ' +\n 'c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer ' +\n 'c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor ' +\n 'numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ' +\n 'ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive ' +\n 'pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure ' +\n 'integer real character complex logical dimension allocatable|10 parameter ' +\n 'external implicit|10 none double precision assign intent optional pointer ' +\n 'target in out common equivalence data ' +\n // IRPF90 special keywords\n 'begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch ' +\n 'soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read',\n built_in: 'alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint ' +\n 'dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl ' +\n 'algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama ' +\n 'iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod ' +\n 'qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log ' +\n 'log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate ' +\n 'adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product ' +\n 'eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul ' +\n 'maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product ' +\n 'radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind ' +\n 'set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer ' +\n 'dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ' +\n 'ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode ' +\n 'is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of' +\n 'acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 ' +\n 'atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits ' +\n 'bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr ' +\n 'num_images parity popcnt poppar shifta shiftl shiftr this_image ' +\n // IRPF90 special built_ins\n 'IRP_ALIGN irp_here'\n };\n return {\n case_insensitive: true,\n keywords: F_KEYWORDS,\n illegal: /\\/\\*/,\n contains: [\n hljs.inherit(hljs.APOS_STRING_MODE, {className: 'string', relevance: 0}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {className: 'string', relevance: 0}),\n {\n className: 'function',\n beginKeywords: 'subroutine function program',\n illegal: '[${=\\\\n]',\n contains: [hljs.UNDERSCORE_TITLE_MODE, PARAMS]\n },\n hljs.COMMENT('!', '$', {relevance: 0}),\n hljs.COMMENT('begin_doc', 'end_doc', {relevance: 10}),\n {\n className: 'number',\n begin: '(?=\\\\b|\\\\+|\\\\-|\\\\.)(?=\\\\.\\\\d|\\\\d)(?:\\\\d+)?(?:\\\\.?\\\\d*)(?:[de][+-]?\\\\d+)?\\\\b\\\\.?',\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/irpf90.js"],"names":["module","exports","hljs","case_insensitive","keywords","literal","keyword","built_in","illegal","contains","inherit","APOS_STRING_MODE","className","relevance","QUOTE_STRING_MODE","beginKeywords","UNDERSCORE_TITLE_MODE","begin","end","COMMENT"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAoDxB,MAAO,CACLC,kBAAkB,EAClBC,SAhDe,CACfC,QAAS,iBACTC,QAAS,o6DAuBTC,SAAU,o+DAwBVC,QAAS,OACTC,SAAU,CACRP,EAAKQ,QAAQR,EAAKS,iBAAkB,CAACC,UAAW,SAAUC,UAAW,IACrEX,EAAKQ,QAAQR,EAAKY,kBAAmB,CAACF,UAAW,SAAUC,UAAW,IACtE,CACED,UAAW,WACXG,cAAe,8BACfP,QAAS,WACTC,SAAU,CAACP,EAAKc,sBA9DT,CACXJ,UAAW,SACXK,MAAO,MAAOC,IAAK,SA8DjBhB,EAAKiB,QAAQ,IAAK,IAAK,CAACN,UAAW,IACnCX,EAAKiB,QAAQ,YAAa,UAAW,CAACN,UAAW,KACjD,CACED,UAAW,SACXK,MAAO,kFACPJ,UAAW","file":"highlight/irpf90.js?v=a5f154fc0aec26d1af48","sourcesContent":["module.exports = function(hljs) {\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)'\n };\n\n var F_KEYWORDS = {\n literal: '.False. .True.',\n keyword: 'kind do while private call intrinsic where elsewhere ' +\n 'type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then ' +\n 'public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. ' +\n 'goto save else use module select case ' +\n 'access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit ' +\n 'continue format pause cycle exit ' +\n 'c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg ' +\n 'synchronous nopass non_overridable pass protected volatile abstract extends import ' +\n 'non_intrinsic value deferred generic final enumerator class associate bind enum ' +\n 'c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t ' +\n 'c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double ' +\n 'c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr ' +\n 'c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer ' +\n 'c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor ' +\n 'numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ' +\n 'ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive ' +\n 'pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure ' +\n 'integer real character complex logical dimension allocatable|10 parameter ' +\n 'external implicit|10 none double precision assign intent optional pointer ' +\n 'target in out common equivalence data ' +\n // IRPF90 special keywords\n 'begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch ' +\n 'soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read',\n built_in: 'alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint ' +\n 'dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl ' +\n 'algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama ' +\n 'iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod ' +\n 'qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log ' +\n 'log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate ' +\n 'adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product ' +\n 'eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul ' +\n 'maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product ' +\n 'radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind ' +\n 'set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer ' +\n 'dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ' +\n 'ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode ' +\n 'is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of' +\n 'acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 ' +\n 'atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits ' +\n 'bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr ' +\n 'num_images parity popcnt poppar shifta shiftl shiftr this_image ' +\n // IRPF90 special built_ins\n 'IRP_ALIGN irp_here'\n };\n return {\n case_insensitive: true,\n keywords: F_KEYWORDS,\n illegal: /\\/\\*/,\n contains: [\n hljs.inherit(hljs.APOS_STRING_MODE, {className: 'string', relevance: 0}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {className: 'string', relevance: 0}),\n {\n className: 'function',\n beginKeywords: 'subroutine function program',\n illegal: '[${=\\\\n]',\n contains: [hljs.UNDERSCORE_TITLE_MODE, PARAMS]\n },\n hljs.COMMENT('!', '$', {relevance: 0}),\n hljs.COMMENT('begin_doc', 'end_doc', {relevance: 10}),\n {\n className: 'number',\n begin: '(?=\\\\b|\\\\+|\\\\-|\\\\.)(?=\\\\.\\\\d|\\\\d)(?:\\\\d+)?(?:\\\\.?\\\\d*)(?:[de][+-]?\\\\d+)?\\\\b\\\\.?',\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/isbl.js b/js/highlight/isbl.js
index 11c548f5e..3160d4c0b 100644
--- a/js/highlight/isbl.js
+++ b/js/highlight/isbl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[83],{449:function(S,E){S.exports=function(S){var E="[A-Za-zА-Яа-яёЁ_!][A-Za-zА-Яа-яёЁ_0-9]*",_={className:"number",begin:S.NUMBER_RE,relevance:0},T={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"}]},R={className:"doctag",begin:"\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\b",relevance:0},O={variants:[{className:"comment",begin:"//",end:"$",relevance:0,contains:[S.PHRASAL_WORDS_MODE,R]},{className:"comment",begin:"/\\*",end:"\\*/",relevance:0,contains:[S.PHRASAL_WORDS_MODE,R]}]},C={keyword:"and и else иначе endexcept endfinally endforeach конецвсе endif конецесли endwhile конецпока except exitfor finally foreach все if если in в not не or или try while пока ",built_in:"SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT SYSRES_CONST_ACCES_RIGHT_TYPE_FULL SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE SYSRES_CONST_ACCESS_NO_ACCESS_VIEW SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_TYPE_CHANGE SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE SYSRES_CONST_ACCESS_TYPE_EXISTS SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE SYSRES_CONST_ACCESS_TYPE_FULL SYSRES_CONST_ACCESS_TYPE_FULL_CODE SYSRES_CONST_ACCESS_TYPE_VIEW SYSRES_CONST_ACCESS_TYPE_VIEW_CODE SYSRES_CONST_ACTION_TYPE_ABORT SYSRES_CONST_ACTION_TYPE_ACCEPT SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT SYSRES_CONST_ACTION_TYPE_CHANGE_CARD SYSRES_CONST_ACTION_TYPE_CHANGE_KIND SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE SYSRES_CONST_ACTION_TYPE_CONTINUE SYSRES_CONST_ACTION_TYPE_COPY SYSRES_CONST_ACTION_TYPE_CREATE SYSRES_CONST_ACTION_TYPE_CREATE_VERSION SYSRES_CONST_ACTION_TYPE_DELETE SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT SYSRES_CONST_ACTION_TYPE_DELETE_VERSION SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE SYSRES_CONST_ACTION_TYPE_LOCK SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY SYSRES_CONST_ACTION_TYPE_MARK_AS_READED SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED SYSRES_CONST_ACTION_TYPE_MODIFY SYSRES_CONST_ACTION_TYPE_MODIFY_CARD SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE SYSRES_CONST_ACTION_TYPE_PERFORM SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY SYSRES_CONST_ACTION_TYPE_RESTART SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE SYSRES_CONST_ACTION_TYPE_REVISION SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL SYSRES_CONST_ACTION_TYPE_SIGN SYSRES_CONST_ACTION_TYPE_START SYSRES_CONST_ACTION_TYPE_UNLOCK SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER SYSRES_CONST_ACTION_TYPE_VERSION_STATE SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY SYSRES_CONST_ACTION_TYPE_VIEW SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE SYSRES_CONST_ADD_REFERENCE_MODE_NAME SYSRES_CONST_ADDITION_REQUISITE_CODE SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS SYSRES_CONST_ALL_USERS_GROUP SYSRES_CONST_ALL_USERS_GROUP_NAME SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE SYSRES_CONST_APPROVING_SIGNATURE_NAME SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN SYSRES_CONST_ATTACH_TYPE_DOC SYSRES_CONST_ATTACH_TYPE_EDOC SYSRES_CONST_ATTACH_TYPE_FOLDER SYSRES_CONST_ATTACH_TYPE_JOB SYSRES_CONST_ATTACH_TYPE_REFERENCE SYSRES_CONST_ATTACH_TYPE_TASK SYSRES_CONST_AUTH_ENCODED_PASSWORD SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE SYSRES_CONST_AUTH_NOVELL SYSRES_CONST_AUTH_PASSWORD SYSRES_CONST_AUTH_PASSWORD_CODE SYSRES_CONST_AUTH_WINDOWS SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_AUTO_ENUM_METHOD_FLAG SYSRES_CONST_AUTO_NUMERATION_CODE SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_USAGE_ALL SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE SYSRES_CONST_AUTOTEXT_USAGE_SIGN SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE SYSRES_CONST_AUTOTEXT_USAGE_WORK SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BTN_PART SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT SYSRES_CONST_CARD_PART SYSRES_CONST_CARD_REFERENCE_MODE_NAME SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT SYSRES_CONST_CODE_COMPONENT_TYPE_URL SYSRES_CONST_CODE_REQUISITE_ACCESS SYSRES_CONST_CODE_REQUISITE_CODE SYSRES_CONST_CODE_REQUISITE_COMPONENT SYSRES_CONST_CODE_REQUISITE_DESCRIPTION SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT SYSRES_CONST_CODE_REQUISITE_RECORD SYSRES_CONST_COMMENT_REQ_CODE SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE SYSRES_CONST_COMP_CODE_GRD SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DOCS SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_COMPONENT_TYPE_EDOCS SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_COMPONENT_TYPE_OTHER SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES SYSRES_CONST_COMPONENT_TYPE_REFERENCES SYSRES_CONST_COMPONENT_TYPE_REPORTS SYSRES_CONST_COMPONENT_TYPE_SCRIPTS SYSRES_CONST_COMPONENT_TYPE_URL SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION SYSRES_CONST_CONST_FIRM_STATUS_COMMON SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL SYSRES_CONST_CONST_NEGATIVE_VALUE SYSRES_CONST_CONST_POSITIVE_VALUE SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE SYSRES_CONST_CONTENTS_REQUISITE_CODE SYSRES_CONST_DATA_TYPE_BOOLEAN SYSRES_CONST_DATA_TYPE_DATE SYSRES_CONST_DATA_TYPE_FLOAT SYSRES_CONST_DATA_TYPE_INTEGER SYSRES_CONST_DATA_TYPE_PICK SYSRES_CONST_DATA_TYPE_REFERENCE SYSRES_CONST_DATA_TYPE_STRING SYSRES_CONST_DATA_TYPE_TEXT SYSRES_CONST_DATA_TYPE_VARIANT SYSRES_CONST_DATE_CLOSE_REQ_CODE SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR SYSRES_CONST_DATE_OPEN_REQ_CODE SYSRES_CONST_DATE_REQUISITE SYSRES_CONST_DATE_REQUISITE_CODE SYSRES_CONST_DATE_REQUISITE_NAME SYSRES_CONST_DATE_REQUISITE_TYPE SYSRES_CONST_DATE_TYPE_CHAR SYSRES_CONST_DATETIME_FORMAT_VALUE SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_DET1_PART SYSRES_CONST_DET2_PART SYSRES_CONST_DET3_PART SYSRES_CONST_DET4_PART SYSRES_CONST_DET5_PART SYSRES_CONST_DET6_PART SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE SYSRES_CONST_DETAIL_REQ_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME SYSRES_CONST_DOCUMENT_STORAGES_CODE SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME SYSRES_CONST_DOUBLE_REQUISITE_CODE SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE SYSRES_CONST_EDITORS_REFERENCE_CODE SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE SYSRES_CONST_EDOC_DATE_REQUISITE_CODE SYSRES_CONST_EDOC_KIND_REFERENCE_CODE SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE SYSRES_CONST_EDOC_NONE_ENCODE_CODE SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_READONLY_ACCESS_CODE SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE SYSRES_CONST_EDOC_WRITE_ACCES_CODE SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_END_DATE_REQUISITE_CODE SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE SYSRES_CONST_EXIST_CONST SYSRES_CONST_EXIST_VALUE SYSRES_CONST_EXPORT_LOCK_TYPE_ASK SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK SYSRES_CONST_EXPORT_VERSION_TYPE_ASK SYSRES_CONST_EXPORT_VERSION_TYPE_LAST SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE SYSRES_CONST_EXTENSION_REQUISITE_CODE SYSRES_CONST_FILTER_NAME_REQUISITE_CODE SYSRES_CONST_FILTER_REQUISITE_CODE SYSRES_CONST_FILTER_TYPE_COMMON_CODE SYSRES_CONST_FILTER_TYPE_COMMON_NAME SYSRES_CONST_FILTER_TYPE_USER_CODE SYSRES_CONST_FILTER_TYPE_USER_NAME SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR SYSRES_CONST_FLOAT_REQUISITE_TYPE SYSRES_CONST_FOLDER_AUTHOR_VALUE SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS SYSRES_CONST_FOLDER_KIND_COMPONENTS SYSRES_CONST_FOLDER_KIND_EDOCS SYSRES_CONST_FOLDER_KIND_JOBS SYSRES_CONST_FOLDER_KIND_TASKS SYSRES_CONST_FOLDER_TYPE_COMMON SYSRES_CONST_FOLDER_TYPE_COMPONENT SYSRES_CONST_FOLDER_TYPE_FAVORITES SYSRES_CONST_FOLDER_TYPE_INBOX SYSRES_CONST_FOLDER_TYPE_OUTBOX SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH SYSRES_CONST_FOLDER_TYPE_SEARCH SYSRES_CONST_FOLDER_TYPE_SHORTCUTS SYSRES_CONST_FOLDER_TYPE_USER SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG SYSRES_CONST_FULL_SUBSTITUTE_TYPE SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE SYSRES_CONST_FUNCTION_CANCEL_RESULT SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM SYSRES_CONST_FUNCTION_CATEGORY_USER SYSRES_CONST_FUNCTION_FAILURE_RESULT SYSRES_CONST_FUNCTION_SAVE_RESULT SYSRES_CONST_GENERATED_REQUISITE SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_USER_REQUISITE_CODE SYSRES_CONST_GROUPS_REFERENCE_CODE SYSRES_CONST_GROUPS_REQUISITE_CODE SYSRES_CONST_HIDDEN_MODE_NAME SYSRES_CONST_HIGH_LVL_REQUISITE_CODE SYSRES_CONST_HISTORY_ACTION_CREATE_CODE SYSRES_CONST_HISTORY_ACTION_DELETE_CODE SYSRES_CONST_HISTORY_ACTION_EDIT_CODE SYSRES_CONST_HOUR_CHAR SYSRES_CONST_ID_REQUISITE_CODE SYSRES_CONST_IDSPS_REQUISITE_CODE SYSRES_CONST_IMAGE_MODE_COLOR SYSRES_CONST_IMAGE_MODE_GREYSCALE SYSRES_CONST_IMAGE_MODE_MONOCHROME SYSRES_CONST_IMPORTANCE_HIGH SYSRES_CONST_IMPORTANCE_LOW SYSRES_CONST_IMPORTANCE_NORMAL SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE SYSRES_CONST_INT_REQUISITE SYSRES_CONST_INT_REQUISITE_TYPE SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR SYSRES_CONST_INTEGER_TYPE_CHAR SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_JOB_BLOCK_DESCRIPTION SYSRES_CONST_JOB_KIND_CONTROL_JOB SYSRES_CONST_JOB_KIND_JOB SYSRES_CONST_JOB_KIND_NOTICE SYSRES_CONST_JOB_STATE_ABORTED SYSRES_CONST_JOB_STATE_COMPLETE SYSRES_CONST_JOB_STATE_WORKING SYSRES_CONST_KIND_REQUISITE_CODE SYSRES_CONST_KIND_REQUISITE_NAME SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE SYSRES_CONST_KOD_INPUT_TYPE SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT SYSRES_CONST_LINK_OBJECT_KIND_EDOC SYSRES_CONST_LINK_OBJECT_KIND_FOLDER SYSRES_CONST_LINK_OBJECT_KIND_JOB SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE SYSRES_CONST_LINK_OBJECT_KIND_TASK SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE SYSRES_CONST_LIST_REFERENCE_MODE_NAME SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE SYSRES_CONST_MAIN_VIEW_CODE SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE SYSRES_CONST_MAXIMIZED_MODE_NAME SYSRES_CONST_ME_VALUE SYSRES_CONST_MESSAGE_ATTENTION_CAPTION SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION SYSRES_CONST_MESSAGE_ERROR_CAPTION SYSRES_CONST_MESSAGE_INFORMATION_CAPTION SYSRES_CONST_MINIMIZED_MODE_NAME SYSRES_CONST_MINUTE_CHAR SYSRES_CONST_MODULE_REQUISITE_CODE SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION SYSRES_CONST_MONTH_FORMAT_VALUE SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_NAME_REQUISITE_CODE SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE SYSRES_CONST_NAMEAN_INPUT_TYPE SYSRES_CONST_NEGATIVE_PICK_VALUE SYSRES_CONST_NEGATIVE_VALUE SYSRES_CONST_NO SYSRES_CONST_NO_PICK_VALUE SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE SYSRES_CONST_NO_VALUE SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_NORMAL_MODE_NAME SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_NOTE_REQUISITE_CODE SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION SYSRES_CONST_NUM_REQUISITE SYSRES_CONST_NUM_STR_REQUISITE_CODE SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG SYSRES_CONST_NUMERATION_AUTO_STRONG SYSRES_CONST_NUMERATION_FROM_DICTONARY SYSRES_CONST_NUMERATION_MANUAL SYSRES_CONST_NUMERIC_TYPE_CHAR SYSRES_CONST_NUMREQ_REQUISITE_CODE SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_ORIGINALREF_REQUISITE_CODE SYSRES_CONST_OURFIRM_REF_CODE SYSRES_CONST_OURFIRM_REQUISITE_CODE SYSRES_CONST_OURFIRM_VAR SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE SYSRES_CONST_PICK_NEGATIVE_RESULT SYSRES_CONST_PICK_POSITIVE_RESULT SYSRES_CONST_PICK_REQUISITE SYSRES_CONST_PICK_REQUISITE_TYPE SYSRES_CONST_PICK_TYPE_CHAR SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE SYSRES_CONST_PLATFORM_VERSION_COMMENT SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_POSITIVE_PICK_VALUE SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE SYSRES_CONST_PRIORITY_REQUISITE_CODE SYSRES_CONST_QUALIFIED_TASK_TYPE SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE SYSRES_CONST_RECSTAT_REQUISITE_CODE SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_REF_REQUISITE SYSRES_CONST_REF_REQUISITE_TYPE SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE SYSRES_CONST_REFERENCE_TYPE_CHAR SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_MODE_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_EDIT_CODE SYSRES_CONST_REQ_MODE_HIDDEN_CODE SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_VIEW_CODE SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE SYSRES_CONST_REQ_SECTION_VALUE SYSRES_CONST_REQ_TYPE_VALUE SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME SYSRES_CONST_REQUISITE_FORMAT_LEFT SYSRES_CONST_REQUISITE_FORMAT_RIGHT SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_REQUISITE_SECTION_ACTIONS SYSRES_CONST_REQUISITE_SECTION_BUTTON SYSRES_CONST_REQUISITE_SECTION_BUTTONS SYSRES_CONST_REQUISITE_SECTION_CARD SYSRES_CONST_REQUISITE_SECTION_TABLE SYSRES_CONST_REQUISITE_SECTION_TABLE10 SYSRES_CONST_REQUISITE_SECTION_TABLE11 SYSRES_CONST_REQUISITE_SECTION_TABLE12 SYSRES_CONST_REQUISITE_SECTION_TABLE13 SYSRES_CONST_REQUISITE_SECTION_TABLE14 SYSRES_CONST_REQUISITE_SECTION_TABLE15 SYSRES_CONST_REQUISITE_SECTION_TABLE16 SYSRES_CONST_REQUISITE_SECTION_TABLE17 SYSRES_CONST_REQUISITE_SECTION_TABLE18 SYSRES_CONST_REQUISITE_SECTION_TABLE19 SYSRES_CONST_REQUISITE_SECTION_TABLE2 SYSRES_CONST_REQUISITE_SECTION_TABLE20 SYSRES_CONST_REQUISITE_SECTION_TABLE21 SYSRES_CONST_REQUISITE_SECTION_TABLE22 SYSRES_CONST_REQUISITE_SECTION_TABLE23 SYSRES_CONST_REQUISITE_SECTION_TABLE24 SYSRES_CONST_REQUISITE_SECTION_TABLE3 SYSRES_CONST_REQUISITE_SECTION_TABLE4 SYSRES_CONST_REQUISITE_SECTION_TABLE5 SYSRES_CONST_REQUISITE_SECTION_TABLE6 SYSRES_CONST_REQUISITE_SECTION_TABLE7 SYSRES_CONST_REQUISITE_SECTION_TABLE8 SYSRES_CONST_REQUISITE_SECTION_TABLE9 SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_RIGHT_ALIGNMENT_CODE SYSRES_CONST_ROLES_REFERENCE_CODE SYSRES_CONST_ROUTE_STEP_AFTER_RUS SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS SYSRES_CONST_ROUTE_TYPE_COMPLEX SYSRES_CONST_ROUTE_TYPE_PARALLEL SYSRES_CONST_ROUTE_TYPE_SERIAL SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE SYSRES_CONST_SEARCHES_COMPONENT_CONTENT SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME SYSRES_CONST_SEARCHES_EDOC_CONTENT SYSRES_CONST_SEARCHES_FOLDER_CONTENT SYSRES_CONST_SEARCHES_JOB_CONTENT SYSRES_CONST_SEARCHES_REFERENCE_CODE SYSRES_CONST_SEARCHES_TASK_CONTENT SYSRES_CONST_SECOND_CHAR SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE SYSRES_CONST_SECTION_REQUISITE_CODE SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE SYSRES_CONST_SELECT_REFERENCE_MODE_NAME SYSRES_CONST_SELECT_TYPE_SELECTABLE SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD SYSRES_CONST_SELECT_TYPE_UNSLECTABLE SYSRES_CONST_SERVER_TYPE_MAIN SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE SYSRES_CONST_STATE_REQ_NAME SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE SYSRES_CONST_STATE_REQUISITE_CODE SYSRES_CONST_STATIC_ROLE_TYPE_CODE SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE SYSRES_CONST_STATUS_VALUE_AUTOCLEANING SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE SYSRES_CONST_STATUS_VALUE_COMPLETE SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE SYSRES_CONST_STATUS_VALUE_RED_SQUARE SYSRES_CONST_STATUS_VALUE_SUSPEND SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE SYSRES_CONST_STORAGE_TYPE_FILE SYSRES_CONST_STORAGE_TYPE_SQL_SERVER SYSRES_CONST_STR_REQUISITE SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR SYSRES_CONST_STRING_REQUISITE_CODE SYSRES_CONST_STRING_REQUISITE_TYPE SYSRES_CONST_STRING_TYPE_CHAR SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE SYSRES_CONST_SYSTEM_VERSION_COMMENT SYSRES_CONST_TASK_ACCESS_TYPE_ALL SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD SYSRES_CONST_TASK_ENCODE_TYPE_NONE SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD SYSRES_CONST_TASK_ROUTE_ALL_CONDITION SYSRES_CONST_TASK_ROUTE_AND_CONDITION SYSRES_CONST_TASK_ROUTE_OR_CONDITION SYSRES_CONST_TASK_STATE_ABORTED SYSRES_CONST_TASK_STATE_COMPLETE SYSRES_CONST_TASK_STATE_CONTINUED SYSRES_CONST_TASK_STATE_CONTROL SYSRES_CONST_TASK_STATE_INIT SYSRES_CONST_TASK_STATE_WORKING SYSRES_CONST_TASK_TITLE SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE SYSRES_CONST_TASK_TYPES_REFERENCE_CODE SYSRES_CONST_TEMPLATES_REFERENCE_CODE SYSRES_CONST_TEST_DATE_REQUISITE_NAME SYSRES_CONST_TEST_DEV_DATABASE_NAME SYSRES_CONST_TEST_DEV_SYSTEM_CODE SYSRES_CONST_TEST_EDMS_DATABASE_NAME SYSRES_CONST_TEST_EDMS_MAIN_CODE SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME SYSRES_CONST_TEST_EDMS_SECOND_CODE SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME SYSRES_CONST_TEST_EDMS_SYSTEM_CODE SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME SYSRES_CONST_TEXT_REQUISITE SYSRES_CONST_TEXT_REQUISITE_CODE SYSRES_CONST_TEXT_REQUISITE_TYPE SYSRES_CONST_TEXT_TYPE_CHAR SYSRES_CONST_TYPE_CODE_REQUISITE_CODE SYSRES_CONST_TYPE_REQUISITE_CODE SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME SYSRES_CONST_USE_ACCESS_TYPE_CODE SYSRES_CONST_USE_ACCESS_TYPE_NAME SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE SYSRES_CONST_USER_CATEGORY_NORMAL SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE SYSRES_CONST_USER_COMMON_CATEGORY SYSRES_CONST_USER_COMMON_CATEGORY_CODE SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_USER_LOGIN_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_USER_SERVICE_CATEGORY SYSRES_CONST_USER_SERVICE_CATEGORY_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME SYSRES_CONST_USER_STATUS_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_DEVELOPER_NAME SYSRES_CONST_USER_STATUS_DISABLED_CODE SYSRES_CONST_USER_STATUS_DISABLED_NAME SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_USER_CODE SYSRES_CONST_USER_STATUS_USER_NAME SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER SYSRES_CONST_USER_TYPE_REQUISITE_CODE SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE SYSRES_CONST_USERS_REFERENCE_CODE SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME SYSRES_CONST_USERS_REQUISITE_CODE SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME SYSRES_CONST_VIEW_DEFAULT_CODE SYSRES_CONST_VIEW_DEFAULT_NAME SYSRES_CONST_VIEWER_REQUISITE_CODE SYSRES_CONST_WAITING_BLOCK_DESCRIPTION SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT SYSRES_CONST_XML_ENCODING SYSRES_CONST_XREC_STAT_REQUISITE_CODE SYSRES_CONST_XRECID_FIELD_NAME SYSRES_CONST_YES SYSRES_CONST_YES_NO_2_REQUISITE_CODE SYSRES_CONST_YES_NO_REQUISITE_CODE SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_YES_PICK_VALUE SYSRES_CONST_YES_VALUE CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION JOB_BLOCK_ABORT_DEADLINE_PROPERTY JOB_BLOCK_AFTER_FINISH_EVENT JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT JOB_BLOCK_ATTACHMENT_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT JOB_BLOCK_BEFORE_START_EVENT JOB_BLOCK_CREATED_JOBS_PROPERTY JOB_BLOCK_DEADLINE_PROPERTY JOB_BLOCK_EXECUTION_RESULTS_PROPERTY JOB_BLOCK_IS_PARALLEL_PROPERTY JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY JOB_BLOCK_JOB_TEXT_PROPERTY JOB_BLOCK_NAME_PROPERTY JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY JOB_BLOCK_PERFORMER_PROPERTY JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY JOB_BLOCK_SUBJECT_PROPERTY ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE smHidden smMaximized smMinimized smNormal wmNo wmYes COMPONENT_TOKEN_LINK_KIND DOCUMENT_LINK_KIND EDOCUMENT_LINK_KIND FOLDER_LINK_KIND JOB_LINK_KIND REFERENCE_LINK_KIND TASK_LINK_KIND COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE MONITOR_BLOCK_AFTER_FINISH_EVENT MONITOR_BLOCK_BEFORE_START_EVENT MONITOR_BLOCK_DEADLINE_PROPERTY MONITOR_BLOCK_INTERVAL_PROPERTY MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY MONITOR_BLOCK_NAME_PROPERTY MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY NOTICE_BLOCK_AFTER_FINISH_EVENT NOTICE_BLOCK_ATTACHMENT_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY NOTICE_BLOCK_BEFORE_START_EVENT NOTICE_BLOCK_CREATED_NOTICES_PROPERTY NOTICE_BLOCK_DEADLINE_PROPERTY NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY NOTICE_BLOCK_NAME_PROPERTY NOTICE_BLOCK_NOTICE_TEXT_PROPERTY NOTICE_BLOCK_PERFORMER_PROPERTY NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY NOTICE_BLOCK_SUBJECT_PROPERTY dseAfterCancel dseAfterClose dseAfterDelete dseAfterDeleteOutOfTransaction dseAfterInsert dseAfterOpen dseAfterScroll dseAfterUpdate dseAfterUpdateOutOfTransaction dseBeforeCancel dseBeforeClose dseBeforeDelete dseBeforeDetailUpdate dseBeforeInsert dseBeforeOpen dseBeforeUpdate dseOnAnyRequisiteChange dseOnCloseRecord dseOnDeleteError dseOnOpenRecord dseOnPrepareUpdate dseOnUpdateError dseOnUpdateRatifiedRecord dseOnValidDelete dseOnValidUpdate reOnChange reOnChangeValues SELECTION_BEGIN_ROUTE_EVENT SELECTION_END_ROUTE_EVENT CURRENT_PERIOD_IS_REQUIRED PREVIOUS_CARD_TYPE_NAME SHOW_RECORD_PROPERTIES_FORM ACCESS_RIGHTS_SETTING_DIALOG_CODE ADMINISTRATOR_USER_CODE ANALYTIC_REPORT_TYPE asrtHideLocal asrtHideRemote CALCULATED_ROLE_TYPE_CODE COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE DCTS_TEST_PROTOCOLS_FOLDER_PATH E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER E_EDOC_VERSION_ALREDY_SIGNED E_EDOC_VERSION_ALREDY_SIGNED_BY_USER EDOC_TYPES_CODE_REQUISITE_FIELD_NAME EDOCUMENTS_ALIAS_NAME FILES_FOLDER_PATH FILTER_OPERANDS_DELIMITER FILTER_OPERATIONS_DELIMITER FORMCARD_NAME FORMLIST_NAME GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE INTEGRATED_REPORT_TYPE IS_BUILDER_APPLICATION_ROLE IS_BUILDER_APPLICATION_ROLE2 IS_BUILDER_USERS ISBSYSDEV LOG_FOLDER_PATH mbCancel mbNo mbNoToAll mbOK mbYes mbYesToAll MEMORY_DATASET_DESRIPTIONS_FILENAME mrNo mrNoToAll mrYes mrYesToAll MULTIPLE_SELECT_DIALOG_CODE NONOPERATING_RECORD_FLAG_FEMININE NONOPERATING_RECORD_FLAG_MASCULINE OPERATING_RECORD_FLAG_FEMININE OPERATING_RECORD_FLAG_MASCULINE PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE PROGRAM_INITIATED_LOOKUP_ACTION ratDelete ratEdit ratInsert REPORT_TYPE REQUIRED_PICK_VALUES_VARIABLE rmCard rmList SBRTE_PROGID_DEV SBRTE_PROGID_RELEASE STATIC_ROLE_TYPE_CODE SUPPRESS_EMPTY_TEMPLATE_CREATION SYSTEM_USER_CODE UPDATE_DIALOG_DATASET USED_IN_OBJECT_HINT_PARAM USER_INITIATED_LOOKUP_ACTION USER_NAME_FORMAT USER_SELECTION_RESTRICTIONS WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH ELS_SUBTYPE_CONTROL_NAME ELS_FOLDER_KIND_CONTROL_NAME REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME PRIVILEGE_COMPONENT_FULL_ACCESS PRIVILEGE_DEVELOPMENT_EXPORT PRIVILEGE_DEVELOPMENT_IMPORT PRIVILEGE_DOCUMENT_DELETE PRIVILEGE_ESD PRIVILEGE_FOLDER_DELETE PRIVILEGE_MANAGE_ACCESS_RIGHTS PRIVILEGE_MANAGE_REPLICATION PRIVILEGE_MANAGE_SESSION_SERVER PRIVILEGE_OBJECT_FULL_ACCESS PRIVILEGE_OBJECT_VIEW PRIVILEGE_RESERVE_LICENSE PRIVILEGE_SYSTEM_CUSTOMIZE PRIVILEGE_SYSTEM_DEVELOP PRIVILEGE_SYSTEM_INSTALL PRIVILEGE_TASK_DELETE PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE PRIVILEGES_PSEUDOREFERENCE_CODE ACCESS_TYPES_PSEUDOREFERENCE_CODE ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE COMPONENTS_PSEUDOREFERENCE_CODE FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE GROUPS_PSEUDOREFERENCE_CODE RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE REFTYPES_PSEUDOREFERENCE_CODE REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE SEND_PROTOCOL_PSEUDOREFERENCE_CODE SUBSTITUTES_PSEUDOREFERENCE_CODE SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE UNITS_PSEUDOREFERENCE_CODE USERS_PSEUDOREFERENCE_CODE VIEWERS_PSEUDOREFERENCE_CODE CERTIFICATE_TYPE_ENCRYPT CERTIFICATE_TYPE_SIGN CERTIFICATE_TYPE_SIGN_AND_ENCRYPT STORAGE_TYPE_FILE STORAGE_TYPE_NAS_CIFS STORAGE_TYPE_SAPERION STORAGE_TYPE_SQL_SERVER COMPTYPE2_REQUISITE_DOCUMENTS_VALUE COMPTYPE2_REQUISITE_TASKS_VALUE COMPTYPE2_REQUISITE_FOLDERS_VALUE COMPTYPE2_REQUISITE_REFERENCES_VALUE SYSREQ_CODE SYSREQ_COMPTYPE2 SYSREQ_CONST_AVAILABLE_FOR_WEB SYSREQ_CONST_COMMON_CODE SYSREQ_CONST_COMMON_VALUE SYSREQ_CONST_FIRM_CODE SYSREQ_CONST_FIRM_STATUS SYSREQ_CONST_FIRM_VALUE SYSREQ_CONST_SERVER_STATUS SYSREQ_CONTENTS SYSREQ_DATE_OPEN SYSREQ_DATE_CLOSE SYSREQ_DESCRIPTION SYSREQ_DESCRIPTION_LOCALIZE_ID SYSREQ_DOUBLE SYSREQ_EDOC_ACCESS_TYPE SYSREQ_EDOC_AUTHOR SYSREQ_EDOC_CREATED SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE SYSREQ_EDOC_EDITOR SYSREQ_EDOC_ENCODE_TYPE SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_EXPORT_DATE SYSREQ_EDOC_EXPORTER SYSREQ_EDOC_KIND SYSREQ_EDOC_LIFE_STAGE_NAME SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE SYSREQ_EDOC_MODIFIED SYSREQ_EDOC_NAME SYSREQ_EDOC_NOTE SYSREQ_EDOC_QUALIFIED_ID SYSREQ_EDOC_SESSION_KEY SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_SIGNATURE_TYPE SYSREQ_EDOC_SIGNED SYSREQ_EDOC_STORAGE SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE SYSREQ_EDOC_STORAGES_CHECK_RIGHTS SYSREQ_EDOC_STORAGES_COMPUTER_NAME SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE SYSREQ_EDOC_STORAGES_FUNCTION SYSREQ_EDOC_STORAGES_INITIALIZED SYSREQ_EDOC_STORAGES_LOCAL_PATH SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT SYSREQ_EDOC_STORAGES_SERVER_NAME SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME SYSREQ_EDOC_STORAGES_TYPE SYSREQ_EDOC_TEXT_MODIFIED SYSREQ_EDOC_TYPE_ACT_CODE SYSREQ_EDOC_TYPE_ACT_DESCRIPTION SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_EDOC_TYPE_ACT_SECTION SYSREQ_EDOC_TYPE_ADD_PARAMS SYSREQ_EDOC_TYPE_COMMENT SYSREQ_EDOC_TYPE_EVENT_TEXT SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID SYSREQ_EDOC_TYPE_NUMERATION_METHOD SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE SYSREQ_EDOC_TYPE_REQ_CODE SYSREQ_EDOC_TYPE_REQ_DESCRIPTION SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_REQ_IS_LEADING SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED SYSREQ_EDOC_TYPE_REQ_NUMBER SYSREQ_EDOC_TYPE_REQ_ON_CHANGE SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_EDOC_TYPE_REQ_ON_SELECT SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND SYSREQ_EDOC_TYPE_REQ_SECTION SYSREQ_EDOC_TYPE_VIEW_CARD SYSREQ_EDOC_TYPE_VIEW_CODE SYSREQ_EDOC_TYPE_VIEW_COMMENT SYSREQ_EDOC_TYPE_VIEW_IS_MAIN SYSREQ_EDOC_TYPE_VIEW_NAME SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_EDOC_VERSION_AUTHOR SYSREQ_EDOC_VERSION_CRC SYSREQ_EDOC_VERSION_DATA SYSREQ_EDOC_VERSION_EDITOR SYSREQ_EDOC_VERSION_EXPORT_DATE SYSREQ_EDOC_VERSION_EXPORTER SYSREQ_EDOC_VERSION_HIDDEN SYSREQ_EDOC_VERSION_LIFE_STAGE SYSREQ_EDOC_VERSION_MODIFIED SYSREQ_EDOC_VERSION_NOTE SYSREQ_EDOC_VERSION_SIGNATURE_TYPE SYSREQ_EDOC_VERSION_SIGNED SYSREQ_EDOC_VERSION_SIZE SYSREQ_EDOC_VERSION_SOURCE SYSREQ_EDOC_VERSION_TEXT_MODIFIED SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE SYSREQ_FOLDER_KIND SYSREQ_FUNC_CATEGORY SYSREQ_FUNC_COMMENT SYSREQ_FUNC_GROUP SYSREQ_FUNC_GROUP_COMMENT SYSREQ_FUNC_GROUP_NUMBER SYSREQ_FUNC_HELP SYSREQ_FUNC_PARAM_DEF_VALUE SYSREQ_FUNC_PARAM_IDENT SYSREQ_FUNC_PARAM_NUMBER SYSREQ_FUNC_PARAM_TYPE SYSREQ_FUNC_TEXT SYSREQ_GROUP_CATEGORY SYSREQ_ID SYSREQ_LAST_UPDATE SYSREQ_LEADER_REFERENCE SYSREQ_LINE_NUMBER SYSREQ_MAIN_RECORD_ID SYSREQ_NAME SYSREQ_NAME_LOCALIZE_ID SYSREQ_NOTE SYSREQ_ORIGINAL_RECORD SYSREQ_OUR_FIRM SYSREQ_PROFILING_SETTINGS_BATCH_LOGING SYSREQ_PROFILING_SETTINGS_BATCH_SIZE SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_START_LOGGED SYSREQ_RECORD_STATUS SYSREQ_REF_REQ_FIELD_NAME SYSREQ_REF_REQ_FORMAT SYSREQ_REF_REQ_GENERATED SYSREQ_REF_REQ_LENGTH SYSREQ_REF_REQ_PRECISION SYSREQ_REF_REQ_REFERENCE SYSREQ_REF_REQ_SECTION SYSREQ_REF_REQ_STORED SYSREQ_REF_REQ_TOKENS SYSREQ_REF_REQ_TYPE SYSREQ_REF_REQ_VIEW SYSREQ_REF_TYPE_ACT_CODE SYSREQ_REF_TYPE_ACT_DESCRIPTION SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_ACT_ON_EXECUTE SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_REF_TYPE_ACT_SECTION SYSREQ_REF_TYPE_ADD_PARAMS SYSREQ_REF_TYPE_COMMENT SYSREQ_REF_TYPE_COMMON_SETTINGS SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME SYSREQ_REF_TYPE_EVENT_TEXT SYSREQ_REF_TYPE_MAIN_LEADING_REF SYSREQ_REF_TYPE_NAME_IN_SINGULAR SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_REF_TYPE_NAME_LOCALIZE_ID SYSREQ_REF_TYPE_NUMERATION_METHOD SYSREQ_REF_TYPE_REQ_CODE SYSREQ_REF_TYPE_REQ_DESCRIPTION SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_REQ_IS_CONTROL SYSREQ_REF_TYPE_REQ_IS_FILTER SYSREQ_REF_TYPE_REQ_IS_LEADING SYSREQ_REF_TYPE_REQ_IS_REQUIRED SYSREQ_REF_TYPE_REQ_NUMBER SYSREQ_REF_TYPE_REQ_ON_CHANGE SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_REF_TYPE_REQ_ON_SELECT SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND SYSREQ_REF_TYPE_REQ_SECTION SYSREQ_REF_TYPE_VIEW_CARD SYSREQ_REF_TYPE_VIEW_CODE SYSREQ_REF_TYPE_VIEW_COMMENT SYSREQ_REF_TYPE_VIEW_IS_MAIN SYSREQ_REF_TYPE_VIEW_NAME SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_REFERENCE_TYPE_ID SYSREQ_STATE SYSREQ_STATЕ SYSREQ_SYSTEM_SETTINGS_VALUE SYSREQ_TYPE SYSREQ_UNIT SYSREQ_UNIT_ID SYSREQ_USER_GROUPS_GROUP_FULL_NAME SYSREQ_USER_GROUPS_GROUP_NAME SYSREQ_USER_GROUPS_GROUP_SERVER_NAME SYSREQ_USERS_ACCESS_RIGHTS SYSREQ_USERS_AUTHENTICATION SYSREQ_USERS_CATEGORY SYSREQ_USERS_COMPONENT SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC SYSREQ_USERS_DOMAIN SYSREQ_USERS_FULL_USER_NAME SYSREQ_USERS_GROUP SYSREQ_USERS_IS_MAIN_SERVER SYSREQ_USERS_LOGIN SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC SYSREQ_USERS_STATUS SYSREQ_USERS_USER_CERTIFICATE SYSREQ_USERS_USER_CERTIFICATE_INFO SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION SYSREQ_USERS_USER_CERTIFICATE_STATE SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT SYSREQ_USERS_USER_DEFAULT_CERTIFICATE SYSREQ_USERS_USER_DESCRIPTION SYSREQ_USERS_USER_GLOBAL_NAME SYSREQ_USERS_USER_LOGIN SYSREQ_USERS_USER_MAIN_SERVER SYSREQ_USERS_USER_TYPE SYSREQ_WORK_RULES_FOLDER_ID RESULT_VAR_NAME RESULT_VAR_NAME_ENG AUTO_NUMERATION_RULE_ID CANT_CHANGE_ID_REQUISITE_RULE_ID CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID CHECK_CODE_REQUISITE_RULE_ID CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID CHECK_FILTRATER_CHANGES_RULE_ID CHECK_RECORD_INTERVAL_RULE_ID CHECK_REFERENCE_INTERVAL_RULE_ID CHECK_REQUIRED_DATA_FULLNESS_RULE_ID CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID MAKE_RECORD_UNRATIFIED_RULE_ID RESTORE_AUTO_NUMERATION_RULE_ID SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID SET_IDSPS_VALUE_RULE_ID SET_NEXT_CODE_VALUE_RULE_ID SET_OURFIRM_BOUNDS_RULE_ID SET_OURFIRM_REQUISITE_RULE_ID SCRIPT_BLOCK_AFTER_FINISH_EVENT SCRIPT_BLOCK_BEFORE_START_EVENT SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY SCRIPT_BLOCK_NAME_PROPERTY SCRIPT_BLOCK_SCRIPT_PROPERTY SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_AFTER_FINISH_EVENT SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT SUBTASK_BLOCK_ATTACHMENTS_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY SUBTASK_BLOCK_BEFORE_START_EVENT SUBTASK_BLOCK_CREATED_TASK_PROPERTY SUBTASK_BLOCK_CREATION_EVENT SUBTASK_BLOCK_DEADLINE_PROPERTY SUBTASK_BLOCK_IMPORTANCE_PROPERTY SUBTASK_BLOCK_INITIATOR_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY SUBTASK_BLOCK_JOBS_TYPE_PROPERTY SUBTASK_BLOCK_NAME_PROPERTY SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY SUBTASK_BLOCK_PERFORMERS_PROPERTY SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_START_EVENT SUBTASK_BLOCK_STEP_CONTROL_PROPERTY SUBTASK_BLOCK_SUBJECT_PROPERTY SUBTASK_BLOCK_TASK_CONTROL_PROPERTY SUBTASK_BLOCK_TEXT_PROPERTY SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY SYSCOMP_CONTROL_JOBS SYSCOMP_FOLDERS SYSCOMP_JOBS SYSCOMP_NOTICES SYSCOMP_TASKS SYSDLG_CREATE_EDOCUMENT SYSDLG_CREATE_EDOCUMENT_VERSION SYSDLG_CURRENT_PERIOD SYSDLG_EDIT_FUNCTION_HELP SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS SYSDLG_EXPORT_SINGLE_EDOCUMENT SYSDLG_IMPORT_EDOCUMENT SYSDLG_MULTIPLE_SELECT SYSDLG_SETUP_ACCESS_RIGHTS SYSDLG_SETUP_DEFAULT_RIGHTS SYSDLG_SETUP_FILTER_CONDITION SYSDLG_SETUP_SIGN_RIGHTS SYSDLG_SETUP_TASK_OBSERVERS SYSDLG_SETUP_TASK_ROUTE SYSDLG_SETUP_USERS_LIST SYSDLG_SIGN_EDOCUMENT SYSDLG_SIGN_MULTIPLE_EDOCUMENTS SYSREF_ACCESS_RIGHTS_TYPES SYSREF_ADMINISTRATION_HISTORY SYSREF_ALL_AVAILABLE_COMPONENTS SYSREF_ALL_AVAILABLE_PRIVILEGES SYSREF_ALL_REPLICATING_COMPONENTS SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS SYSREF_CALENDAR_EVENTS SYSREF_COMPONENT_TOKEN_HISTORY SYSREF_COMPONENT_TOKENS SYSREF_COMPONENTS SYSREF_CONSTANTS SYSREF_DATA_RECEIVE_PROTOCOL SYSREF_DATA_SEND_PROTOCOL SYSREF_DIALOGS SYSREF_DIALOGS_REQUISITES SYSREF_EDITORS SYSREF_EDOC_CARDS SYSREF_EDOC_TYPES SYSREF_EDOCUMENT_CARD_REQUISITES SYSREF_EDOCUMENT_CARD_TYPES SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE SYSREF_EDOCUMENT_CARDS SYSREF_EDOCUMENT_HISTORY SYSREF_EDOCUMENT_KINDS SYSREF_EDOCUMENT_REQUISITES SYSREF_EDOCUMENT_SIGNATURES SYSREF_EDOCUMENT_TEMPLATES SYSREF_EDOCUMENT_TEXT_STORAGES SYSREF_EDOCUMENT_VIEWS SYSREF_FILTERER_SETUP_CONFLICTS SYSREF_FILTRATER_SETTING_CONFLICTS SYSREF_FOLDER_HISTORY SYSREF_FOLDERS SYSREF_FUNCTION_GROUPS SYSREF_FUNCTION_PARAMS SYSREF_FUNCTIONS SYSREF_JOB_HISTORY SYSREF_LINKS SYSREF_LOCALIZATION_DICTIONARY SYSREF_LOCALIZATION_LANGUAGES SYSREF_MODULES SYSREF_PRIVILEGES SYSREF_RECORD_HISTORY SYSREF_REFERENCE_REQUISITES SYSREF_REFERENCE_TYPE_VIEWS SYSREF_REFERENCE_TYPES SYSREF_REFERENCES SYSREF_REFERENCES_REQUISITES SYSREF_REMOTE_SERVERS SYSREF_REPLICATION_SESSIONS_LOG SYSREF_REPLICATION_SESSIONS_PROTOCOL SYSREF_REPORTS SYSREF_ROLES SYSREF_ROUTE_BLOCK_GROUPS SYSREF_ROUTE_BLOCKS SYSREF_SCRIPTS SYSREF_SEARCHES SYSREF_SERVER_EVENTS SYSREF_SERVER_EVENTS_HISTORY SYSREF_STANDARD_ROUTE_GROUPS SYSREF_STANDARD_ROUTES SYSREF_STATUSES SYSREF_SYSTEM_SETTINGS SYSREF_TASK_HISTORY SYSREF_TASK_KIND_GROUPS SYSREF_TASK_KINDS SYSREF_TASK_RIGHTS SYSREF_TASK_SIGNATURES SYSREF_TASKS SYSREF_UNITS SYSREF_USER_GROUPS SYSREF_USER_GROUPS_REFERENCE SYSREF_USER_SUBSTITUTION SYSREF_USERS SYSREF_USERS_REFERENCE SYSREF_VIEWERS SYSREF_WORKING_TIME_CALENDARS ACCESS_RIGHTS_TABLE_NAME EDMS_ACCESS_TABLE_NAME EDOC_TYPES_TABLE_NAME TEST_DEV_DB_NAME TEST_DEV_SYSTEM_CODE TEST_EDMS_DB_NAME TEST_EDMS_MAIN_CODE TEST_EDMS_MAIN_DB_NAME TEST_EDMS_SECOND_CODE TEST_EDMS_SECOND_DB_NAME TEST_EDMS_SYSTEM_CODE TEST_ISB5_MAIN_CODE TEST_ISB5_SECOND_CODE TEST_SQL_SERVER_2005_NAME TEST_SQL_SERVER_NAME ATTENTION_CAPTION cbsCommandLinks cbsDefault CONFIRMATION_CAPTION ERROR_CAPTION INFORMATION_CAPTION mrCancel mrOk EDOC_VERSION_ACTIVE_STAGE_CODE EDOC_VERSION_DESIGN_STAGE_CODE EDOC_VERSION_OBSOLETE_STAGE_CODE cpDataEnciphermentEnabled cpDigitalSignatureEnabled cpID cpIssuer cpPluginVersion cpSerial cpSubjectName cpSubjSimpleName cpValidFromDate cpValidToDate ISBL_SYNTAX NO_SYNTAX XML_SYNTAX WAIT_BLOCK_AFTER_FINISH_EVENT WAIT_BLOCK_BEFORE_START_EVENT WAIT_BLOCK_DEADLINE_PROPERTY WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY WAIT_BLOCK_NAME_PROPERTY WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SYSRES_COMMON SYSRES_CONST SYSRES_MBFUNC SYSRES_SBDATA SYSRES_SBGUI SYSRES_SBINTF SYSRES_SBREFDSC SYSRES_SQLERRORS SYSRES_SYSCOMP atUser atGroup atRole aemEnabledAlways aemDisabledAlways aemEnabledOnBrowse aemEnabledOnEdit aemDisabledOnBrowseEmpty apBegin apEnd alLeft alRight asmNever asmNoButCustomize asmAsLastTime asmYesButCustomize asmAlways cirCommon cirRevoked ctSignature ctEncode ctSignatureEncode clbUnchecked clbChecked clbGrayed ceISB ceAlways ceNever ctDocument ctReference ctScript ctUnknown ctReport ctDialog ctFunction ctFolder ctEDocument ctTask ctJob ctNotice ctControlJob cfInternal cfDisplay ciUnspecified ciWrite ciRead ckFolder ckEDocument ckTask ckJob ckComponentToken ckAny ckReference ckScript ckReport ckDialog ctISBLEditor ctBevel ctButton ctCheckListBox ctComboBox ctComboEdit ctGrid ctDBCheckBox ctDBComboBox ctDBEdit ctDBEllipsis ctDBMemo ctDBNavigator ctDBRadioGroup ctDBStatusLabel ctEdit ctGroupBox ctInplaceHint ctMemo ctPanel ctListBox ctRadioButton ctRichEdit ctTabSheet ctWebBrowser ctImage ctHyperLink ctLabel ctDBMultiEllipsis ctRibbon ctRichView ctInnerPanel ctPanelGroup ctBitButton cctDate cctInteger cctNumeric cctPick cctReference cctString cctText cltInternal cltPrimary cltGUI dseBeforeOpen dseAfterOpen dseBeforeClose dseAfterClose dseOnValidDelete dseBeforeDelete dseAfterDelete dseAfterDeleteOutOfTransaction dseOnDeleteError dseBeforeInsert dseAfterInsert dseOnValidUpdate dseBeforeUpdate dseOnUpdateRatifiedRecord dseAfterUpdate dseAfterUpdateOutOfTransaction dseOnUpdateError dseAfterScroll dseOnOpenRecord dseOnCloseRecord dseBeforeCancel dseAfterCancel dseOnUpdateDeadlockError dseBeforeDetailUpdate dseOnPrepareUpdate dseOnAnyRequisiteChange dssEdit dssInsert dssBrowse dssInActive dftDate dftShortDate dftDateTime dftTimeStamp dotDays dotHours dotMinutes dotSeconds dtkndLocal dtkndUTC arNone arView arEdit arFull ddaView ddaEdit emLock emEdit emSign emExportWithLock emImportWithUnlock emChangeVersionNote emOpenForModify emChangeLifeStage emDelete emCreateVersion emImport emUnlockExportedWithLock emStart emAbort emReInit emMarkAsReaded emMarkAsUnreaded emPerform emAccept emResume emChangeRights emEditRoute emEditObserver emRecoveryFromLocalCopy emChangeWorkAccessType emChangeEncodeTypeToCertificate emChangeEncodeTypeToPassword emChangeEncodeTypeToNone emChangeEncodeTypeToCertificatePassword emChangeStandardRoute emGetText emOpenForView emMoveToStorage emCreateObject emChangeVersionHidden emDeleteVersion emChangeLifeCycleStage emApprovingSign emExport emContinue emLockFromEdit emUnLockForEdit emLockForServer emUnlockFromServer emDelegateAccessRights emReEncode ecotFile ecotProcess eaGet eaCopy eaCreate eaCreateStandardRoute edltAll edltNothing edltQuery essmText essmCard esvtLast esvtLastActive esvtSpecified edsfExecutive edsfArchive edstSQLServer edstFile edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile vsDefault vsDesign vsActive vsObsolete etNone etCertificate etPassword etCertificatePassword ecException ecWarning ecInformation estAll estApprovingOnly evtLast evtLastActive evtQuery fdtString fdtNumeric fdtInteger fdtDate fdtText fdtUnknown fdtWideString fdtLargeInteger ftInbox ftOutbox ftFavorites ftCommonFolder ftUserFolder ftComponents ftQuickLaunch ftShortcuts ftSearch grhAuto grhX1 grhX2 grhX3 hltText hltRTF hltHTML iffBMP iffJPEG iffMultiPageTIFF iffSinglePageTIFF iffTIFF iffPNG im8bGrayscale im24bRGB im1bMonochrome itBMP itJPEG itWMF itPNG ikhInformation ikhWarning ikhError ikhNoIcon icUnknown icScript icFunction icIntegratedReport icAnalyticReport icDataSetEventHandler icActionHandler icFormEventHandler icLookUpEventHandler icRequisiteChangeEventHandler icBeforeSearchEventHandler icRoleCalculation icSelectRouteEventHandler icBlockPropertyCalculation icBlockQueryParamsEventHandler icChangeSearchResultEventHandler icBlockEventHandler icSubTaskInitEventHandler icEDocDataSetEventHandler icEDocLookUpEventHandler icEDocActionHandler icEDocFormEventHandler icEDocRequisiteChangeEventHandler icStructuredConversionRule icStructuredConversionEventBefore icStructuredConversionEventAfter icWizardEventHandler icWizardFinishEventHandler icWizardStepEventHandler icWizardStepFinishEventHandler icWizardActionEnableEventHandler icWizardActionExecuteEventHandler icCreateJobsHandler icCreateNoticesHandler icBeforeLookUpEventHandler icAfterLookUpEventHandler icTaskAbortEventHandler icWorkflowBlockActionHandler icDialogDataSetEventHandler icDialogActionHandler icDialogLookUpEventHandler icDialogRequisiteChangeEventHandler icDialogFormEventHandler icDialogValidCloseEventHandler icBlockFormEventHandler icTaskFormEventHandler icReferenceMethod icEDocMethod icDialogMethod icProcessMessageHandler isShow isHide isByUserSettings jkJob jkNotice jkControlJob jtInner jtLeft jtRight jtFull jtCross lbpAbove lbpBelow lbpLeft lbpRight eltPerConnection eltPerUser sfcUndefined sfcBlack sfcGreen sfcRed sfcBlue sfcOrange sfcLilac sfsItalic sfsStrikeout sfsNormal ldctStandardRoute ldctWizard ldctScript ldctFunction ldctRouteBlock ldctIntegratedReport ldctAnalyticReport ldctReferenceType ldctEDocumentType ldctDialog ldctServerEvents mrcrtNone mrcrtUser mrcrtMaximal mrcrtCustom vtEqual vtGreaterOrEqual vtLessOrEqual vtRange rdYesterday rdToday rdTomorrow rdThisWeek rdThisMonth rdThisYear rdNextMonth rdNextWeek rdLastWeek rdLastMonth rdWindow rdFile rdPrinter rdtString rdtNumeric rdtInteger rdtDate rdtReference rdtAccount rdtText rdtPick rdtUnknown rdtLargeInteger rdtDocument reOnChange reOnChangeValues ttGlobal ttLocal ttUser ttSystem ssmBrowse ssmSelect ssmMultiSelect ssmBrowseModal smSelect smLike smCard stNone stAuthenticating stApproving sctString sctStream sstAnsiSort sstNaturalSort svtEqual svtContain soatString soatNumeric soatInteger soatDatetime soatReferenceRecord soatText soatPick soatBoolean soatEDocument soatAccount soatIntegerCollection soatNumericCollection soatStringCollection soatPickCollection soatDatetimeCollection soatBooleanCollection soatReferenceRecordCollection soatEDocumentCollection soatAccountCollection soatContents soatUnknown tarAbortByUser tarAbortByWorkflowException tvtAllWords tvtExactPhrase tvtAnyWord usNone usCompleted usRedSquare usBlueSquare usYellowSquare usGreenSquare usOrangeSquare usPurpleSquare usFollowUp utUnknown utUser utDeveloper utAdministrator utSystemDeveloper utDisconnected btAnd btDetailAnd btOr btNotOr btOnly vmView vmSelect vmNavigation vsmSingle vsmMultiple vsmMultipleCheck vsmNoSelection wfatPrevious wfatNext wfatCancel wfatFinish wfepUndefined wfepText3 wfepText6 wfepText9 wfepSpinEdit wfepDropDown wfepRadioGroup wfepFlag wfepText12 wfepText15 wfepText18 wfepText21 wfepText24 wfepText27 wfepText30 wfepRadioGroupColumn1 wfepRadioGroupColumn2 wfepRadioGroupColumn3 wfetQueryParameter wfetText wfetDelimiter wfetLabel wptString wptInteger wptNumeric wptBoolean wptDateTime wptPick wptText wptUser wptUserList wptEDocumentInfo wptEDocumentInfoList wptReferenceRecordInfo wptReferenceRecordInfoList wptFolderInfo wptTaskInfo wptContents wptFileName wptDate wsrComplete wsrGoNext wsrGoPrevious wsrCustom wsrCancel wsrGoFinal wstForm wstEDocument wstTaskCard wstReferenceRecordCard wstFinal waAll waPerformers waManual wsbStart wsbFinish wsbNotice wsbStep wsbDecision wsbWait wsbMonitor wsbScript wsbConnector wsbSubTask wsbLifeCycleStage wsbPause wdtInteger wdtFloat wdtString wdtPick wdtDateTime wdtBoolean wdtTask wdtJob wdtFolder wdtEDocument wdtReferenceRecord wdtUser wdtGroup wdtRole wdtIntegerCollection wdtFloatCollection wdtStringCollection wdtPickCollection wdtDateTimeCollection wdtBooleanCollection wdtTaskCollection wdtJobCollection wdtFolderCollection wdtEDocumentCollection wdtReferenceRecordCollection wdtUserCollection wdtGroupCollection wdtRoleCollection wdtContents wdtUserList wdtSearchDescription wdtDeadLine wdtPickSet wdtAccountCollection wiLow wiNormal wiHigh wrtSoft wrtHard wsInit wsRunning wsDone wsControlled wsAborted wsContinued wtmFull wtmFromCurrent wtmOnlyCurrent ",class:"AltState Application CallType ComponentTokens CreatedJobs CreatedNotices ControlState DialogResult Dialogs EDocuments EDocumentVersionSource Folders GlobalIDs Job Jobs InputValue LookUpReference LookUpRequisiteNames LookUpSearch Object ParentComponent Processes References Requisite ReportName Reports Result Scripts Searches SelectedAttachments SelectedItems SelectMode Sender ServerEvents ServiceFactory ShiftState SubTask SystemDialogs Tasks Wizard Wizards Work ВызовСпособ ИмяОтчета РеквЗнач ",literal:"null true false nil "},I={begin:"\\.\\s*"+S.UNDERSCORE_IDENT_RE,keywords:C,relevance:0},N={className:"type",begin:":[ \\t]*("+"IApplication IAccessRights IAccountRepository IAccountSelectionRestrictions IAction IActionList IAdministrationHistoryDescription IAnchors IApplication IArchiveInfo IAttachment IAttachmentList ICheckListBox ICheckPointedList IColumn IComponent IComponentDescription IComponentToken IComponentTokenFactory IComponentTokenInfo ICompRecordInfo IConnection IContents IControl IControlJob IControlJobInfo IControlList ICrypto ICrypto2 ICustomJob ICustomJobInfo ICustomListBox ICustomObjectWizardStep ICustomWork ICustomWorkInfo IDataSet IDataSetAccessInfo IDataSigner IDateCriterion IDateRequisite IDateRequisiteDescription IDateValue IDeaAccessRights IDeaObjectInfo IDevelopmentComponentLock IDialog IDialogFactory IDialogPickRequisiteItems IDialogsFactory IDICSFactory IDocRequisite IDocumentInfo IDualListDialog IECertificate IECertificateInfo IECertificates IEditControl IEditorForm IEdmsExplorer IEdmsObject IEdmsObjectDescription IEdmsObjectFactory IEdmsObjectInfo IEDocument IEDocumentAccessRights IEDocumentDescription IEDocumentEditor IEDocumentFactory IEDocumentInfo IEDocumentStorage IEDocumentVersion IEDocumentVersionListDialog IEDocumentVersionSource IEDocumentWizardStep IEDocVerSignature IEDocVersionState IEnabledMode IEncodeProvider IEncrypter IEvent IEventList IException IExternalEvents IExternalHandler IFactory IField IFileDialog IFolder IFolderDescription IFolderDialog IFolderFactory IFolderInfo IForEach IForm IFormTitle IFormWizardStep IGlobalIDFactory IGlobalIDInfo IGrid IHasher IHistoryDescription IHyperLinkControl IImageButton IImageControl IInnerPanel IInplaceHint IIntegerCriterion IIntegerList IIntegerRequisite IIntegerValue IISBLEditorForm IJob IJobDescription IJobFactory IJobForm IJobInfo ILabelControl ILargeIntegerCriterion ILargeIntegerRequisite ILargeIntegerValue ILicenseInfo ILifeCycleStage IList IListBox ILocalIDInfo ILocalization ILock IMemoryDataSet IMessagingFactory IMetadataRepository INotice INoticeInfo INumericCriterion INumericRequisite INumericValue IObject IObjectDescription IObjectImporter IObjectInfo IObserver IPanelGroup IPickCriterion IPickProperty IPickRequisite IPickRequisiteDescription IPickRequisiteItem IPickRequisiteItems IPickValue IPrivilege IPrivilegeList IProcess IProcessFactory IProcessMessage IProgress IProperty IPropertyChangeEvent IQuery IReference IReferenceCriterion IReferenceEnabledMode IReferenceFactory IReferenceHistoryDescription IReferenceInfo IReferenceRecordCardWizardStep IReferenceRequisiteDescription IReferencesFactory IReferenceValue IRefRequisite IReport IReportFactory IRequisite IRequisiteDescription IRequisiteDescriptionList IRequisiteFactory IRichEdit IRouteStep IRule IRuleList ISchemeBlock IScript IScriptFactory ISearchCriteria ISearchCriterion ISearchDescription ISearchFactory ISearchFolderInfo ISearchForObjectDescription ISearchResultRestrictions ISecuredContext ISelectDialog IServerEvent IServerEventFactory IServiceDialog IServiceFactory ISignature ISignProvider ISignProvider2 ISignProvider3 ISimpleCriterion IStringCriterion IStringList IStringRequisite IStringRequisiteDescription IStringValue ISystemDialogsFactory ISystemInfo ITabSheet ITask ITaskAbortReasonInfo ITaskCardWizardStep ITaskDescription ITaskFactory ITaskInfo ITaskRoute ITextCriterion ITextRequisite ITextValue ITreeListSelectDialog IUser IUserList IValue IView IWebBrowserControl IWizard IWizardAction IWizardFactory IWizardFormElement IWizardParam IWizardPickParam IWizardReferenceParam IWizardStep IWorkAccessRights IWorkDescription IWorkflowAskableParam IWorkflowAskableParams IWorkflowBlock IWorkflowBlockResult IWorkflowEnabledMode IWorkflowParam IWorkflowPickParam IWorkflowReferenceParam IWorkState IWorkTreeCustomNode IWorkTreeJobNode IWorkTreeTaskNode IXMLEditorForm SBCrypto ".trim().replace(/\s/g,"|")+")",end:"[ \\t]*=",excludeEnd:!0},A={className:"variable",lexemes:E,keywords:C,begin:E,relevance:0,contains:[N,I]};return{aliases:["isbl"],case_insensitive:!0,lexemes:E,keywords:C,illegal:"\\$|\\?|%|,|;$|~|#|@|</",contains:[{className:"function",begin:"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*\\(",end:"\\)$",returnBegin:!0,lexemes:E,keywords:C,illegal:"[\\[\\]\\|\\$\\?%,~#@]",contains:[{className:"title",lexemes:E,keywords:{built_in:"AddSubString AdjustLineBreaks AmountInWords Analysis ArrayDimCount ArrayHighBound ArrayLowBound ArrayOf ArrayReDim Assert Assigned BeginOfMonth BeginOfPeriod BuildProfilingOperationAnalysis CallProcedure CanReadFile CArrayElement CDataSetRequisite ChangeDate ChangeReferenceDataset Char CharPos CheckParam CheckParamValue CompareStrings ConstantExists ControlState ConvertDateStr Copy CopyFile CreateArray CreateCachedReference CreateConnection CreateDialog CreateDualListDialog CreateEditor CreateException CreateFile CreateFolderDialog CreateInputDialog CreateLinkFile CreateList CreateLock CreateMemoryDataSet CreateObject CreateOpenDialog CreateProgress CreateQuery CreateReference CreateReport CreateSaveDialog CreateScript CreateSQLPivotFunction CreateStringList CreateTreeListSelectDialog CSelectSQL CSQL CSubString CurrentUserID CurrentUserName CurrentVersion DataSetLocateEx DateDiff DateTimeDiff DateToStr DayOfWeek DeleteFile DirectoryExists DisableCheckAccessRights DisableCheckFullShowingRestriction DisableMassTaskSendingRestrictions DropTable DupeString EditText EnableCheckAccessRights EnableCheckFullShowingRestriction EnableMassTaskSendingRestrictions EndOfMonth EndOfPeriod ExceptionExists ExceptionsOff ExceptionsOn Execute ExecuteProcess Exit ExpandEnvironmentVariables ExtractFileDrive ExtractFileExt ExtractFileName ExtractFilePath ExtractParams FileExists FileSize FindFile FindSubString FirmContext ForceDirectories Format FormatDate FormatNumeric FormatSQLDate FormatString FreeException GetComponent GetComponentLaunchParam GetConstant GetLastException GetReferenceRecord GetRefTypeByRefID GetTableID GetTempFolder IfThen In IndexOf InputDialog InputDialogEx InteractiveMode IsFileLocked IsGraphicFile IsNumeric Length LoadString LoadStringFmt LocalTimeToUTC LowerCase Max MessageBox MessageBoxEx MimeDecodeBinary MimeDecodeString MimeEncodeBinary MimeEncodeString Min MoneyInWords MoveFile NewID Now OpenFile Ord Precision Raise ReadCertificateFromFile ReadFile ReferenceCodeByID ReferenceNumber ReferenceRequisiteMode ReferenceRequisiteValue RegionDateSettings RegionNumberSettings RegionTimeSettings RegRead RegWrite RenameFile Replace Round SelectServerCode SelectSQL ServerDateTime SetConstant SetManagedFolderFieldsState ShowConstantsInputDialog ShowMessage Sleep Split SQL SQL2XLSTAB SQLProfilingSendReport StrToDate SubString SubStringCount SystemSetting Time TimeDiff Today Transliterate Trim UpperCase UserStatus UTCToLocalTime ValidateXML VarIsClear VarIsEmpty VarIsNull WorkTimeDiff WriteFile WriteFileEx WriteObjectHistory Анализ БазаДанных БлокЕсть БлокЕстьРасш БлокИнфо БлокСнять БлокСнятьРасш БлокУстановить Ввод ВводМеню ВедС ВедСпр ВерхняяГраницаМассива ВнешПрогр Восст ВременнаяПапка Время ВыборSQL ВыбратьЗапись ВыделитьСтр Вызвать Выполнить ВыпПрогр ГрафическийФайл ГруппаДополнительно ДатаВремяСерв ДеньНедели ДиалогДаНет ДлинаСтр ДобПодстр ЕПусто ЕслиТо ЕЧисло ЗамПодстр ЗаписьСправочника ЗначПоляСпр ИДТипСпр ИзвлечьДиск ИзвлечьИмяФайла ИзвлечьПуть ИзвлечьРасширение ИзмДат ИзменитьРазмерМассива ИзмеренийМассива ИмяОрг ИмяПоляСпр Индекс ИндикаторЗакрыть ИндикаторОткрыть ИндикаторШаг ИнтерактивныйРежим ИтогТблСпр КодВидВедСпр КодВидСпрПоИД КодПоAnalit КодСимвола КодСпр КолПодстр КолПроп КонМес Конст КонстЕсть КонстЗнач КонТран КопироватьФайл КопияСтр КПериод КСтрТблСпр Макс МаксСтрТблСпр Массив Меню МенюРасш Мин НаборДанныхНайтиРасш НаимВидСпр НаимПоAnalit НаимСпр НастроитьПереводыСтрок НачМес НачТран НижняяГраницаМассива НомерСпр НПериод Окно Окр Окружение ОтлИнфДобавить ОтлИнфУдалить Отчет ОтчетАнал ОтчетИнт ПапкаСуществует Пауза ПВыборSQL ПереименоватьФайл Переменные ПереместитьФайл Подстр ПоискПодстр ПоискСтр ПолучитьИДТаблицы ПользовательДополнительно ПользовательИД ПользовательИмя ПользовательСтатус Прервать ПроверитьПараметр ПроверитьПараметрЗнач ПроверитьУсловие РазбСтр РазнВремя РазнДат РазнДатаВремя РазнРабВремя РегУстВрем РегУстДат РегУстЧсл РедТекст РеестрЗапись РеестрСписокИменПарам РеестрЧтение РеквСпр РеквСпрПр Сегодня Сейчас Сервер СерверПроцессИД СертификатФайлСчитать СжПроб Символ СистемаДиректумКод СистемаИнформация СистемаКод Содержит СоединениеЗакрыть СоединениеОткрыть СоздатьДиалог СоздатьДиалогВыбораИзДвухСписков СоздатьДиалогВыбораПапки СоздатьДиалогОткрытияФайла СоздатьДиалогСохраненияФайла СоздатьЗапрос СоздатьИндикатор СоздатьИсключение СоздатьКэшированныйСправочник СоздатьМассив СоздатьНаборДанных СоздатьОбъект СоздатьОтчет СоздатьПапку СоздатьРедактор СоздатьСоединение СоздатьСписок СоздатьСписокСтрок СоздатьСправочник СоздатьСценарий СоздСпр СостСпр Сохр СохрСпр СписокСистем Спр Справочник СпрБлокЕсть СпрБлокСнять СпрБлокСнятьРасш СпрБлокУстановить СпрИзмНабДан СпрКод СпрНомер СпрОбновить СпрОткрыть СпрОтменить СпрПарам СпрПолеЗнач СпрПолеИмя СпрРекв СпрРеквВведЗн СпрРеквНовые СпрРеквПр СпрРеквПредЗн СпрРеквРежим СпрРеквТипТекст СпрСоздать СпрСост СпрСохранить СпрТблИтог СпрТблСтр СпрТблСтрКол СпрТблСтрМакс СпрТблСтрМин СпрТблСтрПред СпрТблСтрСлед СпрТблСтрСозд СпрТблСтрУд СпрТекПредст СпрУдалить СравнитьСтр СтрВерхРегистр СтрНижнРегистр СтрТблСпр СумПроп Сценарий СценарийПарам ТекВерсия ТекОрг Точн Тран Транслитерация УдалитьТаблицу УдалитьФайл УдСпр УдСтрТблСпр Уст УстановкиКонстант ФайлАтрибутСчитать ФайлАтрибутУстановить ФайлВремя ФайлВремяУстановить ФайлВыбрать ФайлЗанят ФайлЗаписать ФайлИскать ФайлКопировать ФайлМожноЧитать ФайлОткрыть ФайлПереименовать ФайлПерекодировать ФайлПереместить ФайлПросмотреть ФайлРазмер ФайлСоздать ФайлСсылкаСоздать ФайлСуществует ФайлСчитать ФайлУдалить ФмтSQLДат ФмтДат ФмтСтр ФмтЧсл Формат ЦМассивЭлемент ЦНаборДанныхРеквизит ЦПодстр "},begin:"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*\\(",end:"\\(",returnBegin:!0,excludeEnd:!0},I,A,T,_,O]},N,I,A,T,_,O]}}}}]);
-//# sourceMappingURL=isbl.js.map?v=1dbac8b292d7170cad4f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[83],{450:function(S,E){S.exports=function(S){var E="[A-Za-zА-Яа-яёЁ_!][A-Za-zА-Яа-яёЁ_0-9]*",_={className:"number",begin:S.NUMBER_RE,relevance:0},T={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"}]},R={className:"doctag",begin:"\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\b",relevance:0},O={variants:[{className:"comment",begin:"//",end:"$",relevance:0,contains:[S.PHRASAL_WORDS_MODE,R]},{className:"comment",begin:"/\\*",end:"\\*/",relevance:0,contains:[S.PHRASAL_WORDS_MODE,R]}]},C={keyword:"and и else иначе endexcept endfinally endforeach конецвсе endif конецесли endwhile конецпока except exitfor finally foreach все if если in в not не or или try while пока ",built_in:"SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT SYSRES_CONST_ACCES_RIGHT_TYPE_FULL SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE SYSRES_CONST_ACCESS_NO_ACCESS_VIEW SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_TYPE_CHANGE SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE SYSRES_CONST_ACCESS_TYPE_EXISTS SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE SYSRES_CONST_ACCESS_TYPE_FULL SYSRES_CONST_ACCESS_TYPE_FULL_CODE SYSRES_CONST_ACCESS_TYPE_VIEW SYSRES_CONST_ACCESS_TYPE_VIEW_CODE SYSRES_CONST_ACTION_TYPE_ABORT SYSRES_CONST_ACTION_TYPE_ACCEPT SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT SYSRES_CONST_ACTION_TYPE_CHANGE_CARD SYSRES_CONST_ACTION_TYPE_CHANGE_KIND SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE SYSRES_CONST_ACTION_TYPE_CONTINUE SYSRES_CONST_ACTION_TYPE_COPY SYSRES_CONST_ACTION_TYPE_CREATE SYSRES_CONST_ACTION_TYPE_CREATE_VERSION SYSRES_CONST_ACTION_TYPE_DELETE SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT SYSRES_CONST_ACTION_TYPE_DELETE_VERSION SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE SYSRES_CONST_ACTION_TYPE_LOCK SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY SYSRES_CONST_ACTION_TYPE_MARK_AS_READED SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED SYSRES_CONST_ACTION_TYPE_MODIFY SYSRES_CONST_ACTION_TYPE_MODIFY_CARD SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE SYSRES_CONST_ACTION_TYPE_PERFORM SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY SYSRES_CONST_ACTION_TYPE_RESTART SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE SYSRES_CONST_ACTION_TYPE_REVISION SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL SYSRES_CONST_ACTION_TYPE_SIGN SYSRES_CONST_ACTION_TYPE_START SYSRES_CONST_ACTION_TYPE_UNLOCK SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER SYSRES_CONST_ACTION_TYPE_VERSION_STATE SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY SYSRES_CONST_ACTION_TYPE_VIEW SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE SYSRES_CONST_ADD_REFERENCE_MODE_NAME SYSRES_CONST_ADDITION_REQUISITE_CODE SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS SYSRES_CONST_ALL_USERS_GROUP SYSRES_CONST_ALL_USERS_GROUP_NAME SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE SYSRES_CONST_APPROVING_SIGNATURE_NAME SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN SYSRES_CONST_ATTACH_TYPE_DOC SYSRES_CONST_ATTACH_TYPE_EDOC SYSRES_CONST_ATTACH_TYPE_FOLDER SYSRES_CONST_ATTACH_TYPE_JOB SYSRES_CONST_ATTACH_TYPE_REFERENCE SYSRES_CONST_ATTACH_TYPE_TASK SYSRES_CONST_AUTH_ENCODED_PASSWORD SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE SYSRES_CONST_AUTH_NOVELL SYSRES_CONST_AUTH_PASSWORD SYSRES_CONST_AUTH_PASSWORD_CODE SYSRES_CONST_AUTH_WINDOWS SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_AUTO_ENUM_METHOD_FLAG SYSRES_CONST_AUTO_NUMERATION_CODE SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_USAGE_ALL SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE SYSRES_CONST_AUTOTEXT_USAGE_SIGN SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE SYSRES_CONST_AUTOTEXT_USAGE_WORK SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BTN_PART SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT SYSRES_CONST_CARD_PART SYSRES_CONST_CARD_REFERENCE_MODE_NAME SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT SYSRES_CONST_CODE_COMPONENT_TYPE_URL SYSRES_CONST_CODE_REQUISITE_ACCESS SYSRES_CONST_CODE_REQUISITE_CODE SYSRES_CONST_CODE_REQUISITE_COMPONENT SYSRES_CONST_CODE_REQUISITE_DESCRIPTION SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT SYSRES_CONST_CODE_REQUISITE_RECORD SYSRES_CONST_COMMENT_REQ_CODE SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE SYSRES_CONST_COMP_CODE_GRD SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DOCS SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_COMPONENT_TYPE_EDOCS SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_COMPONENT_TYPE_OTHER SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES SYSRES_CONST_COMPONENT_TYPE_REFERENCES SYSRES_CONST_COMPONENT_TYPE_REPORTS SYSRES_CONST_COMPONENT_TYPE_SCRIPTS SYSRES_CONST_COMPONENT_TYPE_URL SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION SYSRES_CONST_CONST_FIRM_STATUS_COMMON SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL SYSRES_CONST_CONST_NEGATIVE_VALUE SYSRES_CONST_CONST_POSITIVE_VALUE SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE SYSRES_CONST_CONTENTS_REQUISITE_CODE SYSRES_CONST_DATA_TYPE_BOOLEAN SYSRES_CONST_DATA_TYPE_DATE SYSRES_CONST_DATA_TYPE_FLOAT SYSRES_CONST_DATA_TYPE_INTEGER SYSRES_CONST_DATA_TYPE_PICK SYSRES_CONST_DATA_TYPE_REFERENCE SYSRES_CONST_DATA_TYPE_STRING SYSRES_CONST_DATA_TYPE_TEXT SYSRES_CONST_DATA_TYPE_VARIANT SYSRES_CONST_DATE_CLOSE_REQ_CODE SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR SYSRES_CONST_DATE_OPEN_REQ_CODE SYSRES_CONST_DATE_REQUISITE SYSRES_CONST_DATE_REQUISITE_CODE SYSRES_CONST_DATE_REQUISITE_NAME SYSRES_CONST_DATE_REQUISITE_TYPE SYSRES_CONST_DATE_TYPE_CHAR SYSRES_CONST_DATETIME_FORMAT_VALUE SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_DET1_PART SYSRES_CONST_DET2_PART SYSRES_CONST_DET3_PART SYSRES_CONST_DET4_PART SYSRES_CONST_DET5_PART SYSRES_CONST_DET6_PART SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE SYSRES_CONST_DETAIL_REQ_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME SYSRES_CONST_DOCUMENT_STORAGES_CODE SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME SYSRES_CONST_DOUBLE_REQUISITE_CODE SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE SYSRES_CONST_EDITORS_REFERENCE_CODE SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE SYSRES_CONST_EDOC_DATE_REQUISITE_CODE SYSRES_CONST_EDOC_KIND_REFERENCE_CODE SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE SYSRES_CONST_EDOC_NONE_ENCODE_CODE SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_READONLY_ACCESS_CODE SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE SYSRES_CONST_EDOC_WRITE_ACCES_CODE SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_END_DATE_REQUISITE_CODE SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE SYSRES_CONST_EXIST_CONST SYSRES_CONST_EXIST_VALUE SYSRES_CONST_EXPORT_LOCK_TYPE_ASK SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK SYSRES_CONST_EXPORT_VERSION_TYPE_ASK SYSRES_CONST_EXPORT_VERSION_TYPE_LAST SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE SYSRES_CONST_EXTENSION_REQUISITE_CODE SYSRES_CONST_FILTER_NAME_REQUISITE_CODE SYSRES_CONST_FILTER_REQUISITE_CODE SYSRES_CONST_FILTER_TYPE_COMMON_CODE SYSRES_CONST_FILTER_TYPE_COMMON_NAME SYSRES_CONST_FILTER_TYPE_USER_CODE SYSRES_CONST_FILTER_TYPE_USER_NAME SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR SYSRES_CONST_FLOAT_REQUISITE_TYPE SYSRES_CONST_FOLDER_AUTHOR_VALUE SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS SYSRES_CONST_FOLDER_KIND_COMPONENTS SYSRES_CONST_FOLDER_KIND_EDOCS SYSRES_CONST_FOLDER_KIND_JOBS SYSRES_CONST_FOLDER_KIND_TASKS SYSRES_CONST_FOLDER_TYPE_COMMON SYSRES_CONST_FOLDER_TYPE_COMPONENT SYSRES_CONST_FOLDER_TYPE_FAVORITES SYSRES_CONST_FOLDER_TYPE_INBOX SYSRES_CONST_FOLDER_TYPE_OUTBOX SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH SYSRES_CONST_FOLDER_TYPE_SEARCH SYSRES_CONST_FOLDER_TYPE_SHORTCUTS SYSRES_CONST_FOLDER_TYPE_USER SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG SYSRES_CONST_FULL_SUBSTITUTE_TYPE SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE SYSRES_CONST_FUNCTION_CANCEL_RESULT SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM SYSRES_CONST_FUNCTION_CATEGORY_USER SYSRES_CONST_FUNCTION_FAILURE_RESULT SYSRES_CONST_FUNCTION_SAVE_RESULT SYSRES_CONST_GENERATED_REQUISITE SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_USER_REQUISITE_CODE SYSRES_CONST_GROUPS_REFERENCE_CODE SYSRES_CONST_GROUPS_REQUISITE_CODE SYSRES_CONST_HIDDEN_MODE_NAME SYSRES_CONST_HIGH_LVL_REQUISITE_CODE SYSRES_CONST_HISTORY_ACTION_CREATE_CODE SYSRES_CONST_HISTORY_ACTION_DELETE_CODE SYSRES_CONST_HISTORY_ACTION_EDIT_CODE SYSRES_CONST_HOUR_CHAR SYSRES_CONST_ID_REQUISITE_CODE SYSRES_CONST_IDSPS_REQUISITE_CODE SYSRES_CONST_IMAGE_MODE_COLOR SYSRES_CONST_IMAGE_MODE_GREYSCALE SYSRES_CONST_IMAGE_MODE_MONOCHROME SYSRES_CONST_IMPORTANCE_HIGH SYSRES_CONST_IMPORTANCE_LOW SYSRES_CONST_IMPORTANCE_NORMAL SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE SYSRES_CONST_INT_REQUISITE SYSRES_CONST_INT_REQUISITE_TYPE SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR SYSRES_CONST_INTEGER_TYPE_CHAR SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_JOB_BLOCK_DESCRIPTION SYSRES_CONST_JOB_KIND_CONTROL_JOB SYSRES_CONST_JOB_KIND_JOB SYSRES_CONST_JOB_KIND_NOTICE SYSRES_CONST_JOB_STATE_ABORTED SYSRES_CONST_JOB_STATE_COMPLETE SYSRES_CONST_JOB_STATE_WORKING SYSRES_CONST_KIND_REQUISITE_CODE SYSRES_CONST_KIND_REQUISITE_NAME SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE SYSRES_CONST_KOD_INPUT_TYPE SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT SYSRES_CONST_LINK_OBJECT_KIND_EDOC SYSRES_CONST_LINK_OBJECT_KIND_FOLDER SYSRES_CONST_LINK_OBJECT_KIND_JOB SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE SYSRES_CONST_LINK_OBJECT_KIND_TASK SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE SYSRES_CONST_LIST_REFERENCE_MODE_NAME SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE SYSRES_CONST_MAIN_VIEW_CODE SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE SYSRES_CONST_MAXIMIZED_MODE_NAME SYSRES_CONST_ME_VALUE SYSRES_CONST_MESSAGE_ATTENTION_CAPTION SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION SYSRES_CONST_MESSAGE_ERROR_CAPTION SYSRES_CONST_MESSAGE_INFORMATION_CAPTION SYSRES_CONST_MINIMIZED_MODE_NAME SYSRES_CONST_MINUTE_CHAR SYSRES_CONST_MODULE_REQUISITE_CODE SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION SYSRES_CONST_MONTH_FORMAT_VALUE SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_NAME_REQUISITE_CODE SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE SYSRES_CONST_NAMEAN_INPUT_TYPE SYSRES_CONST_NEGATIVE_PICK_VALUE SYSRES_CONST_NEGATIVE_VALUE SYSRES_CONST_NO SYSRES_CONST_NO_PICK_VALUE SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE SYSRES_CONST_NO_VALUE SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_NORMAL_MODE_NAME SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_NOTE_REQUISITE_CODE SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION SYSRES_CONST_NUM_REQUISITE SYSRES_CONST_NUM_STR_REQUISITE_CODE SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG SYSRES_CONST_NUMERATION_AUTO_STRONG SYSRES_CONST_NUMERATION_FROM_DICTONARY SYSRES_CONST_NUMERATION_MANUAL SYSRES_CONST_NUMERIC_TYPE_CHAR SYSRES_CONST_NUMREQ_REQUISITE_CODE SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_ORIGINALREF_REQUISITE_CODE SYSRES_CONST_OURFIRM_REF_CODE SYSRES_CONST_OURFIRM_REQUISITE_CODE SYSRES_CONST_OURFIRM_VAR SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE SYSRES_CONST_PICK_NEGATIVE_RESULT SYSRES_CONST_PICK_POSITIVE_RESULT SYSRES_CONST_PICK_REQUISITE SYSRES_CONST_PICK_REQUISITE_TYPE SYSRES_CONST_PICK_TYPE_CHAR SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE SYSRES_CONST_PLATFORM_VERSION_COMMENT SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_POSITIVE_PICK_VALUE SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE SYSRES_CONST_PRIORITY_REQUISITE_CODE SYSRES_CONST_QUALIFIED_TASK_TYPE SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE SYSRES_CONST_RECSTAT_REQUISITE_CODE SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_REF_REQUISITE SYSRES_CONST_REF_REQUISITE_TYPE SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE SYSRES_CONST_REFERENCE_TYPE_CHAR SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_MODE_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_EDIT_CODE SYSRES_CONST_REQ_MODE_HIDDEN_CODE SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_VIEW_CODE SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE SYSRES_CONST_REQ_SECTION_VALUE SYSRES_CONST_REQ_TYPE_VALUE SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME SYSRES_CONST_REQUISITE_FORMAT_LEFT SYSRES_CONST_REQUISITE_FORMAT_RIGHT SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_REQUISITE_SECTION_ACTIONS SYSRES_CONST_REQUISITE_SECTION_BUTTON SYSRES_CONST_REQUISITE_SECTION_BUTTONS SYSRES_CONST_REQUISITE_SECTION_CARD SYSRES_CONST_REQUISITE_SECTION_TABLE SYSRES_CONST_REQUISITE_SECTION_TABLE10 SYSRES_CONST_REQUISITE_SECTION_TABLE11 SYSRES_CONST_REQUISITE_SECTION_TABLE12 SYSRES_CONST_REQUISITE_SECTION_TABLE13 SYSRES_CONST_REQUISITE_SECTION_TABLE14 SYSRES_CONST_REQUISITE_SECTION_TABLE15 SYSRES_CONST_REQUISITE_SECTION_TABLE16 SYSRES_CONST_REQUISITE_SECTION_TABLE17 SYSRES_CONST_REQUISITE_SECTION_TABLE18 SYSRES_CONST_REQUISITE_SECTION_TABLE19 SYSRES_CONST_REQUISITE_SECTION_TABLE2 SYSRES_CONST_REQUISITE_SECTION_TABLE20 SYSRES_CONST_REQUISITE_SECTION_TABLE21 SYSRES_CONST_REQUISITE_SECTION_TABLE22 SYSRES_CONST_REQUISITE_SECTION_TABLE23 SYSRES_CONST_REQUISITE_SECTION_TABLE24 SYSRES_CONST_REQUISITE_SECTION_TABLE3 SYSRES_CONST_REQUISITE_SECTION_TABLE4 SYSRES_CONST_REQUISITE_SECTION_TABLE5 SYSRES_CONST_REQUISITE_SECTION_TABLE6 SYSRES_CONST_REQUISITE_SECTION_TABLE7 SYSRES_CONST_REQUISITE_SECTION_TABLE8 SYSRES_CONST_REQUISITE_SECTION_TABLE9 SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_RIGHT_ALIGNMENT_CODE SYSRES_CONST_ROLES_REFERENCE_CODE SYSRES_CONST_ROUTE_STEP_AFTER_RUS SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS SYSRES_CONST_ROUTE_TYPE_COMPLEX SYSRES_CONST_ROUTE_TYPE_PARALLEL SYSRES_CONST_ROUTE_TYPE_SERIAL SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE SYSRES_CONST_SEARCHES_COMPONENT_CONTENT SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME SYSRES_CONST_SEARCHES_EDOC_CONTENT SYSRES_CONST_SEARCHES_FOLDER_CONTENT SYSRES_CONST_SEARCHES_JOB_CONTENT SYSRES_CONST_SEARCHES_REFERENCE_CODE SYSRES_CONST_SEARCHES_TASK_CONTENT SYSRES_CONST_SECOND_CHAR SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE SYSRES_CONST_SECTION_REQUISITE_CODE SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE SYSRES_CONST_SELECT_REFERENCE_MODE_NAME SYSRES_CONST_SELECT_TYPE_SELECTABLE SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD SYSRES_CONST_SELECT_TYPE_UNSLECTABLE SYSRES_CONST_SERVER_TYPE_MAIN SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE SYSRES_CONST_STATE_REQ_NAME SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE SYSRES_CONST_STATE_REQUISITE_CODE SYSRES_CONST_STATIC_ROLE_TYPE_CODE SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE SYSRES_CONST_STATUS_VALUE_AUTOCLEANING SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE SYSRES_CONST_STATUS_VALUE_COMPLETE SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE SYSRES_CONST_STATUS_VALUE_RED_SQUARE SYSRES_CONST_STATUS_VALUE_SUSPEND SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE SYSRES_CONST_STORAGE_TYPE_FILE SYSRES_CONST_STORAGE_TYPE_SQL_SERVER SYSRES_CONST_STR_REQUISITE SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR SYSRES_CONST_STRING_REQUISITE_CODE SYSRES_CONST_STRING_REQUISITE_TYPE SYSRES_CONST_STRING_TYPE_CHAR SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE SYSRES_CONST_SYSTEM_VERSION_COMMENT SYSRES_CONST_TASK_ACCESS_TYPE_ALL SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD SYSRES_CONST_TASK_ENCODE_TYPE_NONE SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD SYSRES_CONST_TASK_ROUTE_ALL_CONDITION SYSRES_CONST_TASK_ROUTE_AND_CONDITION SYSRES_CONST_TASK_ROUTE_OR_CONDITION SYSRES_CONST_TASK_STATE_ABORTED SYSRES_CONST_TASK_STATE_COMPLETE SYSRES_CONST_TASK_STATE_CONTINUED SYSRES_CONST_TASK_STATE_CONTROL SYSRES_CONST_TASK_STATE_INIT SYSRES_CONST_TASK_STATE_WORKING SYSRES_CONST_TASK_TITLE SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE SYSRES_CONST_TASK_TYPES_REFERENCE_CODE SYSRES_CONST_TEMPLATES_REFERENCE_CODE SYSRES_CONST_TEST_DATE_REQUISITE_NAME SYSRES_CONST_TEST_DEV_DATABASE_NAME SYSRES_CONST_TEST_DEV_SYSTEM_CODE SYSRES_CONST_TEST_EDMS_DATABASE_NAME SYSRES_CONST_TEST_EDMS_MAIN_CODE SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME SYSRES_CONST_TEST_EDMS_SECOND_CODE SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME SYSRES_CONST_TEST_EDMS_SYSTEM_CODE SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME SYSRES_CONST_TEXT_REQUISITE SYSRES_CONST_TEXT_REQUISITE_CODE SYSRES_CONST_TEXT_REQUISITE_TYPE SYSRES_CONST_TEXT_TYPE_CHAR SYSRES_CONST_TYPE_CODE_REQUISITE_CODE SYSRES_CONST_TYPE_REQUISITE_CODE SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME SYSRES_CONST_USE_ACCESS_TYPE_CODE SYSRES_CONST_USE_ACCESS_TYPE_NAME SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE SYSRES_CONST_USER_CATEGORY_NORMAL SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE SYSRES_CONST_USER_COMMON_CATEGORY SYSRES_CONST_USER_COMMON_CATEGORY_CODE SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_USER_LOGIN_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_USER_SERVICE_CATEGORY SYSRES_CONST_USER_SERVICE_CATEGORY_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME SYSRES_CONST_USER_STATUS_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_DEVELOPER_NAME SYSRES_CONST_USER_STATUS_DISABLED_CODE SYSRES_CONST_USER_STATUS_DISABLED_NAME SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_USER_CODE SYSRES_CONST_USER_STATUS_USER_NAME SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER SYSRES_CONST_USER_TYPE_REQUISITE_CODE SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE SYSRES_CONST_USERS_REFERENCE_CODE SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME SYSRES_CONST_USERS_REQUISITE_CODE SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME SYSRES_CONST_VIEW_DEFAULT_CODE SYSRES_CONST_VIEW_DEFAULT_NAME SYSRES_CONST_VIEWER_REQUISITE_CODE SYSRES_CONST_WAITING_BLOCK_DESCRIPTION SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT SYSRES_CONST_XML_ENCODING SYSRES_CONST_XREC_STAT_REQUISITE_CODE SYSRES_CONST_XRECID_FIELD_NAME SYSRES_CONST_YES SYSRES_CONST_YES_NO_2_REQUISITE_CODE SYSRES_CONST_YES_NO_REQUISITE_CODE SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_YES_PICK_VALUE SYSRES_CONST_YES_VALUE CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION JOB_BLOCK_ABORT_DEADLINE_PROPERTY JOB_BLOCK_AFTER_FINISH_EVENT JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT JOB_BLOCK_ATTACHMENT_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT JOB_BLOCK_BEFORE_START_EVENT JOB_BLOCK_CREATED_JOBS_PROPERTY JOB_BLOCK_DEADLINE_PROPERTY JOB_BLOCK_EXECUTION_RESULTS_PROPERTY JOB_BLOCK_IS_PARALLEL_PROPERTY JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY JOB_BLOCK_JOB_TEXT_PROPERTY JOB_BLOCK_NAME_PROPERTY JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY JOB_BLOCK_PERFORMER_PROPERTY JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY JOB_BLOCK_SUBJECT_PROPERTY ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE smHidden smMaximized smMinimized smNormal wmNo wmYes COMPONENT_TOKEN_LINK_KIND DOCUMENT_LINK_KIND EDOCUMENT_LINK_KIND FOLDER_LINK_KIND JOB_LINK_KIND REFERENCE_LINK_KIND TASK_LINK_KIND COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE MONITOR_BLOCK_AFTER_FINISH_EVENT MONITOR_BLOCK_BEFORE_START_EVENT MONITOR_BLOCK_DEADLINE_PROPERTY MONITOR_BLOCK_INTERVAL_PROPERTY MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY MONITOR_BLOCK_NAME_PROPERTY MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY NOTICE_BLOCK_AFTER_FINISH_EVENT NOTICE_BLOCK_ATTACHMENT_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY NOTICE_BLOCK_BEFORE_START_EVENT NOTICE_BLOCK_CREATED_NOTICES_PROPERTY NOTICE_BLOCK_DEADLINE_PROPERTY NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY NOTICE_BLOCK_NAME_PROPERTY NOTICE_BLOCK_NOTICE_TEXT_PROPERTY NOTICE_BLOCK_PERFORMER_PROPERTY NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY NOTICE_BLOCK_SUBJECT_PROPERTY dseAfterCancel dseAfterClose dseAfterDelete dseAfterDeleteOutOfTransaction dseAfterInsert dseAfterOpen dseAfterScroll dseAfterUpdate dseAfterUpdateOutOfTransaction dseBeforeCancel dseBeforeClose dseBeforeDelete dseBeforeDetailUpdate dseBeforeInsert dseBeforeOpen dseBeforeUpdate dseOnAnyRequisiteChange dseOnCloseRecord dseOnDeleteError dseOnOpenRecord dseOnPrepareUpdate dseOnUpdateError dseOnUpdateRatifiedRecord dseOnValidDelete dseOnValidUpdate reOnChange reOnChangeValues SELECTION_BEGIN_ROUTE_EVENT SELECTION_END_ROUTE_EVENT CURRENT_PERIOD_IS_REQUIRED PREVIOUS_CARD_TYPE_NAME SHOW_RECORD_PROPERTIES_FORM ACCESS_RIGHTS_SETTING_DIALOG_CODE ADMINISTRATOR_USER_CODE ANALYTIC_REPORT_TYPE asrtHideLocal asrtHideRemote CALCULATED_ROLE_TYPE_CODE COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE DCTS_TEST_PROTOCOLS_FOLDER_PATH E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER E_EDOC_VERSION_ALREDY_SIGNED E_EDOC_VERSION_ALREDY_SIGNED_BY_USER EDOC_TYPES_CODE_REQUISITE_FIELD_NAME EDOCUMENTS_ALIAS_NAME FILES_FOLDER_PATH FILTER_OPERANDS_DELIMITER FILTER_OPERATIONS_DELIMITER FORMCARD_NAME FORMLIST_NAME GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE INTEGRATED_REPORT_TYPE IS_BUILDER_APPLICATION_ROLE IS_BUILDER_APPLICATION_ROLE2 IS_BUILDER_USERS ISBSYSDEV LOG_FOLDER_PATH mbCancel mbNo mbNoToAll mbOK mbYes mbYesToAll MEMORY_DATASET_DESRIPTIONS_FILENAME mrNo mrNoToAll mrYes mrYesToAll MULTIPLE_SELECT_DIALOG_CODE NONOPERATING_RECORD_FLAG_FEMININE NONOPERATING_RECORD_FLAG_MASCULINE OPERATING_RECORD_FLAG_FEMININE OPERATING_RECORD_FLAG_MASCULINE PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE PROGRAM_INITIATED_LOOKUP_ACTION ratDelete ratEdit ratInsert REPORT_TYPE REQUIRED_PICK_VALUES_VARIABLE rmCard rmList SBRTE_PROGID_DEV SBRTE_PROGID_RELEASE STATIC_ROLE_TYPE_CODE SUPPRESS_EMPTY_TEMPLATE_CREATION SYSTEM_USER_CODE UPDATE_DIALOG_DATASET USED_IN_OBJECT_HINT_PARAM USER_INITIATED_LOOKUP_ACTION USER_NAME_FORMAT USER_SELECTION_RESTRICTIONS WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH ELS_SUBTYPE_CONTROL_NAME ELS_FOLDER_KIND_CONTROL_NAME REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME PRIVILEGE_COMPONENT_FULL_ACCESS PRIVILEGE_DEVELOPMENT_EXPORT PRIVILEGE_DEVELOPMENT_IMPORT PRIVILEGE_DOCUMENT_DELETE PRIVILEGE_ESD PRIVILEGE_FOLDER_DELETE PRIVILEGE_MANAGE_ACCESS_RIGHTS PRIVILEGE_MANAGE_REPLICATION PRIVILEGE_MANAGE_SESSION_SERVER PRIVILEGE_OBJECT_FULL_ACCESS PRIVILEGE_OBJECT_VIEW PRIVILEGE_RESERVE_LICENSE PRIVILEGE_SYSTEM_CUSTOMIZE PRIVILEGE_SYSTEM_DEVELOP PRIVILEGE_SYSTEM_INSTALL PRIVILEGE_TASK_DELETE PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE PRIVILEGES_PSEUDOREFERENCE_CODE ACCESS_TYPES_PSEUDOREFERENCE_CODE ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE COMPONENTS_PSEUDOREFERENCE_CODE FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE GROUPS_PSEUDOREFERENCE_CODE RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE REFTYPES_PSEUDOREFERENCE_CODE REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE SEND_PROTOCOL_PSEUDOREFERENCE_CODE SUBSTITUTES_PSEUDOREFERENCE_CODE SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE UNITS_PSEUDOREFERENCE_CODE USERS_PSEUDOREFERENCE_CODE VIEWERS_PSEUDOREFERENCE_CODE CERTIFICATE_TYPE_ENCRYPT CERTIFICATE_TYPE_SIGN CERTIFICATE_TYPE_SIGN_AND_ENCRYPT STORAGE_TYPE_FILE STORAGE_TYPE_NAS_CIFS STORAGE_TYPE_SAPERION STORAGE_TYPE_SQL_SERVER COMPTYPE2_REQUISITE_DOCUMENTS_VALUE COMPTYPE2_REQUISITE_TASKS_VALUE COMPTYPE2_REQUISITE_FOLDERS_VALUE COMPTYPE2_REQUISITE_REFERENCES_VALUE SYSREQ_CODE SYSREQ_COMPTYPE2 SYSREQ_CONST_AVAILABLE_FOR_WEB SYSREQ_CONST_COMMON_CODE SYSREQ_CONST_COMMON_VALUE SYSREQ_CONST_FIRM_CODE SYSREQ_CONST_FIRM_STATUS SYSREQ_CONST_FIRM_VALUE SYSREQ_CONST_SERVER_STATUS SYSREQ_CONTENTS SYSREQ_DATE_OPEN SYSREQ_DATE_CLOSE SYSREQ_DESCRIPTION SYSREQ_DESCRIPTION_LOCALIZE_ID SYSREQ_DOUBLE SYSREQ_EDOC_ACCESS_TYPE SYSREQ_EDOC_AUTHOR SYSREQ_EDOC_CREATED SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE SYSREQ_EDOC_EDITOR SYSREQ_EDOC_ENCODE_TYPE SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_EXPORT_DATE SYSREQ_EDOC_EXPORTER SYSREQ_EDOC_KIND SYSREQ_EDOC_LIFE_STAGE_NAME SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE SYSREQ_EDOC_MODIFIED SYSREQ_EDOC_NAME SYSREQ_EDOC_NOTE SYSREQ_EDOC_QUALIFIED_ID SYSREQ_EDOC_SESSION_KEY SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_SIGNATURE_TYPE SYSREQ_EDOC_SIGNED SYSREQ_EDOC_STORAGE SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE SYSREQ_EDOC_STORAGES_CHECK_RIGHTS SYSREQ_EDOC_STORAGES_COMPUTER_NAME SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE SYSREQ_EDOC_STORAGES_FUNCTION SYSREQ_EDOC_STORAGES_INITIALIZED SYSREQ_EDOC_STORAGES_LOCAL_PATH SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT SYSREQ_EDOC_STORAGES_SERVER_NAME SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME SYSREQ_EDOC_STORAGES_TYPE SYSREQ_EDOC_TEXT_MODIFIED SYSREQ_EDOC_TYPE_ACT_CODE SYSREQ_EDOC_TYPE_ACT_DESCRIPTION SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_EDOC_TYPE_ACT_SECTION SYSREQ_EDOC_TYPE_ADD_PARAMS SYSREQ_EDOC_TYPE_COMMENT SYSREQ_EDOC_TYPE_EVENT_TEXT SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID SYSREQ_EDOC_TYPE_NUMERATION_METHOD SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE SYSREQ_EDOC_TYPE_REQ_CODE SYSREQ_EDOC_TYPE_REQ_DESCRIPTION SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_REQ_IS_LEADING SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED SYSREQ_EDOC_TYPE_REQ_NUMBER SYSREQ_EDOC_TYPE_REQ_ON_CHANGE SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_EDOC_TYPE_REQ_ON_SELECT SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND SYSREQ_EDOC_TYPE_REQ_SECTION SYSREQ_EDOC_TYPE_VIEW_CARD SYSREQ_EDOC_TYPE_VIEW_CODE SYSREQ_EDOC_TYPE_VIEW_COMMENT SYSREQ_EDOC_TYPE_VIEW_IS_MAIN SYSREQ_EDOC_TYPE_VIEW_NAME SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_EDOC_VERSION_AUTHOR SYSREQ_EDOC_VERSION_CRC SYSREQ_EDOC_VERSION_DATA SYSREQ_EDOC_VERSION_EDITOR SYSREQ_EDOC_VERSION_EXPORT_DATE SYSREQ_EDOC_VERSION_EXPORTER SYSREQ_EDOC_VERSION_HIDDEN SYSREQ_EDOC_VERSION_LIFE_STAGE SYSREQ_EDOC_VERSION_MODIFIED SYSREQ_EDOC_VERSION_NOTE SYSREQ_EDOC_VERSION_SIGNATURE_TYPE SYSREQ_EDOC_VERSION_SIGNED SYSREQ_EDOC_VERSION_SIZE SYSREQ_EDOC_VERSION_SOURCE SYSREQ_EDOC_VERSION_TEXT_MODIFIED SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE SYSREQ_FOLDER_KIND SYSREQ_FUNC_CATEGORY SYSREQ_FUNC_COMMENT SYSREQ_FUNC_GROUP SYSREQ_FUNC_GROUP_COMMENT SYSREQ_FUNC_GROUP_NUMBER SYSREQ_FUNC_HELP SYSREQ_FUNC_PARAM_DEF_VALUE SYSREQ_FUNC_PARAM_IDENT SYSREQ_FUNC_PARAM_NUMBER SYSREQ_FUNC_PARAM_TYPE SYSREQ_FUNC_TEXT SYSREQ_GROUP_CATEGORY SYSREQ_ID SYSREQ_LAST_UPDATE SYSREQ_LEADER_REFERENCE SYSREQ_LINE_NUMBER SYSREQ_MAIN_RECORD_ID SYSREQ_NAME SYSREQ_NAME_LOCALIZE_ID SYSREQ_NOTE SYSREQ_ORIGINAL_RECORD SYSREQ_OUR_FIRM SYSREQ_PROFILING_SETTINGS_BATCH_LOGING SYSREQ_PROFILING_SETTINGS_BATCH_SIZE SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_START_LOGGED SYSREQ_RECORD_STATUS SYSREQ_REF_REQ_FIELD_NAME SYSREQ_REF_REQ_FORMAT SYSREQ_REF_REQ_GENERATED SYSREQ_REF_REQ_LENGTH SYSREQ_REF_REQ_PRECISION SYSREQ_REF_REQ_REFERENCE SYSREQ_REF_REQ_SECTION SYSREQ_REF_REQ_STORED SYSREQ_REF_REQ_TOKENS SYSREQ_REF_REQ_TYPE SYSREQ_REF_REQ_VIEW SYSREQ_REF_TYPE_ACT_CODE SYSREQ_REF_TYPE_ACT_DESCRIPTION SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_ACT_ON_EXECUTE SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_REF_TYPE_ACT_SECTION SYSREQ_REF_TYPE_ADD_PARAMS SYSREQ_REF_TYPE_COMMENT SYSREQ_REF_TYPE_COMMON_SETTINGS SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME SYSREQ_REF_TYPE_EVENT_TEXT SYSREQ_REF_TYPE_MAIN_LEADING_REF SYSREQ_REF_TYPE_NAME_IN_SINGULAR SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_REF_TYPE_NAME_LOCALIZE_ID SYSREQ_REF_TYPE_NUMERATION_METHOD SYSREQ_REF_TYPE_REQ_CODE SYSREQ_REF_TYPE_REQ_DESCRIPTION SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_REQ_IS_CONTROL SYSREQ_REF_TYPE_REQ_IS_FILTER SYSREQ_REF_TYPE_REQ_IS_LEADING SYSREQ_REF_TYPE_REQ_IS_REQUIRED SYSREQ_REF_TYPE_REQ_NUMBER SYSREQ_REF_TYPE_REQ_ON_CHANGE SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_REF_TYPE_REQ_ON_SELECT SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND SYSREQ_REF_TYPE_REQ_SECTION SYSREQ_REF_TYPE_VIEW_CARD SYSREQ_REF_TYPE_VIEW_CODE SYSREQ_REF_TYPE_VIEW_COMMENT SYSREQ_REF_TYPE_VIEW_IS_MAIN SYSREQ_REF_TYPE_VIEW_NAME SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_REFERENCE_TYPE_ID SYSREQ_STATE SYSREQ_STATЕ SYSREQ_SYSTEM_SETTINGS_VALUE SYSREQ_TYPE SYSREQ_UNIT SYSREQ_UNIT_ID SYSREQ_USER_GROUPS_GROUP_FULL_NAME SYSREQ_USER_GROUPS_GROUP_NAME SYSREQ_USER_GROUPS_GROUP_SERVER_NAME SYSREQ_USERS_ACCESS_RIGHTS SYSREQ_USERS_AUTHENTICATION SYSREQ_USERS_CATEGORY SYSREQ_USERS_COMPONENT SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC SYSREQ_USERS_DOMAIN SYSREQ_USERS_FULL_USER_NAME SYSREQ_USERS_GROUP SYSREQ_USERS_IS_MAIN_SERVER SYSREQ_USERS_LOGIN SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC SYSREQ_USERS_STATUS SYSREQ_USERS_USER_CERTIFICATE SYSREQ_USERS_USER_CERTIFICATE_INFO SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION SYSREQ_USERS_USER_CERTIFICATE_STATE SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT SYSREQ_USERS_USER_DEFAULT_CERTIFICATE SYSREQ_USERS_USER_DESCRIPTION SYSREQ_USERS_USER_GLOBAL_NAME SYSREQ_USERS_USER_LOGIN SYSREQ_USERS_USER_MAIN_SERVER SYSREQ_USERS_USER_TYPE SYSREQ_WORK_RULES_FOLDER_ID RESULT_VAR_NAME RESULT_VAR_NAME_ENG AUTO_NUMERATION_RULE_ID CANT_CHANGE_ID_REQUISITE_RULE_ID CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID CHECK_CODE_REQUISITE_RULE_ID CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID CHECK_FILTRATER_CHANGES_RULE_ID CHECK_RECORD_INTERVAL_RULE_ID CHECK_REFERENCE_INTERVAL_RULE_ID CHECK_REQUIRED_DATA_FULLNESS_RULE_ID CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID MAKE_RECORD_UNRATIFIED_RULE_ID RESTORE_AUTO_NUMERATION_RULE_ID SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID SET_IDSPS_VALUE_RULE_ID SET_NEXT_CODE_VALUE_RULE_ID SET_OURFIRM_BOUNDS_RULE_ID SET_OURFIRM_REQUISITE_RULE_ID SCRIPT_BLOCK_AFTER_FINISH_EVENT SCRIPT_BLOCK_BEFORE_START_EVENT SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY SCRIPT_BLOCK_NAME_PROPERTY SCRIPT_BLOCK_SCRIPT_PROPERTY SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_AFTER_FINISH_EVENT SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT SUBTASK_BLOCK_ATTACHMENTS_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY SUBTASK_BLOCK_BEFORE_START_EVENT SUBTASK_BLOCK_CREATED_TASK_PROPERTY SUBTASK_BLOCK_CREATION_EVENT SUBTASK_BLOCK_DEADLINE_PROPERTY SUBTASK_BLOCK_IMPORTANCE_PROPERTY SUBTASK_BLOCK_INITIATOR_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY SUBTASK_BLOCK_JOBS_TYPE_PROPERTY SUBTASK_BLOCK_NAME_PROPERTY SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY SUBTASK_BLOCK_PERFORMERS_PROPERTY SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_START_EVENT SUBTASK_BLOCK_STEP_CONTROL_PROPERTY SUBTASK_BLOCK_SUBJECT_PROPERTY SUBTASK_BLOCK_TASK_CONTROL_PROPERTY SUBTASK_BLOCK_TEXT_PROPERTY SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY SYSCOMP_CONTROL_JOBS SYSCOMP_FOLDERS SYSCOMP_JOBS SYSCOMP_NOTICES SYSCOMP_TASKS SYSDLG_CREATE_EDOCUMENT SYSDLG_CREATE_EDOCUMENT_VERSION SYSDLG_CURRENT_PERIOD SYSDLG_EDIT_FUNCTION_HELP SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS SYSDLG_EXPORT_SINGLE_EDOCUMENT SYSDLG_IMPORT_EDOCUMENT SYSDLG_MULTIPLE_SELECT SYSDLG_SETUP_ACCESS_RIGHTS SYSDLG_SETUP_DEFAULT_RIGHTS SYSDLG_SETUP_FILTER_CONDITION SYSDLG_SETUP_SIGN_RIGHTS SYSDLG_SETUP_TASK_OBSERVERS SYSDLG_SETUP_TASK_ROUTE SYSDLG_SETUP_USERS_LIST SYSDLG_SIGN_EDOCUMENT SYSDLG_SIGN_MULTIPLE_EDOCUMENTS SYSREF_ACCESS_RIGHTS_TYPES SYSREF_ADMINISTRATION_HISTORY SYSREF_ALL_AVAILABLE_COMPONENTS SYSREF_ALL_AVAILABLE_PRIVILEGES SYSREF_ALL_REPLICATING_COMPONENTS SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS SYSREF_CALENDAR_EVENTS SYSREF_COMPONENT_TOKEN_HISTORY SYSREF_COMPONENT_TOKENS SYSREF_COMPONENTS SYSREF_CONSTANTS SYSREF_DATA_RECEIVE_PROTOCOL SYSREF_DATA_SEND_PROTOCOL SYSREF_DIALOGS SYSREF_DIALOGS_REQUISITES SYSREF_EDITORS SYSREF_EDOC_CARDS SYSREF_EDOC_TYPES SYSREF_EDOCUMENT_CARD_REQUISITES SYSREF_EDOCUMENT_CARD_TYPES SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE SYSREF_EDOCUMENT_CARDS SYSREF_EDOCUMENT_HISTORY SYSREF_EDOCUMENT_KINDS SYSREF_EDOCUMENT_REQUISITES SYSREF_EDOCUMENT_SIGNATURES SYSREF_EDOCUMENT_TEMPLATES SYSREF_EDOCUMENT_TEXT_STORAGES SYSREF_EDOCUMENT_VIEWS SYSREF_FILTERER_SETUP_CONFLICTS SYSREF_FILTRATER_SETTING_CONFLICTS SYSREF_FOLDER_HISTORY SYSREF_FOLDERS SYSREF_FUNCTION_GROUPS SYSREF_FUNCTION_PARAMS SYSREF_FUNCTIONS SYSREF_JOB_HISTORY SYSREF_LINKS SYSREF_LOCALIZATION_DICTIONARY SYSREF_LOCALIZATION_LANGUAGES SYSREF_MODULES SYSREF_PRIVILEGES SYSREF_RECORD_HISTORY SYSREF_REFERENCE_REQUISITES SYSREF_REFERENCE_TYPE_VIEWS SYSREF_REFERENCE_TYPES SYSREF_REFERENCES SYSREF_REFERENCES_REQUISITES SYSREF_REMOTE_SERVERS SYSREF_REPLICATION_SESSIONS_LOG SYSREF_REPLICATION_SESSIONS_PROTOCOL SYSREF_REPORTS SYSREF_ROLES SYSREF_ROUTE_BLOCK_GROUPS SYSREF_ROUTE_BLOCKS SYSREF_SCRIPTS SYSREF_SEARCHES SYSREF_SERVER_EVENTS SYSREF_SERVER_EVENTS_HISTORY SYSREF_STANDARD_ROUTE_GROUPS SYSREF_STANDARD_ROUTES SYSREF_STATUSES SYSREF_SYSTEM_SETTINGS SYSREF_TASK_HISTORY SYSREF_TASK_KIND_GROUPS SYSREF_TASK_KINDS SYSREF_TASK_RIGHTS SYSREF_TASK_SIGNATURES SYSREF_TASKS SYSREF_UNITS SYSREF_USER_GROUPS SYSREF_USER_GROUPS_REFERENCE SYSREF_USER_SUBSTITUTION SYSREF_USERS SYSREF_USERS_REFERENCE SYSREF_VIEWERS SYSREF_WORKING_TIME_CALENDARS ACCESS_RIGHTS_TABLE_NAME EDMS_ACCESS_TABLE_NAME EDOC_TYPES_TABLE_NAME TEST_DEV_DB_NAME TEST_DEV_SYSTEM_CODE TEST_EDMS_DB_NAME TEST_EDMS_MAIN_CODE TEST_EDMS_MAIN_DB_NAME TEST_EDMS_SECOND_CODE TEST_EDMS_SECOND_DB_NAME TEST_EDMS_SYSTEM_CODE TEST_ISB5_MAIN_CODE TEST_ISB5_SECOND_CODE TEST_SQL_SERVER_2005_NAME TEST_SQL_SERVER_NAME ATTENTION_CAPTION cbsCommandLinks cbsDefault CONFIRMATION_CAPTION ERROR_CAPTION INFORMATION_CAPTION mrCancel mrOk EDOC_VERSION_ACTIVE_STAGE_CODE EDOC_VERSION_DESIGN_STAGE_CODE EDOC_VERSION_OBSOLETE_STAGE_CODE cpDataEnciphermentEnabled cpDigitalSignatureEnabled cpID cpIssuer cpPluginVersion cpSerial cpSubjectName cpSubjSimpleName cpValidFromDate cpValidToDate ISBL_SYNTAX NO_SYNTAX XML_SYNTAX WAIT_BLOCK_AFTER_FINISH_EVENT WAIT_BLOCK_BEFORE_START_EVENT WAIT_BLOCK_DEADLINE_PROPERTY WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY WAIT_BLOCK_NAME_PROPERTY WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SYSRES_COMMON SYSRES_CONST SYSRES_MBFUNC SYSRES_SBDATA SYSRES_SBGUI SYSRES_SBINTF SYSRES_SBREFDSC SYSRES_SQLERRORS SYSRES_SYSCOMP atUser atGroup atRole aemEnabledAlways aemDisabledAlways aemEnabledOnBrowse aemEnabledOnEdit aemDisabledOnBrowseEmpty apBegin apEnd alLeft alRight asmNever asmNoButCustomize asmAsLastTime asmYesButCustomize asmAlways cirCommon cirRevoked ctSignature ctEncode ctSignatureEncode clbUnchecked clbChecked clbGrayed ceISB ceAlways ceNever ctDocument ctReference ctScript ctUnknown ctReport ctDialog ctFunction ctFolder ctEDocument ctTask ctJob ctNotice ctControlJob cfInternal cfDisplay ciUnspecified ciWrite ciRead ckFolder ckEDocument ckTask ckJob ckComponentToken ckAny ckReference ckScript ckReport ckDialog ctISBLEditor ctBevel ctButton ctCheckListBox ctComboBox ctComboEdit ctGrid ctDBCheckBox ctDBComboBox ctDBEdit ctDBEllipsis ctDBMemo ctDBNavigator ctDBRadioGroup ctDBStatusLabel ctEdit ctGroupBox ctInplaceHint ctMemo ctPanel ctListBox ctRadioButton ctRichEdit ctTabSheet ctWebBrowser ctImage ctHyperLink ctLabel ctDBMultiEllipsis ctRibbon ctRichView ctInnerPanel ctPanelGroup ctBitButton cctDate cctInteger cctNumeric cctPick cctReference cctString cctText cltInternal cltPrimary cltGUI dseBeforeOpen dseAfterOpen dseBeforeClose dseAfterClose dseOnValidDelete dseBeforeDelete dseAfterDelete dseAfterDeleteOutOfTransaction dseOnDeleteError dseBeforeInsert dseAfterInsert dseOnValidUpdate dseBeforeUpdate dseOnUpdateRatifiedRecord dseAfterUpdate dseAfterUpdateOutOfTransaction dseOnUpdateError dseAfterScroll dseOnOpenRecord dseOnCloseRecord dseBeforeCancel dseAfterCancel dseOnUpdateDeadlockError dseBeforeDetailUpdate dseOnPrepareUpdate dseOnAnyRequisiteChange dssEdit dssInsert dssBrowse dssInActive dftDate dftShortDate dftDateTime dftTimeStamp dotDays dotHours dotMinutes dotSeconds dtkndLocal dtkndUTC arNone arView arEdit arFull ddaView ddaEdit emLock emEdit emSign emExportWithLock emImportWithUnlock emChangeVersionNote emOpenForModify emChangeLifeStage emDelete emCreateVersion emImport emUnlockExportedWithLock emStart emAbort emReInit emMarkAsReaded emMarkAsUnreaded emPerform emAccept emResume emChangeRights emEditRoute emEditObserver emRecoveryFromLocalCopy emChangeWorkAccessType emChangeEncodeTypeToCertificate emChangeEncodeTypeToPassword emChangeEncodeTypeToNone emChangeEncodeTypeToCertificatePassword emChangeStandardRoute emGetText emOpenForView emMoveToStorage emCreateObject emChangeVersionHidden emDeleteVersion emChangeLifeCycleStage emApprovingSign emExport emContinue emLockFromEdit emUnLockForEdit emLockForServer emUnlockFromServer emDelegateAccessRights emReEncode ecotFile ecotProcess eaGet eaCopy eaCreate eaCreateStandardRoute edltAll edltNothing edltQuery essmText essmCard esvtLast esvtLastActive esvtSpecified edsfExecutive edsfArchive edstSQLServer edstFile edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile vsDefault vsDesign vsActive vsObsolete etNone etCertificate etPassword etCertificatePassword ecException ecWarning ecInformation estAll estApprovingOnly evtLast evtLastActive evtQuery fdtString fdtNumeric fdtInteger fdtDate fdtText fdtUnknown fdtWideString fdtLargeInteger ftInbox ftOutbox ftFavorites ftCommonFolder ftUserFolder ftComponents ftQuickLaunch ftShortcuts ftSearch grhAuto grhX1 grhX2 grhX3 hltText hltRTF hltHTML iffBMP iffJPEG iffMultiPageTIFF iffSinglePageTIFF iffTIFF iffPNG im8bGrayscale im24bRGB im1bMonochrome itBMP itJPEG itWMF itPNG ikhInformation ikhWarning ikhError ikhNoIcon icUnknown icScript icFunction icIntegratedReport icAnalyticReport icDataSetEventHandler icActionHandler icFormEventHandler icLookUpEventHandler icRequisiteChangeEventHandler icBeforeSearchEventHandler icRoleCalculation icSelectRouteEventHandler icBlockPropertyCalculation icBlockQueryParamsEventHandler icChangeSearchResultEventHandler icBlockEventHandler icSubTaskInitEventHandler icEDocDataSetEventHandler icEDocLookUpEventHandler icEDocActionHandler icEDocFormEventHandler icEDocRequisiteChangeEventHandler icStructuredConversionRule icStructuredConversionEventBefore icStructuredConversionEventAfter icWizardEventHandler icWizardFinishEventHandler icWizardStepEventHandler icWizardStepFinishEventHandler icWizardActionEnableEventHandler icWizardActionExecuteEventHandler icCreateJobsHandler icCreateNoticesHandler icBeforeLookUpEventHandler icAfterLookUpEventHandler icTaskAbortEventHandler icWorkflowBlockActionHandler icDialogDataSetEventHandler icDialogActionHandler icDialogLookUpEventHandler icDialogRequisiteChangeEventHandler icDialogFormEventHandler icDialogValidCloseEventHandler icBlockFormEventHandler icTaskFormEventHandler icReferenceMethod icEDocMethod icDialogMethod icProcessMessageHandler isShow isHide isByUserSettings jkJob jkNotice jkControlJob jtInner jtLeft jtRight jtFull jtCross lbpAbove lbpBelow lbpLeft lbpRight eltPerConnection eltPerUser sfcUndefined sfcBlack sfcGreen sfcRed sfcBlue sfcOrange sfcLilac sfsItalic sfsStrikeout sfsNormal ldctStandardRoute ldctWizard ldctScript ldctFunction ldctRouteBlock ldctIntegratedReport ldctAnalyticReport ldctReferenceType ldctEDocumentType ldctDialog ldctServerEvents mrcrtNone mrcrtUser mrcrtMaximal mrcrtCustom vtEqual vtGreaterOrEqual vtLessOrEqual vtRange rdYesterday rdToday rdTomorrow rdThisWeek rdThisMonth rdThisYear rdNextMonth rdNextWeek rdLastWeek rdLastMonth rdWindow rdFile rdPrinter rdtString rdtNumeric rdtInteger rdtDate rdtReference rdtAccount rdtText rdtPick rdtUnknown rdtLargeInteger rdtDocument reOnChange reOnChangeValues ttGlobal ttLocal ttUser ttSystem ssmBrowse ssmSelect ssmMultiSelect ssmBrowseModal smSelect smLike smCard stNone stAuthenticating stApproving sctString sctStream sstAnsiSort sstNaturalSort svtEqual svtContain soatString soatNumeric soatInteger soatDatetime soatReferenceRecord soatText soatPick soatBoolean soatEDocument soatAccount soatIntegerCollection soatNumericCollection soatStringCollection soatPickCollection soatDatetimeCollection soatBooleanCollection soatReferenceRecordCollection soatEDocumentCollection soatAccountCollection soatContents soatUnknown tarAbortByUser tarAbortByWorkflowException tvtAllWords tvtExactPhrase tvtAnyWord usNone usCompleted usRedSquare usBlueSquare usYellowSquare usGreenSquare usOrangeSquare usPurpleSquare usFollowUp utUnknown utUser utDeveloper utAdministrator utSystemDeveloper utDisconnected btAnd btDetailAnd btOr btNotOr btOnly vmView vmSelect vmNavigation vsmSingle vsmMultiple vsmMultipleCheck vsmNoSelection wfatPrevious wfatNext wfatCancel wfatFinish wfepUndefined wfepText3 wfepText6 wfepText9 wfepSpinEdit wfepDropDown wfepRadioGroup wfepFlag wfepText12 wfepText15 wfepText18 wfepText21 wfepText24 wfepText27 wfepText30 wfepRadioGroupColumn1 wfepRadioGroupColumn2 wfepRadioGroupColumn3 wfetQueryParameter wfetText wfetDelimiter wfetLabel wptString wptInteger wptNumeric wptBoolean wptDateTime wptPick wptText wptUser wptUserList wptEDocumentInfo wptEDocumentInfoList wptReferenceRecordInfo wptReferenceRecordInfoList wptFolderInfo wptTaskInfo wptContents wptFileName wptDate wsrComplete wsrGoNext wsrGoPrevious wsrCustom wsrCancel wsrGoFinal wstForm wstEDocument wstTaskCard wstReferenceRecordCard wstFinal waAll waPerformers waManual wsbStart wsbFinish wsbNotice wsbStep wsbDecision wsbWait wsbMonitor wsbScript wsbConnector wsbSubTask wsbLifeCycleStage wsbPause wdtInteger wdtFloat wdtString wdtPick wdtDateTime wdtBoolean wdtTask wdtJob wdtFolder wdtEDocument wdtReferenceRecord wdtUser wdtGroup wdtRole wdtIntegerCollection wdtFloatCollection wdtStringCollection wdtPickCollection wdtDateTimeCollection wdtBooleanCollection wdtTaskCollection wdtJobCollection wdtFolderCollection wdtEDocumentCollection wdtReferenceRecordCollection wdtUserCollection wdtGroupCollection wdtRoleCollection wdtContents wdtUserList wdtSearchDescription wdtDeadLine wdtPickSet wdtAccountCollection wiLow wiNormal wiHigh wrtSoft wrtHard wsInit wsRunning wsDone wsControlled wsAborted wsContinued wtmFull wtmFromCurrent wtmOnlyCurrent ",class:"AltState Application CallType ComponentTokens CreatedJobs CreatedNotices ControlState DialogResult Dialogs EDocuments EDocumentVersionSource Folders GlobalIDs Job Jobs InputValue LookUpReference LookUpRequisiteNames LookUpSearch Object ParentComponent Processes References Requisite ReportName Reports Result Scripts Searches SelectedAttachments SelectedItems SelectMode Sender ServerEvents ServiceFactory ShiftState SubTask SystemDialogs Tasks Wizard Wizards Work ВызовСпособ ИмяОтчета РеквЗнач ",literal:"null true false nil "},I={begin:"\\.\\s*"+S.UNDERSCORE_IDENT_RE,keywords:C,relevance:0},N={className:"type",begin:":[ \\t]*("+"IApplication IAccessRights IAccountRepository IAccountSelectionRestrictions IAction IActionList IAdministrationHistoryDescription IAnchors IApplication IArchiveInfo IAttachment IAttachmentList ICheckListBox ICheckPointedList IColumn IComponent IComponentDescription IComponentToken IComponentTokenFactory IComponentTokenInfo ICompRecordInfo IConnection IContents IControl IControlJob IControlJobInfo IControlList ICrypto ICrypto2 ICustomJob ICustomJobInfo ICustomListBox ICustomObjectWizardStep ICustomWork ICustomWorkInfo IDataSet IDataSetAccessInfo IDataSigner IDateCriterion IDateRequisite IDateRequisiteDescription IDateValue IDeaAccessRights IDeaObjectInfo IDevelopmentComponentLock IDialog IDialogFactory IDialogPickRequisiteItems IDialogsFactory IDICSFactory IDocRequisite IDocumentInfo IDualListDialog IECertificate IECertificateInfo IECertificates IEditControl IEditorForm IEdmsExplorer IEdmsObject IEdmsObjectDescription IEdmsObjectFactory IEdmsObjectInfo IEDocument IEDocumentAccessRights IEDocumentDescription IEDocumentEditor IEDocumentFactory IEDocumentInfo IEDocumentStorage IEDocumentVersion IEDocumentVersionListDialog IEDocumentVersionSource IEDocumentWizardStep IEDocVerSignature IEDocVersionState IEnabledMode IEncodeProvider IEncrypter IEvent IEventList IException IExternalEvents IExternalHandler IFactory IField IFileDialog IFolder IFolderDescription IFolderDialog IFolderFactory IFolderInfo IForEach IForm IFormTitle IFormWizardStep IGlobalIDFactory IGlobalIDInfo IGrid IHasher IHistoryDescription IHyperLinkControl IImageButton IImageControl IInnerPanel IInplaceHint IIntegerCriterion IIntegerList IIntegerRequisite IIntegerValue IISBLEditorForm IJob IJobDescription IJobFactory IJobForm IJobInfo ILabelControl ILargeIntegerCriterion ILargeIntegerRequisite ILargeIntegerValue ILicenseInfo ILifeCycleStage IList IListBox ILocalIDInfo ILocalization ILock IMemoryDataSet IMessagingFactory IMetadataRepository INotice INoticeInfo INumericCriterion INumericRequisite INumericValue IObject IObjectDescription IObjectImporter IObjectInfo IObserver IPanelGroup IPickCriterion IPickProperty IPickRequisite IPickRequisiteDescription IPickRequisiteItem IPickRequisiteItems IPickValue IPrivilege IPrivilegeList IProcess IProcessFactory IProcessMessage IProgress IProperty IPropertyChangeEvent IQuery IReference IReferenceCriterion IReferenceEnabledMode IReferenceFactory IReferenceHistoryDescription IReferenceInfo IReferenceRecordCardWizardStep IReferenceRequisiteDescription IReferencesFactory IReferenceValue IRefRequisite IReport IReportFactory IRequisite IRequisiteDescription IRequisiteDescriptionList IRequisiteFactory IRichEdit IRouteStep IRule IRuleList ISchemeBlock IScript IScriptFactory ISearchCriteria ISearchCriterion ISearchDescription ISearchFactory ISearchFolderInfo ISearchForObjectDescription ISearchResultRestrictions ISecuredContext ISelectDialog IServerEvent IServerEventFactory IServiceDialog IServiceFactory ISignature ISignProvider ISignProvider2 ISignProvider3 ISimpleCriterion IStringCriterion IStringList IStringRequisite IStringRequisiteDescription IStringValue ISystemDialogsFactory ISystemInfo ITabSheet ITask ITaskAbortReasonInfo ITaskCardWizardStep ITaskDescription ITaskFactory ITaskInfo ITaskRoute ITextCriterion ITextRequisite ITextValue ITreeListSelectDialog IUser IUserList IValue IView IWebBrowserControl IWizard IWizardAction IWizardFactory IWizardFormElement IWizardParam IWizardPickParam IWizardReferenceParam IWizardStep IWorkAccessRights IWorkDescription IWorkflowAskableParam IWorkflowAskableParams IWorkflowBlock IWorkflowBlockResult IWorkflowEnabledMode IWorkflowParam IWorkflowPickParam IWorkflowReferenceParam IWorkState IWorkTreeCustomNode IWorkTreeJobNode IWorkTreeTaskNode IXMLEditorForm SBCrypto ".trim().replace(/\s/g,"|")+")",end:"[ \\t]*=",excludeEnd:!0},A={className:"variable",lexemes:E,keywords:C,begin:E,relevance:0,contains:[N,I]};return{aliases:["isbl"],case_insensitive:!0,lexemes:E,keywords:C,illegal:"\\$|\\?|%|,|;$|~|#|@|</",contains:[{className:"function",begin:"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*\\(",end:"\\)$",returnBegin:!0,lexemes:E,keywords:C,illegal:"[\\[\\]\\|\\$\\?%,~#@]",contains:[{className:"title",lexemes:E,keywords:{built_in:"AddSubString AdjustLineBreaks AmountInWords Analysis ArrayDimCount ArrayHighBound ArrayLowBound ArrayOf ArrayReDim Assert Assigned BeginOfMonth BeginOfPeriod BuildProfilingOperationAnalysis CallProcedure CanReadFile CArrayElement CDataSetRequisite ChangeDate ChangeReferenceDataset Char CharPos CheckParam CheckParamValue CompareStrings ConstantExists ControlState ConvertDateStr Copy CopyFile CreateArray CreateCachedReference CreateConnection CreateDialog CreateDualListDialog CreateEditor CreateException CreateFile CreateFolderDialog CreateInputDialog CreateLinkFile CreateList CreateLock CreateMemoryDataSet CreateObject CreateOpenDialog CreateProgress CreateQuery CreateReference CreateReport CreateSaveDialog CreateScript CreateSQLPivotFunction CreateStringList CreateTreeListSelectDialog CSelectSQL CSQL CSubString CurrentUserID CurrentUserName CurrentVersion DataSetLocateEx DateDiff DateTimeDiff DateToStr DayOfWeek DeleteFile DirectoryExists DisableCheckAccessRights DisableCheckFullShowingRestriction DisableMassTaskSendingRestrictions DropTable DupeString EditText EnableCheckAccessRights EnableCheckFullShowingRestriction EnableMassTaskSendingRestrictions EndOfMonth EndOfPeriod ExceptionExists ExceptionsOff ExceptionsOn Execute ExecuteProcess Exit ExpandEnvironmentVariables ExtractFileDrive ExtractFileExt ExtractFileName ExtractFilePath ExtractParams FileExists FileSize FindFile FindSubString FirmContext ForceDirectories Format FormatDate FormatNumeric FormatSQLDate FormatString FreeException GetComponent GetComponentLaunchParam GetConstant GetLastException GetReferenceRecord GetRefTypeByRefID GetTableID GetTempFolder IfThen In IndexOf InputDialog InputDialogEx InteractiveMode IsFileLocked IsGraphicFile IsNumeric Length LoadString LoadStringFmt LocalTimeToUTC LowerCase Max MessageBox MessageBoxEx MimeDecodeBinary MimeDecodeString MimeEncodeBinary MimeEncodeString Min MoneyInWords MoveFile NewID Now OpenFile Ord Precision Raise ReadCertificateFromFile ReadFile ReferenceCodeByID ReferenceNumber ReferenceRequisiteMode ReferenceRequisiteValue RegionDateSettings RegionNumberSettings RegionTimeSettings RegRead RegWrite RenameFile Replace Round SelectServerCode SelectSQL ServerDateTime SetConstant SetManagedFolderFieldsState ShowConstantsInputDialog ShowMessage Sleep Split SQL SQL2XLSTAB SQLProfilingSendReport StrToDate SubString SubStringCount SystemSetting Time TimeDiff Today Transliterate Trim UpperCase UserStatus UTCToLocalTime ValidateXML VarIsClear VarIsEmpty VarIsNull WorkTimeDiff WriteFile WriteFileEx WriteObjectHistory Анализ БазаДанных БлокЕсть БлокЕстьРасш БлокИнфо БлокСнять БлокСнятьРасш БлокУстановить Ввод ВводМеню ВедС ВедСпр ВерхняяГраницаМассива ВнешПрогр Восст ВременнаяПапка Время ВыборSQL ВыбратьЗапись ВыделитьСтр Вызвать Выполнить ВыпПрогр ГрафическийФайл ГруппаДополнительно ДатаВремяСерв ДеньНедели ДиалогДаНет ДлинаСтр ДобПодстр ЕПусто ЕслиТо ЕЧисло ЗамПодстр ЗаписьСправочника ЗначПоляСпр ИДТипСпр ИзвлечьДиск ИзвлечьИмяФайла ИзвлечьПуть ИзвлечьРасширение ИзмДат ИзменитьРазмерМассива ИзмеренийМассива ИмяОрг ИмяПоляСпр Индекс ИндикаторЗакрыть ИндикаторОткрыть ИндикаторШаг ИнтерактивныйРежим ИтогТблСпр КодВидВедСпр КодВидСпрПоИД КодПоAnalit КодСимвола КодСпр КолПодстр КолПроп КонМес Конст КонстЕсть КонстЗнач КонТран КопироватьФайл КопияСтр КПериод КСтрТблСпр Макс МаксСтрТблСпр Массив Меню МенюРасш Мин НаборДанныхНайтиРасш НаимВидСпр НаимПоAnalit НаимСпр НастроитьПереводыСтрок НачМес НачТран НижняяГраницаМассива НомерСпр НПериод Окно Окр Окружение ОтлИнфДобавить ОтлИнфУдалить Отчет ОтчетАнал ОтчетИнт ПапкаСуществует Пауза ПВыборSQL ПереименоватьФайл Переменные ПереместитьФайл Подстр ПоискПодстр ПоискСтр ПолучитьИДТаблицы ПользовательДополнительно ПользовательИД ПользовательИмя ПользовательСтатус Прервать ПроверитьПараметр ПроверитьПараметрЗнач ПроверитьУсловие РазбСтр РазнВремя РазнДат РазнДатаВремя РазнРабВремя РегУстВрем РегУстДат РегУстЧсл РедТекст РеестрЗапись РеестрСписокИменПарам РеестрЧтение РеквСпр РеквСпрПр Сегодня Сейчас Сервер СерверПроцессИД СертификатФайлСчитать СжПроб Символ СистемаДиректумКод СистемаИнформация СистемаКод Содержит СоединениеЗакрыть СоединениеОткрыть СоздатьДиалог СоздатьДиалогВыбораИзДвухСписков СоздатьДиалогВыбораПапки СоздатьДиалогОткрытияФайла СоздатьДиалогСохраненияФайла СоздатьЗапрос СоздатьИндикатор СоздатьИсключение СоздатьКэшированныйСправочник СоздатьМассив СоздатьНаборДанных СоздатьОбъект СоздатьОтчет СоздатьПапку СоздатьРедактор СоздатьСоединение СоздатьСписок СоздатьСписокСтрок СоздатьСправочник СоздатьСценарий СоздСпр СостСпр Сохр СохрСпр СписокСистем Спр Справочник СпрБлокЕсть СпрБлокСнять СпрБлокСнятьРасш СпрБлокУстановить СпрИзмНабДан СпрКод СпрНомер СпрОбновить СпрОткрыть СпрОтменить СпрПарам СпрПолеЗнач СпрПолеИмя СпрРекв СпрРеквВведЗн СпрРеквНовые СпрРеквПр СпрРеквПредЗн СпрРеквРежим СпрРеквТипТекст СпрСоздать СпрСост СпрСохранить СпрТблИтог СпрТблСтр СпрТблСтрКол СпрТблСтрМакс СпрТблСтрМин СпрТблСтрПред СпрТблСтрСлед СпрТблСтрСозд СпрТблСтрУд СпрТекПредст СпрУдалить СравнитьСтр СтрВерхРегистр СтрНижнРегистр СтрТблСпр СумПроп Сценарий СценарийПарам ТекВерсия ТекОрг Точн Тран Транслитерация УдалитьТаблицу УдалитьФайл УдСпр УдСтрТблСпр Уст УстановкиКонстант ФайлАтрибутСчитать ФайлАтрибутУстановить ФайлВремя ФайлВремяУстановить ФайлВыбрать ФайлЗанят ФайлЗаписать ФайлИскать ФайлКопировать ФайлМожноЧитать ФайлОткрыть ФайлПереименовать ФайлПерекодировать ФайлПереместить ФайлПросмотреть ФайлРазмер ФайлСоздать ФайлСсылкаСоздать ФайлСуществует ФайлСчитать ФайлУдалить ФмтSQLДат ФмтДат ФмтСтр ФмтЧсл Формат ЦМассивЭлемент ЦНаборДанныхРеквизит ЦПодстр "},begin:"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*\\(",end:"\\(",returnBegin:!0,excludeEnd:!0},I,A,T,_,O]},N,I,A,T,_,O]}}}}]);
+//# sourceMappingURL=isbl.js.map?v=4fea3cfbb47bcfc112f0 \ No newline at end of file
diff --git a/js/highlight/isbl.js.map b/js/highlight/isbl.js.map
index 9b8df6372..123073d3f 100644
--- a/js/highlight/isbl.js.map
+++ b/js/highlight/isbl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/isbl.js"],"names":["module","exports","hljs","UNDERSCORE_IDENT_RE","NUMBERS","className","begin","NUMBER_RE","relevance","STRINGS","variants","end","DOCTAGS","COMMENTS","contains","PHRASAL_WORDS_MODE","KEYWORDS","keyword","built_in","CONSTANTS","class","literal","METHODS","keywords","TYPES","trim","replace","excludeEnd","VARIABLES","lexemes","aliases","case_insensitive","illegal","FUNCTION_NAME_IDENT_RE","returnBegin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EAAsB,0CA2+FtBC,EAAU,CACZC,UAAW,SACXC,MAAOJ,EAAKK,UACZC,UAAW,GAITC,EAAU,CACZJ,UAAW,SACXK,SAAU,CAAC,CAAEJ,MAAO,IAAKK,IAAK,KAAO,CAAEL,MAAO,IAAKK,IAAK,OAItDC,EAAU,CACZP,UAAW,SACXC,MAAO,4DACPE,UAAW,GAsBTK,EAAW,CACbH,SAAU,CAnBiB,CAC3BL,UAAW,UACXC,MAAO,KACPK,IAAK,IACLH,UAAW,EACXM,SAAU,CAACZ,EAAKa,mBAAoBH,IAIR,CAC5BP,UAAW,UACXC,MAAO,OACPK,IAAK,OACLH,UAAW,EACXM,SAAU,CAACZ,EAAKa,mBAAoBH,MASlCI,EAAW,CACbC,QAhhGA,6KAihGAC,SAtDYC,gwwDAuDZC,MAhWA,mfAiWAC,QAlDY,wBAsDVC,EAAU,CACZhB,MAAO,UAAYJ,EAAKC,oBACxBoB,SAAUP,EACVR,UAAW,GAITgB,EAAQ,CACVnB,UAAW,OACXC,MAAO,YA9TP,qrHA8TgCmB,OAAOC,QAAQ,MAAO,KAAO,IAC7Df,IAAK,WACLgB,YAAY,GAIVC,EAAY,CACdvB,UAAW,WACXwB,QAAS1B,EACToB,SAAUP,EACVV,MAAOH,EACPK,UAAW,EACXM,SAAU,CAACU,EAAOF,IA8BpB,MAAO,CACLQ,QAAS,CAAC,QACVC,kBAAkB,EAClBF,QAAS1B,EACToB,SAAUP,EACVgB,QAAS,0BACTlB,SAAU,CAjBI,CACdT,UAAW,WACXC,MAjBmB2B,4CAkBnBtB,IAAK,OACLuB,aAAa,EACbL,QAAS1B,EACToB,SAAUP,EACVgB,QAAS,yBACTlB,SAAU,CArBK,CACfT,UAAW,QACXwB,QAAS1B,EACToB,SAAU,CACRL,SAvzBF,k+KAyzBAZ,MARmB2B,4CASnBtB,IAAK,MACLuB,aAAa,EACbP,YAAY,GAYWL,EAASM,EAAWnB,EAASL,EAASS,IAW3DW,EACAF,EACAM,EACAnB,EACAL,EACAS","file":"highlight/isbl.js?v=1dbac8b292d7170cad4f","sourcesContent":["module.exports = function(hljs) {\n // Определение идентификаторов\n var UNDERSCORE_IDENT_RE = \"[A-Za-zА-Яа-яёЁ_!][A-Za-zА-Яа-яёЁ_0-9]*\";\n\n // Определение имен функций\n var FUNCTION_NAME_IDENT_RE = \"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*\";\n\n // keyword : ключевые слова\n var KEYWORD =\n \"and и else иначе endexcept endfinally endforeach конецвсе endif конецесли endwhile конецпока \" +\n \"except exitfor finally foreach все if если in в not не or или try while пока \";\n\n // SYSRES Constants\n var sysres_constants =\n \"SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT \" +\n \"SYSRES_CONST_ACCES_RIGHT_TYPE_FULL \" +\n \"SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW \" +\n \"SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_NO_ACCESS_VIEW \" +\n \"SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_VIEW \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_TYPE_CHANGE \" +\n \"SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE \" +\n \"SYSRES_CONST_ACCESS_TYPE_EXISTS \" +\n \"SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE \" +\n \"SYSRES_CONST_ACCESS_TYPE_FULL \" +\n \"SYSRES_CONST_ACCESS_TYPE_FULL_CODE \" +\n \"SYSRES_CONST_ACCESS_TYPE_VIEW \" +\n \"SYSRES_CONST_ACCESS_TYPE_VIEW_CODE \" +\n \"SYSRES_CONST_ACTION_TYPE_ABORT \" +\n \"SYSRES_CONST_ACTION_TYPE_ACCEPT \" +\n \"SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS \" +\n \"SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT \" +\n \"SYSRES_CONST_ACTION_TYPE_CHANGE_CARD \" +\n \"SYSRES_CONST_ACTION_TYPE_CHANGE_KIND \" +\n \"SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE \" +\n \"SYSRES_CONST_ACTION_TYPE_CONTINUE \" +\n \"SYSRES_CONST_ACTION_TYPE_COPY \" +\n \"SYSRES_CONST_ACTION_TYPE_CREATE \" +\n \"SYSRES_CONST_ACTION_TYPE_CREATE_VERSION \" +\n \"SYSRES_CONST_ACTION_TYPE_DELETE \" +\n \"SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT \" +\n \"SYSRES_CONST_ACTION_TYPE_DELETE_VERSION \" +\n \"SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS \" +\n \"SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS \" +\n \"SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE \" +\n \"SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD \" +\n \"SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD \" +\n \"SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE \" +\n \"SYSRES_CONST_ACTION_TYPE_LOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER \" +\n \"SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY \" +\n \"SYSRES_CONST_ACTION_TYPE_MARK_AS_READED \" +\n \"SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED \" +\n \"SYSRES_CONST_ACTION_TYPE_MODIFY \" +\n \"SYSRES_CONST_ACTION_TYPE_MODIFY_CARD \" +\n \"SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE \" +\n \"SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION \" +\n \"SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE \" +\n \"SYSRES_CONST_ACTION_TYPE_PERFORM \" +\n \"SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY \" +\n \"SYSRES_CONST_ACTION_TYPE_RESTART \" +\n \"SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE \" +\n \"SYSRES_CONST_ACTION_TYPE_REVISION \" +\n \"SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL \" +\n \"SYSRES_CONST_ACTION_TYPE_SIGN \" +\n \"SYSRES_CONST_ACTION_TYPE_START \" +\n \"SYSRES_CONST_ACTION_TYPE_UNLOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER \" +\n \"SYSRES_CONST_ACTION_TYPE_VERSION_STATE \" +\n \"SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY \" +\n \"SYSRES_CONST_ACTION_TYPE_VIEW \" +\n \"SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY \" +\n \"SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY \" +\n \"SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY \" +\n \"SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE \" +\n \"SYSRES_CONST_ADD_REFERENCE_MODE_NAME \" +\n \"SYSRES_CONST_ADDITION_REQUISITE_CODE \" +\n \"SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE \" +\n \"SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME \" +\n \"SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME \" +\n \"SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME \" +\n \"SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION \" +\n \"SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS \" +\n \"SYSRES_CONST_ALL_USERS_GROUP \" +\n \"SYSRES_CONST_ALL_USERS_GROUP_NAME \" +\n \"SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME \" +\n \"SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE \" +\n \"SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME \" +\n \"SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_APPROVING_SIGNATURE_NAME \" +\n \"SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE \" +\n \"SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE \" +\n \"SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN \" +\n \"SYSRES_CONST_ATTACH_TYPE_DOC \" +\n \"SYSRES_CONST_ATTACH_TYPE_EDOC \" +\n \"SYSRES_CONST_ATTACH_TYPE_FOLDER \" +\n \"SYSRES_CONST_ATTACH_TYPE_JOB \" +\n \"SYSRES_CONST_ATTACH_TYPE_REFERENCE \" +\n \"SYSRES_CONST_ATTACH_TYPE_TASK \" +\n \"SYSRES_CONST_AUTH_ENCODED_PASSWORD \" +\n \"SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE \" +\n \"SYSRES_CONST_AUTH_NOVELL \" +\n \"SYSRES_CONST_AUTH_PASSWORD \" +\n \"SYSRES_CONST_AUTH_PASSWORD_CODE \" +\n \"SYSRES_CONST_AUTH_WINDOWS \" +\n \"SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME \" +\n \"SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE \" +\n \"SYSRES_CONST_AUTO_ENUM_METHOD_FLAG \" +\n \"SYSRES_CONST_AUTO_NUMERATION_CODE \" +\n \"SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG \" +\n \"SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_ALL \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_SIGN \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_WORK \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE \" +\n \"SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_BTN_PART \" +\n \"SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE \" +\n \"SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE \" +\n \"SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE \" +\n \"SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT \" +\n \"SYSRES_CONST_CARD_PART \" +\n \"SYSRES_CONST_CARD_REFERENCE_MODE_NAME \" +\n \"SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE \" +\n \"SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE \" +\n \"SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE \" +\n \"SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE \" +\n \"SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE \" +\n \"SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE \" +\n \"SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE \" +\n \"SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE \" +\n \"SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE \" +\n \"SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_URL \" +\n \"SYSRES_CONST_CODE_REQUISITE_ACCESS \" +\n \"SYSRES_CONST_CODE_REQUISITE_CODE \" +\n \"SYSRES_CONST_CODE_REQUISITE_COMPONENT \" +\n \"SYSRES_CONST_CODE_REQUISITE_DESCRIPTION \" +\n \"SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT \" +\n \"SYSRES_CONST_CODE_REQUISITE_RECORD \" +\n \"SYSRES_CONST_COMMENT_REQ_CODE \" +\n \"SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE \" +\n \"SYSRES_CONST_COMP_CODE_GRD \" +\n \"SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_DOCS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_EDOCS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE \" +\n \"SYSRES_CONST_COMPONENT_TYPE_OTHER \" +\n \"SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES \" +\n \"SYSRES_CONST_COMPONENT_TYPE_REFERENCES \" +\n \"SYSRES_CONST_COMPONENT_TYPE_REPORTS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_SCRIPTS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_URL \" +\n \"SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE \" +\n \"SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_CONST_FIRM_STATUS_COMMON \" +\n \"SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL \" +\n \"SYSRES_CONST_CONST_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_CONST_POSITIVE_VALUE \" +\n \"SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE \" +\n \"SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE \" +\n \"SYSRES_CONST_CONTENTS_REQUISITE_CODE \" +\n \"SYSRES_CONST_DATA_TYPE_BOOLEAN \" +\n \"SYSRES_CONST_DATA_TYPE_DATE \" +\n \"SYSRES_CONST_DATA_TYPE_FLOAT \" +\n \"SYSRES_CONST_DATA_TYPE_INTEGER \" +\n \"SYSRES_CONST_DATA_TYPE_PICK \" +\n \"SYSRES_CONST_DATA_TYPE_REFERENCE \" +\n \"SYSRES_CONST_DATA_TYPE_STRING \" +\n \"SYSRES_CONST_DATA_TYPE_TEXT \" +\n \"SYSRES_CONST_DATA_TYPE_VARIANT \" +\n \"SYSRES_CONST_DATE_CLOSE_REQ_CODE \" +\n \"SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR \" +\n \"SYSRES_CONST_DATE_OPEN_REQ_CODE \" +\n \"SYSRES_CONST_DATE_REQUISITE \" +\n \"SYSRES_CONST_DATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_DATE_REQUISITE_NAME \" +\n \"SYSRES_CONST_DATE_REQUISITE_TYPE \" +\n \"SYSRES_CONST_DATE_TYPE_CHAR \" +\n \"SYSRES_CONST_DATETIME_FORMAT_VALUE \" +\n \"SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE \" +\n \"SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_DESCRIPTION_REQUISITE_CODE \" +\n \"SYSRES_CONST_DET1_PART \" +\n \"SYSRES_CONST_DET2_PART \" +\n \"SYSRES_CONST_DET3_PART \" +\n \"SYSRES_CONST_DET4_PART \" +\n \"SYSRES_CONST_DET5_PART \" +\n \"SYSRES_CONST_DET6_PART \" +\n \"SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE \" +\n \"SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE \" +\n \"SYSRES_CONST_DETAIL_REQ_CODE \" +\n \"SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE \" +\n \"SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME \" +\n \"SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE \" +\n \"SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME \" +\n \"SYSRES_CONST_DOCUMENT_STORAGES_CODE \" +\n \"SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME \" +\n \"SYSRES_CONST_DOUBLE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE \" +\n \"SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE \" +\n \"SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE \" +\n \"SYSRES_CONST_EDITORS_REFERENCE_CODE \" +\n \"SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE \" +\n \"SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE \" +\n \"SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE \" +\n \"SYSRES_CONST_EDOC_DATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_KIND_REFERENCE_CODE \" +\n \"SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE \" +\n \"SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE \" +\n \"SYSRES_CONST_EDOC_NONE_ENCODE_CODE \" +\n \"SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE \" +\n \"SYSRES_CONST_EDOC_READONLY_ACCESS_CODE \" +\n \"SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE \" +\n \"SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE \" +\n \"SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE \" +\n \"SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE \" +\n \"SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE \" +\n \"SYSRES_CONST_EDOC_WRITE_ACCES_CODE \" +\n \"SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE \" +\n \"SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE \" +\n \"SYSRES_CONST_END_DATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE \" +\n \"SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE \" +\n \"SYSRES_CONST_EXIST_CONST \" +\n \"SYSRES_CONST_EXIST_VALUE \" +\n \"SYSRES_CONST_EXPORT_LOCK_TYPE_ASK \" +\n \"SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK \" +\n \"SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK \" +\n \"SYSRES_CONST_EXPORT_VERSION_TYPE_ASK \" +\n \"SYSRES_CONST_EXPORT_VERSION_TYPE_LAST \" +\n \"SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE \" +\n \"SYSRES_CONST_EXTENSION_REQUISITE_CODE \" +\n \"SYSRES_CONST_FILTER_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_FILTER_REQUISITE_CODE \" +\n \"SYSRES_CONST_FILTER_TYPE_COMMON_CODE \" +\n \"SYSRES_CONST_FILTER_TYPE_COMMON_NAME \" +\n \"SYSRES_CONST_FILTER_TYPE_USER_CODE \" +\n \"SYSRES_CONST_FILTER_TYPE_USER_NAME \" +\n \"SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME \" +\n \"SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR \" +\n \"SYSRES_CONST_FLOAT_REQUISITE_TYPE \" +\n \"SYSRES_CONST_FOLDER_AUTHOR_VALUE \" +\n \"SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS \" +\n \"SYSRES_CONST_FOLDER_KIND_COMPONENTS \" +\n \"SYSRES_CONST_FOLDER_KIND_EDOCS \" +\n \"SYSRES_CONST_FOLDER_KIND_JOBS \" +\n \"SYSRES_CONST_FOLDER_KIND_TASKS \" +\n \"SYSRES_CONST_FOLDER_TYPE_COMMON \" +\n \"SYSRES_CONST_FOLDER_TYPE_COMPONENT \" +\n \"SYSRES_CONST_FOLDER_TYPE_FAVORITES \" +\n \"SYSRES_CONST_FOLDER_TYPE_INBOX \" +\n \"SYSRES_CONST_FOLDER_TYPE_OUTBOX \" +\n \"SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH \" +\n \"SYSRES_CONST_FOLDER_TYPE_SEARCH \" +\n \"SYSRES_CONST_FOLDER_TYPE_SHORTCUTS \" +\n \"SYSRES_CONST_FOLDER_TYPE_USER \" +\n \"SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG \" +\n \"SYSRES_CONST_FULL_SUBSTITUTE_TYPE \" +\n \"SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE \" +\n \"SYSRES_CONST_FUNCTION_CANCEL_RESULT \" +\n \"SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM \" +\n \"SYSRES_CONST_FUNCTION_CATEGORY_USER \" +\n \"SYSRES_CONST_FUNCTION_FAILURE_RESULT \" +\n \"SYSRES_CONST_FUNCTION_SAVE_RESULT \" +\n \"SYSRES_CONST_GENERATED_REQUISITE \" +\n \"SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE \" +\n \"SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE \" +\n \"SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME \" +\n \"SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE \" +\n \"SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME \" +\n \"SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE \" +\n \"SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUP_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE \" +\n \"SYSRES_CONST_GROUP_USER_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUPS_REFERENCE_CODE \" +\n \"SYSRES_CONST_GROUPS_REQUISITE_CODE \" +\n \"SYSRES_CONST_HIDDEN_MODE_NAME \" +\n \"SYSRES_CONST_HIGH_LVL_REQUISITE_CODE \" +\n \"SYSRES_CONST_HISTORY_ACTION_CREATE_CODE \" +\n \"SYSRES_CONST_HISTORY_ACTION_DELETE_CODE \" +\n \"SYSRES_CONST_HISTORY_ACTION_EDIT_CODE \" +\n \"SYSRES_CONST_HOUR_CHAR \" +\n \"SYSRES_CONST_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_IDSPS_REQUISITE_CODE \" +\n \"SYSRES_CONST_IMAGE_MODE_COLOR \" +\n \"SYSRES_CONST_IMAGE_MODE_GREYSCALE \" +\n \"SYSRES_CONST_IMAGE_MODE_MONOCHROME \" +\n \"SYSRES_CONST_IMPORTANCE_HIGH \" +\n \"SYSRES_CONST_IMPORTANCE_LOW \" +\n \"SYSRES_CONST_IMPORTANCE_NORMAL \" +\n \"SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE \" +\n \"SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE \" +\n \"SYSRES_CONST_INT_REQUISITE \" +\n \"SYSRES_CONST_INT_REQUISITE_TYPE \" +\n \"SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR \" +\n \"SYSRES_CONST_INTEGER_TYPE_CHAR \" +\n \"SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE \" +\n \"SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE \" +\n \"SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE \" +\n \"SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE \" +\n \"SYSRES_CONST_JOB_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_JOB_KIND_CONTROL_JOB \" +\n \"SYSRES_CONST_JOB_KIND_JOB \" +\n \"SYSRES_CONST_JOB_KIND_NOTICE \" +\n \"SYSRES_CONST_JOB_STATE_ABORTED \" +\n \"SYSRES_CONST_JOB_STATE_COMPLETE \" +\n \"SYSRES_CONST_JOB_STATE_WORKING \" +\n \"SYSRES_CONST_KIND_REQUISITE_CODE \" +\n \"SYSRES_CONST_KIND_REQUISITE_NAME \" +\n \"SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE \" +\n \"SYSRES_CONST_KOD_INPUT_TYPE \" +\n \"SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE \" +\n \"SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_EDOC \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_FOLDER \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_JOB \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_TASK \" +\n \"SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_LIST_REFERENCE_MODE_NAME \" +\n \"SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE \" +\n \"SYSRES_CONST_MAIN_VIEW_CODE \" +\n \"SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG \" +\n \"SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_MAXIMIZED_MODE_NAME \" +\n \"SYSRES_CONST_ME_VALUE \" +\n \"SYSRES_CONST_MESSAGE_ATTENTION_CAPTION \" +\n \"SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION \" +\n \"SYSRES_CONST_MESSAGE_ERROR_CAPTION \" +\n \"SYSRES_CONST_MESSAGE_INFORMATION_CAPTION \" +\n \"SYSRES_CONST_MINIMIZED_MODE_NAME \" +\n \"SYSRES_CONST_MINUTE_CHAR \" +\n \"SYSRES_CONST_MODULE_REQUISITE_CODE \" +\n \"SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_MONTH_FORMAT_VALUE \" +\n \"SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE \" +\n \"SYSRES_CONST_NAMEAN_INPUT_TYPE \" +\n \"SYSRES_CONST_NEGATIVE_PICK_VALUE \" +\n \"SYSRES_CONST_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_NO \" +\n \"SYSRES_CONST_NO_PICK_VALUE \" +\n \"SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE \" +\n \"SYSRES_CONST_NO_VALUE \" +\n \"SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE \" +\n \"SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE \" +\n \"SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE \" +\n \"SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE \" +\n \"SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE \" +\n \"SYSRES_CONST_NORMAL_MODE_NAME \" +\n \"SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE \" +\n \"SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME \" +\n \"SYSRES_CONST_NOTE_REQUISITE_CODE \" +\n \"SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_NUM_REQUISITE \" +\n \"SYSRES_CONST_NUM_STR_REQUISITE_CODE \" +\n \"SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG \" +\n \"SYSRES_CONST_NUMERATION_AUTO_STRONG \" +\n \"SYSRES_CONST_NUMERATION_FROM_DICTONARY \" +\n \"SYSRES_CONST_NUMERATION_MANUAL \" +\n \"SYSRES_CONST_NUMERIC_TYPE_CHAR \" +\n \"SYSRES_CONST_NUMREQ_REQUISITE_CODE \" +\n \"SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE \" +\n \"SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE \" +\n \"SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE \" +\n \"SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE \" +\n \"SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE \" +\n \"SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX \" +\n \"SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_ORIGINALREF_REQUISITE_CODE \" +\n \"SYSRES_CONST_OURFIRM_REF_CODE \" +\n \"SYSRES_CONST_OURFIRM_REQUISITE_CODE \" +\n \"SYSRES_CONST_OURFIRM_VAR \" +\n \"SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE \" +\n \"SYSRES_CONST_PICK_NEGATIVE_RESULT \" +\n \"SYSRES_CONST_PICK_POSITIVE_RESULT \" +\n \"SYSRES_CONST_PICK_REQUISITE \" +\n \"SYSRES_CONST_PICK_REQUISITE_TYPE \" +\n \"SYSRES_CONST_PICK_TYPE_CHAR \" +\n \"SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE \" +\n \"SYSRES_CONST_PLATFORM_VERSION_COMMENT \" +\n \"SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE \" +\n \"SYSRES_CONST_POSITIVE_PICK_VALUE \" +\n \"SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE \" +\n \"SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE \" +\n \"SYSRES_CONST_PRIORITY_REQUISITE_CODE \" +\n \"SYSRES_CONST_QUALIFIED_TASK_TYPE \" +\n \"SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE \" +\n \"SYSRES_CONST_RECSTAT_REQUISITE_CODE \" +\n \"SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_REF_REQUISITE \" +\n \"SYSRES_CONST_REF_REQUISITE_TYPE \" +\n \"SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE \" +\n \"SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE \" +\n \"SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE \" +\n \"SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE \" +\n \"SYSRES_CONST_REFERENCE_TYPE_CHAR \" +\n \"SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME \" +\n \"SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE \" +\n \"SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE \" +\n \"SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING \" +\n \"SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN \" +\n \"SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY \" +\n \"SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE \" +\n \"SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL \" +\n \"SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE \" +\n \"SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE \" +\n \"SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE \" +\n \"SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE \" +\n \"SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE \" +\n \"SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE \" +\n \"SYSRES_CONST_REQ_MODE_AVAILABLE_CODE \" +\n \"SYSRES_CONST_REQ_MODE_EDIT_CODE \" +\n \"SYSRES_CONST_REQ_MODE_HIDDEN_CODE \" +\n \"SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE \" +\n \"SYSRES_CONST_REQ_MODE_VIEW_CODE \" +\n \"SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE \" +\n \"SYSRES_CONST_REQ_SECTION_VALUE \" +\n \"SYSRES_CONST_REQ_TYPE_VALUE \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_LEFT \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_RIGHT \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT \" +\n \"SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE \" +\n \"SYSRES_CONST_REQUISITE_SECTION_ACTIONS \" +\n \"SYSRES_CONST_REQUISITE_SECTION_BUTTON \" +\n \"SYSRES_CONST_REQUISITE_SECTION_BUTTONS \" +\n \"SYSRES_CONST_REQUISITE_SECTION_CARD \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE10 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE11 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE12 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE13 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE14 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE15 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE16 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE17 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE18 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE19 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE2 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE20 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE21 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE22 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE23 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE24 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE3 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE4 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE5 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE6 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE7 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE8 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE9 \" +\n \"SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE \" +\n \"SYSRES_CONST_RIGHT_ALIGNMENT_CODE \" +\n \"SYSRES_CONST_ROLES_REFERENCE_CODE \" +\n \"SYSRES_CONST_ROUTE_STEP_AFTER_RUS \" +\n \"SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS \" +\n \"SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS \" +\n \"SYSRES_CONST_ROUTE_TYPE_COMPLEX \" +\n \"SYSRES_CONST_ROUTE_TYPE_PARALLEL \" +\n \"SYSRES_CONST_ROUTE_TYPE_SERIAL \" +\n \"SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE \" +\n \"SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE \" +\n \"SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE \" +\n \"SYSRES_CONST_SEARCHES_COMPONENT_CONTENT \" +\n \"SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME \" +\n \"SYSRES_CONST_SEARCHES_EDOC_CONTENT \" +\n \"SYSRES_CONST_SEARCHES_FOLDER_CONTENT \" +\n \"SYSRES_CONST_SEARCHES_JOB_CONTENT \" +\n \"SYSRES_CONST_SEARCHES_REFERENCE_CODE \" +\n \"SYSRES_CONST_SEARCHES_TASK_CONTENT \" +\n \"SYSRES_CONST_SECOND_CHAR \" +\n \"SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_CODE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE \" +\n \"SYSRES_CONST_SELECT_REFERENCE_MODE_NAME \" +\n \"SYSRES_CONST_SELECT_TYPE_SELECTABLE \" +\n \"SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD \" +\n \"SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD \" +\n \"SYSRES_CONST_SELECT_TYPE_UNSLECTABLE \" +\n \"SYSRES_CONST_SERVER_TYPE_MAIN \" +\n \"SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE \" +\n \"SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE \" +\n \"SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE \" +\n \"SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE \" +\n \"SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE \" +\n \"SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE \" +\n \"SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE \" +\n \"SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE \" +\n \"SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE \" +\n \"SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE \" +\n \"SYSRES_CONST_STATE_REQ_NAME \" +\n \"SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE \" +\n \"SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE \" +\n \"SYSRES_CONST_STATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_STATIC_ROLE_TYPE_CODE \" +\n \"SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE \" +\n \"SYSRES_CONST_STATUS_VALUE_AUTOCLEANING \" +\n \"SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE \" +\n \"SYSRES_CONST_STATUS_VALUE_COMPLETE \" +\n \"SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE \" +\n \"SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE \" +\n \"SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE \" +\n \"SYSRES_CONST_STATUS_VALUE_RED_SQUARE \" +\n \"SYSRES_CONST_STATUS_VALUE_SUSPEND \" +\n \"SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE \" +\n \"SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE \" +\n \"SYSRES_CONST_STORAGE_TYPE_FILE \" +\n \"SYSRES_CONST_STORAGE_TYPE_SQL_SERVER \" +\n \"SYSRES_CONST_STR_REQUISITE \" +\n \"SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE \" +\n \"SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR \" +\n \"SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR \" +\n \"SYSRES_CONST_STRING_REQUISITE_CODE \" +\n \"SYSRES_CONST_STRING_REQUISITE_TYPE \" +\n \"SYSRES_CONST_STRING_TYPE_CHAR \" +\n \"SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE \" +\n \"SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE \" +\n \"SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE \" +\n \"SYSRES_CONST_SYSTEM_VERSION_COMMENT \" +\n \"SYSRES_CONST_TASK_ACCESS_TYPE_ALL \" +\n \"SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS \" +\n \"SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL \" +\n \"SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION \" +\n \"SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD \" +\n \"SYSRES_CONST_TASK_ENCODE_TYPE_NONE \" +\n \"SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD \" +\n \"SYSRES_CONST_TASK_ROUTE_ALL_CONDITION \" +\n \"SYSRES_CONST_TASK_ROUTE_AND_CONDITION \" +\n \"SYSRES_CONST_TASK_ROUTE_OR_CONDITION \" +\n \"SYSRES_CONST_TASK_STATE_ABORTED \" +\n \"SYSRES_CONST_TASK_STATE_COMPLETE \" +\n \"SYSRES_CONST_TASK_STATE_CONTINUED \" +\n \"SYSRES_CONST_TASK_STATE_CONTROL \" +\n \"SYSRES_CONST_TASK_STATE_INIT \" +\n \"SYSRES_CONST_TASK_STATE_WORKING \" +\n \"SYSRES_CONST_TASK_TITLE \" +\n \"SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE \" +\n \"SYSRES_CONST_TASK_TYPES_REFERENCE_CODE \" +\n \"SYSRES_CONST_TEMPLATES_REFERENCE_CODE \" +\n \"SYSRES_CONST_TEST_DATE_REQUISITE_NAME \" +\n \"SYSRES_CONST_TEST_DEV_DATABASE_NAME \" +\n \"SYSRES_CONST_TEST_DEV_SYSTEM_CODE \" +\n \"SYSRES_CONST_TEST_EDMS_DATABASE_NAME \" +\n \"SYSRES_CONST_TEST_EDMS_MAIN_CODE \" +\n \"SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME \" +\n \"SYSRES_CONST_TEST_EDMS_SECOND_CODE \" +\n \"SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME \" +\n \"SYSRES_CONST_TEST_EDMS_SYSTEM_CODE \" +\n \"SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME \" +\n \"SYSRES_CONST_TEXT_REQUISITE \" +\n \"SYSRES_CONST_TEXT_REQUISITE_CODE \" +\n \"SYSRES_CONST_TEXT_REQUISITE_TYPE \" +\n \"SYSRES_CONST_TEXT_TYPE_CHAR \" +\n \"SYSRES_CONST_TYPE_CODE_REQUISITE_CODE \" +\n \"SYSRES_CONST_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE \" +\n \"SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE \" +\n \"SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE \" +\n \"SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME \" +\n \"SYSRES_CONST_USE_ACCESS_TYPE_CODE \" +\n \"SYSRES_CONST_USE_ACCESS_TYPE_NAME \" +\n \"SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE \" +\n \"SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_CATEGORY_NORMAL \" +\n \"SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_COMMON_CATEGORY \" +\n \"SYSRES_CONST_USER_COMMON_CATEGORY_CODE \" +\n \"SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_LOGIN_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_SERVICE_CATEGORY \" +\n \"SYSRES_CONST_USER_SERVICE_CATEGORY_CODE \" +\n \"SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE \" +\n \"SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME \" +\n \"SYSRES_CONST_USER_STATUS_DEVELOPER_CODE \" +\n \"SYSRES_CONST_USER_STATUS_DEVELOPER_NAME \" +\n \"SYSRES_CONST_USER_STATUS_DISABLED_CODE \" +\n \"SYSRES_CONST_USER_STATUS_DISABLED_NAME \" +\n \"SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE \" +\n \"SYSRES_CONST_USER_STATUS_USER_CODE \" +\n \"SYSRES_CONST_USER_STATUS_USER_NAME \" +\n \"SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED \" +\n \"SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER \" +\n \"SYSRES_CONST_USER_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_REFERENCE_CODE \" +\n \"SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME \" +\n \"SYSRES_CONST_USERS_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME \" +\n \"SYSRES_CONST_VIEW_DEFAULT_CODE \" +\n \"SYSRES_CONST_VIEW_DEFAULT_NAME \" +\n \"SYSRES_CONST_VIEWER_REQUISITE_CODE \" +\n \"SYSRES_CONST_WAITING_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING \" +\n \"SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING \" +\n \"SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE \" +\n \"SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE \" +\n \"SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE \" +\n \"SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE \" +\n \"SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE \" +\n \"SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS \" +\n \"SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS \" +\n \"SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD \" +\n \"SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT \" +\n \"SYSRES_CONST_XML_ENCODING \" +\n \"SYSRES_CONST_XREC_STAT_REQUISITE_CODE \" +\n \"SYSRES_CONST_XRECID_FIELD_NAME \" +\n \"SYSRES_CONST_YES \" +\n \"SYSRES_CONST_YES_NO_2_REQUISITE_CODE \" +\n \"SYSRES_CONST_YES_NO_REQUISITE_CODE \" +\n \"SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_YES_PICK_VALUE \" +\n \"SYSRES_CONST_YES_VALUE \";\n\n // Base constant\n var base_constants = \"CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE \";\n\n // Base group name\n var base_group_name_constants =\n \"ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME \";\n\n // Decision block properties\n var decision_block_properties_constants =\n \"DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY \" +\n \"DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY \";\n\n // File extension\n var file_extension_constants =\n \"ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION \" +\n \"SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION \";\n\n // Job block properties\n var job_block_properties_constants =\n \"JOB_BLOCK_ABORT_DEADLINE_PROPERTY \" +\n \"JOB_BLOCK_AFTER_FINISH_EVENT \" +\n \"JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT \" +\n \"JOB_BLOCK_ATTACHMENT_PROPERTY \" +\n \"JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY \" +\n \"JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY \" +\n \"JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT \" +\n \"JOB_BLOCK_BEFORE_START_EVENT \" +\n \"JOB_BLOCK_CREATED_JOBS_PROPERTY \" +\n \"JOB_BLOCK_DEADLINE_PROPERTY \" +\n \"JOB_BLOCK_EXECUTION_RESULTS_PROPERTY \" +\n \"JOB_BLOCK_IS_PARALLEL_PROPERTY \" +\n \"JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY \" +\n \"JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY \" +\n \"JOB_BLOCK_JOB_TEXT_PROPERTY \" +\n \"JOB_BLOCK_NAME_PROPERTY \" +\n \"JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY \" +\n \"JOB_BLOCK_PERFORMER_PROPERTY \" +\n \"JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY \" +\n \"JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \" +\n \"JOB_BLOCK_SUBJECT_PROPERTY \";\n\n // Language code\n var language_code_constants = \"ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE \";\n\n // Launching external applications\n var launching_external_applications_constants =\n \"smHidden smMaximized smMinimized smNormal wmNo wmYes \";\n\n // Link kind\n var link_kind_constants =\n \"COMPONENT_TOKEN_LINK_KIND \" +\n \"DOCUMENT_LINK_KIND \" +\n \"EDOCUMENT_LINK_KIND \" +\n \"FOLDER_LINK_KIND \" +\n \"JOB_LINK_KIND \" +\n \"REFERENCE_LINK_KIND \" +\n \"TASK_LINK_KIND \";\n\n // Lock type\n var lock_type_constants =\n \"COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE \";\n\n // Monitor block properties\n var monitor_block_properties_constants =\n \"MONITOR_BLOCK_AFTER_FINISH_EVENT \" +\n \"MONITOR_BLOCK_BEFORE_START_EVENT \" +\n \"MONITOR_BLOCK_DEADLINE_PROPERTY \" +\n \"MONITOR_BLOCK_INTERVAL_PROPERTY \" +\n \"MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY \" +\n \"MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY \" +\n \"MONITOR_BLOCK_NAME_PROPERTY \" +\n \"MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \" +\n \"MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY \";\n\n // Notice block properties\n var notice_block_properties_constants =\n \"NOTICE_BLOCK_AFTER_FINISH_EVENT \" +\n \"NOTICE_BLOCK_ATTACHMENT_PROPERTY \" +\n \"NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY \" +\n \"NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY \" +\n \"NOTICE_BLOCK_BEFORE_START_EVENT \" +\n \"NOTICE_BLOCK_CREATED_NOTICES_PROPERTY \" +\n \"NOTICE_BLOCK_DEADLINE_PROPERTY \" +\n \"NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY \" +\n \"NOTICE_BLOCK_NAME_PROPERTY \" +\n \"NOTICE_BLOCK_NOTICE_TEXT_PROPERTY \" +\n \"NOTICE_BLOCK_PERFORMER_PROPERTY \" +\n \"NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \" +\n \"NOTICE_BLOCK_SUBJECT_PROPERTY \";\n\n // Object events\n var object_events_constants =\n \"dseAfterCancel \" +\n \"dseAfterClose \" +\n \"dseAfterDelete \" +\n \"dseAfterDeleteOutOfTransaction \" +\n \"dseAfterInsert \" +\n \"dseAfterOpen \" +\n \"dseAfterScroll \" +\n \"dseAfterUpdate \" +\n \"dseAfterUpdateOutOfTransaction \" +\n \"dseBeforeCancel \" +\n \"dseBeforeClose \" +\n \"dseBeforeDelete \" +\n \"dseBeforeDetailUpdate \" +\n \"dseBeforeInsert \" +\n \"dseBeforeOpen \" +\n \"dseBeforeUpdate \" +\n \"dseOnAnyRequisiteChange \" +\n \"dseOnCloseRecord \" +\n \"dseOnDeleteError \" +\n \"dseOnOpenRecord \" +\n \"dseOnPrepareUpdate \" +\n \"dseOnUpdateError \" +\n \"dseOnUpdateRatifiedRecord \" +\n \"dseOnValidDelete \" +\n \"dseOnValidUpdate \" +\n \"reOnChange \" +\n \"reOnChangeValues \" +\n \"SELECTION_BEGIN_ROUTE_EVENT \" +\n \"SELECTION_END_ROUTE_EVENT \";\n\n // Object params\n var object_params_constants =\n \"CURRENT_PERIOD_IS_REQUIRED \" +\n \"PREVIOUS_CARD_TYPE_NAME \" +\n \"SHOW_RECORD_PROPERTIES_FORM \";\n\n // Other\n var other_constants =\n \"ACCESS_RIGHTS_SETTING_DIALOG_CODE \" +\n \"ADMINISTRATOR_USER_CODE \" +\n \"ANALYTIC_REPORT_TYPE \" +\n \"asrtHideLocal \" +\n \"asrtHideRemote \" +\n \"CALCULATED_ROLE_TYPE_CODE \" +\n \"COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE \" +\n \"DCTS_TEST_PROTOCOLS_FOLDER_PATH \" +\n \"E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED \" +\n \"E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER \" +\n \"E_EDOC_VERSION_ALREDY_SIGNED \" +\n \"E_EDOC_VERSION_ALREDY_SIGNED_BY_USER \" +\n \"EDOC_TYPES_CODE_REQUISITE_FIELD_NAME \" +\n \"EDOCUMENTS_ALIAS_NAME \" +\n \"FILES_FOLDER_PATH \" +\n \"FILTER_OPERANDS_DELIMITER \" +\n \"FILTER_OPERATIONS_DELIMITER \" +\n \"FORMCARD_NAME \" +\n \"FORMLIST_NAME \" +\n \"GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE \" +\n \"GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE \" +\n \"INTEGRATED_REPORT_TYPE \" +\n \"IS_BUILDER_APPLICATION_ROLE \" +\n \"IS_BUILDER_APPLICATION_ROLE2 \" +\n \"IS_BUILDER_USERS \" +\n \"ISBSYSDEV \" +\n \"LOG_FOLDER_PATH \" +\n \"mbCancel \" +\n \"mbNo \" +\n \"mbNoToAll \" +\n \"mbOK \" +\n \"mbYes \" +\n \"mbYesToAll \" +\n \"MEMORY_DATASET_DESRIPTIONS_FILENAME \" +\n \"mrNo \" +\n \"mrNoToAll \" +\n \"mrYes \" +\n \"mrYesToAll \" +\n \"MULTIPLE_SELECT_DIALOG_CODE \" +\n \"NONOPERATING_RECORD_FLAG_FEMININE \" +\n \"NONOPERATING_RECORD_FLAG_MASCULINE \" +\n \"OPERATING_RECORD_FLAG_FEMININE \" +\n \"OPERATING_RECORD_FLAG_MASCULINE \" +\n \"PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE \" +\n \"PROGRAM_INITIATED_LOOKUP_ACTION \" +\n \"ratDelete \" +\n \"ratEdit \" +\n \"ratInsert \" +\n \"REPORT_TYPE \" +\n \"REQUIRED_PICK_VALUES_VARIABLE \" +\n \"rmCard \" +\n \"rmList \" +\n \"SBRTE_PROGID_DEV \" +\n \"SBRTE_PROGID_RELEASE \" +\n \"STATIC_ROLE_TYPE_CODE \" +\n \"SUPPRESS_EMPTY_TEMPLATE_CREATION \" +\n \"SYSTEM_USER_CODE \" +\n \"UPDATE_DIALOG_DATASET \" +\n \"USED_IN_OBJECT_HINT_PARAM \" +\n \"USER_INITIATED_LOOKUP_ACTION \" +\n \"USER_NAME_FORMAT \" +\n \"USER_SELECTION_RESTRICTIONS \" +\n \"WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH \" +\n \"ELS_SUBTYPE_CONTROL_NAME \" +\n \"ELS_FOLDER_KIND_CONTROL_NAME \" +\n \"REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME \";\n\n // Privileges\n var privileges_constants =\n \"PRIVILEGE_COMPONENT_FULL_ACCESS \" +\n \"PRIVILEGE_DEVELOPMENT_EXPORT \" +\n \"PRIVILEGE_DEVELOPMENT_IMPORT \" +\n \"PRIVILEGE_DOCUMENT_DELETE \" +\n \"PRIVILEGE_ESD \" +\n \"PRIVILEGE_FOLDER_DELETE \" +\n \"PRIVILEGE_MANAGE_ACCESS_RIGHTS \" +\n \"PRIVILEGE_MANAGE_REPLICATION \" +\n \"PRIVILEGE_MANAGE_SESSION_SERVER \" +\n \"PRIVILEGE_OBJECT_FULL_ACCESS \" +\n \"PRIVILEGE_OBJECT_VIEW \" +\n \"PRIVILEGE_RESERVE_LICENSE \" +\n \"PRIVILEGE_SYSTEM_CUSTOMIZE \" +\n \"PRIVILEGE_SYSTEM_DEVELOP \" +\n \"PRIVILEGE_SYSTEM_INSTALL \" +\n \"PRIVILEGE_TASK_DELETE \" +\n \"PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE \" +\n \"PRIVILEGES_PSEUDOREFERENCE_CODE \";\n\n // Pseudoreference code\n var pseudoreference_code_constants =\n \"ACCESS_TYPES_PSEUDOREFERENCE_CODE \" +\n \"ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE \" +\n \"ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE \" +\n \"ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE \" +\n \"AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE \" +\n \"COMPONENTS_PSEUDOREFERENCE_CODE \" +\n \"FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE \" +\n \"GROUPS_PSEUDOREFERENCE_CODE \" +\n \"RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE \" +\n \"REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE \" +\n \"REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE \" +\n \"REFTYPES_PSEUDOREFERENCE_CODE \" +\n \"REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE \" +\n \"SEND_PROTOCOL_PSEUDOREFERENCE_CODE \" +\n \"SUBSTITUTES_PSEUDOREFERENCE_CODE \" +\n \"SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE \" +\n \"UNITS_PSEUDOREFERENCE_CODE \" +\n \"USERS_PSEUDOREFERENCE_CODE \" +\n \"VIEWERS_PSEUDOREFERENCE_CODE \";\n\n // Requisite ISBCertificateType values\n var requisite_ISBCertificateType_values_constants =\n \"CERTIFICATE_TYPE_ENCRYPT \" +\n \"CERTIFICATE_TYPE_SIGN \" +\n \"CERTIFICATE_TYPE_SIGN_AND_ENCRYPT \";\n\n // Requisite ISBEDocStorageType values\n var requisite_ISBEDocStorageType_values_constants =\n \"STORAGE_TYPE_FILE \" +\n \"STORAGE_TYPE_NAS_CIFS \" +\n \"STORAGE_TYPE_SAPERION \" +\n \"STORAGE_TYPE_SQL_SERVER \";\n\n // Requisite CompType2 values\n var requisite_compType2_values_constants =\n \"COMPTYPE2_REQUISITE_DOCUMENTS_VALUE \" +\n \"COMPTYPE2_REQUISITE_TASKS_VALUE \" +\n \"COMPTYPE2_REQUISITE_FOLDERS_VALUE \" +\n \"COMPTYPE2_REQUISITE_REFERENCES_VALUE \";\n\n // Requisite name\n var requisite_name_constants =\n \"SYSREQ_CODE \" +\n \"SYSREQ_COMPTYPE2 \" +\n \"SYSREQ_CONST_AVAILABLE_FOR_WEB \" +\n \"SYSREQ_CONST_COMMON_CODE \" +\n \"SYSREQ_CONST_COMMON_VALUE \" +\n \"SYSREQ_CONST_FIRM_CODE \" +\n \"SYSREQ_CONST_FIRM_STATUS \" +\n \"SYSREQ_CONST_FIRM_VALUE \" +\n \"SYSREQ_CONST_SERVER_STATUS \" +\n \"SYSREQ_CONTENTS \" +\n \"SYSREQ_DATE_OPEN \" +\n \"SYSREQ_DATE_CLOSE \" +\n \"SYSREQ_DESCRIPTION \" +\n \"SYSREQ_DESCRIPTION_LOCALIZE_ID \" +\n \"SYSREQ_DOUBLE \" +\n \"SYSREQ_EDOC_ACCESS_TYPE \" +\n \"SYSREQ_EDOC_AUTHOR \" +\n \"SYSREQ_EDOC_CREATED \" +\n \"SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE \" +\n \"SYSREQ_EDOC_EDITOR \" +\n \"SYSREQ_EDOC_ENCODE_TYPE \" +\n \"SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME \" +\n \"SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION \" +\n \"SYSREQ_EDOC_EXPORT_DATE \" +\n \"SYSREQ_EDOC_EXPORTER \" +\n \"SYSREQ_EDOC_KIND \" +\n \"SYSREQ_EDOC_LIFE_STAGE_NAME \" +\n \"SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE \" +\n \"SYSREQ_EDOC_MODIFIED \" +\n \"SYSREQ_EDOC_NAME \" +\n \"SYSREQ_EDOC_NOTE \" +\n \"SYSREQ_EDOC_QUALIFIED_ID \" +\n \"SYSREQ_EDOC_SESSION_KEY \" +\n \"SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME \" +\n \"SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION \" +\n \"SYSREQ_EDOC_SIGNATURE_TYPE \" +\n \"SYSREQ_EDOC_SIGNED \" +\n \"SYSREQ_EDOC_STORAGE \" +\n \"SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE \" +\n \"SYSREQ_EDOC_STORAGES_CHECK_RIGHTS \" +\n \"SYSREQ_EDOC_STORAGES_COMPUTER_NAME \" +\n \"SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE \" +\n \"SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE \" +\n \"SYSREQ_EDOC_STORAGES_FUNCTION \" +\n \"SYSREQ_EDOC_STORAGES_INITIALIZED \" +\n \"SYSREQ_EDOC_STORAGES_LOCAL_PATH \" +\n \"SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME \" +\n \"SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT \" +\n \"SYSREQ_EDOC_STORAGES_SERVER_NAME \" +\n \"SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME \" +\n \"SYSREQ_EDOC_STORAGES_TYPE \" +\n \"SYSREQ_EDOC_TEXT_MODIFIED \" +\n \"SYSREQ_EDOC_TYPE_ACT_CODE \" +\n \"SYSREQ_EDOC_TYPE_ACT_DESCRIPTION \" +\n \"SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID \" +\n \"SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE \" +\n \"SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS \" +\n \"SYSREQ_EDOC_TYPE_ACT_SECTION \" +\n \"SYSREQ_EDOC_TYPE_ADD_PARAMS \" +\n \"SYSREQ_EDOC_TYPE_COMMENT \" +\n \"SYSREQ_EDOC_TYPE_EVENT_TEXT \" +\n \"SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR \" +\n \"SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID \" +\n \"SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID \" +\n \"SYSREQ_EDOC_TYPE_NUMERATION_METHOD \" +\n \"SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE \" +\n \"SYSREQ_EDOC_TYPE_REQ_CODE \" +\n \"SYSREQ_EDOC_TYPE_REQ_DESCRIPTION \" +\n \"SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID \" +\n \"SYSREQ_EDOC_TYPE_REQ_IS_LEADING \" +\n \"SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED \" +\n \"SYSREQ_EDOC_TYPE_REQ_NUMBER \" +\n \"SYSREQ_EDOC_TYPE_REQ_ON_CHANGE \" +\n \"SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS \" +\n \"SYSREQ_EDOC_TYPE_REQ_ON_SELECT \" +\n \"SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND \" +\n \"SYSREQ_EDOC_TYPE_REQ_SECTION \" +\n \"SYSREQ_EDOC_TYPE_VIEW_CARD \" +\n \"SYSREQ_EDOC_TYPE_VIEW_CODE \" +\n \"SYSREQ_EDOC_TYPE_VIEW_COMMENT \" +\n \"SYSREQ_EDOC_TYPE_VIEW_IS_MAIN \" +\n \"SYSREQ_EDOC_TYPE_VIEW_NAME \" +\n \"SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID \" +\n \"SYSREQ_EDOC_VERSION_AUTHOR \" +\n \"SYSREQ_EDOC_VERSION_CRC \" +\n \"SYSREQ_EDOC_VERSION_DATA \" +\n \"SYSREQ_EDOC_VERSION_EDITOR \" +\n \"SYSREQ_EDOC_VERSION_EXPORT_DATE \" +\n \"SYSREQ_EDOC_VERSION_EXPORTER \" +\n \"SYSREQ_EDOC_VERSION_HIDDEN \" +\n \"SYSREQ_EDOC_VERSION_LIFE_STAGE \" +\n \"SYSREQ_EDOC_VERSION_MODIFIED \" +\n \"SYSREQ_EDOC_VERSION_NOTE \" +\n \"SYSREQ_EDOC_VERSION_SIGNATURE_TYPE \" +\n \"SYSREQ_EDOC_VERSION_SIGNED \" +\n \"SYSREQ_EDOC_VERSION_SIZE \" +\n \"SYSREQ_EDOC_VERSION_SOURCE \" +\n \"SYSREQ_EDOC_VERSION_TEXT_MODIFIED \" +\n \"SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE \" +\n \"SYSREQ_FOLDER_KIND \" +\n \"SYSREQ_FUNC_CATEGORY \" +\n \"SYSREQ_FUNC_COMMENT \" +\n \"SYSREQ_FUNC_GROUP \" +\n \"SYSREQ_FUNC_GROUP_COMMENT \" +\n \"SYSREQ_FUNC_GROUP_NUMBER \" +\n \"SYSREQ_FUNC_HELP \" +\n \"SYSREQ_FUNC_PARAM_DEF_VALUE \" +\n \"SYSREQ_FUNC_PARAM_IDENT \" +\n \"SYSREQ_FUNC_PARAM_NUMBER \" +\n \"SYSREQ_FUNC_PARAM_TYPE \" +\n \"SYSREQ_FUNC_TEXT \" +\n \"SYSREQ_GROUP_CATEGORY \" +\n \"SYSREQ_ID \" +\n \"SYSREQ_LAST_UPDATE \" +\n \"SYSREQ_LEADER_REFERENCE \" +\n \"SYSREQ_LINE_NUMBER \" +\n \"SYSREQ_MAIN_RECORD_ID \" +\n \"SYSREQ_NAME \" +\n \"SYSREQ_NAME_LOCALIZE_ID \" +\n \"SYSREQ_NOTE \" +\n \"SYSREQ_ORIGINAL_RECORD \" +\n \"SYSREQ_OUR_FIRM \" +\n \"SYSREQ_PROFILING_SETTINGS_BATCH_LOGING \" +\n \"SYSREQ_PROFILING_SETTINGS_BATCH_SIZE \" +\n \"SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED \" +\n \"SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED \" +\n \"SYSREQ_PROFILING_SETTINGS_START_LOGGED \" +\n \"SYSREQ_RECORD_STATUS \" +\n \"SYSREQ_REF_REQ_FIELD_NAME \" +\n \"SYSREQ_REF_REQ_FORMAT \" +\n \"SYSREQ_REF_REQ_GENERATED \" +\n \"SYSREQ_REF_REQ_LENGTH \" +\n \"SYSREQ_REF_REQ_PRECISION \" +\n \"SYSREQ_REF_REQ_REFERENCE \" +\n \"SYSREQ_REF_REQ_SECTION \" +\n \"SYSREQ_REF_REQ_STORED \" +\n \"SYSREQ_REF_REQ_TOKENS \" +\n \"SYSREQ_REF_REQ_TYPE \" +\n \"SYSREQ_REF_REQ_VIEW \" +\n \"SYSREQ_REF_TYPE_ACT_CODE \" +\n \"SYSREQ_REF_TYPE_ACT_DESCRIPTION \" +\n \"SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID \" +\n \"SYSREQ_REF_TYPE_ACT_ON_EXECUTE \" +\n \"SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS \" +\n \"SYSREQ_REF_TYPE_ACT_SECTION \" +\n \"SYSREQ_REF_TYPE_ADD_PARAMS \" +\n \"SYSREQ_REF_TYPE_COMMENT \" +\n \"SYSREQ_REF_TYPE_COMMON_SETTINGS \" +\n \"SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME \" +\n \"SYSREQ_REF_TYPE_EVENT_TEXT \" +\n \"SYSREQ_REF_TYPE_MAIN_LEADING_REF \" +\n \"SYSREQ_REF_TYPE_NAME_IN_SINGULAR \" +\n \"SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID \" +\n \"SYSREQ_REF_TYPE_NAME_LOCALIZE_ID \" +\n \"SYSREQ_REF_TYPE_NUMERATION_METHOD \" +\n \"SYSREQ_REF_TYPE_REQ_CODE \" +\n \"SYSREQ_REF_TYPE_REQ_DESCRIPTION \" +\n \"SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID \" +\n \"SYSREQ_REF_TYPE_REQ_IS_CONTROL \" +\n \"SYSREQ_REF_TYPE_REQ_IS_FILTER \" +\n \"SYSREQ_REF_TYPE_REQ_IS_LEADING \" +\n \"SYSREQ_REF_TYPE_REQ_IS_REQUIRED \" +\n \"SYSREQ_REF_TYPE_REQ_NUMBER \" +\n \"SYSREQ_REF_TYPE_REQ_ON_CHANGE \" +\n \"SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS \" +\n \"SYSREQ_REF_TYPE_REQ_ON_SELECT \" +\n \"SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND \" +\n \"SYSREQ_REF_TYPE_REQ_SECTION \" +\n \"SYSREQ_REF_TYPE_VIEW_CARD \" +\n \"SYSREQ_REF_TYPE_VIEW_CODE \" +\n \"SYSREQ_REF_TYPE_VIEW_COMMENT \" +\n \"SYSREQ_REF_TYPE_VIEW_IS_MAIN \" +\n \"SYSREQ_REF_TYPE_VIEW_NAME \" +\n \"SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID \" +\n \"SYSREQ_REFERENCE_TYPE_ID \" +\n \"SYSREQ_STATE \" +\n \"SYSREQ_STATЕ \" +\n \"SYSREQ_SYSTEM_SETTINGS_VALUE \" +\n \"SYSREQ_TYPE \" +\n \"SYSREQ_UNIT \" +\n \"SYSREQ_UNIT_ID \" +\n \"SYSREQ_USER_GROUPS_GROUP_FULL_NAME \" +\n \"SYSREQ_USER_GROUPS_GROUP_NAME \" +\n \"SYSREQ_USER_GROUPS_GROUP_SERVER_NAME \" +\n \"SYSREQ_USERS_ACCESS_RIGHTS \" +\n \"SYSREQ_USERS_AUTHENTICATION \" +\n \"SYSREQ_USERS_CATEGORY \" +\n \"SYSREQ_USERS_COMPONENT \" +\n \"SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC \" +\n \"SYSREQ_USERS_DOMAIN \" +\n \"SYSREQ_USERS_FULL_USER_NAME \" +\n \"SYSREQ_USERS_GROUP \" +\n \"SYSREQ_USERS_IS_MAIN_SERVER \" +\n \"SYSREQ_USERS_LOGIN \" +\n \"SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC \" +\n \"SYSREQ_USERS_STATUS \" +\n \"SYSREQ_USERS_USER_CERTIFICATE \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_INFO \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_STATE \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT \" +\n \"SYSREQ_USERS_USER_DEFAULT_CERTIFICATE \" +\n \"SYSREQ_USERS_USER_DESCRIPTION \" +\n \"SYSREQ_USERS_USER_GLOBAL_NAME \" +\n \"SYSREQ_USERS_USER_LOGIN \" +\n \"SYSREQ_USERS_USER_MAIN_SERVER \" +\n \"SYSREQ_USERS_USER_TYPE \" +\n \"SYSREQ_WORK_RULES_FOLDER_ID \";\n\n // Result\n var result_constants = \"RESULT_VAR_NAME RESULT_VAR_NAME_ENG \";\n\n // Rule identification\n var rule_identification_constants =\n \"AUTO_NUMERATION_RULE_ID \" +\n \"CANT_CHANGE_ID_REQUISITE_RULE_ID \" +\n \"CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID \" +\n \"CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID \" +\n \"CHECK_CODE_REQUISITE_RULE_ID \" +\n \"CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID \" +\n \"CHECK_FILTRATER_CHANGES_RULE_ID \" +\n \"CHECK_RECORD_INTERVAL_RULE_ID \" +\n \"CHECK_REFERENCE_INTERVAL_RULE_ID \" +\n \"CHECK_REQUIRED_DATA_FULLNESS_RULE_ID \" +\n \"CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID \" +\n \"MAKE_RECORD_UNRATIFIED_RULE_ID \" +\n \"RESTORE_AUTO_NUMERATION_RULE_ID \" +\n \"SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID \" +\n \"SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID \" +\n \"SET_IDSPS_VALUE_RULE_ID \" +\n \"SET_NEXT_CODE_VALUE_RULE_ID \" +\n \"SET_OURFIRM_BOUNDS_RULE_ID \" +\n \"SET_OURFIRM_REQUISITE_RULE_ID \";\n\n // Script block properties\n var script_block_properties_constants =\n \"SCRIPT_BLOCK_AFTER_FINISH_EVENT \" +\n \"SCRIPT_BLOCK_BEFORE_START_EVENT \" +\n \"SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY \" +\n \"SCRIPT_BLOCK_NAME_PROPERTY \" +\n \"SCRIPT_BLOCK_SCRIPT_PROPERTY \";\n\n // Subtask block properties\n var subtask_block_properties_constants =\n \"SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY \" +\n \"SUBTASK_BLOCK_AFTER_FINISH_EVENT \" +\n \"SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT \" +\n \"SUBTASK_BLOCK_ATTACHMENTS_PROPERTY \" +\n \"SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY \" +\n \"SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY \" +\n \"SUBTASK_BLOCK_BEFORE_START_EVENT \" +\n \"SUBTASK_BLOCK_CREATED_TASK_PROPERTY \" +\n \"SUBTASK_BLOCK_CREATION_EVENT \" +\n \"SUBTASK_BLOCK_DEADLINE_PROPERTY \" +\n \"SUBTASK_BLOCK_IMPORTANCE_PROPERTY \" +\n \"SUBTASK_BLOCK_INITIATOR_PROPERTY \" +\n \"SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY \" +\n \"SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY \" +\n \"SUBTASK_BLOCK_JOBS_TYPE_PROPERTY \" +\n \"SUBTASK_BLOCK_NAME_PROPERTY \" +\n \"SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY \" +\n \"SUBTASK_BLOCK_PERFORMERS_PROPERTY \" +\n \"SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY \" +\n \"SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \" +\n \"SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY \" +\n \"SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY \" +\n \"SUBTASK_BLOCK_START_EVENT \" +\n \"SUBTASK_BLOCK_STEP_CONTROL_PROPERTY \" +\n \"SUBTASK_BLOCK_SUBJECT_PROPERTY \" +\n \"SUBTASK_BLOCK_TASK_CONTROL_PROPERTY \" +\n \"SUBTASK_BLOCK_TEXT_PROPERTY \" +\n \"SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY \" +\n \"SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY \" +\n \"SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY \";\n\n // System component\n var system_component_constants =\n \"SYSCOMP_CONTROL_JOBS \" +\n \"SYSCOMP_FOLDERS \" +\n \"SYSCOMP_JOBS \" +\n \"SYSCOMP_NOTICES \" +\n \"SYSCOMP_TASKS \";\n\n // System dialogs\n var system_dialogs_constants =\n \"SYSDLG_CREATE_EDOCUMENT \" +\n \"SYSDLG_CREATE_EDOCUMENT_VERSION \" +\n \"SYSDLG_CURRENT_PERIOD \" +\n \"SYSDLG_EDIT_FUNCTION_HELP \" +\n \"SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE \" +\n \"SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS \" +\n \"SYSDLG_EXPORT_SINGLE_EDOCUMENT \" +\n \"SYSDLG_IMPORT_EDOCUMENT \" +\n \"SYSDLG_MULTIPLE_SELECT \" +\n \"SYSDLG_SETUP_ACCESS_RIGHTS \" +\n \"SYSDLG_SETUP_DEFAULT_RIGHTS \" +\n \"SYSDLG_SETUP_FILTER_CONDITION \" +\n \"SYSDLG_SETUP_SIGN_RIGHTS \" +\n \"SYSDLG_SETUP_TASK_OBSERVERS \" +\n \"SYSDLG_SETUP_TASK_ROUTE \" +\n \"SYSDLG_SETUP_USERS_LIST \" +\n \"SYSDLG_SIGN_EDOCUMENT \" +\n \"SYSDLG_SIGN_MULTIPLE_EDOCUMENTS \";\n\n // System reference names\n var system_reference_names_constants =\n \"SYSREF_ACCESS_RIGHTS_TYPES \" +\n \"SYSREF_ADMINISTRATION_HISTORY \" +\n \"SYSREF_ALL_AVAILABLE_COMPONENTS \" +\n \"SYSREF_ALL_AVAILABLE_PRIVILEGES \" +\n \"SYSREF_ALL_REPLICATING_COMPONENTS \" +\n \"SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS \" +\n \"SYSREF_CALENDAR_EVENTS \" +\n \"SYSREF_COMPONENT_TOKEN_HISTORY \" +\n \"SYSREF_COMPONENT_TOKENS \" +\n \"SYSREF_COMPONENTS \" +\n \"SYSREF_CONSTANTS \" +\n \"SYSREF_DATA_RECEIVE_PROTOCOL \" +\n \"SYSREF_DATA_SEND_PROTOCOL \" +\n \"SYSREF_DIALOGS \" +\n \"SYSREF_DIALOGS_REQUISITES \" +\n \"SYSREF_EDITORS \" +\n \"SYSREF_EDOC_CARDS \" +\n \"SYSREF_EDOC_TYPES \" +\n \"SYSREF_EDOCUMENT_CARD_REQUISITES \" +\n \"SYSREF_EDOCUMENT_CARD_TYPES \" +\n \"SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE \" +\n \"SYSREF_EDOCUMENT_CARDS \" +\n \"SYSREF_EDOCUMENT_HISTORY \" +\n \"SYSREF_EDOCUMENT_KINDS \" +\n \"SYSREF_EDOCUMENT_REQUISITES \" +\n \"SYSREF_EDOCUMENT_SIGNATURES \" +\n \"SYSREF_EDOCUMENT_TEMPLATES \" +\n \"SYSREF_EDOCUMENT_TEXT_STORAGES \" +\n \"SYSREF_EDOCUMENT_VIEWS \" +\n \"SYSREF_FILTERER_SETUP_CONFLICTS \" +\n \"SYSREF_FILTRATER_SETTING_CONFLICTS \" +\n \"SYSREF_FOLDER_HISTORY \" +\n \"SYSREF_FOLDERS \" +\n \"SYSREF_FUNCTION_GROUPS \" +\n \"SYSREF_FUNCTION_PARAMS \" +\n \"SYSREF_FUNCTIONS \" +\n \"SYSREF_JOB_HISTORY \" +\n \"SYSREF_LINKS \" +\n \"SYSREF_LOCALIZATION_DICTIONARY \" +\n \"SYSREF_LOCALIZATION_LANGUAGES \" +\n \"SYSREF_MODULES \" +\n \"SYSREF_PRIVILEGES \" +\n \"SYSREF_RECORD_HISTORY \" +\n \"SYSREF_REFERENCE_REQUISITES \" +\n \"SYSREF_REFERENCE_TYPE_VIEWS \" +\n \"SYSREF_REFERENCE_TYPES \" +\n \"SYSREF_REFERENCES \" +\n \"SYSREF_REFERENCES_REQUISITES \" +\n \"SYSREF_REMOTE_SERVERS \" +\n \"SYSREF_REPLICATION_SESSIONS_LOG \" +\n \"SYSREF_REPLICATION_SESSIONS_PROTOCOL \" +\n \"SYSREF_REPORTS \" +\n \"SYSREF_ROLES \" +\n \"SYSREF_ROUTE_BLOCK_GROUPS \" +\n \"SYSREF_ROUTE_BLOCKS \" +\n \"SYSREF_SCRIPTS \" +\n \"SYSREF_SEARCHES \" +\n \"SYSREF_SERVER_EVENTS \" +\n \"SYSREF_SERVER_EVENTS_HISTORY \" +\n \"SYSREF_STANDARD_ROUTE_GROUPS \" +\n \"SYSREF_STANDARD_ROUTES \" +\n \"SYSREF_STATUSES \" +\n \"SYSREF_SYSTEM_SETTINGS \" +\n \"SYSREF_TASK_HISTORY \" +\n \"SYSREF_TASK_KIND_GROUPS \" +\n \"SYSREF_TASK_KINDS \" +\n \"SYSREF_TASK_RIGHTS \" +\n \"SYSREF_TASK_SIGNATURES \" +\n \"SYSREF_TASKS \" +\n \"SYSREF_UNITS \" +\n \"SYSREF_USER_GROUPS \" +\n \"SYSREF_USER_GROUPS_REFERENCE \" +\n \"SYSREF_USER_SUBSTITUTION \" +\n \"SYSREF_USERS \" +\n \"SYSREF_USERS_REFERENCE \" +\n \"SYSREF_VIEWERS \" +\n \"SYSREF_WORKING_TIME_CALENDARS \";\n\n // Table name\n var table_name_constants =\n \"ACCESS_RIGHTS_TABLE_NAME \" +\n \"EDMS_ACCESS_TABLE_NAME \" +\n \"EDOC_TYPES_TABLE_NAME \";\n\n // Test\n var test_constants =\n \"TEST_DEV_DB_NAME \" +\n \"TEST_DEV_SYSTEM_CODE \" +\n \"TEST_EDMS_DB_NAME \" +\n \"TEST_EDMS_MAIN_CODE \" +\n \"TEST_EDMS_MAIN_DB_NAME \" +\n \"TEST_EDMS_SECOND_CODE \" +\n \"TEST_EDMS_SECOND_DB_NAME \" +\n \"TEST_EDMS_SYSTEM_CODE \" +\n \"TEST_ISB5_MAIN_CODE \" +\n \"TEST_ISB5_SECOND_CODE \" +\n \"TEST_SQL_SERVER_2005_NAME \" +\n \"TEST_SQL_SERVER_NAME \";\n\n // Using the dialog windows\n var using_the_dialog_windows_constants =\n \"ATTENTION_CAPTION \" +\n \"cbsCommandLinks \" +\n \"cbsDefault \" +\n \"CONFIRMATION_CAPTION \" +\n \"ERROR_CAPTION \" +\n \"INFORMATION_CAPTION \" +\n \"mrCancel \" +\n \"mrOk \";\n\n // Using the document\n var using_the_document_constants =\n \"EDOC_VERSION_ACTIVE_STAGE_CODE \" +\n \"EDOC_VERSION_DESIGN_STAGE_CODE \" +\n \"EDOC_VERSION_OBSOLETE_STAGE_CODE \";\n\n // Using the EA and encryption\n var using_the_EA_and_encryption_constants =\n \"cpDataEnciphermentEnabled \" +\n \"cpDigitalSignatureEnabled \" +\n \"cpID \" +\n \"cpIssuer \" +\n \"cpPluginVersion \" +\n \"cpSerial \" +\n \"cpSubjectName \" +\n \"cpSubjSimpleName \" +\n \"cpValidFromDate \" +\n \"cpValidToDate \";\n\n // Using the ISBL-editor\n var using_the_ISBL_editor_constants =\n \"ISBL_SYNTAX \" + \"NO_SYNTAX \" + \"XML_SYNTAX \";\n\n // Wait block properties\n var wait_block_properties_constants =\n \"WAIT_BLOCK_AFTER_FINISH_EVENT \" +\n \"WAIT_BLOCK_BEFORE_START_EVENT \" +\n \"WAIT_BLOCK_DEADLINE_PROPERTY \" +\n \"WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY \" +\n \"WAIT_BLOCK_NAME_PROPERTY \" +\n \"WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \";\n\n // SYSRES Common\n var sysres_common_constants =\n \"SYSRES_COMMON \" +\n \"SYSRES_CONST \" +\n \"SYSRES_MBFUNC \" +\n \"SYSRES_SBDATA \" +\n \"SYSRES_SBGUI \" +\n \"SYSRES_SBINTF \" +\n \"SYSRES_SBREFDSC \" +\n \"SYSRES_SQLERRORS \" +\n \"SYSRES_SYSCOMP \";\n\n // Константы ==> built_in\n var CONSTANTS =\n sysres_constants +\n base_constants +\n base_group_name_constants +\n decision_block_properties_constants +\n file_extension_constants +\n job_block_properties_constants +\n language_code_constants +\n launching_external_applications_constants +\n link_kind_constants +\n lock_type_constants +\n monitor_block_properties_constants +\n notice_block_properties_constants +\n object_events_constants +\n object_params_constants +\n other_constants +\n privileges_constants +\n pseudoreference_code_constants +\n requisite_ISBCertificateType_values_constants +\n requisite_ISBEDocStorageType_values_constants +\n requisite_compType2_values_constants +\n requisite_name_constants +\n result_constants +\n rule_identification_constants +\n script_block_properties_constants +\n subtask_block_properties_constants +\n system_component_constants +\n system_dialogs_constants +\n system_reference_names_constants +\n table_name_constants +\n test_constants +\n using_the_dialog_windows_constants +\n using_the_document_constants +\n using_the_EA_and_encryption_constants +\n using_the_ISBL_editor_constants +\n wait_block_properties_constants +\n sysres_common_constants;\n\n // enum TAccountType\n var TAccountType = \"atUser atGroup atRole \";\n\n // enum TActionEnabledMode\n var TActionEnabledMode =\n \"aemEnabledAlways \" +\n \"aemDisabledAlways \" +\n \"aemEnabledOnBrowse \" +\n \"aemEnabledOnEdit \" +\n \"aemDisabledOnBrowseEmpty \";\n\n // enum TAddPosition\n var TAddPosition = \"apBegin apEnd \";\n\n // enum TAlignment\n var TAlignment = \"alLeft alRight \";\n\n // enum TAreaShowMode\n var TAreaShowMode =\n \"asmNever \" +\n \"asmNoButCustomize \" +\n \"asmAsLastTime \" +\n \"asmYesButCustomize \" +\n \"asmAlways \";\n\n // enum TCertificateInvalidationReason\n var TCertificateInvalidationReason = \"cirCommon cirRevoked \";\n\n // enum TCertificateType\n var TCertificateType = \"ctSignature ctEncode ctSignatureEncode \";\n\n // enum TCheckListBoxItemState\n var TCheckListBoxItemState = \"clbUnchecked clbChecked clbGrayed \";\n\n // enum TCloseOnEsc\n var TCloseOnEsc = \"ceISB ceAlways ceNever \";\n\n // enum TCompType\n var TCompType =\n \"ctDocument \" +\n \"ctReference \" +\n \"ctScript \" +\n \"ctUnknown \" +\n \"ctReport \" +\n \"ctDialog \" +\n \"ctFunction \" +\n \"ctFolder \" +\n \"ctEDocument \" +\n \"ctTask \" +\n \"ctJob \" +\n \"ctNotice \" +\n \"ctControlJob \";\n\n // enum TConditionFormat\n var TConditionFormat = \"cfInternal cfDisplay \";\n\n // enum TConnectionIntent\n var TConnectionIntent = \"ciUnspecified ciWrite ciRead \";\n\n // enum TContentKind\n var TContentKind =\n \"ckFolder \" +\n \"ckEDocument \" +\n \"ckTask \" +\n \"ckJob \" +\n \"ckComponentToken \" +\n \"ckAny \" +\n \"ckReference \" +\n \"ckScript \" +\n \"ckReport \" +\n \"ckDialog \";\n\n // enum TControlType\n var TControlType =\n \"ctISBLEditor \" +\n \"ctBevel \" +\n \"ctButton \" +\n \"ctCheckListBox \" +\n \"ctComboBox \" +\n \"ctComboEdit \" +\n \"ctGrid \" +\n \"ctDBCheckBox \" +\n \"ctDBComboBox \" +\n \"ctDBEdit \" +\n \"ctDBEllipsis \" +\n \"ctDBMemo \" +\n \"ctDBNavigator \" +\n \"ctDBRadioGroup \" +\n \"ctDBStatusLabel \" +\n \"ctEdit \" +\n \"ctGroupBox \" +\n \"ctInplaceHint \" +\n \"ctMemo \" +\n \"ctPanel \" +\n \"ctListBox \" +\n \"ctRadioButton \" +\n \"ctRichEdit \" +\n \"ctTabSheet \" +\n \"ctWebBrowser \" +\n \"ctImage \" +\n \"ctHyperLink \" +\n \"ctLabel \" +\n \"ctDBMultiEllipsis \" +\n \"ctRibbon \" +\n \"ctRichView \" +\n \"ctInnerPanel \" +\n \"ctPanelGroup \" +\n \"ctBitButton \";\n\n // enum TCriterionContentType\n var TCriterionContentType =\n \"cctDate \" +\n \"cctInteger \" +\n \"cctNumeric \" +\n \"cctPick \" +\n \"cctReference \" +\n \"cctString \" +\n \"cctText \";\n\n // enum TCultureType\n var TCultureType = \"cltInternal cltPrimary cltGUI \";\n\n // enum TDataSetEventType\n var TDataSetEventType =\n \"dseBeforeOpen \" +\n \"dseAfterOpen \" +\n \"dseBeforeClose \" +\n \"dseAfterClose \" +\n \"dseOnValidDelete \" +\n \"dseBeforeDelete \" +\n \"dseAfterDelete \" +\n \"dseAfterDeleteOutOfTransaction \" +\n \"dseOnDeleteError \" +\n \"dseBeforeInsert \" +\n \"dseAfterInsert \" +\n \"dseOnValidUpdate \" +\n \"dseBeforeUpdate \" +\n \"dseOnUpdateRatifiedRecord \" +\n \"dseAfterUpdate \" +\n \"dseAfterUpdateOutOfTransaction \" +\n \"dseOnUpdateError \" +\n \"dseAfterScroll \" +\n \"dseOnOpenRecord \" +\n \"dseOnCloseRecord \" +\n \"dseBeforeCancel \" +\n \"dseAfterCancel \" +\n \"dseOnUpdateDeadlockError \" +\n \"dseBeforeDetailUpdate \" +\n \"dseOnPrepareUpdate \" +\n \"dseOnAnyRequisiteChange \";\n\n // enum TDataSetState\n var TDataSetState = \"dssEdit dssInsert dssBrowse dssInActive \";\n\n // enum TDateFormatType\n var TDateFormatType = \"dftDate dftShortDate dftDateTime dftTimeStamp \";\n\n // enum TDateOffsetType\n var TDateOffsetType = \"dotDays dotHours dotMinutes dotSeconds \";\n\n // enum TDateTimeKind\n var TDateTimeKind = \"dtkndLocal dtkndUTC \";\n\n // enum TDeaAccessRights\n var TDeaAccessRights = \"arNone arView arEdit arFull \";\n\n // enum TDocumentDefaultAction\n var TDocumentDefaultAction = \"ddaView ddaEdit \";\n\n // enum TEditMode\n var TEditMode =\n \"emLock \" +\n \"emEdit \" +\n \"emSign \" +\n \"emExportWithLock \" +\n \"emImportWithUnlock \" +\n \"emChangeVersionNote \" +\n \"emOpenForModify \" +\n \"emChangeLifeStage \" +\n \"emDelete \" +\n \"emCreateVersion \" +\n \"emImport \" +\n \"emUnlockExportedWithLock \" +\n \"emStart \" +\n \"emAbort \" +\n \"emReInit \" +\n \"emMarkAsReaded \" +\n \"emMarkAsUnreaded \" +\n \"emPerform \" +\n \"emAccept \" +\n \"emResume \" +\n \"emChangeRights \" +\n \"emEditRoute \" +\n \"emEditObserver \" +\n \"emRecoveryFromLocalCopy \" +\n \"emChangeWorkAccessType \" +\n \"emChangeEncodeTypeToCertificate \" +\n \"emChangeEncodeTypeToPassword \" +\n \"emChangeEncodeTypeToNone \" +\n \"emChangeEncodeTypeToCertificatePassword \" +\n \"emChangeStandardRoute \" +\n \"emGetText \" +\n \"emOpenForView \" +\n \"emMoveToStorage \" +\n \"emCreateObject \" +\n \"emChangeVersionHidden \" +\n \"emDeleteVersion \" +\n \"emChangeLifeCycleStage \" +\n \"emApprovingSign \" +\n \"emExport \" +\n \"emContinue \" +\n \"emLockFromEdit \" +\n \"emUnLockForEdit \" +\n \"emLockForServer \" +\n \"emUnlockFromServer \" +\n \"emDelegateAccessRights \" +\n \"emReEncode \";\n\n // enum TEditorCloseObservType\n var TEditorCloseObservType = \"ecotFile ecotProcess \";\n\n // enum TEdmsApplicationAction\n var TEdmsApplicationAction = \"eaGet eaCopy eaCreate eaCreateStandardRoute \";\n\n // enum TEDocumentLockType\n var TEDocumentLockType = \"edltAll edltNothing edltQuery \";\n\n // enum TEDocumentStepShowMode\n var TEDocumentStepShowMode = \"essmText essmCard \";\n\n // enum TEDocumentStepVersionType\n var TEDocumentStepVersionType = \"esvtLast esvtLastActive esvtSpecified \";\n\n // enum TEDocumentStorageFunction\n var TEDocumentStorageFunction = \"edsfExecutive edsfArchive \";\n\n // enum TEDocumentStorageType\n var TEDocumentStorageType = \"edstSQLServer edstFile \";\n\n // enum TEDocumentVersionSourceType\n var TEDocumentVersionSourceType =\n \"edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile \";\n\n // enum TEDocumentVersionState\n var TEDocumentVersionState = \"vsDefault vsDesign vsActive vsObsolete \";\n\n // enum TEncodeType\n var TEncodeType = \"etNone etCertificate etPassword etCertificatePassword \";\n\n // enum TExceptionCategory\n var TExceptionCategory = \"ecException ecWarning ecInformation \";\n\n // enum TExportedSignaturesType\n var TExportedSignaturesType = \"estAll estApprovingOnly \";\n\n // enum TExportedVersionType\n var TExportedVersionType = \"evtLast evtLastActive evtQuery \";\n\n // enum TFieldDataType\n var TFieldDataType =\n \"fdtString \" +\n \"fdtNumeric \" +\n \"fdtInteger \" +\n \"fdtDate \" +\n \"fdtText \" +\n \"fdtUnknown \" +\n \"fdtWideString \" +\n \"fdtLargeInteger \";\n\n // enum TFolderType\n var TFolderType =\n \"ftInbox \" +\n \"ftOutbox \" +\n \"ftFavorites \" +\n \"ftCommonFolder \" +\n \"ftUserFolder \" +\n \"ftComponents \" +\n \"ftQuickLaunch \" +\n \"ftShortcuts \" +\n \"ftSearch \";\n\n // enum TGridRowHeight\n var TGridRowHeight = \"grhAuto \" + \"grhX1 \" + \"grhX2 \" + \"grhX3 \";\n\n // enum THyperlinkType\n var THyperlinkType = \"hltText \" + \"hltRTF \" + \"hltHTML \";\n\n // enum TImageFileFormat\n var TImageFileFormat =\n \"iffBMP \" +\n \"iffJPEG \" +\n \"iffMultiPageTIFF \" +\n \"iffSinglePageTIFF \" +\n \"iffTIFF \" +\n \"iffPNG \";\n\n // enum TImageMode\n var TImageMode = \"im8bGrayscale \" + \"im24bRGB \" + \"im1bMonochrome \";\n\n // enum TImageType\n var TImageType = \"itBMP \" + \"itJPEG \" + \"itWMF \" + \"itPNG \";\n\n // enum TInplaceHintKind\n var TInplaceHintKind =\n \"ikhInformation \" + \"ikhWarning \" + \"ikhError \" + \"ikhNoIcon \";\n\n // enum TISBLContext\n var TISBLContext =\n \"icUnknown \" +\n \"icScript \" +\n \"icFunction \" +\n \"icIntegratedReport \" +\n \"icAnalyticReport \" +\n \"icDataSetEventHandler \" +\n \"icActionHandler \" +\n \"icFormEventHandler \" +\n \"icLookUpEventHandler \" +\n \"icRequisiteChangeEventHandler \" +\n \"icBeforeSearchEventHandler \" +\n \"icRoleCalculation \" +\n \"icSelectRouteEventHandler \" +\n \"icBlockPropertyCalculation \" +\n \"icBlockQueryParamsEventHandler \" +\n \"icChangeSearchResultEventHandler \" +\n \"icBlockEventHandler \" +\n \"icSubTaskInitEventHandler \" +\n \"icEDocDataSetEventHandler \" +\n \"icEDocLookUpEventHandler \" +\n \"icEDocActionHandler \" +\n \"icEDocFormEventHandler \" +\n \"icEDocRequisiteChangeEventHandler \" +\n \"icStructuredConversionRule \" +\n \"icStructuredConversionEventBefore \" +\n \"icStructuredConversionEventAfter \" +\n \"icWizardEventHandler \" +\n \"icWizardFinishEventHandler \" +\n \"icWizardStepEventHandler \" +\n \"icWizardStepFinishEventHandler \" +\n \"icWizardActionEnableEventHandler \" +\n \"icWizardActionExecuteEventHandler \" +\n \"icCreateJobsHandler \" +\n \"icCreateNoticesHandler \" +\n \"icBeforeLookUpEventHandler \" +\n \"icAfterLookUpEventHandler \" +\n \"icTaskAbortEventHandler \" +\n \"icWorkflowBlockActionHandler \" +\n \"icDialogDataSetEventHandler \" +\n \"icDialogActionHandler \" +\n \"icDialogLookUpEventHandler \" +\n \"icDialogRequisiteChangeEventHandler \" +\n \"icDialogFormEventHandler \" +\n \"icDialogValidCloseEventHandler \" +\n \"icBlockFormEventHandler \" +\n \"icTaskFormEventHandler \" +\n \"icReferenceMethod \" +\n \"icEDocMethod \" +\n \"icDialogMethod \" +\n \"icProcessMessageHandler \";\n\n // enum TItemShow\n var TItemShow = \"isShow \" + \"isHide \" + \"isByUserSettings \";\n\n // enum TJobKind\n var TJobKind = \"jkJob \" + \"jkNotice \" + \"jkControlJob \";\n\n // enum TJoinType\n var TJoinType = \"jtInner \" + \"jtLeft \" + \"jtRight \" + \"jtFull \" + \"jtCross \";\n\n // enum TLabelPos\n var TLabelPos = \"lbpAbove \" + \"lbpBelow \" + \"lbpLeft \" + \"lbpRight \";\n\n // enum TLicensingType\n var TLicensingType = \"eltPerConnection \" + \"eltPerUser \";\n\n // enum TLifeCycleStageFontColor\n var TLifeCycleStageFontColor =\n \"sfcUndefined \" +\n \"sfcBlack \" +\n \"sfcGreen \" +\n \"sfcRed \" +\n \"sfcBlue \" +\n \"sfcOrange \" +\n \"sfcLilac \";\n\n // enum TLifeCycleStageFontStyle\n var TLifeCycleStageFontStyle = \"sfsItalic \" + \"sfsStrikeout \" + \"sfsNormal \";\n\n // enum TLockableDevelopmentComponentType\n var TLockableDevelopmentComponentType =\n \"ldctStandardRoute \" +\n \"ldctWizard \" +\n \"ldctScript \" +\n \"ldctFunction \" +\n \"ldctRouteBlock \" +\n \"ldctIntegratedReport \" +\n \"ldctAnalyticReport \" +\n \"ldctReferenceType \" +\n \"ldctEDocumentType \" +\n \"ldctDialog \" +\n \"ldctServerEvents \";\n\n // enum TMaxRecordCountRestrictionType\n var TMaxRecordCountRestrictionType =\n \"mrcrtNone \" + \"mrcrtUser \" + \"mrcrtMaximal \" + \"mrcrtCustom \";\n\n // enum TRangeValueType\n var TRangeValueType =\n \"vtEqual \" + \"vtGreaterOrEqual \" + \"vtLessOrEqual \" + \"vtRange \";\n\n // enum TRelativeDate\n var TRelativeDate =\n \"rdYesterday \" +\n \"rdToday \" +\n \"rdTomorrow \" +\n \"rdThisWeek \" +\n \"rdThisMonth \" +\n \"rdThisYear \" +\n \"rdNextMonth \" +\n \"rdNextWeek \" +\n \"rdLastWeek \" +\n \"rdLastMonth \";\n\n // enum TReportDestination\n var TReportDestination = \"rdWindow \" + \"rdFile \" + \"rdPrinter \";\n\n // enum TReqDataType\n var TReqDataType =\n \"rdtString \" +\n \"rdtNumeric \" +\n \"rdtInteger \" +\n \"rdtDate \" +\n \"rdtReference \" +\n \"rdtAccount \" +\n \"rdtText \" +\n \"rdtPick \" +\n \"rdtUnknown \" +\n \"rdtLargeInteger \" +\n \"rdtDocument \";\n\n // enum TRequisiteEventType\n var TRequisiteEventType = \"reOnChange \" + \"reOnChangeValues \";\n\n // enum TSBTimeType\n var TSBTimeType = \"ttGlobal \" + \"ttLocal \" + \"ttUser \" + \"ttSystem \";\n\n // enum TSearchShowMode\n var TSearchShowMode =\n \"ssmBrowse \" + \"ssmSelect \" + \"ssmMultiSelect \" + \"ssmBrowseModal \";\n\n // enum TSelectMode\n var TSelectMode = \"smSelect \" + \"smLike \" + \"smCard \";\n\n // enum TSignatureType\n var TSignatureType = \"stNone \" + \"stAuthenticating \" + \"stApproving \";\n\n // enum TSignerContentType\n var TSignerContentType = \"sctString \" + \"sctStream \";\n\n // enum TStringsSortType\n var TStringsSortType = \"sstAnsiSort \" + \"sstNaturalSort \";\n\n // enum TStringValueType\n var TStringValueType = \"svtEqual \" + \"svtContain \";\n\n // enum TStructuredObjectAttributeType\n var TStructuredObjectAttributeType =\n \"soatString \" +\n \"soatNumeric \" +\n \"soatInteger \" +\n \"soatDatetime \" +\n \"soatReferenceRecord \" +\n \"soatText \" +\n \"soatPick \" +\n \"soatBoolean \" +\n \"soatEDocument \" +\n \"soatAccount \" +\n \"soatIntegerCollection \" +\n \"soatNumericCollection \" +\n \"soatStringCollection \" +\n \"soatPickCollection \" +\n \"soatDatetimeCollection \" +\n \"soatBooleanCollection \" +\n \"soatReferenceRecordCollection \" +\n \"soatEDocumentCollection \" +\n \"soatAccountCollection \" +\n \"soatContents \" +\n \"soatUnknown \";\n\n // enum TTaskAbortReason\n var TTaskAbortReason = \"tarAbortByUser \" + \"tarAbortByWorkflowException \";\n\n // enum TTextValueType\n var TTextValueType = \"tvtAllWords \" + \"tvtExactPhrase \" + \"tvtAnyWord \";\n\n // enum TUserObjectStatus\n var TUserObjectStatus =\n \"usNone \" +\n \"usCompleted \" +\n \"usRedSquare \" +\n \"usBlueSquare \" +\n \"usYellowSquare \" +\n \"usGreenSquare \" +\n \"usOrangeSquare \" +\n \"usPurpleSquare \" +\n \"usFollowUp \";\n\n // enum TUserType\n var TUserType =\n \"utUnknown \" +\n \"utUser \" +\n \"utDeveloper \" +\n \"utAdministrator \" +\n \"utSystemDeveloper \" +\n \"utDisconnected \";\n\n // enum TValuesBuildType\n var TValuesBuildType =\n \"btAnd \" + \"btDetailAnd \" + \"btOr \" + \"btNotOr \" + \"btOnly \";\n\n // enum TViewMode\n var TViewMode = \"vmView \" + \"vmSelect \" + \"vmNavigation \";\n\n // enum TViewSelectionMode\n var TViewSelectionMode =\n \"vsmSingle \" + \"vsmMultiple \" + \"vsmMultipleCheck \" + \"vsmNoSelection \";\n\n // enum TWizardActionType\n var TWizardActionType =\n \"wfatPrevious \" + \"wfatNext \" + \"wfatCancel \" + \"wfatFinish \";\n\n // enum TWizardFormElementProperty\n var TWizardFormElementProperty =\n \"wfepUndefined \" +\n \"wfepText3 \" +\n \"wfepText6 \" +\n \"wfepText9 \" +\n \"wfepSpinEdit \" +\n \"wfepDropDown \" +\n \"wfepRadioGroup \" +\n \"wfepFlag \" +\n \"wfepText12 \" +\n \"wfepText15 \" +\n \"wfepText18 \" +\n \"wfepText21 \" +\n \"wfepText24 \" +\n \"wfepText27 \" +\n \"wfepText30 \" +\n \"wfepRadioGroupColumn1 \" +\n \"wfepRadioGroupColumn2 \" +\n \"wfepRadioGroupColumn3 \";\n\n // enum TWizardFormElementType\n var TWizardFormElementType =\n \"wfetQueryParameter \" + \"wfetText \" + \"wfetDelimiter \" + \"wfetLabel \";\n\n // enum TWizardParamType\n var TWizardParamType =\n \"wptString \" +\n \"wptInteger \" +\n \"wptNumeric \" +\n \"wptBoolean \" +\n \"wptDateTime \" +\n \"wptPick \" +\n \"wptText \" +\n \"wptUser \" +\n \"wptUserList \" +\n \"wptEDocumentInfo \" +\n \"wptEDocumentInfoList \" +\n \"wptReferenceRecordInfo \" +\n \"wptReferenceRecordInfoList \" +\n \"wptFolderInfo \" +\n \"wptTaskInfo \" +\n \"wptContents \" +\n \"wptFileName \" +\n \"wptDate \";\n\n // enum TWizardStepResult\n var TWizardStepResult =\n \"wsrComplete \" +\n \"wsrGoNext \" +\n \"wsrGoPrevious \" +\n \"wsrCustom \" +\n \"wsrCancel \" +\n \"wsrGoFinal \";\n\n // enum TWizardStepType\n var TWizardStepType =\n \"wstForm \" +\n \"wstEDocument \" +\n \"wstTaskCard \" +\n \"wstReferenceRecordCard \" +\n \"wstFinal \";\n\n // enum TWorkAccessType\n var TWorkAccessType = \"waAll \" + \"waPerformers \" + \"waManual \";\n\n // enum TWorkflowBlockType\n var TWorkflowBlockType =\n \"wsbStart \" +\n \"wsbFinish \" +\n \"wsbNotice \" +\n \"wsbStep \" +\n \"wsbDecision \" +\n \"wsbWait \" +\n \"wsbMonitor \" +\n \"wsbScript \" +\n \"wsbConnector \" +\n \"wsbSubTask \" +\n \"wsbLifeCycleStage \" +\n \"wsbPause \";\n\n // enum TWorkflowDataType\n var TWorkflowDataType =\n \"wdtInteger \" +\n \"wdtFloat \" +\n \"wdtString \" +\n \"wdtPick \" +\n \"wdtDateTime \" +\n \"wdtBoolean \" +\n \"wdtTask \" +\n \"wdtJob \" +\n \"wdtFolder \" +\n \"wdtEDocument \" +\n \"wdtReferenceRecord \" +\n \"wdtUser \" +\n \"wdtGroup \" +\n \"wdtRole \" +\n \"wdtIntegerCollection \" +\n \"wdtFloatCollection \" +\n \"wdtStringCollection \" +\n \"wdtPickCollection \" +\n \"wdtDateTimeCollection \" +\n \"wdtBooleanCollection \" +\n \"wdtTaskCollection \" +\n \"wdtJobCollection \" +\n \"wdtFolderCollection \" +\n \"wdtEDocumentCollection \" +\n \"wdtReferenceRecordCollection \" +\n \"wdtUserCollection \" +\n \"wdtGroupCollection \" +\n \"wdtRoleCollection \" +\n \"wdtContents \" +\n \"wdtUserList \" +\n \"wdtSearchDescription \" +\n \"wdtDeadLine \" +\n \"wdtPickSet \" +\n \"wdtAccountCollection \";\n\n // enum TWorkImportance\n var TWorkImportance = \"wiLow \" + \"wiNormal \" + \"wiHigh \";\n\n // enum TWorkRouteType\n var TWorkRouteType = \"wrtSoft \" + \"wrtHard \";\n\n // enum TWorkState\n var TWorkState =\n \"wsInit \" +\n \"wsRunning \" +\n \"wsDone \" +\n \"wsControlled \" +\n \"wsAborted \" +\n \"wsContinued \";\n\n // enum TWorkTextBuildingMode\n var TWorkTextBuildingMode =\n \"wtmFull \" + \"wtmFromCurrent \" + \"wtmOnlyCurrent \";\n\n // Перечисления\n var ENUMS =\n TAccountType +\n TActionEnabledMode +\n TAddPosition +\n TAlignment +\n TAreaShowMode +\n TCertificateInvalidationReason +\n TCertificateType +\n TCheckListBoxItemState +\n TCloseOnEsc +\n TCompType +\n TConditionFormat +\n TConnectionIntent +\n TContentKind +\n TControlType +\n TCriterionContentType +\n TCultureType +\n TDataSetEventType +\n TDataSetState +\n TDateFormatType +\n TDateOffsetType +\n TDateTimeKind +\n TDeaAccessRights +\n TDocumentDefaultAction +\n TEditMode +\n TEditorCloseObservType +\n TEdmsApplicationAction +\n TEDocumentLockType +\n TEDocumentStepShowMode +\n TEDocumentStepVersionType +\n TEDocumentStorageFunction +\n TEDocumentStorageType +\n TEDocumentVersionSourceType +\n TEDocumentVersionState +\n TEncodeType +\n TExceptionCategory +\n TExportedSignaturesType +\n TExportedVersionType +\n TFieldDataType +\n TFolderType +\n TGridRowHeight +\n THyperlinkType +\n TImageFileFormat +\n TImageMode +\n TImageType +\n TInplaceHintKind +\n TISBLContext +\n TItemShow +\n TJobKind +\n TJoinType +\n TLabelPos +\n TLicensingType +\n TLifeCycleStageFontColor +\n TLifeCycleStageFontStyle +\n TLockableDevelopmentComponentType +\n TMaxRecordCountRestrictionType +\n TRangeValueType +\n TRelativeDate +\n TReportDestination +\n TReqDataType +\n TRequisiteEventType +\n TSBTimeType +\n TSearchShowMode +\n TSelectMode +\n TSignatureType +\n TSignerContentType +\n TStringsSortType +\n TStringValueType +\n TStructuredObjectAttributeType +\n TTaskAbortReason +\n TTextValueType +\n TUserObjectStatus +\n TUserType +\n TValuesBuildType +\n TViewMode +\n TViewSelectionMode +\n TWizardActionType +\n TWizardFormElementProperty +\n TWizardFormElementType +\n TWizardParamType +\n TWizardStepResult +\n TWizardStepType +\n TWorkAccessType +\n TWorkflowBlockType +\n TWorkflowDataType +\n TWorkImportance +\n TWorkRouteType +\n TWorkState +\n TWorkTextBuildingMode;\n\n // Системные функции ==> SYSFUNCTIONS\n var system_functions =\n \"AddSubString \" +\n \"AdjustLineBreaks \" +\n \"AmountInWords \" +\n \"Analysis \" +\n \"ArrayDimCount \" +\n \"ArrayHighBound \" +\n \"ArrayLowBound \" +\n \"ArrayOf \" +\n \"ArrayReDim \" +\n \"Assert \" +\n \"Assigned \" +\n \"BeginOfMonth \" +\n \"BeginOfPeriod \" +\n \"BuildProfilingOperationAnalysis \" +\n \"CallProcedure \" +\n \"CanReadFile \" +\n \"CArrayElement \" +\n \"CDataSetRequisite \" +\n \"ChangeDate \" +\n \"ChangeReferenceDataset \" +\n \"Char \" +\n \"CharPos \" +\n \"CheckParam \" +\n \"CheckParamValue \" +\n \"CompareStrings \" +\n \"ConstantExists \" +\n \"ControlState \" +\n \"ConvertDateStr \" +\n \"Copy \" +\n \"CopyFile \" +\n \"CreateArray \" +\n \"CreateCachedReference \" +\n \"CreateConnection \" +\n \"CreateDialog \" +\n \"CreateDualListDialog \" +\n \"CreateEditor \" +\n \"CreateException \" +\n \"CreateFile \" +\n \"CreateFolderDialog \" +\n \"CreateInputDialog \" +\n \"CreateLinkFile \" +\n \"CreateList \" +\n \"CreateLock \" +\n \"CreateMemoryDataSet \" +\n \"CreateObject \" +\n \"CreateOpenDialog \" +\n \"CreateProgress \" +\n \"CreateQuery \" +\n \"CreateReference \" +\n \"CreateReport \" +\n \"CreateSaveDialog \" +\n \"CreateScript \" +\n \"CreateSQLPivotFunction \" +\n \"CreateStringList \" +\n \"CreateTreeListSelectDialog \" +\n \"CSelectSQL \" +\n \"CSQL \" +\n \"CSubString \" +\n \"CurrentUserID \" +\n \"CurrentUserName \" +\n \"CurrentVersion \" +\n \"DataSetLocateEx \" +\n \"DateDiff \" +\n \"DateTimeDiff \" +\n \"DateToStr \" +\n \"DayOfWeek \" +\n \"DeleteFile \" +\n \"DirectoryExists \" +\n \"DisableCheckAccessRights \" +\n \"DisableCheckFullShowingRestriction \" +\n \"DisableMassTaskSendingRestrictions \" +\n \"DropTable \" +\n \"DupeString \" +\n \"EditText \" +\n \"EnableCheckAccessRights \" +\n \"EnableCheckFullShowingRestriction \" +\n \"EnableMassTaskSendingRestrictions \" +\n \"EndOfMonth \" +\n \"EndOfPeriod \" +\n \"ExceptionExists \" +\n \"ExceptionsOff \" +\n \"ExceptionsOn \" +\n \"Execute \" +\n \"ExecuteProcess \" +\n \"Exit \" +\n \"ExpandEnvironmentVariables \" +\n \"ExtractFileDrive \" +\n \"ExtractFileExt \" +\n \"ExtractFileName \" +\n \"ExtractFilePath \" +\n \"ExtractParams \" +\n \"FileExists \" +\n \"FileSize \" +\n \"FindFile \" +\n \"FindSubString \" +\n \"FirmContext \" +\n \"ForceDirectories \" +\n \"Format \" +\n \"FormatDate \" +\n \"FormatNumeric \" +\n \"FormatSQLDate \" +\n \"FormatString \" +\n \"FreeException \" +\n \"GetComponent \" +\n \"GetComponentLaunchParam \" +\n \"GetConstant \" +\n \"GetLastException \" +\n \"GetReferenceRecord \" +\n \"GetRefTypeByRefID \" +\n \"GetTableID \" +\n \"GetTempFolder \" +\n \"IfThen \" +\n \"In \" +\n \"IndexOf \" +\n \"InputDialog \" +\n \"InputDialogEx \" +\n \"InteractiveMode \" +\n \"IsFileLocked \" +\n \"IsGraphicFile \" +\n \"IsNumeric \" +\n \"Length \" +\n \"LoadString \" +\n \"LoadStringFmt \" +\n \"LocalTimeToUTC \" +\n \"LowerCase \" +\n \"Max \" +\n \"MessageBox \" +\n \"MessageBoxEx \" +\n \"MimeDecodeBinary \" +\n \"MimeDecodeString \" +\n \"MimeEncodeBinary \" +\n \"MimeEncodeString \" +\n \"Min \" +\n \"MoneyInWords \" +\n \"MoveFile \" +\n \"NewID \" +\n \"Now \" +\n \"OpenFile \" +\n \"Ord \" +\n \"Precision \" +\n \"Raise \" +\n \"ReadCertificateFromFile \" +\n \"ReadFile \" +\n \"ReferenceCodeByID \" +\n \"ReferenceNumber \" +\n \"ReferenceRequisiteMode \" +\n \"ReferenceRequisiteValue \" +\n \"RegionDateSettings \" +\n \"RegionNumberSettings \" +\n \"RegionTimeSettings \" +\n \"RegRead \" +\n \"RegWrite \" +\n \"RenameFile \" +\n \"Replace \" +\n \"Round \" +\n \"SelectServerCode \" +\n \"SelectSQL \" +\n \"ServerDateTime \" +\n \"SetConstant \" +\n \"SetManagedFolderFieldsState \" +\n \"ShowConstantsInputDialog \" +\n \"ShowMessage \" +\n \"Sleep \" +\n \"Split \" +\n \"SQL \" +\n \"SQL2XLSTAB \" +\n \"SQLProfilingSendReport \" +\n \"StrToDate \" +\n \"SubString \" +\n \"SubStringCount \" +\n \"SystemSetting \" +\n \"Time \" +\n \"TimeDiff \" +\n \"Today \" +\n \"Transliterate \" +\n \"Trim \" +\n \"UpperCase \" +\n \"UserStatus \" +\n \"UTCToLocalTime \" +\n \"ValidateXML \" +\n \"VarIsClear \" +\n \"VarIsEmpty \" +\n \"VarIsNull \" +\n \"WorkTimeDiff \" +\n \"WriteFile \" +\n \"WriteFileEx \" +\n \"WriteObjectHistory \" +\n \"Анализ \" +\n \"БазаДанных \" +\n \"БлокЕсть \" +\n \"БлокЕстьРасш \" +\n \"БлокИнфо \" +\n \"БлокСнять \" +\n \"БлокСнятьРасш \" +\n \"БлокУстановить \" +\n \"Ввод \" +\n \"ВводМеню \" +\n \"ВедС \" +\n \"ВедСпр \" +\n \"ВерхняяГраницаМассива \" +\n \"ВнешПрогр \" +\n \"Восст \" +\n \"ВременнаяПапка \" +\n \"Время \" +\n \"ВыборSQL \" +\n \"ВыбратьЗапись \" +\n \"ВыделитьСтр \" +\n \"Вызвать \" +\n \"Выполнить \" +\n \"ВыпПрогр \" +\n \"ГрафическийФайл \" +\n \"ГруппаДополнительно \" +\n \"ДатаВремяСерв \" +\n \"ДеньНедели \" +\n \"ДиалогДаНет \" +\n \"ДлинаСтр \" +\n \"ДобПодстр \" +\n \"ЕПусто \" +\n \"ЕслиТо \" +\n \"ЕЧисло \" +\n \"ЗамПодстр \" +\n \"ЗаписьСправочника \" +\n \"ЗначПоляСпр \" +\n \"ИДТипСпр \" +\n \"ИзвлечьДиск \" +\n \"ИзвлечьИмяФайла \" +\n \"ИзвлечьПуть \" +\n \"ИзвлечьРасширение \" +\n \"ИзмДат \" +\n \"ИзменитьРазмерМассива \" +\n \"ИзмеренийМассива \" +\n \"ИмяОрг \" +\n \"ИмяПоляСпр \" +\n \"Индекс \" +\n \"ИндикаторЗакрыть \" +\n \"ИндикаторОткрыть \" +\n \"ИндикаторШаг \" +\n \"ИнтерактивныйРежим \" +\n \"ИтогТблСпр \" +\n \"КодВидВедСпр \" +\n \"КодВидСпрПоИД \" +\n \"КодПоAnalit \" +\n \"КодСимвола \" +\n \"КодСпр \" +\n \"КолПодстр \" +\n \"КолПроп \" +\n \"КонМес \" +\n \"Конст \" +\n \"КонстЕсть \" +\n \"КонстЗнач \" +\n \"КонТран \" +\n \"КопироватьФайл \" +\n \"КопияСтр \" +\n \"КПериод \" +\n \"КСтрТблСпр \" +\n \"Макс \" +\n \"МаксСтрТблСпр \" +\n \"Массив \" +\n \"Меню \" +\n \"МенюРасш \" +\n \"Мин \" +\n \"НаборДанныхНайтиРасш \" +\n \"НаимВидСпр \" +\n \"НаимПоAnalit \" +\n \"НаимСпр \" +\n \"НастроитьПереводыСтрок \" +\n \"НачМес \" +\n \"НачТран \" +\n \"НижняяГраницаМассива \" +\n \"НомерСпр \" +\n \"НПериод \" +\n \"Окно \" +\n \"Окр \" +\n \"Окружение \" +\n \"ОтлИнфДобавить \" +\n \"ОтлИнфУдалить \" +\n \"Отчет \" +\n \"ОтчетАнал \" +\n \"ОтчетИнт \" +\n \"ПапкаСуществует \" +\n \"Пауза \" +\n \"ПВыборSQL \" +\n \"ПереименоватьФайл \" +\n \"Переменные \" +\n \"ПереместитьФайл \" +\n \"Подстр \" +\n \"ПоискПодстр \" +\n \"ПоискСтр \" +\n \"ПолучитьИДТаблицы \" +\n \"ПользовательДополнительно \" +\n \"ПользовательИД \" +\n \"ПользовательИмя \" +\n \"ПользовательСтатус \" +\n \"Прервать \" +\n \"ПроверитьПараметр \" +\n \"ПроверитьПараметрЗнач \" +\n \"ПроверитьУсловие \" +\n \"РазбСтр \" +\n \"РазнВремя \" +\n \"РазнДат \" +\n \"РазнДатаВремя \" +\n \"РазнРабВремя \" +\n \"РегУстВрем \" +\n \"РегУстДат \" +\n \"РегУстЧсл \" +\n \"РедТекст \" +\n \"РеестрЗапись \" +\n \"РеестрСписокИменПарам \" +\n \"РеестрЧтение \" +\n \"РеквСпр \" +\n \"РеквСпрПр \" +\n \"Сегодня \" +\n \"Сейчас \" +\n \"Сервер \" +\n \"СерверПроцессИД \" +\n \"СертификатФайлСчитать \" +\n \"СжПроб \" +\n \"Символ \" +\n \"СистемаДиректумКод \" +\n \"СистемаИнформация \" +\n \"СистемаКод \" +\n \"Содержит \" +\n \"СоединениеЗакрыть \" +\n \"СоединениеОткрыть \" +\n \"СоздатьДиалог \" +\n \"СоздатьДиалогВыбораИзДвухСписков \" +\n \"СоздатьДиалогВыбораПапки \" +\n \"СоздатьДиалогОткрытияФайла \" +\n \"СоздатьДиалогСохраненияФайла \" +\n \"СоздатьЗапрос \" +\n \"СоздатьИндикатор \" +\n \"СоздатьИсключение \" +\n \"СоздатьКэшированныйСправочник \" +\n \"СоздатьМассив \" +\n \"СоздатьНаборДанных \" +\n \"СоздатьОбъект \" +\n \"СоздатьОтчет \" +\n \"СоздатьПапку \" +\n \"СоздатьРедактор \" +\n \"СоздатьСоединение \" +\n \"СоздатьСписок \" +\n \"СоздатьСписокСтрок \" +\n \"СоздатьСправочник \" +\n \"СоздатьСценарий \" +\n \"СоздСпр \" +\n \"СостСпр \" +\n \"Сохр \" +\n \"СохрСпр \" +\n \"СписокСистем \" +\n \"Спр \" +\n \"Справочник \" +\n \"СпрБлокЕсть \" +\n \"СпрБлокСнять \" +\n \"СпрБлокСнятьРасш \" +\n \"СпрБлокУстановить \" +\n \"СпрИзмНабДан \" +\n \"СпрКод \" +\n \"СпрНомер \" +\n \"СпрОбновить \" +\n \"СпрОткрыть \" +\n \"СпрОтменить \" +\n \"СпрПарам \" +\n \"СпрПолеЗнач \" +\n \"СпрПолеИмя \" +\n \"СпрРекв \" +\n \"СпрРеквВведЗн \" +\n \"СпрРеквНовые \" +\n \"СпрРеквПр \" +\n \"СпрРеквПредЗн \" +\n \"СпрРеквРежим \" +\n \"СпрРеквТипТекст \" +\n \"СпрСоздать \" +\n \"СпрСост \" +\n \"СпрСохранить \" +\n \"СпрТблИтог \" +\n \"СпрТблСтр \" +\n \"СпрТблСтрКол \" +\n \"СпрТблСтрМакс \" +\n \"СпрТблСтрМин \" +\n \"СпрТблСтрПред \" +\n \"СпрТблСтрСлед \" +\n \"СпрТблСтрСозд \" +\n \"СпрТблСтрУд \" +\n \"СпрТекПредст \" +\n \"СпрУдалить \" +\n \"СравнитьСтр \" +\n \"СтрВерхРегистр \" +\n \"СтрНижнРегистр \" +\n \"СтрТблСпр \" +\n \"СумПроп \" +\n \"Сценарий \" +\n \"СценарийПарам \" +\n \"ТекВерсия \" +\n \"ТекОрг \" +\n \"Точн \" +\n \"Тран \" +\n \"Транслитерация \" +\n \"УдалитьТаблицу \" +\n \"УдалитьФайл \" +\n \"УдСпр \" +\n \"УдСтрТблСпр \" +\n \"Уст \" +\n \"УстановкиКонстант \" +\n \"ФайлАтрибутСчитать \" +\n \"ФайлАтрибутУстановить \" +\n \"ФайлВремя \" +\n \"ФайлВремяУстановить \" +\n \"ФайлВыбрать \" +\n \"ФайлЗанят \" +\n \"ФайлЗаписать \" +\n \"ФайлИскать \" +\n \"ФайлКопировать \" +\n \"ФайлМожноЧитать \" +\n \"ФайлОткрыть \" +\n \"ФайлПереименовать \" +\n \"ФайлПерекодировать \" +\n \"ФайлПереместить \" +\n \"ФайлПросмотреть \" +\n \"ФайлРазмер \" +\n \"ФайлСоздать \" +\n \"ФайлСсылкаСоздать \" +\n \"ФайлСуществует \" +\n \"ФайлСчитать \" +\n \"ФайлУдалить \" +\n \"ФмтSQLДат \" +\n \"ФмтДат \" +\n \"ФмтСтр \" +\n \"ФмтЧсл \" +\n \"Формат \" +\n \"ЦМассивЭлемент \" +\n \"ЦНаборДанныхРеквизит \" +\n \"ЦПодстр \";\n\n // Предопределенные переменные ==> built_in\n var predefined_variables =\n \"AltState \" +\n \"Application \" +\n \"CallType \" +\n \"ComponentTokens \" +\n \"CreatedJobs \" +\n \"CreatedNotices \" +\n \"ControlState \" +\n \"DialogResult \" +\n \"Dialogs \" +\n \"EDocuments \" +\n \"EDocumentVersionSource \" +\n \"Folders \" +\n \"GlobalIDs \" +\n \"Job \" +\n \"Jobs \" +\n \"InputValue \" +\n \"LookUpReference \" +\n \"LookUpRequisiteNames \" +\n \"LookUpSearch \" +\n \"Object \" +\n \"ParentComponent \" +\n \"Processes \" +\n \"References \" +\n \"Requisite \" +\n \"ReportName \" +\n \"Reports \" +\n \"Result \" +\n \"Scripts \" +\n \"Searches \" +\n \"SelectedAttachments \" +\n \"SelectedItems \" +\n \"SelectMode \" +\n \"Sender \" +\n \"ServerEvents \" +\n \"ServiceFactory \" +\n \"ShiftState \" +\n \"SubTask \" +\n \"SystemDialogs \" +\n \"Tasks \" +\n \"Wizard \" +\n \"Wizards \" +\n \"Work \" +\n \"ВызовСпособ \" +\n \"ИмяОтчета \" +\n \"РеквЗнач \";\n\n // Интерфейсы ==> type\n var interfaces =\n \"IApplication \" +\n \"IAccessRights \" +\n \"IAccountRepository \" +\n \"IAccountSelectionRestrictions \" +\n \"IAction \" +\n \"IActionList \" +\n \"IAdministrationHistoryDescription \" +\n \"IAnchors \" +\n \"IApplication \" +\n \"IArchiveInfo \" +\n \"IAttachment \" +\n \"IAttachmentList \" +\n \"ICheckListBox \" +\n \"ICheckPointedList \" +\n \"IColumn \" +\n \"IComponent \" +\n \"IComponentDescription \" +\n \"IComponentToken \" +\n \"IComponentTokenFactory \" +\n \"IComponentTokenInfo \" +\n \"ICompRecordInfo \" +\n \"IConnection \" +\n \"IContents \" +\n \"IControl \" +\n \"IControlJob \" +\n \"IControlJobInfo \" +\n \"IControlList \" +\n \"ICrypto \" +\n \"ICrypto2 \" +\n \"ICustomJob \" +\n \"ICustomJobInfo \" +\n \"ICustomListBox \" +\n \"ICustomObjectWizardStep \" +\n \"ICustomWork \" +\n \"ICustomWorkInfo \" +\n \"IDataSet \" +\n \"IDataSetAccessInfo \" +\n \"IDataSigner \" +\n \"IDateCriterion \" +\n \"IDateRequisite \" +\n \"IDateRequisiteDescription \" +\n \"IDateValue \" +\n \"IDeaAccessRights \" +\n \"IDeaObjectInfo \" +\n \"IDevelopmentComponentLock \" +\n \"IDialog \" +\n \"IDialogFactory \" +\n \"IDialogPickRequisiteItems \" +\n \"IDialogsFactory \" +\n \"IDICSFactory \" +\n \"IDocRequisite \" +\n \"IDocumentInfo \" +\n \"IDualListDialog \" +\n \"IECertificate \" +\n \"IECertificateInfo \" +\n \"IECertificates \" +\n \"IEditControl \" +\n \"IEditorForm \" +\n \"IEdmsExplorer \" +\n \"IEdmsObject \" +\n \"IEdmsObjectDescription \" +\n \"IEdmsObjectFactory \" +\n \"IEdmsObjectInfo \" +\n \"IEDocument \" +\n \"IEDocumentAccessRights \" +\n \"IEDocumentDescription \" +\n \"IEDocumentEditor \" +\n \"IEDocumentFactory \" +\n \"IEDocumentInfo \" +\n \"IEDocumentStorage \" +\n \"IEDocumentVersion \" +\n \"IEDocumentVersionListDialog \" +\n \"IEDocumentVersionSource \" +\n \"IEDocumentWizardStep \" +\n \"IEDocVerSignature \" +\n \"IEDocVersionState \" +\n \"IEnabledMode \" +\n \"IEncodeProvider \" +\n \"IEncrypter \" +\n \"IEvent \" +\n \"IEventList \" +\n \"IException \" +\n \"IExternalEvents \" +\n \"IExternalHandler \" +\n \"IFactory \" +\n \"IField \" +\n \"IFileDialog \" +\n \"IFolder \" +\n \"IFolderDescription \" +\n \"IFolderDialog \" +\n \"IFolderFactory \" +\n \"IFolderInfo \" +\n \"IForEach \" +\n \"IForm \" +\n \"IFormTitle \" +\n \"IFormWizardStep \" +\n \"IGlobalIDFactory \" +\n \"IGlobalIDInfo \" +\n \"IGrid \" +\n \"IHasher \" +\n \"IHistoryDescription \" +\n \"IHyperLinkControl \" +\n \"IImageButton \" +\n \"IImageControl \" +\n \"IInnerPanel \" +\n \"IInplaceHint \" +\n \"IIntegerCriterion \" +\n \"IIntegerList \" +\n \"IIntegerRequisite \" +\n \"IIntegerValue \" +\n \"IISBLEditorForm \" +\n \"IJob \" +\n \"IJobDescription \" +\n \"IJobFactory \" +\n \"IJobForm \" +\n \"IJobInfo \" +\n \"ILabelControl \" +\n \"ILargeIntegerCriterion \" +\n \"ILargeIntegerRequisite \" +\n \"ILargeIntegerValue \" +\n \"ILicenseInfo \" +\n \"ILifeCycleStage \" +\n \"IList \" +\n \"IListBox \" +\n \"ILocalIDInfo \" +\n \"ILocalization \" +\n \"ILock \" +\n \"IMemoryDataSet \" +\n \"IMessagingFactory \" +\n \"IMetadataRepository \" +\n \"INotice \" +\n \"INoticeInfo \" +\n \"INumericCriterion \" +\n \"INumericRequisite \" +\n \"INumericValue \" +\n \"IObject \" +\n \"IObjectDescription \" +\n \"IObjectImporter \" +\n \"IObjectInfo \" +\n \"IObserver \" +\n \"IPanelGroup \" +\n \"IPickCriterion \" +\n \"IPickProperty \" +\n \"IPickRequisite \" +\n \"IPickRequisiteDescription \" +\n \"IPickRequisiteItem \" +\n \"IPickRequisiteItems \" +\n \"IPickValue \" +\n \"IPrivilege \" +\n \"IPrivilegeList \" +\n \"IProcess \" +\n \"IProcessFactory \" +\n \"IProcessMessage \" +\n \"IProgress \" +\n \"IProperty \" +\n \"IPropertyChangeEvent \" +\n \"IQuery \" +\n \"IReference \" +\n \"IReferenceCriterion \" +\n \"IReferenceEnabledMode \" +\n \"IReferenceFactory \" +\n \"IReferenceHistoryDescription \" +\n \"IReferenceInfo \" +\n \"IReferenceRecordCardWizardStep \" +\n \"IReferenceRequisiteDescription \" +\n \"IReferencesFactory \" +\n \"IReferenceValue \" +\n \"IRefRequisite \" +\n \"IReport \" +\n \"IReportFactory \" +\n \"IRequisite \" +\n \"IRequisiteDescription \" +\n \"IRequisiteDescriptionList \" +\n \"IRequisiteFactory \" +\n \"IRichEdit \" +\n \"IRouteStep \" +\n \"IRule \" +\n \"IRuleList \" +\n \"ISchemeBlock \" +\n \"IScript \" +\n \"IScriptFactory \" +\n \"ISearchCriteria \" +\n \"ISearchCriterion \" +\n \"ISearchDescription \" +\n \"ISearchFactory \" +\n \"ISearchFolderInfo \" +\n \"ISearchForObjectDescription \" +\n \"ISearchResultRestrictions \" +\n \"ISecuredContext \" +\n \"ISelectDialog \" +\n \"IServerEvent \" +\n \"IServerEventFactory \" +\n \"IServiceDialog \" +\n \"IServiceFactory \" +\n \"ISignature \" +\n \"ISignProvider \" +\n \"ISignProvider2 \" +\n \"ISignProvider3 \" +\n \"ISimpleCriterion \" +\n \"IStringCriterion \" +\n \"IStringList \" +\n \"IStringRequisite \" +\n \"IStringRequisiteDescription \" +\n \"IStringValue \" +\n \"ISystemDialogsFactory \" +\n \"ISystemInfo \" +\n \"ITabSheet \" +\n \"ITask \" +\n \"ITaskAbortReasonInfo \" +\n \"ITaskCardWizardStep \" +\n \"ITaskDescription \" +\n \"ITaskFactory \" +\n \"ITaskInfo \" +\n \"ITaskRoute \" +\n \"ITextCriterion \" +\n \"ITextRequisite \" +\n \"ITextValue \" +\n \"ITreeListSelectDialog \" +\n \"IUser \" +\n \"IUserList \" +\n \"IValue \" +\n \"IView \" +\n \"IWebBrowserControl \" +\n \"IWizard \" +\n \"IWizardAction \" +\n \"IWizardFactory \" +\n \"IWizardFormElement \" +\n \"IWizardParam \" +\n \"IWizardPickParam \" +\n \"IWizardReferenceParam \" +\n \"IWizardStep \" +\n \"IWorkAccessRights \" +\n \"IWorkDescription \" +\n \"IWorkflowAskableParam \" +\n \"IWorkflowAskableParams \" +\n \"IWorkflowBlock \" +\n \"IWorkflowBlockResult \" +\n \"IWorkflowEnabledMode \" +\n \"IWorkflowParam \" +\n \"IWorkflowPickParam \" +\n \"IWorkflowReferenceParam \" +\n \"IWorkState \" +\n \"IWorkTreeCustomNode \" +\n \"IWorkTreeJobNode \" +\n \"IWorkTreeTaskNode \" +\n \"IXMLEditorForm \" +\n \"SBCrypto \";\n\n // built_in : встроенные или библиотечные объекты (константы, перечисления)\n var BUILTIN = CONSTANTS + ENUMS;\n\n // class: встроенные наборы значений, системные объекты, фабрики\n var CLASS = predefined_variables;\n\n // literal : примитивные типы\n var LITERAL = \"null true false nil \";\n\n // number : числа\n var NUMBERS = {\n className: \"number\",\n begin: hljs.NUMBER_RE,\n relevance: 0,\n };\n\n // string : строки\n var STRINGS = {\n className: \"string\",\n variants: [{ begin: '\"', end: '\"' }, { begin: \"'\", end: \"'\" }],\n };\n\n // Токены\n var DOCTAGS = {\n className: \"doctag\",\n begin: \"\\\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\\\b\",\n relevance: 0,\n };\n\n // Однострочный комментарий\n var ISBL_LINE_COMMENT_MODE = {\n className: \"comment\",\n begin: \"//\",\n end: \"$\",\n relevance: 0,\n contains: [hljs.PHRASAL_WORDS_MODE, DOCTAGS],\n };\n\n // Многострочный комментарий\n var ISBL_BLOCK_COMMENT_MODE = {\n className: \"comment\",\n begin: \"/\\\\*\",\n end: \"\\\\*/\",\n relevance: 0,\n contains: [hljs.PHRASAL_WORDS_MODE, DOCTAGS],\n };\n\n // comment : комментарии\n var COMMENTS = {\n variants: [ISBL_LINE_COMMENT_MODE, ISBL_BLOCK_COMMENT_MODE],\n };\n\n // keywords : ключевые слова\n var KEYWORDS = {\n keyword: KEYWORD,\n built_in: BUILTIN,\n class: CLASS,\n literal: LITERAL,\n };\n\n // methods : методы\n var METHODS = {\n begin: \"\\\\.\\\\s*\" + hljs.UNDERSCORE_IDENT_RE,\n keywords: KEYWORDS,\n relevance: 0,\n };\n\n // type : встроенные типы\n var TYPES = {\n className: \"type\",\n begin: \":[ \\\\t]*(\" + interfaces.trim().replace(/\\s/g, \"|\") + \")\",\n end: \"[ \\\\t]*=\",\n excludeEnd: true,\n };\n\n // variables : переменные\n var VARIABLES = {\n className: \"variable\",\n lexemes: UNDERSCORE_IDENT_RE,\n keywords: KEYWORDS,\n begin: UNDERSCORE_IDENT_RE,\n relevance: 0,\n contains: [TYPES, METHODS],\n };\n\n // Имена функций\n var FUNCTION_TITLE = FUNCTION_NAME_IDENT_RE + \"\\\\(\";\n\n var TITLE_MODE = {\n className: \"title\",\n lexemes: UNDERSCORE_IDENT_RE,\n keywords: {\n built_in: system_functions,\n },\n begin: FUNCTION_TITLE,\n end: \"\\\\(\",\n returnBegin: true,\n excludeEnd: true,\n };\n\n // function : функции\n var FUNCTIONS = {\n className: \"function\",\n begin: FUNCTION_TITLE,\n end: \"\\\\)$\",\n returnBegin: true,\n lexemes: UNDERSCORE_IDENT_RE,\n keywords: KEYWORDS,\n illegal: \"[\\\\[\\\\]\\\\|\\\\$\\\\?%,~#@]\",\n contains: [TITLE_MODE, METHODS, VARIABLES, STRINGS, NUMBERS, COMMENTS],\n };\n\n return {\n aliases: [\"isbl\"],\n case_insensitive: true,\n lexemes: UNDERSCORE_IDENT_RE,\n keywords: KEYWORDS,\n illegal: \"\\\\$|\\\\?|%|,|;$|~|#|@|</\",\n contains: [\n FUNCTIONS,\n TYPES,\n METHODS,\n VARIABLES,\n STRINGS,\n NUMBERS,\n COMMENTS,\n ],\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/isbl.js"],"names":["module","exports","hljs","UNDERSCORE_IDENT_RE","NUMBERS","className","begin","NUMBER_RE","relevance","STRINGS","variants","end","DOCTAGS","COMMENTS","contains","PHRASAL_WORDS_MODE","KEYWORDS","keyword","built_in","CONSTANTS","class","literal","METHODS","keywords","TYPES","trim","replace","excludeEnd","VARIABLES","lexemes","aliases","case_insensitive","illegal","FUNCTION_NAME_IDENT_RE","returnBegin"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EAAsB,0CA2+FtBC,EAAU,CACZC,UAAW,SACXC,MAAOJ,EAAKK,UACZC,UAAW,GAITC,EAAU,CACZJ,UAAW,SACXK,SAAU,CAAC,CAAEJ,MAAO,IAAKK,IAAK,KAAO,CAAEL,MAAO,IAAKK,IAAK,OAItDC,EAAU,CACZP,UAAW,SACXC,MAAO,4DACPE,UAAW,GAsBTK,EAAW,CACbH,SAAU,CAnBiB,CAC3BL,UAAW,UACXC,MAAO,KACPK,IAAK,IACLH,UAAW,EACXM,SAAU,CAACZ,EAAKa,mBAAoBH,IAIR,CAC5BP,UAAW,UACXC,MAAO,OACPK,IAAK,OACLH,UAAW,EACXM,SAAU,CAACZ,EAAKa,mBAAoBH,MASlCI,EAAW,CACbC,QAhhGA,6KAihGAC,SAtDYC,gwwDAuDZC,MAhWA,mfAiWAC,QAlDY,wBAsDVC,EAAU,CACZhB,MAAO,UAAYJ,EAAKC,oBACxBoB,SAAUP,EACVR,UAAW,GAITgB,EAAQ,CACVnB,UAAW,OACXC,MAAO,YA9TP,qrHA8TgCmB,OAAOC,QAAQ,MAAO,KAAO,IAC7Df,IAAK,WACLgB,YAAY,GAIVC,EAAY,CACdvB,UAAW,WACXwB,QAAS1B,EACToB,SAAUP,EACVV,MAAOH,EACPK,UAAW,EACXM,SAAU,CAACU,EAAOF,IA8BpB,MAAO,CACLQ,QAAS,CAAC,QACVC,kBAAkB,EAClBF,QAAS1B,EACToB,SAAUP,EACVgB,QAAS,0BACTlB,SAAU,CAjBI,CACdT,UAAW,WACXC,MAjBmB2B,4CAkBnBtB,IAAK,OACLuB,aAAa,EACbL,QAAS1B,EACToB,SAAUP,EACVgB,QAAS,yBACTlB,SAAU,CArBK,CACfT,UAAW,QACXwB,QAAS1B,EACToB,SAAU,CACRL,SAvzBF,k+KAyzBAZ,MARmB2B,4CASnBtB,IAAK,MACLuB,aAAa,EACbP,YAAY,GAYWL,EAASM,EAAWnB,EAASL,EAASS,IAW3DW,EACAF,EACAM,EACAnB,EACAL,EACAS","file":"highlight/isbl.js?v=4fea3cfbb47bcfc112f0","sourcesContent":["module.exports = function(hljs) {\n // Определение идентификаторов\n var UNDERSCORE_IDENT_RE = \"[A-Za-zА-Яа-яёЁ_!][A-Za-zА-Яа-яёЁ_0-9]*\";\n\n // Определение имен функций\n var FUNCTION_NAME_IDENT_RE = \"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*\";\n\n // keyword : ключевые слова\n var KEYWORD =\n \"and и else иначе endexcept endfinally endforeach конецвсе endif конецесли endwhile конецпока \" +\n \"except exitfor finally foreach все if если in в not не or или try while пока \";\n\n // SYSRES Constants\n var sysres_constants =\n \"SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT \" +\n \"SYSRES_CONST_ACCES_RIGHT_TYPE_FULL \" +\n \"SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW \" +\n \"SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_NO_ACCESS_VIEW \" +\n \"SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_VIEW \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE \" +\n \"SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE \" +\n \"SYSRES_CONST_ACCESS_TYPE_CHANGE \" +\n \"SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE \" +\n \"SYSRES_CONST_ACCESS_TYPE_EXISTS \" +\n \"SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE \" +\n \"SYSRES_CONST_ACCESS_TYPE_FULL \" +\n \"SYSRES_CONST_ACCESS_TYPE_FULL_CODE \" +\n \"SYSRES_CONST_ACCESS_TYPE_VIEW \" +\n \"SYSRES_CONST_ACCESS_TYPE_VIEW_CODE \" +\n \"SYSRES_CONST_ACTION_TYPE_ABORT \" +\n \"SYSRES_CONST_ACTION_TYPE_ACCEPT \" +\n \"SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS \" +\n \"SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT \" +\n \"SYSRES_CONST_ACTION_TYPE_CHANGE_CARD \" +\n \"SYSRES_CONST_ACTION_TYPE_CHANGE_KIND \" +\n \"SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE \" +\n \"SYSRES_CONST_ACTION_TYPE_CONTINUE \" +\n \"SYSRES_CONST_ACTION_TYPE_COPY \" +\n \"SYSRES_CONST_ACTION_TYPE_CREATE \" +\n \"SYSRES_CONST_ACTION_TYPE_CREATE_VERSION \" +\n \"SYSRES_CONST_ACTION_TYPE_DELETE \" +\n \"SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT \" +\n \"SYSRES_CONST_ACTION_TYPE_DELETE_VERSION \" +\n \"SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS \" +\n \"SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS \" +\n \"SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE \" +\n \"SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD \" +\n \"SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD \" +\n \"SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE \" +\n \"SYSRES_CONST_ACTION_TYPE_LOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER \" +\n \"SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY \" +\n \"SYSRES_CONST_ACTION_TYPE_MARK_AS_READED \" +\n \"SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED \" +\n \"SYSRES_CONST_ACTION_TYPE_MODIFY \" +\n \"SYSRES_CONST_ACTION_TYPE_MODIFY_CARD \" +\n \"SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE \" +\n \"SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION \" +\n \"SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE \" +\n \"SYSRES_CONST_ACTION_TYPE_PERFORM \" +\n \"SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY \" +\n \"SYSRES_CONST_ACTION_TYPE_RESTART \" +\n \"SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE \" +\n \"SYSRES_CONST_ACTION_TYPE_REVISION \" +\n \"SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL \" +\n \"SYSRES_CONST_ACTION_TYPE_SIGN \" +\n \"SYSRES_CONST_ACTION_TYPE_START \" +\n \"SYSRES_CONST_ACTION_TYPE_UNLOCK \" +\n \"SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER \" +\n \"SYSRES_CONST_ACTION_TYPE_VERSION_STATE \" +\n \"SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY \" +\n \"SYSRES_CONST_ACTION_TYPE_VIEW \" +\n \"SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY \" +\n \"SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY \" +\n \"SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY \" +\n \"SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE \" +\n \"SYSRES_CONST_ADD_REFERENCE_MODE_NAME \" +\n \"SYSRES_CONST_ADDITION_REQUISITE_CODE \" +\n \"SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE \" +\n \"SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME \" +\n \"SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME \" +\n \"SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME \" +\n \"SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE \" +\n \"SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION \" +\n \"SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS \" +\n \"SYSRES_CONST_ALL_USERS_GROUP \" +\n \"SYSRES_CONST_ALL_USERS_GROUP_NAME \" +\n \"SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME \" +\n \"SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE \" +\n \"SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME \" +\n \"SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_APPROVING_SIGNATURE_NAME \" +\n \"SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE \" +\n \"SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE \" +\n \"SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN \" +\n \"SYSRES_CONST_ATTACH_TYPE_DOC \" +\n \"SYSRES_CONST_ATTACH_TYPE_EDOC \" +\n \"SYSRES_CONST_ATTACH_TYPE_FOLDER \" +\n \"SYSRES_CONST_ATTACH_TYPE_JOB \" +\n \"SYSRES_CONST_ATTACH_TYPE_REFERENCE \" +\n \"SYSRES_CONST_ATTACH_TYPE_TASK \" +\n \"SYSRES_CONST_AUTH_ENCODED_PASSWORD \" +\n \"SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE \" +\n \"SYSRES_CONST_AUTH_NOVELL \" +\n \"SYSRES_CONST_AUTH_PASSWORD \" +\n \"SYSRES_CONST_AUTH_PASSWORD_CODE \" +\n \"SYSRES_CONST_AUTH_WINDOWS \" +\n \"SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME \" +\n \"SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE \" +\n \"SYSRES_CONST_AUTO_ENUM_METHOD_FLAG \" +\n \"SYSRES_CONST_AUTO_NUMERATION_CODE \" +\n \"SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG \" +\n \"SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_ALL \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_SIGN \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_WORK \" +\n \"SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE \" +\n \"SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE \" +\n \"SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_BTN_PART \" +\n \"SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE \" +\n \"SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE \" +\n \"SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE \" +\n \"SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT \" +\n \"SYSRES_CONST_CARD_PART \" +\n \"SYSRES_CONST_CARD_REFERENCE_MODE_NAME \" +\n \"SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE \" +\n \"SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE \" +\n \"SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE \" +\n \"SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE \" +\n \"SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE \" +\n \"SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE \" +\n \"SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE \" +\n \"SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE \" +\n \"SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE \" +\n \"SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT \" +\n \"SYSRES_CONST_CODE_COMPONENT_TYPE_URL \" +\n \"SYSRES_CONST_CODE_REQUISITE_ACCESS \" +\n \"SYSRES_CONST_CODE_REQUISITE_CODE \" +\n \"SYSRES_CONST_CODE_REQUISITE_COMPONENT \" +\n \"SYSRES_CONST_CODE_REQUISITE_DESCRIPTION \" +\n \"SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT \" +\n \"SYSRES_CONST_CODE_REQUISITE_RECORD \" +\n \"SYSRES_CONST_COMMENT_REQ_CODE \" +\n \"SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE \" +\n \"SYSRES_CONST_COMP_CODE_GRD \" +\n \"SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_DOCS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_EDOCS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE \" +\n \"SYSRES_CONST_COMPONENT_TYPE_OTHER \" +\n \"SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES \" +\n \"SYSRES_CONST_COMPONENT_TYPE_REFERENCES \" +\n \"SYSRES_CONST_COMPONENT_TYPE_REPORTS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_SCRIPTS \" +\n \"SYSRES_CONST_COMPONENT_TYPE_URL \" +\n \"SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE \" +\n \"SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_CONST_FIRM_STATUS_COMMON \" +\n \"SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL \" +\n \"SYSRES_CONST_CONST_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_CONST_POSITIVE_VALUE \" +\n \"SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE \" +\n \"SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE \" +\n \"SYSRES_CONST_CONTENTS_REQUISITE_CODE \" +\n \"SYSRES_CONST_DATA_TYPE_BOOLEAN \" +\n \"SYSRES_CONST_DATA_TYPE_DATE \" +\n \"SYSRES_CONST_DATA_TYPE_FLOAT \" +\n \"SYSRES_CONST_DATA_TYPE_INTEGER \" +\n \"SYSRES_CONST_DATA_TYPE_PICK \" +\n \"SYSRES_CONST_DATA_TYPE_REFERENCE \" +\n \"SYSRES_CONST_DATA_TYPE_STRING \" +\n \"SYSRES_CONST_DATA_TYPE_TEXT \" +\n \"SYSRES_CONST_DATA_TYPE_VARIANT \" +\n \"SYSRES_CONST_DATE_CLOSE_REQ_CODE \" +\n \"SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR \" +\n \"SYSRES_CONST_DATE_OPEN_REQ_CODE \" +\n \"SYSRES_CONST_DATE_REQUISITE \" +\n \"SYSRES_CONST_DATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_DATE_REQUISITE_NAME \" +\n \"SYSRES_CONST_DATE_REQUISITE_TYPE \" +\n \"SYSRES_CONST_DATE_TYPE_CHAR \" +\n \"SYSRES_CONST_DATETIME_FORMAT_VALUE \" +\n \"SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE \" +\n \"SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_DESCRIPTION_REQUISITE_CODE \" +\n \"SYSRES_CONST_DET1_PART \" +\n \"SYSRES_CONST_DET2_PART \" +\n \"SYSRES_CONST_DET3_PART \" +\n \"SYSRES_CONST_DET4_PART \" +\n \"SYSRES_CONST_DET5_PART \" +\n \"SYSRES_CONST_DET6_PART \" +\n \"SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE \" +\n \"SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE \" +\n \"SYSRES_CONST_DETAIL_REQ_CODE \" +\n \"SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE \" +\n \"SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME \" +\n \"SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE \" +\n \"SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME \" +\n \"SYSRES_CONST_DOCUMENT_STORAGES_CODE \" +\n \"SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME \" +\n \"SYSRES_CONST_DOUBLE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE \" +\n \"SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE \" +\n \"SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE \" +\n \"SYSRES_CONST_EDITORS_REFERENCE_CODE \" +\n \"SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE \" +\n \"SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE \" +\n \"SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE \" +\n \"SYSRES_CONST_EDOC_DATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_KIND_REFERENCE_CODE \" +\n \"SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE \" +\n \"SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE \" +\n \"SYSRES_CONST_EDOC_NONE_ENCODE_CODE \" +\n \"SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE \" +\n \"SYSRES_CONST_EDOC_READONLY_ACCESS_CODE \" +\n \"SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE \" +\n \"SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE \" +\n \"SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE \" +\n \"SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE \" +\n \"SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE \" +\n \"SYSRES_CONST_EDOC_WRITE_ACCES_CODE \" +\n \"SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE \" +\n \"SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE \" +\n \"SYSRES_CONST_END_DATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE \" +\n \"SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE \" +\n \"SYSRES_CONST_EXIST_CONST \" +\n \"SYSRES_CONST_EXIST_VALUE \" +\n \"SYSRES_CONST_EXPORT_LOCK_TYPE_ASK \" +\n \"SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK \" +\n \"SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK \" +\n \"SYSRES_CONST_EXPORT_VERSION_TYPE_ASK \" +\n \"SYSRES_CONST_EXPORT_VERSION_TYPE_LAST \" +\n \"SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE \" +\n \"SYSRES_CONST_EXTENSION_REQUISITE_CODE \" +\n \"SYSRES_CONST_FILTER_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_FILTER_REQUISITE_CODE \" +\n \"SYSRES_CONST_FILTER_TYPE_COMMON_CODE \" +\n \"SYSRES_CONST_FILTER_TYPE_COMMON_NAME \" +\n \"SYSRES_CONST_FILTER_TYPE_USER_CODE \" +\n \"SYSRES_CONST_FILTER_TYPE_USER_NAME \" +\n \"SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME \" +\n \"SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR \" +\n \"SYSRES_CONST_FLOAT_REQUISITE_TYPE \" +\n \"SYSRES_CONST_FOLDER_AUTHOR_VALUE \" +\n \"SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS \" +\n \"SYSRES_CONST_FOLDER_KIND_COMPONENTS \" +\n \"SYSRES_CONST_FOLDER_KIND_EDOCS \" +\n \"SYSRES_CONST_FOLDER_KIND_JOBS \" +\n \"SYSRES_CONST_FOLDER_KIND_TASKS \" +\n \"SYSRES_CONST_FOLDER_TYPE_COMMON \" +\n \"SYSRES_CONST_FOLDER_TYPE_COMPONENT \" +\n \"SYSRES_CONST_FOLDER_TYPE_FAVORITES \" +\n \"SYSRES_CONST_FOLDER_TYPE_INBOX \" +\n \"SYSRES_CONST_FOLDER_TYPE_OUTBOX \" +\n \"SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH \" +\n \"SYSRES_CONST_FOLDER_TYPE_SEARCH \" +\n \"SYSRES_CONST_FOLDER_TYPE_SHORTCUTS \" +\n \"SYSRES_CONST_FOLDER_TYPE_USER \" +\n \"SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG \" +\n \"SYSRES_CONST_FULL_SUBSTITUTE_TYPE \" +\n \"SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE \" +\n \"SYSRES_CONST_FUNCTION_CANCEL_RESULT \" +\n \"SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM \" +\n \"SYSRES_CONST_FUNCTION_CATEGORY_USER \" +\n \"SYSRES_CONST_FUNCTION_FAILURE_RESULT \" +\n \"SYSRES_CONST_FUNCTION_SAVE_RESULT \" +\n \"SYSRES_CONST_GENERATED_REQUISITE \" +\n \"SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE \" +\n \"SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE \" +\n \"SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME \" +\n \"SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE \" +\n \"SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME \" +\n \"SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE \" +\n \"SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUP_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE \" +\n \"SYSRES_CONST_GROUP_USER_REQUISITE_CODE \" +\n \"SYSRES_CONST_GROUPS_REFERENCE_CODE \" +\n \"SYSRES_CONST_GROUPS_REQUISITE_CODE \" +\n \"SYSRES_CONST_HIDDEN_MODE_NAME \" +\n \"SYSRES_CONST_HIGH_LVL_REQUISITE_CODE \" +\n \"SYSRES_CONST_HISTORY_ACTION_CREATE_CODE \" +\n \"SYSRES_CONST_HISTORY_ACTION_DELETE_CODE \" +\n \"SYSRES_CONST_HISTORY_ACTION_EDIT_CODE \" +\n \"SYSRES_CONST_HOUR_CHAR \" +\n \"SYSRES_CONST_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_IDSPS_REQUISITE_CODE \" +\n \"SYSRES_CONST_IMAGE_MODE_COLOR \" +\n \"SYSRES_CONST_IMAGE_MODE_GREYSCALE \" +\n \"SYSRES_CONST_IMAGE_MODE_MONOCHROME \" +\n \"SYSRES_CONST_IMPORTANCE_HIGH \" +\n \"SYSRES_CONST_IMPORTANCE_LOW \" +\n \"SYSRES_CONST_IMPORTANCE_NORMAL \" +\n \"SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE \" +\n \"SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE \" +\n \"SYSRES_CONST_INT_REQUISITE \" +\n \"SYSRES_CONST_INT_REQUISITE_TYPE \" +\n \"SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR \" +\n \"SYSRES_CONST_INTEGER_TYPE_CHAR \" +\n \"SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE \" +\n \"SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE \" +\n \"SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE \" +\n \"SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE \" +\n \"SYSRES_CONST_JOB_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_JOB_KIND_CONTROL_JOB \" +\n \"SYSRES_CONST_JOB_KIND_JOB \" +\n \"SYSRES_CONST_JOB_KIND_NOTICE \" +\n \"SYSRES_CONST_JOB_STATE_ABORTED \" +\n \"SYSRES_CONST_JOB_STATE_COMPLETE \" +\n \"SYSRES_CONST_JOB_STATE_WORKING \" +\n \"SYSRES_CONST_KIND_REQUISITE_CODE \" +\n \"SYSRES_CONST_KIND_REQUISITE_NAME \" +\n \"SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE \" +\n \"SYSRES_CONST_KOD_INPUT_TYPE \" +\n \"SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE \" +\n \"SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_EDOC \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_FOLDER \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_JOB \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE \" +\n \"SYSRES_CONST_LINK_OBJECT_KIND_TASK \" +\n \"SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_LIST_REFERENCE_MODE_NAME \" +\n \"SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE \" +\n \"SYSRES_CONST_MAIN_VIEW_CODE \" +\n \"SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG \" +\n \"SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_MAXIMIZED_MODE_NAME \" +\n \"SYSRES_CONST_ME_VALUE \" +\n \"SYSRES_CONST_MESSAGE_ATTENTION_CAPTION \" +\n \"SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION \" +\n \"SYSRES_CONST_MESSAGE_ERROR_CAPTION \" +\n \"SYSRES_CONST_MESSAGE_INFORMATION_CAPTION \" +\n \"SYSRES_CONST_MINIMIZED_MODE_NAME \" +\n \"SYSRES_CONST_MINUTE_CHAR \" +\n \"SYSRES_CONST_MODULE_REQUISITE_CODE \" +\n \"SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_MONTH_FORMAT_VALUE \" +\n \"SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE \" +\n \"SYSRES_CONST_NAMEAN_INPUT_TYPE \" +\n \"SYSRES_CONST_NEGATIVE_PICK_VALUE \" +\n \"SYSRES_CONST_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_NO \" +\n \"SYSRES_CONST_NO_PICK_VALUE \" +\n \"SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE \" +\n \"SYSRES_CONST_NO_VALUE \" +\n \"SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE \" +\n \"SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE \" +\n \"SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE \" +\n \"SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE \" +\n \"SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE \" +\n \"SYSRES_CONST_NORMAL_MODE_NAME \" +\n \"SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE \" +\n \"SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME \" +\n \"SYSRES_CONST_NOTE_REQUISITE_CODE \" +\n \"SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_NUM_REQUISITE \" +\n \"SYSRES_CONST_NUM_STR_REQUISITE_CODE \" +\n \"SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG \" +\n \"SYSRES_CONST_NUMERATION_AUTO_STRONG \" +\n \"SYSRES_CONST_NUMERATION_FROM_DICTONARY \" +\n \"SYSRES_CONST_NUMERATION_MANUAL \" +\n \"SYSRES_CONST_NUMERIC_TYPE_CHAR \" +\n \"SYSRES_CONST_NUMREQ_REQUISITE_CODE \" +\n \"SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE \" +\n \"SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE \" +\n \"SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE \" +\n \"SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE \" +\n \"SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE \" +\n \"SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX \" +\n \"SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_ORIGINALREF_REQUISITE_CODE \" +\n \"SYSRES_CONST_OURFIRM_REF_CODE \" +\n \"SYSRES_CONST_OURFIRM_REQUISITE_CODE \" +\n \"SYSRES_CONST_OURFIRM_VAR \" +\n \"SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE \" +\n \"SYSRES_CONST_PICK_NEGATIVE_RESULT \" +\n \"SYSRES_CONST_PICK_POSITIVE_RESULT \" +\n \"SYSRES_CONST_PICK_REQUISITE \" +\n \"SYSRES_CONST_PICK_REQUISITE_TYPE \" +\n \"SYSRES_CONST_PICK_TYPE_CHAR \" +\n \"SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE \" +\n \"SYSRES_CONST_PLATFORM_VERSION_COMMENT \" +\n \"SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE \" +\n \"SYSRES_CONST_POSITIVE_PICK_VALUE \" +\n \"SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE \" +\n \"SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE \" +\n \"SYSRES_CONST_PRIORITY_REQUISITE_CODE \" +\n \"SYSRES_CONST_QUALIFIED_TASK_TYPE \" +\n \"SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE \" +\n \"SYSRES_CONST_RECSTAT_REQUISITE_CODE \" +\n \"SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_REF_REQUISITE \" +\n \"SYSRES_CONST_REF_REQUISITE_TYPE \" +\n \"SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE \" +\n \"SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE \" +\n \"SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE \" +\n \"SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE \" +\n \"SYSRES_CONST_REFERENCE_TYPE_CHAR \" +\n \"SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME \" +\n \"SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE \" +\n \"SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE \" +\n \"SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING \" +\n \"SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN \" +\n \"SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY \" +\n \"SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE \" +\n \"SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL \" +\n \"SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE \" +\n \"SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE \" +\n \"SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE \" +\n \"SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE \" +\n \"SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE \" +\n \"SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE \" +\n \"SYSRES_CONST_REQ_MODE_AVAILABLE_CODE \" +\n \"SYSRES_CONST_REQ_MODE_EDIT_CODE \" +\n \"SYSRES_CONST_REQ_MODE_HIDDEN_CODE \" +\n \"SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE \" +\n \"SYSRES_CONST_REQ_MODE_VIEW_CODE \" +\n \"SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE \" +\n \"SYSRES_CONST_REQ_SECTION_VALUE \" +\n \"SYSRES_CONST_REQ_TYPE_VALUE \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_LEFT \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_RIGHT \" +\n \"SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT \" +\n \"SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE \" +\n \"SYSRES_CONST_REQUISITE_SECTION_ACTIONS \" +\n \"SYSRES_CONST_REQUISITE_SECTION_BUTTON \" +\n \"SYSRES_CONST_REQUISITE_SECTION_BUTTONS \" +\n \"SYSRES_CONST_REQUISITE_SECTION_CARD \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE10 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE11 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE12 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE13 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE14 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE15 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE16 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE17 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE18 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE19 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE2 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE20 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE21 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE22 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE23 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE24 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE3 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE4 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE5 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE6 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE7 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE8 \" +\n \"SYSRES_CONST_REQUISITE_SECTION_TABLE9 \" +\n \"SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE \" +\n \"SYSRES_CONST_RIGHT_ALIGNMENT_CODE \" +\n \"SYSRES_CONST_ROLES_REFERENCE_CODE \" +\n \"SYSRES_CONST_ROUTE_STEP_AFTER_RUS \" +\n \"SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS \" +\n \"SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS \" +\n \"SYSRES_CONST_ROUTE_TYPE_COMPLEX \" +\n \"SYSRES_CONST_ROUTE_TYPE_PARALLEL \" +\n \"SYSRES_CONST_ROUTE_TYPE_SERIAL \" +\n \"SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE \" +\n \"SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE \" +\n \"SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE \" +\n \"SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE \" +\n \"SYSRES_CONST_SEARCHES_COMPONENT_CONTENT \" +\n \"SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME \" +\n \"SYSRES_CONST_SEARCHES_EDOC_CONTENT \" +\n \"SYSRES_CONST_SEARCHES_FOLDER_CONTENT \" +\n \"SYSRES_CONST_SEARCHES_JOB_CONTENT \" +\n \"SYSRES_CONST_SEARCHES_REFERENCE_CODE \" +\n \"SYSRES_CONST_SEARCHES_TASK_CONTENT \" +\n \"SYSRES_CONST_SECOND_CHAR \" +\n \"SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_CODE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE \" +\n \"SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE \" +\n \"SYSRES_CONST_SELECT_REFERENCE_MODE_NAME \" +\n \"SYSRES_CONST_SELECT_TYPE_SELECTABLE \" +\n \"SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD \" +\n \"SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD \" +\n \"SYSRES_CONST_SELECT_TYPE_UNSLECTABLE \" +\n \"SYSRES_CONST_SERVER_TYPE_MAIN \" +\n \"SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE \" +\n \"SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE \" +\n \"SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE \" +\n \"SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE \" +\n \"SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE \" +\n \"SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE \" +\n \"SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE \" +\n \"SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE \" +\n \"SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE \" +\n \"SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE \" +\n \"SYSRES_CONST_STATE_REQ_NAME \" +\n \"SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE \" +\n \"SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE \" +\n \"SYSRES_CONST_STATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_STATIC_ROLE_TYPE_CODE \" +\n \"SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE \" +\n \"SYSRES_CONST_STATUS_VALUE_AUTOCLEANING \" +\n \"SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE \" +\n \"SYSRES_CONST_STATUS_VALUE_COMPLETE \" +\n \"SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE \" +\n \"SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE \" +\n \"SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE \" +\n \"SYSRES_CONST_STATUS_VALUE_RED_SQUARE \" +\n \"SYSRES_CONST_STATUS_VALUE_SUSPEND \" +\n \"SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE \" +\n \"SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE \" +\n \"SYSRES_CONST_STORAGE_TYPE_FILE \" +\n \"SYSRES_CONST_STORAGE_TYPE_SQL_SERVER \" +\n \"SYSRES_CONST_STR_REQUISITE \" +\n \"SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE \" +\n \"SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR \" +\n \"SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR \" +\n \"SYSRES_CONST_STRING_REQUISITE_CODE \" +\n \"SYSRES_CONST_STRING_REQUISITE_TYPE \" +\n \"SYSRES_CONST_STRING_TYPE_CHAR \" +\n \"SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE \" +\n \"SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE \" +\n \"SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE \" +\n \"SYSRES_CONST_SYSTEM_VERSION_COMMENT \" +\n \"SYSRES_CONST_TASK_ACCESS_TYPE_ALL \" +\n \"SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS \" +\n \"SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL \" +\n \"SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION \" +\n \"SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD \" +\n \"SYSRES_CONST_TASK_ENCODE_TYPE_NONE \" +\n \"SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD \" +\n \"SYSRES_CONST_TASK_ROUTE_ALL_CONDITION \" +\n \"SYSRES_CONST_TASK_ROUTE_AND_CONDITION \" +\n \"SYSRES_CONST_TASK_ROUTE_OR_CONDITION \" +\n \"SYSRES_CONST_TASK_STATE_ABORTED \" +\n \"SYSRES_CONST_TASK_STATE_COMPLETE \" +\n \"SYSRES_CONST_TASK_STATE_CONTINUED \" +\n \"SYSRES_CONST_TASK_STATE_CONTROL \" +\n \"SYSRES_CONST_TASK_STATE_INIT \" +\n \"SYSRES_CONST_TASK_STATE_WORKING \" +\n \"SYSRES_CONST_TASK_TITLE \" +\n \"SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE \" +\n \"SYSRES_CONST_TASK_TYPES_REFERENCE_CODE \" +\n \"SYSRES_CONST_TEMPLATES_REFERENCE_CODE \" +\n \"SYSRES_CONST_TEST_DATE_REQUISITE_NAME \" +\n \"SYSRES_CONST_TEST_DEV_DATABASE_NAME \" +\n \"SYSRES_CONST_TEST_DEV_SYSTEM_CODE \" +\n \"SYSRES_CONST_TEST_EDMS_DATABASE_NAME \" +\n \"SYSRES_CONST_TEST_EDMS_MAIN_CODE \" +\n \"SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME \" +\n \"SYSRES_CONST_TEST_EDMS_SECOND_CODE \" +\n \"SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME \" +\n \"SYSRES_CONST_TEST_EDMS_SYSTEM_CODE \" +\n \"SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME \" +\n \"SYSRES_CONST_TEXT_REQUISITE \" +\n \"SYSRES_CONST_TEXT_REQUISITE_CODE \" +\n \"SYSRES_CONST_TEXT_REQUISITE_TYPE \" +\n \"SYSRES_CONST_TEXT_TYPE_CHAR \" +\n \"SYSRES_CONST_TYPE_CODE_REQUISITE_CODE \" +\n \"SYSRES_CONST_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR \" +\n \"SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE \" +\n \"SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE \" +\n \"SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE \" +\n \"SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE \" +\n \"SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME \" +\n \"SYSRES_CONST_USE_ACCESS_TYPE_CODE \" +\n \"SYSRES_CONST_USE_ACCESS_TYPE_NAME \" +\n \"SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE \" +\n \"SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_CATEGORY_NORMAL \" +\n \"SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_COMMON_CATEGORY \" +\n \"SYSRES_CONST_USER_COMMON_CATEGORY_CODE \" +\n \"SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_LOGIN_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE \" +\n \"SYSRES_CONST_USER_SERVICE_CATEGORY \" +\n \"SYSRES_CONST_USER_SERVICE_CATEGORY_CODE \" +\n \"SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE \" +\n \"SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME \" +\n \"SYSRES_CONST_USER_STATUS_DEVELOPER_CODE \" +\n \"SYSRES_CONST_USER_STATUS_DEVELOPER_NAME \" +\n \"SYSRES_CONST_USER_STATUS_DISABLED_CODE \" +\n \"SYSRES_CONST_USER_STATUS_DISABLED_NAME \" +\n \"SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE \" +\n \"SYSRES_CONST_USER_STATUS_USER_CODE \" +\n \"SYSRES_CONST_USER_STATUS_USER_NAME \" +\n \"SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED \" +\n \"SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER \" +\n \"SYSRES_CONST_USER_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_REFERENCE_CODE \" +\n \"SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME \" +\n \"SYSRES_CONST_USERS_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE \" +\n \"SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME \" +\n \"SYSRES_CONST_VIEW_DEFAULT_CODE \" +\n \"SYSRES_CONST_VIEW_DEFAULT_NAME \" +\n \"SYSRES_CONST_VIEWER_REQUISITE_CODE \" +\n \"SYSRES_CONST_WAITING_BLOCK_DESCRIPTION \" +\n \"SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING \" +\n \"SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING \" +\n \"SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE \" +\n \"SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE \" +\n \"SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE \" +\n \"SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE \" +\n \"SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE \" +\n \"SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS \" +\n \"SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS \" +\n \"SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD \" +\n \"SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT \" +\n \"SYSRES_CONST_XML_ENCODING \" +\n \"SYSRES_CONST_XREC_STAT_REQUISITE_CODE \" +\n \"SYSRES_CONST_XRECID_FIELD_NAME \" +\n \"SYSRES_CONST_YES \" +\n \"SYSRES_CONST_YES_NO_2_REQUISITE_CODE \" +\n \"SYSRES_CONST_YES_NO_REQUISITE_CODE \" +\n \"SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE \" +\n \"SYSRES_CONST_YES_PICK_VALUE \" +\n \"SYSRES_CONST_YES_VALUE \";\n\n // Base constant\n var base_constants = \"CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE \";\n\n // Base group name\n var base_group_name_constants =\n \"ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME \";\n\n // Decision block properties\n var decision_block_properties_constants =\n \"DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY \" +\n \"DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY \";\n\n // File extension\n var file_extension_constants =\n \"ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION \" +\n \"SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION \";\n\n // Job block properties\n var job_block_properties_constants =\n \"JOB_BLOCK_ABORT_DEADLINE_PROPERTY \" +\n \"JOB_BLOCK_AFTER_FINISH_EVENT \" +\n \"JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT \" +\n \"JOB_BLOCK_ATTACHMENT_PROPERTY \" +\n \"JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY \" +\n \"JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY \" +\n \"JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT \" +\n \"JOB_BLOCK_BEFORE_START_EVENT \" +\n \"JOB_BLOCK_CREATED_JOBS_PROPERTY \" +\n \"JOB_BLOCK_DEADLINE_PROPERTY \" +\n \"JOB_BLOCK_EXECUTION_RESULTS_PROPERTY \" +\n \"JOB_BLOCK_IS_PARALLEL_PROPERTY \" +\n \"JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY \" +\n \"JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY \" +\n \"JOB_BLOCK_JOB_TEXT_PROPERTY \" +\n \"JOB_BLOCK_NAME_PROPERTY \" +\n \"JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY \" +\n \"JOB_BLOCK_PERFORMER_PROPERTY \" +\n \"JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY \" +\n \"JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \" +\n \"JOB_BLOCK_SUBJECT_PROPERTY \";\n\n // Language code\n var language_code_constants = \"ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE \";\n\n // Launching external applications\n var launching_external_applications_constants =\n \"smHidden smMaximized smMinimized smNormal wmNo wmYes \";\n\n // Link kind\n var link_kind_constants =\n \"COMPONENT_TOKEN_LINK_KIND \" +\n \"DOCUMENT_LINK_KIND \" +\n \"EDOCUMENT_LINK_KIND \" +\n \"FOLDER_LINK_KIND \" +\n \"JOB_LINK_KIND \" +\n \"REFERENCE_LINK_KIND \" +\n \"TASK_LINK_KIND \";\n\n // Lock type\n var lock_type_constants =\n \"COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE \";\n\n // Monitor block properties\n var monitor_block_properties_constants =\n \"MONITOR_BLOCK_AFTER_FINISH_EVENT \" +\n \"MONITOR_BLOCK_BEFORE_START_EVENT \" +\n \"MONITOR_BLOCK_DEADLINE_PROPERTY \" +\n \"MONITOR_BLOCK_INTERVAL_PROPERTY \" +\n \"MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY \" +\n \"MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY \" +\n \"MONITOR_BLOCK_NAME_PROPERTY \" +\n \"MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \" +\n \"MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY \";\n\n // Notice block properties\n var notice_block_properties_constants =\n \"NOTICE_BLOCK_AFTER_FINISH_EVENT \" +\n \"NOTICE_BLOCK_ATTACHMENT_PROPERTY \" +\n \"NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY \" +\n \"NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY \" +\n \"NOTICE_BLOCK_BEFORE_START_EVENT \" +\n \"NOTICE_BLOCK_CREATED_NOTICES_PROPERTY \" +\n \"NOTICE_BLOCK_DEADLINE_PROPERTY \" +\n \"NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY \" +\n \"NOTICE_BLOCK_NAME_PROPERTY \" +\n \"NOTICE_BLOCK_NOTICE_TEXT_PROPERTY \" +\n \"NOTICE_BLOCK_PERFORMER_PROPERTY \" +\n \"NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \" +\n \"NOTICE_BLOCK_SUBJECT_PROPERTY \";\n\n // Object events\n var object_events_constants =\n \"dseAfterCancel \" +\n \"dseAfterClose \" +\n \"dseAfterDelete \" +\n \"dseAfterDeleteOutOfTransaction \" +\n \"dseAfterInsert \" +\n \"dseAfterOpen \" +\n \"dseAfterScroll \" +\n \"dseAfterUpdate \" +\n \"dseAfterUpdateOutOfTransaction \" +\n \"dseBeforeCancel \" +\n \"dseBeforeClose \" +\n \"dseBeforeDelete \" +\n \"dseBeforeDetailUpdate \" +\n \"dseBeforeInsert \" +\n \"dseBeforeOpen \" +\n \"dseBeforeUpdate \" +\n \"dseOnAnyRequisiteChange \" +\n \"dseOnCloseRecord \" +\n \"dseOnDeleteError \" +\n \"dseOnOpenRecord \" +\n \"dseOnPrepareUpdate \" +\n \"dseOnUpdateError \" +\n \"dseOnUpdateRatifiedRecord \" +\n \"dseOnValidDelete \" +\n \"dseOnValidUpdate \" +\n \"reOnChange \" +\n \"reOnChangeValues \" +\n \"SELECTION_BEGIN_ROUTE_EVENT \" +\n \"SELECTION_END_ROUTE_EVENT \";\n\n // Object params\n var object_params_constants =\n \"CURRENT_PERIOD_IS_REQUIRED \" +\n \"PREVIOUS_CARD_TYPE_NAME \" +\n \"SHOW_RECORD_PROPERTIES_FORM \";\n\n // Other\n var other_constants =\n \"ACCESS_RIGHTS_SETTING_DIALOG_CODE \" +\n \"ADMINISTRATOR_USER_CODE \" +\n \"ANALYTIC_REPORT_TYPE \" +\n \"asrtHideLocal \" +\n \"asrtHideRemote \" +\n \"CALCULATED_ROLE_TYPE_CODE \" +\n \"COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE \" +\n \"DCTS_TEST_PROTOCOLS_FOLDER_PATH \" +\n \"E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED \" +\n \"E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER \" +\n \"E_EDOC_VERSION_ALREDY_SIGNED \" +\n \"E_EDOC_VERSION_ALREDY_SIGNED_BY_USER \" +\n \"EDOC_TYPES_CODE_REQUISITE_FIELD_NAME \" +\n \"EDOCUMENTS_ALIAS_NAME \" +\n \"FILES_FOLDER_PATH \" +\n \"FILTER_OPERANDS_DELIMITER \" +\n \"FILTER_OPERATIONS_DELIMITER \" +\n \"FORMCARD_NAME \" +\n \"FORMLIST_NAME \" +\n \"GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE \" +\n \"GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE \" +\n \"INTEGRATED_REPORT_TYPE \" +\n \"IS_BUILDER_APPLICATION_ROLE \" +\n \"IS_BUILDER_APPLICATION_ROLE2 \" +\n \"IS_BUILDER_USERS \" +\n \"ISBSYSDEV \" +\n \"LOG_FOLDER_PATH \" +\n \"mbCancel \" +\n \"mbNo \" +\n \"mbNoToAll \" +\n \"mbOK \" +\n \"mbYes \" +\n \"mbYesToAll \" +\n \"MEMORY_DATASET_DESRIPTIONS_FILENAME \" +\n \"mrNo \" +\n \"mrNoToAll \" +\n \"mrYes \" +\n \"mrYesToAll \" +\n \"MULTIPLE_SELECT_DIALOG_CODE \" +\n \"NONOPERATING_RECORD_FLAG_FEMININE \" +\n \"NONOPERATING_RECORD_FLAG_MASCULINE \" +\n \"OPERATING_RECORD_FLAG_FEMININE \" +\n \"OPERATING_RECORD_FLAG_MASCULINE \" +\n \"PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE \" +\n \"PROGRAM_INITIATED_LOOKUP_ACTION \" +\n \"ratDelete \" +\n \"ratEdit \" +\n \"ratInsert \" +\n \"REPORT_TYPE \" +\n \"REQUIRED_PICK_VALUES_VARIABLE \" +\n \"rmCard \" +\n \"rmList \" +\n \"SBRTE_PROGID_DEV \" +\n \"SBRTE_PROGID_RELEASE \" +\n \"STATIC_ROLE_TYPE_CODE \" +\n \"SUPPRESS_EMPTY_TEMPLATE_CREATION \" +\n \"SYSTEM_USER_CODE \" +\n \"UPDATE_DIALOG_DATASET \" +\n \"USED_IN_OBJECT_HINT_PARAM \" +\n \"USER_INITIATED_LOOKUP_ACTION \" +\n \"USER_NAME_FORMAT \" +\n \"USER_SELECTION_RESTRICTIONS \" +\n \"WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH \" +\n \"ELS_SUBTYPE_CONTROL_NAME \" +\n \"ELS_FOLDER_KIND_CONTROL_NAME \" +\n \"REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME \";\n\n // Privileges\n var privileges_constants =\n \"PRIVILEGE_COMPONENT_FULL_ACCESS \" +\n \"PRIVILEGE_DEVELOPMENT_EXPORT \" +\n \"PRIVILEGE_DEVELOPMENT_IMPORT \" +\n \"PRIVILEGE_DOCUMENT_DELETE \" +\n \"PRIVILEGE_ESD \" +\n \"PRIVILEGE_FOLDER_DELETE \" +\n \"PRIVILEGE_MANAGE_ACCESS_RIGHTS \" +\n \"PRIVILEGE_MANAGE_REPLICATION \" +\n \"PRIVILEGE_MANAGE_SESSION_SERVER \" +\n \"PRIVILEGE_OBJECT_FULL_ACCESS \" +\n \"PRIVILEGE_OBJECT_VIEW \" +\n \"PRIVILEGE_RESERVE_LICENSE \" +\n \"PRIVILEGE_SYSTEM_CUSTOMIZE \" +\n \"PRIVILEGE_SYSTEM_DEVELOP \" +\n \"PRIVILEGE_SYSTEM_INSTALL \" +\n \"PRIVILEGE_TASK_DELETE \" +\n \"PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE \" +\n \"PRIVILEGES_PSEUDOREFERENCE_CODE \";\n\n // Pseudoreference code\n var pseudoreference_code_constants =\n \"ACCESS_TYPES_PSEUDOREFERENCE_CODE \" +\n \"ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE \" +\n \"ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE \" +\n \"ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE \" +\n \"AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE \" +\n \"COMPONENTS_PSEUDOREFERENCE_CODE \" +\n \"FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE \" +\n \"GROUPS_PSEUDOREFERENCE_CODE \" +\n \"RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE \" +\n \"REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE \" +\n \"REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE \" +\n \"REFTYPES_PSEUDOREFERENCE_CODE \" +\n \"REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE \" +\n \"SEND_PROTOCOL_PSEUDOREFERENCE_CODE \" +\n \"SUBSTITUTES_PSEUDOREFERENCE_CODE \" +\n \"SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE \" +\n \"UNITS_PSEUDOREFERENCE_CODE \" +\n \"USERS_PSEUDOREFERENCE_CODE \" +\n \"VIEWERS_PSEUDOREFERENCE_CODE \";\n\n // Requisite ISBCertificateType values\n var requisite_ISBCertificateType_values_constants =\n \"CERTIFICATE_TYPE_ENCRYPT \" +\n \"CERTIFICATE_TYPE_SIGN \" +\n \"CERTIFICATE_TYPE_SIGN_AND_ENCRYPT \";\n\n // Requisite ISBEDocStorageType values\n var requisite_ISBEDocStorageType_values_constants =\n \"STORAGE_TYPE_FILE \" +\n \"STORAGE_TYPE_NAS_CIFS \" +\n \"STORAGE_TYPE_SAPERION \" +\n \"STORAGE_TYPE_SQL_SERVER \";\n\n // Requisite CompType2 values\n var requisite_compType2_values_constants =\n \"COMPTYPE2_REQUISITE_DOCUMENTS_VALUE \" +\n \"COMPTYPE2_REQUISITE_TASKS_VALUE \" +\n \"COMPTYPE2_REQUISITE_FOLDERS_VALUE \" +\n \"COMPTYPE2_REQUISITE_REFERENCES_VALUE \";\n\n // Requisite name\n var requisite_name_constants =\n \"SYSREQ_CODE \" +\n \"SYSREQ_COMPTYPE2 \" +\n \"SYSREQ_CONST_AVAILABLE_FOR_WEB \" +\n \"SYSREQ_CONST_COMMON_CODE \" +\n \"SYSREQ_CONST_COMMON_VALUE \" +\n \"SYSREQ_CONST_FIRM_CODE \" +\n \"SYSREQ_CONST_FIRM_STATUS \" +\n \"SYSREQ_CONST_FIRM_VALUE \" +\n \"SYSREQ_CONST_SERVER_STATUS \" +\n \"SYSREQ_CONTENTS \" +\n \"SYSREQ_DATE_OPEN \" +\n \"SYSREQ_DATE_CLOSE \" +\n \"SYSREQ_DESCRIPTION \" +\n \"SYSREQ_DESCRIPTION_LOCALIZE_ID \" +\n \"SYSREQ_DOUBLE \" +\n \"SYSREQ_EDOC_ACCESS_TYPE \" +\n \"SYSREQ_EDOC_AUTHOR \" +\n \"SYSREQ_EDOC_CREATED \" +\n \"SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE \" +\n \"SYSREQ_EDOC_EDITOR \" +\n \"SYSREQ_EDOC_ENCODE_TYPE \" +\n \"SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME \" +\n \"SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION \" +\n \"SYSREQ_EDOC_EXPORT_DATE \" +\n \"SYSREQ_EDOC_EXPORTER \" +\n \"SYSREQ_EDOC_KIND \" +\n \"SYSREQ_EDOC_LIFE_STAGE_NAME \" +\n \"SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE \" +\n \"SYSREQ_EDOC_MODIFIED \" +\n \"SYSREQ_EDOC_NAME \" +\n \"SYSREQ_EDOC_NOTE \" +\n \"SYSREQ_EDOC_QUALIFIED_ID \" +\n \"SYSREQ_EDOC_SESSION_KEY \" +\n \"SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME \" +\n \"SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION \" +\n \"SYSREQ_EDOC_SIGNATURE_TYPE \" +\n \"SYSREQ_EDOC_SIGNED \" +\n \"SYSREQ_EDOC_STORAGE \" +\n \"SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE \" +\n \"SYSREQ_EDOC_STORAGES_CHECK_RIGHTS \" +\n \"SYSREQ_EDOC_STORAGES_COMPUTER_NAME \" +\n \"SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE \" +\n \"SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE \" +\n \"SYSREQ_EDOC_STORAGES_FUNCTION \" +\n \"SYSREQ_EDOC_STORAGES_INITIALIZED \" +\n \"SYSREQ_EDOC_STORAGES_LOCAL_PATH \" +\n \"SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME \" +\n \"SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT \" +\n \"SYSREQ_EDOC_STORAGES_SERVER_NAME \" +\n \"SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME \" +\n \"SYSREQ_EDOC_STORAGES_TYPE \" +\n \"SYSREQ_EDOC_TEXT_MODIFIED \" +\n \"SYSREQ_EDOC_TYPE_ACT_CODE \" +\n \"SYSREQ_EDOC_TYPE_ACT_DESCRIPTION \" +\n \"SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID \" +\n \"SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE \" +\n \"SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS \" +\n \"SYSREQ_EDOC_TYPE_ACT_SECTION \" +\n \"SYSREQ_EDOC_TYPE_ADD_PARAMS \" +\n \"SYSREQ_EDOC_TYPE_COMMENT \" +\n \"SYSREQ_EDOC_TYPE_EVENT_TEXT \" +\n \"SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR \" +\n \"SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID \" +\n \"SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID \" +\n \"SYSREQ_EDOC_TYPE_NUMERATION_METHOD \" +\n \"SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE \" +\n \"SYSREQ_EDOC_TYPE_REQ_CODE \" +\n \"SYSREQ_EDOC_TYPE_REQ_DESCRIPTION \" +\n \"SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID \" +\n \"SYSREQ_EDOC_TYPE_REQ_IS_LEADING \" +\n \"SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED \" +\n \"SYSREQ_EDOC_TYPE_REQ_NUMBER \" +\n \"SYSREQ_EDOC_TYPE_REQ_ON_CHANGE \" +\n \"SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS \" +\n \"SYSREQ_EDOC_TYPE_REQ_ON_SELECT \" +\n \"SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND \" +\n \"SYSREQ_EDOC_TYPE_REQ_SECTION \" +\n \"SYSREQ_EDOC_TYPE_VIEW_CARD \" +\n \"SYSREQ_EDOC_TYPE_VIEW_CODE \" +\n \"SYSREQ_EDOC_TYPE_VIEW_COMMENT \" +\n \"SYSREQ_EDOC_TYPE_VIEW_IS_MAIN \" +\n \"SYSREQ_EDOC_TYPE_VIEW_NAME \" +\n \"SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID \" +\n \"SYSREQ_EDOC_VERSION_AUTHOR \" +\n \"SYSREQ_EDOC_VERSION_CRC \" +\n \"SYSREQ_EDOC_VERSION_DATA \" +\n \"SYSREQ_EDOC_VERSION_EDITOR \" +\n \"SYSREQ_EDOC_VERSION_EXPORT_DATE \" +\n \"SYSREQ_EDOC_VERSION_EXPORTER \" +\n \"SYSREQ_EDOC_VERSION_HIDDEN \" +\n \"SYSREQ_EDOC_VERSION_LIFE_STAGE \" +\n \"SYSREQ_EDOC_VERSION_MODIFIED \" +\n \"SYSREQ_EDOC_VERSION_NOTE \" +\n \"SYSREQ_EDOC_VERSION_SIGNATURE_TYPE \" +\n \"SYSREQ_EDOC_VERSION_SIGNED \" +\n \"SYSREQ_EDOC_VERSION_SIZE \" +\n \"SYSREQ_EDOC_VERSION_SOURCE \" +\n \"SYSREQ_EDOC_VERSION_TEXT_MODIFIED \" +\n \"SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE \" +\n \"SYSREQ_FOLDER_KIND \" +\n \"SYSREQ_FUNC_CATEGORY \" +\n \"SYSREQ_FUNC_COMMENT \" +\n \"SYSREQ_FUNC_GROUP \" +\n \"SYSREQ_FUNC_GROUP_COMMENT \" +\n \"SYSREQ_FUNC_GROUP_NUMBER \" +\n \"SYSREQ_FUNC_HELP \" +\n \"SYSREQ_FUNC_PARAM_DEF_VALUE \" +\n \"SYSREQ_FUNC_PARAM_IDENT \" +\n \"SYSREQ_FUNC_PARAM_NUMBER \" +\n \"SYSREQ_FUNC_PARAM_TYPE \" +\n \"SYSREQ_FUNC_TEXT \" +\n \"SYSREQ_GROUP_CATEGORY \" +\n \"SYSREQ_ID \" +\n \"SYSREQ_LAST_UPDATE \" +\n \"SYSREQ_LEADER_REFERENCE \" +\n \"SYSREQ_LINE_NUMBER \" +\n \"SYSREQ_MAIN_RECORD_ID \" +\n \"SYSREQ_NAME \" +\n \"SYSREQ_NAME_LOCALIZE_ID \" +\n \"SYSREQ_NOTE \" +\n \"SYSREQ_ORIGINAL_RECORD \" +\n \"SYSREQ_OUR_FIRM \" +\n \"SYSREQ_PROFILING_SETTINGS_BATCH_LOGING \" +\n \"SYSREQ_PROFILING_SETTINGS_BATCH_SIZE \" +\n \"SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED \" +\n \"SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED \" +\n \"SYSREQ_PROFILING_SETTINGS_START_LOGGED \" +\n \"SYSREQ_RECORD_STATUS \" +\n \"SYSREQ_REF_REQ_FIELD_NAME \" +\n \"SYSREQ_REF_REQ_FORMAT \" +\n \"SYSREQ_REF_REQ_GENERATED \" +\n \"SYSREQ_REF_REQ_LENGTH \" +\n \"SYSREQ_REF_REQ_PRECISION \" +\n \"SYSREQ_REF_REQ_REFERENCE \" +\n \"SYSREQ_REF_REQ_SECTION \" +\n \"SYSREQ_REF_REQ_STORED \" +\n \"SYSREQ_REF_REQ_TOKENS \" +\n \"SYSREQ_REF_REQ_TYPE \" +\n \"SYSREQ_REF_REQ_VIEW \" +\n \"SYSREQ_REF_TYPE_ACT_CODE \" +\n \"SYSREQ_REF_TYPE_ACT_DESCRIPTION \" +\n \"SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID \" +\n \"SYSREQ_REF_TYPE_ACT_ON_EXECUTE \" +\n \"SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS \" +\n \"SYSREQ_REF_TYPE_ACT_SECTION \" +\n \"SYSREQ_REF_TYPE_ADD_PARAMS \" +\n \"SYSREQ_REF_TYPE_COMMENT \" +\n \"SYSREQ_REF_TYPE_COMMON_SETTINGS \" +\n \"SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME \" +\n \"SYSREQ_REF_TYPE_EVENT_TEXT \" +\n \"SYSREQ_REF_TYPE_MAIN_LEADING_REF \" +\n \"SYSREQ_REF_TYPE_NAME_IN_SINGULAR \" +\n \"SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID \" +\n \"SYSREQ_REF_TYPE_NAME_LOCALIZE_ID \" +\n \"SYSREQ_REF_TYPE_NUMERATION_METHOD \" +\n \"SYSREQ_REF_TYPE_REQ_CODE \" +\n \"SYSREQ_REF_TYPE_REQ_DESCRIPTION \" +\n \"SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID \" +\n \"SYSREQ_REF_TYPE_REQ_IS_CONTROL \" +\n \"SYSREQ_REF_TYPE_REQ_IS_FILTER \" +\n \"SYSREQ_REF_TYPE_REQ_IS_LEADING \" +\n \"SYSREQ_REF_TYPE_REQ_IS_REQUIRED \" +\n \"SYSREQ_REF_TYPE_REQ_NUMBER \" +\n \"SYSREQ_REF_TYPE_REQ_ON_CHANGE \" +\n \"SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS \" +\n \"SYSREQ_REF_TYPE_REQ_ON_SELECT \" +\n \"SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND \" +\n \"SYSREQ_REF_TYPE_REQ_SECTION \" +\n \"SYSREQ_REF_TYPE_VIEW_CARD \" +\n \"SYSREQ_REF_TYPE_VIEW_CODE \" +\n \"SYSREQ_REF_TYPE_VIEW_COMMENT \" +\n \"SYSREQ_REF_TYPE_VIEW_IS_MAIN \" +\n \"SYSREQ_REF_TYPE_VIEW_NAME \" +\n \"SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID \" +\n \"SYSREQ_REFERENCE_TYPE_ID \" +\n \"SYSREQ_STATE \" +\n \"SYSREQ_STATЕ \" +\n \"SYSREQ_SYSTEM_SETTINGS_VALUE \" +\n \"SYSREQ_TYPE \" +\n \"SYSREQ_UNIT \" +\n \"SYSREQ_UNIT_ID \" +\n \"SYSREQ_USER_GROUPS_GROUP_FULL_NAME \" +\n \"SYSREQ_USER_GROUPS_GROUP_NAME \" +\n \"SYSREQ_USER_GROUPS_GROUP_SERVER_NAME \" +\n \"SYSREQ_USERS_ACCESS_RIGHTS \" +\n \"SYSREQ_USERS_AUTHENTICATION \" +\n \"SYSREQ_USERS_CATEGORY \" +\n \"SYSREQ_USERS_COMPONENT \" +\n \"SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC \" +\n \"SYSREQ_USERS_DOMAIN \" +\n \"SYSREQ_USERS_FULL_USER_NAME \" +\n \"SYSREQ_USERS_GROUP \" +\n \"SYSREQ_USERS_IS_MAIN_SERVER \" +\n \"SYSREQ_USERS_LOGIN \" +\n \"SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC \" +\n \"SYSREQ_USERS_STATUS \" +\n \"SYSREQ_USERS_USER_CERTIFICATE \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_INFO \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_STATE \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME \" +\n \"SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT \" +\n \"SYSREQ_USERS_USER_DEFAULT_CERTIFICATE \" +\n \"SYSREQ_USERS_USER_DESCRIPTION \" +\n \"SYSREQ_USERS_USER_GLOBAL_NAME \" +\n \"SYSREQ_USERS_USER_LOGIN \" +\n \"SYSREQ_USERS_USER_MAIN_SERVER \" +\n \"SYSREQ_USERS_USER_TYPE \" +\n \"SYSREQ_WORK_RULES_FOLDER_ID \";\n\n // Result\n var result_constants = \"RESULT_VAR_NAME RESULT_VAR_NAME_ENG \";\n\n // Rule identification\n var rule_identification_constants =\n \"AUTO_NUMERATION_RULE_ID \" +\n \"CANT_CHANGE_ID_REQUISITE_RULE_ID \" +\n \"CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID \" +\n \"CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID \" +\n \"CHECK_CODE_REQUISITE_RULE_ID \" +\n \"CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID \" +\n \"CHECK_FILTRATER_CHANGES_RULE_ID \" +\n \"CHECK_RECORD_INTERVAL_RULE_ID \" +\n \"CHECK_REFERENCE_INTERVAL_RULE_ID \" +\n \"CHECK_REQUIRED_DATA_FULLNESS_RULE_ID \" +\n \"CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID \" +\n \"MAKE_RECORD_UNRATIFIED_RULE_ID \" +\n \"RESTORE_AUTO_NUMERATION_RULE_ID \" +\n \"SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID \" +\n \"SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID \" +\n \"SET_IDSPS_VALUE_RULE_ID \" +\n \"SET_NEXT_CODE_VALUE_RULE_ID \" +\n \"SET_OURFIRM_BOUNDS_RULE_ID \" +\n \"SET_OURFIRM_REQUISITE_RULE_ID \";\n\n // Script block properties\n var script_block_properties_constants =\n \"SCRIPT_BLOCK_AFTER_FINISH_EVENT \" +\n \"SCRIPT_BLOCK_BEFORE_START_EVENT \" +\n \"SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY \" +\n \"SCRIPT_BLOCK_NAME_PROPERTY \" +\n \"SCRIPT_BLOCK_SCRIPT_PROPERTY \";\n\n // Subtask block properties\n var subtask_block_properties_constants =\n \"SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY \" +\n \"SUBTASK_BLOCK_AFTER_FINISH_EVENT \" +\n \"SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT \" +\n \"SUBTASK_BLOCK_ATTACHMENTS_PROPERTY \" +\n \"SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY \" +\n \"SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY \" +\n \"SUBTASK_BLOCK_BEFORE_START_EVENT \" +\n \"SUBTASK_BLOCK_CREATED_TASK_PROPERTY \" +\n \"SUBTASK_BLOCK_CREATION_EVENT \" +\n \"SUBTASK_BLOCK_DEADLINE_PROPERTY \" +\n \"SUBTASK_BLOCK_IMPORTANCE_PROPERTY \" +\n \"SUBTASK_BLOCK_INITIATOR_PROPERTY \" +\n \"SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY \" +\n \"SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY \" +\n \"SUBTASK_BLOCK_JOBS_TYPE_PROPERTY \" +\n \"SUBTASK_BLOCK_NAME_PROPERTY \" +\n \"SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY \" +\n \"SUBTASK_BLOCK_PERFORMERS_PROPERTY \" +\n \"SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY \" +\n \"SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \" +\n \"SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY \" +\n \"SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY \" +\n \"SUBTASK_BLOCK_START_EVENT \" +\n \"SUBTASK_BLOCK_STEP_CONTROL_PROPERTY \" +\n \"SUBTASK_BLOCK_SUBJECT_PROPERTY \" +\n \"SUBTASK_BLOCK_TASK_CONTROL_PROPERTY \" +\n \"SUBTASK_BLOCK_TEXT_PROPERTY \" +\n \"SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY \" +\n \"SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY \" +\n \"SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY \";\n\n // System component\n var system_component_constants =\n \"SYSCOMP_CONTROL_JOBS \" +\n \"SYSCOMP_FOLDERS \" +\n \"SYSCOMP_JOBS \" +\n \"SYSCOMP_NOTICES \" +\n \"SYSCOMP_TASKS \";\n\n // System dialogs\n var system_dialogs_constants =\n \"SYSDLG_CREATE_EDOCUMENT \" +\n \"SYSDLG_CREATE_EDOCUMENT_VERSION \" +\n \"SYSDLG_CURRENT_PERIOD \" +\n \"SYSDLG_EDIT_FUNCTION_HELP \" +\n \"SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE \" +\n \"SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS \" +\n \"SYSDLG_EXPORT_SINGLE_EDOCUMENT \" +\n \"SYSDLG_IMPORT_EDOCUMENT \" +\n \"SYSDLG_MULTIPLE_SELECT \" +\n \"SYSDLG_SETUP_ACCESS_RIGHTS \" +\n \"SYSDLG_SETUP_DEFAULT_RIGHTS \" +\n \"SYSDLG_SETUP_FILTER_CONDITION \" +\n \"SYSDLG_SETUP_SIGN_RIGHTS \" +\n \"SYSDLG_SETUP_TASK_OBSERVERS \" +\n \"SYSDLG_SETUP_TASK_ROUTE \" +\n \"SYSDLG_SETUP_USERS_LIST \" +\n \"SYSDLG_SIGN_EDOCUMENT \" +\n \"SYSDLG_SIGN_MULTIPLE_EDOCUMENTS \";\n\n // System reference names\n var system_reference_names_constants =\n \"SYSREF_ACCESS_RIGHTS_TYPES \" +\n \"SYSREF_ADMINISTRATION_HISTORY \" +\n \"SYSREF_ALL_AVAILABLE_COMPONENTS \" +\n \"SYSREF_ALL_AVAILABLE_PRIVILEGES \" +\n \"SYSREF_ALL_REPLICATING_COMPONENTS \" +\n \"SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS \" +\n \"SYSREF_CALENDAR_EVENTS \" +\n \"SYSREF_COMPONENT_TOKEN_HISTORY \" +\n \"SYSREF_COMPONENT_TOKENS \" +\n \"SYSREF_COMPONENTS \" +\n \"SYSREF_CONSTANTS \" +\n \"SYSREF_DATA_RECEIVE_PROTOCOL \" +\n \"SYSREF_DATA_SEND_PROTOCOL \" +\n \"SYSREF_DIALOGS \" +\n \"SYSREF_DIALOGS_REQUISITES \" +\n \"SYSREF_EDITORS \" +\n \"SYSREF_EDOC_CARDS \" +\n \"SYSREF_EDOC_TYPES \" +\n \"SYSREF_EDOCUMENT_CARD_REQUISITES \" +\n \"SYSREF_EDOCUMENT_CARD_TYPES \" +\n \"SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE \" +\n \"SYSREF_EDOCUMENT_CARDS \" +\n \"SYSREF_EDOCUMENT_HISTORY \" +\n \"SYSREF_EDOCUMENT_KINDS \" +\n \"SYSREF_EDOCUMENT_REQUISITES \" +\n \"SYSREF_EDOCUMENT_SIGNATURES \" +\n \"SYSREF_EDOCUMENT_TEMPLATES \" +\n \"SYSREF_EDOCUMENT_TEXT_STORAGES \" +\n \"SYSREF_EDOCUMENT_VIEWS \" +\n \"SYSREF_FILTERER_SETUP_CONFLICTS \" +\n \"SYSREF_FILTRATER_SETTING_CONFLICTS \" +\n \"SYSREF_FOLDER_HISTORY \" +\n \"SYSREF_FOLDERS \" +\n \"SYSREF_FUNCTION_GROUPS \" +\n \"SYSREF_FUNCTION_PARAMS \" +\n \"SYSREF_FUNCTIONS \" +\n \"SYSREF_JOB_HISTORY \" +\n \"SYSREF_LINKS \" +\n \"SYSREF_LOCALIZATION_DICTIONARY \" +\n \"SYSREF_LOCALIZATION_LANGUAGES \" +\n \"SYSREF_MODULES \" +\n \"SYSREF_PRIVILEGES \" +\n \"SYSREF_RECORD_HISTORY \" +\n \"SYSREF_REFERENCE_REQUISITES \" +\n \"SYSREF_REFERENCE_TYPE_VIEWS \" +\n \"SYSREF_REFERENCE_TYPES \" +\n \"SYSREF_REFERENCES \" +\n \"SYSREF_REFERENCES_REQUISITES \" +\n \"SYSREF_REMOTE_SERVERS \" +\n \"SYSREF_REPLICATION_SESSIONS_LOG \" +\n \"SYSREF_REPLICATION_SESSIONS_PROTOCOL \" +\n \"SYSREF_REPORTS \" +\n \"SYSREF_ROLES \" +\n \"SYSREF_ROUTE_BLOCK_GROUPS \" +\n \"SYSREF_ROUTE_BLOCKS \" +\n \"SYSREF_SCRIPTS \" +\n \"SYSREF_SEARCHES \" +\n \"SYSREF_SERVER_EVENTS \" +\n \"SYSREF_SERVER_EVENTS_HISTORY \" +\n \"SYSREF_STANDARD_ROUTE_GROUPS \" +\n \"SYSREF_STANDARD_ROUTES \" +\n \"SYSREF_STATUSES \" +\n \"SYSREF_SYSTEM_SETTINGS \" +\n \"SYSREF_TASK_HISTORY \" +\n \"SYSREF_TASK_KIND_GROUPS \" +\n \"SYSREF_TASK_KINDS \" +\n \"SYSREF_TASK_RIGHTS \" +\n \"SYSREF_TASK_SIGNATURES \" +\n \"SYSREF_TASKS \" +\n \"SYSREF_UNITS \" +\n \"SYSREF_USER_GROUPS \" +\n \"SYSREF_USER_GROUPS_REFERENCE \" +\n \"SYSREF_USER_SUBSTITUTION \" +\n \"SYSREF_USERS \" +\n \"SYSREF_USERS_REFERENCE \" +\n \"SYSREF_VIEWERS \" +\n \"SYSREF_WORKING_TIME_CALENDARS \";\n\n // Table name\n var table_name_constants =\n \"ACCESS_RIGHTS_TABLE_NAME \" +\n \"EDMS_ACCESS_TABLE_NAME \" +\n \"EDOC_TYPES_TABLE_NAME \";\n\n // Test\n var test_constants =\n \"TEST_DEV_DB_NAME \" +\n \"TEST_DEV_SYSTEM_CODE \" +\n \"TEST_EDMS_DB_NAME \" +\n \"TEST_EDMS_MAIN_CODE \" +\n \"TEST_EDMS_MAIN_DB_NAME \" +\n \"TEST_EDMS_SECOND_CODE \" +\n \"TEST_EDMS_SECOND_DB_NAME \" +\n \"TEST_EDMS_SYSTEM_CODE \" +\n \"TEST_ISB5_MAIN_CODE \" +\n \"TEST_ISB5_SECOND_CODE \" +\n \"TEST_SQL_SERVER_2005_NAME \" +\n \"TEST_SQL_SERVER_NAME \";\n\n // Using the dialog windows\n var using_the_dialog_windows_constants =\n \"ATTENTION_CAPTION \" +\n \"cbsCommandLinks \" +\n \"cbsDefault \" +\n \"CONFIRMATION_CAPTION \" +\n \"ERROR_CAPTION \" +\n \"INFORMATION_CAPTION \" +\n \"mrCancel \" +\n \"mrOk \";\n\n // Using the document\n var using_the_document_constants =\n \"EDOC_VERSION_ACTIVE_STAGE_CODE \" +\n \"EDOC_VERSION_DESIGN_STAGE_CODE \" +\n \"EDOC_VERSION_OBSOLETE_STAGE_CODE \";\n\n // Using the EA and encryption\n var using_the_EA_and_encryption_constants =\n \"cpDataEnciphermentEnabled \" +\n \"cpDigitalSignatureEnabled \" +\n \"cpID \" +\n \"cpIssuer \" +\n \"cpPluginVersion \" +\n \"cpSerial \" +\n \"cpSubjectName \" +\n \"cpSubjSimpleName \" +\n \"cpValidFromDate \" +\n \"cpValidToDate \";\n\n // Using the ISBL-editor\n var using_the_ISBL_editor_constants =\n \"ISBL_SYNTAX \" + \"NO_SYNTAX \" + \"XML_SYNTAX \";\n\n // Wait block properties\n var wait_block_properties_constants =\n \"WAIT_BLOCK_AFTER_FINISH_EVENT \" +\n \"WAIT_BLOCK_BEFORE_START_EVENT \" +\n \"WAIT_BLOCK_DEADLINE_PROPERTY \" +\n \"WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY \" +\n \"WAIT_BLOCK_NAME_PROPERTY \" +\n \"WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \";\n\n // SYSRES Common\n var sysres_common_constants =\n \"SYSRES_COMMON \" +\n \"SYSRES_CONST \" +\n \"SYSRES_MBFUNC \" +\n \"SYSRES_SBDATA \" +\n \"SYSRES_SBGUI \" +\n \"SYSRES_SBINTF \" +\n \"SYSRES_SBREFDSC \" +\n \"SYSRES_SQLERRORS \" +\n \"SYSRES_SYSCOMP \";\n\n // Константы ==> built_in\n var CONSTANTS =\n sysres_constants +\n base_constants +\n base_group_name_constants +\n decision_block_properties_constants +\n file_extension_constants +\n job_block_properties_constants +\n language_code_constants +\n launching_external_applications_constants +\n link_kind_constants +\n lock_type_constants +\n monitor_block_properties_constants +\n notice_block_properties_constants +\n object_events_constants +\n object_params_constants +\n other_constants +\n privileges_constants +\n pseudoreference_code_constants +\n requisite_ISBCertificateType_values_constants +\n requisite_ISBEDocStorageType_values_constants +\n requisite_compType2_values_constants +\n requisite_name_constants +\n result_constants +\n rule_identification_constants +\n script_block_properties_constants +\n subtask_block_properties_constants +\n system_component_constants +\n system_dialogs_constants +\n system_reference_names_constants +\n table_name_constants +\n test_constants +\n using_the_dialog_windows_constants +\n using_the_document_constants +\n using_the_EA_and_encryption_constants +\n using_the_ISBL_editor_constants +\n wait_block_properties_constants +\n sysres_common_constants;\n\n // enum TAccountType\n var TAccountType = \"atUser atGroup atRole \";\n\n // enum TActionEnabledMode\n var TActionEnabledMode =\n \"aemEnabledAlways \" +\n \"aemDisabledAlways \" +\n \"aemEnabledOnBrowse \" +\n \"aemEnabledOnEdit \" +\n \"aemDisabledOnBrowseEmpty \";\n\n // enum TAddPosition\n var TAddPosition = \"apBegin apEnd \";\n\n // enum TAlignment\n var TAlignment = \"alLeft alRight \";\n\n // enum TAreaShowMode\n var TAreaShowMode =\n \"asmNever \" +\n \"asmNoButCustomize \" +\n \"asmAsLastTime \" +\n \"asmYesButCustomize \" +\n \"asmAlways \";\n\n // enum TCertificateInvalidationReason\n var TCertificateInvalidationReason = \"cirCommon cirRevoked \";\n\n // enum TCertificateType\n var TCertificateType = \"ctSignature ctEncode ctSignatureEncode \";\n\n // enum TCheckListBoxItemState\n var TCheckListBoxItemState = \"clbUnchecked clbChecked clbGrayed \";\n\n // enum TCloseOnEsc\n var TCloseOnEsc = \"ceISB ceAlways ceNever \";\n\n // enum TCompType\n var TCompType =\n \"ctDocument \" +\n \"ctReference \" +\n \"ctScript \" +\n \"ctUnknown \" +\n \"ctReport \" +\n \"ctDialog \" +\n \"ctFunction \" +\n \"ctFolder \" +\n \"ctEDocument \" +\n \"ctTask \" +\n \"ctJob \" +\n \"ctNotice \" +\n \"ctControlJob \";\n\n // enum TConditionFormat\n var TConditionFormat = \"cfInternal cfDisplay \";\n\n // enum TConnectionIntent\n var TConnectionIntent = \"ciUnspecified ciWrite ciRead \";\n\n // enum TContentKind\n var TContentKind =\n \"ckFolder \" +\n \"ckEDocument \" +\n \"ckTask \" +\n \"ckJob \" +\n \"ckComponentToken \" +\n \"ckAny \" +\n \"ckReference \" +\n \"ckScript \" +\n \"ckReport \" +\n \"ckDialog \";\n\n // enum TControlType\n var TControlType =\n \"ctISBLEditor \" +\n \"ctBevel \" +\n \"ctButton \" +\n \"ctCheckListBox \" +\n \"ctComboBox \" +\n \"ctComboEdit \" +\n \"ctGrid \" +\n \"ctDBCheckBox \" +\n \"ctDBComboBox \" +\n \"ctDBEdit \" +\n \"ctDBEllipsis \" +\n \"ctDBMemo \" +\n \"ctDBNavigator \" +\n \"ctDBRadioGroup \" +\n \"ctDBStatusLabel \" +\n \"ctEdit \" +\n \"ctGroupBox \" +\n \"ctInplaceHint \" +\n \"ctMemo \" +\n \"ctPanel \" +\n \"ctListBox \" +\n \"ctRadioButton \" +\n \"ctRichEdit \" +\n \"ctTabSheet \" +\n \"ctWebBrowser \" +\n \"ctImage \" +\n \"ctHyperLink \" +\n \"ctLabel \" +\n \"ctDBMultiEllipsis \" +\n \"ctRibbon \" +\n \"ctRichView \" +\n \"ctInnerPanel \" +\n \"ctPanelGroup \" +\n \"ctBitButton \";\n\n // enum TCriterionContentType\n var TCriterionContentType =\n \"cctDate \" +\n \"cctInteger \" +\n \"cctNumeric \" +\n \"cctPick \" +\n \"cctReference \" +\n \"cctString \" +\n \"cctText \";\n\n // enum TCultureType\n var TCultureType = \"cltInternal cltPrimary cltGUI \";\n\n // enum TDataSetEventType\n var TDataSetEventType =\n \"dseBeforeOpen \" +\n \"dseAfterOpen \" +\n \"dseBeforeClose \" +\n \"dseAfterClose \" +\n \"dseOnValidDelete \" +\n \"dseBeforeDelete \" +\n \"dseAfterDelete \" +\n \"dseAfterDeleteOutOfTransaction \" +\n \"dseOnDeleteError \" +\n \"dseBeforeInsert \" +\n \"dseAfterInsert \" +\n \"dseOnValidUpdate \" +\n \"dseBeforeUpdate \" +\n \"dseOnUpdateRatifiedRecord \" +\n \"dseAfterUpdate \" +\n \"dseAfterUpdateOutOfTransaction \" +\n \"dseOnUpdateError \" +\n \"dseAfterScroll \" +\n \"dseOnOpenRecord \" +\n \"dseOnCloseRecord \" +\n \"dseBeforeCancel \" +\n \"dseAfterCancel \" +\n \"dseOnUpdateDeadlockError \" +\n \"dseBeforeDetailUpdate \" +\n \"dseOnPrepareUpdate \" +\n \"dseOnAnyRequisiteChange \";\n\n // enum TDataSetState\n var TDataSetState = \"dssEdit dssInsert dssBrowse dssInActive \";\n\n // enum TDateFormatType\n var TDateFormatType = \"dftDate dftShortDate dftDateTime dftTimeStamp \";\n\n // enum TDateOffsetType\n var TDateOffsetType = \"dotDays dotHours dotMinutes dotSeconds \";\n\n // enum TDateTimeKind\n var TDateTimeKind = \"dtkndLocal dtkndUTC \";\n\n // enum TDeaAccessRights\n var TDeaAccessRights = \"arNone arView arEdit arFull \";\n\n // enum TDocumentDefaultAction\n var TDocumentDefaultAction = \"ddaView ddaEdit \";\n\n // enum TEditMode\n var TEditMode =\n \"emLock \" +\n \"emEdit \" +\n \"emSign \" +\n \"emExportWithLock \" +\n \"emImportWithUnlock \" +\n \"emChangeVersionNote \" +\n \"emOpenForModify \" +\n \"emChangeLifeStage \" +\n \"emDelete \" +\n \"emCreateVersion \" +\n \"emImport \" +\n \"emUnlockExportedWithLock \" +\n \"emStart \" +\n \"emAbort \" +\n \"emReInit \" +\n \"emMarkAsReaded \" +\n \"emMarkAsUnreaded \" +\n \"emPerform \" +\n \"emAccept \" +\n \"emResume \" +\n \"emChangeRights \" +\n \"emEditRoute \" +\n \"emEditObserver \" +\n \"emRecoveryFromLocalCopy \" +\n \"emChangeWorkAccessType \" +\n \"emChangeEncodeTypeToCertificate \" +\n \"emChangeEncodeTypeToPassword \" +\n \"emChangeEncodeTypeToNone \" +\n \"emChangeEncodeTypeToCertificatePassword \" +\n \"emChangeStandardRoute \" +\n \"emGetText \" +\n \"emOpenForView \" +\n \"emMoveToStorage \" +\n \"emCreateObject \" +\n \"emChangeVersionHidden \" +\n \"emDeleteVersion \" +\n \"emChangeLifeCycleStage \" +\n \"emApprovingSign \" +\n \"emExport \" +\n \"emContinue \" +\n \"emLockFromEdit \" +\n \"emUnLockForEdit \" +\n \"emLockForServer \" +\n \"emUnlockFromServer \" +\n \"emDelegateAccessRights \" +\n \"emReEncode \";\n\n // enum TEditorCloseObservType\n var TEditorCloseObservType = \"ecotFile ecotProcess \";\n\n // enum TEdmsApplicationAction\n var TEdmsApplicationAction = \"eaGet eaCopy eaCreate eaCreateStandardRoute \";\n\n // enum TEDocumentLockType\n var TEDocumentLockType = \"edltAll edltNothing edltQuery \";\n\n // enum TEDocumentStepShowMode\n var TEDocumentStepShowMode = \"essmText essmCard \";\n\n // enum TEDocumentStepVersionType\n var TEDocumentStepVersionType = \"esvtLast esvtLastActive esvtSpecified \";\n\n // enum TEDocumentStorageFunction\n var TEDocumentStorageFunction = \"edsfExecutive edsfArchive \";\n\n // enum TEDocumentStorageType\n var TEDocumentStorageType = \"edstSQLServer edstFile \";\n\n // enum TEDocumentVersionSourceType\n var TEDocumentVersionSourceType =\n \"edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile \";\n\n // enum TEDocumentVersionState\n var TEDocumentVersionState = \"vsDefault vsDesign vsActive vsObsolete \";\n\n // enum TEncodeType\n var TEncodeType = \"etNone etCertificate etPassword etCertificatePassword \";\n\n // enum TExceptionCategory\n var TExceptionCategory = \"ecException ecWarning ecInformation \";\n\n // enum TExportedSignaturesType\n var TExportedSignaturesType = \"estAll estApprovingOnly \";\n\n // enum TExportedVersionType\n var TExportedVersionType = \"evtLast evtLastActive evtQuery \";\n\n // enum TFieldDataType\n var TFieldDataType =\n \"fdtString \" +\n \"fdtNumeric \" +\n \"fdtInteger \" +\n \"fdtDate \" +\n \"fdtText \" +\n \"fdtUnknown \" +\n \"fdtWideString \" +\n \"fdtLargeInteger \";\n\n // enum TFolderType\n var TFolderType =\n \"ftInbox \" +\n \"ftOutbox \" +\n \"ftFavorites \" +\n \"ftCommonFolder \" +\n \"ftUserFolder \" +\n \"ftComponents \" +\n \"ftQuickLaunch \" +\n \"ftShortcuts \" +\n \"ftSearch \";\n\n // enum TGridRowHeight\n var TGridRowHeight = \"grhAuto \" + \"grhX1 \" + \"grhX2 \" + \"grhX3 \";\n\n // enum THyperlinkType\n var THyperlinkType = \"hltText \" + \"hltRTF \" + \"hltHTML \";\n\n // enum TImageFileFormat\n var TImageFileFormat =\n \"iffBMP \" +\n \"iffJPEG \" +\n \"iffMultiPageTIFF \" +\n \"iffSinglePageTIFF \" +\n \"iffTIFF \" +\n \"iffPNG \";\n\n // enum TImageMode\n var TImageMode = \"im8bGrayscale \" + \"im24bRGB \" + \"im1bMonochrome \";\n\n // enum TImageType\n var TImageType = \"itBMP \" + \"itJPEG \" + \"itWMF \" + \"itPNG \";\n\n // enum TInplaceHintKind\n var TInplaceHintKind =\n \"ikhInformation \" + \"ikhWarning \" + \"ikhError \" + \"ikhNoIcon \";\n\n // enum TISBLContext\n var TISBLContext =\n \"icUnknown \" +\n \"icScript \" +\n \"icFunction \" +\n \"icIntegratedReport \" +\n \"icAnalyticReport \" +\n \"icDataSetEventHandler \" +\n \"icActionHandler \" +\n \"icFormEventHandler \" +\n \"icLookUpEventHandler \" +\n \"icRequisiteChangeEventHandler \" +\n \"icBeforeSearchEventHandler \" +\n \"icRoleCalculation \" +\n \"icSelectRouteEventHandler \" +\n \"icBlockPropertyCalculation \" +\n \"icBlockQueryParamsEventHandler \" +\n \"icChangeSearchResultEventHandler \" +\n \"icBlockEventHandler \" +\n \"icSubTaskInitEventHandler \" +\n \"icEDocDataSetEventHandler \" +\n \"icEDocLookUpEventHandler \" +\n \"icEDocActionHandler \" +\n \"icEDocFormEventHandler \" +\n \"icEDocRequisiteChangeEventHandler \" +\n \"icStructuredConversionRule \" +\n \"icStructuredConversionEventBefore \" +\n \"icStructuredConversionEventAfter \" +\n \"icWizardEventHandler \" +\n \"icWizardFinishEventHandler \" +\n \"icWizardStepEventHandler \" +\n \"icWizardStepFinishEventHandler \" +\n \"icWizardActionEnableEventHandler \" +\n \"icWizardActionExecuteEventHandler \" +\n \"icCreateJobsHandler \" +\n \"icCreateNoticesHandler \" +\n \"icBeforeLookUpEventHandler \" +\n \"icAfterLookUpEventHandler \" +\n \"icTaskAbortEventHandler \" +\n \"icWorkflowBlockActionHandler \" +\n \"icDialogDataSetEventHandler \" +\n \"icDialogActionHandler \" +\n \"icDialogLookUpEventHandler \" +\n \"icDialogRequisiteChangeEventHandler \" +\n \"icDialogFormEventHandler \" +\n \"icDialogValidCloseEventHandler \" +\n \"icBlockFormEventHandler \" +\n \"icTaskFormEventHandler \" +\n \"icReferenceMethod \" +\n \"icEDocMethod \" +\n \"icDialogMethod \" +\n \"icProcessMessageHandler \";\n\n // enum TItemShow\n var TItemShow = \"isShow \" + \"isHide \" + \"isByUserSettings \";\n\n // enum TJobKind\n var TJobKind = \"jkJob \" + \"jkNotice \" + \"jkControlJob \";\n\n // enum TJoinType\n var TJoinType = \"jtInner \" + \"jtLeft \" + \"jtRight \" + \"jtFull \" + \"jtCross \";\n\n // enum TLabelPos\n var TLabelPos = \"lbpAbove \" + \"lbpBelow \" + \"lbpLeft \" + \"lbpRight \";\n\n // enum TLicensingType\n var TLicensingType = \"eltPerConnection \" + \"eltPerUser \";\n\n // enum TLifeCycleStageFontColor\n var TLifeCycleStageFontColor =\n \"sfcUndefined \" +\n \"sfcBlack \" +\n \"sfcGreen \" +\n \"sfcRed \" +\n \"sfcBlue \" +\n \"sfcOrange \" +\n \"sfcLilac \";\n\n // enum TLifeCycleStageFontStyle\n var TLifeCycleStageFontStyle = \"sfsItalic \" + \"sfsStrikeout \" + \"sfsNormal \";\n\n // enum TLockableDevelopmentComponentType\n var TLockableDevelopmentComponentType =\n \"ldctStandardRoute \" +\n \"ldctWizard \" +\n \"ldctScript \" +\n \"ldctFunction \" +\n \"ldctRouteBlock \" +\n \"ldctIntegratedReport \" +\n \"ldctAnalyticReport \" +\n \"ldctReferenceType \" +\n \"ldctEDocumentType \" +\n \"ldctDialog \" +\n \"ldctServerEvents \";\n\n // enum TMaxRecordCountRestrictionType\n var TMaxRecordCountRestrictionType =\n \"mrcrtNone \" + \"mrcrtUser \" + \"mrcrtMaximal \" + \"mrcrtCustom \";\n\n // enum TRangeValueType\n var TRangeValueType =\n \"vtEqual \" + \"vtGreaterOrEqual \" + \"vtLessOrEqual \" + \"vtRange \";\n\n // enum TRelativeDate\n var TRelativeDate =\n \"rdYesterday \" +\n \"rdToday \" +\n \"rdTomorrow \" +\n \"rdThisWeek \" +\n \"rdThisMonth \" +\n \"rdThisYear \" +\n \"rdNextMonth \" +\n \"rdNextWeek \" +\n \"rdLastWeek \" +\n \"rdLastMonth \";\n\n // enum TReportDestination\n var TReportDestination = \"rdWindow \" + \"rdFile \" + \"rdPrinter \";\n\n // enum TReqDataType\n var TReqDataType =\n \"rdtString \" +\n \"rdtNumeric \" +\n \"rdtInteger \" +\n \"rdtDate \" +\n \"rdtReference \" +\n \"rdtAccount \" +\n \"rdtText \" +\n \"rdtPick \" +\n \"rdtUnknown \" +\n \"rdtLargeInteger \" +\n \"rdtDocument \";\n\n // enum TRequisiteEventType\n var TRequisiteEventType = \"reOnChange \" + \"reOnChangeValues \";\n\n // enum TSBTimeType\n var TSBTimeType = \"ttGlobal \" + \"ttLocal \" + \"ttUser \" + \"ttSystem \";\n\n // enum TSearchShowMode\n var TSearchShowMode =\n \"ssmBrowse \" + \"ssmSelect \" + \"ssmMultiSelect \" + \"ssmBrowseModal \";\n\n // enum TSelectMode\n var TSelectMode = \"smSelect \" + \"smLike \" + \"smCard \";\n\n // enum TSignatureType\n var TSignatureType = \"stNone \" + \"stAuthenticating \" + \"stApproving \";\n\n // enum TSignerContentType\n var TSignerContentType = \"sctString \" + \"sctStream \";\n\n // enum TStringsSortType\n var TStringsSortType = \"sstAnsiSort \" + \"sstNaturalSort \";\n\n // enum TStringValueType\n var TStringValueType = \"svtEqual \" + \"svtContain \";\n\n // enum TStructuredObjectAttributeType\n var TStructuredObjectAttributeType =\n \"soatString \" +\n \"soatNumeric \" +\n \"soatInteger \" +\n \"soatDatetime \" +\n \"soatReferenceRecord \" +\n \"soatText \" +\n \"soatPick \" +\n \"soatBoolean \" +\n \"soatEDocument \" +\n \"soatAccount \" +\n \"soatIntegerCollection \" +\n \"soatNumericCollection \" +\n \"soatStringCollection \" +\n \"soatPickCollection \" +\n \"soatDatetimeCollection \" +\n \"soatBooleanCollection \" +\n \"soatReferenceRecordCollection \" +\n \"soatEDocumentCollection \" +\n \"soatAccountCollection \" +\n \"soatContents \" +\n \"soatUnknown \";\n\n // enum TTaskAbortReason\n var TTaskAbortReason = \"tarAbortByUser \" + \"tarAbortByWorkflowException \";\n\n // enum TTextValueType\n var TTextValueType = \"tvtAllWords \" + \"tvtExactPhrase \" + \"tvtAnyWord \";\n\n // enum TUserObjectStatus\n var TUserObjectStatus =\n \"usNone \" +\n \"usCompleted \" +\n \"usRedSquare \" +\n \"usBlueSquare \" +\n \"usYellowSquare \" +\n \"usGreenSquare \" +\n \"usOrangeSquare \" +\n \"usPurpleSquare \" +\n \"usFollowUp \";\n\n // enum TUserType\n var TUserType =\n \"utUnknown \" +\n \"utUser \" +\n \"utDeveloper \" +\n \"utAdministrator \" +\n \"utSystemDeveloper \" +\n \"utDisconnected \";\n\n // enum TValuesBuildType\n var TValuesBuildType =\n \"btAnd \" + \"btDetailAnd \" + \"btOr \" + \"btNotOr \" + \"btOnly \";\n\n // enum TViewMode\n var TViewMode = \"vmView \" + \"vmSelect \" + \"vmNavigation \";\n\n // enum TViewSelectionMode\n var TViewSelectionMode =\n \"vsmSingle \" + \"vsmMultiple \" + \"vsmMultipleCheck \" + \"vsmNoSelection \";\n\n // enum TWizardActionType\n var TWizardActionType =\n \"wfatPrevious \" + \"wfatNext \" + \"wfatCancel \" + \"wfatFinish \";\n\n // enum TWizardFormElementProperty\n var TWizardFormElementProperty =\n \"wfepUndefined \" +\n \"wfepText3 \" +\n \"wfepText6 \" +\n \"wfepText9 \" +\n \"wfepSpinEdit \" +\n \"wfepDropDown \" +\n \"wfepRadioGroup \" +\n \"wfepFlag \" +\n \"wfepText12 \" +\n \"wfepText15 \" +\n \"wfepText18 \" +\n \"wfepText21 \" +\n \"wfepText24 \" +\n \"wfepText27 \" +\n \"wfepText30 \" +\n \"wfepRadioGroupColumn1 \" +\n \"wfepRadioGroupColumn2 \" +\n \"wfepRadioGroupColumn3 \";\n\n // enum TWizardFormElementType\n var TWizardFormElementType =\n \"wfetQueryParameter \" + \"wfetText \" + \"wfetDelimiter \" + \"wfetLabel \";\n\n // enum TWizardParamType\n var TWizardParamType =\n \"wptString \" +\n \"wptInteger \" +\n \"wptNumeric \" +\n \"wptBoolean \" +\n \"wptDateTime \" +\n \"wptPick \" +\n \"wptText \" +\n \"wptUser \" +\n \"wptUserList \" +\n \"wptEDocumentInfo \" +\n \"wptEDocumentInfoList \" +\n \"wptReferenceRecordInfo \" +\n \"wptReferenceRecordInfoList \" +\n \"wptFolderInfo \" +\n \"wptTaskInfo \" +\n \"wptContents \" +\n \"wptFileName \" +\n \"wptDate \";\n\n // enum TWizardStepResult\n var TWizardStepResult =\n \"wsrComplete \" +\n \"wsrGoNext \" +\n \"wsrGoPrevious \" +\n \"wsrCustom \" +\n \"wsrCancel \" +\n \"wsrGoFinal \";\n\n // enum TWizardStepType\n var TWizardStepType =\n \"wstForm \" +\n \"wstEDocument \" +\n \"wstTaskCard \" +\n \"wstReferenceRecordCard \" +\n \"wstFinal \";\n\n // enum TWorkAccessType\n var TWorkAccessType = \"waAll \" + \"waPerformers \" + \"waManual \";\n\n // enum TWorkflowBlockType\n var TWorkflowBlockType =\n \"wsbStart \" +\n \"wsbFinish \" +\n \"wsbNotice \" +\n \"wsbStep \" +\n \"wsbDecision \" +\n \"wsbWait \" +\n \"wsbMonitor \" +\n \"wsbScript \" +\n \"wsbConnector \" +\n \"wsbSubTask \" +\n \"wsbLifeCycleStage \" +\n \"wsbPause \";\n\n // enum TWorkflowDataType\n var TWorkflowDataType =\n \"wdtInteger \" +\n \"wdtFloat \" +\n \"wdtString \" +\n \"wdtPick \" +\n \"wdtDateTime \" +\n \"wdtBoolean \" +\n \"wdtTask \" +\n \"wdtJob \" +\n \"wdtFolder \" +\n \"wdtEDocument \" +\n \"wdtReferenceRecord \" +\n \"wdtUser \" +\n \"wdtGroup \" +\n \"wdtRole \" +\n \"wdtIntegerCollection \" +\n \"wdtFloatCollection \" +\n \"wdtStringCollection \" +\n \"wdtPickCollection \" +\n \"wdtDateTimeCollection \" +\n \"wdtBooleanCollection \" +\n \"wdtTaskCollection \" +\n \"wdtJobCollection \" +\n \"wdtFolderCollection \" +\n \"wdtEDocumentCollection \" +\n \"wdtReferenceRecordCollection \" +\n \"wdtUserCollection \" +\n \"wdtGroupCollection \" +\n \"wdtRoleCollection \" +\n \"wdtContents \" +\n \"wdtUserList \" +\n \"wdtSearchDescription \" +\n \"wdtDeadLine \" +\n \"wdtPickSet \" +\n \"wdtAccountCollection \";\n\n // enum TWorkImportance\n var TWorkImportance = \"wiLow \" + \"wiNormal \" + \"wiHigh \";\n\n // enum TWorkRouteType\n var TWorkRouteType = \"wrtSoft \" + \"wrtHard \";\n\n // enum TWorkState\n var TWorkState =\n \"wsInit \" +\n \"wsRunning \" +\n \"wsDone \" +\n \"wsControlled \" +\n \"wsAborted \" +\n \"wsContinued \";\n\n // enum TWorkTextBuildingMode\n var TWorkTextBuildingMode =\n \"wtmFull \" + \"wtmFromCurrent \" + \"wtmOnlyCurrent \";\n\n // Перечисления\n var ENUMS =\n TAccountType +\n TActionEnabledMode +\n TAddPosition +\n TAlignment +\n TAreaShowMode +\n TCertificateInvalidationReason +\n TCertificateType +\n TCheckListBoxItemState +\n TCloseOnEsc +\n TCompType +\n TConditionFormat +\n TConnectionIntent +\n TContentKind +\n TControlType +\n TCriterionContentType +\n TCultureType +\n TDataSetEventType +\n TDataSetState +\n TDateFormatType +\n TDateOffsetType +\n TDateTimeKind +\n TDeaAccessRights +\n TDocumentDefaultAction +\n TEditMode +\n TEditorCloseObservType +\n TEdmsApplicationAction +\n TEDocumentLockType +\n TEDocumentStepShowMode +\n TEDocumentStepVersionType +\n TEDocumentStorageFunction +\n TEDocumentStorageType +\n TEDocumentVersionSourceType +\n TEDocumentVersionState +\n TEncodeType +\n TExceptionCategory +\n TExportedSignaturesType +\n TExportedVersionType +\n TFieldDataType +\n TFolderType +\n TGridRowHeight +\n THyperlinkType +\n TImageFileFormat +\n TImageMode +\n TImageType +\n TInplaceHintKind +\n TISBLContext +\n TItemShow +\n TJobKind +\n TJoinType +\n TLabelPos +\n TLicensingType +\n TLifeCycleStageFontColor +\n TLifeCycleStageFontStyle +\n TLockableDevelopmentComponentType +\n TMaxRecordCountRestrictionType +\n TRangeValueType +\n TRelativeDate +\n TReportDestination +\n TReqDataType +\n TRequisiteEventType +\n TSBTimeType +\n TSearchShowMode +\n TSelectMode +\n TSignatureType +\n TSignerContentType +\n TStringsSortType +\n TStringValueType +\n TStructuredObjectAttributeType +\n TTaskAbortReason +\n TTextValueType +\n TUserObjectStatus +\n TUserType +\n TValuesBuildType +\n TViewMode +\n TViewSelectionMode +\n TWizardActionType +\n TWizardFormElementProperty +\n TWizardFormElementType +\n TWizardParamType +\n TWizardStepResult +\n TWizardStepType +\n TWorkAccessType +\n TWorkflowBlockType +\n TWorkflowDataType +\n TWorkImportance +\n TWorkRouteType +\n TWorkState +\n TWorkTextBuildingMode;\n\n // Системные функции ==> SYSFUNCTIONS\n var system_functions =\n \"AddSubString \" +\n \"AdjustLineBreaks \" +\n \"AmountInWords \" +\n \"Analysis \" +\n \"ArrayDimCount \" +\n \"ArrayHighBound \" +\n \"ArrayLowBound \" +\n \"ArrayOf \" +\n \"ArrayReDim \" +\n \"Assert \" +\n \"Assigned \" +\n \"BeginOfMonth \" +\n \"BeginOfPeriod \" +\n \"BuildProfilingOperationAnalysis \" +\n \"CallProcedure \" +\n \"CanReadFile \" +\n \"CArrayElement \" +\n \"CDataSetRequisite \" +\n \"ChangeDate \" +\n \"ChangeReferenceDataset \" +\n \"Char \" +\n \"CharPos \" +\n \"CheckParam \" +\n \"CheckParamValue \" +\n \"CompareStrings \" +\n \"ConstantExists \" +\n \"ControlState \" +\n \"ConvertDateStr \" +\n \"Copy \" +\n \"CopyFile \" +\n \"CreateArray \" +\n \"CreateCachedReference \" +\n \"CreateConnection \" +\n \"CreateDialog \" +\n \"CreateDualListDialog \" +\n \"CreateEditor \" +\n \"CreateException \" +\n \"CreateFile \" +\n \"CreateFolderDialog \" +\n \"CreateInputDialog \" +\n \"CreateLinkFile \" +\n \"CreateList \" +\n \"CreateLock \" +\n \"CreateMemoryDataSet \" +\n \"CreateObject \" +\n \"CreateOpenDialog \" +\n \"CreateProgress \" +\n \"CreateQuery \" +\n \"CreateReference \" +\n \"CreateReport \" +\n \"CreateSaveDialog \" +\n \"CreateScript \" +\n \"CreateSQLPivotFunction \" +\n \"CreateStringList \" +\n \"CreateTreeListSelectDialog \" +\n \"CSelectSQL \" +\n \"CSQL \" +\n \"CSubString \" +\n \"CurrentUserID \" +\n \"CurrentUserName \" +\n \"CurrentVersion \" +\n \"DataSetLocateEx \" +\n \"DateDiff \" +\n \"DateTimeDiff \" +\n \"DateToStr \" +\n \"DayOfWeek \" +\n \"DeleteFile \" +\n \"DirectoryExists \" +\n \"DisableCheckAccessRights \" +\n \"DisableCheckFullShowingRestriction \" +\n \"DisableMassTaskSendingRestrictions \" +\n \"DropTable \" +\n \"DupeString \" +\n \"EditText \" +\n \"EnableCheckAccessRights \" +\n \"EnableCheckFullShowingRestriction \" +\n \"EnableMassTaskSendingRestrictions \" +\n \"EndOfMonth \" +\n \"EndOfPeriod \" +\n \"ExceptionExists \" +\n \"ExceptionsOff \" +\n \"ExceptionsOn \" +\n \"Execute \" +\n \"ExecuteProcess \" +\n \"Exit \" +\n \"ExpandEnvironmentVariables \" +\n \"ExtractFileDrive \" +\n \"ExtractFileExt \" +\n \"ExtractFileName \" +\n \"ExtractFilePath \" +\n \"ExtractParams \" +\n \"FileExists \" +\n \"FileSize \" +\n \"FindFile \" +\n \"FindSubString \" +\n \"FirmContext \" +\n \"ForceDirectories \" +\n \"Format \" +\n \"FormatDate \" +\n \"FormatNumeric \" +\n \"FormatSQLDate \" +\n \"FormatString \" +\n \"FreeException \" +\n \"GetComponent \" +\n \"GetComponentLaunchParam \" +\n \"GetConstant \" +\n \"GetLastException \" +\n \"GetReferenceRecord \" +\n \"GetRefTypeByRefID \" +\n \"GetTableID \" +\n \"GetTempFolder \" +\n \"IfThen \" +\n \"In \" +\n \"IndexOf \" +\n \"InputDialog \" +\n \"InputDialogEx \" +\n \"InteractiveMode \" +\n \"IsFileLocked \" +\n \"IsGraphicFile \" +\n \"IsNumeric \" +\n \"Length \" +\n \"LoadString \" +\n \"LoadStringFmt \" +\n \"LocalTimeToUTC \" +\n \"LowerCase \" +\n \"Max \" +\n \"MessageBox \" +\n \"MessageBoxEx \" +\n \"MimeDecodeBinary \" +\n \"MimeDecodeString \" +\n \"MimeEncodeBinary \" +\n \"MimeEncodeString \" +\n \"Min \" +\n \"MoneyInWords \" +\n \"MoveFile \" +\n \"NewID \" +\n \"Now \" +\n \"OpenFile \" +\n \"Ord \" +\n \"Precision \" +\n \"Raise \" +\n \"ReadCertificateFromFile \" +\n \"ReadFile \" +\n \"ReferenceCodeByID \" +\n \"ReferenceNumber \" +\n \"ReferenceRequisiteMode \" +\n \"ReferenceRequisiteValue \" +\n \"RegionDateSettings \" +\n \"RegionNumberSettings \" +\n \"RegionTimeSettings \" +\n \"RegRead \" +\n \"RegWrite \" +\n \"RenameFile \" +\n \"Replace \" +\n \"Round \" +\n \"SelectServerCode \" +\n \"SelectSQL \" +\n \"ServerDateTime \" +\n \"SetConstant \" +\n \"SetManagedFolderFieldsState \" +\n \"ShowConstantsInputDialog \" +\n \"ShowMessage \" +\n \"Sleep \" +\n \"Split \" +\n \"SQL \" +\n \"SQL2XLSTAB \" +\n \"SQLProfilingSendReport \" +\n \"StrToDate \" +\n \"SubString \" +\n \"SubStringCount \" +\n \"SystemSetting \" +\n \"Time \" +\n \"TimeDiff \" +\n \"Today \" +\n \"Transliterate \" +\n \"Trim \" +\n \"UpperCase \" +\n \"UserStatus \" +\n \"UTCToLocalTime \" +\n \"ValidateXML \" +\n \"VarIsClear \" +\n \"VarIsEmpty \" +\n \"VarIsNull \" +\n \"WorkTimeDiff \" +\n \"WriteFile \" +\n \"WriteFileEx \" +\n \"WriteObjectHistory \" +\n \"Анализ \" +\n \"БазаДанных \" +\n \"БлокЕсть \" +\n \"БлокЕстьРасш \" +\n \"БлокИнфо \" +\n \"БлокСнять \" +\n \"БлокСнятьРасш \" +\n \"БлокУстановить \" +\n \"Ввод \" +\n \"ВводМеню \" +\n \"ВедС \" +\n \"ВедСпр \" +\n \"ВерхняяГраницаМассива \" +\n \"ВнешПрогр \" +\n \"Восст \" +\n \"ВременнаяПапка \" +\n \"Время \" +\n \"ВыборSQL \" +\n \"ВыбратьЗапись \" +\n \"ВыделитьСтр \" +\n \"Вызвать \" +\n \"Выполнить \" +\n \"ВыпПрогр \" +\n \"ГрафическийФайл \" +\n \"ГруппаДополнительно \" +\n \"ДатаВремяСерв \" +\n \"ДеньНедели \" +\n \"ДиалогДаНет \" +\n \"ДлинаСтр \" +\n \"ДобПодстр \" +\n \"ЕПусто \" +\n \"ЕслиТо \" +\n \"ЕЧисло \" +\n \"ЗамПодстр \" +\n \"ЗаписьСправочника \" +\n \"ЗначПоляСпр \" +\n \"ИДТипСпр \" +\n \"ИзвлечьДиск \" +\n \"ИзвлечьИмяФайла \" +\n \"ИзвлечьПуть \" +\n \"ИзвлечьРасширение \" +\n \"ИзмДат \" +\n \"ИзменитьРазмерМассива \" +\n \"ИзмеренийМассива \" +\n \"ИмяОрг \" +\n \"ИмяПоляСпр \" +\n \"Индекс \" +\n \"ИндикаторЗакрыть \" +\n \"ИндикаторОткрыть \" +\n \"ИндикаторШаг \" +\n \"ИнтерактивныйРежим \" +\n \"ИтогТблСпр \" +\n \"КодВидВедСпр \" +\n \"КодВидСпрПоИД \" +\n \"КодПоAnalit \" +\n \"КодСимвола \" +\n \"КодСпр \" +\n \"КолПодстр \" +\n \"КолПроп \" +\n \"КонМес \" +\n \"Конст \" +\n \"КонстЕсть \" +\n \"КонстЗнач \" +\n \"КонТран \" +\n \"КопироватьФайл \" +\n \"КопияСтр \" +\n \"КПериод \" +\n \"КСтрТблСпр \" +\n \"Макс \" +\n \"МаксСтрТблСпр \" +\n \"Массив \" +\n \"Меню \" +\n \"МенюРасш \" +\n \"Мин \" +\n \"НаборДанныхНайтиРасш \" +\n \"НаимВидСпр \" +\n \"НаимПоAnalit \" +\n \"НаимСпр \" +\n \"НастроитьПереводыСтрок \" +\n \"НачМес \" +\n \"НачТран \" +\n \"НижняяГраницаМассива \" +\n \"НомерСпр \" +\n \"НПериод \" +\n \"Окно \" +\n \"Окр \" +\n \"Окружение \" +\n \"ОтлИнфДобавить \" +\n \"ОтлИнфУдалить \" +\n \"Отчет \" +\n \"ОтчетАнал \" +\n \"ОтчетИнт \" +\n \"ПапкаСуществует \" +\n \"Пауза \" +\n \"ПВыборSQL \" +\n \"ПереименоватьФайл \" +\n \"Переменные \" +\n \"ПереместитьФайл \" +\n \"Подстр \" +\n \"ПоискПодстр \" +\n \"ПоискСтр \" +\n \"ПолучитьИДТаблицы \" +\n \"ПользовательДополнительно \" +\n \"ПользовательИД \" +\n \"ПользовательИмя \" +\n \"ПользовательСтатус \" +\n \"Прервать \" +\n \"ПроверитьПараметр \" +\n \"ПроверитьПараметрЗнач \" +\n \"ПроверитьУсловие \" +\n \"РазбСтр \" +\n \"РазнВремя \" +\n \"РазнДат \" +\n \"РазнДатаВремя \" +\n \"РазнРабВремя \" +\n \"РегУстВрем \" +\n \"РегУстДат \" +\n \"РегУстЧсл \" +\n \"РедТекст \" +\n \"РеестрЗапись \" +\n \"РеестрСписокИменПарам \" +\n \"РеестрЧтение \" +\n \"РеквСпр \" +\n \"РеквСпрПр \" +\n \"Сегодня \" +\n \"Сейчас \" +\n \"Сервер \" +\n \"СерверПроцессИД \" +\n \"СертификатФайлСчитать \" +\n \"СжПроб \" +\n \"Символ \" +\n \"СистемаДиректумКод \" +\n \"СистемаИнформация \" +\n \"СистемаКод \" +\n \"Содержит \" +\n \"СоединениеЗакрыть \" +\n \"СоединениеОткрыть \" +\n \"СоздатьДиалог \" +\n \"СоздатьДиалогВыбораИзДвухСписков \" +\n \"СоздатьДиалогВыбораПапки \" +\n \"СоздатьДиалогОткрытияФайла \" +\n \"СоздатьДиалогСохраненияФайла \" +\n \"СоздатьЗапрос \" +\n \"СоздатьИндикатор \" +\n \"СоздатьИсключение \" +\n \"СоздатьКэшированныйСправочник \" +\n \"СоздатьМассив \" +\n \"СоздатьНаборДанных \" +\n \"СоздатьОбъект \" +\n \"СоздатьОтчет \" +\n \"СоздатьПапку \" +\n \"СоздатьРедактор \" +\n \"СоздатьСоединение \" +\n \"СоздатьСписок \" +\n \"СоздатьСписокСтрок \" +\n \"СоздатьСправочник \" +\n \"СоздатьСценарий \" +\n \"СоздСпр \" +\n \"СостСпр \" +\n \"Сохр \" +\n \"СохрСпр \" +\n \"СписокСистем \" +\n \"Спр \" +\n \"Справочник \" +\n \"СпрБлокЕсть \" +\n \"СпрБлокСнять \" +\n \"СпрБлокСнятьРасш \" +\n \"СпрБлокУстановить \" +\n \"СпрИзмНабДан \" +\n \"СпрКод \" +\n \"СпрНомер \" +\n \"СпрОбновить \" +\n \"СпрОткрыть \" +\n \"СпрОтменить \" +\n \"СпрПарам \" +\n \"СпрПолеЗнач \" +\n \"СпрПолеИмя \" +\n \"СпрРекв \" +\n \"СпрРеквВведЗн \" +\n \"СпрРеквНовые \" +\n \"СпрРеквПр \" +\n \"СпрРеквПредЗн \" +\n \"СпрРеквРежим \" +\n \"СпрРеквТипТекст \" +\n \"СпрСоздать \" +\n \"СпрСост \" +\n \"СпрСохранить \" +\n \"СпрТблИтог \" +\n \"СпрТблСтр \" +\n \"СпрТблСтрКол \" +\n \"СпрТблСтрМакс \" +\n \"СпрТблСтрМин \" +\n \"СпрТблСтрПред \" +\n \"СпрТблСтрСлед \" +\n \"СпрТблСтрСозд \" +\n \"СпрТблСтрУд \" +\n \"СпрТекПредст \" +\n \"СпрУдалить \" +\n \"СравнитьСтр \" +\n \"СтрВерхРегистр \" +\n \"СтрНижнРегистр \" +\n \"СтрТблСпр \" +\n \"СумПроп \" +\n \"Сценарий \" +\n \"СценарийПарам \" +\n \"ТекВерсия \" +\n \"ТекОрг \" +\n \"Точн \" +\n \"Тран \" +\n \"Транслитерация \" +\n \"УдалитьТаблицу \" +\n \"УдалитьФайл \" +\n \"УдСпр \" +\n \"УдСтрТблСпр \" +\n \"Уст \" +\n \"УстановкиКонстант \" +\n \"ФайлАтрибутСчитать \" +\n \"ФайлАтрибутУстановить \" +\n \"ФайлВремя \" +\n \"ФайлВремяУстановить \" +\n \"ФайлВыбрать \" +\n \"ФайлЗанят \" +\n \"ФайлЗаписать \" +\n \"ФайлИскать \" +\n \"ФайлКопировать \" +\n \"ФайлМожноЧитать \" +\n \"ФайлОткрыть \" +\n \"ФайлПереименовать \" +\n \"ФайлПерекодировать \" +\n \"ФайлПереместить \" +\n \"ФайлПросмотреть \" +\n \"ФайлРазмер \" +\n \"ФайлСоздать \" +\n \"ФайлСсылкаСоздать \" +\n \"ФайлСуществует \" +\n \"ФайлСчитать \" +\n \"ФайлУдалить \" +\n \"ФмтSQLДат \" +\n \"ФмтДат \" +\n \"ФмтСтр \" +\n \"ФмтЧсл \" +\n \"Формат \" +\n \"ЦМассивЭлемент \" +\n \"ЦНаборДанныхРеквизит \" +\n \"ЦПодстр \";\n\n // Предопределенные переменные ==> built_in\n var predefined_variables =\n \"AltState \" +\n \"Application \" +\n \"CallType \" +\n \"ComponentTokens \" +\n \"CreatedJobs \" +\n \"CreatedNotices \" +\n \"ControlState \" +\n \"DialogResult \" +\n \"Dialogs \" +\n \"EDocuments \" +\n \"EDocumentVersionSource \" +\n \"Folders \" +\n \"GlobalIDs \" +\n \"Job \" +\n \"Jobs \" +\n \"InputValue \" +\n \"LookUpReference \" +\n \"LookUpRequisiteNames \" +\n \"LookUpSearch \" +\n \"Object \" +\n \"ParentComponent \" +\n \"Processes \" +\n \"References \" +\n \"Requisite \" +\n \"ReportName \" +\n \"Reports \" +\n \"Result \" +\n \"Scripts \" +\n \"Searches \" +\n \"SelectedAttachments \" +\n \"SelectedItems \" +\n \"SelectMode \" +\n \"Sender \" +\n \"ServerEvents \" +\n \"ServiceFactory \" +\n \"ShiftState \" +\n \"SubTask \" +\n \"SystemDialogs \" +\n \"Tasks \" +\n \"Wizard \" +\n \"Wizards \" +\n \"Work \" +\n \"ВызовСпособ \" +\n \"ИмяОтчета \" +\n \"РеквЗнач \";\n\n // Интерфейсы ==> type\n var interfaces =\n \"IApplication \" +\n \"IAccessRights \" +\n \"IAccountRepository \" +\n \"IAccountSelectionRestrictions \" +\n \"IAction \" +\n \"IActionList \" +\n \"IAdministrationHistoryDescription \" +\n \"IAnchors \" +\n \"IApplication \" +\n \"IArchiveInfo \" +\n \"IAttachment \" +\n \"IAttachmentList \" +\n \"ICheckListBox \" +\n \"ICheckPointedList \" +\n \"IColumn \" +\n \"IComponent \" +\n \"IComponentDescription \" +\n \"IComponentToken \" +\n \"IComponentTokenFactory \" +\n \"IComponentTokenInfo \" +\n \"ICompRecordInfo \" +\n \"IConnection \" +\n \"IContents \" +\n \"IControl \" +\n \"IControlJob \" +\n \"IControlJobInfo \" +\n \"IControlList \" +\n \"ICrypto \" +\n \"ICrypto2 \" +\n \"ICustomJob \" +\n \"ICustomJobInfo \" +\n \"ICustomListBox \" +\n \"ICustomObjectWizardStep \" +\n \"ICustomWork \" +\n \"ICustomWorkInfo \" +\n \"IDataSet \" +\n \"IDataSetAccessInfo \" +\n \"IDataSigner \" +\n \"IDateCriterion \" +\n \"IDateRequisite \" +\n \"IDateRequisiteDescription \" +\n \"IDateValue \" +\n \"IDeaAccessRights \" +\n \"IDeaObjectInfo \" +\n \"IDevelopmentComponentLock \" +\n \"IDialog \" +\n \"IDialogFactory \" +\n \"IDialogPickRequisiteItems \" +\n \"IDialogsFactory \" +\n \"IDICSFactory \" +\n \"IDocRequisite \" +\n \"IDocumentInfo \" +\n \"IDualListDialog \" +\n \"IECertificate \" +\n \"IECertificateInfo \" +\n \"IECertificates \" +\n \"IEditControl \" +\n \"IEditorForm \" +\n \"IEdmsExplorer \" +\n \"IEdmsObject \" +\n \"IEdmsObjectDescription \" +\n \"IEdmsObjectFactory \" +\n \"IEdmsObjectInfo \" +\n \"IEDocument \" +\n \"IEDocumentAccessRights \" +\n \"IEDocumentDescription \" +\n \"IEDocumentEditor \" +\n \"IEDocumentFactory \" +\n \"IEDocumentInfo \" +\n \"IEDocumentStorage \" +\n \"IEDocumentVersion \" +\n \"IEDocumentVersionListDialog \" +\n \"IEDocumentVersionSource \" +\n \"IEDocumentWizardStep \" +\n \"IEDocVerSignature \" +\n \"IEDocVersionState \" +\n \"IEnabledMode \" +\n \"IEncodeProvider \" +\n \"IEncrypter \" +\n \"IEvent \" +\n \"IEventList \" +\n \"IException \" +\n \"IExternalEvents \" +\n \"IExternalHandler \" +\n \"IFactory \" +\n \"IField \" +\n \"IFileDialog \" +\n \"IFolder \" +\n \"IFolderDescription \" +\n \"IFolderDialog \" +\n \"IFolderFactory \" +\n \"IFolderInfo \" +\n \"IForEach \" +\n \"IForm \" +\n \"IFormTitle \" +\n \"IFormWizardStep \" +\n \"IGlobalIDFactory \" +\n \"IGlobalIDInfo \" +\n \"IGrid \" +\n \"IHasher \" +\n \"IHistoryDescription \" +\n \"IHyperLinkControl \" +\n \"IImageButton \" +\n \"IImageControl \" +\n \"IInnerPanel \" +\n \"IInplaceHint \" +\n \"IIntegerCriterion \" +\n \"IIntegerList \" +\n \"IIntegerRequisite \" +\n \"IIntegerValue \" +\n \"IISBLEditorForm \" +\n \"IJob \" +\n \"IJobDescription \" +\n \"IJobFactory \" +\n \"IJobForm \" +\n \"IJobInfo \" +\n \"ILabelControl \" +\n \"ILargeIntegerCriterion \" +\n \"ILargeIntegerRequisite \" +\n \"ILargeIntegerValue \" +\n \"ILicenseInfo \" +\n \"ILifeCycleStage \" +\n \"IList \" +\n \"IListBox \" +\n \"ILocalIDInfo \" +\n \"ILocalization \" +\n \"ILock \" +\n \"IMemoryDataSet \" +\n \"IMessagingFactory \" +\n \"IMetadataRepository \" +\n \"INotice \" +\n \"INoticeInfo \" +\n \"INumericCriterion \" +\n \"INumericRequisite \" +\n \"INumericValue \" +\n \"IObject \" +\n \"IObjectDescription \" +\n \"IObjectImporter \" +\n \"IObjectInfo \" +\n \"IObserver \" +\n \"IPanelGroup \" +\n \"IPickCriterion \" +\n \"IPickProperty \" +\n \"IPickRequisite \" +\n \"IPickRequisiteDescription \" +\n \"IPickRequisiteItem \" +\n \"IPickRequisiteItems \" +\n \"IPickValue \" +\n \"IPrivilege \" +\n \"IPrivilegeList \" +\n \"IProcess \" +\n \"IProcessFactory \" +\n \"IProcessMessage \" +\n \"IProgress \" +\n \"IProperty \" +\n \"IPropertyChangeEvent \" +\n \"IQuery \" +\n \"IReference \" +\n \"IReferenceCriterion \" +\n \"IReferenceEnabledMode \" +\n \"IReferenceFactory \" +\n \"IReferenceHistoryDescription \" +\n \"IReferenceInfo \" +\n \"IReferenceRecordCardWizardStep \" +\n \"IReferenceRequisiteDescription \" +\n \"IReferencesFactory \" +\n \"IReferenceValue \" +\n \"IRefRequisite \" +\n \"IReport \" +\n \"IReportFactory \" +\n \"IRequisite \" +\n \"IRequisiteDescription \" +\n \"IRequisiteDescriptionList \" +\n \"IRequisiteFactory \" +\n \"IRichEdit \" +\n \"IRouteStep \" +\n \"IRule \" +\n \"IRuleList \" +\n \"ISchemeBlock \" +\n \"IScript \" +\n \"IScriptFactory \" +\n \"ISearchCriteria \" +\n \"ISearchCriterion \" +\n \"ISearchDescription \" +\n \"ISearchFactory \" +\n \"ISearchFolderInfo \" +\n \"ISearchForObjectDescription \" +\n \"ISearchResultRestrictions \" +\n \"ISecuredContext \" +\n \"ISelectDialog \" +\n \"IServerEvent \" +\n \"IServerEventFactory \" +\n \"IServiceDialog \" +\n \"IServiceFactory \" +\n \"ISignature \" +\n \"ISignProvider \" +\n \"ISignProvider2 \" +\n \"ISignProvider3 \" +\n \"ISimpleCriterion \" +\n \"IStringCriterion \" +\n \"IStringList \" +\n \"IStringRequisite \" +\n \"IStringRequisiteDescription \" +\n \"IStringValue \" +\n \"ISystemDialogsFactory \" +\n \"ISystemInfo \" +\n \"ITabSheet \" +\n \"ITask \" +\n \"ITaskAbortReasonInfo \" +\n \"ITaskCardWizardStep \" +\n \"ITaskDescription \" +\n \"ITaskFactory \" +\n \"ITaskInfo \" +\n \"ITaskRoute \" +\n \"ITextCriterion \" +\n \"ITextRequisite \" +\n \"ITextValue \" +\n \"ITreeListSelectDialog \" +\n \"IUser \" +\n \"IUserList \" +\n \"IValue \" +\n \"IView \" +\n \"IWebBrowserControl \" +\n \"IWizard \" +\n \"IWizardAction \" +\n \"IWizardFactory \" +\n \"IWizardFormElement \" +\n \"IWizardParam \" +\n \"IWizardPickParam \" +\n \"IWizardReferenceParam \" +\n \"IWizardStep \" +\n \"IWorkAccessRights \" +\n \"IWorkDescription \" +\n \"IWorkflowAskableParam \" +\n \"IWorkflowAskableParams \" +\n \"IWorkflowBlock \" +\n \"IWorkflowBlockResult \" +\n \"IWorkflowEnabledMode \" +\n \"IWorkflowParam \" +\n \"IWorkflowPickParam \" +\n \"IWorkflowReferenceParam \" +\n \"IWorkState \" +\n \"IWorkTreeCustomNode \" +\n \"IWorkTreeJobNode \" +\n \"IWorkTreeTaskNode \" +\n \"IXMLEditorForm \" +\n \"SBCrypto \";\n\n // built_in : встроенные или библиотечные объекты (константы, перечисления)\n var BUILTIN = CONSTANTS + ENUMS;\n\n // class: встроенные наборы значений, системные объекты, фабрики\n var CLASS = predefined_variables;\n\n // literal : примитивные типы\n var LITERAL = \"null true false nil \";\n\n // number : числа\n var NUMBERS = {\n className: \"number\",\n begin: hljs.NUMBER_RE,\n relevance: 0,\n };\n\n // string : строки\n var STRINGS = {\n className: \"string\",\n variants: [{ begin: '\"', end: '\"' }, { begin: \"'\", end: \"'\" }],\n };\n\n // Токены\n var DOCTAGS = {\n className: \"doctag\",\n begin: \"\\\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\\\b\",\n relevance: 0,\n };\n\n // Однострочный комментарий\n var ISBL_LINE_COMMENT_MODE = {\n className: \"comment\",\n begin: \"//\",\n end: \"$\",\n relevance: 0,\n contains: [hljs.PHRASAL_WORDS_MODE, DOCTAGS],\n };\n\n // Многострочный комментарий\n var ISBL_BLOCK_COMMENT_MODE = {\n className: \"comment\",\n begin: \"/\\\\*\",\n end: \"\\\\*/\",\n relevance: 0,\n contains: [hljs.PHRASAL_WORDS_MODE, DOCTAGS],\n };\n\n // comment : комментарии\n var COMMENTS = {\n variants: [ISBL_LINE_COMMENT_MODE, ISBL_BLOCK_COMMENT_MODE],\n };\n\n // keywords : ключевые слова\n var KEYWORDS = {\n keyword: KEYWORD,\n built_in: BUILTIN,\n class: CLASS,\n literal: LITERAL,\n };\n\n // methods : методы\n var METHODS = {\n begin: \"\\\\.\\\\s*\" + hljs.UNDERSCORE_IDENT_RE,\n keywords: KEYWORDS,\n relevance: 0,\n };\n\n // type : встроенные типы\n var TYPES = {\n className: \"type\",\n begin: \":[ \\\\t]*(\" + interfaces.trim().replace(/\\s/g, \"|\") + \")\",\n end: \"[ \\\\t]*=\",\n excludeEnd: true,\n };\n\n // variables : переменные\n var VARIABLES = {\n className: \"variable\",\n lexemes: UNDERSCORE_IDENT_RE,\n keywords: KEYWORDS,\n begin: UNDERSCORE_IDENT_RE,\n relevance: 0,\n contains: [TYPES, METHODS],\n };\n\n // Имена функций\n var FUNCTION_TITLE = FUNCTION_NAME_IDENT_RE + \"\\\\(\";\n\n var TITLE_MODE = {\n className: \"title\",\n lexemes: UNDERSCORE_IDENT_RE,\n keywords: {\n built_in: system_functions,\n },\n begin: FUNCTION_TITLE,\n end: \"\\\\(\",\n returnBegin: true,\n excludeEnd: true,\n };\n\n // function : функции\n var FUNCTIONS = {\n className: \"function\",\n begin: FUNCTION_TITLE,\n end: \"\\\\)$\",\n returnBegin: true,\n lexemes: UNDERSCORE_IDENT_RE,\n keywords: KEYWORDS,\n illegal: \"[\\\\[\\\\]\\\\|\\\\$\\\\?%,~#@]\",\n contains: [TITLE_MODE, METHODS, VARIABLES, STRINGS, NUMBERS, COMMENTS],\n };\n\n return {\n aliases: [\"isbl\"],\n case_insensitive: true,\n lexemes: UNDERSCORE_IDENT_RE,\n keywords: KEYWORDS,\n illegal: \"\\\\$|\\\\?|%|,|;$|~|#|@|</\",\n contains: [\n FUNCTIONS,\n TYPES,\n METHODS,\n VARIABLES,\n STRINGS,\n NUMBERS,\n COMMENTS,\n ],\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/java.js b/js/highlight/java.js
index 0a4426756..07a325667 100644
--- a/js/highlight/java.js
+++ b/js/highlight/java.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[84],{450:function(e,a){e.exports=function(e){var a="false synchronized int abstract float private char boolean var static null if const for true while long strictfp finally protected import native final void enum else break transient catch instanceof byte super volatile case assert short package default double public try this switch continue throws protected public private module requires exports do",n={className:"number",begin:"\\b(0[bB]([01]+[01_]+[01]+|[01]+)|0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)|(([\\d]+[\\d_]+[\\d]+|[\\d]+)(\\.([\\d]+[\\d_]+[\\d]+|[\\d]+))?|\\.([\\d]+[\\d_]+[\\d]+|[\\d]+))([eE][-+]?\\d+)?)[lLfF]?",relevance:0};return{aliases:["jsp"],keywords:a,illegal:/<\/|#/,contains:[e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"new throw return else",relevance:0},{className:"function",begin:"([À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*(<[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*(\\s*,\\s*[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*)*>)?\\s+)+"+e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:a,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,keywords:a,relevance:0,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},n,{className:"meta",begin:"@[A-Za-z]+"}]}}}}]);
-//# sourceMappingURL=java.js.map?v=e951995b14ff42ec76d5 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[84],{451:function(e,a){e.exports=function(e){var a="false synchronized int abstract float private char boolean var static null if const for true while long strictfp finally protected import native final void enum else break transient catch instanceof byte super volatile case assert short package default double public try this switch continue throws protected public private module requires exports do",n={className:"number",begin:"\\b(0[bB]([01]+[01_]+[01]+|[01]+)|0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)|(([\\d]+[\\d_]+[\\d]+|[\\d]+)(\\.([\\d]+[\\d_]+[\\d]+|[\\d]+))?|\\.([\\d]+[\\d_]+[\\d]+|[\\d]+))([eE][-+]?\\d+)?)[lLfF]?",relevance:0};return{aliases:["jsp"],keywords:a,illegal:/<\/|#/,contains:[e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"new throw return else",relevance:0},{className:"function",begin:"([À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*(<[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*(\\s*,\\s*[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*)*>)?\\s+)+"+e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:a,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,keywords:a,relevance:0,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},n,{className:"meta",begin:"@[A-Za-z]+"}]}}}}]);
+//# sourceMappingURL=java.js.map?v=6365dabe44f0ef66ded3 \ No newline at end of file
diff --git a/js/highlight/java.js.map b/js/highlight/java.js.map
index b202497eb..78c68bae1 100644
--- a/js/highlight/java.js.map
+++ b/js/highlight/java.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/java.js"],"names":["module","exports","hljs","KEYWORDS","JAVA_NUMBER_MODE","className","begin","relevance","aliases","keywords","illegal","contains","COMMENT","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","beginKeywords","end","excludeEnd","UNDERSCORE_TITLE_MODE","UNDERSCORE_IDENT_RE","returnBegin","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAEIC,EACF,iWAqBEC,EAAmB,CACrBC,UAAW,SACXC,MAhBmB,uNAiBnBC,UAAW,GAGb,MAAO,CACLC,QAAS,CAAC,OACVC,SAAUN,EACVO,QAAS,QACTC,SAAU,CACRT,EAAKU,QACH,UACA,OACA,CACEL,UAAY,EACZI,SAAW,CACT,CAEEL,MAAO,OAAQC,UAAW,GAE5B,CACEF,UAAY,SACZC,MAAQ,iBAKhBJ,EAAKW,oBACLX,EAAKY,qBACLZ,EAAKa,iBACLb,EAAKc,kBACL,CACEX,UAAW,QACXY,cAAe,kBAAmBC,IAAK,QAASC,YAAY,EAC5DV,SAAU,kBACVC,QAAS,WACTC,SAAU,CACR,CAACM,cAAe,sBAChBf,EAAKkB,wBAGT,CAGEH,cAAe,wBACfV,UAAW,GAEb,CACEF,UAAW,WACXC,MAAO,qHAAoCJ,EAAKmB,oBAAsB,UAAWC,aAAa,EAAMJ,IAAK,QACzGC,YAAY,EACZV,SAAUN,EACVQ,SAAU,CACR,CACEL,MAAOJ,EAAKmB,oBAAsB,UAAWC,aAAa,EAC1Df,UAAW,EACXI,SAAU,CAACT,EAAKkB,wBAElB,CACEf,UAAW,SACXC,MAAO,KAAMY,IAAK,KAClBT,SAAUN,EACVI,UAAW,EACXI,SAAU,CACRT,EAAKa,iBACLb,EAAKc,kBACLd,EAAKqB,cACLrB,EAAKY,uBAGTZ,EAAKW,oBACLX,EAAKY,uBAGTV,EACA,CACEC,UAAW,OAAQC,MAAO","file":"highlight/java.js?v=e951995b14ff42ec76d5","sourcesContent":["module.exports = function(hljs) {\n var JAVA_IDENT_RE = '[\\u00C0-\\u02B8a-zA-Z_$][\\u00C0-\\u02B8a-zA-Z_$0-9]*';\n var GENERIC_IDENT_RE = JAVA_IDENT_RE + '(<' + JAVA_IDENT_RE + '(\\\\s*,\\\\s*' + JAVA_IDENT_RE + ')*>)?';\n var KEYWORDS =\n 'false synchronized int abstract float private char boolean var static null if const ' +\n 'for true while long strictfp finally protected import native final void ' +\n 'enum else break transient catch instanceof byte super volatile case assert short ' +\n 'package default double public try this switch continue throws protected public private ' +\n 'module requires exports do';\n\n // https://docs.oracle.com/javase/7/docs/technotes/guides/language/underscores-literals.html\n var JAVA_NUMBER_RE = '\\\\b' +\n '(' +\n '0[bB]([01]+[01_]+[01]+|[01]+)' + // 0b...\n '|' +\n '0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)' + // 0x...\n '|' +\n '(' +\n '([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)(\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))?' +\n '|' +\n '\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)' +\n ')' +\n '([eE][-+]?\\\\d+)?' + // octal, decimal, float\n ')' +\n '[lLfF]?';\n var JAVA_NUMBER_MODE = {\n className: 'number',\n begin: JAVA_NUMBER_RE,\n relevance: 0\n };\n\n return {\n aliases: ['jsp'],\n keywords: KEYWORDS,\n illegal: /<\\/|#/,\n contains: [\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/',\n {\n relevance : 0,\n contains : [\n {\n // eat up @'s in emails to prevent them to be recognized as doctags\n begin: /\\w+@/, relevance: 0\n },\n {\n className : 'doctag',\n begin : '@[A-Za-z]+'\n }\n ]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'class',\n beginKeywords: 'class interface', end: /[{;=]/, excludeEnd: true,\n keywords: 'class interface',\n illegal: /[:\"\\[\\]]/,\n contains: [\n {beginKeywords: 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n // Expression keywords prevent 'keyword Name(...)' from being\n // recognized as a function definition\n beginKeywords: 'new throw return else',\n relevance: 0\n },\n {\n className: 'function',\n begin: '(' + GENERIC_IDENT_RE + '\\\\s+)+' + hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(', returnBegin: true, end: /[{;=]/,\n excludeEnd: true,\n keywords: KEYWORDS,\n contains: [\n {\n begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(', returnBegin: true,\n relevance: 0,\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n relevance: 0,\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n JAVA_NUMBER_MODE,\n {\n className: 'meta', begin: '@[A-Za-z]+'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/java.js"],"names":["module","exports","hljs","KEYWORDS","JAVA_NUMBER_MODE","className","begin","relevance","aliases","keywords","illegal","contains","COMMENT","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","beginKeywords","end","excludeEnd","UNDERSCORE_TITLE_MODE","UNDERSCORE_IDENT_RE","returnBegin","C_NUMBER_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAEIC,EACF,iWAqBEC,EAAmB,CACrBC,UAAW,SACXC,MAhBmB,uNAiBnBC,UAAW,GAGb,MAAO,CACLC,QAAS,CAAC,OACVC,SAAUN,EACVO,QAAS,QACTC,SAAU,CACRT,EAAKU,QACH,UACA,OACA,CACEL,UAAY,EACZI,SAAW,CACT,CAEEL,MAAO,OAAQC,UAAW,GAE5B,CACEF,UAAY,SACZC,MAAQ,iBAKhBJ,EAAKW,oBACLX,EAAKY,qBACLZ,EAAKa,iBACLb,EAAKc,kBACL,CACEX,UAAW,QACXY,cAAe,kBAAmBC,IAAK,QAASC,YAAY,EAC5DV,SAAU,kBACVC,QAAS,WACTC,SAAU,CACR,CAACM,cAAe,sBAChBf,EAAKkB,wBAGT,CAGEH,cAAe,wBACfV,UAAW,GAEb,CACEF,UAAW,WACXC,MAAO,qHAAoCJ,EAAKmB,oBAAsB,UAAWC,aAAa,EAAMJ,IAAK,QACzGC,YAAY,EACZV,SAAUN,EACVQ,SAAU,CACR,CACEL,MAAOJ,EAAKmB,oBAAsB,UAAWC,aAAa,EAC1Df,UAAW,EACXI,SAAU,CAACT,EAAKkB,wBAElB,CACEf,UAAW,SACXC,MAAO,KAAMY,IAAK,KAClBT,SAAUN,EACVI,UAAW,EACXI,SAAU,CACRT,EAAKa,iBACLb,EAAKc,kBACLd,EAAKqB,cACLrB,EAAKY,uBAGTZ,EAAKW,oBACLX,EAAKY,uBAGTV,EACA,CACEC,UAAW,OAAQC,MAAO","file":"highlight/java.js?v=6365dabe44f0ef66ded3","sourcesContent":["module.exports = function(hljs) {\n var JAVA_IDENT_RE = '[\\u00C0-\\u02B8a-zA-Z_$][\\u00C0-\\u02B8a-zA-Z_$0-9]*';\n var GENERIC_IDENT_RE = JAVA_IDENT_RE + '(<' + JAVA_IDENT_RE + '(\\\\s*,\\\\s*' + JAVA_IDENT_RE + ')*>)?';\n var KEYWORDS =\n 'false synchronized int abstract float private char boolean var static null if const ' +\n 'for true while long strictfp finally protected import native final void ' +\n 'enum else break transient catch instanceof byte super volatile case assert short ' +\n 'package default double public try this switch continue throws protected public private ' +\n 'module requires exports do';\n\n // https://docs.oracle.com/javase/7/docs/technotes/guides/language/underscores-literals.html\n var JAVA_NUMBER_RE = '\\\\b' +\n '(' +\n '0[bB]([01]+[01_]+[01]+|[01]+)' + // 0b...\n '|' +\n '0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)' + // 0x...\n '|' +\n '(' +\n '([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)(\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))?' +\n '|' +\n '\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)' +\n ')' +\n '([eE][-+]?\\\\d+)?' + // octal, decimal, float\n ')' +\n '[lLfF]?';\n var JAVA_NUMBER_MODE = {\n className: 'number',\n begin: JAVA_NUMBER_RE,\n relevance: 0\n };\n\n return {\n aliases: ['jsp'],\n keywords: KEYWORDS,\n illegal: /<\\/|#/,\n contains: [\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/',\n {\n relevance : 0,\n contains : [\n {\n // eat up @'s in emails to prevent them to be recognized as doctags\n begin: /\\w+@/, relevance: 0\n },\n {\n className : 'doctag',\n begin : '@[A-Za-z]+'\n }\n ]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'class',\n beginKeywords: 'class interface', end: /[{;=]/, excludeEnd: true,\n keywords: 'class interface',\n illegal: /[:\"\\[\\]]/,\n contains: [\n {beginKeywords: 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n // Expression keywords prevent 'keyword Name(...)' from being\n // recognized as a function definition\n beginKeywords: 'new throw return else',\n relevance: 0\n },\n {\n className: 'function',\n begin: '(' + GENERIC_IDENT_RE + '\\\\s+)+' + hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(', returnBegin: true, end: /[{;=]/,\n excludeEnd: true,\n keywords: KEYWORDS,\n contains: [\n {\n begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(', returnBegin: true,\n relevance: 0,\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n relevance: 0,\n contains: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n JAVA_NUMBER_MODE,\n {\n className: 'meta', begin: '@[A-Za-z]+'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/javascript.js b/js/highlight/javascript.js
index f6ec279fc..8fca2004f 100644
--- a/js/highlight/javascript.js
+++ b/js/highlight/javascript.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[85],{451:function(e,n){e.exports=function(e){var n="[A-Za-z$_][0-9A-Za-z$_]*",a={keyword:"in of if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await static import from as",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Promise"},s={className:"number",variants:[{begin:"\\b(0[bB][01]+)n?"},{begin:"\\b(0[oO][0-7]+)n?"},{begin:e.C_NUMBER_RE+"n?"}],relevance:0},r={className:"subst",begin:"\\$\\{",end:"\\}",keywords:a,contains:[]},t={begin:"html`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,r],subLanguage:"xml"}},i={begin:"css`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,r],subLanguage:"css"}},o={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,r]};r.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,t,i,o,s,e.REGEXP_MODE];var c=r.contains.concat([e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]);return{aliases:["js","jsx"],keywords:a,contains:[{className:"meta",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},{className:"meta",begin:/^#!/,end:/$/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,t,i,o,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,s,{begin:/[{,\n]\s*/,relevance:0,contains:[{begin:n+"\\s*:",returnBegin:!0,relevance:0,contains:[{className:"attr",begin:n,relevance:0}]}]},{begin:"("+e.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+n+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:n},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:a,contains:c}]}]},{className:"",begin:/\s/,end:/\s*/,skip:!0},{begin:/</,end:/(\/[A-Za-z0-9\\._:-]+|[A-Za-z0-9\\._:-]+\/)>/,subLanguage:"xml",contains:[{begin:/<[A-Za-z0-9\\._:-]+\s*\/>/,skip:!0},{begin:/<[A-Za-z0-9\\._:-]+/,end:/(\/[A-Za-z0-9\\._:-]+|[A-Za-z0-9\\._:-]+\/)>/,skip:!0,contains:[{begin:/<[A-Za-z0-9\\._:-]+\s*\/>/,skip:!0},"self"]}]}],relevance:0},{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:n}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:c}],illegal:/\[|%/},{begin:/\$[(.]/},e.METHOD_GUARD,{className:"class",beginKeywords:"class",end:/[{;=]/,excludeEnd:!0,illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"constructor get set",end:/\{/,excludeEnd:!0}],illegal:/#(?!!)/}}}}]);
-//# sourceMappingURL=javascript.js.map?v=e0920c0f4c233fc35617 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[85],{452:function(e,n){e.exports=function(e){var n="<>",a="</>",s={begin:/<[A-Za-z0-9\\._:-]+/,end:/\/[A-Za-z0-9\\._:-]+>|\/>/},r="[A-Za-z$_][0-9A-Za-z$_]*",t={keyword:"in of if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await static import from as",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Promise"},i={className:"number",variants:[{begin:"\\b(0[bB][01]+)n?"},{begin:"\\b(0[oO][0-7]+)n?"},{begin:e.C_NUMBER_RE+"n?"}],relevance:0},c={className:"subst",begin:"\\$\\{",end:"\\}",keywords:t,contains:[]},o={begin:"html`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,c],subLanguage:"xml"}},l={begin:"css`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,c],subLanguage:"css"}},d={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,c]};c.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,o,l,d,i,e.REGEXP_MODE];var E=c.contains.concat([e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]);return{aliases:["js","jsx","mjs","cjs"],keywords:t,contains:[{className:"meta",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},{className:"meta",begin:/^#!/,end:/$/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,o,l,d,e.C_LINE_COMMENT_MODE,e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+",contains:[{className:"type",begin:"\\{",end:"\\}",relevance:0},{className:"variable",begin:r+"(?=\\s*(-)|$)",endsParent:!0,relevance:0},{begin:/(?=[^\n])\s/,relevance:0}]}]}),e.C_BLOCK_COMMENT_MODE,i,{begin:/[{,\n]\s*/,relevance:0,contains:[{begin:r+"\\s*:",returnBegin:!0,relevance:0,contains:[{className:"attr",begin:r,relevance:0}]}]},{begin:"("+e.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+r+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:r},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:t,contains:E}]}]},{className:"",begin:/\s/,end:/\s*/,skip:!0},{variants:[{begin:n,end:a},{begin:s.begin,end:s.end}],subLanguage:"xml",contains:[{begin:s.begin,end:s.end,skip:!0,contains:["self"]}]}],relevance:0},{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:r}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:E}],illegal:/\[|%/},{begin:/\$[(.]/},e.METHOD_GUARD,{className:"class",beginKeywords:"class",end:/[{;=]/,excludeEnd:!0,illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"constructor get set",end:/\{/,excludeEnd:!0}],illegal:/#(?!!)/}}}}]);
+//# sourceMappingURL=javascript.js.map?v=0e1f9cfb325c38778c49 \ No newline at end of file
diff --git a/js/highlight/javascript.js.map b/js/highlight/javascript.js.map
index 22e7ff2a4..5cfe9146c 100644
--- a/js/highlight/javascript.js.map
+++ b/js/highlight/javascript.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/javascript.js"],"names":["module","exports","hljs","IDENT_RE","KEYWORDS","keyword","literal","built_in","NUMBER","className","variants","begin","C_NUMBER_RE","relevance","SUBST","end","keywords","contains","HTML_TEMPLATE","starts","returnEnd","BACKSLASH_ESCAPE","subLanguage","CSS_TEMPLATE","TEMPLATE_STRING","APOS_STRING_MODE","QUOTE_STRING_MODE","REGEXP_MODE","PARAMS_CONTAINS","concat","C_BLOCK_COMMENT_MODE","C_LINE_COMMENT_MODE","aliases","returnBegin","RE_STARTERS_RE","excludeBegin","excludeEnd","skip","beginKeywords","inherit","TITLE_MODE","illegal","METHOD_GUARD","UNDERSCORE_TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,2BACXC,EAAW,CACbC,QACE,mOAMFC,QACE,yCACFC,SACE,2gBASAC,EAAS,CACXC,UAAW,SACXC,SAAU,CACR,CAAEC,MAAO,qBACT,CAAEA,MAAO,sBACT,CAAEA,MAAOT,EAAKU,YAAc,OAE9BC,UAAW,GAETC,EAAQ,CACVL,UAAW,QACXE,MAAO,SAAUI,IAAK,MACtBC,SAAUZ,EACVa,SAAU,IAERC,EAAgB,CAClBP,MAAO,QAASI,IAAK,GACrBI,OAAQ,CACNJ,IAAK,IAAKK,WAAW,EACrBH,SAAU,CACRf,EAAKmB,iBACLP,GAEFQ,YAAa,QAGbC,EAAe,CACjBZ,MAAO,OAAQI,IAAK,GACpBI,OAAQ,CACNJ,IAAK,IAAKK,WAAW,EACrBH,SAAU,CACRf,EAAKmB,iBACLP,GAEFQ,YAAa,QAGbE,EAAkB,CACpBf,UAAW,SACXE,MAAO,IAAKI,IAAK,IACjBE,SAAU,CACRf,EAAKmB,iBACLP,IAGJA,EAAMG,SAAW,CACff,EAAKuB,iBACLvB,EAAKwB,kBACLR,EACAK,EACAC,EACAhB,EACAN,EAAKyB,aAEP,IAAIC,EAAkBd,EAAMG,SAASY,OAAO,CAC1C3B,EAAK4B,qBACL5B,EAAK6B,sBAGP,MAAO,CACLC,QAAS,CAAC,KAAM,OAChBhB,SAAUZ,EACVa,SAAU,CACR,CACER,UAAW,OACXI,UAAW,GACXF,MAAO,gCAET,CACEF,UAAW,OACXE,MAAO,MAAOI,IAAK,KAErBb,EAAKuB,iBACLvB,EAAKwB,kBACLR,EACAK,EACAC,EACAtB,EAAK6B,oBACL7B,EAAK4B,qBACLtB,EACA,CACEG,MAAO,YAAaE,UAAW,EAC/BI,SAAU,CACR,CACEN,MAAOR,EAAW,QAAS8B,aAAa,EACxCpB,UAAW,EACXI,SAAU,CAAC,CAACR,UAAW,OAAQE,MAAOR,EAAUU,UAAW,OAIjE,CACEF,MAAO,IAAMT,EAAKgC,eAAiB,kCACnClB,SAAU,oBACVC,SAAU,CACRf,EAAK6B,oBACL7B,EAAK4B,qBACL5B,EAAKyB,YACL,CACElB,UAAW,WACXE,MAAO,cAAgBR,EAAW,UAAW8B,aAAa,EAC1DlB,IAAK,SACLE,SAAU,CACR,CACER,UAAW,SACXC,SAAU,CACR,CACEC,MAAOR,GAET,CACEQ,MAAO,WAET,CACEA,MAAO,KAAMI,IAAK,KAClBoB,cAAc,EAAMC,YAAY,EAChCpB,SAAUZ,EACVa,SAAUW,OAMpB,CACEnB,UAAW,GACXE,MAAO,KACPI,IAAK,MACLsB,MAAM,GAER,CACE1B,MAAO,IAAKI,IAAK,+CACjBO,YAAa,MACbL,SAAU,CACR,CAAEN,MAAO,4BAA6B0B,MAAM,GAC5C,CACE1B,MAAO,sBAAuBI,IAAK,+CAAgDsB,MAAM,EACzFpB,SAAU,CACR,CAAEN,MAAO,4BAA6B0B,MAAM,GAC5C,YAMVxB,UAAW,GAEb,CACEJ,UAAW,WACX6B,cAAe,WAAYvB,IAAK,KAAMqB,YAAY,EAClDnB,SAAU,CACRf,EAAKqC,QAAQrC,EAAKsC,WAAY,CAAC7B,MAAOR,IACtC,CACEM,UAAW,SACXE,MAAO,KAAMI,IAAK,KAClBoB,cAAc,EACdC,YAAY,EACZnB,SAAUW,IAGda,QAAS,QAEX,CACE9B,MAAO,UAETT,EAAKwC,aACL,CACEjC,UAAW,QACX6B,cAAe,QAASvB,IAAK,QAASqB,YAAY,EAClDK,QAAS,WACTxB,SAAU,CACR,CAACqB,cAAe,WAChBpC,EAAKyC,wBAGT,CACEL,cAAe,sBAAuBvB,IAAK,KAAMqB,YAAY,IAGjEK,QAAS","file":"highlight/javascript.js?v=e0920c0f4c233fc35617","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[A-Za-z$_][0-9A-Za-z$_]*';\n var KEYWORDS = {\n keyword:\n 'in of if for while finally var new function do return void else break catch ' +\n 'instanceof with throw case default try this switch continue typeof delete ' +\n 'let yield const export super debugger as async await static ' +\n // ECMAScript 6 modules import\n 'import from as'\n ,\n literal:\n 'true false null undefined NaN Infinity',\n built_in:\n 'eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent ' +\n 'encodeURI encodeURIComponent escape unescape Object Function Boolean Error ' +\n 'EvalError InternalError RangeError ReferenceError StopIteration SyntaxError ' +\n 'TypeError URIError Number Math Date String RegExp Array Float32Array ' +\n 'Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array ' +\n 'Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require ' +\n 'module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect ' +\n 'Promise'\n };\n var NUMBER = {\n className: 'number',\n variants: [\n { begin: '\\\\b(0[bB][01]+)n?' },\n { begin: '\\\\b(0[oO][0-7]+)n?' },\n { begin: hljs.C_NUMBER_RE + 'n?' }\n ],\n relevance: 0\n };\n var SUBST = {\n className: 'subst',\n begin: '\\\\$\\\\{', end: '\\\\}',\n keywords: KEYWORDS,\n contains: [] // defined later\n };\n var HTML_TEMPLATE = {\n begin: 'html`', end: '',\n starts: {\n end: '`', returnEnd: false,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ],\n subLanguage: 'xml',\n }\n };\n var CSS_TEMPLATE = {\n begin: 'css`', end: '',\n starts: {\n end: '`', returnEnd: false,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ],\n subLanguage: 'css',\n }\n };\n var TEMPLATE_STRING = {\n className: 'string',\n begin: '`', end: '`',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ]\n };\n SUBST.contains = [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n HTML_TEMPLATE,\n CSS_TEMPLATE,\n TEMPLATE_STRING,\n NUMBER,\n hljs.REGEXP_MODE\n ];\n var PARAMS_CONTAINS = SUBST.contains.concat([\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_LINE_COMMENT_MODE\n ]);\n\n return {\n aliases: ['js', 'jsx'],\n keywords: KEYWORDS,\n contains: [\n {\n className: 'meta',\n relevance: 10,\n begin: /^\\s*['\"]use (strict|asm)['\"]/\n },\n {\n className: 'meta',\n begin: /^#!/, end: /$/\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n HTML_TEMPLATE,\n CSS_TEMPLATE,\n TEMPLATE_STRING,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMBER,\n { // object attr container\n begin: /[{,\\n]\\s*/, relevance: 0,\n contains: [\n {\n begin: IDENT_RE + '\\\\s*:', returnBegin: true,\n relevance: 0,\n contains: [{className: 'attr', begin: IDENT_RE, relevance: 0}]\n }\n ]\n },\n { // \"value\" container\n begin: '(' + hljs.RE_STARTERS_RE + '|\\\\b(case|return|throw)\\\\b)\\\\s*',\n keywords: 'return throw case',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.REGEXP_MODE,\n {\n className: 'function',\n begin: '(\\\\(.*?\\\\)|' + IDENT_RE + ')\\\\s*=>', returnBegin: true,\n end: '\\\\s*=>',\n contains: [\n {\n className: 'params',\n variants: [\n {\n begin: IDENT_RE\n },\n {\n begin: /\\(\\s*\\)/,\n },\n {\n begin: /\\(/, end: /\\)/,\n excludeBegin: true, excludeEnd: true,\n keywords: KEYWORDS,\n contains: PARAMS_CONTAINS\n }\n ]\n }\n ]\n },\n {\n className: '',\n begin: /\\s/,\n end: /\\s*/,\n skip: true,\n },\n { // E4X / JSX\n begin: /</, end: /(\\/[A-Za-z0-9\\\\._:-]+|[A-Za-z0-9\\\\._:-]+\\/)>/,\n subLanguage: 'xml',\n contains: [\n { begin: /<[A-Za-z0-9\\\\._:-]+\\s*\\/>/, skip: true },\n {\n begin: /<[A-Za-z0-9\\\\._:-]+/, end: /(\\/[A-Za-z0-9\\\\._:-]+|[A-Za-z0-9\\\\._:-]+\\/)>/, skip: true,\n contains: [\n { begin: /<[A-Za-z0-9\\\\._:-]+\\s*\\/>/, skip: true },\n 'self'\n ]\n }\n ]\n }\n ],\n relevance: 0\n },\n {\n className: 'function',\n beginKeywords: 'function', end: /\\{/, excludeEnd: true,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: IDENT_RE}),\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n contains: PARAMS_CONTAINS\n }\n ],\n illegal: /\\[|%/\n },\n {\n begin: /\\$[(.]/ // relevance booster for a pattern common to JS libs: `$(something)` and `$.something`\n },\n hljs.METHOD_GUARD,\n { // ES6 class\n className: 'class',\n beginKeywords: 'class', end: /[{;=]/, excludeEnd: true,\n illegal: /[:\"\\[\\]]/,\n contains: [\n {beginKeywords: 'extends'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n beginKeywords: 'constructor get set', end: /\\{/, excludeEnd: true\n }\n ],\n illegal: /#(?!!)/\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/javascript.js"],"names":["module","exports","hljs","FRAGMENT","XML_TAG","begin","end","IDENT_RE","KEYWORDS","keyword","literal","built_in","NUMBER","className","variants","C_NUMBER_RE","relevance","SUBST","keywords","contains","HTML_TEMPLATE","starts","returnEnd","BACKSLASH_ESCAPE","subLanguage","CSS_TEMPLATE","TEMPLATE_STRING","APOS_STRING_MODE","QUOTE_STRING_MODE","REGEXP_MODE","PARAMS_CONTAINS","concat","C_BLOCK_COMMENT_MODE","C_LINE_COMMENT_MODE","aliases","COMMENT","endsParent","returnBegin","RE_STARTERS_RE","excludeBegin","excludeEnd","skip","beginKeywords","inherit","TITLE_MODE","illegal","METHOD_GUARD","UNDERSCORE_TITLE_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EACK,KADLA,EAEG,MAEHC,EAAU,CACZC,MAAO,sBACPC,IAAK,6BAEHC,EAAW,2BACXC,EAAW,CACbC,QACE,mOAMFC,QACE,yCACFC,SACE,2gBASAC,EAAS,CACXC,UAAW,SACXC,SAAU,CACR,CAAET,MAAO,qBACT,CAAEA,MAAO,sBACT,CAAEA,MAAOH,EAAKa,YAAc,OAE9BC,UAAW,GAETC,EAAQ,CACVJ,UAAW,QACXR,MAAO,SAAUC,IAAK,MACtBY,SAAUV,EACVW,SAAU,IAERC,EAAgB,CAClBf,MAAO,QAASC,IAAK,GACrBe,OAAQ,CACNf,IAAK,IAAKgB,WAAW,EACrBH,SAAU,CACRjB,EAAKqB,iBACLN,GAEFO,YAAa,QAGbC,EAAe,CACjBpB,MAAO,OAAQC,IAAK,GACpBe,OAAQ,CACNf,IAAK,IAAKgB,WAAW,EACrBH,SAAU,CACRjB,EAAKqB,iBACLN,GAEFO,YAAa,QAGbE,EAAkB,CACpBb,UAAW,SACXR,MAAO,IAAKC,IAAK,IACjBa,SAAU,CACRjB,EAAKqB,iBACLN,IAGJA,EAAME,SAAW,CACfjB,EAAKyB,iBACLzB,EAAK0B,kBACLR,EACAK,EACAC,EACAd,EACAV,EAAK2B,aAEP,IAAIC,EAAkBb,EAAME,SAASY,OAAO,CAC1C7B,EAAK8B,qBACL9B,EAAK+B,sBAGP,MAAO,CACLC,QAAS,CAAC,KAAM,MAAO,MAAO,OAC9BhB,SAAUV,EACVW,SAAU,CACR,CACEN,UAAW,OACXG,UAAW,GACXX,MAAO,gCAET,CACEQ,UAAW,OACXR,MAAO,MAAOC,IAAK,KAErBJ,EAAKyB,iBACLzB,EAAK0B,kBACLR,EACAK,EACAC,EACAxB,EAAK+B,oBACL/B,EAAKiC,QACH,UACA,OACA,CACEnB,UAAY,EACZG,SAAW,CACT,CACEN,UAAY,SACZR,MAAQ,aACRc,SAAW,CACT,CACEN,UAAW,OACXR,MAAO,MACPC,IAAK,MACLU,UAAW,GAEb,CACEH,UAAW,WACXR,MAAOE,EAAW,gBAClB6B,YAAY,EACZpB,UAAW,GAIb,CACEX,MAAO,cACPW,UAAW,QAOvBd,EAAK8B,qBACLpB,EACA,CACEP,MAAO,YAAaW,UAAW,EAC/BG,SAAU,CACR,CACEd,MAAOE,EAAW,QAAS8B,aAAa,EACxCrB,UAAW,EACXG,SAAU,CAAC,CAACN,UAAW,OAAQR,MAAOE,EAAUS,UAAW,OAIjE,CACEX,MAAO,IAAMH,EAAKoC,eAAiB,kCACnCpB,SAAU,oBACVC,SAAU,CACRjB,EAAK+B,oBACL/B,EAAK8B,qBACL9B,EAAK2B,YACL,CACEhB,UAAW,WACXR,MAAO,cAAgBE,EAAW,UAAW8B,aAAa,EAC1D/B,IAAK,SACLa,SAAU,CACR,CACEN,UAAW,SACXC,SAAU,CACR,CACET,MAAOE,GAET,CACEF,MAAO,WAET,CACEA,MAAO,KAAMC,IAAK,KAClBiC,cAAc,EAAMC,YAAY,EAChCtB,SAAUV,EACVW,SAAUW,OAMpB,CACEjB,UAAW,GACXR,MAAO,KACPC,IAAK,MACLmC,MAAM,GAER,CACE3B,SAAU,CACR,CAAET,MAAOF,EAAgBG,IAAKH,GAC9B,CAAEE,MAAOD,EAAQC,MAAOC,IAAKF,EAAQE,MAEvCkB,YAAa,MACbL,SAAU,CACR,CACEd,MAAOD,EAAQC,MAAOC,IAAKF,EAAQE,IAAKmC,MAAM,EAC9CtB,SAAU,CAAC,YAKnBH,UAAW,GAEb,CACEH,UAAW,WACX6B,cAAe,WAAYpC,IAAK,KAAMkC,YAAY,EAClDrB,SAAU,CACRjB,EAAKyC,QAAQzC,EAAK0C,WAAY,CAACvC,MAAOE,IACtC,CACEM,UAAW,SACXR,MAAO,KAAMC,IAAK,KAClBiC,cAAc,EACdC,YAAY,EACZrB,SAAUW,IAGde,QAAS,QAEX,CACExC,MAAO,UAETH,EAAK4C,aACL,CACEjC,UAAW,QACX6B,cAAe,QAASpC,IAAK,QAASkC,YAAY,EAClDK,QAAS,WACT1B,SAAU,CACR,CAACuB,cAAe,WAChBxC,EAAK6C,wBAGT,CACEL,cAAe,sBAAuBpC,IAAK,KAAMkC,YAAY,IAGjEK,QAAS","file":"highlight/javascript.js?v=0e1f9cfb325c38778c49","sourcesContent":["module.exports = function(hljs) {\n var FRAGMENT = {\n begin: '<>',\n end: '</>'\n };\n var XML_TAG = {\n begin: /<[A-Za-z0-9\\\\._:-]+/,\n end: /\\/[A-Za-z0-9\\\\._:-]+>|\\/>/\n };\n var IDENT_RE = '[A-Za-z$_][0-9A-Za-z$_]*';\n var KEYWORDS = {\n keyword:\n 'in of if for while finally var new function do return void else break catch ' +\n 'instanceof with throw case default try this switch continue typeof delete ' +\n 'let yield const export super debugger as async await static ' +\n // ECMAScript 6 modules import\n 'import from as'\n ,\n literal:\n 'true false null undefined NaN Infinity',\n built_in:\n 'eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent ' +\n 'encodeURI encodeURIComponent escape unescape Object Function Boolean Error ' +\n 'EvalError InternalError RangeError ReferenceError StopIteration SyntaxError ' +\n 'TypeError URIError Number Math Date String RegExp Array Float32Array ' +\n 'Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array ' +\n 'Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require ' +\n 'module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect ' +\n 'Promise'\n };\n var NUMBER = {\n className: 'number',\n variants: [\n { begin: '\\\\b(0[bB][01]+)n?' },\n { begin: '\\\\b(0[oO][0-7]+)n?' },\n { begin: hljs.C_NUMBER_RE + 'n?' }\n ],\n relevance: 0\n };\n var SUBST = {\n className: 'subst',\n begin: '\\\\$\\\\{', end: '\\\\}',\n keywords: KEYWORDS,\n contains: [] // defined later\n };\n var HTML_TEMPLATE = {\n begin: 'html`', end: '',\n starts: {\n end: '`', returnEnd: false,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ],\n subLanguage: 'xml',\n }\n };\n var CSS_TEMPLATE = {\n begin: 'css`', end: '',\n starts: {\n end: '`', returnEnd: false,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ],\n subLanguage: 'css',\n }\n };\n var TEMPLATE_STRING = {\n className: 'string',\n begin: '`', end: '`',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ]\n };\n SUBST.contains = [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n HTML_TEMPLATE,\n CSS_TEMPLATE,\n TEMPLATE_STRING,\n NUMBER,\n hljs.REGEXP_MODE\n ];\n var PARAMS_CONTAINS = SUBST.contains.concat([\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_LINE_COMMENT_MODE\n ]);\n\n return {\n aliases: ['js', 'jsx', 'mjs', 'cjs'],\n keywords: KEYWORDS,\n contains: [\n {\n className: 'meta',\n relevance: 10,\n begin: /^\\s*['\"]use (strict|asm)['\"]/\n },\n {\n className: 'meta',\n begin: /^#!/, end: /$/\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n HTML_TEMPLATE,\n CSS_TEMPLATE,\n TEMPLATE_STRING,\n hljs.C_LINE_COMMENT_MODE,\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/',\n {\n relevance : 0,\n contains : [\n {\n className : 'doctag',\n begin : '@[A-Za-z]+',\n contains : [\n {\n className: 'type',\n begin: '\\\\{',\n end: '\\\\}',\n relevance: 0\n },\n {\n className: 'variable',\n begin: IDENT_RE + '(?=\\\\s*(-)|$)',\n endsParent: true,\n relevance: 0\n },\n // eat spaces (not newlines) so we can find\n // types or variables\n {\n begin: /(?=[^\\n])\\s/,\n relevance: 0\n },\n ]\n }\n ]\n }\n ),\n hljs.C_BLOCK_COMMENT_MODE,\n NUMBER,\n { // object attr container\n begin: /[{,\\n]\\s*/, relevance: 0,\n contains: [\n {\n begin: IDENT_RE + '\\\\s*:', returnBegin: true,\n relevance: 0,\n contains: [{className: 'attr', begin: IDENT_RE, relevance: 0}]\n }\n ]\n },\n { // \"value\" container\n begin: '(' + hljs.RE_STARTERS_RE + '|\\\\b(case|return|throw)\\\\b)\\\\s*',\n keywords: 'return throw case',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.REGEXP_MODE,\n {\n className: 'function',\n begin: '(\\\\(.*?\\\\)|' + IDENT_RE + ')\\\\s*=>', returnBegin: true,\n end: '\\\\s*=>',\n contains: [\n {\n className: 'params',\n variants: [\n {\n begin: IDENT_RE\n },\n {\n begin: /\\(\\s*\\)/,\n },\n {\n begin: /\\(/, end: /\\)/,\n excludeBegin: true, excludeEnd: true,\n keywords: KEYWORDS,\n contains: PARAMS_CONTAINS\n }\n ]\n }\n ]\n },\n {\n className: '',\n begin: /\\s/,\n end: /\\s*/,\n skip: true,\n },\n { // JSX\n variants: [\n { begin: FRAGMENT.begin, end: FRAGMENT.end },\n { begin: XML_TAG.begin, end: XML_TAG.end }\n ],\n subLanguage: 'xml',\n contains: [\n {\n begin: XML_TAG.begin, end: XML_TAG.end, skip: true,\n contains: ['self']\n }\n ]\n },\n ],\n relevance: 0\n },\n {\n className: 'function',\n beginKeywords: 'function', end: /\\{/, excludeEnd: true,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: IDENT_RE}),\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n contains: PARAMS_CONTAINS\n }\n ],\n illegal: /\\[|%/\n },\n {\n begin: /\\$[(.]/ // relevance booster for a pattern common to JS libs: `$(something)` and `$.something`\n },\n hljs.METHOD_GUARD,\n { // ES6 class\n className: 'class',\n beginKeywords: 'class', end: /[{;=]/, excludeEnd: true,\n illegal: /[:\"\\[\\]]/,\n contains: [\n {beginKeywords: 'extends'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n beginKeywords: 'constructor get set', end: /\\{/, excludeEnd: true\n }\n ],\n illegal: /#(?!!)/\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/jboss-cli.js b/js/highlight/jboss-cli.js
index 39853e4d7..3ca2692c4 100644
--- a/js/highlight/jboss-cli.js
+++ b/js/highlight/jboss-cli.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[86],{452:function(e,n){e.exports=function(e){var n={className:"params",begin:/\(/,end:/\)/,contains:[{begin:/[\w-]+ *=/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/[\w-]+/}]}],relevance:0};return{aliases:["wildfly-cli"],lexemes:"[a-z-]+",keywords:{keyword:"alias batch cd clear command connect connection-factory connection-info data-source deploy deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias undeploy unset version xa-data-source",literal:"true false"},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:"params",begin:/--[\w\-=\/]+/},{className:"function",begin:/:[\w\-.]+/,relevance:0},{className:"string",begin:/\B(([\/.])[\w\-.\/=]+)+/},n]}}}}]);
-//# sourceMappingURL=jboss-cli.js.map?v=909664c829c66b37ea84 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[86],{453:function(e,n){e.exports=function(e){var n={className:"params",begin:/\(/,end:/\)/,contains:[{begin:/[\w-]+ *=/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/[\w-]+/}]}],relevance:0};return{aliases:["wildfly-cli"],lexemes:"[a-z-]+",keywords:{keyword:"alias batch cd clear command connect connection-factory connection-info data-source deploy deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias undeploy unset version xa-data-source",literal:"true false"},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:"params",begin:/--[\w\-=\/]+/},{className:"function",begin:/:[\w\-.]+/,relevance:0},{className:"string",begin:/\B(([\/.])[\w\-.\/=]+)+/},n]}}}}]);
+//# sourceMappingURL=jboss-cli.js.map?v=737c3cc7bf7ec7e294eb \ No newline at end of file
diff --git a/js/highlight/jboss-cli.js.map b/js/highlight/jboss-cli.js.map
index 0ac5b0f14..f6435f9db 100644
--- a/js/highlight/jboss-cli.js.map
+++ b/js/highlight/jboss-cli.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/jboss-cli.js"],"names":["module","exports","hljs","PARAMSBLOCK","className","begin","end","contains","returnBegin","relevance","aliases","lexemes","keywords","keyword","literal","HASH_COMMENT_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,IAKIC,EAAc,CAChBC,UAAW,SACXC,MAAO,KACPC,IAAK,KACLC,SAAU,CATA,CACVF,MAAO,YAAaG,aAAa,EACjCC,UAAW,EACXF,SAAU,CAAC,CAACH,UAAW,OAAQC,MAAO,aAOtCI,UAAY,GAed,MAAO,CACLC,QAAS,CAAC,eACVC,QAAS,UACTC,SAAU,CACRC,QAAS,sVAITC,QAAS,cAEXP,SAAU,CACRL,EAAKa,kBACLb,EAAKc,kBAhBY,CACnBZ,UAAW,SACXC,MAAO,gBAXO,CACdD,UAAW,WACXC,MAAO,YACPI,UAAW,GAEF,CACTL,UAAW,SACXC,MAAO,2BAsBLF","file":"highlight/jboss-cli.js?v=909664c829c66b37ea84","sourcesContent":["module.exports = function (hljs) {\n var PARAM = {\n begin: /[\\w-]+ *=/, returnBegin: true,\n relevance: 0,\n contains: [{className: 'attr', begin: /[\\w-]+/}]\n };\n var PARAMSBLOCK = {\n className: 'params',\n begin: /\\(/,\n end: /\\)/,\n contains: [PARAM],\n relevance : 0\n };\n var OPERATION = {\n className: 'function',\n begin: /:[\\w\\-.]+/,\n relevance: 0\n };\n var PATH = {\n className: 'string',\n begin: /\\B(([\\/.])[\\w\\-.\\/=]+)+/,\n };\n var COMMAND_PARAMS = {\n className: 'params',\n begin: /--[\\w\\-=\\/]+/,\n };\n return {\n aliases: ['wildfly-cli'],\n lexemes: '[a-z\\-]+',\n keywords: {\n keyword: 'alias batch cd clear command connect connection-factory connection-info data-source deploy ' +\n 'deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls ' +\n 'patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias ' +\n 'undeploy unset version xa-data-source', // module\n literal: 'true false'\n },\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n COMMAND_PARAMS,\n OPERATION,\n PATH,\n PARAMSBLOCK\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/jboss-cli.js"],"names":["module","exports","hljs","PARAMSBLOCK","className","begin","end","contains","returnBegin","relevance","aliases","lexemes","keywords","keyword","literal","HASH_COMMENT_MODE","QUOTE_STRING_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,IAKIC,EAAc,CAChBC,UAAW,SACXC,MAAO,KACPC,IAAK,KACLC,SAAU,CATA,CACVF,MAAO,YAAaG,aAAa,EACjCC,UAAW,EACXF,SAAU,CAAC,CAACH,UAAW,OAAQC,MAAO,aAOtCI,UAAY,GAed,MAAO,CACLC,QAAS,CAAC,eACVC,QAAS,UACTC,SAAU,CACRC,QAAS,sVAITC,QAAS,cAEXP,SAAU,CACRL,EAAKa,kBACLb,EAAKc,kBAhBY,CACnBZ,UAAW,SACXC,MAAO,gBAXO,CACdD,UAAW,WACXC,MAAO,YACPI,UAAW,GAEF,CACTL,UAAW,SACXC,MAAO,2BAsBLF","file":"highlight/jboss-cli.js?v=737c3cc7bf7ec7e294eb","sourcesContent":["module.exports = function (hljs) {\n var PARAM = {\n begin: /[\\w-]+ *=/, returnBegin: true,\n relevance: 0,\n contains: [{className: 'attr', begin: /[\\w-]+/}]\n };\n var PARAMSBLOCK = {\n className: 'params',\n begin: /\\(/,\n end: /\\)/,\n contains: [PARAM],\n relevance : 0\n };\n var OPERATION = {\n className: 'function',\n begin: /:[\\w\\-.]+/,\n relevance: 0\n };\n var PATH = {\n className: 'string',\n begin: /\\B(([\\/.])[\\w\\-.\\/=]+)+/,\n };\n var COMMAND_PARAMS = {\n className: 'params',\n begin: /--[\\w\\-=\\/]+/,\n };\n return {\n aliases: ['wildfly-cli'],\n lexemes: '[a-z\\-]+',\n keywords: {\n keyword: 'alias batch cd clear command connect connection-factory connection-info data-source deploy ' +\n 'deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls ' +\n 'patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias ' +\n 'undeploy unset version xa-data-source', // module\n literal: 'true false'\n },\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n COMMAND_PARAMS,\n OPERATION,\n PATH,\n PARAMSBLOCK\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/json.js b/js/highlight/json.js
index b13e3c461..8a98409c3 100644
--- a/js/highlight/json.js
+++ b/js/highlight/json.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[87],{453:function(n,e){n.exports=function(n){var e={literal:"true false null"},i=[n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE],t=[n.QUOTE_STRING_MODE,n.C_NUMBER_MODE],a={end:",",endsWithParent:!0,excludeEnd:!0,contains:t,keywords:e},l={begin:"{",end:"}",contains:[{className:"attr",begin:/"/,end:/"/,contains:[n.BACKSLASH_ESCAPE],illegal:"\\n"},n.inherit(a,{begin:/:/})].concat(i),illegal:"\\S"},o={begin:"\\[",end:"\\]",contains:[n.inherit(a)],illegal:"\\S"};return t.push(l,o),i.forEach((function(n){t.push(n)})),{contains:t,keywords:e,illegal:"\\S"}}}}]);
-//# sourceMappingURL=json.js.map?v=b505e6d42584ab0c7485 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[87],{454:function(n,e){n.exports=function(n){var e={literal:"true false null"},i=[n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE],t=[n.QUOTE_STRING_MODE,n.C_NUMBER_MODE],a={end:",",endsWithParent:!0,excludeEnd:!0,contains:t,keywords:e},l={begin:"{",end:"}",contains:[{className:"attr",begin:/"/,end:/"/,contains:[n.BACKSLASH_ESCAPE],illegal:"\\n"},n.inherit(a,{begin:/:/})].concat(i),illegal:"\\S"},o={begin:"\\[",end:"\\]",contains:[n.inherit(a)],illegal:"\\S"};return t.push(l,o),i.forEach((function(n){t.push(n)})),{contains:t,keywords:e,illegal:"\\S"}}}}]);
+//# sourceMappingURL=json.js.map?v=829ab49f163323ee3597 \ No newline at end of file
diff --git a/js/highlight/json.js.map b/js/highlight/json.js.map
index 83eb7185a..9d789b97a 100644
--- a/js/highlight/json.js.map
+++ b/js/highlight/json.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/json.js"],"names":["module","exports","hljs","LITERALS","literal","ALLOWED_COMMENTS","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","TYPES","QUOTE_STRING_MODE","C_NUMBER_MODE","VALUE_CONTAINER","end","endsWithParent","excludeEnd","contains","keywords","OBJECT","begin","className","BACKSLASH_ESCAPE","illegal","inherit","concat","ARRAY","push","forEach","rule"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CAACC,QAAS,mBACrBC,EAAmB,CACrBH,EAAKI,oBACLJ,EAAKK,sBAEHC,EAAQ,CACVN,EAAKO,kBACLP,EAAKQ,eAEHC,EAAkB,CACpBC,IAAK,IAAKC,gBAAgB,EAAMC,YAAY,EAC5CC,SAAUP,EACVQ,SAAUb,GAERc,EAAS,CACXC,MAAO,IAAKN,IAAK,IACjBG,SAAU,CACR,CACEI,UAAW,OACXD,MAAO,IAAKN,IAAK,IACjBG,SAAU,CAACb,EAAKkB,kBAChBC,QAAS,OAEXnB,EAAKoB,QAAQX,EAAiB,CAACO,MAAO,OACtCK,OAAOlB,GACTgB,QAAS,OAEPG,EAAQ,CACVN,MAAO,MAAON,IAAK,MACnBG,SAAU,CAACb,EAAKoB,QAAQX,IACxBU,QAAS,OAMX,OAJAb,EAAMiB,KAAKR,EAAQO,GACnBnB,EAAiBqB,SAAQ,SAASC,GAChCnB,EAAMiB,KAAKE,MAEN,CACLZ,SAAUP,EACVQ,SAAUb,EACVkB,QAAS","file":"highlight/json.js?v=b505e6d42584ab0c7485","sourcesContent":["module.exports = function(hljs) {\n var LITERALS = {literal: 'true false null'};\n var ALLOWED_COMMENTS = [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n var TYPES = [\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE\n ];\n var VALUE_CONTAINER = {\n end: ',', endsWithParent: true, excludeEnd: true,\n contains: TYPES,\n keywords: LITERALS\n };\n var OBJECT = {\n begin: '{', end: '}',\n contains: [\n {\n className: 'attr',\n begin: /\"/, end: /\"/,\n contains: [hljs.BACKSLASH_ESCAPE],\n illegal: '\\\\n',\n },\n hljs.inherit(VALUE_CONTAINER, {begin: /:/})\n ].concat(ALLOWED_COMMENTS),\n illegal: '\\\\S'\n };\n var ARRAY = {\n begin: '\\\\[', end: '\\\\]',\n contains: [hljs.inherit(VALUE_CONTAINER)], // inherit is a workaround for a bug that makes shared modes with endsWithParent compile only the ending of one of the parents\n illegal: '\\\\S'\n };\n TYPES.push(OBJECT, ARRAY);\n ALLOWED_COMMENTS.forEach(function(rule) {\n TYPES.push(rule)\n })\n return {\n contains: TYPES,\n keywords: LITERALS,\n illegal: '\\\\S'\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/json.js"],"names":["module","exports","hljs","LITERALS","literal","ALLOWED_COMMENTS","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","TYPES","QUOTE_STRING_MODE","C_NUMBER_MODE","VALUE_CONTAINER","end","endsWithParent","excludeEnd","contains","keywords","OBJECT","begin","className","BACKSLASH_ESCAPE","illegal","inherit","concat","ARRAY","push","forEach","rule"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CAACC,QAAS,mBACrBC,EAAmB,CACrBH,EAAKI,oBACLJ,EAAKK,sBAEHC,EAAQ,CACVN,EAAKO,kBACLP,EAAKQ,eAEHC,EAAkB,CACpBC,IAAK,IAAKC,gBAAgB,EAAMC,YAAY,EAC5CC,SAAUP,EACVQ,SAAUb,GAERc,EAAS,CACXC,MAAO,IAAKN,IAAK,IACjBG,SAAU,CACR,CACEI,UAAW,OACXD,MAAO,IAAKN,IAAK,IACjBG,SAAU,CAACb,EAAKkB,kBAChBC,QAAS,OAEXnB,EAAKoB,QAAQX,EAAiB,CAACO,MAAO,OACtCK,OAAOlB,GACTgB,QAAS,OAEPG,EAAQ,CACVN,MAAO,MAAON,IAAK,MACnBG,SAAU,CAACb,EAAKoB,QAAQX,IACxBU,QAAS,OAMX,OAJAb,EAAMiB,KAAKR,EAAQO,GACnBnB,EAAiBqB,SAAQ,SAASC,GAChCnB,EAAMiB,KAAKE,MAEN,CACLZ,SAAUP,EACVQ,SAAUb,EACVkB,QAAS","file":"highlight/json.js?v=829ab49f163323ee3597","sourcesContent":["module.exports = function(hljs) {\n var LITERALS = {literal: 'true false null'};\n var ALLOWED_COMMENTS = [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n var TYPES = [\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE\n ];\n var VALUE_CONTAINER = {\n end: ',', endsWithParent: true, excludeEnd: true,\n contains: TYPES,\n keywords: LITERALS\n };\n var OBJECT = {\n begin: '{', end: '}',\n contains: [\n {\n className: 'attr',\n begin: /\"/, end: /\"/,\n contains: [hljs.BACKSLASH_ESCAPE],\n illegal: '\\\\n',\n },\n hljs.inherit(VALUE_CONTAINER, {begin: /:/})\n ].concat(ALLOWED_COMMENTS),\n illegal: '\\\\S'\n };\n var ARRAY = {\n begin: '\\\\[', end: '\\\\]',\n contains: [hljs.inherit(VALUE_CONTAINER)], // inherit is a workaround for a bug that makes shared modes with endsWithParent compile only the ending of one of the parents\n illegal: '\\\\S'\n };\n TYPES.push(OBJECT, ARRAY);\n ALLOWED_COMMENTS.forEach(function(rule) {\n TYPES.push(rule)\n })\n return {\n contains: TYPES,\n keywords: LITERALS,\n illegal: '\\\\S'\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/julia-repl.js b/js/highlight/julia-repl.js
index 09db08008..ed90f136f 100644
--- a/js/highlight/julia-repl.js
+++ b/js/highlight/julia-repl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[89],{454:function(e,n){e.exports=function(e){return{contains:[{className:"meta",begin:/^julia>/,relevance:10,starts:{end:/^(?![ ]{6})/,subLanguage:"julia"},aliases:["jldoctest"]}]}}}}]);
-//# sourceMappingURL=julia-repl.js.map?v=dc7ad4072726ba046f85 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[89],{455:function(e,n){e.exports=function(e){return{contains:[{className:"meta",begin:/^julia>/,relevance:10,starts:{end:/^(?![ ]{6})/,subLanguage:"julia"},aliases:["jldoctest"]}]}}}}]);
+//# sourceMappingURL=julia-repl.js.map?v=8e6c3e163fdfd0858593 \ No newline at end of file
diff --git a/js/highlight/julia-repl.js.map b/js/highlight/julia-repl.js.map
index 86f0d5954..e28109f26 100644
--- a/js/highlight/julia-repl.js.map
+++ b/js/highlight/julia-repl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/julia-repl.js"],"names":["module","exports","hljs","contains","className","begin","relevance","starts","end","subLanguage","aliases"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,UACPC,UAAW,GACXC,OAAQ,CAGNC,IAAK,cACLC,YAAa,SAOjBC,QAAS,CAAC","file":"highlight/julia-repl.js?v=dc7ad4072726ba046f85","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n {\n className: 'meta',\n begin: /^julia>/,\n relevance: 10,\n starts: {\n // end the highlighting if we are on a new line and the line does not have at\n // least six spaces in the beginning\n end: /^(?![ ]{6})/,\n subLanguage: 'julia'\n },\n // jldoctest Markdown blocks are used in the Julia manual and package docs indicate\n // code snippets that should be verified when the documentation is built. They can be\n // either REPL-like or script-like, but are usually REPL-like and therefore we apply\n // julia-repl highlighting to them. More information can be found in Documenter's\n // manual: https://juliadocs.github.io/Documenter.jl/latest/man/doctests.html\n aliases: ['jldoctest']\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/julia-repl.js"],"names":["module","exports","hljs","contains","className","begin","relevance","starts","end","subLanguage","aliases"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,UACPC,UAAW,GACXC,OAAQ,CAGNC,IAAK,cACLC,YAAa,SAOjBC,QAAS,CAAC","file":"highlight/julia-repl.js?v=8e6c3e163fdfd0858593","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n {\n className: 'meta',\n begin: /^julia>/,\n relevance: 10,\n starts: {\n // end the highlighting if we are on a new line and the line does not have at\n // least six spaces in the beginning\n end: /^(?![ ]{6})/,\n subLanguage: 'julia'\n },\n // jldoctest Markdown blocks are used in the Julia manual and package docs indicate\n // code snippets that should be verified when the documentation is built. They can be\n // either REPL-like or script-like, but are usually REPL-like and therefore we apply\n // julia-repl highlighting to them. More information can be found in Documenter's\n // manual: https://juliadocs.github.io/Documenter.jl/latest/man/doctests.html\n aliases: ['jldoctest']\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/julia.js b/js/highlight/julia.js
index 305d5554a..b2f586fa5 100644
--- a/js/highlight/julia.js
+++ b/js/highlight/julia.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[88],{455:function(e,r){e.exports=function(e){var r={keyword:"in isa where baremodule begin break catch ccall const continue do else elseif end export false finally for function global if import importall let local macro module quote return true try using while type immutable abstract bitstype typealias ",literal:"true false ARGS C_NULL DevNull ENDIAN_BOM ENV I Inf Inf16 Inf32 Inf64 InsertionSort JULIA_HOME LOAD_PATH MergeSort NaN NaN16 NaN32 NaN64 PROGRAM_FILE QuickSort RoundDown RoundFromZero RoundNearest RoundNearestTiesAway RoundNearestTiesUp RoundToZero RoundUp STDERR STDIN STDOUT VERSION catalan e|0 eu|0 eulergamma golden im nothing pi γ π φ ",built_in:"ANY AbstractArray AbstractChannel AbstractFloat AbstractMatrix AbstractRNG AbstractSerializer AbstractSet AbstractSparseArray AbstractSparseMatrix AbstractSparseVector AbstractString AbstractUnitRange AbstractVecOrMat AbstractVector Any ArgumentError Array AssertionError Associative Base64DecodePipe Base64EncodePipe Bidiagonal BigFloat BigInt BitArray BitMatrix BitVector Bool BoundsError BufferStream CachingPool CapturedException CartesianIndex CartesianRange Cchar Cdouble Cfloat Channel Char Cint Cintmax_t Clong Clonglong ClusterManager Cmd CodeInfo Colon Complex Complex128 Complex32 Complex64 CompositeException Condition ConjArray ConjMatrix ConjVector Cptrdiff_t Cshort Csize_t Cssize_t Cstring Cuchar Cuint Cuintmax_t Culong Culonglong Cushort Cwchar_t Cwstring DataType Date DateFormat DateTime DenseArray DenseMatrix DenseVecOrMat DenseVector Diagonal Dict DimensionMismatch Dims DirectIndexString Display DivideError DomainError EOFError EachLine Enum Enumerate ErrorException Exception ExponentialBackOff Expr Factorization FileMonitor Float16 Float32 Float64 Function Future GlobalRef GotoNode HTML Hermitian IO IOBuffer IOContext IOStream IPAddr IPv4 IPv6 IndexCartesian IndexLinear IndexStyle InexactError InitError Int Int128 Int16 Int32 Int64 Int8 IntSet Integer InterruptException InvalidStateException Irrational KeyError LabelNode LinSpace LineNumberNode LoadError LowerTriangular MIME Matrix MersenneTwister Method MethodError MethodTable Module NTuple NewvarNode NullException Nullable Number ObjectIdDict OrdinalRange OutOfMemoryError OverflowError Pair ParseError PartialQuickSort PermutedDimsArray Pipe PollingFileWatcher ProcessExitedException Ptr QuoteNode RandomDevice Range RangeIndex Rational RawFD ReadOnlyMemoryError Real ReentrantLock Ref Regex RegexMatch RemoteChannel RemoteException RevString RoundingMode RowVector SSAValue SegmentationFault SerializationState Set SharedArray SharedMatrix SharedVector Signed SimpleVector Slot SlotNumber SparseMatrixCSC SparseVector StackFrame StackOverflowError StackTrace StepRange StepRangeLen StridedArray StridedMatrix StridedVecOrMat StridedVector String SubArray SubString SymTridiagonal Symbol Symmetric SystemError TCPSocket Task Text TextDisplay Timer Tridiagonal Tuple Type TypeError TypeMapEntry TypeMapLevel TypeName TypeVar TypedSlot UDPSocket UInt UInt128 UInt16 UInt32 UInt64 UInt8 UndefRefError UndefVarError UnicodeError UniformScaling Union UnionAll UnitRange Unsigned UpperTriangular Val Vararg VecElement VecOrMat Vector VersionNumber Void WeakKeyDict WeakRef WorkerConfig WorkerPool "},t="[A-Za-z_\\u00A1-\\uFFFF][A-Za-z_0-9\\u00A1-\\uFFFF]*",a={lexemes:t,keywords:r,illegal:/<\//},n={className:"subst",begin:/\$\(/,end:/\)/,keywords:r},o={className:"variable",begin:"\\$"+t},i={className:"string",contains:[e.BACKSLASH_ESCAPE,n,o],variants:[{begin:/\w*"""/,end:/"""\w*/,relevance:10},{begin:/\w*"/,end:/"\w*/}]},l={className:"string",contains:[e.BACKSLASH_ESCAPE,n,o],begin:"`",end:"`"},s={className:"meta",begin:"@"+t};return a.contains=[{className:"number",begin:/(\b0x[\d_]*(\.[\d_]*)?|0x\.\d[\d_]*)p[-+]?\d+|\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\b\d[\d_]*(\.[\d_]*)?|\.\d[\d_]*)([eEfF][-+]?\d+)?/,relevance:0},{className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},i,l,s,{className:"comment",variants:[{begin:"#=",end:"=#",relevance:10},{begin:"#",end:"$"}]},e.HASH_COMMENT_MODE,{className:"keyword",begin:"\\b(((abstract|primitive)\\s+)type|(mutable\\s+)?struct)\\b"},{begin:/<:/}],n.contains=a.contains,a}}}]);
-//# sourceMappingURL=julia.js.map?v=e1bf63845c6da47deabd \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[88],{456:function(e,r){e.exports=function(e){var r={keyword:"in isa where baremodule begin break catch ccall const continue do else elseif end export false finally for function global if import importall let local macro module quote return true try using while type immutable abstract bitstype typealias ",literal:"true false ARGS C_NULL DevNull ENDIAN_BOM ENV I Inf Inf16 Inf32 Inf64 InsertionSort JULIA_HOME LOAD_PATH MergeSort NaN NaN16 NaN32 NaN64 PROGRAM_FILE QuickSort RoundDown RoundFromZero RoundNearest RoundNearestTiesAway RoundNearestTiesUp RoundToZero RoundUp STDERR STDIN STDOUT VERSION catalan e|0 eu|0 eulergamma golden im nothing pi γ π φ ",built_in:"ANY AbstractArray AbstractChannel AbstractFloat AbstractMatrix AbstractRNG AbstractSerializer AbstractSet AbstractSparseArray AbstractSparseMatrix AbstractSparseVector AbstractString AbstractUnitRange AbstractVecOrMat AbstractVector Any ArgumentError Array AssertionError Associative Base64DecodePipe Base64EncodePipe Bidiagonal BigFloat BigInt BitArray BitMatrix BitVector Bool BoundsError BufferStream CachingPool CapturedException CartesianIndex CartesianRange Cchar Cdouble Cfloat Channel Char Cint Cintmax_t Clong Clonglong ClusterManager Cmd CodeInfo Colon Complex Complex128 Complex32 Complex64 CompositeException Condition ConjArray ConjMatrix ConjVector Cptrdiff_t Cshort Csize_t Cssize_t Cstring Cuchar Cuint Cuintmax_t Culong Culonglong Cushort Cwchar_t Cwstring DataType Date DateFormat DateTime DenseArray DenseMatrix DenseVecOrMat DenseVector Diagonal Dict DimensionMismatch Dims DirectIndexString Display DivideError DomainError EOFError EachLine Enum Enumerate ErrorException Exception ExponentialBackOff Expr Factorization FileMonitor Float16 Float32 Float64 Function Future GlobalRef GotoNode HTML Hermitian IO IOBuffer IOContext IOStream IPAddr IPv4 IPv6 IndexCartesian IndexLinear IndexStyle InexactError InitError Int Int128 Int16 Int32 Int64 Int8 IntSet Integer InterruptException InvalidStateException Irrational KeyError LabelNode LinSpace LineNumberNode LoadError LowerTriangular MIME Matrix MersenneTwister Method MethodError MethodTable Module NTuple NewvarNode NullException Nullable Number ObjectIdDict OrdinalRange OutOfMemoryError OverflowError Pair ParseError PartialQuickSort PermutedDimsArray Pipe PollingFileWatcher ProcessExitedException Ptr QuoteNode RandomDevice Range RangeIndex Rational RawFD ReadOnlyMemoryError Real ReentrantLock Ref Regex RegexMatch RemoteChannel RemoteException RevString RoundingMode RowVector SSAValue SegmentationFault SerializationState Set SharedArray SharedMatrix SharedVector Signed SimpleVector Slot SlotNumber SparseMatrixCSC SparseVector StackFrame StackOverflowError StackTrace StepRange StepRangeLen StridedArray StridedMatrix StridedVecOrMat StridedVector String SubArray SubString SymTridiagonal Symbol Symmetric SystemError TCPSocket Task Text TextDisplay Timer Tridiagonal Tuple Type TypeError TypeMapEntry TypeMapLevel TypeName TypeVar TypedSlot UDPSocket UInt UInt128 UInt16 UInt32 UInt64 UInt8 UndefRefError UndefVarError UnicodeError UniformScaling Union UnionAll UnitRange Unsigned UpperTriangular Val Vararg VecElement VecOrMat Vector VersionNumber Void WeakKeyDict WeakRef WorkerConfig WorkerPool "},t="[A-Za-z_\\u00A1-\\uFFFF][A-Za-z_0-9\\u00A1-\\uFFFF]*",a={lexemes:t,keywords:r,illegal:/<\//},n={className:"subst",begin:/\$\(/,end:/\)/,keywords:r},o={className:"variable",begin:"\\$"+t},i={className:"string",contains:[e.BACKSLASH_ESCAPE,n,o],variants:[{begin:/\w*"""/,end:/"""\w*/,relevance:10},{begin:/\w*"/,end:/"\w*/}]},l={className:"string",contains:[e.BACKSLASH_ESCAPE,n,o],begin:"`",end:"`"},s={className:"meta",begin:"@"+t};return a.contains=[{className:"number",begin:/(\b0x[\d_]*(\.[\d_]*)?|0x\.\d[\d_]*)p[-+]?\d+|\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\b\d[\d_]*(\.[\d_]*)?|\.\d[\d_]*)([eEfF][-+]?\d+)?/,relevance:0},{className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},i,l,s,{className:"comment",variants:[{begin:"#=",end:"=#",relevance:10},{begin:"#",end:"$"}]},e.HASH_COMMENT_MODE,{className:"keyword",begin:"\\b(((abstract|primitive)\\s+)type|(mutable\\s+)?struct)\\b"},{begin:/<:/}],n.contains=a.contains,a}}}]);
+//# sourceMappingURL=julia.js.map?v=8dd0066b61f6587f1521 \ No newline at end of file
diff --git a/js/highlight/julia.js.map b/js/highlight/julia.js.map
index 8331a7540..613b03fe5 100644
--- a/js/highlight/julia.js.map
+++ b/js/highlight/julia.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/julia.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","built_in","VARIABLE_NAME_RE","DEFAULT","lexemes","keywords","illegal","INTERPOLATION","className","begin","end","INTERPOLATED_VARIABLE","STRING","contains","BACKSLASH_ESCAPE","variants","relevance","COMMAND","MACROCALL","HASH_COMMENT_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAMxB,IAAIC,EAAW,CAQbC,QACE,sPAiBFC,QACE,uVAeFC,SACE,yhFA2BAC,EAAmB,uDAGnBC,EAAU,CACZC,QAASF,EAAkBG,SAAUP,EAAUQ,QAAS,OAsBtDC,EAAgB,CAClBC,UAAW,QACXC,MAAO,OAAQC,IAAK,KACpBL,SAAUP,GAGRa,EAAwB,CAC1BH,UAAW,WACXC,MAAO,MAAQP,GAIbU,EAAS,CACXJ,UAAW,SACXK,SAAU,CAAChB,EAAKiB,iBAAkBP,EAAeI,GACjDI,SAAU,CACR,CAAEN,MAAO,SAAUC,IAAK,SAAUM,UAAW,IAC7C,CAAEP,MAAO,OAAQC,IAAK,UAItBO,EAAU,CACZT,UAAW,SACXK,SAAU,CAAChB,EAAKiB,iBAAkBP,EAAeI,GACjDF,MAAO,IAAKC,IAAK,KAGfQ,EAAY,CACdV,UAAW,OACXC,MAAO,IAAMP,GA4Bf,OAjBAC,EAAQU,SAAW,CA1DN,CACXL,UAAW,SAQXC,MAAO,qIACPO,UAAW,GAGF,CACTR,UAAW,SACXC,MAAO,8BA8CPG,EACAK,EACAC,EAbY,CACZV,UAAW,UACXO,SAAU,CACR,CAAEN,MAAO,KAAMC,IAAK,KAAMM,UAAW,IACrC,CAAEP,MAAO,IAAKC,IAAK,OAWrBb,EAAKsB,kBACL,CACEX,UAAW,UACXC,MACE,+DAEJ,CAACA,MAAO,OAEVF,EAAcM,SAAWV,EAAQU,SAE1BV","file":"highlight/julia.js?v=e1bf63845c6da47deabd","sourcesContent":["module.exports = function(hljs) {\n // Since there are numerous special names in Julia, it is too much trouble\n // to maintain them by hand. Hence these names (i.e. keywords, literals and\n // built-ins) are automatically generated from Julia v0.6 itself through\n // the following scripts for each.\n\n var KEYWORDS = {\n // # keyword generator, multi-word keywords handled manually below\n // foreach(println, [\"in\", \"isa\", \"where\"])\n // for kw in Base.REPLCompletions.complete_keyword(\"\")\n // if !(contains(kw, \" \") || kw == \"struct\")\n // println(kw)\n // end\n // end\n keyword:\n 'in isa where ' +\n 'baremodule begin break catch ccall const continue do else elseif end export false finally for function ' +\n 'global if import importall let local macro module quote return true try using while ' +\n // legacy, to be deprecated in the next release\n 'type immutable abstract bitstype typealias ',\n\n // # literal generator\n // println(\"true\")\n // println(\"false\")\n // for name in Base.REPLCompletions.completions(\"\", 0)[1]\n // try\n // v = eval(Symbol(name))\n // if !(v isa Function || v isa Type || v isa TypeVar || v isa Module || v isa Colon)\n // println(name)\n // end\n // end\n // end\n literal:\n 'true false ' +\n 'ARGS C_NULL DevNull ENDIAN_BOM ENV I Inf Inf16 Inf32 Inf64 InsertionSort JULIA_HOME LOAD_PATH MergeSort ' +\n 'NaN NaN16 NaN32 NaN64 PROGRAM_FILE QuickSort RoundDown RoundFromZero RoundNearest RoundNearestTiesAway ' +\n 'RoundNearestTiesUp RoundToZero RoundUp STDERR STDIN STDOUT VERSION catalan e|0 eu|0 eulergamma golden im ' +\n 'nothing pi γ π φ ',\n\n // # built_in generator:\n // for name in Base.REPLCompletions.completions(\"\", 0)[1]\n // try\n // v = eval(Symbol(name))\n // if v isa Type || v isa TypeVar\n // println(name)\n // end\n // end\n // end\n built_in:\n 'ANY AbstractArray AbstractChannel AbstractFloat AbstractMatrix AbstractRNG AbstractSerializer AbstractSet ' +\n 'AbstractSparseArray AbstractSparseMatrix AbstractSparseVector AbstractString AbstractUnitRange AbstractVecOrMat ' +\n 'AbstractVector Any ArgumentError Array AssertionError Associative Base64DecodePipe Base64EncodePipe Bidiagonal '+\n 'BigFloat BigInt BitArray BitMatrix BitVector Bool BoundsError BufferStream CachingPool CapturedException ' +\n 'CartesianIndex CartesianRange Cchar Cdouble Cfloat Channel Char Cint Cintmax_t Clong Clonglong ClusterManager ' +\n 'Cmd CodeInfo Colon Complex Complex128 Complex32 Complex64 CompositeException Condition ConjArray ConjMatrix ' +\n 'ConjVector Cptrdiff_t Cshort Csize_t Cssize_t Cstring Cuchar Cuint Cuintmax_t Culong Culonglong Cushort Cwchar_t ' +\n 'Cwstring DataType Date DateFormat DateTime DenseArray DenseMatrix DenseVecOrMat DenseVector Diagonal Dict ' +\n 'DimensionMismatch Dims DirectIndexString Display DivideError DomainError EOFError EachLine Enum Enumerate ' +\n 'ErrorException Exception ExponentialBackOff Expr Factorization FileMonitor Float16 Float32 Float64 Function ' +\n 'Future GlobalRef GotoNode HTML Hermitian IO IOBuffer IOContext IOStream IPAddr IPv4 IPv6 IndexCartesian IndexLinear ' +\n 'IndexStyle InexactError InitError Int Int128 Int16 Int32 Int64 Int8 IntSet Integer InterruptException ' +\n 'InvalidStateException Irrational KeyError LabelNode LinSpace LineNumberNode LoadError LowerTriangular MIME Matrix ' +\n 'MersenneTwister Method MethodError MethodTable Module NTuple NewvarNode NullException Nullable Number ObjectIdDict ' +\n 'OrdinalRange OutOfMemoryError OverflowError Pair ParseError PartialQuickSort PermutedDimsArray Pipe ' +\n 'PollingFileWatcher ProcessExitedException Ptr QuoteNode RandomDevice Range RangeIndex Rational RawFD ' +\n 'ReadOnlyMemoryError Real ReentrantLock Ref Regex RegexMatch RemoteChannel RemoteException RevString RoundingMode ' +\n 'RowVector SSAValue SegmentationFault SerializationState Set SharedArray SharedMatrix SharedVector Signed ' +\n 'SimpleVector Slot SlotNumber SparseMatrixCSC SparseVector StackFrame StackOverflowError StackTrace StepRange ' +\n 'StepRangeLen StridedArray StridedMatrix StridedVecOrMat StridedVector String SubArray SubString SymTridiagonal ' +\n 'Symbol Symmetric SystemError TCPSocket Task Text TextDisplay Timer Tridiagonal Tuple Type TypeError TypeMapEntry ' +\n 'TypeMapLevel TypeName TypeVar TypedSlot UDPSocket UInt UInt128 UInt16 UInt32 UInt64 UInt8 UndefRefError UndefVarError ' +\n 'UnicodeError UniformScaling Union UnionAll UnitRange Unsigned UpperTriangular Val Vararg VecElement VecOrMat Vector ' +\n 'VersionNumber Void WeakKeyDict WeakRef WorkerConfig WorkerPool '\n };\n\n // ref: http://julia.readthedocs.org/en/latest/manual/variables/#allowed-variable-names\n var VARIABLE_NAME_RE = '[A-Za-z_\\\\u00A1-\\\\uFFFF][A-Za-z_0-9\\\\u00A1-\\\\uFFFF]*';\n\n // placeholder for recursive self-reference\n var DEFAULT = {\n lexemes: VARIABLE_NAME_RE, keywords: KEYWORDS, illegal: /<\\//\n };\n\n // ref: http://julia.readthedocs.org/en/latest/manual/integers-and-floating-point-numbers/\n var NUMBER = {\n className: 'number',\n // supported numeric literals:\n // * binary literal (e.g. 0x10)\n // * octal literal (e.g. 0o76543210)\n // * hexadecimal literal (e.g. 0xfedcba876543210)\n // * hexadecimal floating point literal (e.g. 0x1p0, 0x1.2p2)\n // * decimal literal (e.g. 9876543210, 100_000_000)\n // * floating pointe literal (e.g. 1.2, 1.2f, .2, 1., 1.2e10, 1.2e-10)\n begin: /(\\b0x[\\d_]*(\\.[\\d_]*)?|0x\\.\\d[\\d_]*)p[-+]?\\d+|\\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\\b\\d[\\d_]*(\\.[\\d_]*)?|\\.\\d[\\d_]*)([eEfF][-+]?\\d+)?/,\n relevance: 0\n };\n\n var CHAR = {\n className: 'string',\n begin: /'(.|\\\\[xXuU][a-zA-Z0-9]+)'/\n };\n\n var INTERPOLATION = {\n className: 'subst',\n begin: /\\$\\(/, end: /\\)/,\n keywords: KEYWORDS\n };\n\n var INTERPOLATED_VARIABLE = {\n className: 'variable',\n begin: '\\\\$' + VARIABLE_NAME_RE\n };\n\n // TODO: neatly escape normal code in string literal\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, INTERPOLATION, INTERPOLATED_VARIABLE],\n variants: [\n { begin: /\\w*\"\"\"/, end: /\"\"\"\\w*/, relevance: 10 },\n { begin: /\\w*\"/, end: /\"\\w*/ }\n ]\n };\n\n var COMMAND = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, INTERPOLATION, INTERPOLATED_VARIABLE],\n begin: '`', end: '`'\n };\n\n var MACROCALL = {\n className: 'meta',\n begin: '@' + VARIABLE_NAME_RE\n };\n\n var COMMENT = {\n className: 'comment',\n variants: [\n { begin: '#=', end: '=#', relevance: 10 },\n { begin: '#', end: '$' }\n ]\n };\n\n DEFAULT.contains = [\n NUMBER,\n CHAR,\n STRING,\n COMMAND,\n MACROCALL,\n COMMENT,\n hljs.HASH_COMMENT_MODE,\n {\n className: 'keyword',\n begin:\n '\\\\b(((abstract|primitive)\\\\s+)type|(mutable\\\\s+)?struct)\\\\b'\n },\n {begin: /<:/} // relevance booster\n ];\n INTERPOLATION.contains = DEFAULT.contains;\n\n return DEFAULT;\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/julia.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","built_in","VARIABLE_NAME_RE","DEFAULT","lexemes","keywords","illegal","INTERPOLATION","className","begin","end","INTERPOLATED_VARIABLE","STRING","contains","BACKSLASH_ESCAPE","variants","relevance","COMMAND","MACROCALL","HASH_COMMENT_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAMxB,IAAIC,EAAW,CAQbC,QACE,sPAiBFC,QACE,uVAeFC,SACE,yhFA2BAC,EAAmB,uDAGnBC,EAAU,CACZC,QAASF,EAAkBG,SAAUP,EAAUQ,QAAS,OAsBtDC,EAAgB,CAClBC,UAAW,QACXC,MAAO,OAAQC,IAAK,KACpBL,SAAUP,GAGRa,EAAwB,CAC1BH,UAAW,WACXC,MAAO,MAAQP,GAIbU,EAAS,CACXJ,UAAW,SACXK,SAAU,CAAChB,EAAKiB,iBAAkBP,EAAeI,GACjDI,SAAU,CACR,CAAEN,MAAO,SAAUC,IAAK,SAAUM,UAAW,IAC7C,CAAEP,MAAO,OAAQC,IAAK,UAItBO,EAAU,CACZT,UAAW,SACXK,SAAU,CAAChB,EAAKiB,iBAAkBP,EAAeI,GACjDF,MAAO,IAAKC,IAAK,KAGfQ,EAAY,CACdV,UAAW,OACXC,MAAO,IAAMP,GA4Bf,OAjBAC,EAAQU,SAAW,CA1DN,CACXL,UAAW,SAQXC,MAAO,qIACPO,UAAW,GAGF,CACTR,UAAW,SACXC,MAAO,8BA8CPG,EACAK,EACAC,EAbY,CACZV,UAAW,UACXO,SAAU,CACR,CAAEN,MAAO,KAAMC,IAAK,KAAMM,UAAW,IACrC,CAAEP,MAAO,IAAKC,IAAK,OAWrBb,EAAKsB,kBACL,CACEX,UAAW,UACXC,MACE,+DAEJ,CAACA,MAAO,OAEVF,EAAcM,SAAWV,EAAQU,SAE1BV","file":"highlight/julia.js?v=8dd0066b61f6587f1521","sourcesContent":["module.exports = function(hljs) {\n // Since there are numerous special names in Julia, it is too much trouble\n // to maintain them by hand. Hence these names (i.e. keywords, literals and\n // built-ins) are automatically generated from Julia v0.6 itself through\n // the following scripts for each.\n\n var KEYWORDS = {\n // # keyword generator, multi-word keywords handled manually below\n // foreach(println, [\"in\", \"isa\", \"where\"])\n // for kw in Base.REPLCompletions.complete_keyword(\"\")\n // if !(contains(kw, \" \") || kw == \"struct\")\n // println(kw)\n // end\n // end\n keyword:\n 'in isa where ' +\n 'baremodule begin break catch ccall const continue do else elseif end export false finally for function ' +\n 'global if import importall let local macro module quote return true try using while ' +\n // legacy, to be deprecated in the next release\n 'type immutable abstract bitstype typealias ',\n\n // # literal generator\n // println(\"true\")\n // println(\"false\")\n // for name in Base.REPLCompletions.completions(\"\", 0)[1]\n // try\n // v = eval(Symbol(name))\n // if !(v isa Function || v isa Type || v isa TypeVar || v isa Module || v isa Colon)\n // println(name)\n // end\n // end\n // end\n literal:\n 'true false ' +\n 'ARGS C_NULL DevNull ENDIAN_BOM ENV I Inf Inf16 Inf32 Inf64 InsertionSort JULIA_HOME LOAD_PATH MergeSort ' +\n 'NaN NaN16 NaN32 NaN64 PROGRAM_FILE QuickSort RoundDown RoundFromZero RoundNearest RoundNearestTiesAway ' +\n 'RoundNearestTiesUp RoundToZero RoundUp STDERR STDIN STDOUT VERSION catalan e|0 eu|0 eulergamma golden im ' +\n 'nothing pi γ π φ ',\n\n // # built_in generator:\n // for name in Base.REPLCompletions.completions(\"\", 0)[1]\n // try\n // v = eval(Symbol(name))\n // if v isa Type || v isa TypeVar\n // println(name)\n // end\n // end\n // end\n built_in:\n 'ANY AbstractArray AbstractChannel AbstractFloat AbstractMatrix AbstractRNG AbstractSerializer AbstractSet ' +\n 'AbstractSparseArray AbstractSparseMatrix AbstractSparseVector AbstractString AbstractUnitRange AbstractVecOrMat ' +\n 'AbstractVector Any ArgumentError Array AssertionError Associative Base64DecodePipe Base64EncodePipe Bidiagonal '+\n 'BigFloat BigInt BitArray BitMatrix BitVector Bool BoundsError BufferStream CachingPool CapturedException ' +\n 'CartesianIndex CartesianRange Cchar Cdouble Cfloat Channel Char Cint Cintmax_t Clong Clonglong ClusterManager ' +\n 'Cmd CodeInfo Colon Complex Complex128 Complex32 Complex64 CompositeException Condition ConjArray ConjMatrix ' +\n 'ConjVector Cptrdiff_t Cshort Csize_t Cssize_t Cstring Cuchar Cuint Cuintmax_t Culong Culonglong Cushort Cwchar_t ' +\n 'Cwstring DataType Date DateFormat DateTime DenseArray DenseMatrix DenseVecOrMat DenseVector Diagonal Dict ' +\n 'DimensionMismatch Dims DirectIndexString Display DivideError DomainError EOFError EachLine Enum Enumerate ' +\n 'ErrorException Exception ExponentialBackOff Expr Factorization FileMonitor Float16 Float32 Float64 Function ' +\n 'Future GlobalRef GotoNode HTML Hermitian IO IOBuffer IOContext IOStream IPAddr IPv4 IPv6 IndexCartesian IndexLinear ' +\n 'IndexStyle InexactError InitError Int Int128 Int16 Int32 Int64 Int8 IntSet Integer InterruptException ' +\n 'InvalidStateException Irrational KeyError LabelNode LinSpace LineNumberNode LoadError LowerTriangular MIME Matrix ' +\n 'MersenneTwister Method MethodError MethodTable Module NTuple NewvarNode NullException Nullable Number ObjectIdDict ' +\n 'OrdinalRange OutOfMemoryError OverflowError Pair ParseError PartialQuickSort PermutedDimsArray Pipe ' +\n 'PollingFileWatcher ProcessExitedException Ptr QuoteNode RandomDevice Range RangeIndex Rational RawFD ' +\n 'ReadOnlyMemoryError Real ReentrantLock Ref Regex RegexMatch RemoteChannel RemoteException RevString RoundingMode ' +\n 'RowVector SSAValue SegmentationFault SerializationState Set SharedArray SharedMatrix SharedVector Signed ' +\n 'SimpleVector Slot SlotNumber SparseMatrixCSC SparseVector StackFrame StackOverflowError StackTrace StepRange ' +\n 'StepRangeLen StridedArray StridedMatrix StridedVecOrMat StridedVector String SubArray SubString SymTridiagonal ' +\n 'Symbol Symmetric SystemError TCPSocket Task Text TextDisplay Timer Tridiagonal Tuple Type TypeError TypeMapEntry ' +\n 'TypeMapLevel TypeName TypeVar TypedSlot UDPSocket UInt UInt128 UInt16 UInt32 UInt64 UInt8 UndefRefError UndefVarError ' +\n 'UnicodeError UniformScaling Union UnionAll UnitRange Unsigned UpperTriangular Val Vararg VecElement VecOrMat Vector ' +\n 'VersionNumber Void WeakKeyDict WeakRef WorkerConfig WorkerPool '\n };\n\n // ref: http://julia.readthedocs.org/en/latest/manual/variables/#allowed-variable-names\n var VARIABLE_NAME_RE = '[A-Za-z_\\\\u00A1-\\\\uFFFF][A-Za-z_0-9\\\\u00A1-\\\\uFFFF]*';\n\n // placeholder for recursive self-reference\n var DEFAULT = {\n lexemes: VARIABLE_NAME_RE, keywords: KEYWORDS, illegal: /<\\//\n };\n\n // ref: http://julia.readthedocs.org/en/latest/manual/integers-and-floating-point-numbers/\n var NUMBER = {\n className: 'number',\n // supported numeric literals:\n // * binary literal (e.g. 0x10)\n // * octal literal (e.g. 0o76543210)\n // * hexadecimal literal (e.g. 0xfedcba876543210)\n // * hexadecimal floating point literal (e.g. 0x1p0, 0x1.2p2)\n // * decimal literal (e.g. 9876543210, 100_000_000)\n // * floating pointe literal (e.g. 1.2, 1.2f, .2, 1., 1.2e10, 1.2e-10)\n begin: /(\\b0x[\\d_]*(\\.[\\d_]*)?|0x\\.\\d[\\d_]*)p[-+]?\\d+|\\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\\b\\d[\\d_]*(\\.[\\d_]*)?|\\.\\d[\\d_]*)([eEfF][-+]?\\d+)?/,\n relevance: 0\n };\n\n var CHAR = {\n className: 'string',\n begin: /'(.|\\\\[xXuU][a-zA-Z0-9]+)'/\n };\n\n var INTERPOLATION = {\n className: 'subst',\n begin: /\\$\\(/, end: /\\)/,\n keywords: KEYWORDS\n };\n\n var INTERPOLATED_VARIABLE = {\n className: 'variable',\n begin: '\\\\$' + VARIABLE_NAME_RE\n };\n\n // TODO: neatly escape normal code in string literal\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, INTERPOLATION, INTERPOLATED_VARIABLE],\n variants: [\n { begin: /\\w*\"\"\"/, end: /\"\"\"\\w*/, relevance: 10 },\n { begin: /\\w*\"/, end: /\"\\w*/ }\n ]\n };\n\n var COMMAND = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, INTERPOLATION, INTERPOLATED_VARIABLE],\n begin: '`', end: '`'\n };\n\n var MACROCALL = {\n className: 'meta',\n begin: '@' + VARIABLE_NAME_RE\n };\n\n var COMMENT = {\n className: 'comment',\n variants: [\n { begin: '#=', end: '=#', relevance: 10 },\n { begin: '#', end: '$' }\n ]\n };\n\n DEFAULT.contains = [\n NUMBER,\n CHAR,\n STRING,\n COMMAND,\n MACROCALL,\n COMMENT,\n hljs.HASH_COMMENT_MODE,\n {\n className: 'keyword',\n begin:\n '\\\\b(((abstract|primitive)\\\\s+)type|(mutable\\\\s+)?struct)\\\\b'\n },\n {begin: /<:/} // relevance booster\n ];\n INTERPOLATION.contains = DEFAULT.contains;\n\n return DEFAULT;\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/kotlin.js b/js/highlight/kotlin.js
index 1b8ebc3b9..d0960b8a0 100644
--- a/js/highlight/kotlin.js
+++ b/js/highlight/kotlin.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[90],{456:function(e,n){e.exports=function(e){var n={keyword:"abstract as val var vararg get set class object open private protected public noinline crossinline dynamic final enum if else do while for when throw try catch finally import package is in fun override companion reified inline lateinit init interface annotation data sealed internal infix operator out by constructor super tailrec where const inner suspend typealias external expect actual trait volatile transient native default",built_in:"Byte Short Char Int Long Boolean Float Double Void Unit Nothing",literal:"true false null"},a={className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"@"},i={className:"subst",begin:"\\${",end:"}",contains:[e.C_NUMBER_MODE]},s={className:"variable",begin:"\\$"+e.UNDERSCORE_IDENT_RE},t={className:"string",variants:[{begin:'"""',end:'"""',contains:[s,i]},{begin:"'",end:"'",illegal:/\n/,contains:[e.BACKSLASH_ESCAPE]},{begin:'"',end:'"',illegal:/\n/,contains:[e.BACKSLASH_ESCAPE,s,i]}]};i.contains.push(t);var l={className:"meta",begin:"@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\s*:(?:\\s*"+e.UNDERSCORE_IDENT_RE+")?"},r={className:"meta",begin:"@"+e.UNDERSCORE_IDENT_RE,contains:[{begin:/\(/,end:/\)/,contains:[e.inherit(t,{className:"meta-string"})]}]},c={className:"number",begin:"\\b(0[bB]([01]+[01_]+[01]+|[01]+)|0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)|(([\\d]+[\\d_]+[\\d]+|[\\d]+)(\\.([\\d]+[\\d_]+[\\d]+|[\\d]+))?|\\.([\\d]+[\\d_]+[\\d]+|[\\d]+))([eE][-+]?\\d+)?)[lLfF]?",relevance:0},o=e.COMMENT("/\\*","\\*/",{contains:[e.C_BLOCK_COMMENT_MODE]}),d={variants:[{className:"type",begin:e.UNDERSCORE_IDENT_RE},{begin:/\(/,end:/\)/,contains:[]}]},E=d;return E.variants[1].contains=[d],d.variants[1].contains=[E],{aliases:["kt"],keywords:n,contains:[e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),e.C_LINE_COMMENT_MODE,o,{className:"keyword",begin:/\b(break|continue|return|this)\b/,starts:{contains:[{className:"symbol",begin:/@\w+/}]}},a,l,r,{className:"function",beginKeywords:"fun",end:"[(]|$",returnBegin:!0,excludeEnd:!0,keywords:n,illegal:/fun\s+(<.*>)?[^\s\(]+(\s+[^\s\(]+)\s*=/,relevance:5,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"type",begin:/</,end:/>/,keywords:"reified",relevance:0},{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:n,relevance:0,contains:[{begin:/:/,end:/[=,\/]/,endsWithParent:!0,contains:[d,e.C_LINE_COMMENT_MODE,o],relevance:0},e.C_LINE_COMMENT_MODE,o,l,r,t,e.C_NUMBER_MODE]},o]},{className:"class",beginKeywords:"class interface trait",end:/[:\{(]|$/,excludeEnd:!0,illegal:"extends implements",contains:[{beginKeywords:"public protected internal private constructor"},e.UNDERSCORE_TITLE_MODE,{className:"type",begin:/</,end:/>/,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:/[,:]\s*/,end:/[<\(,]|$/,excludeBegin:!0,returnEnd:!0},l,r]},t,{className:"meta",begin:"^#!/usr/bin/env",end:"$",illegal:"\n"},c]}}}}]);
-//# sourceMappingURL=kotlin.js.map?v=8db560e208052754ae35 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[90],{457:function(e,n){e.exports=function(e){var n={keyword:"abstract as val var vararg get set class object open private protected public noinline crossinline dynamic final enum if else do while for when throw try catch finally import package is in fun override companion reified inline lateinit init interface annotation data sealed internal infix operator out by constructor super tailrec where const inner suspend typealias external expect actual trait volatile transient native default",built_in:"Byte Short Char Int Long Boolean Float Double Void Unit Nothing",literal:"true false null"},a={className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"@"},i={className:"subst",begin:"\\${",end:"}",contains:[e.C_NUMBER_MODE]},s={className:"variable",begin:"\\$"+e.UNDERSCORE_IDENT_RE},t={className:"string",variants:[{begin:'"""',end:'"""(?=[^"])',contains:[s,i]},{begin:"'",end:"'",illegal:/\n/,contains:[e.BACKSLASH_ESCAPE]},{begin:'"',end:'"',illegal:/\n/,contains:[e.BACKSLASH_ESCAPE,s,i]}]};i.contains.push(t);var l={className:"meta",begin:"@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\s*:(?:\\s*"+e.UNDERSCORE_IDENT_RE+")?"},r={className:"meta",begin:"@"+e.UNDERSCORE_IDENT_RE,contains:[{begin:/\(/,end:/\)/,contains:[e.inherit(t,{className:"meta-string"})]}]},c={className:"number",begin:"\\b(0[bB]([01]+[01_]+[01]+|[01]+)|0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)|(([\\d]+[\\d_]+[\\d]+|[\\d]+)(\\.([\\d]+[\\d_]+[\\d]+|[\\d]+))?|\\.([\\d]+[\\d_]+[\\d]+|[\\d]+))([eE][-+]?\\d+)?)[lLfF]?",relevance:0},o=e.COMMENT("/\\*","\\*/",{contains:[e.C_BLOCK_COMMENT_MODE]}),d={variants:[{className:"type",begin:e.UNDERSCORE_IDENT_RE},{begin:/\(/,end:/\)/,contains:[]}]},E=d;return E.variants[1].contains=[d],d.variants[1].contains=[E],{aliases:["kt"],keywords:n,contains:[e.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),e.C_LINE_COMMENT_MODE,o,{className:"keyword",begin:/\b(break|continue|return|this)\b/,starts:{contains:[{className:"symbol",begin:/@\w+/}]}},a,l,r,{className:"function",beginKeywords:"fun",end:"[(]|$",returnBegin:!0,excludeEnd:!0,keywords:n,illegal:/fun\s+(<.*>)?[^\s\(]+(\s+[^\s\(]+)\s*=/,relevance:5,contains:[{begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"type",begin:/</,end:/>/,keywords:"reified",relevance:0},{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:n,relevance:0,contains:[{begin:/:/,end:/[=,\/]/,endsWithParent:!0,contains:[d,e.C_LINE_COMMENT_MODE,o],relevance:0},e.C_LINE_COMMENT_MODE,o,l,r,t,e.C_NUMBER_MODE]},o]},{className:"class",beginKeywords:"class interface trait",end:/[:\{(]|$/,excludeEnd:!0,illegal:"extends implements",contains:[{beginKeywords:"public protected internal private constructor"},e.UNDERSCORE_TITLE_MODE,{className:"type",begin:/</,end:/>/,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:/[,:]\s*/,end:/[<\(,]|$/,excludeBegin:!0,returnEnd:!0},l,r]},t,{className:"meta",begin:"^#!/usr/bin/env",end:"$",illegal:"\n"},c]}}}}]);
+//# sourceMappingURL=kotlin.js.map?v=908502cdb9c2f5fa2f14 \ No newline at end of file
diff --git a/js/highlight/kotlin.js.map b/js/highlight/kotlin.js.map
index 9ff511521..4f7c421cc 100644
--- a/js/highlight/kotlin.js.map
+++ b/js/highlight/kotlin.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/kotlin.js"],"names":["module","exports","hljs","KEYWORDS","keyword","built_in","literal","LABEL","className","begin","UNDERSCORE_IDENT_RE","SUBST","end","contains","C_NUMBER_MODE","VARIABLE","STRING","variants","illegal","BACKSLASH_ESCAPE","push","ANNOTATION_USE_SITE","ANNOTATION","inherit","KOTLIN_NUMBER_MODE","relevance","KOTLIN_NESTED_COMMENT","COMMENT","C_BLOCK_COMMENT_MODE","KOTLIN_PAREN_TYPE","KOTLIN_PAREN_TYPE2","aliases","keywords","C_LINE_COMMENT_MODE","starts","beginKeywords","returnBegin","excludeEnd","UNDERSCORE_TITLE_MODE","endsParent","endsWithParent","excludeBegin","returnEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QACE,gbAOFC,SACE,kEACFC,QACE,mBAcAC,EAAQ,CACVC,UAAW,SAAUC,MAAOP,EAAKQ,oBAAsB,KAIrDC,EAAQ,CACVH,UAAW,QACXC,MAAO,OAAQG,IAAK,IAAKC,SAAU,CAACX,EAAKY,gBAEvCC,EAAW,CACbP,UAAW,WAAYC,MAAO,MAAQP,EAAKQ,qBAEzCM,EAAS,CACXR,UAAW,SACXS,SAAU,CACR,CACER,MAAO,MAAOG,IAAK,MACnBC,SAAU,CAACE,EAAUJ,IAKvB,CACEF,MAAO,IAAMG,IAAK,IAClBM,QAAS,KACTL,SAAU,CAACX,EAAKiB,mBAElB,CACEV,MAAO,IAAKG,IAAK,IACjBM,QAAS,KACTL,SAAU,CAACX,EAAKiB,iBAAkBJ,EAAUJ,MAIlDA,EAAME,SAASO,KAAKJ,GAEpB,IAAIK,EAAsB,CACxBb,UAAW,OAAQC,MAAO,gFAAkFP,EAAKQ,oBAAsB,MAErIY,EAAa,CACfd,UAAW,OAAQC,MAAO,IAAMP,EAAKQ,oBACrCG,SAAU,CACR,CACEJ,MAAO,KAAMG,IAAK,KAClBC,SAAU,CACRX,EAAKqB,QAAQP,EAAQ,CAACR,UAAW,oBAuBrCgB,EAAqB,CACvBhB,UAAW,SACXC,MAhBqB,uNAiBrBgB,UAAW,GAETC,EAAwBxB,EAAKyB,QAC/B,OAAQ,OACR,CAAEd,SAAU,CAAEX,EAAK0B,wBAEjBC,EAAoB,CACtBZ,SAAU,CACX,CAAET,UAAW,OACXC,MAAOP,EAAKQ,qBAEd,CAAED,MAAO,KAAMG,IAAK,KAClBC,SAAU,MAITiB,EAAqBD,EAIzB,OAHAC,EAAmBb,SAAS,GAAGJ,SAAW,CAAEgB,GAC5CA,EAAkBZ,SAAS,GAAGJ,SAAW,CAAEiB,GAEpC,CACLC,QAAS,CAAC,MACVC,SAAU7B,EACVU,SAAW,CACTX,EAAKyB,QACH,UACA,OACA,CACEF,UAAY,EACZZ,SAAW,CAAC,CACVL,UAAY,SACZC,MAAQ,iBAIdP,EAAK+B,oBACLP,EAvHsB,CACxBlB,UAAW,UACXC,MAAO,mCACPyB,OAAQ,CACNrB,SAAU,CACR,CACEL,UAAW,SACXC,MAAO,WAkHXF,EACAc,EACAC,EACA,CACEd,UAAW,WACX2B,cAAe,MAAOvB,IAAK,QAC3BwB,aAAa,EACbC,YAAY,EACZL,SAAU7B,EACVe,QAAS,yCACTO,UAAW,EACXZ,SAAU,CACR,CACEJ,MAAOP,EAAKQ,oBAAsB,UAAW0B,aAAa,EAC1DX,UAAW,EACXZ,SAAU,CAACX,EAAKoC,wBAElB,CACE9B,UAAW,OACXC,MAAO,IAAKG,IAAK,IAAKoB,SAAU,UAChCP,UAAW,GAEb,CACEjB,UAAW,SACXC,MAAO,KAAMG,IAAK,KAClB2B,YAAY,EACZP,SAAU7B,EACVsB,UAAW,EACXZ,SAAU,CACR,CACEJ,MAAO,IAAKG,IAAK,SAAU4B,gBAAgB,EAC3C3B,SAAU,CACRgB,EACA3B,EAAK+B,oBACLP,GAEFD,UAAW,GAEbvB,EAAK+B,oBACLP,EACAL,EACAC,EACAN,EACAd,EAAKY,gBAGTY,IAGJ,CACElB,UAAW,QACX2B,cAAe,wBAAyBvB,IAAK,WAC7CyB,YAAY,EACZnB,QAAS,qBACTL,SAAU,CACR,CAACsB,cAAe,iDAChBjC,EAAKoC,sBACL,CACE9B,UAAW,OACXC,MAAO,IAAKG,IAAK,IAAK6B,cAAc,EAAMJ,YAAY,EACtDZ,UAAW,GAEb,CACEjB,UAAW,OACXC,MAAO,UAAWG,IAAK,WAAY6B,cAAc,EAAMC,WAAW,GAEpErB,EACAC,IAGJN,EACA,CACER,UAAW,OACXC,MAAO,kBAAmBG,IAAK,IAC/BM,QAAS,MAEXM","file":"highlight/kotlin.js?v=8db560e208052754ae35","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n 'abstract as val var vararg get set class object open private protected public noinline ' +\n 'crossinline dynamic final enum if else do while for when throw try catch finally ' +\n 'import package is in fun override companion reified inline lateinit init ' +\n 'interface annotation data sealed internal infix operator out by constructor super ' +\n 'tailrec where const inner suspend typealias external expect actual ' +\n // to be deleted soon\n 'trait volatile transient native default',\n built_in:\n 'Byte Short Char Int Long Boolean Float Double Void Unit Nothing',\n literal:\n 'true false null'\n };\n var KEYWORDS_WITH_LABEL = {\n className: 'keyword',\n begin: /\\b(break|continue|return|this)\\b/,\n starts: {\n contains: [\n {\n className: 'symbol',\n begin: /@\\w+/\n }\n ]\n }\n };\n var LABEL = {\n className: 'symbol', begin: hljs.UNDERSCORE_IDENT_RE + '@'\n };\n\n // for string templates\n var SUBST = {\n className: 'subst',\n begin: '\\\\${', end: '}', contains: [hljs.C_NUMBER_MODE]\n };\n var VARIABLE = {\n className: 'variable', begin: '\\\\$' + hljs.UNDERSCORE_IDENT_RE\n };\n var STRING = {\n className: 'string',\n variants: [\n {\n begin: '\"\"\"', end: '\"\"\"',\n contains: [VARIABLE, SUBST]\n },\n // Can't use built-in modes easily, as we want to use STRING in the meta\n // context as 'meta-string' and there's no syntax to remove explicitly set\n // classNames in built-in modes.\n {\n begin: '\\'', end: '\\'',\n illegal: /\\n/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '\"', end: '\"',\n illegal: /\\n/,\n contains: [hljs.BACKSLASH_ESCAPE, VARIABLE, SUBST]\n }\n ]\n };\n SUBST.contains.push(STRING)\n\n var ANNOTATION_USE_SITE = {\n className: 'meta', begin: '@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\\\s*:(?:\\\\s*' + hljs.UNDERSCORE_IDENT_RE + ')?'\n };\n var ANNOTATION = {\n className: 'meta', begin: '@' + hljs.UNDERSCORE_IDENT_RE,\n contains: [\n {\n begin: /\\(/, end: /\\)/,\n contains: [\n hljs.inherit(STRING, {className: 'meta-string'})\n ]\n }\n ]\n };\n\n // https://kotlinlang.org/docs/reference/whatsnew11.html#underscores-in-numeric-literals\n // According to the doc above, the number mode of kotlin is the same as java 8,\n // so the code below is copied from java.js\n var KOTLIN_NUMBER_RE = '\\\\b' +\n '(' +\n '0[bB]([01]+[01_]+[01]+|[01]+)' + // 0b...\n '|' +\n '0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)' + // 0x...\n '|' +\n '(' +\n '([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)(\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))?' +\n '|' +\n '\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)' +\n ')' +\n '([eE][-+]?\\\\d+)?' + // octal, decimal, float\n ')' +\n '[lLfF]?';\n var KOTLIN_NUMBER_MODE = {\n className: 'number',\n begin: KOTLIN_NUMBER_RE,\n relevance: 0\n };\n var KOTLIN_NESTED_COMMENT = hljs.COMMENT(\n '/\\\\*', '\\\\*/',\n { contains: [ hljs.C_BLOCK_COMMENT_MODE ] }\n );\n var KOTLIN_PAREN_TYPE = {\n variants: [\n\t { className: 'type',\n\t begin: hljs.UNDERSCORE_IDENT_RE\n\t },\n\t { begin: /\\(/, end: /\\)/,\n\t contains: [] //defined later\n\t }\n\t]\n };\n var KOTLIN_PAREN_TYPE2 = KOTLIN_PAREN_TYPE;\n KOTLIN_PAREN_TYPE2.variants[1].contains = [ KOTLIN_PAREN_TYPE ];\n KOTLIN_PAREN_TYPE.variants[1].contains = [ KOTLIN_PAREN_TYPE2 ];\n\n return {\n aliases: ['kt'],\n keywords: KEYWORDS,\n contains : [\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/',\n {\n relevance : 0,\n contains : [{\n className : 'doctag',\n begin : '@[A-Za-z]+'\n }]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n KOTLIN_NESTED_COMMENT,\n KEYWORDS_WITH_LABEL,\n LABEL,\n ANNOTATION_USE_SITE,\n ANNOTATION,\n {\n className: 'function',\n beginKeywords: 'fun', end: '[(]|$',\n returnBegin: true,\n excludeEnd: true,\n keywords: KEYWORDS,\n illegal: /fun\\s+(<.*>)?[^\\s\\(]+(\\s+[^\\s\\(]+)\\s*=/,\n relevance: 5,\n contains: [\n {\n begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(', returnBegin: true,\n relevance: 0,\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n className: 'type',\n begin: /</, end: />/, keywords: 'reified',\n relevance: 0\n },\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n endsParent: true,\n keywords: KEYWORDS,\n relevance: 0,\n contains: [\n {\n begin: /:/, end: /[=,\\/]/, endsWithParent: true,\n contains: [\n KOTLIN_PAREN_TYPE,\n hljs.C_LINE_COMMENT_MODE,\n KOTLIN_NESTED_COMMENT\n ],\n relevance: 0\n },\n hljs.C_LINE_COMMENT_MODE,\n KOTLIN_NESTED_COMMENT,\n ANNOTATION_USE_SITE,\n ANNOTATION,\n STRING,\n hljs.C_NUMBER_MODE\n ]\n },\n KOTLIN_NESTED_COMMENT\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class interface trait', end: /[:\\{(]|$/, // remove 'trait' when removed from KEYWORDS\n excludeEnd: true,\n illegal: 'extends implements',\n contains: [\n {beginKeywords: 'public protected internal private constructor'},\n hljs.UNDERSCORE_TITLE_MODE,\n {\n className: 'type',\n begin: /</, end: />/, excludeBegin: true, excludeEnd: true,\n relevance: 0\n },\n {\n className: 'type',\n begin: /[,:]\\s*/, end: /[<\\(,]|$/, excludeBegin: true, returnEnd: true\n },\n ANNOTATION_USE_SITE,\n ANNOTATION\n ]\n },\n STRING,\n {\n className: 'meta',\n begin: \"^#!/usr/bin/env\", end: '$',\n illegal: '\\n'\n },\n KOTLIN_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/kotlin.js"],"names":["module","exports","hljs","KEYWORDS","keyword","built_in","literal","LABEL","className","begin","UNDERSCORE_IDENT_RE","SUBST","end","contains","C_NUMBER_MODE","VARIABLE","STRING","variants","illegal","BACKSLASH_ESCAPE","push","ANNOTATION_USE_SITE","ANNOTATION","inherit","KOTLIN_NUMBER_MODE","relevance","KOTLIN_NESTED_COMMENT","COMMENT","C_BLOCK_COMMENT_MODE","KOTLIN_PAREN_TYPE","KOTLIN_PAREN_TYPE2","aliases","keywords","C_LINE_COMMENT_MODE","starts","beginKeywords","returnBegin","excludeEnd","UNDERSCORE_TITLE_MODE","endsParent","endsWithParent","excludeBegin","returnEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QACE,gbAOFC,SACE,kEACFC,QACE,mBAcAC,EAAQ,CACVC,UAAW,SAAUC,MAAOP,EAAKQ,oBAAsB,KAIrDC,EAAQ,CACVH,UAAW,QACXC,MAAO,OAAQG,IAAK,IAAKC,SAAU,CAACX,EAAKY,gBAEvCC,EAAW,CACbP,UAAW,WAAYC,MAAO,MAAQP,EAAKQ,qBAEzCM,EAAS,CACXR,UAAW,SACXS,SAAU,CACR,CACER,MAAO,MAAOG,IAAK,cACnBC,SAAU,CAACE,EAAUJ,IAKvB,CACEF,MAAO,IAAMG,IAAK,IAClBM,QAAS,KACTL,SAAU,CAACX,EAAKiB,mBAElB,CACEV,MAAO,IAAKG,IAAK,IACjBM,QAAS,KACTL,SAAU,CAACX,EAAKiB,iBAAkBJ,EAAUJ,MAIlDA,EAAME,SAASO,KAAKJ,GAEpB,IAAIK,EAAsB,CACxBb,UAAW,OAAQC,MAAO,gFAAkFP,EAAKQ,oBAAsB,MAErIY,EAAa,CACfd,UAAW,OAAQC,MAAO,IAAMP,EAAKQ,oBACrCG,SAAU,CACR,CACEJ,MAAO,KAAMG,IAAK,KAClBC,SAAU,CACRX,EAAKqB,QAAQP,EAAQ,CAACR,UAAW,oBAuBrCgB,EAAqB,CACvBhB,UAAW,SACXC,MAhBqB,uNAiBrBgB,UAAW,GAETC,EAAwBxB,EAAKyB,QAC/B,OAAQ,OACR,CAAEd,SAAU,CAAEX,EAAK0B,wBAEjBC,EAAoB,CACtBZ,SAAU,CACX,CAAET,UAAW,OACXC,MAAOP,EAAKQ,qBAEd,CAAED,MAAO,KAAMG,IAAK,KAClBC,SAAU,MAITiB,EAAqBD,EAIzB,OAHAC,EAAmBb,SAAS,GAAGJ,SAAW,CAAEgB,GAC5CA,EAAkBZ,SAAS,GAAGJ,SAAW,CAAEiB,GAEpC,CACLC,QAAS,CAAC,MACVC,SAAU7B,EACVU,SAAW,CACTX,EAAKyB,QACH,UACA,OACA,CACEF,UAAY,EACZZ,SAAW,CAAC,CACVL,UAAY,SACZC,MAAQ,iBAIdP,EAAK+B,oBACLP,EAvHsB,CACxBlB,UAAW,UACXC,MAAO,mCACPyB,OAAQ,CACNrB,SAAU,CACR,CACEL,UAAW,SACXC,MAAO,WAkHXF,EACAc,EACAC,EACA,CACEd,UAAW,WACX2B,cAAe,MAAOvB,IAAK,QAC3BwB,aAAa,EACbC,YAAY,EACZL,SAAU7B,EACVe,QAAS,yCACTO,UAAW,EACXZ,SAAU,CACR,CACEJ,MAAOP,EAAKQ,oBAAsB,UAAW0B,aAAa,EAC1DX,UAAW,EACXZ,SAAU,CAACX,EAAKoC,wBAElB,CACE9B,UAAW,OACXC,MAAO,IAAKG,IAAK,IAAKoB,SAAU,UAChCP,UAAW,GAEb,CACEjB,UAAW,SACXC,MAAO,KAAMG,IAAK,KAClB2B,YAAY,EACZP,SAAU7B,EACVsB,UAAW,EACXZ,SAAU,CACR,CACEJ,MAAO,IAAKG,IAAK,SAAU4B,gBAAgB,EAC3C3B,SAAU,CACRgB,EACA3B,EAAK+B,oBACLP,GAEFD,UAAW,GAEbvB,EAAK+B,oBACLP,EACAL,EACAC,EACAN,EACAd,EAAKY,gBAGTY,IAGJ,CACElB,UAAW,QACX2B,cAAe,wBAAyBvB,IAAK,WAC7CyB,YAAY,EACZnB,QAAS,qBACTL,SAAU,CACR,CAACsB,cAAe,iDAChBjC,EAAKoC,sBACL,CACE9B,UAAW,OACXC,MAAO,IAAKG,IAAK,IAAK6B,cAAc,EAAMJ,YAAY,EACtDZ,UAAW,GAEb,CACEjB,UAAW,OACXC,MAAO,UAAWG,IAAK,WAAY6B,cAAc,EAAMC,WAAW,GAEpErB,EACAC,IAGJN,EACA,CACER,UAAW,OACXC,MAAO,kBAAmBG,IAAK,IAC/BM,QAAS,MAEXM","file":"highlight/kotlin.js?v=908502cdb9c2f5fa2f14","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n 'abstract as val var vararg get set class object open private protected public noinline ' +\n 'crossinline dynamic final enum if else do while for when throw try catch finally ' +\n 'import package is in fun override companion reified inline lateinit init ' +\n 'interface annotation data sealed internal infix operator out by constructor super ' +\n 'tailrec where const inner suspend typealias external expect actual ' +\n // to be deleted soon\n 'trait volatile transient native default',\n built_in:\n 'Byte Short Char Int Long Boolean Float Double Void Unit Nothing',\n literal:\n 'true false null'\n };\n var KEYWORDS_WITH_LABEL = {\n className: 'keyword',\n begin: /\\b(break|continue|return|this)\\b/,\n starts: {\n contains: [\n {\n className: 'symbol',\n begin: /@\\w+/\n }\n ]\n }\n };\n var LABEL = {\n className: 'symbol', begin: hljs.UNDERSCORE_IDENT_RE + '@'\n };\n\n // for string templates\n var SUBST = {\n className: 'subst',\n begin: '\\\\${', end: '}', contains: [hljs.C_NUMBER_MODE]\n };\n var VARIABLE = {\n className: 'variable', begin: '\\\\$' + hljs.UNDERSCORE_IDENT_RE\n };\n var STRING = {\n className: 'string',\n variants: [\n {\n begin: '\"\"\"', end: '\"\"\"(?=[^\"])',\n contains: [VARIABLE, SUBST]\n },\n // Can't use built-in modes easily, as we want to use STRING in the meta\n // context as 'meta-string' and there's no syntax to remove explicitly set\n // classNames in built-in modes.\n {\n begin: '\\'', end: '\\'',\n illegal: /\\n/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '\"', end: '\"',\n illegal: /\\n/,\n contains: [hljs.BACKSLASH_ESCAPE, VARIABLE, SUBST]\n }\n ]\n };\n SUBST.contains.push(STRING)\n\n var ANNOTATION_USE_SITE = {\n className: 'meta', begin: '@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\\\s*:(?:\\\\s*' + hljs.UNDERSCORE_IDENT_RE + ')?'\n };\n var ANNOTATION = {\n className: 'meta', begin: '@' + hljs.UNDERSCORE_IDENT_RE,\n contains: [\n {\n begin: /\\(/, end: /\\)/,\n contains: [\n hljs.inherit(STRING, {className: 'meta-string'})\n ]\n }\n ]\n };\n\n // https://kotlinlang.org/docs/reference/whatsnew11.html#underscores-in-numeric-literals\n // According to the doc above, the number mode of kotlin is the same as java 8,\n // so the code below is copied from java.js\n var KOTLIN_NUMBER_RE = '\\\\b' +\n '(' +\n '0[bB]([01]+[01_]+[01]+|[01]+)' + // 0b...\n '|' +\n '0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)' + // 0x...\n '|' +\n '(' +\n '([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)(\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))?' +\n '|' +\n '\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)' +\n ')' +\n '([eE][-+]?\\\\d+)?' + // octal, decimal, float\n ')' +\n '[lLfF]?';\n var KOTLIN_NUMBER_MODE = {\n className: 'number',\n begin: KOTLIN_NUMBER_RE,\n relevance: 0\n };\n var KOTLIN_NESTED_COMMENT = hljs.COMMENT(\n '/\\\\*', '\\\\*/',\n { contains: [ hljs.C_BLOCK_COMMENT_MODE ] }\n );\n var KOTLIN_PAREN_TYPE = {\n variants: [\n\t { className: 'type',\n\t begin: hljs.UNDERSCORE_IDENT_RE\n\t },\n\t { begin: /\\(/, end: /\\)/,\n\t contains: [] //defined later\n\t }\n\t]\n };\n var KOTLIN_PAREN_TYPE2 = KOTLIN_PAREN_TYPE;\n KOTLIN_PAREN_TYPE2.variants[1].contains = [ KOTLIN_PAREN_TYPE ];\n KOTLIN_PAREN_TYPE.variants[1].contains = [ KOTLIN_PAREN_TYPE2 ];\n\n return {\n aliases: ['kt'],\n keywords: KEYWORDS,\n contains : [\n hljs.COMMENT(\n '/\\\\*\\\\*',\n '\\\\*/',\n {\n relevance : 0,\n contains : [{\n className : 'doctag',\n begin : '@[A-Za-z]+'\n }]\n }\n ),\n hljs.C_LINE_COMMENT_MODE,\n KOTLIN_NESTED_COMMENT,\n KEYWORDS_WITH_LABEL,\n LABEL,\n ANNOTATION_USE_SITE,\n ANNOTATION,\n {\n className: 'function',\n beginKeywords: 'fun', end: '[(]|$',\n returnBegin: true,\n excludeEnd: true,\n keywords: KEYWORDS,\n illegal: /fun\\s+(<.*>)?[^\\s\\(]+(\\s+[^\\s\\(]+)\\s*=/,\n relevance: 5,\n contains: [\n {\n begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s*\\\\(', returnBegin: true,\n relevance: 0,\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n className: 'type',\n begin: /</, end: />/, keywords: 'reified',\n relevance: 0\n },\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n endsParent: true,\n keywords: KEYWORDS,\n relevance: 0,\n contains: [\n {\n begin: /:/, end: /[=,\\/]/, endsWithParent: true,\n contains: [\n KOTLIN_PAREN_TYPE,\n hljs.C_LINE_COMMENT_MODE,\n KOTLIN_NESTED_COMMENT\n ],\n relevance: 0\n },\n hljs.C_LINE_COMMENT_MODE,\n KOTLIN_NESTED_COMMENT,\n ANNOTATION_USE_SITE,\n ANNOTATION,\n STRING,\n hljs.C_NUMBER_MODE\n ]\n },\n KOTLIN_NESTED_COMMENT\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class interface trait', end: /[:\\{(]|$/, // remove 'trait' when removed from KEYWORDS\n excludeEnd: true,\n illegal: 'extends implements',\n contains: [\n {beginKeywords: 'public protected internal private constructor'},\n hljs.UNDERSCORE_TITLE_MODE,\n {\n className: 'type',\n begin: /</, end: />/, excludeBegin: true, excludeEnd: true,\n relevance: 0\n },\n {\n className: 'type',\n begin: /[,:]\\s*/, end: /[<\\(,]|$/, excludeBegin: true, returnEnd: true\n },\n ANNOTATION_USE_SITE,\n ANNOTATION\n ]\n },\n STRING,\n {\n className: 'meta',\n begin: \"^#!/usr/bin/env\", end: '$',\n illegal: '\\n'\n },\n KOTLIN_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/lasso.js b/js/highlight/lasso.js
index 00bb85362..00fba3459 100644
--- a/js/highlight/lasso.js
+++ b/js/highlight/lasso.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[91],{457:function(e,a){e.exports=function(e){var a="\\]|\\?>",n={literal:"true false none minimal full all void and or not bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft",built_in:"array date decimal duration integer map pair string tag xml null boolean bytes keyword list locale queue set stack staticarray local var variable global data self inherited currentcapture givenblock",keyword:"cache database_names database_schemanames database_tablenames define_tag define_type email_batch encode_set html_comment handle handle_error header if inline iterate ljax_target link link_currentaction link_currentgroup link_currentrecord link_detail link_firstgroup link_firstrecord link_lastgroup link_lastrecord link_nextgroup link_nextrecord link_prevgroup link_prevrecord log loop namespace_using output_none portal private protect records referer referrer repeating resultset rows search_args search_arguments select sort_args sort_arguments thread_atomic value_list while abort case else fail_if fail_ifnot fail if_empty if_false if_null if_true loop_abort loop_continue loop_count params params_up return return_value run_children soap_definetag soap_lastrequest soap_lastresponse tag_name ascending average by define descending do equals frozen group handle_failure import in into join let match max min on order parent protected provide public require returnhome skip split_thread sum take thread to trait type where with yield yieldhome"},r=e.COMMENT("\x3c!--","--\x3e",{relevance:0}),t={className:"meta",begin:"\\[noprocess\\]",starts:{end:"\\[/noprocess\\]",returnEnd:!0,contains:[r]}},s={className:"meta",begin:"\\[/noprocess|<\\?(lasso(script)?|=)"},i={className:"symbol",begin:"'[a-zA-Z_][\\w.]*'"},l=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.inherit(e.C_NUMBER_MODE,{begin:e.C_NUMBER_RE+"|(-?infinity|NaN)\\b"}),e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"`",end:"`"},{variants:[{begin:"[#$][a-zA-Z_][\\w.]*"},{begin:"#",end:"\\d+",illegal:"\\W"}]},{className:"type",begin:"::\\s*",end:"[a-zA-Z_][\\w.]*",illegal:"\\W"},{className:"params",variants:[{begin:"-(?!infinity)[a-zA-Z_][\\w.]*",relevance:0},{begin:"(\\.\\.\\.)"}]},{begin:/(->|\.)\s*/,relevance:0,contains:[i]},{className:"class",beginKeywords:"define",returnEnd:!0,end:"\\(|=>",contains:[e.inherit(e.TITLE_MODE,{begin:"[a-zA-Z_][\\w.]*(=(?!>))?|[-+*/%](?!>)"})]}];return{aliases:["ls","lassoscript"],case_insensitive:!0,lexemes:"[a-zA-Z_][\\w.]*|&[lg]t;",keywords:n,contains:[{className:"meta",begin:a,relevance:0,starts:{end:"\\[|<\\?(lasso(script)?|=)",returnEnd:!0,relevance:0,contains:[r]}},t,s,{className:"meta",begin:"\\[no_square_brackets",starts:{end:"\\[/no_square_brackets\\]",lexemes:"[a-zA-Z_][\\w.]*|&[lg]t;",keywords:n,contains:[{className:"meta",begin:a,relevance:0,starts:{end:"\\[noprocess\\]|<\\?(lasso(script)?|=)",returnEnd:!0,contains:[r]}},t,s].concat(l)}},{className:"meta",begin:"\\[",relevance:0},{className:"meta",begin:"^#!",end:"lasso9$",relevance:10}].concat(l)}}}}]);
-//# sourceMappingURL=lasso.js.map?v=5d5ecb397905792cd093 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[91],{458:function(e,a){e.exports=function(e){var a="\\]|\\?>",n={literal:"true false none minimal full all void and or not bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft",built_in:"array date decimal duration integer map pair string tag xml null boolean bytes keyword list locale queue set stack staticarray local var variable global data self inherited currentcapture givenblock",keyword:"cache database_names database_schemanames database_tablenames define_tag define_type email_batch encode_set html_comment handle handle_error header if inline iterate ljax_target link link_currentaction link_currentgroup link_currentrecord link_detail link_firstgroup link_firstrecord link_lastgroup link_lastrecord link_nextgroup link_nextrecord link_prevgroup link_prevrecord log loop namespace_using output_none portal private protect records referer referrer repeating resultset rows search_args search_arguments select sort_args sort_arguments thread_atomic value_list while abort case else fail_if fail_ifnot fail if_empty if_false if_null if_true loop_abort loop_continue loop_count params params_up return return_value run_children soap_definetag soap_lastrequest soap_lastresponse tag_name ascending average by define descending do equals frozen group handle_failure import in into join let match max min on order parent protected provide public require returnhome skip split_thread sum take thread to trait type where with yield yieldhome"},r=e.COMMENT("\x3c!--","--\x3e",{relevance:0}),t={className:"meta",begin:"\\[noprocess\\]",starts:{end:"\\[/noprocess\\]",returnEnd:!0,contains:[r]}},s={className:"meta",begin:"\\[/noprocess|<\\?(lasso(script)?|=)"},i={className:"symbol",begin:"'[a-zA-Z_][\\w.]*'"},l=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.inherit(e.C_NUMBER_MODE,{begin:e.C_NUMBER_RE+"|(-?infinity|NaN)\\b"}),e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"`",end:"`"},{variants:[{begin:"[#$][a-zA-Z_][\\w.]*"},{begin:"#",end:"\\d+",illegal:"\\W"}]},{className:"type",begin:"::\\s*",end:"[a-zA-Z_][\\w.]*",illegal:"\\W"},{className:"params",variants:[{begin:"-(?!infinity)[a-zA-Z_][\\w.]*",relevance:0},{begin:"(\\.\\.\\.)"}]},{begin:/(->|\.)\s*/,relevance:0,contains:[i]},{className:"class",beginKeywords:"define",returnEnd:!0,end:"\\(|=>",contains:[e.inherit(e.TITLE_MODE,{begin:"[a-zA-Z_][\\w.]*(=(?!>))?|[-+*/%](?!>)"})]}];return{aliases:["ls","lassoscript"],case_insensitive:!0,lexemes:"[a-zA-Z_][\\w.]*|&[lg]t;",keywords:n,contains:[{className:"meta",begin:a,relevance:0,starts:{end:"\\[|<\\?(lasso(script)?|=)",returnEnd:!0,relevance:0,contains:[r]}},t,s,{className:"meta",begin:"\\[no_square_brackets",starts:{end:"\\[/no_square_brackets\\]",lexemes:"[a-zA-Z_][\\w.]*|&[lg]t;",keywords:n,contains:[{className:"meta",begin:a,relevance:0,starts:{end:"\\[noprocess\\]|<\\?(lasso(script)?|=)",returnEnd:!0,contains:[r]}},t,s].concat(l)}},{className:"meta",begin:"\\[",relevance:0},{className:"meta",begin:"^#!",end:"lasso9$",relevance:10}].concat(l)}}}}]);
+//# sourceMappingURL=lasso.js.map?v=bb9a0eb8fe9fc64468cd \ No newline at end of file
diff --git a/js/highlight/lasso.js.map b/js/highlight/lasso.js.map
index 279c08bb9..42c846d76 100644
--- a/js/highlight/lasso.js.map
+++ b/js/highlight/lasso.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/lasso.js"],"names":["module","exports","hljs","LASSO_CLOSE_RE","LASSO_KEYWORDS","literal","built_in","keyword","HTML_COMMENT","COMMENT","relevance","LASSO_NOPROCESS","className","begin","starts","end","returnEnd","contains","LASSO_START","LASSO_DATAMEMBER","LASSO_CODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","inherit","C_NUMBER_MODE","C_NUMBER_RE","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","variants","beginKeywords","TITLE_MODE","LASSO_IDENT_RE","aliases","case_insensitive","lexemes","keywords","concat"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAEIC,EAAiB,WACjBC,EAAiB,CACnBC,QACE,uGAEFC,SACE,yMAGFC,QACE,2hCAkBAC,EAAeN,EAAKO,QACtB,UACA,SACA,CACEC,UAAW,IAGXC,EAAkB,CACpBC,UAAW,OACXC,MAAO,kBACPC,OAAQ,CACNC,IAAK,mBACLC,WAAW,EACXC,SAAU,CAACT,KAGXU,EAAc,CAChBN,UAAW,OACXC,MAAO,wCAELM,EAAmB,CACrBP,UAAW,SACXC,MAAO,sBAELO,EAAa,CACflB,EAAKmB,oBACLnB,EAAKoB,qBACLpB,EAAKqB,QAAQrB,EAAKsB,cAAe,CAACX,MAAOX,EAAKuB,YAAc,yBAC5DvB,EAAKqB,QAAQrB,EAAKwB,iBAAkB,CAACC,QAAS,OAC9CzB,EAAKqB,QAAQrB,EAAK0B,kBAAmB,CAACD,QAAS,OAC/C,CACEf,UAAW,SACXC,MAAO,IAAKE,IAAK,KAEnB,CACEc,SAAU,CACR,CACEhB,MAAO,wBAET,CACEA,MAAO,IAAKE,IAAK,OACjBY,QAAS,SAIf,CACEf,UAAW,OACXC,MAAO,SAAUE,IA7EA,mBA8EjBY,QAAS,OAEX,CACEf,UAAW,SACXiB,SAAU,CACR,CACEhB,MAAO,gCACPH,UAAW,GAEb,CACEG,MAAO,iBAIb,CACEA,MAAO,aACPH,UAAW,EACXO,SAAU,CAACE,IAEb,CACEP,UAAW,QACXkB,cAAe,SACfd,WAAW,EAAMD,IAAK,SACtBE,SAAU,CACRf,EAAKqB,QAAQrB,EAAK6B,WAAY,CAAClB,MAAOmB,8CAI5C,MAAO,CACLC,QAAS,CAAC,KAAM,eAChBC,kBAAkB,EAClBC,QAASH,2BACTI,SAAUhC,EACVa,SAAU,CACR,CACEL,UAAW,OACXC,MAAOV,EACPO,UAAW,EACXI,OAAQ,CACNC,IAAK,6BACLC,WAAW,EACXN,UAAW,EACXO,SAAU,CAACT,KAGfG,EACAO,EACA,CACEN,UAAW,OACXC,MAAO,wBACPC,OAAQ,CACNC,IAAK,4BACLoB,QAASH,2BACTI,SAAUhC,EACVa,SAAU,CACR,CACEL,UAAW,OACXC,MAAOV,EACPO,UAAW,EACXI,OAAQ,CACNC,IAAK,yCACLC,WAAW,EACXC,SAAU,CAACT,KAGfG,EACAO,GACAmB,OAAOjB,KAGb,CACER,UAAW,OACXC,MAAO,MACPH,UAAW,GAEb,CACEE,UAAW,OACXC,MAAO,MAAOE,IAAI,UAClBL,UAAW,KAEb2B,OAAOjB","file":"highlight/lasso.js?v=5d5ecb397905792cd093","sourcesContent":["module.exports = function(hljs) {\n var LASSO_IDENT_RE = '[a-zA-Z_][\\\\w.]*';\n var LASSO_ANGLE_RE = '<\\\\?(lasso(script)?|=)';\n var LASSO_CLOSE_RE = '\\\\]|\\\\?>';\n var LASSO_KEYWORDS = {\n literal:\n 'true false none minimal full all void and or not ' +\n 'bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft',\n built_in:\n 'array date decimal duration integer map pair string tag xml null ' +\n 'boolean bytes keyword list locale queue set stack staticarray ' +\n 'local var variable global data self inherited currentcapture givenblock',\n keyword:\n 'cache database_names database_schemanames database_tablenames ' +\n 'define_tag define_type email_batch encode_set html_comment handle ' +\n 'handle_error header if inline iterate ljax_target link ' +\n 'link_currentaction link_currentgroup link_currentrecord link_detail ' +\n 'link_firstgroup link_firstrecord link_lastgroup link_lastrecord ' +\n 'link_nextgroup link_nextrecord link_prevgroup link_prevrecord log ' +\n 'loop namespace_using output_none portal private protect records ' +\n 'referer referrer repeating resultset rows search_args ' +\n 'search_arguments select sort_args sort_arguments thread_atomic ' +\n 'value_list while abort case else fail_if fail_ifnot fail if_empty ' +\n 'if_false if_null if_true loop_abort loop_continue loop_count params ' +\n 'params_up return return_value run_children soap_definetag ' +\n 'soap_lastrequest soap_lastresponse tag_name ascending average by ' +\n 'define descending do equals frozen group handle_failure import in ' +\n 'into join let match max min on order parent protected provide public ' +\n 'require returnhome skip split_thread sum take thread to trait type ' +\n 'where with yield yieldhome'\n };\n var HTML_COMMENT = hljs.COMMENT(\n '<!--',\n '-->',\n {\n relevance: 0\n }\n );\n var LASSO_NOPROCESS = {\n className: 'meta',\n begin: '\\\\[noprocess\\\\]',\n starts: {\n end: '\\\\[/noprocess\\\\]',\n returnEnd: true,\n contains: [HTML_COMMENT]\n }\n };\n var LASSO_START = {\n className: 'meta',\n begin: '\\\\[/noprocess|' + LASSO_ANGLE_RE\n };\n var LASSO_DATAMEMBER = {\n className: 'symbol',\n begin: '\\'' + LASSO_IDENT_RE + '\\''\n };\n var LASSO_CODE = [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.inherit(hljs.C_NUMBER_MODE, {begin: hljs.C_NUMBER_RE + '|(-?infinity|NaN)\\\\b'}),\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n {\n className: 'string',\n begin: '`', end: '`'\n },\n { // variables\n variants: [\n {\n begin: '[#$]' + LASSO_IDENT_RE\n },\n {\n begin: '#', end: '\\\\d+',\n illegal: '\\\\W'\n }\n ]\n },\n {\n className: 'type',\n begin: '::\\\\s*', end: LASSO_IDENT_RE,\n illegal: '\\\\W'\n },\n {\n className: 'params',\n variants: [\n {\n begin: '-(?!infinity)' + LASSO_IDENT_RE,\n relevance: 0\n },\n {\n begin: '(\\\\.\\\\.\\\\.)'\n }\n ]\n },\n {\n begin: /(->|\\.)\\s*/,\n relevance: 0,\n contains: [LASSO_DATAMEMBER]\n },\n {\n className: 'class',\n beginKeywords: 'define',\n returnEnd: true, end: '\\\\(|=>',\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: LASSO_IDENT_RE + '(=(?!>))?|[-+*/%](?!>)'})\n ]\n }\n ];\n return {\n aliases: ['ls', 'lassoscript'],\n case_insensitive: true,\n lexemes: LASSO_IDENT_RE + '|&[lg]t;',\n keywords: LASSO_KEYWORDS,\n contains: [\n {\n className: 'meta',\n begin: LASSO_CLOSE_RE,\n relevance: 0,\n starts: { // markup\n end: '\\\\[|' + LASSO_ANGLE_RE,\n returnEnd: true,\n relevance: 0,\n contains: [HTML_COMMENT]\n }\n },\n LASSO_NOPROCESS,\n LASSO_START,\n {\n className: 'meta',\n begin: '\\\\[no_square_brackets',\n starts: {\n end: '\\\\[/no_square_brackets\\\\]', // not implemented in the language\n lexemes: LASSO_IDENT_RE + '|&[lg]t;',\n keywords: LASSO_KEYWORDS,\n contains: [\n {\n className: 'meta',\n begin: LASSO_CLOSE_RE,\n relevance: 0,\n starts: {\n end: '\\\\[noprocess\\\\]|' + LASSO_ANGLE_RE,\n returnEnd: true,\n contains: [HTML_COMMENT]\n }\n },\n LASSO_NOPROCESS,\n LASSO_START\n ].concat(LASSO_CODE)\n }\n },\n {\n className: 'meta',\n begin: '\\\\[',\n relevance: 0\n },\n {\n className: 'meta',\n begin: '^#!', end:'lasso9$',\n relevance: 10\n }\n ].concat(LASSO_CODE)\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/lasso.js"],"names":["module","exports","hljs","LASSO_CLOSE_RE","LASSO_KEYWORDS","literal","built_in","keyword","HTML_COMMENT","COMMENT","relevance","LASSO_NOPROCESS","className","begin","starts","end","returnEnd","contains","LASSO_START","LASSO_DATAMEMBER","LASSO_CODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","inherit","C_NUMBER_MODE","C_NUMBER_RE","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","variants","beginKeywords","TITLE_MODE","LASSO_IDENT_RE","aliases","case_insensitive","lexemes","keywords","concat"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAEIC,EAAiB,WACjBC,EAAiB,CACnBC,QACE,uGAEFC,SACE,yMAGFC,QACE,2hCAkBAC,EAAeN,EAAKO,QACtB,UACA,SACA,CACEC,UAAW,IAGXC,EAAkB,CACpBC,UAAW,OACXC,MAAO,kBACPC,OAAQ,CACNC,IAAK,mBACLC,WAAW,EACXC,SAAU,CAACT,KAGXU,EAAc,CAChBN,UAAW,OACXC,MAAO,wCAELM,EAAmB,CACrBP,UAAW,SACXC,MAAO,sBAELO,EAAa,CACflB,EAAKmB,oBACLnB,EAAKoB,qBACLpB,EAAKqB,QAAQrB,EAAKsB,cAAe,CAACX,MAAOX,EAAKuB,YAAc,yBAC5DvB,EAAKqB,QAAQrB,EAAKwB,iBAAkB,CAACC,QAAS,OAC9CzB,EAAKqB,QAAQrB,EAAK0B,kBAAmB,CAACD,QAAS,OAC/C,CACEf,UAAW,SACXC,MAAO,IAAKE,IAAK,KAEnB,CACEc,SAAU,CACR,CACEhB,MAAO,wBAET,CACEA,MAAO,IAAKE,IAAK,OACjBY,QAAS,SAIf,CACEf,UAAW,OACXC,MAAO,SAAUE,IA7EA,mBA8EjBY,QAAS,OAEX,CACEf,UAAW,SACXiB,SAAU,CACR,CACEhB,MAAO,gCACPH,UAAW,GAEb,CACEG,MAAO,iBAIb,CACEA,MAAO,aACPH,UAAW,EACXO,SAAU,CAACE,IAEb,CACEP,UAAW,QACXkB,cAAe,SACfd,WAAW,EAAMD,IAAK,SACtBE,SAAU,CACRf,EAAKqB,QAAQrB,EAAK6B,WAAY,CAAClB,MAAOmB,8CAI5C,MAAO,CACLC,QAAS,CAAC,KAAM,eAChBC,kBAAkB,EAClBC,QAASH,2BACTI,SAAUhC,EACVa,SAAU,CACR,CACEL,UAAW,OACXC,MAAOV,EACPO,UAAW,EACXI,OAAQ,CACNC,IAAK,6BACLC,WAAW,EACXN,UAAW,EACXO,SAAU,CAACT,KAGfG,EACAO,EACA,CACEN,UAAW,OACXC,MAAO,wBACPC,OAAQ,CACNC,IAAK,4BACLoB,QAASH,2BACTI,SAAUhC,EACVa,SAAU,CACR,CACEL,UAAW,OACXC,MAAOV,EACPO,UAAW,EACXI,OAAQ,CACNC,IAAK,yCACLC,WAAW,EACXC,SAAU,CAACT,KAGfG,EACAO,GACAmB,OAAOjB,KAGb,CACER,UAAW,OACXC,MAAO,MACPH,UAAW,GAEb,CACEE,UAAW,OACXC,MAAO,MAAOE,IAAI,UAClBL,UAAW,KAEb2B,OAAOjB","file":"highlight/lasso.js?v=bb9a0eb8fe9fc64468cd","sourcesContent":["module.exports = function(hljs) {\n var LASSO_IDENT_RE = '[a-zA-Z_][\\\\w.]*';\n var LASSO_ANGLE_RE = '<\\\\?(lasso(script)?|=)';\n var LASSO_CLOSE_RE = '\\\\]|\\\\?>';\n var LASSO_KEYWORDS = {\n literal:\n 'true false none minimal full all void and or not ' +\n 'bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft',\n built_in:\n 'array date decimal duration integer map pair string tag xml null ' +\n 'boolean bytes keyword list locale queue set stack staticarray ' +\n 'local var variable global data self inherited currentcapture givenblock',\n keyword:\n 'cache database_names database_schemanames database_tablenames ' +\n 'define_tag define_type email_batch encode_set html_comment handle ' +\n 'handle_error header if inline iterate ljax_target link ' +\n 'link_currentaction link_currentgroup link_currentrecord link_detail ' +\n 'link_firstgroup link_firstrecord link_lastgroup link_lastrecord ' +\n 'link_nextgroup link_nextrecord link_prevgroup link_prevrecord log ' +\n 'loop namespace_using output_none portal private protect records ' +\n 'referer referrer repeating resultset rows search_args ' +\n 'search_arguments select sort_args sort_arguments thread_atomic ' +\n 'value_list while abort case else fail_if fail_ifnot fail if_empty ' +\n 'if_false if_null if_true loop_abort loop_continue loop_count params ' +\n 'params_up return return_value run_children soap_definetag ' +\n 'soap_lastrequest soap_lastresponse tag_name ascending average by ' +\n 'define descending do equals frozen group handle_failure import in ' +\n 'into join let match max min on order parent protected provide public ' +\n 'require returnhome skip split_thread sum take thread to trait type ' +\n 'where with yield yieldhome'\n };\n var HTML_COMMENT = hljs.COMMENT(\n '<!--',\n '-->',\n {\n relevance: 0\n }\n );\n var LASSO_NOPROCESS = {\n className: 'meta',\n begin: '\\\\[noprocess\\\\]',\n starts: {\n end: '\\\\[/noprocess\\\\]',\n returnEnd: true,\n contains: [HTML_COMMENT]\n }\n };\n var LASSO_START = {\n className: 'meta',\n begin: '\\\\[/noprocess|' + LASSO_ANGLE_RE\n };\n var LASSO_DATAMEMBER = {\n className: 'symbol',\n begin: '\\'' + LASSO_IDENT_RE + '\\''\n };\n var LASSO_CODE = [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.inherit(hljs.C_NUMBER_MODE, {begin: hljs.C_NUMBER_RE + '|(-?infinity|NaN)\\\\b'}),\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n {\n className: 'string',\n begin: '`', end: '`'\n },\n { // variables\n variants: [\n {\n begin: '[#$]' + LASSO_IDENT_RE\n },\n {\n begin: '#', end: '\\\\d+',\n illegal: '\\\\W'\n }\n ]\n },\n {\n className: 'type',\n begin: '::\\\\s*', end: LASSO_IDENT_RE,\n illegal: '\\\\W'\n },\n {\n className: 'params',\n variants: [\n {\n begin: '-(?!infinity)' + LASSO_IDENT_RE,\n relevance: 0\n },\n {\n begin: '(\\\\.\\\\.\\\\.)'\n }\n ]\n },\n {\n begin: /(->|\\.)\\s*/,\n relevance: 0,\n contains: [LASSO_DATAMEMBER]\n },\n {\n className: 'class',\n beginKeywords: 'define',\n returnEnd: true, end: '\\\\(|=>',\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: LASSO_IDENT_RE + '(=(?!>))?|[-+*/%](?!>)'})\n ]\n }\n ];\n return {\n aliases: ['ls', 'lassoscript'],\n case_insensitive: true,\n lexemes: LASSO_IDENT_RE + '|&[lg]t;',\n keywords: LASSO_KEYWORDS,\n contains: [\n {\n className: 'meta',\n begin: LASSO_CLOSE_RE,\n relevance: 0,\n starts: { // markup\n end: '\\\\[|' + LASSO_ANGLE_RE,\n returnEnd: true,\n relevance: 0,\n contains: [HTML_COMMENT]\n }\n },\n LASSO_NOPROCESS,\n LASSO_START,\n {\n className: 'meta',\n begin: '\\\\[no_square_brackets',\n starts: {\n end: '\\\\[/no_square_brackets\\\\]', // not implemented in the language\n lexemes: LASSO_IDENT_RE + '|&[lg]t;',\n keywords: LASSO_KEYWORDS,\n contains: [\n {\n className: 'meta',\n begin: LASSO_CLOSE_RE,\n relevance: 0,\n starts: {\n end: '\\\\[noprocess\\\\]|' + LASSO_ANGLE_RE,\n returnEnd: true,\n contains: [HTML_COMMENT]\n }\n },\n LASSO_NOPROCESS,\n LASSO_START\n ].concat(LASSO_CODE)\n }\n },\n {\n className: 'meta',\n begin: '\\\\[',\n relevance: 0\n },\n {\n className: 'meta',\n begin: '^#!', end:'lasso9$',\n relevance: 10\n }\n ].concat(LASSO_CODE)\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/ldif.js b/js/highlight/ldif.js
index cd40f5629..4be70c09b 100644
--- a/js/highlight/ldif.js
+++ b/js/highlight/ldif.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[92],{458:function(e,n){e.exports=function(e){return{contains:[{className:"attribute",begin:"^dn",end:": ",excludeEnd:!0,starts:{end:"$",relevance:0},relevance:10},{className:"attribute",begin:"^\\w",end:": ",excludeEnd:!0,starts:{end:"$",relevance:0}},{className:"literal",begin:"^-",end:"$"},e.HASH_COMMENT_MODE]}}}}]);
-//# sourceMappingURL=ldif.js.map?v=f0cb3fbeaac6d1eef3d4 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[92],{459:function(e,n){e.exports=function(e){return{contains:[{className:"attribute",begin:"^dn",end:": ",excludeEnd:!0,starts:{end:"$",relevance:0},relevance:10},{className:"attribute",begin:"^\\w",end:": ",excludeEnd:!0,starts:{end:"$",relevance:0}},{className:"literal",begin:"^-",end:"$"},e.HASH_COMMENT_MODE]}}}}]);
+//# sourceMappingURL=ldif.js.map?v=b691a0396ca5de03ccd0 \ No newline at end of file
diff --git a/js/highlight/ldif.js.map b/js/highlight/ldif.js.map
index b2669fde6..a249dab71 100644
--- a/js/highlight/ldif.js.map
+++ b/js/highlight/ldif.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ldif.js"],"names":["module","exports","hljs","contains","className","begin","end","excludeEnd","starts","relevance","HASH_COMMENT_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACR,CACEC,UAAW,YACXC,MAAO,MAAOC,IAAK,KAAMC,YAAY,EACrCC,OAAQ,CAACF,IAAK,IAAKG,UAAW,GAC9BA,UAAW,IAEb,CACEL,UAAW,YACXC,MAAO,OAAQC,IAAK,KAAMC,YAAY,EACtCC,OAAQ,CAACF,IAAK,IAAKG,UAAW,IAEhC,CACEL,UAAW,UACXC,MAAO,KAAMC,IAAK,KAEpBJ,EAAKQ","file":"highlight/ldif.js?v=f0cb3fbeaac6d1eef3d4","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n {\n className: 'attribute',\n begin: '^dn', end: ': ', excludeEnd: true,\n starts: {end: '$', relevance: 0},\n relevance: 10\n },\n {\n className: 'attribute',\n begin: '^\\\\w', end: ': ', excludeEnd: true,\n starts: {end: '$', relevance: 0}\n },\n {\n className: 'literal',\n begin: '^-', end: '$'\n },\n hljs.HASH_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ldif.js"],"names":["module","exports","hljs","contains","className","begin","end","excludeEnd","starts","relevance","HASH_COMMENT_MODE"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACR,CACEC,UAAW,YACXC,MAAO,MAAOC,IAAK,KAAMC,YAAY,EACrCC,OAAQ,CAACF,IAAK,IAAKG,UAAW,GAC9BA,UAAW,IAEb,CACEL,UAAW,YACXC,MAAO,OAAQC,IAAK,KAAMC,YAAY,EACtCC,OAAQ,CAACF,IAAK,IAAKG,UAAW,IAEhC,CACEL,UAAW,UACXC,MAAO,KAAMC,IAAK,KAEpBJ,EAAKQ","file":"highlight/ldif.js?v=b691a0396ca5de03ccd0","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n {\n className: 'attribute',\n begin: '^dn', end: ': ', excludeEnd: true,\n starts: {end: '$', relevance: 0},\n relevance: 10\n },\n {\n className: 'attribute',\n begin: '^\\\\w', end: ': ', excludeEnd: true,\n starts: {end: '$', relevance: 0}\n },\n {\n className: 'literal',\n begin: '^-', end: '$'\n },\n hljs.HASH_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/leaf.js b/js/highlight/leaf.js
index 85dd1c5ef..a1f52939d 100644
--- a/js/highlight/leaf.js
+++ b/js/highlight/leaf.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[93],{459:function(n,e){n.exports=function(n){return{contains:[{className:"function",begin:"#+[A-Za-z_0-9]*\\(",end:" {",returnBegin:!0,excludeEnd:!0,contains:[{className:"keyword",begin:"#+"},{className:"title",begin:"[A-Za-z_][A-Za-z_0-9]*"},{className:"params",begin:"\\(",end:"\\)",endsParent:!0,contains:[{className:"string",begin:'"',end:'"'},{className:"variable",begin:"[A-Za-z_][A-Za-z_0-9]*"}]}]}]}}}}]);
-//# sourceMappingURL=leaf.js.map?v=4a0551bc1bc454a08f23 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[93],{460:function(n,e){n.exports=function(n){return{contains:[{className:"function",begin:"#+[A-Za-z_0-9]*\\(",end:" {",returnBegin:!0,excludeEnd:!0,contains:[{className:"keyword",begin:"#+"},{className:"title",begin:"[A-Za-z_][A-Za-z_0-9]*"},{className:"params",begin:"\\(",end:"\\)",endsParent:!0,contains:[{className:"string",begin:'"',end:'"'},{className:"variable",begin:"[A-Za-z_][A-Za-z_0-9]*"}]}]}]}}}}]);
+//# sourceMappingURL=leaf.js.map?v=9b1fcbab9c153d40a96b \ No newline at end of file
diff --git a/js/highlight/leaf.js.map b/js/highlight/leaf.js.map
index 2612cf2b1..77ea8e09b 100644
--- a/js/highlight/leaf.js.map
+++ b/js/highlight/leaf.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/leaf.js"],"names":["module","exports","hljs","contains","className","begin","end","returnBegin","excludeEnd","endsParent"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,MAAO,CACLC,SAAU,CACR,CACEC,UAAW,WACXC,MAAO,qBACPC,IAAI,KACJC,aAAa,EACbC,YAAY,EACZL,SAAW,CACT,CACEC,UAAW,UACXC,MAAO,MAET,CACED,UAAW,QACXC,MAAO,0BAET,CACED,UAAW,SACXC,MAAO,MAAOC,IAAK,MACnBG,YAAY,EACZN,SAAU,CACR,CACEC,UAAW,SACXC,MAAO,IACPC,IAAK,KAEP,CACEF,UAAW,WACXC,MAAO","file":"highlight/leaf.js?v=4a0551bc1bc454a08f23","sourcesContent":["module.exports = function (hljs) {\n return {\n contains: [\n {\n className: 'function',\n begin: '#+' + '[A-Za-z_0-9]*' + '\\\\(',\n end:' {',\n returnBegin: true,\n excludeEnd: true,\n contains : [\n {\n className: 'keyword',\n begin: '#+'\n },\n {\n className: 'title',\n begin: '[A-Za-z_][A-Za-z_0-9]*'\n },\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n endsParent: true,\n contains: [\n {\n className: 'string',\n begin: '\"',\n end: '\"'\n },\n {\n className: 'variable',\n begin: '[A-Za-z_][A-Za-z_0-9]*'\n }\n ]\n }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/leaf.js"],"names":["module","exports","hljs","contains","className","begin","end","returnBegin","excludeEnd","endsParent"],"mappings":"oFAAAA,EAAOC,QAAU,SAAUC,GACzB,MAAO,CACLC,SAAU,CACR,CACEC,UAAW,WACXC,MAAO,qBACPC,IAAI,KACJC,aAAa,EACbC,YAAY,EACZL,SAAW,CACT,CACEC,UAAW,UACXC,MAAO,MAET,CACED,UAAW,QACXC,MAAO,0BAET,CACED,UAAW,SACXC,MAAO,MAAOC,IAAK,MACnBG,YAAY,EACZN,SAAU,CACR,CACEC,UAAW,SACXC,MAAO,IACPC,IAAK,KAEP,CACEF,UAAW,WACXC,MAAO","file":"highlight/leaf.js?v=9b1fcbab9c153d40a96b","sourcesContent":["module.exports = function (hljs) {\n return {\n contains: [\n {\n className: 'function',\n begin: '#+' + '[A-Za-z_0-9]*' + '\\\\(',\n end:' {',\n returnBegin: true,\n excludeEnd: true,\n contains : [\n {\n className: 'keyword',\n begin: '#+'\n },\n {\n className: 'title',\n begin: '[A-Za-z_][A-Za-z_0-9]*'\n },\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n endsParent: true,\n contains: [\n {\n className: 'string',\n begin: '\"',\n end: '\"'\n },\n {\n className: 'variable',\n begin: '[A-Za-z_][A-Za-z_0-9]*'\n }\n ]\n }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/less.js b/js/highlight/less.js
index 030838a22..d4988a39b 100644
--- a/js/highlight/less.js
+++ b/js/highlight/less.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[94],{460:function(e,n){e.exports=function(e){var n=[],a=[],t=function(e){return{className:"string",begin:"~?"+e+".*?"+e}},s=function(e,n,a){return{className:e,begin:n,relevance:a}},i={begin:"\\(",end:"\\)",contains:a,relevance:0};a.push(e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,t("'"),t('"'),e.CSS_NUMBER_MODE,{begin:"(url|data-uri)\\(",starts:{className:"string",end:"[\\)\\n]",excludeEnd:!0}},s("number","#[0-9A-Fa-f]+\\b"),i,s("variable","@@?[\\w-]+",10),s("variable","@{[\\w-]+}"),s("built_in","~?`[^`]*?`"),{className:"attribute",begin:"[\\w-]+\\s*:",end:":",returnBegin:!0,excludeEnd:!0},{className:"meta",begin:"!important"});var r=a.concat({begin:"{",end:"}",contains:n}),c={beginKeywords:"when",endsWithParent:!0,contains:[{beginKeywords:"and not"}].concat(a)},l={begin:"([\\w-]+|@{[\\w-]+})\\s*:",returnBegin:!0,end:"[;}]",relevance:0,contains:[{className:"attribute",begin:"([\\w-]+|@{[\\w-]+})",end:":",excludeEnd:!0,starts:{endsWithParent:!0,illegal:"[<=$]",relevance:0,contains:a}}]},o={className:"keyword",begin:"@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\b",starts:{end:"[;{}]",returnEnd:!0,contains:a,relevance:0}},d={className:"variable",variants:[{begin:"@[\\w-]+\\s*:",relevance:15},{begin:"@[\\w-]+"}],starts:{end:"[;}]",returnEnd:!0,contains:r}},b={variants:[{begin:"[\\.#:&\\[>]",end:"[;{}]"},{begin:"([\\w-]+|@{[\\w-]+})",end:"{"}],returnBegin:!0,returnEnd:!0,illegal:"[<='$\"]",relevance:0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,c,s("keyword","all\\b"),s("variable","@{[\\w-]+}"),s("selector-tag","([\\w-]+|@{[\\w-]+})%?",0),s("selector-id","#([\\w-]+|@{[\\w-]+})"),s("selector-class","\\.([\\w-]+|@{[\\w-]+})",0),s("selector-tag","&",0),{className:"selector-attr",begin:"\\[",end:"\\]"},{className:"selector-pseudo",begin:/:(:)?[a-zA-Z0-9\_\-\+\(\)"'.]+/},{begin:"\\(",end:"\\)",contains:r},{begin:"!important"}]};return n.push(e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,o,d,l,b),{case_insensitive:!0,illegal:"[=>'/<($\"]",contains:n}}}}]);
-//# sourceMappingURL=less.js.map?v=20b8bc8ae962f4e3e0d3 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[94],{461:function(e,n){e.exports=function(e){var n=[],a=[],t=function(e){return{className:"string",begin:"~?"+e+".*?"+e}},s=function(e,n,a){return{className:e,begin:n,relevance:a}},i={begin:"\\(",end:"\\)",contains:a,relevance:0};a.push(e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,t("'"),t('"'),e.CSS_NUMBER_MODE,{begin:"(url|data-uri)\\(",starts:{className:"string",end:"[\\)\\n]",excludeEnd:!0}},s("number","#[0-9A-Fa-f]+\\b"),i,s("variable","@@?[\\w-]+",10),s("variable","@{[\\w-]+}"),s("built_in","~?`[^`]*?`"),{className:"attribute",begin:"[\\w-]+\\s*:",end:":",returnBegin:!0,excludeEnd:!0},{className:"meta",begin:"!important"});var r=a.concat({begin:"{",end:"}",contains:n}),c={beginKeywords:"when",endsWithParent:!0,contains:[{beginKeywords:"and not"}].concat(a)},l={begin:"([\\w-]+|@{[\\w-]+})\\s*:",returnBegin:!0,end:"[;}]",relevance:0,contains:[{className:"attribute",begin:"([\\w-]+|@{[\\w-]+})",end:":",excludeEnd:!0,starts:{endsWithParent:!0,illegal:"[<=$]",relevance:0,contains:a}}]},o={className:"keyword",begin:"@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\b",starts:{end:"[;{}]",returnEnd:!0,contains:a,relevance:0}},d={className:"variable",variants:[{begin:"@[\\w-]+\\s*:",relevance:15},{begin:"@[\\w-]+"}],starts:{end:"[;}]",returnEnd:!0,contains:r}},b={variants:[{begin:"[\\.#:&\\[>]",end:"[;{}]"},{begin:"([\\w-]+|@{[\\w-]+})",end:"{"}],returnBegin:!0,returnEnd:!0,illegal:"[<='$\"]",relevance:0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,c,s("keyword","all\\b"),s("variable","@{[\\w-]+}"),s("selector-tag","([\\w-]+|@{[\\w-]+})%?",0),s("selector-id","#([\\w-]+|@{[\\w-]+})"),s("selector-class","\\.([\\w-]+|@{[\\w-]+})",0),s("selector-tag","&",0),{className:"selector-attr",begin:"\\[",end:"\\]"},{className:"selector-pseudo",begin:/:(:)?[a-zA-Z0-9\_\-\+\(\)"'.]+/},{begin:"\\(",end:"\\)",contains:r},{begin:"!important"}]};return n.push(e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,o,d,l,b),{case_insensitive:!0,illegal:"[=>'/<($\"]",contains:n}}}}]);
+//# sourceMappingURL=less.js.map?v=40d3ddabc330eb532413 \ No newline at end of file
diff --git a/js/highlight/less.js.map b/js/highlight/less.js.map
index 5aee0f475..b6068a1a4 100644
--- a/js/highlight/less.js.map
+++ b/js/highlight/less.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/less.js"],"names":["module","exports","hljs","RULES","VALUE","STRING_MODE","c","className","begin","IDENT_MODE","name","relevance","PARENS_MODE","end","contains","push","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","CSS_NUMBER_MODE","starts","excludeEnd","IDENT_RE","returnBegin","VALUE_WITH_RULESETS","concat","MIXIN_GUARD_MODE","beginKeywords","endsWithParent","RULE_MODE","INTERP_IDENT_RE","illegal","AT_RULE_MODE","returnEnd","VAR_RULE_MODE","variants","SELECTOR_MODE","case_insensitive"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAKIC,EAAQ,GAAIC,EAAQ,GAEpBC,EAAc,SAASC,GAAK,MAAO,CAErCC,UAAW,SAAUC,MAAO,KAAOF,EAAI,MAAQA,IAG7CG,EAAa,SAASC,EAAMF,EAAOG,GAAa,MAAO,CACzDJ,UAAWG,EAAMF,MAAOA,EAAOG,UAAWA,IAGxCC,EAAc,CAEhBJ,MAAO,MAAOK,IAAK,MAAOC,SAAUV,EAAOO,UAAW,GAIxDP,EAAMW,KACJb,EAAKc,oBACLd,EAAKe,qBACLZ,EAAY,KACZA,EAAY,KACZH,EAAKgB,gBACL,CACEV,MAAO,oBACPW,OAAQ,CAACZ,UAAW,SAAUM,IAAK,WAAYO,YAAY,IAE7DX,EAAW,SAAU,oBACrBG,EACAH,EAAW,WAAY,aAAkB,IACzCA,EAAW,WAAY,cACvBA,EAAW,WAAY,cACvB,CACEF,UAAW,YAAaC,MAAOa,eAAoBR,IAAK,IAAKS,aAAa,EAAMF,YAAY,GAE9F,CACEb,UAAW,OACXC,MAAO,eAIX,IAAIe,EAAsBnB,EAAMoB,OAAO,CACrChB,MAAO,IAAKK,IAAK,IAAKC,SAAUX,IAG9BsB,EAAmB,CACrBC,cAAe,OAAQC,gBAAgB,EACvCb,SAAU,CAAC,CAACY,cAAe,YAAYF,OAAOpB,IAK5CwB,EAAY,CACdpB,MAAOqB,4BAA2BP,aAAa,EAAMT,IAAK,OAC1DF,UAAW,EACXG,SAAU,CACR,CACEP,UAAW,YACXC,MA9DgB,uBA8DQK,IAAK,IAAKO,YAAY,EAC9CD,OAAQ,CACNQ,gBAAgB,EAAMG,QAAS,QAC/BnB,UAAW,EACXG,SAAUV,MAMd2B,EAAe,CACjBxB,UAAW,UACXC,MAAO,2GACPW,OAAQ,CAACN,IAAK,QAASmB,WAAW,EAAMlB,SAAUV,EAAOO,UAAW,IAIlEsB,EAAgB,CAClB1B,UAAW,WACX2B,SAAU,CAKR,CAAC1B,MAAO,gBAA0BG,UAAW,IAC7C,CAACH,MAAO,aAEVW,OAAQ,CAACN,IAAK,OAAQmB,WAAW,EAAMlB,SAAUS,IAG/CY,EAAgB,CAIlBD,SAAU,CAAC,CACT1B,MAAO,eAAgBK,IAAK,SACzB,CACHL,MAnGkB,uBAmGMK,IAAK,MAE/BS,aAAa,EACbU,WAAa,EACbF,QAAS,WACTnB,UAAW,EACXG,SAAU,CACRZ,EAAKc,oBACLd,EAAKe,qBACLQ,EACAhB,EAAW,UAAY,UACvBA,EAAW,WAAY,cACvBA,EAAW,eAAiBoB,yBAAwB,GACpDpB,EAAW,cAAe,yBAC1BA,EAAW,iBAAkB,0BAAyB,GACtDA,EAAW,eAAiB,IAAK,GACjC,CAACF,UAAW,gBAAiBC,MAAO,MAAOK,IAAK,OAChD,CAACN,UAAW,kBAAmBC,MAAO,kCACtC,CAACA,MAAO,MAAOK,IAAK,MAAOC,SAAUS,GACrC,CAACf,MAAO,gBAaZ,OATAL,EAAMY,KACJb,EAAKc,oBACLd,EAAKe,qBACLc,EACAE,EACAL,EACAO,GAGK,CACLC,kBAAkB,EAClBN,QAAS,cACThB,SAAUX","file":"highlight/less.js?v=20b8bc8ae962f4e3e0d3","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[\\\\w-]+'; // yes, Less identifiers may begin with a digit\n var INTERP_IDENT_RE = '(' + IDENT_RE + '|@{' + IDENT_RE + '})';\n\n /* Generic Modes */\n\n var RULES = [], VALUE = []; // forward def. for recursive modes\n\n var STRING_MODE = function(c) { return {\n // Less strings are not multiline (also include '~' for more consistent coloring of \"escaped\" strings)\n className: 'string', begin: '~?' + c + '.*?' + c\n };};\n\n var IDENT_MODE = function(name, begin, relevance) { return {\n className: name, begin: begin, relevance: relevance\n };};\n\n var PARENS_MODE = {\n // used only to properly balance nested parens inside mixin call, def. arg list\n begin: '\\\\(', end: '\\\\)', contains: VALUE, relevance: 0\n };\n\n // generic Less highlighter (used almost everywhere except selectors):\n VALUE.push(\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRING_MODE(\"'\"),\n STRING_MODE('\"'),\n hljs.CSS_NUMBER_MODE, // fixme: it does not include dot for numbers like .5em :(\n {\n begin: '(url|data-uri)\\\\(',\n starts: {className: 'string', end: '[\\\\)\\\\n]', excludeEnd: true}\n },\n IDENT_MODE('number', '#[0-9A-Fa-f]+\\\\b'),\n PARENS_MODE,\n IDENT_MODE('variable', '@@?' + IDENT_RE, 10),\n IDENT_MODE('variable', '@{' + IDENT_RE + '}'),\n IDENT_MODE('built_in', '~?`[^`]*?`'), // inline javascript (or whatever host language) *multiline* string\n { // @media features (it’s here to not duplicate things in AT_RULE_MODE with extra PARENS_MODE overriding):\n className: 'attribute', begin: IDENT_RE + '\\\\s*:', end: ':', returnBegin: true, excludeEnd: true\n },\n {\n className: 'meta',\n begin: '!important'\n }\n );\n\n var VALUE_WITH_RULESETS = VALUE.concat({\n begin: '{', end: '}', contains: RULES\n });\n\n var MIXIN_GUARD_MODE = {\n beginKeywords: 'when', endsWithParent: true,\n contains: [{beginKeywords: 'and not'}].concat(VALUE) // using this form to override VALUE’s 'function' match\n };\n\n /* Rule-Level Modes */\n\n var RULE_MODE = {\n begin: INTERP_IDENT_RE + '\\\\s*:', returnBegin: true, end: '[;}]',\n relevance: 0,\n contains: [\n {\n className: 'attribute',\n begin: INTERP_IDENT_RE, end: ':', excludeEnd: true,\n starts: {\n endsWithParent: true, illegal: '[<=$]',\n relevance: 0,\n contains: VALUE\n }\n }\n ]\n };\n\n var AT_RULE_MODE = {\n className: 'keyword',\n begin: '@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\\\b',\n starts: {end: '[;{}]', returnEnd: true, contains: VALUE, relevance: 0}\n };\n\n // variable definitions and calls\n var VAR_RULE_MODE = {\n className: 'variable',\n variants: [\n // using more strict pattern for higher relevance to increase chances of Less detection.\n // this is *the only* Less specific statement used in most of the sources, so...\n // (we’ll still often loose to the css-parser unless there's '//' comment,\n // simply because 1 variable just can't beat 99 properties :)\n {begin: '@' + IDENT_RE + '\\\\s*:', relevance: 15},\n {begin: '@' + IDENT_RE}\n ],\n starts: {end: '[;}]', returnEnd: true, contains: VALUE_WITH_RULESETS}\n };\n\n var SELECTOR_MODE = {\n // first parse unambiguous selectors (i.e. those not starting with tag)\n // then fall into the scary lookahead-discriminator variant.\n // this mode also handles mixin definitions and calls\n variants: [{\n begin: '[\\\\.#:&\\\\[>]', end: '[;{}]' // mixin calls end with ';'\n }, {\n begin: INTERP_IDENT_RE, end: '{'\n }],\n returnBegin: true,\n returnEnd: true,\n illegal: '[<=\\'$\"]',\n relevance: 0,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n MIXIN_GUARD_MODE,\n IDENT_MODE('keyword', 'all\\\\b'),\n IDENT_MODE('variable', '@{' + IDENT_RE + '}'), // otherwise it’s identified as tag\n IDENT_MODE('selector-tag', INTERP_IDENT_RE + '%?', 0), // '%' for more consistent coloring of @keyframes \"tags\"\n IDENT_MODE('selector-id', '#' + INTERP_IDENT_RE),\n IDENT_MODE('selector-class', '\\\\.' + INTERP_IDENT_RE, 0),\n IDENT_MODE('selector-tag', '&', 0),\n {className: 'selector-attr', begin: '\\\\[', end: '\\\\]'},\n {className: 'selector-pseudo', begin: /:(:)?[a-zA-Z0-9\\_\\-\\+\\(\\)\"'.]+/},\n {begin: '\\\\(', end: '\\\\)', contains: VALUE_WITH_RULESETS}, // argument list of parametric mixins\n {begin: '!important'} // eat !important after mixin call or it will be colored as tag\n ]\n };\n\n RULES.push(\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AT_RULE_MODE,\n VAR_RULE_MODE,\n RULE_MODE,\n SELECTOR_MODE\n );\n\n return {\n case_insensitive: true,\n illegal: '[=>\\'/<($\"]',\n contains: RULES\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/less.js"],"names":["module","exports","hljs","RULES","VALUE","STRING_MODE","c","className","begin","IDENT_MODE","name","relevance","PARENS_MODE","end","contains","push","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","CSS_NUMBER_MODE","starts","excludeEnd","IDENT_RE","returnBegin","VALUE_WITH_RULESETS","concat","MIXIN_GUARD_MODE","beginKeywords","endsWithParent","RULE_MODE","INTERP_IDENT_RE","illegal","AT_RULE_MODE","returnEnd","VAR_RULE_MODE","variants","SELECTOR_MODE","case_insensitive"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAKIC,EAAQ,GAAIC,EAAQ,GAEpBC,EAAc,SAASC,GAAK,MAAO,CAErCC,UAAW,SAAUC,MAAO,KAAOF,EAAI,MAAQA,IAG7CG,EAAa,SAASC,EAAMF,EAAOG,GAAa,MAAO,CACzDJ,UAAWG,EAAMF,MAAOA,EAAOG,UAAWA,IAGxCC,EAAc,CAEhBJ,MAAO,MAAOK,IAAK,MAAOC,SAAUV,EAAOO,UAAW,GAIxDP,EAAMW,KACJb,EAAKc,oBACLd,EAAKe,qBACLZ,EAAY,KACZA,EAAY,KACZH,EAAKgB,gBACL,CACEV,MAAO,oBACPW,OAAQ,CAACZ,UAAW,SAAUM,IAAK,WAAYO,YAAY,IAE7DX,EAAW,SAAU,oBACrBG,EACAH,EAAW,WAAY,aAAkB,IACzCA,EAAW,WAAY,cACvBA,EAAW,WAAY,cACvB,CACEF,UAAW,YAAaC,MAAOa,eAAoBR,IAAK,IAAKS,aAAa,EAAMF,YAAY,GAE9F,CACEb,UAAW,OACXC,MAAO,eAIX,IAAIe,EAAsBnB,EAAMoB,OAAO,CACrChB,MAAO,IAAKK,IAAK,IAAKC,SAAUX,IAG9BsB,EAAmB,CACrBC,cAAe,OAAQC,gBAAgB,EACvCb,SAAU,CAAC,CAACY,cAAe,YAAYF,OAAOpB,IAK5CwB,EAAY,CACdpB,MAAOqB,4BAA2BP,aAAa,EAAMT,IAAK,OAC1DF,UAAW,EACXG,SAAU,CACR,CACEP,UAAW,YACXC,MA9DgB,uBA8DQK,IAAK,IAAKO,YAAY,EAC9CD,OAAQ,CACNQ,gBAAgB,EAAMG,QAAS,QAC/BnB,UAAW,EACXG,SAAUV,MAMd2B,EAAe,CACjBxB,UAAW,UACXC,MAAO,2GACPW,OAAQ,CAACN,IAAK,QAASmB,WAAW,EAAMlB,SAAUV,EAAOO,UAAW,IAIlEsB,EAAgB,CAClB1B,UAAW,WACX2B,SAAU,CAKR,CAAC1B,MAAO,gBAA0BG,UAAW,IAC7C,CAACH,MAAO,aAEVW,OAAQ,CAACN,IAAK,OAAQmB,WAAW,EAAMlB,SAAUS,IAG/CY,EAAgB,CAIlBD,SAAU,CAAC,CACT1B,MAAO,eAAgBK,IAAK,SACzB,CACHL,MAnGkB,uBAmGMK,IAAK,MAE/BS,aAAa,EACbU,WAAa,EACbF,QAAS,WACTnB,UAAW,EACXG,SAAU,CACRZ,EAAKc,oBACLd,EAAKe,qBACLQ,EACAhB,EAAW,UAAY,UACvBA,EAAW,WAAY,cACvBA,EAAW,eAAiBoB,yBAAwB,GACpDpB,EAAW,cAAe,yBAC1BA,EAAW,iBAAkB,0BAAyB,GACtDA,EAAW,eAAiB,IAAK,GACjC,CAACF,UAAW,gBAAiBC,MAAO,MAAOK,IAAK,OAChD,CAACN,UAAW,kBAAmBC,MAAO,kCACtC,CAACA,MAAO,MAAOK,IAAK,MAAOC,SAAUS,GACrC,CAACf,MAAO,gBAaZ,OATAL,EAAMY,KACJb,EAAKc,oBACLd,EAAKe,qBACLc,EACAE,EACAL,EACAO,GAGK,CACLC,kBAAkB,EAClBN,QAAS,cACThB,SAAUX","file":"highlight/less.js?v=40d3ddabc330eb532413","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[\\\\w-]+'; // yes, Less identifiers may begin with a digit\n var INTERP_IDENT_RE = '(' + IDENT_RE + '|@{' + IDENT_RE + '})';\n\n /* Generic Modes */\n\n var RULES = [], VALUE = []; // forward def. for recursive modes\n\n var STRING_MODE = function(c) { return {\n // Less strings are not multiline (also include '~' for more consistent coloring of \"escaped\" strings)\n className: 'string', begin: '~?' + c + '.*?' + c\n };};\n\n var IDENT_MODE = function(name, begin, relevance) { return {\n className: name, begin: begin, relevance: relevance\n };};\n\n var PARENS_MODE = {\n // used only to properly balance nested parens inside mixin call, def. arg list\n begin: '\\\\(', end: '\\\\)', contains: VALUE, relevance: 0\n };\n\n // generic Less highlighter (used almost everywhere except selectors):\n VALUE.push(\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRING_MODE(\"'\"),\n STRING_MODE('\"'),\n hljs.CSS_NUMBER_MODE, // fixme: it does not include dot for numbers like .5em :(\n {\n begin: '(url|data-uri)\\\\(',\n starts: {className: 'string', end: '[\\\\)\\\\n]', excludeEnd: true}\n },\n IDENT_MODE('number', '#[0-9A-Fa-f]+\\\\b'),\n PARENS_MODE,\n IDENT_MODE('variable', '@@?' + IDENT_RE, 10),\n IDENT_MODE('variable', '@{' + IDENT_RE + '}'),\n IDENT_MODE('built_in', '~?`[^`]*?`'), // inline javascript (or whatever host language) *multiline* string\n { // @media features (it’s here to not duplicate things in AT_RULE_MODE with extra PARENS_MODE overriding):\n className: 'attribute', begin: IDENT_RE + '\\\\s*:', end: ':', returnBegin: true, excludeEnd: true\n },\n {\n className: 'meta',\n begin: '!important'\n }\n );\n\n var VALUE_WITH_RULESETS = VALUE.concat({\n begin: '{', end: '}', contains: RULES\n });\n\n var MIXIN_GUARD_MODE = {\n beginKeywords: 'when', endsWithParent: true,\n contains: [{beginKeywords: 'and not'}].concat(VALUE) // using this form to override VALUE’s 'function' match\n };\n\n /* Rule-Level Modes */\n\n var RULE_MODE = {\n begin: INTERP_IDENT_RE + '\\\\s*:', returnBegin: true, end: '[;}]',\n relevance: 0,\n contains: [\n {\n className: 'attribute',\n begin: INTERP_IDENT_RE, end: ':', excludeEnd: true,\n starts: {\n endsWithParent: true, illegal: '[<=$]',\n relevance: 0,\n contains: VALUE\n }\n }\n ]\n };\n\n var AT_RULE_MODE = {\n className: 'keyword',\n begin: '@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\\\b',\n starts: {end: '[;{}]', returnEnd: true, contains: VALUE, relevance: 0}\n };\n\n // variable definitions and calls\n var VAR_RULE_MODE = {\n className: 'variable',\n variants: [\n // using more strict pattern for higher relevance to increase chances of Less detection.\n // this is *the only* Less specific statement used in most of the sources, so...\n // (we’ll still often loose to the css-parser unless there's '//' comment,\n // simply because 1 variable just can't beat 99 properties :)\n {begin: '@' + IDENT_RE + '\\\\s*:', relevance: 15},\n {begin: '@' + IDENT_RE}\n ],\n starts: {end: '[;}]', returnEnd: true, contains: VALUE_WITH_RULESETS}\n };\n\n var SELECTOR_MODE = {\n // first parse unambiguous selectors (i.e. those not starting with tag)\n // then fall into the scary lookahead-discriminator variant.\n // this mode also handles mixin definitions and calls\n variants: [{\n begin: '[\\\\.#:&\\\\[>]', end: '[;{}]' // mixin calls end with ';'\n }, {\n begin: INTERP_IDENT_RE, end: '{'\n }],\n returnBegin: true,\n returnEnd: true,\n illegal: '[<=\\'$\"]',\n relevance: 0,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n MIXIN_GUARD_MODE,\n IDENT_MODE('keyword', 'all\\\\b'),\n IDENT_MODE('variable', '@{' + IDENT_RE + '}'), // otherwise it’s identified as tag\n IDENT_MODE('selector-tag', INTERP_IDENT_RE + '%?', 0), // '%' for more consistent coloring of @keyframes \"tags\"\n IDENT_MODE('selector-id', '#' + INTERP_IDENT_RE),\n IDENT_MODE('selector-class', '\\\\.' + INTERP_IDENT_RE, 0),\n IDENT_MODE('selector-tag', '&', 0),\n {className: 'selector-attr', begin: '\\\\[', end: '\\\\]'},\n {className: 'selector-pseudo', begin: /:(:)?[a-zA-Z0-9\\_\\-\\+\\(\\)\"'.]+/},\n {begin: '\\\\(', end: '\\\\)', contains: VALUE_WITH_RULESETS}, // argument list of parametric mixins\n {begin: '!important'} // eat !important after mixin call or it will be colored as tag\n ]\n };\n\n RULES.push(\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n AT_RULE_MODE,\n VAR_RULE_MODE,\n RULE_MODE,\n SELECTOR_MODE\n );\n\n return {\n case_insensitive: true,\n illegal: '[=>\\'/<($\"]',\n contains: RULES\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/lisp.js b/js/highlight/lisp.js
index 403b5d01f..de09f412e 100644
--- a/js/highlight/lisp.js
+++ b/js/highlight/lisp.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[95],{461:function(e,n){e.exports=function(e){var n="[a-zA-Z_\\-\\+\\*\\/\\<\\=\\>\\&\\#][a-zA-Z0-9_\\-\\+\\*\\/\\<\\=\\>\\&\\#!]*",a="(\\-|\\+)?\\d+(\\.\\d+|\\/\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\+|\\-)?\\d+)?",i={className:"literal",begin:"\\b(t{1}|nil)\\b"},s={className:"number",variants:[{begin:a,relevance:0},{begin:"#(b|B)[0-1]+(/[0-1]+)?"},{begin:"#(o|O)[0-7]+(/[0-7]+)?"},{begin:"#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?"},{begin:"#(c|C)\\("+a+" +"+a,end:"\\)"}]},b=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),t=e.COMMENT(";","$",{relevance:0}),l={begin:"\\*",end:"\\*"},g={className:"symbol",begin:"[:&]"+n},c={begin:n,relevance:0},o={begin:"\\|[^]*?\\|"},r={contains:[s,b,l,g,{begin:"\\(",end:"\\)",contains:["self",i,b,s,c]},c],variants:[{begin:"['`]\\(",end:"\\)"},{begin:"\\(quote ",end:"\\)",keywords:{name:"quote"}},{begin:"'\\|[^]*?\\|"}]},d={variants:[{begin:"'"+n},{begin:"#'"+n+"(::"+n+")*"}]},m={begin:"\\(\\s*",end:"\\)"},v={endsWithParent:!0,relevance:0};return m.contains=[{className:"name",variants:[{begin:n},{begin:"\\|[^]*?\\|"}]},v],v.contains=[r,d,m,i,s,b,t,l,g,o,c],{illegal:/\S/,contains:[s,{className:"meta",begin:"^#!",end:"$"},i,b,t,r,d,m,c]}}}}]);
-//# sourceMappingURL=lisp.js.map?v=2b754318c79c4732d941 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[95],{462:function(e,n){e.exports=function(e){var n="[a-zA-Z_\\-\\+\\*\\/\\<\\=\\>\\&\\#][a-zA-Z0-9_\\-\\+\\*\\/\\<\\=\\>\\&\\#!]*",a="(\\-|\\+)?\\d+(\\.\\d+|\\/\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\+|\\-)?\\d+)?",i={className:"literal",begin:"\\b(t{1}|nil)\\b"},s={className:"number",variants:[{begin:a,relevance:0},{begin:"#(b|B)[0-1]+(/[0-1]+)?"},{begin:"#(o|O)[0-7]+(/[0-7]+)?"},{begin:"#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?"},{begin:"#(c|C)\\("+a+" +"+a,end:"\\)"}]},b=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),t=e.COMMENT(";","$",{relevance:0}),l={begin:"\\*",end:"\\*"},g={className:"symbol",begin:"[:&]"+n},c={begin:n,relevance:0},o={begin:"\\|[^]*?\\|"},r={contains:[s,b,l,g,{begin:"\\(",end:"\\)",contains:["self",i,b,s,c]},c],variants:[{begin:"['`]\\(",end:"\\)"},{begin:"\\(quote ",end:"\\)",keywords:{name:"quote"}},{begin:"'\\|[^]*?\\|"}]},d={variants:[{begin:"'"+n},{begin:"#'"+n+"(::"+n+")*"}]},m={begin:"\\(\\s*",end:"\\)"},v={endsWithParent:!0,relevance:0};return m.contains=[{className:"name",variants:[{begin:n},{begin:"\\|[^]*?\\|"}]},v],v.contains=[r,d,m,i,s,b,t,l,g,o,c],{illegal:/\S/,contains:[s,{className:"meta",begin:"^#!",end:"$"},i,b,t,r,d,m,c]}}}}]);
+//# sourceMappingURL=lisp.js.map?v=6bf858fab0e8d2e0283c \ No newline at end of file
diff --git a/js/highlight/lisp.js.map b/js/highlight/lisp.js.map
index 989a14ca9..2d3bfcdc7 100644
--- a/js/highlight/lisp.js.map
+++ b/js/highlight/lisp.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/lisp.js"],"names":["module","exports","hljs","LISP_IDENT_RE","LISP_SIMPLE_NUMBER_RE","LITERAL","className","begin","NUMBER","variants","relevance","end","STRING","inherit","QUOTE_STRING_MODE","illegal","COMMENT","VARIABLE","KEYWORD","IDENT","MEC","QUOTED","contains","keywords","name","QUOTED_ATOM","LIST","BODY","endsWithParent"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAgB,gFAEhBC,EAAwB,yEAKxBC,EAAU,CACZC,UAAW,UACXC,MAAO,oBAELC,EAAS,CACXF,UAAW,SACXG,SAAU,CACR,CAACF,MAAOH,EAAuBM,UAAW,GAC1C,CAACH,MAAO,0BACR,CAACA,MAAO,0BACR,CAACA,MAAO,sCACR,CAACA,MAAO,YAAcH,EAAwB,KAAOA,EAAuBO,IAAK,SAGjFC,EAASV,EAAKW,QAAQX,EAAKY,kBAAmB,CAACC,QAAS,OACxDC,EAAUd,EAAKc,QACjB,IAAK,IACL,CACEN,UAAW,IAGXO,EAAW,CACbV,MAAO,MAAOI,IAAK,OAEjBO,EAAU,CACZZ,UAAW,SACXC,MAAO,OAASJ,GAEdgB,EAAQ,CACVZ,MAAOJ,EACPO,UAAW,GAETU,EAAM,CACRb,MAvCW,eA6CTc,EAAS,CACXC,SAAU,CAACd,EAAQI,EAAQK,EAAUC,EALrB,CAChBX,MAAO,MAAOI,IAAK,MACnBW,SAAU,CAAC,OAAQjB,EAASO,EAAQJ,EAAQW,IAGeA,GAC3DV,SAAU,CACR,CACEF,MAAO,UAAYI,IAAK,OAE1B,CACEJ,MAAO,YAAaI,IAAK,MACzBY,SAAU,CAACC,KAAM,UAEnB,CACEjB,MAAO,kBAITkB,EAAc,CAChBhB,SAAU,CACR,CAACF,MAAO,IAAOJ,GACf,CAACI,MAAO,KAAQJ,EAAgB,MAAQA,EAAgB,QAGxDuB,EAAO,CACTnB,MAAO,UAAWI,IAAK,OAErBgB,EAAO,CACTC,gBAAgB,EAChBlB,UAAW,GAcb,OAZAgB,EAAKJ,SAAW,CACd,CACEhB,UAAW,OACXG,SAAU,CACR,CAACF,MAAOJ,GACR,CAACI,MA9EM,iBAiFXoB,GAEFA,EAAKL,SAAW,CAACD,EAAQI,EAAaC,EAAMrB,EAASG,EAAQI,EAAQI,EAASC,EAAUC,EAASE,EAAKD,GAE/F,CACLJ,QAAS,KACTO,SAAU,CACRd,EAtFU,CACZF,UAAW,OACXC,MAAO,MAAOI,IAAK,KAsFjBN,EACAO,EACAI,EACAK,EACAI,EACAC,EACAP","file":"highlight/lisp.js?v=2b754318c79c4732d941","sourcesContent":["module.exports = function(hljs) {\n var LISP_IDENT_RE = '[a-zA-Z_\\\\-\\\\+\\\\*\\\\/\\\\<\\\\=\\\\>\\\\&\\\\#][a-zA-Z0-9_\\\\-\\\\+\\\\*\\\\/\\\\<\\\\=\\\\>\\\\&\\\\#!]*';\n var MEC_RE = '\\\\|[^]*?\\\\|';\n var LISP_SIMPLE_NUMBER_RE = '(\\\\-|\\\\+)?\\\\d+(\\\\.\\\\d+|\\\\/\\\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\\\+|\\\\-)?\\\\d+)?';\n var SHEBANG = {\n className: 'meta',\n begin: '^#!', end: '$'\n };\n var LITERAL = {\n className: 'literal',\n begin: '\\\\b(t{1}|nil)\\\\b'\n };\n var NUMBER = {\n className: 'number',\n variants: [\n {begin: LISP_SIMPLE_NUMBER_RE, relevance: 0},\n {begin: '#(b|B)[0-1]+(/[0-1]+)?'},\n {begin: '#(o|O)[0-7]+(/[0-7]+)?'},\n {begin: '#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?'},\n {begin: '#(c|C)\\\\(' + LISP_SIMPLE_NUMBER_RE + ' +' + LISP_SIMPLE_NUMBER_RE, end: '\\\\)'}\n ]\n };\n var STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null});\n var COMMENT = hljs.COMMENT(\n ';', '$',\n {\n relevance: 0\n }\n );\n var VARIABLE = {\n begin: '\\\\*', end: '\\\\*'\n };\n var KEYWORD = {\n className: 'symbol',\n begin: '[:&]' + LISP_IDENT_RE\n };\n var IDENT = {\n begin: LISP_IDENT_RE,\n relevance: 0\n };\n var MEC = {\n begin: MEC_RE\n };\n var QUOTED_LIST = {\n begin: '\\\\(', end: '\\\\)',\n contains: ['self', LITERAL, STRING, NUMBER, IDENT]\n };\n var QUOTED = {\n contains: [NUMBER, STRING, VARIABLE, KEYWORD, QUOTED_LIST, IDENT],\n variants: [\n {\n begin: '[\\'`]\\\\(', end: '\\\\)'\n },\n {\n begin: '\\\\(quote ', end: '\\\\)',\n keywords: {name: 'quote'}\n },\n {\n begin: '\\'' + MEC_RE\n }\n ]\n };\n var QUOTED_ATOM = {\n variants: [\n {begin: '\\'' + LISP_IDENT_RE},\n {begin: '#\\'' + LISP_IDENT_RE + '(::' + LISP_IDENT_RE + ')*'}\n ]\n };\n var LIST = {\n begin: '\\\\(\\\\s*', end: '\\\\)'\n };\n var BODY = {\n endsWithParent: true,\n relevance: 0\n };\n LIST.contains = [\n {\n className: 'name',\n variants: [\n {begin: LISP_IDENT_RE},\n {begin: MEC_RE}\n ]\n },\n BODY\n ];\n BODY.contains = [QUOTED, QUOTED_ATOM, LIST, LITERAL, NUMBER, STRING, COMMENT, VARIABLE, KEYWORD, MEC, IDENT];\n\n return {\n illegal: /\\S/,\n contains: [\n NUMBER,\n SHEBANG,\n LITERAL,\n STRING,\n COMMENT,\n QUOTED,\n QUOTED_ATOM,\n LIST,\n IDENT\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/lisp.js"],"names":["module","exports","hljs","LISP_IDENT_RE","LISP_SIMPLE_NUMBER_RE","LITERAL","className","begin","NUMBER","variants","relevance","end","STRING","inherit","QUOTE_STRING_MODE","illegal","COMMENT","VARIABLE","KEYWORD","IDENT","MEC","QUOTED","contains","keywords","name","QUOTED_ATOM","LIST","BODY","endsWithParent"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAgB,gFAEhBC,EAAwB,yEAKxBC,EAAU,CACZC,UAAW,UACXC,MAAO,oBAELC,EAAS,CACXF,UAAW,SACXG,SAAU,CACR,CAACF,MAAOH,EAAuBM,UAAW,GAC1C,CAACH,MAAO,0BACR,CAACA,MAAO,0BACR,CAACA,MAAO,sCACR,CAACA,MAAO,YAAcH,EAAwB,KAAOA,EAAuBO,IAAK,SAGjFC,EAASV,EAAKW,QAAQX,EAAKY,kBAAmB,CAACC,QAAS,OACxDC,EAAUd,EAAKc,QACjB,IAAK,IACL,CACEN,UAAW,IAGXO,EAAW,CACbV,MAAO,MAAOI,IAAK,OAEjBO,EAAU,CACZZ,UAAW,SACXC,MAAO,OAASJ,GAEdgB,EAAQ,CACVZ,MAAOJ,EACPO,UAAW,GAETU,EAAM,CACRb,MAvCW,eA6CTc,EAAS,CACXC,SAAU,CAACd,EAAQI,EAAQK,EAAUC,EALrB,CAChBX,MAAO,MAAOI,IAAK,MACnBW,SAAU,CAAC,OAAQjB,EAASO,EAAQJ,EAAQW,IAGeA,GAC3DV,SAAU,CACR,CACEF,MAAO,UAAYI,IAAK,OAE1B,CACEJ,MAAO,YAAaI,IAAK,MACzBY,SAAU,CAACC,KAAM,UAEnB,CACEjB,MAAO,kBAITkB,EAAc,CAChBhB,SAAU,CACR,CAACF,MAAO,IAAOJ,GACf,CAACI,MAAO,KAAQJ,EAAgB,MAAQA,EAAgB,QAGxDuB,EAAO,CACTnB,MAAO,UAAWI,IAAK,OAErBgB,EAAO,CACTC,gBAAgB,EAChBlB,UAAW,GAcb,OAZAgB,EAAKJ,SAAW,CACd,CACEhB,UAAW,OACXG,SAAU,CACR,CAACF,MAAOJ,GACR,CAACI,MA9EM,iBAiFXoB,GAEFA,EAAKL,SAAW,CAACD,EAAQI,EAAaC,EAAMrB,EAASG,EAAQI,EAAQI,EAASC,EAAUC,EAASE,EAAKD,GAE/F,CACLJ,QAAS,KACTO,SAAU,CACRd,EAtFU,CACZF,UAAW,OACXC,MAAO,MAAOI,IAAK,KAsFjBN,EACAO,EACAI,EACAK,EACAI,EACAC,EACAP","file":"highlight/lisp.js?v=6bf858fab0e8d2e0283c","sourcesContent":["module.exports = function(hljs) {\n var LISP_IDENT_RE = '[a-zA-Z_\\\\-\\\\+\\\\*\\\\/\\\\<\\\\=\\\\>\\\\&\\\\#][a-zA-Z0-9_\\\\-\\\\+\\\\*\\\\/\\\\<\\\\=\\\\>\\\\&\\\\#!]*';\n var MEC_RE = '\\\\|[^]*?\\\\|';\n var LISP_SIMPLE_NUMBER_RE = '(\\\\-|\\\\+)?\\\\d+(\\\\.\\\\d+|\\\\/\\\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\\\+|\\\\-)?\\\\d+)?';\n var SHEBANG = {\n className: 'meta',\n begin: '^#!', end: '$'\n };\n var LITERAL = {\n className: 'literal',\n begin: '\\\\b(t{1}|nil)\\\\b'\n };\n var NUMBER = {\n className: 'number',\n variants: [\n {begin: LISP_SIMPLE_NUMBER_RE, relevance: 0},\n {begin: '#(b|B)[0-1]+(/[0-1]+)?'},\n {begin: '#(o|O)[0-7]+(/[0-7]+)?'},\n {begin: '#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?'},\n {begin: '#(c|C)\\\\(' + LISP_SIMPLE_NUMBER_RE + ' +' + LISP_SIMPLE_NUMBER_RE, end: '\\\\)'}\n ]\n };\n var STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null});\n var COMMENT = hljs.COMMENT(\n ';', '$',\n {\n relevance: 0\n }\n );\n var VARIABLE = {\n begin: '\\\\*', end: '\\\\*'\n };\n var KEYWORD = {\n className: 'symbol',\n begin: '[:&]' + LISP_IDENT_RE\n };\n var IDENT = {\n begin: LISP_IDENT_RE,\n relevance: 0\n };\n var MEC = {\n begin: MEC_RE\n };\n var QUOTED_LIST = {\n begin: '\\\\(', end: '\\\\)',\n contains: ['self', LITERAL, STRING, NUMBER, IDENT]\n };\n var QUOTED = {\n contains: [NUMBER, STRING, VARIABLE, KEYWORD, QUOTED_LIST, IDENT],\n variants: [\n {\n begin: '[\\'`]\\\\(', end: '\\\\)'\n },\n {\n begin: '\\\\(quote ', end: '\\\\)',\n keywords: {name: 'quote'}\n },\n {\n begin: '\\'' + MEC_RE\n }\n ]\n };\n var QUOTED_ATOM = {\n variants: [\n {begin: '\\'' + LISP_IDENT_RE},\n {begin: '#\\'' + LISP_IDENT_RE + '(::' + LISP_IDENT_RE + ')*'}\n ]\n };\n var LIST = {\n begin: '\\\\(\\\\s*', end: '\\\\)'\n };\n var BODY = {\n endsWithParent: true,\n relevance: 0\n };\n LIST.contains = [\n {\n className: 'name',\n variants: [\n {begin: LISP_IDENT_RE},\n {begin: MEC_RE}\n ]\n },\n BODY\n ];\n BODY.contains = [QUOTED, QUOTED_ATOM, LIST, LITERAL, NUMBER, STRING, COMMENT, VARIABLE, KEYWORD, MEC, IDENT];\n\n return {\n illegal: /\\S/,\n contains: [\n NUMBER,\n SHEBANG,\n LITERAL,\n STRING,\n COMMENT,\n QUOTED,\n QUOTED_ATOM,\n LIST,\n IDENT\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/livecodeserver.js b/js/highlight/livecodeserver.js
index 437371a96..bade5cc9f 100644
--- a/js/highlight/livecodeserver.js
+++ b/js/highlight/livecodeserver.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[96],{462:function(e,r){e.exports=function(e){var r={className:"variable",variants:[{begin:"\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\[.+\\])?(?:\\s*?)"},{begin:"\\$_[A-Z]+"}],relevance:0},t=[e.C_BLOCK_COMMENT_MODE,e.HASH_COMMENT_MODE,e.COMMENT("--","$"),e.COMMENT("[^:]//","$")],a=e.inherit(e.TITLE_MODE,{variants:[{begin:"\\b_*rig[A-Z]+[A-Za-z0-9_\\-]*"},{begin:"\\b_[a-z0-9\\-]+"}]}),o=e.inherit(e.TITLE_MODE,{begin:"\\b([A-Za-z0-9_\\-]+)\\b"});return{case_insensitive:!1,keywords:{keyword:"$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph after byte bytes english the until http forever descending using line real8 with seventh for stdout finally element word words fourth before black ninth sixth characters chars stderr uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat end repeat URL in try into switch to words https token binfile each tenth as ticks tick system real4 by dateItems without char character ascending eighth whole dateTime numeric short first ftp integer abbreviated abbr abbrev private case while if div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within contains ends with begins the keys of keys",literal:"SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five quote empty one true return cr linefeed right backslash null seven tab three two RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK",built_in:"put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress constantNames cos date dateFormat decompress difference directories diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process combine constant convert create new alias folder directory decrypt delete variable word line folder directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop subtract symmetric union unload vectorDotProduct wait write"},contains:[r,{className:"keyword",begin:"\\bend\\sif\\b"},{className:"function",beginKeywords:"function",end:"$",contains:[r,o,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,a]},{className:"function",begin:"\\bend\\s+",end:"$",keywords:"end",contains:[o,a],relevance:0},{beginKeywords:"command on",end:"$",contains:[r,o,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,a]},{className:"meta",variants:[{begin:"<\\?(rev|lc|livecode)",relevance:10},{begin:"<\\?"},{begin:"\\?>"}]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,a].concat(t),illegal:";$|^\\[|^=|&|{"}}}}]);
-//# sourceMappingURL=livecodeserver.js.map?v=6683a8df27a1e8e548cd \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[96],{463:function(e,r){e.exports=function(e){var r={className:"variable",variants:[{begin:"\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\[.+\\])?(?:\\s*?)"},{begin:"\\$_[A-Z]+"}],relevance:0},t=[e.C_BLOCK_COMMENT_MODE,e.HASH_COMMENT_MODE,e.COMMENT("--","$"),e.COMMENT("[^:]//","$")],a=e.inherit(e.TITLE_MODE,{variants:[{begin:"\\b_*rig[A-Z]+[A-Za-z0-9_\\-]*"},{begin:"\\b_[a-z0-9\\-]+"}]}),o=e.inherit(e.TITLE_MODE,{begin:"\\b([A-Za-z0-9_\\-]+)\\b"});return{case_insensitive:!1,keywords:{keyword:"$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph after byte bytes english the until http forever descending using line real8 with seventh for stdout finally element word words fourth before black ninth sixth characters chars stderr uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat end repeat URL in try into switch to words https token binfile each tenth as ticks tick system real4 by dateItems without char character ascending eighth whole dateTime numeric short first ftp integer abbreviated abbr abbrev private case while if div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within contains ends with begins the keys of keys",literal:"SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five quote empty one true return cr linefeed right backslash null seven tab three two RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK",built_in:"put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress constantNames cos date dateFormat decompress difference directories diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process combine constant convert create new alias folder directory decrypt delete variable word line folder directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop subtract symmetric union unload vectorDotProduct wait write"},contains:[r,{className:"keyword",begin:"\\bend\\sif\\b"},{className:"function",beginKeywords:"function",end:"$",contains:[r,o,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,a]},{className:"function",begin:"\\bend\\s+",end:"$",keywords:"end",contains:[o,a],relevance:0},{beginKeywords:"command on",end:"$",contains:[r,o,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,a]},{className:"meta",variants:[{begin:"<\\?(rev|lc|livecode)",relevance:10},{begin:"<\\?"},{begin:"\\?>"}]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,a].concat(t),illegal:";$|^\\[|^=|&|{"}}}}]);
+//# sourceMappingURL=livecodeserver.js.map?v=4fe5a2c2f2d3e9759ff9 \ No newline at end of file
diff --git a/js/highlight/livecodeserver.js.map b/js/highlight/livecodeserver.js.map
index ff7b10d65..ef4025fdf 100644
--- a/js/highlight/livecodeserver.js.map
+++ b/js/highlight/livecodeserver.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/livecodeserver.js"],"names":["module","exports","hljs","VARIABLE","className","variants","begin","relevance","COMMENT_MODES","C_BLOCK_COMMENT_MODE","HASH_COMMENT_MODE","COMMENT","TITLE1","inherit","TITLE_MODE","TITLE2","case_insensitive","keywords","keyword","literal","built_in","contains","beginKeywords","end","APOS_STRING_MODE","QUOTE_STRING_MODE","BINARY_NUMBER_MODE","C_NUMBER_MODE","concat","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,uDACR,CAACA,MAAO,eAEVC,UAAW,GAETC,EAAgB,CAClBN,EAAKO,qBACLP,EAAKQ,kBACLR,EAAKS,QAAQ,KAAM,KACnBT,EAAKS,QAAQ,SAAU,MAErBC,EAASV,EAAKW,QAAQX,EAAKY,WAAY,CACzCT,SAAU,CACR,CAACC,MAAO,kCACR,CAACA,MAAO,uBAGRS,EAASb,EAAKW,QAAQX,EAAKY,WAAY,CAACR,MAAO,6BACnD,MAAO,CACLU,kBAAkB,EAClBC,SAAU,CACRC,QACE,4/BAYFC,QACE,gfAMFC,SACE,o4KAqDJC,SAAU,CACRlB,EACA,CACEC,UAAW,UACXE,MAAO,kBAET,CACEF,UAAW,WACXkB,cAAe,WAAYC,IAAK,IAChCF,SAAU,CACRlB,EACAY,EACAb,EAAKsB,iBACLtB,EAAKuB,kBACLvB,EAAKwB,mBACLxB,EAAKyB,cACLf,IAGJ,CACER,UAAW,WACXE,MAAO,aAAciB,IAAK,IAC1BN,SAAU,MACVI,SAAU,CACRN,EACAH,GAEFL,UAAW,GAEb,CACEe,cAAe,aAAcC,IAAK,IAClCF,SAAU,CACRlB,EACAY,EACAb,EAAKsB,iBACLtB,EAAKuB,kBACLvB,EAAKwB,mBACLxB,EAAKyB,cACLf,IAGJ,CACER,UAAW,OACXC,SAAU,CACR,CACEC,MAAO,wBACPC,UAAW,IAEb,CAAED,MAAO,QACT,CAAEA,MAAO,UAGbJ,EAAKsB,iBACLtB,EAAKuB,kBACLvB,EAAKwB,mBACLxB,EAAKyB,cACLf,GACAgB,OAAOpB,GACTqB,QAAS","file":"highlight/livecodeserver.js?v=6683a8df27a1e8e548cd","sourcesContent":["module.exports = function(hljs) {\n var VARIABLE = {\n className: 'variable',\n variants: [\n {begin: '\\\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\\\[.+\\\\])?(?:\\\\s*?)'},\n {begin: '\\\\$_[A-Z]+'}\n ],\n relevance: 0\n };\n var COMMENT_MODES = [\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.HASH_COMMENT_MODE,\n hljs.COMMENT('--', '$'),\n hljs.COMMENT('[^:]//', '$')\n ];\n var TITLE1 = hljs.inherit(hljs.TITLE_MODE, {\n variants: [\n {begin: '\\\\b_*rig[A-Z]+[A-Za-z0-9_\\\\-]*'},\n {begin: '\\\\b_[a-z0-9\\\\-]+'}\n ]\n });\n var TITLE2 = hljs.inherit(hljs.TITLE_MODE, {begin: '\\\\b([A-Za-z0-9_\\\\-]+)\\\\b'});\n return {\n case_insensitive: false,\n keywords: {\n keyword:\n '$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER ' +\n 'codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph ' +\n 'after byte bytes english the until http forever descending using line real8 with seventh ' +\n 'for stdout finally element word words fourth before black ninth sixth characters chars stderr ' +\n 'uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid ' +\n 'at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 ' +\n 'int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat ' +\n 'end repeat URL in try into switch to words https token binfile each tenth as ticks tick ' +\n 'system real4 by dateItems without char character ascending eighth whole dateTime numeric short ' +\n 'first ftp integer abbreviated abbr abbrev private case while if ' +\n 'div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within ' +\n 'contains ends with begins the keys of keys',\n literal:\n 'SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE ' +\n 'QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO ' +\n 'six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five ' +\n 'quote empty one true return cr linefeed right backslash null seven tab three two ' +\n 'RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK ' +\n 'FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK',\n built_in:\n 'put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode ' +\n 'base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum ' +\n 'cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress ' +\n 'constantNames cos date dateFormat decompress difference directories ' +\n 'diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global ' +\n 'globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset ' +\n 'keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders ' +\n 'libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 ' +\n 'longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec ' +\n 'millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar ' +\n 'numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets ' +\n 'paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation ' +\n 'populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile ' +\n 'revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull ' +\n 'revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered ' +\n 'revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames ' +\n 'revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull ' +\n 'revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections ' +\n 'revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype ' +\n 'revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext ' +\n 'revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames ' +\n 'revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase ' +\n 'revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute ' +\n 'revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces ' +\n 'revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode ' +\n 'revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling ' +\n 'revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error ' +\n 'revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute ' +\n 'revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort ' +\n 'revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree ' +\n 'revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance ' +\n 'sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound ' +\n 'stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper ' +\n 'transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames ' +\n 'variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet ' +\n 'xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process ' +\n 'combine constant convert create new alias folder directory decrypt delete variable word line folder ' +\n 'directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile ' +\n 'libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver ' +\n 'libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime ' +\n 'libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename ' +\n 'replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase ' +\n 'revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees ' +\n 'revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord ' +\n 'revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase ' +\n 'revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD ' +\n 'revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost ' +\n 'revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData ' +\n 'revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel ' +\n 'revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback ' +\n 'revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop ' +\n 'subtract symmetric union unload vectorDotProduct wait write'\n },\n contains: [\n VARIABLE,\n {\n className: 'keyword',\n begin: '\\\\bend\\\\sif\\\\b'\n },\n {\n className: 'function',\n beginKeywords: 'function', end: '$',\n contains: [\n VARIABLE,\n TITLE2,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.BINARY_NUMBER_MODE,\n hljs.C_NUMBER_MODE,\n TITLE1\n ]\n },\n {\n className: 'function',\n begin: '\\\\bend\\\\s+', end: '$',\n keywords: 'end',\n contains: [\n TITLE2,\n TITLE1\n ],\n relevance: 0\n },\n {\n beginKeywords: 'command on', end: '$',\n contains: [\n VARIABLE,\n TITLE2,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.BINARY_NUMBER_MODE,\n hljs.C_NUMBER_MODE,\n TITLE1\n ]\n },\n {\n className: 'meta',\n variants: [\n {\n begin: '<\\\\?(rev|lc|livecode)',\n relevance: 10\n },\n { begin: '<\\\\?' },\n { begin: '\\\\?>' }\n ]\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.BINARY_NUMBER_MODE,\n hljs.C_NUMBER_MODE,\n TITLE1\n ].concat(COMMENT_MODES),\n illegal: ';$|^\\\\[|^=|&|{'\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/livecodeserver.js"],"names":["module","exports","hljs","VARIABLE","className","variants","begin","relevance","COMMENT_MODES","C_BLOCK_COMMENT_MODE","HASH_COMMENT_MODE","COMMENT","TITLE1","inherit","TITLE_MODE","TITLE2","case_insensitive","keywords","keyword","literal","built_in","contains","beginKeywords","end","APOS_STRING_MODE","QUOTE_STRING_MODE","BINARY_NUMBER_MODE","C_NUMBER_MODE","concat","illegal"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,uDACR,CAACA,MAAO,eAEVC,UAAW,GAETC,EAAgB,CAClBN,EAAKO,qBACLP,EAAKQ,kBACLR,EAAKS,QAAQ,KAAM,KACnBT,EAAKS,QAAQ,SAAU,MAErBC,EAASV,EAAKW,QAAQX,EAAKY,WAAY,CACzCT,SAAU,CACR,CAACC,MAAO,kCACR,CAACA,MAAO,uBAGRS,EAASb,EAAKW,QAAQX,EAAKY,WAAY,CAACR,MAAO,6BACnD,MAAO,CACLU,kBAAkB,EAClBC,SAAU,CACRC,QACE,4/BAYFC,QACE,gfAMFC,SACE,o4KAqDJC,SAAU,CACRlB,EACA,CACEC,UAAW,UACXE,MAAO,kBAET,CACEF,UAAW,WACXkB,cAAe,WAAYC,IAAK,IAChCF,SAAU,CACRlB,EACAY,EACAb,EAAKsB,iBACLtB,EAAKuB,kBACLvB,EAAKwB,mBACLxB,EAAKyB,cACLf,IAGJ,CACER,UAAW,WACXE,MAAO,aAAciB,IAAK,IAC1BN,SAAU,MACVI,SAAU,CACRN,EACAH,GAEFL,UAAW,GAEb,CACEe,cAAe,aAAcC,IAAK,IAClCF,SAAU,CACRlB,EACAY,EACAb,EAAKsB,iBACLtB,EAAKuB,kBACLvB,EAAKwB,mBACLxB,EAAKyB,cACLf,IAGJ,CACER,UAAW,OACXC,SAAU,CACR,CACEC,MAAO,wBACPC,UAAW,IAEb,CAAED,MAAO,QACT,CAAEA,MAAO,UAGbJ,EAAKsB,iBACLtB,EAAKuB,kBACLvB,EAAKwB,mBACLxB,EAAKyB,cACLf,GACAgB,OAAOpB,GACTqB,QAAS","file":"highlight/livecodeserver.js?v=4fe5a2c2f2d3e9759ff9","sourcesContent":["module.exports = function(hljs) {\n var VARIABLE = {\n className: 'variable',\n variants: [\n {begin: '\\\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\\\[.+\\\\])?(?:\\\\s*?)'},\n {begin: '\\\\$_[A-Z]+'}\n ],\n relevance: 0\n };\n var COMMENT_MODES = [\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.HASH_COMMENT_MODE,\n hljs.COMMENT('--', '$'),\n hljs.COMMENT('[^:]//', '$')\n ];\n var TITLE1 = hljs.inherit(hljs.TITLE_MODE, {\n variants: [\n {begin: '\\\\b_*rig[A-Z]+[A-Za-z0-9_\\\\-]*'},\n {begin: '\\\\b_[a-z0-9\\\\-]+'}\n ]\n });\n var TITLE2 = hljs.inherit(hljs.TITLE_MODE, {begin: '\\\\b([A-Za-z0-9_\\\\-]+)\\\\b'});\n return {\n case_insensitive: false,\n keywords: {\n keyword:\n '$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER ' +\n 'codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph ' +\n 'after byte bytes english the until http forever descending using line real8 with seventh ' +\n 'for stdout finally element word words fourth before black ninth sixth characters chars stderr ' +\n 'uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid ' +\n 'at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 ' +\n 'int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat ' +\n 'end repeat URL in try into switch to words https token binfile each tenth as ticks tick ' +\n 'system real4 by dateItems without char character ascending eighth whole dateTime numeric short ' +\n 'first ftp integer abbreviated abbr abbrev private case while if ' +\n 'div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within ' +\n 'contains ends with begins the keys of keys',\n literal:\n 'SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE ' +\n 'QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO ' +\n 'six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five ' +\n 'quote empty one true return cr linefeed right backslash null seven tab three two ' +\n 'RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK ' +\n 'FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK',\n built_in:\n 'put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode ' +\n 'base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum ' +\n 'cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress ' +\n 'constantNames cos date dateFormat decompress difference directories ' +\n 'diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global ' +\n 'globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset ' +\n 'keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders ' +\n 'libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 ' +\n 'longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec ' +\n 'millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar ' +\n 'numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets ' +\n 'paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation ' +\n 'populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile ' +\n 'revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull ' +\n 'revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered ' +\n 'revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames ' +\n 'revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull ' +\n 'revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections ' +\n 'revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype ' +\n 'revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext ' +\n 'revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames ' +\n 'revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase ' +\n 'revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute ' +\n 'revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces ' +\n 'revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode ' +\n 'revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling ' +\n 'revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error ' +\n 'revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute ' +\n 'revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort ' +\n 'revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree ' +\n 'revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance ' +\n 'sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound ' +\n 'stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper ' +\n 'transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames ' +\n 'variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet ' +\n 'xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process ' +\n 'combine constant convert create new alias folder directory decrypt delete variable word line folder ' +\n 'directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile ' +\n 'libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver ' +\n 'libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime ' +\n 'libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename ' +\n 'replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase ' +\n 'revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees ' +\n 'revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord ' +\n 'revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase ' +\n 'revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD ' +\n 'revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost ' +\n 'revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData ' +\n 'revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel ' +\n 'revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback ' +\n 'revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop ' +\n 'subtract symmetric union unload vectorDotProduct wait write'\n },\n contains: [\n VARIABLE,\n {\n className: 'keyword',\n begin: '\\\\bend\\\\sif\\\\b'\n },\n {\n className: 'function',\n beginKeywords: 'function', end: '$',\n contains: [\n VARIABLE,\n TITLE2,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.BINARY_NUMBER_MODE,\n hljs.C_NUMBER_MODE,\n TITLE1\n ]\n },\n {\n className: 'function',\n begin: '\\\\bend\\\\s+', end: '$',\n keywords: 'end',\n contains: [\n TITLE2,\n TITLE1\n ],\n relevance: 0\n },\n {\n beginKeywords: 'command on', end: '$',\n contains: [\n VARIABLE,\n TITLE2,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.BINARY_NUMBER_MODE,\n hljs.C_NUMBER_MODE,\n TITLE1\n ]\n },\n {\n className: 'meta',\n variants: [\n {\n begin: '<\\\\?(rev|lc|livecode)',\n relevance: 10\n },\n { begin: '<\\\\?' },\n { begin: '\\\\?>' }\n ]\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.BINARY_NUMBER_MODE,\n hljs.C_NUMBER_MODE,\n TITLE1\n ].concat(COMMENT_MODES),\n illegal: ';$|^\\\\[|^=|&|{'\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/livescript.js b/js/highlight/livescript.js
index 516fd72ea..91b1096f6 100644
--- a/js/highlight/livescript.js
+++ b/js/highlight/livescript.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[97],{463:function(e,n){e.exports=function(e){var n={keyword:"in if for while finally new do return else break catch instanceof throw try this switch continue typeof delete debugger case default function var with then unless until loop of by when and or is isnt not it that otherwise from to til fallthrough super case default function var void const let enum export import native list map __hasProp __extends __slice __bind __indexOf",literal:"true false null undefined yes no on off it that void",built_in:"npm require console print module global window document"},s="[A-Za-z$_](?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*",i=e.inherit(e.TITLE_MODE,{begin:s}),a={className:"subst",begin:/#\{/,end:/}/,keywords:n},t={className:"subst",begin:/#[A-Za-z$_]/,end:/(?:\-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,keywords:n},o=[e.BINARY_NUMBER_MODE,{className:"number",begin:"(\\b0[xX][a-fA-F0-9_]+)|(\\b\\d(\\d|_\\d)*(\\.(\\d(\\d|_\\d)*)?)?(_*[eE]([-+]\\d(_\\d|\\d)*)?)?[_a-z]*)",relevance:0,starts:{end:"(\\s*/)?",relevance:0}},{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,a,t]},{begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,a,t]},{begin:/\\/,end:/(\s|$)/,excludeEnd:!0}]},{className:"regexp",variants:[{begin:"//",end:"//[gim]*",contains:[a,e.HASH_COMMENT_MODE]},{begin:/\/(?![ *])(\\\/|.)*?\/[gim]*(?=\W)/}]},{begin:"@"+s},{begin:"``",end:"``",excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"}];a.contains=o;var d={className:"params",begin:"\\(",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:n,contains:["self"].concat(o)}]};return{aliases:["ls"],keywords:n,illegal:/\/\*/,contains:o.concat([e.COMMENT("\\/\\*","\\*\\/"),e.HASH_COMMENT_MODE,{begin:"(#=>|=>|\\|>>|-?->|\\!->)"},{className:"function",contains:[i,d],returnBegin:!0,variants:[{begin:"("+s+"\\s*(?:=|:=)\\s*)?(\\(.*\\))?\\s*\\B\\->\\*?",end:"\\->\\*?"},{begin:"("+s+"\\s*(?:=|:=)\\s*)?!?(\\(.*\\))?\\s*\\B[-~]{1,2}>\\*?",end:"[-~]{1,2}>\\*?"},{begin:"("+s+"\\s*(?:=|:=)\\s*)?(\\(.*\\))?\\s*\\B!?[-~]{1,2}>\\*?",end:"!?[-~]{1,2}>\\*?"}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[i]},i]},{begin:s+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}}}]);
-//# sourceMappingURL=livescript.js.map?v=2a3b108b77565b4b13ce \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[97],{464:function(e,n){e.exports=function(e){var n={keyword:"in if for while finally new do return else break catch instanceof throw try this switch continue typeof delete debugger case default function var with then unless until loop of by when and or is isnt not it that otherwise from to til fallthrough super case default function var void const let enum export import native list map __hasProp __extends __slice __bind __indexOf",literal:"true false null undefined yes no on off it that void",built_in:"npm require console print module global window document"},s="[A-Za-z$_](?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*",i=e.inherit(e.TITLE_MODE,{begin:s}),a={className:"subst",begin:/#\{/,end:/}/,keywords:n},t={className:"subst",begin:/#[A-Za-z$_]/,end:/(?:\-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,keywords:n},o=[e.BINARY_NUMBER_MODE,{className:"number",begin:"(\\b0[xX][a-fA-F0-9_]+)|(\\b\\d(\\d|_\\d)*(\\.(\\d(\\d|_\\d)*)?)?(_*[eE]([-+]\\d(_\\d|\\d)*)?)?[_a-z]*)",relevance:0,starts:{end:"(\\s*/)?",relevance:0}},{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,a,t]},{begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,a,t]},{begin:/\\/,end:/(\s|$)/,excludeEnd:!0}]},{className:"regexp",variants:[{begin:"//",end:"//[gim]*",contains:[a,e.HASH_COMMENT_MODE]},{begin:/\/(?![ *])(\\\/|.)*?\/[gim]*(?=\W)/}]},{begin:"@"+s},{begin:"``",end:"``",excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"}];a.contains=o;var d={className:"params",begin:"\\(",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:n,contains:["self"].concat(o)}]};return{aliases:["ls"],keywords:n,illegal:/\/\*/,contains:o.concat([e.COMMENT("\\/\\*","\\*\\/"),e.HASH_COMMENT_MODE,{begin:"(#=>|=>|\\|>>|-?->|\\!->)"},{className:"function",contains:[i,d],returnBegin:!0,variants:[{begin:"("+s+"\\s*(?:=|:=)\\s*)?(\\(.*\\))?\\s*\\B\\->\\*?",end:"\\->\\*?"},{begin:"("+s+"\\s*(?:=|:=)\\s*)?!?(\\(.*\\))?\\s*\\B[-~]{1,2}>\\*?",end:"[-~]{1,2}>\\*?"},{begin:"("+s+"\\s*(?:=|:=)\\s*)?(\\(.*\\))?\\s*\\B!?[-~]{1,2}>\\*?",end:"!?[-~]{1,2}>\\*?"}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[i]},i]},{begin:s+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}}}]);
+//# sourceMappingURL=livescript.js.map?v=12080a42229846062a9e \ No newline at end of file
diff --git a/js/highlight/livescript.js.map b/js/highlight/livescript.js.map
index 81461f9ee..3d702c451 100644
--- a/js/highlight/livescript.js.map
+++ b/js/highlight/livescript.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/livescript.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","built_in","JS_IDENT_RE","TITLE","inherit","TITLE_MODE","begin","SUBST","className","end","keywords","SUBST_SIMPLE","EXPRESSIONS","BINARY_NUMBER_MODE","relevance","starts","variants","contains","BACKSLASH_ESCAPE","excludeEnd","HASH_COMMENT_MODE","excludeBegin","subLanguage","PARAMS","returnBegin","concat","aliases","illegal","COMMENT","beginKeywords","endsWithParent","returnEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QAEE,uXAMFC,QAEE,uDAGFC,SACE,2DAEAC,EAAc,8CACdC,EAAQN,EAAKO,QAAQP,EAAKQ,WAAY,CAACC,MAAOJ,IAC9CK,EAAQ,CACVC,UAAW,QACXF,MAAO,MAAOG,IAAK,IACnBC,SAAUZ,GAERa,EAAe,CACjBH,UAAW,QACXF,MAAO,cAAeG,IAAK,qCAC3BC,SAAUZ,GAERc,EAAc,CAChBf,EAAKgB,mBACL,CACEL,UAAW,SACXF,MAAO,0GACPQ,UAAW,EACXC,OAAQ,CAACN,IAAK,WAAYK,UAAW,IAEvC,CACEN,UAAW,SACXQ,SAAU,CACR,CACEV,MAAO,MAAOG,IAAK,MACnBQ,SAAU,CAACpB,EAAKqB,mBAElB,CACEZ,MAAO,IAAKG,IAAK,IACjBQ,SAAU,CAACpB,EAAKqB,mBAElB,CACEZ,MAAO,MAAOG,IAAK,MACnBQ,SAAU,CAACpB,EAAKqB,iBAAkBX,EAAOI,IAE3C,CACEL,MAAO,IAAKG,IAAK,IACjBQ,SAAU,CAACpB,EAAKqB,iBAAkBX,EAAOI,IAE3C,CACEL,MAAO,KAAMG,IAAK,SAClBU,YAAY,KAIlB,CACEX,UAAW,SACXQ,SAAU,CACR,CACEV,MAAO,KAAMG,IAAK,WAClBQ,SAAU,CAACV,EAAOV,EAAKuB,oBAEzB,CAGEd,MAAO,wCAIb,CACEA,MAAO,IAAMJ,GAEf,CACEI,MAAO,KAAMG,IAAK,KAClBY,cAAc,EAAMF,YAAY,EAChCG,YAAa,eAGjBf,EAAMU,SAAWL,EAEjB,IAAIW,EAAS,CACXf,UAAW,SACXF,MAAO,MAAOkB,aAAa,EAG3BP,SAAU,CACR,CACEX,MAAO,KAAMG,IAAK,KAClBC,SAAUZ,EACVmB,SAAU,CAAC,QAAQQ,OAAOb,MAShC,MAAO,CACLc,QAAS,CAAC,MACVhB,SAAUZ,EACV6B,QAAS,OACTV,SAAUL,EAAYa,OAAO,CAC3B5B,EAAK+B,QAAQ,SAAU,UACvB/B,EAAKuB,kBAVK,CACZd,MAAO,6BAWL,CACEE,UAAW,WACXS,SAAU,CAACd,EAAOoB,GAClBC,aAAa,EACbR,SAAU,CACR,CACEV,MAAO,IAAMJ,EAAc,+CAAgDO,IAAK,YAElF,CACEH,MAAO,IAAMJ,EAAc,uDAAwDO,IAAK,kBAE1F,CACEH,MAAO,IAAMJ,EAAc,uDAAwDO,IAAK,sBAI9F,CACED,UAAW,QACXqB,cAAe,QACfpB,IAAK,IACLkB,QAAS,YACTV,SAAU,CACR,CACEY,cAAe,UACfC,gBAAgB,EAChBH,QAAS,YACTV,SAAU,CAACd,IAEbA,IAGJ,CACEG,MAAOJ,EAAc,IAAKO,IAAK,IAC/Be,aAAa,EAAMO,WAAW,EAC9BjB,UAAW","file":"highlight/livescript.js?v=2a3b108b77565b4b13ce","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n // JS keywords\n 'in if for while finally new do return else break catch instanceof throw try this ' +\n 'switch continue typeof delete debugger case default function var with ' +\n // LiveScript keywords\n 'then unless until loop of by when and or is isnt not it that otherwise from to til fallthrough super ' +\n 'case default function var void const let enum export import native list map ' +\n '__hasProp __extends __slice __bind __indexOf',\n literal:\n // JS literals\n 'true false null undefined ' +\n // LiveScript literals\n 'yes no on off it that void',\n built_in:\n 'npm require console print module global window document'\n };\n var JS_IDENT_RE = '[A-Za-z$_](?:\\-[0-9A-Za-z$_]|[0-9A-Za-z$_])*';\n var TITLE = hljs.inherit(hljs.TITLE_MODE, {begin: JS_IDENT_RE});\n var SUBST = {\n className: 'subst',\n begin: /#\\{/, end: /}/,\n keywords: KEYWORDS\n };\n var SUBST_SIMPLE = {\n className: 'subst',\n begin: /#[A-Za-z$_]/, end: /(?:\\-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,\n keywords: KEYWORDS\n };\n var EXPRESSIONS = [\n hljs.BINARY_NUMBER_MODE,\n {\n className: 'number',\n begin: '(\\\\b0[xX][a-fA-F0-9_]+)|(\\\\b\\\\d(\\\\d|_\\\\d)*(\\\\.(\\\\d(\\\\d|_\\\\d)*)?)?(_*[eE]([-+]\\\\d(_\\\\d|\\\\d)*)?)?[_a-z]*)',\n relevance: 0,\n starts: {end: '(\\\\s*/)?', relevance: 0} // a number tries to eat the following slash to prevent treating it as a regexp\n },\n {\n className: 'string',\n variants: [\n {\n begin: /'''/, end: /'''/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: /'/, end: /'/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: /\"\"\"/, end: /\"\"\"/,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, SUBST_SIMPLE]\n },\n {\n begin: /\"/, end: /\"/,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, SUBST_SIMPLE]\n },\n {\n begin: /\\\\/, end: /(\\s|$)/,\n excludeEnd: true\n }\n ]\n },\n {\n className: 'regexp',\n variants: [\n {\n begin: '//', end: '//[gim]*',\n contains: [SUBST, hljs.HASH_COMMENT_MODE]\n },\n {\n // regex can't start with space to parse x / 2 / 3 as two divisions\n // regex can't start with *, and it supports an \"illegal\" in the main mode\n begin: /\\/(?![ *])(\\\\\\/|.)*?\\/[gim]*(?=\\W)/\n }\n ]\n },\n {\n begin: '@' + JS_IDENT_RE\n },\n {\n begin: '``', end: '``',\n excludeBegin: true, excludeEnd: true,\n subLanguage: 'javascript'\n }\n ];\n SUBST.contains = EXPRESSIONS;\n\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', returnBegin: true,\n /* We need another contained nameless mode to not have every nested\n pair of parens to be called \"params\" */\n contains: [\n {\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n contains: ['self'].concat(EXPRESSIONS)\n }\n ]\n };\n\n var SYMBOLS = {\n begin: '(#=>|=>|\\\\|>>|-?->|\\\\!->)'\n };\n\n return {\n aliases: ['ls'],\n keywords: KEYWORDS,\n illegal: /\\/\\*/,\n contains: EXPRESSIONS.concat([\n hljs.COMMENT('\\\\/\\\\*', '\\\\*\\\\/'),\n hljs.HASH_COMMENT_MODE,\n SYMBOLS, // relevance booster\n {\n className: 'function',\n contains: [TITLE, PARAMS],\n returnBegin: true,\n variants: [\n {\n begin: '(' + JS_IDENT_RE + '\\\\s*(?:=|:=)\\\\s*)?(\\\\(.*\\\\))?\\\\s*\\\\B\\\\->\\\\*?', end: '\\\\->\\\\*?'\n },\n {\n begin: '(' + JS_IDENT_RE + '\\\\s*(?:=|:=)\\\\s*)?!?(\\\\(.*\\\\))?\\\\s*\\\\B[-~]{1,2}>\\\\*?', end: '[-~]{1,2}>\\\\*?'\n },\n {\n begin: '(' + JS_IDENT_RE + '\\\\s*(?:=|:=)\\\\s*)?(\\\\(.*\\\\))?\\\\s*\\\\B!?[-~]{1,2}>\\\\*?', end: '!?[-~]{1,2}>\\\\*?'\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class',\n end: '$',\n illegal: /[:=\"\\[\\]]/,\n contains: [\n {\n beginKeywords: 'extends',\n endsWithParent: true,\n illegal: /[:=\"\\[\\]]/,\n contains: [TITLE]\n },\n TITLE\n ]\n },\n {\n begin: JS_IDENT_RE + ':', end: ':',\n returnBegin: true, returnEnd: true,\n relevance: 0\n }\n ])\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/livescript.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","built_in","JS_IDENT_RE","TITLE","inherit","TITLE_MODE","begin","SUBST","className","end","keywords","SUBST_SIMPLE","EXPRESSIONS","BINARY_NUMBER_MODE","relevance","starts","variants","contains","BACKSLASH_ESCAPE","excludeEnd","HASH_COMMENT_MODE","excludeBegin","subLanguage","PARAMS","returnBegin","concat","aliases","illegal","COMMENT","beginKeywords","endsWithParent","returnEnd"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QAEE,uXAMFC,QAEE,uDAGFC,SACE,2DAEAC,EAAc,8CACdC,EAAQN,EAAKO,QAAQP,EAAKQ,WAAY,CAACC,MAAOJ,IAC9CK,EAAQ,CACVC,UAAW,QACXF,MAAO,MAAOG,IAAK,IACnBC,SAAUZ,GAERa,EAAe,CACjBH,UAAW,QACXF,MAAO,cAAeG,IAAK,qCAC3BC,SAAUZ,GAERc,EAAc,CAChBf,EAAKgB,mBACL,CACEL,UAAW,SACXF,MAAO,0GACPQ,UAAW,EACXC,OAAQ,CAACN,IAAK,WAAYK,UAAW,IAEvC,CACEN,UAAW,SACXQ,SAAU,CACR,CACEV,MAAO,MAAOG,IAAK,MACnBQ,SAAU,CAACpB,EAAKqB,mBAElB,CACEZ,MAAO,IAAKG,IAAK,IACjBQ,SAAU,CAACpB,EAAKqB,mBAElB,CACEZ,MAAO,MAAOG,IAAK,MACnBQ,SAAU,CAACpB,EAAKqB,iBAAkBX,EAAOI,IAE3C,CACEL,MAAO,IAAKG,IAAK,IACjBQ,SAAU,CAACpB,EAAKqB,iBAAkBX,EAAOI,IAE3C,CACEL,MAAO,KAAMG,IAAK,SAClBU,YAAY,KAIlB,CACEX,UAAW,SACXQ,SAAU,CACR,CACEV,MAAO,KAAMG,IAAK,WAClBQ,SAAU,CAACV,EAAOV,EAAKuB,oBAEzB,CAGEd,MAAO,wCAIb,CACEA,MAAO,IAAMJ,GAEf,CACEI,MAAO,KAAMG,IAAK,KAClBY,cAAc,EAAMF,YAAY,EAChCG,YAAa,eAGjBf,EAAMU,SAAWL,EAEjB,IAAIW,EAAS,CACXf,UAAW,SACXF,MAAO,MAAOkB,aAAa,EAG3BP,SAAU,CACR,CACEX,MAAO,KAAMG,IAAK,KAClBC,SAAUZ,EACVmB,SAAU,CAAC,QAAQQ,OAAOb,MAShC,MAAO,CACLc,QAAS,CAAC,MACVhB,SAAUZ,EACV6B,QAAS,OACTV,SAAUL,EAAYa,OAAO,CAC3B5B,EAAK+B,QAAQ,SAAU,UACvB/B,EAAKuB,kBAVK,CACZd,MAAO,6BAWL,CACEE,UAAW,WACXS,SAAU,CAACd,EAAOoB,GAClBC,aAAa,EACbR,SAAU,CACR,CACEV,MAAO,IAAMJ,EAAc,+CAAgDO,IAAK,YAElF,CACEH,MAAO,IAAMJ,EAAc,uDAAwDO,IAAK,kBAE1F,CACEH,MAAO,IAAMJ,EAAc,uDAAwDO,IAAK,sBAI9F,CACED,UAAW,QACXqB,cAAe,QACfpB,IAAK,IACLkB,QAAS,YACTV,SAAU,CACR,CACEY,cAAe,UACfC,gBAAgB,EAChBH,QAAS,YACTV,SAAU,CAACd,IAEbA,IAGJ,CACEG,MAAOJ,EAAc,IAAKO,IAAK,IAC/Be,aAAa,EAAMO,WAAW,EAC9BjB,UAAW","file":"highlight/livescript.js?v=12080a42229846062a9e","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n // JS keywords\n 'in if for while finally new do return else break catch instanceof throw try this ' +\n 'switch continue typeof delete debugger case default function var with ' +\n // LiveScript keywords\n 'then unless until loop of by when and or is isnt not it that otherwise from to til fallthrough super ' +\n 'case default function var void const let enum export import native list map ' +\n '__hasProp __extends __slice __bind __indexOf',\n literal:\n // JS literals\n 'true false null undefined ' +\n // LiveScript literals\n 'yes no on off it that void',\n built_in:\n 'npm require console print module global window document'\n };\n var JS_IDENT_RE = '[A-Za-z$_](?:\\-[0-9A-Za-z$_]|[0-9A-Za-z$_])*';\n var TITLE = hljs.inherit(hljs.TITLE_MODE, {begin: JS_IDENT_RE});\n var SUBST = {\n className: 'subst',\n begin: /#\\{/, end: /}/,\n keywords: KEYWORDS\n };\n var SUBST_SIMPLE = {\n className: 'subst',\n begin: /#[A-Za-z$_]/, end: /(?:\\-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,\n keywords: KEYWORDS\n };\n var EXPRESSIONS = [\n hljs.BINARY_NUMBER_MODE,\n {\n className: 'number',\n begin: '(\\\\b0[xX][a-fA-F0-9_]+)|(\\\\b\\\\d(\\\\d|_\\\\d)*(\\\\.(\\\\d(\\\\d|_\\\\d)*)?)?(_*[eE]([-+]\\\\d(_\\\\d|\\\\d)*)?)?[_a-z]*)',\n relevance: 0,\n starts: {end: '(\\\\s*/)?', relevance: 0} // a number tries to eat the following slash to prevent treating it as a regexp\n },\n {\n className: 'string',\n variants: [\n {\n begin: /'''/, end: /'''/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: /'/, end: /'/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: /\"\"\"/, end: /\"\"\"/,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, SUBST_SIMPLE]\n },\n {\n begin: /\"/, end: /\"/,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST, SUBST_SIMPLE]\n },\n {\n begin: /\\\\/, end: /(\\s|$)/,\n excludeEnd: true\n }\n ]\n },\n {\n className: 'regexp',\n variants: [\n {\n begin: '//', end: '//[gim]*',\n contains: [SUBST, hljs.HASH_COMMENT_MODE]\n },\n {\n // regex can't start with space to parse x / 2 / 3 as two divisions\n // regex can't start with *, and it supports an \"illegal\" in the main mode\n begin: /\\/(?![ *])(\\\\\\/|.)*?\\/[gim]*(?=\\W)/\n }\n ]\n },\n {\n begin: '@' + JS_IDENT_RE\n },\n {\n begin: '``', end: '``',\n excludeBegin: true, excludeEnd: true,\n subLanguage: 'javascript'\n }\n ];\n SUBST.contains = EXPRESSIONS;\n\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', returnBegin: true,\n /* We need another contained nameless mode to not have every nested\n pair of parens to be called \"params\" */\n contains: [\n {\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n contains: ['self'].concat(EXPRESSIONS)\n }\n ]\n };\n\n var SYMBOLS = {\n begin: '(#=>|=>|\\\\|>>|-?->|\\\\!->)'\n };\n\n return {\n aliases: ['ls'],\n keywords: KEYWORDS,\n illegal: /\\/\\*/,\n contains: EXPRESSIONS.concat([\n hljs.COMMENT('\\\\/\\\\*', '\\\\*\\\\/'),\n hljs.HASH_COMMENT_MODE,\n SYMBOLS, // relevance booster\n {\n className: 'function',\n contains: [TITLE, PARAMS],\n returnBegin: true,\n variants: [\n {\n begin: '(' + JS_IDENT_RE + '\\\\s*(?:=|:=)\\\\s*)?(\\\\(.*\\\\))?\\\\s*\\\\B\\\\->\\\\*?', end: '\\\\->\\\\*?'\n },\n {\n begin: '(' + JS_IDENT_RE + '\\\\s*(?:=|:=)\\\\s*)?!?(\\\\(.*\\\\))?\\\\s*\\\\B[-~]{1,2}>\\\\*?', end: '[-~]{1,2}>\\\\*?'\n },\n {\n begin: '(' + JS_IDENT_RE + '\\\\s*(?:=|:=)\\\\s*)?(\\\\(.*\\\\))?\\\\s*\\\\B!?[-~]{1,2}>\\\\*?', end: '!?[-~]{1,2}>\\\\*?'\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class',\n end: '$',\n illegal: /[:=\"\\[\\]]/,\n contains: [\n {\n beginKeywords: 'extends',\n endsWithParent: true,\n illegal: /[:=\"\\[\\]]/,\n contains: [TITLE]\n },\n TITLE\n ]\n },\n {\n begin: JS_IDENT_RE + ':', end: ':',\n returnBegin: true, returnEnd: true,\n relevance: 0\n }\n ])\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/llvm.js b/js/highlight/llvm.js
index 1b9a80f7c..b33641327 100644
--- a/js/highlight/llvm.js
+++ b/js/highlight/llvm.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[98],{464:function(e,n){e.exports=function(e){var n="([-a-zA-Z$._][\\w\\-$.]*)";return{keywords:"begin end true false declare define global constant private linker_private internal available_externally linkonce linkonce_odr weak weak_odr appending dllimport dllexport common default hidden protected extern_weak external thread_local zeroinitializer undef null to tail target triple datalayout volatile nuw nsw nnan ninf nsz arcp fast exact inbounds align addrspace section alias module asm sideeffect gc dbg linker_private_weak attributes blockaddress initialexec localdynamic localexec prefix unnamed_addr ccc fastcc coldcc x86_stdcallcc x86_fastcallcc arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ptx_kernel intel_ocl_bicc msp430_intrcc spir_func spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc cc c signext zeroext inreg sret nounwind noreturn noalias nocapture byval nest readnone readonly inlinehint noinline alwaysinline optsize ssp sspreq noredzone noimplicitfloat naked builtin cold nobuiltin noduplicate nonlazybind optnone returns_twice sanitize_address sanitize_memory sanitize_thread sspstrong uwtable returned type opaque eq ne slt sgt sle sge ult ugt ule uge oeq one olt ogt ole oge ord uno ueq une x acq_rel acquire alignstack atomic catch cleanup filter inteldialect max min monotonic nand personality release seq_cst singlethread umax umin unordered xchg add fadd sub fsub mul fmul udiv sdiv fdiv urem srem frem shl lshr ashr and or xor icmp fcmp phi call trunc zext sext fptrunc fpext uitofp sitofp fptoui fptosi inttoptr ptrtoint bitcast addrspacecast select va_arg ret br switch invoke unwind unreachable indirectbr landingpad resume malloc alloca free load store getelementptr extractelement insertelement shufflevector getresult extractvalue insertvalue atomicrmw cmpxchg fence argmemonly double",contains:[{className:"keyword",begin:"i\\d+"},e.COMMENT(";","\\n",{relevance:0}),e.QUOTE_STRING_MODE,{className:"string",variants:[{begin:'"',end:'[^\\\\]"'}],relevance:0},{className:"title",variants:[{begin:"@"+n},{begin:"@\\d+"},{begin:"!"+n},{begin:"!\\d+"+n}]},{className:"symbol",variants:[{begin:"%"+n},{begin:"%\\d+"},{begin:"#\\d+"}]},{className:"number",variants:[{begin:"0[xX][a-fA-F0-9]+"},{begin:"-?\\d+(?:[.]\\d+)?(?:[eE][-+]?\\d+(?:[.]\\d+)?)?"}],relevance:0}]}}}}]);
-//# sourceMappingURL=llvm.js.map?v=de8eeeb74721bdcb4f62 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[98],{465:function(e,n){e.exports=function(e){var n="([-a-zA-Z$._][\\w\\-$.]*)";return{keywords:"begin end true false declare define global constant private linker_private internal available_externally linkonce linkonce_odr weak weak_odr appending dllimport dllexport common default hidden protected extern_weak external thread_local zeroinitializer undef null to tail target triple datalayout volatile nuw nsw nnan ninf nsz arcp fast exact inbounds align addrspace section alias module asm sideeffect gc dbg linker_private_weak attributes blockaddress initialexec localdynamic localexec prefix unnamed_addr ccc fastcc coldcc x86_stdcallcc x86_fastcallcc arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ptx_kernel intel_ocl_bicc msp430_intrcc spir_func spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc cc c signext zeroext inreg sret nounwind noreturn noalias nocapture byval nest readnone readonly inlinehint noinline alwaysinline optsize ssp sspreq noredzone noimplicitfloat naked builtin cold nobuiltin noduplicate nonlazybind optnone returns_twice sanitize_address sanitize_memory sanitize_thread sspstrong uwtable returned type opaque eq ne slt sgt sle sge ult ugt ule uge oeq one olt ogt ole oge ord uno ueq une x acq_rel acquire alignstack atomic catch cleanup filter inteldialect max min monotonic nand personality release seq_cst singlethread umax umin unordered xchg add fadd sub fsub mul fmul udiv sdiv fdiv urem srem frem shl lshr ashr and or xor icmp fcmp phi call trunc zext sext fptrunc fpext uitofp sitofp fptoui fptosi inttoptr ptrtoint bitcast addrspacecast select va_arg ret br switch invoke unwind unreachable indirectbr landingpad resume malloc alloca free load store getelementptr extractelement insertelement shufflevector getresult extractvalue insertvalue atomicrmw cmpxchg fence argmemonly double",contains:[{className:"keyword",begin:"i\\d+"},e.COMMENT(";","\\n",{relevance:0}),e.QUOTE_STRING_MODE,{className:"string",variants:[{begin:'"',end:'[^\\\\]"'}],relevance:0},{className:"title",variants:[{begin:"@"+n},{begin:"@\\d+"},{begin:"!"+n},{begin:"!\\d+"+n}]},{className:"symbol",variants:[{begin:"%"+n},{begin:"%\\d+"},{begin:"#\\d+"}]},{className:"number",variants:[{begin:"0[xX][a-fA-F0-9]+"},{begin:"-?\\d+(?:[.]\\d+)?(?:[eE][-+]?\\d+(?:[.]\\d+)?)?"}],relevance:0}]}}}}]);
+//# sourceMappingURL=llvm.js.map?v=53196626494a177837ab \ No newline at end of file
diff --git a/js/highlight/llvm.js.map b/js/highlight/llvm.js.map
index 2bc4b96f8..dfb828a0f 100644
--- a/js/highlight/llvm.js.map
+++ b/js/highlight/llvm.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/llvm.js"],"names":["module","exports","hljs","identifier","keywords","contains","className","begin","COMMENT","relevance","QUOTE_STRING_MODE","variants","end"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAa,4BACjB,MAAO,CAELC,SACE,ysDAqCFC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,SAETL,EAAKM,QACH,IAAK,MAAO,CAACC,UAAW,IAG1BP,EAAKQ,kBACL,CACEJ,UAAW,SACXK,SAAU,CAER,CAAEJ,MAAO,IAAKK,IAAK,aAErBH,UAAW,GAEb,CACEH,UAAW,QACXK,SAAU,CACR,CAAEJ,MAAO,IAAMJ,GACf,CAAEI,MAAO,SACT,CAAEA,MAAO,IAAMJ,GACf,CAAEI,MAAO,QAAUJ,KAGvB,CACEG,UAAW,SACXK,SAAU,CACR,CAAEJ,MAAO,IAAMJ,GACf,CAAEI,MAAO,SACT,CAAEA,MAAO,WAGb,CACED,UAAW,SACXK,SAAU,CACN,CAAEJ,MAAO,qBACT,CAAEA,MAAO,qDAEbE,UAAW","file":"highlight/llvm.js?v=de8eeeb74721bdcb4f62","sourcesContent":["module.exports = function(hljs) {\n var identifier = '([-a-zA-Z$._][\\\\w\\\\-$.]*)';\n return {\n //lexemes: '[.%]?' + hljs.IDENT_RE,\n keywords:\n 'begin end true false declare define global ' +\n 'constant private linker_private internal ' +\n 'available_externally linkonce linkonce_odr weak ' +\n 'weak_odr appending dllimport dllexport common ' +\n 'default hidden protected extern_weak external ' +\n 'thread_local zeroinitializer undef null to tail ' +\n 'target triple datalayout volatile nuw nsw nnan ' +\n 'ninf nsz arcp fast exact inbounds align ' +\n 'addrspace section alias module asm sideeffect ' +\n 'gc dbg linker_private_weak attributes blockaddress ' +\n 'initialexec localdynamic localexec prefix unnamed_addr ' +\n 'ccc fastcc coldcc x86_stdcallcc x86_fastcallcc ' +\n 'arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ' +\n 'ptx_kernel intel_ocl_bicc msp430_intrcc spir_func ' +\n 'spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc ' +\n 'cc c signext zeroext inreg sret nounwind ' +\n 'noreturn noalias nocapture byval nest readnone ' +\n 'readonly inlinehint noinline alwaysinline optsize ssp ' +\n 'sspreq noredzone noimplicitfloat naked builtin cold ' +\n 'nobuiltin noduplicate nonlazybind optnone returns_twice ' +\n 'sanitize_address sanitize_memory sanitize_thread sspstrong ' +\n 'uwtable returned type opaque eq ne slt sgt ' +\n 'sle sge ult ugt ule uge oeq one olt ogt ' +\n 'ole oge ord uno ueq une x acq_rel acquire ' +\n 'alignstack atomic catch cleanup filter inteldialect ' +\n 'max min monotonic nand personality release seq_cst ' +\n 'singlethread umax umin unordered xchg add fadd ' +\n 'sub fsub mul fmul udiv sdiv fdiv urem srem ' +\n 'frem shl lshr ashr and or xor icmp fcmp ' +\n 'phi call trunc zext sext fptrunc fpext uitofp ' +\n 'sitofp fptoui fptosi inttoptr ptrtoint bitcast ' +\n 'addrspacecast select va_arg ret br switch invoke ' +\n 'unwind unreachable indirectbr landingpad resume ' +\n 'malloc alloca free load store getelementptr ' +\n 'extractelement insertelement shufflevector getresult ' +\n 'extractvalue insertvalue atomicrmw cmpxchg fence ' +\n 'argmemonly double',\n contains: [\n {\n className: 'keyword',\n begin: 'i\\\\d+'\n },\n hljs.COMMENT(\n ';', '\\\\n', {relevance: 0}\n ),\n // Double quote string\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n variants: [\n // Double-quoted string\n { begin: '\"', end: '[^\\\\\\\\]\"' },\n ],\n relevance: 0\n },\n {\n className: 'title',\n variants: [\n { begin: '@' + identifier },\n { begin: '@\\\\d+' },\n { begin: '!' + identifier },\n { begin: '!\\\\d+' + identifier }\n ]\n },\n {\n className: 'symbol',\n variants: [\n { begin: '%' + identifier },\n { begin: '%\\\\d+' },\n { begin: '#\\\\d+' },\n ]\n },\n {\n className: 'number',\n variants: [\n { begin: '0[xX][a-fA-F0-9]+' },\n { begin: '-?\\\\d+(?:[.]\\\\d+)?(?:[eE][-+]?\\\\d+(?:[.]\\\\d+)?)?' }\n ],\n relevance: 0\n },\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/llvm.js"],"names":["module","exports","hljs","identifier","keywords","contains","className","begin","COMMENT","relevance","QUOTE_STRING_MODE","variants","end"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAa,4BACjB,MAAO,CAELC,SACE,ysDAqCFC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,SAETL,EAAKM,QACH,IAAK,MAAO,CAACC,UAAW,IAG1BP,EAAKQ,kBACL,CACEJ,UAAW,SACXK,SAAU,CAER,CAAEJ,MAAO,IAAKK,IAAK,aAErBH,UAAW,GAEb,CACEH,UAAW,QACXK,SAAU,CACR,CAAEJ,MAAO,IAAMJ,GACf,CAAEI,MAAO,SACT,CAAEA,MAAO,IAAMJ,GACf,CAAEI,MAAO,QAAUJ,KAGvB,CACEG,UAAW,SACXK,SAAU,CACR,CAAEJ,MAAO,IAAMJ,GACf,CAAEI,MAAO,SACT,CAAEA,MAAO,WAGb,CACED,UAAW,SACXK,SAAU,CACN,CAAEJ,MAAO,qBACT,CAAEA,MAAO,qDAEbE,UAAW","file":"highlight/llvm.js?v=53196626494a177837ab","sourcesContent":["module.exports = function(hljs) {\n var identifier = '([-a-zA-Z$._][\\\\w\\\\-$.]*)';\n return {\n //lexemes: '[.%]?' + hljs.IDENT_RE,\n keywords:\n 'begin end true false declare define global ' +\n 'constant private linker_private internal ' +\n 'available_externally linkonce linkonce_odr weak ' +\n 'weak_odr appending dllimport dllexport common ' +\n 'default hidden protected extern_weak external ' +\n 'thread_local zeroinitializer undef null to tail ' +\n 'target triple datalayout volatile nuw nsw nnan ' +\n 'ninf nsz arcp fast exact inbounds align ' +\n 'addrspace section alias module asm sideeffect ' +\n 'gc dbg linker_private_weak attributes blockaddress ' +\n 'initialexec localdynamic localexec prefix unnamed_addr ' +\n 'ccc fastcc coldcc x86_stdcallcc x86_fastcallcc ' +\n 'arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ' +\n 'ptx_kernel intel_ocl_bicc msp430_intrcc spir_func ' +\n 'spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc ' +\n 'cc c signext zeroext inreg sret nounwind ' +\n 'noreturn noalias nocapture byval nest readnone ' +\n 'readonly inlinehint noinline alwaysinline optsize ssp ' +\n 'sspreq noredzone noimplicitfloat naked builtin cold ' +\n 'nobuiltin noduplicate nonlazybind optnone returns_twice ' +\n 'sanitize_address sanitize_memory sanitize_thread sspstrong ' +\n 'uwtable returned type opaque eq ne slt sgt ' +\n 'sle sge ult ugt ule uge oeq one olt ogt ' +\n 'ole oge ord uno ueq une x acq_rel acquire ' +\n 'alignstack atomic catch cleanup filter inteldialect ' +\n 'max min monotonic nand personality release seq_cst ' +\n 'singlethread umax umin unordered xchg add fadd ' +\n 'sub fsub mul fmul udiv sdiv fdiv urem srem ' +\n 'frem shl lshr ashr and or xor icmp fcmp ' +\n 'phi call trunc zext sext fptrunc fpext uitofp ' +\n 'sitofp fptoui fptosi inttoptr ptrtoint bitcast ' +\n 'addrspacecast select va_arg ret br switch invoke ' +\n 'unwind unreachable indirectbr landingpad resume ' +\n 'malloc alloca free load store getelementptr ' +\n 'extractelement insertelement shufflevector getresult ' +\n 'extractvalue insertvalue atomicrmw cmpxchg fence ' +\n 'argmemonly double',\n contains: [\n {\n className: 'keyword',\n begin: 'i\\\\d+'\n },\n hljs.COMMENT(\n ';', '\\\\n', {relevance: 0}\n ),\n // Double quote string\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n variants: [\n // Double-quoted string\n { begin: '\"', end: '[^\\\\\\\\]\"' },\n ],\n relevance: 0\n },\n {\n className: 'title',\n variants: [\n { begin: '@' + identifier },\n { begin: '@\\\\d+' },\n { begin: '!' + identifier },\n { begin: '!\\\\d+' + identifier }\n ]\n },\n {\n className: 'symbol',\n variants: [\n { begin: '%' + identifier },\n { begin: '%\\\\d+' },\n { begin: '#\\\\d+' },\n ]\n },\n {\n className: 'number',\n variants: [\n { begin: '0[xX][a-fA-F0-9]+' },\n { begin: '-?\\\\d+(?:[.]\\\\d+)?(?:[eE][-+]?\\\\d+(?:[.]\\\\d+)?)?' }\n ],\n relevance: 0\n },\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/lsl.js b/js/highlight/lsl.js
index 344800e79..aa7834599 100644
--- a/js/highlight/lsl.js
+++ b/js/highlight/lsl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[99],{465:function(E,T){E.exports=function(E){var T={className:"string",begin:'"',end:'"',contains:[{className:"subst",begin:/\\[tn"\\]/}]},e={className:"number",begin:E.C_NUMBER_RE};return{illegal:":",contains:[T,{className:"comment",variants:[E.COMMENT("//","$"),E.COMMENT("/\\*","\\*/")],relevance:0},e,{className:"section",variants:[{begin:"\\b(?:state|default)\\b"},{begin:"\\b(?:state_(?:entry|exit)|touch(?:_(?:start|end))?|(?:land_)?collision(?:_(?:start|end))?|timer|listen|(?:no_)?sensor|control|(?:not_)?at_(?:rot_)?target|money|email|experience_permissions(?:_denied)?|run_time_permissions|changed|attach|dataserver|moving_(?:start|end)|link_message|(?:on|object)_rez|remote_data|http_re(?:sponse|quest)|path_update|transaction_result)\\b"}]},{className:"built_in",begin:"\\b(?:ll(?:AgentInExperience|(?:Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(?:Details|ErrorMessage)|ReturnObjectsBy(?:ID|Owner)|Json(?:2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(?:Mag|Norm|Dist)|Rot(?:Between|2(?:Euler|Fwd|Left|Up))|(?:Euler|Axes)2Rot|Whisper|(?:Region|Owner)?Say|Shout|Listen(?:Control|Remove)?|Sensor(?:Repeat|Remove)?|Detected(?:Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|(?:[GS]et)(?:AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(?:Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(?:Scale|Offset|Rotate)Texture|(?:Rot)?Target(?:Remove)?|(?:Stop)?MoveToTarget|Apply(?:Rotational)?Impulse|Set(?:KeyframedMotion|ContentType|RegionPos|(?:Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(?:Queueing|Radius)|Vehicle(?:Type|(?:Float|Vector|Rotation)Param)|(?:Touch|Sit)?Text|Camera(?:Eye|At)Offset|PrimitiveParams|ClickAction|Link(?:Alpha|Color|PrimitiveParams(?:Fast)?|Texture(?:Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get(?:(?:Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(?:PrimitiveParams|Number(?:OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(?:Details|PermMask|PrimCount)|Parcel(?:MaxPrims|Details|Prim(?:Count|Owners))|Attached(?:List)?|(?:SPMax|Free|Used)Memory|Region(?:Name|TimeDilation|FPS|Corner|AgentCount)|Root(?:Position|Rotation)|UnixTime|(?:Parcel|Region)Flags|(?:Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(?:Prims|NotecardLines|Sides)|Animation(?:List)?|(?:Camera|Local)(?:Pos|Rot)|Vel|Accel|Omega|Time(?:stamp|OfDay)|(?:Object|CenterOf)?Mass|MassMKS|Energy|Owner|(?:Owner)?Key|SunDirection|Texture(?:Offset|Scale|Rot)|Inventory(?:Number|Name|Key|Type|Creator|PermMask)|Permissions(?:Key)?|StartParameter|List(?:Length|EntryType)|Date|Agent(?:Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(?:Name|State))|(?:Get|Reset|GetAndReset)Time|PlaySound(?:Slave)?|LoopSound(?:Master|Slave)?|(?:Trigger|Stop|Preload)Sound|(?:(?:Get|Delete)Sub|Insert)String|To(?:Upper|Lower)|Give(?:InventoryList|Money)|RezObject|(?:Stop)?LookAt|Sleep|CollisionFilter|(?:Take|Release)Controls|DetachFromAvatar|AttachToAvatar(?:Temp)?|InstantMessage|(?:GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(?:Length|Trim)|(?:Start|Stop)Animation|TargetOmega|Request(?:Experience)?Permissions|(?:Create|Break)Link|BreakAllLinks|(?:Give|Remove)Inventory|Water|PassTouches|Request(?:Agent|Inventory)Data|TeleportAgent(?:Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(?:Axis|Angle)|A(?:cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(?:CSV|Integer|Json|Float|String|Key|Vector|Rot|List(?:Strided)?)|DeleteSubList|List(?:Statistics|Sort|Randomize|(?:Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(?:CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(?:Slope|Normal|Contour)|GroundRepel|(?:Set|Remove)VehicleFlags|SitOnLink|(?:AvatarOn)?(?:Link)?SitTarget|Script(?:Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(?:Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(?:Integer|String)ToBase64|XorBase64|Log(?:10)?|Base64To(?:String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(?:Load|Release|(?:E|Une)scape)URL|ParcelMedia(?:CommandList|Query)|ModPow|MapDestination|(?:RemoveFrom|AddTo|Reset)Land(?:Pass|Ban)List|(?:Set|Clear)CameraParams|HTTP(?:Request|Response)|TextBox|DetectedTouch(?:UV|Face|Pos|(?:N|Bin)ormal|ST)|(?:MD5|SHA1|DumpList2)String|Request(?:Secure)?URL|Clear(?:Prim|Link)Media|(?:Link)?ParticleSystem|(?:Get|Request)(?:Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(?:Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\b"},{className:"literal",variants:[{begin:"\\b(?:PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\b"},{begin:"\\b(?:XP_ERROR_(?:EXPERIENCES_DISABLED|EXPERIENCE_(?:DISABLED|SUSPENDED)|INVALID_(?:EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(?:FOUND|PERMITTED(?:_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(?:PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(?:_OBJECT)?|(?:DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(?:FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(?:_(?:BY_(?:LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(?:PARCEL(?:_OWNER)?|REGION)))?|CAMERA_(?:PITCH|DISTANCE|BEHINDNESS_(?:ANGLE|LAG)|(?:FOCUS|POSITION)(?:_(?:THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(?:ROOT|SET|ALL_(?:OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(?:IVE|_(?:ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(?:FWD|BACK|(?:ROT_)?(?:LEFT|RIGHT)|UP|DOWN|(?:ML_)?LBUTTON)|PERMISSION_(?:RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(?:CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(?:TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(?:INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(?:_START)?|TELEPORT|MEDIA)|OBJECT_(?:CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(?:PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(?:ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(?:COUNT|EQUIVALENCE)|RETURN_(?:PARCEL(?:_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(?:_TAG)?|CREATOR|ATTACHED_(?:POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(?:BODY_SHAPE|PATHFINDING)_TYPE|(?:RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(?:MEMORY|TIME))|TYPE_(?:INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(?:DEBUG|PUBLIC)_CHANNEL|ATTACH_(?:AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](?:SHOULDER|HAND|FOOT|EAR|EYE|[UL](?:ARM|LEG)|HIP)|(?:LEFT|RIGHT)_PEC|HUD_(?:CENTER_[12]|TOP_(?:RIGHT|CENTER|LEFT)|BOTTOM(?:_(?:RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(?:BASE|TIP)|[LR]WING|FACE_(?:JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(?:LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(?:ONLINE|NAME|BORN|SIM_(?:POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(?:ON_FILE|USED)|REMOTE_DATA_(?:CHANNEL|REQUEST|REPLY)|PSYS_(?:PART_(?:BF_(?:ZERO|ONE(?:_MINUS_(?:DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(?:START|END)_(?:COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(?:RIBBON|WIND|INTERP_(?:COLOR|SCALE)|BOUNCE|FOLLOW_(?:SRC|VELOCITY)|TARGET_(?:POS|LINEAR)|EMISSIVE)_MASK)|SRC_(?:MAX_AGE|PATTERN|ANGLE_(?:BEGIN|END)|BURST_(?:RATE|PART_COUNT|RADIUS|SPEED_(?:MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(?:DROP|EXPLODE|ANGLE(?:_CONE(?:_EMPTY)?)?)))|VEHICLE_(?:REFERENCE_FRAME|TYPE_(?:NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(?:LINEAR|ANGULAR)_(?:FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(?:HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(?:LINEAR|ANGULAR)_(?:DEFLECTION_(?:EFFICIENCY|TIMESCALE)|MOTOR_(?:DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(?:EFFICIENCY|TIMESCALE)|BANKING_(?:EFFICIENCY|MIX|TIMESCALE)|FLAG_(?:NO_DEFLECTION_UP|LIMIT_(?:ROLL_ONLY|MOTOR_UP)|HOVER_(?:(?:WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(?:STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(?:ALLOW_UNSIT|ALPHA_MODE(?:_(?:BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(?:_(?:BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(?:DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(?:_(?:STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(?:NONE|LOW|MEDIUM|HIGH)|BUMP_(?:NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(?:DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(?:TYPE_(?:SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(?:MIRROR|INVERT))|PHYSICS(?:_(?:SHAPE_(?:CONVEX|NONE|PRIM|TYPE)))?|(?:POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(?:ALT_IMAGE_ENABLE|CONTROLS|(?:CURRENT|HOME)_URL|AUTO_(?:LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(?:WIDTH|HEIGHT)_PIXELS|WHITELIST(?:_ENABLE)?|PERMS_(?:INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(?:STANDARD|MINI)|PERM_(?:NONE|OWNER|GROUP|ANYONE)|MAX_(?:URL_LENGTH|WHITELIST_(?:SIZE|COUNT)|(?:WIDTH|HEIGHT)_PIXELS)))|MASK_(?:BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(?:TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(?:MEDIA_COMMAND_(?:STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(?:ALLOW_(?:FLY|(?:GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(?:GROUP_)?OBJECTS)|USE_(?:ACCESS_(?:GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(?:GROUP|ALL)_OBJECT_ENTRY)|COUNT_(?:TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(?:NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(?:MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(?:_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(?:HIDE|DEFAULT)|REGION_FLAG_(?:ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(?:COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(?:METHOD|MIMETYPE|BODY_(?:MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(?:INVALID_(?:AGENT|LINK_OBJECT)|NO(?:T_EXPERIENCE|_(?:ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(?:TRIM(?:_(?:HEAD|TAIL))?)|CLICK_ACTION_(?:NONE|TOUCH|SIT|BUY|PAY|OPEN(?:_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(?:NONE|SCRIPT_MEMORY)|RC_(?:DATA_FLAGS|DETECT_PHANTOM|GET_(?:LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(?:TYPES|AGENTS|(?:NON)?PHYSICAL|LAND))|RCERR_(?:CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(?:ALLOWED_(?:AGENT|GROUP)_(?:ADD|REMOVE)|BANNED_AGENT_(?:ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(?:COMMAND|CMD_(?:PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(?:GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(?:CMD_(?:(?:SMOOTH_)?STOP|JUMP)|DESIRED_(?:TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(?:_(?:[ABCD]|NONE))?|MAX_(?:DECEL|TURN_RADIUS|(?:ACCEL|SPEED)))|PURSUIT_(?:OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(?:CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(?:EVADE_(?:HIDDEN|SPOTTED)|FAILURE_(?:DYNAMIC_PATHFINDING_DISABLED|INVALID_(?:GOAL|START)|NO_(?:NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(?:PARCEL_)?UNREACHABLE)|(?:GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(?:_(?:FAST|NONE|SLOW))?|CONTENT_TYPE_(?:ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(?:RADIUS|STATIC)|(?:PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(?:AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\b"},{begin:"\\b(?:FALSE|TRUE)\\b"},{begin:"\\b(?:ZERO_ROTATION)\\b"},{begin:"\\b(?:EOF|JSON_(?:ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(?:BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(?:GRANTED|DENIED))\\b"},{begin:"\\b(?:ZERO_VECTOR|TOUCH_INVALID_(?:TEXCOORD|VECTOR))\\b"}]},{className:"type",begin:"\\b(?:integer|float|string|key|vector|quaternion|rotation|list)\\b"}]}}}}]);
-//# sourceMappingURL=lsl.js.map?v=3e02aba5fc7b6b630780 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[99],{466:function(E,T){E.exports=function(E){var T={className:"string",begin:'"',end:'"',contains:[{className:"subst",begin:/\\[tn"\\]/}]},e={className:"number",begin:E.C_NUMBER_RE};return{illegal:":",contains:[T,{className:"comment",variants:[E.COMMENT("//","$"),E.COMMENT("/\\*","\\*/")],relevance:0},e,{className:"section",variants:[{begin:"\\b(?:state|default)\\b"},{begin:"\\b(?:state_(?:entry|exit)|touch(?:_(?:start|end))?|(?:land_)?collision(?:_(?:start|end))?|timer|listen|(?:no_)?sensor|control|(?:not_)?at_(?:rot_)?target|money|email|experience_permissions(?:_denied)?|run_time_permissions|changed|attach|dataserver|moving_(?:start|end)|link_message|(?:on|object)_rez|remote_data|http_re(?:sponse|quest)|path_update|transaction_result)\\b"}]},{className:"built_in",begin:"\\b(?:ll(?:AgentInExperience|(?:Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(?:Details|ErrorMessage)|ReturnObjectsBy(?:ID|Owner)|Json(?:2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(?:Mag|Norm|Dist)|Rot(?:Between|2(?:Euler|Fwd|Left|Up))|(?:Euler|Axes)2Rot|Whisper|(?:Region|Owner)?Say|Shout|Listen(?:Control|Remove)?|Sensor(?:Repeat|Remove)?|Detected(?:Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|(?:[GS]et)(?:AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(?:Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(?:Scale|Offset|Rotate)Texture|(?:Rot)?Target(?:Remove)?|(?:Stop)?MoveToTarget|Apply(?:Rotational)?Impulse|Set(?:KeyframedMotion|ContentType|RegionPos|(?:Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(?:Queueing|Radius)|Vehicle(?:Type|(?:Float|Vector|Rotation)Param)|(?:Touch|Sit)?Text|Camera(?:Eye|At)Offset|PrimitiveParams|ClickAction|Link(?:Alpha|Color|PrimitiveParams(?:Fast)?|Texture(?:Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get(?:(?:Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(?:PrimitiveParams|Number(?:OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(?:Details|PermMask|PrimCount)|Parcel(?:MaxPrims|Details|Prim(?:Count|Owners))|Attached(?:List)?|(?:SPMax|Free|Used)Memory|Region(?:Name|TimeDilation|FPS|Corner|AgentCount)|Root(?:Position|Rotation)|UnixTime|(?:Parcel|Region)Flags|(?:Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(?:Prims|NotecardLines|Sides)|Animation(?:List)?|(?:Camera|Local)(?:Pos|Rot)|Vel|Accel|Omega|Time(?:stamp|OfDay)|(?:Object|CenterOf)?Mass|MassMKS|Energy|Owner|(?:Owner)?Key|SunDirection|Texture(?:Offset|Scale|Rot)|Inventory(?:Number|Name|Key|Type|Creator|PermMask)|Permissions(?:Key)?|StartParameter|List(?:Length|EntryType)|Date|Agent(?:Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(?:Name|State))|(?:Get|Reset|GetAndReset)Time|PlaySound(?:Slave)?|LoopSound(?:Master|Slave)?|(?:Trigger|Stop|Preload)Sound|(?:(?:Get|Delete)Sub|Insert)String|To(?:Upper|Lower)|Give(?:InventoryList|Money)|RezObject|(?:Stop)?LookAt|Sleep|CollisionFilter|(?:Take|Release)Controls|DetachFromAvatar|AttachToAvatar(?:Temp)?|InstantMessage|(?:GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(?:Length|Trim)|(?:Start|Stop)Animation|TargetOmega|Request(?:Experience)?Permissions|(?:Create|Break)Link|BreakAllLinks|(?:Give|Remove)Inventory|Water|PassTouches|Request(?:Agent|Inventory)Data|TeleportAgent(?:Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(?:Axis|Angle)|A(?:cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(?:CSV|Integer|Json|Float|String|Key|Vector|Rot|List(?:Strided)?)|DeleteSubList|List(?:Statistics|Sort|Randomize|(?:Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(?:CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(?:Slope|Normal|Contour)|GroundRepel|(?:Set|Remove)VehicleFlags|SitOnLink|(?:AvatarOn)?(?:Link)?SitTarget|Script(?:Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(?:Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(?:Integer|String)ToBase64|XorBase64|Log(?:10)?|Base64To(?:String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(?:Load|Release|(?:E|Une)scape)URL|ParcelMedia(?:CommandList|Query)|ModPow|MapDestination|(?:RemoveFrom|AddTo|Reset)Land(?:Pass|Ban)List|(?:Set|Clear)CameraParams|HTTP(?:Request|Response)|TextBox|DetectedTouch(?:UV|Face|Pos|(?:N|Bin)ormal|ST)|(?:MD5|SHA1|DumpList2)String|Request(?:Secure)?URL|Clear(?:Prim|Link)Media|(?:Link)?ParticleSystem|(?:Get|Request)(?:Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(?:Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\b"},{className:"literal",variants:[{begin:"\\b(?:PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\b"},{begin:"\\b(?:XP_ERROR_(?:EXPERIENCES_DISABLED|EXPERIENCE_(?:DISABLED|SUSPENDED)|INVALID_(?:EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(?:FOUND|PERMITTED(?:_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(?:PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(?:_OBJECT)?|(?:DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(?:FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(?:_(?:BY_(?:LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(?:PARCEL(?:_OWNER)?|REGION)))?|CAMERA_(?:PITCH|DISTANCE|BEHINDNESS_(?:ANGLE|LAG)|(?:FOCUS|POSITION)(?:_(?:THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(?:ROOT|SET|ALL_(?:OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(?:IVE|_(?:ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(?:FWD|BACK|(?:ROT_)?(?:LEFT|RIGHT)|UP|DOWN|(?:ML_)?LBUTTON)|PERMISSION_(?:RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(?:CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(?:TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(?:INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(?:_START)?|TELEPORT|MEDIA)|OBJECT_(?:CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(?:PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(?:ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(?:COUNT|EQUIVALENCE)|RETURN_(?:PARCEL(?:_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(?:_TAG)?|CREATOR|ATTACHED_(?:POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(?:BODY_SHAPE|PATHFINDING)_TYPE|(?:RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(?:MEMORY|TIME))|TYPE_(?:INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(?:DEBUG|PUBLIC)_CHANNEL|ATTACH_(?:AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](?:SHOULDER|HAND|FOOT|EAR|EYE|[UL](?:ARM|LEG)|HIP)|(?:LEFT|RIGHT)_PEC|HUD_(?:CENTER_[12]|TOP_(?:RIGHT|CENTER|LEFT)|BOTTOM(?:_(?:RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(?:BASE|TIP)|[LR]WING|FACE_(?:JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(?:LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(?:ONLINE|NAME|BORN|SIM_(?:POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(?:ON_FILE|USED)|REMOTE_DATA_(?:CHANNEL|REQUEST|REPLY)|PSYS_(?:PART_(?:BF_(?:ZERO|ONE(?:_MINUS_(?:DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(?:START|END)_(?:COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(?:RIBBON|WIND|INTERP_(?:COLOR|SCALE)|BOUNCE|FOLLOW_(?:SRC|VELOCITY)|TARGET_(?:POS|LINEAR)|EMISSIVE)_MASK)|SRC_(?:MAX_AGE|PATTERN|ANGLE_(?:BEGIN|END)|BURST_(?:RATE|PART_COUNT|RADIUS|SPEED_(?:MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(?:DROP|EXPLODE|ANGLE(?:_CONE(?:_EMPTY)?)?)))|VEHICLE_(?:REFERENCE_FRAME|TYPE_(?:NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(?:LINEAR|ANGULAR)_(?:FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(?:HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(?:LINEAR|ANGULAR)_(?:DEFLECTION_(?:EFFICIENCY|TIMESCALE)|MOTOR_(?:DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(?:EFFICIENCY|TIMESCALE)|BANKING_(?:EFFICIENCY|MIX|TIMESCALE)|FLAG_(?:NO_DEFLECTION_UP|LIMIT_(?:ROLL_ONLY|MOTOR_UP)|HOVER_(?:(?:WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(?:STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(?:ALLOW_UNSIT|ALPHA_MODE(?:_(?:BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(?:_(?:BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(?:DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(?:_(?:STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(?:NONE|LOW|MEDIUM|HIGH)|BUMP_(?:NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(?:DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(?:TYPE_(?:SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(?:MIRROR|INVERT))|PHYSICS(?:_(?:SHAPE_(?:CONVEX|NONE|PRIM|TYPE)))?|(?:POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(?:ALT_IMAGE_ENABLE|CONTROLS|(?:CURRENT|HOME)_URL|AUTO_(?:LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(?:WIDTH|HEIGHT)_PIXELS|WHITELIST(?:_ENABLE)?|PERMS_(?:INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(?:STANDARD|MINI)|PERM_(?:NONE|OWNER|GROUP|ANYONE)|MAX_(?:URL_LENGTH|WHITELIST_(?:SIZE|COUNT)|(?:WIDTH|HEIGHT)_PIXELS)))|MASK_(?:BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(?:TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(?:MEDIA_COMMAND_(?:STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(?:ALLOW_(?:FLY|(?:GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(?:GROUP_)?OBJECTS)|USE_(?:ACCESS_(?:GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(?:GROUP|ALL)_OBJECT_ENTRY)|COUNT_(?:TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(?:NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(?:MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(?:_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(?:HIDE|DEFAULT)|REGION_FLAG_(?:ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(?:COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(?:METHOD|MIMETYPE|BODY_(?:MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(?:INVALID_(?:AGENT|LINK_OBJECT)|NO(?:T_EXPERIENCE|_(?:ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(?:TRIM(?:_(?:HEAD|TAIL))?)|CLICK_ACTION_(?:NONE|TOUCH|SIT|BUY|PAY|OPEN(?:_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(?:NONE|SCRIPT_MEMORY)|RC_(?:DATA_FLAGS|DETECT_PHANTOM|GET_(?:LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(?:TYPES|AGENTS|(?:NON)?PHYSICAL|LAND))|RCERR_(?:CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(?:ALLOWED_(?:AGENT|GROUP)_(?:ADD|REMOVE)|BANNED_AGENT_(?:ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(?:COMMAND|CMD_(?:PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(?:GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(?:CMD_(?:(?:SMOOTH_)?STOP|JUMP)|DESIRED_(?:TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(?:_(?:[ABCD]|NONE))?|MAX_(?:DECEL|TURN_RADIUS|(?:ACCEL|SPEED)))|PURSUIT_(?:OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(?:CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(?:EVADE_(?:HIDDEN|SPOTTED)|FAILURE_(?:DYNAMIC_PATHFINDING_DISABLED|INVALID_(?:GOAL|START)|NO_(?:NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(?:PARCEL_)?UNREACHABLE)|(?:GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(?:_(?:FAST|NONE|SLOW))?|CONTENT_TYPE_(?:ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(?:RADIUS|STATIC)|(?:PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(?:AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\b"},{begin:"\\b(?:FALSE|TRUE)\\b"},{begin:"\\b(?:ZERO_ROTATION)\\b"},{begin:"\\b(?:EOF|JSON_(?:ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(?:BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(?:GRANTED|DENIED))\\b"},{begin:"\\b(?:ZERO_VECTOR|TOUCH_INVALID_(?:TEXCOORD|VECTOR))\\b"}]},{className:"type",begin:"\\b(?:integer|float|string|key|vector|quaternion|rotation|list)\\b"}]}}}}]);
+//# sourceMappingURL=lsl.js.map?v=e6b4f915ea180b8d3b8a \ No newline at end of file
diff --git a/js/highlight/lsl.js.map b/js/highlight/lsl.js.map
index fe6ffba36..95dd14cf2 100644
--- a/js/highlight/lsl.js.map
+++ b/js/highlight/lsl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/lsl.js"],"names":["module","exports","hljs","LSL_STRINGS","className","begin","end","contains","LSL_NUMBERS","C_NUMBER_RE","illegal","variants","COMMENT","relevance"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAEtB,IAKIC,EAAc,CACdC,UAAW,SACXC,MAAO,IACPC,IAAK,IACLC,SAAU,CATgB,CAC1BH,UAAW,QACXC,MAAO,eAYPG,EAAc,CACdJ,UAAW,SACXC,MAAOH,EAAKO,aAgChB,MAAO,CACHC,QAAS,IACTH,SAAU,CACNJ,EACA,CACIC,UAAW,UACXO,SAAU,CACNT,EAAKU,QAAQ,KAAM,KACnBV,EAAKU,QAAQ,OAAQ,SAEzBC,UAAW,GAEfL,EACA,CACIJ,UAAW,UACXO,SAAU,CACN,CACIN,MAAO,2BAEX,CACIA,MAAO,yXAzBP,CAChBD,UAAW,WACXC,MAAO,giIA1BS,CAChBD,UAAW,UACXO,SAAU,CACN,CACIN,MAAO,6DAEX,CACIA,MAAO,w3NAEX,CACIA,MAAO,wBAEX,CACIA,MAAO,2BAEX,CACIA,MAAO,gLAEX,CACIA,MAAO,6DAoCX,CACID,UAAW,OACXC,MAAO","file":"highlight/lsl.js?v=3e02aba5fc7b6b630780","sourcesContent":["module.exports = function(hljs) {\n\n var LSL_STRING_ESCAPE_CHARS = {\n className: 'subst',\n begin: /\\\\[tn\"\\\\]/\n };\n\n var LSL_STRINGS = {\n className: 'string',\n begin: '\"',\n end: '\"',\n contains: [\n LSL_STRING_ESCAPE_CHARS\n ]\n };\n\n var LSL_NUMBERS = {\n className: 'number',\n begin: hljs.C_NUMBER_RE\n };\n\n var LSL_CONSTANTS = {\n className: 'literal',\n variants: [\n {\n begin: '\\\\b(?:PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\\\b'\n },\n {\n begin: '\\\\b(?:XP_ERROR_(?:EXPERIENCES_DISABLED|EXPERIENCE_(?:DISABLED|SUSPENDED)|INVALID_(?:EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(?:FOUND|PERMITTED(?:_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(?:PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(?:_OBJECT)?|(?:DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(?:FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(?:_(?:BY_(?:LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(?:PARCEL(?:_OWNER)?|REGION)))?|CAMERA_(?:PITCH|DISTANCE|BEHINDNESS_(?:ANGLE|LAG)|(?:FOCUS|POSITION)(?:_(?:THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(?:ROOT|SET|ALL_(?:OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(?:IVE|_(?:ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(?:FWD|BACK|(?:ROT_)?(?:LEFT|RIGHT)|UP|DOWN|(?:ML_)?LBUTTON)|PERMISSION_(?:RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(?:CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(?:TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(?:INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(?:_START)?|TELEPORT|MEDIA)|OBJECT_(?:CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(?:PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(?:ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(?:COUNT|EQUIVALENCE)|RETURN_(?:PARCEL(?:_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(?:_TAG)?|CREATOR|ATTACHED_(?:POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(?:BODY_SHAPE|PATHFINDING)_TYPE|(?:RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(?:MEMORY|TIME))|TYPE_(?:INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(?:DEBUG|PUBLIC)_CHANNEL|ATTACH_(?:AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](?:SHOULDER|HAND|FOOT|EAR|EYE|[UL](?:ARM|LEG)|HIP)|(?:LEFT|RIGHT)_PEC|HUD_(?:CENTER_[12]|TOP_(?:RIGHT|CENTER|LEFT)|BOTTOM(?:_(?:RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(?:BASE|TIP)|[LR]WING|FACE_(?:JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(?:LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(?:ONLINE|NAME|BORN|SIM_(?:POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(?:ON_FILE|USED)|REMOTE_DATA_(?:CHANNEL|REQUEST|REPLY)|PSYS_(?:PART_(?:BF_(?:ZERO|ONE(?:_MINUS_(?:DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(?:START|END)_(?:COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(?:RIBBON|WIND|INTERP_(?:COLOR|SCALE)|BOUNCE|FOLLOW_(?:SRC|VELOCITY)|TARGET_(?:POS|LINEAR)|EMISSIVE)_MASK)|SRC_(?:MAX_AGE|PATTERN|ANGLE_(?:BEGIN|END)|BURST_(?:RATE|PART_COUNT|RADIUS|SPEED_(?:MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(?:DROP|EXPLODE|ANGLE(?:_CONE(?:_EMPTY)?)?)))|VEHICLE_(?:REFERENCE_FRAME|TYPE_(?:NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(?:LINEAR|ANGULAR)_(?:FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(?:HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(?:LINEAR|ANGULAR)_(?:DEFLECTION_(?:EFFICIENCY|TIMESCALE)|MOTOR_(?:DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(?:EFFICIENCY|TIMESCALE)|BANKING_(?:EFFICIENCY|MIX|TIMESCALE)|FLAG_(?:NO_DEFLECTION_UP|LIMIT_(?:ROLL_ONLY|MOTOR_UP)|HOVER_(?:(?:WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(?:STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(?:ALLOW_UNSIT|ALPHA_MODE(?:_(?:BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(?:_(?:BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(?:DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(?:_(?:STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(?:NONE|LOW|MEDIUM|HIGH)|BUMP_(?:NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(?:DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(?:TYPE_(?:SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(?:MIRROR|INVERT))|PHYSICS(?:_(?:SHAPE_(?:CONVEX|NONE|PRIM|TYPE)))?|(?:POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(?:ALT_IMAGE_ENABLE|CONTROLS|(?:CURRENT|HOME)_URL|AUTO_(?:LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(?:WIDTH|HEIGHT)_PIXELS|WHITELIST(?:_ENABLE)?|PERMS_(?:INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(?:STANDARD|MINI)|PERM_(?:NONE|OWNER|GROUP|ANYONE)|MAX_(?:URL_LENGTH|WHITELIST_(?:SIZE|COUNT)|(?:WIDTH|HEIGHT)_PIXELS)))|MASK_(?:BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(?:TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(?:MEDIA_COMMAND_(?:STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(?:ALLOW_(?:FLY|(?:GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(?:GROUP_)?OBJECTS)|USE_(?:ACCESS_(?:GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(?:GROUP|ALL)_OBJECT_ENTRY)|COUNT_(?:TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(?:NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(?:MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(?:_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(?:HIDE|DEFAULT)|REGION_FLAG_(?:ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(?:COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(?:METHOD|MIMETYPE|BODY_(?:MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(?:INVALID_(?:AGENT|LINK_OBJECT)|NO(?:T_EXPERIENCE|_(?:ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(?:TRIM(?:_(?:HEAD|TAIL))?)|CLICK_ACTION_(?:NONE|TOUCH|SIT|BUY|PAY|OPEN(?:_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(?:NONE|SCRIPT_MEMORY)|RC_(?:DATA_FLAGS|DETECT_PHANTOM|GET_(?:LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(?:TYPES|AGENTS|(?:NON)?PHYSICAL|LAND))|RCERR_(?:CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(?:ALLOWED_(?:AGENT|GROUP)_(?:ADD|REMOVE)|BANNED_AGENT_(?:ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(?:COMMAND|CMD_(?:PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(?:GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(?:CMD_(?:(?:SMOOTH_)?STOP|JUMP)|DESIRED_(?:TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(?:_(?:[ABCD]|NONE))?|MAX_(?:DECEL|TURN_RADIUS|(?:ACCEL|SPEED)))|PURSUIT_(?:OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(?:CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(?:EVADE_(?:HIDDEN|SPOTTED)|FAILURE_(?:DYNAMIC_PATHFINDING_DISABLED|INVALID_(?:GOAL|START)|NO_(?:NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(?:PARCEL_)?UNREACHABLE)|(?:GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(?:_(?:FAST|NONE|SLOW))?|CONTENT_TYPE_(?:ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(?:RADIUS|STATIC)|(?:PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(?:AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\\\b'\n },\n {\n begin: '\\\\b(?:FALSE|TRUE)\\\\b'\n },\n {\n begin: '\\\\b(?:ZERO_ROTATION)\\\\b'\n },\n {\n begin: '\\\\b(?:EOF|JSON_(?:ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(?:BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(?:GRANTED|DENIED))\\\\b'\n },\n {\n begin: '\\\\b(?:ZERO_VECTOR|TOUCH_INVALID_(?:TEXCOORD|VECTOR))\\\\b'\n }\n ]\n };\n\n var LSL_FUNCTIONS = {\n className: 'built_in',\n begin: '\\\\b(?:ll(?:AgentInExperience|(?:Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(?:Details|ErrorMessage)|ReturnObjectsBy(?:ID|Owner)|Json(?:2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(?:Mag|Norm|Dist)|Rot(?:Between|2(?:Euler|Fwd|Left|Up))|(?:Euler|Axes)2Rot|Whisper|(?:Region|Owner)?Say|Shout|Listen(?:Control|Remove)?|Sensor(?:Repeat|Remove)?|Detected(?:Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|(?:[GS]et)(?:AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(?:Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(?:Scale|Offset|Rotate)Texture|(?:Rot)?Target(?:Remove)?|(?:Stop)?MoveToTarget|Apply(?:Rotational)?Impulse|Set(?:KeyframedMotion|ContentType|RegionPos|(?:Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(?:Queueing|Radius)|Vehicle(?:Type|(?:Float|Vector|Rotation)Param)|(?:Touch|Sit)?Text|Camera(?:Eye|At)Offset|PrimitiveParams|ClickAction|Link(?:Alpha|Color|PrimitiveParams(?:Fast)?|Texture(?:Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get(?:(?:Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(?:PrimitiveParams|Number(?:OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(?:Details|PermMask|PrimCount)|Parcel(?:MaxPrims|Details|Prim(?:Count|Owners))|Attached(?:List)?|(?:SPMax|Free|Used)Memory|Region(?:Name|TimeDilation|FPS|Corner|AgentCount)|Root(?:Position|Rotation)|UnixTime|(?:Parcel|Region)Flags|(?:Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(?:Prims|NotecardLines|Sides)|Animation(?:List)?|(?:Camera|Local)(?:Pos|Rot)|Vel|Accel|Omega|Time(?:stamp|OfDay)|(?:Object|CenterOf)?Mass|MassMKS|Energy|Owner|(?:Owner)?Key|SunDirection|Texture(?:Offset|Scale|Rot)|Inventory(?:Number|Name|Key|Type|Creator|PermMask)|Permissions(?:Key)?|StartParameter|List(?:Length|EntryType)|Date|Agent(?:Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(?:Name|State))|(?:Get|Reset|GetAndReset)Time|PlaySound(?:Slave)?|LoopSound(?:Master|Slave)?|(?:Trigger|Stop|Preload)Sound|(?:(?:Get|Delete)Sub|Insert)String|To(?:Upper|Lower)|Give(?:InventoryList|Money)|RezObject|(?:Stop)?LookAt|Sleep|CollisionFilter|(?:Take|Release)Controls|DetachFromAvatar|AttachToAvatar(?:Temp)?|InstantMessage|(?:GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(?:Length|Trim)|(?:Start|Stop)Animation|TargetOmega|Request(?:Experience)?Permissions|(?:Create|Break)Link|BreakAllLinks|(?:Give|Remove)Inventory|Water|PassTouches|Request(?:Agent|Inventory)Data|TeleportAgent(?:Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(?:Axis|Angle)|A(?:cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(?:CSV|Integer|Json|Float|String|Key|Vector|Rot|List(?:Strided)?)|DeleteSubList|List(?:Statistics|Sort|Randomize|(?:Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(?:CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(?:Slope|Normal|Contour)|GroundRepel|(?:Set|Remove)VehicleFlags|SitOnLink|(?:AvatarOn)?(?:Link)?SitTarget|Script(?:Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(?:Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(?:Integer|String)ToBase64|XorBase64|Log(?:10)?|Base64To(?:String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(?:Load|Release|(?:E|Une)scape)URL|ParcelMedia(?:CommandList|Query)|ModPow|MapDestination|(?:RemoveFrom|AddTo|Reset)Land(?:Pass|Ban)List|(?:Set|Clear)CameraParams|HTTP(?:Request|Response)|TextBox|DetectedTouch(?:UV|Face|Pos|(?:N|Bin)ormal|ST)|(?:MD5|SHA1|DumpList2)String|Request(?:Secure)?URL|Clear(?:Prim|Link)Media|(?:Link)?ParticleSystem|(?:Get|Request)(?:Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(?:Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\\\b'\n };\n\n return {\n illegal: ':',\n contains: [\n LSL_STRINGS,\n {\n className: 'comment',\n variants: [\n hljs.COMMENT('//', '$'),\n hljs.COMMENT('/\\\\*', '\\\\*/')\n ],\n relevance: 0\n },\n LSL_NUMBERS,\n {\n className: 'section',\n variants: [\n {\n begin: '\\\\b(?:state|default)\\\\b'\n },\n {\n begin: '\\\\b(?:state_(?:entry|exit)|touch(?:_(?:start|end))?|(?:land_)?collision(?:_(?:start|end))?|timer|listen|(?:no_)?sensor|control|(?:not_)?at_(?:rot_)?target|money|email|experience_permissions(?:_denied)?|run_time_permissions|changed|attach|dataserver|moving_(?:start|end)|link_message|(?:on|object)_rez|remote_data|http_re(?:sponse|quest)|path_update|transaction_result)\\\\b'\n }\n ]\n },\n LSL_FUNCTIONS,\n LSL_CONSTANTS,\n {\n className: 'type',\n begin: '\\\\b(?:integer|float|string|key|vector|quaternion|rotation|list)\\\\b'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/lsl.js"],"names":["module","exports","hljs","LSL_STRINGS","className","begin","end","contains","LSL_NUMBERS","C_NUMBER_RE","illegal","variants","COMMENT","relevance"],"mappings":"oFAAAA,EAAOC,QAAU,SAASC,GAEtB,IAKIC,EAAc,CACdC,UAAW,SACXC,MAAO,IACPC,IAAK,IACLC,SAAU,CATgB,CAC1BH,UAAW,QACXC,MAAO,eAYPG,EAAc,CACdJ,UAAW,SACXC,MAAOH,EAAKO,aAgChB,MAAO,CACHC,QAAS,IACTH,SAAU,CACNJ,EACA,CACIC,UAAW,UACXO,SAAU,CACNT,EAAKU,QAAQ,KAAM,KACnBV,EAAKU,QAAQ,OAAQ,SAEzBC,UAAW,GAEfL,EACA,CACIJ,UAAW,UACXO,SAAU,CACN,CACIN,MAAO,2BAEX,CACIA,MAAO,yXAzBP,CAChBD,UAAW,WACXC,MAAO,giIA1BS,CAChBD,UAAW,UACXO,SAAU,CACN,CACIN,MAAO,6DAEX,CACIA,MAAO,w3NAEX,CACIA,MAAO,wBAEX,CACIA,MAAO,2BAEX,CACIA,MAAO,gLAEX,CACIA,MAAO,6DAoCX,CACID,UAAW,OACXC,MAAO","file":"highlight/lsl.js?v=e6b4f915ea180b8d3b8a","sourcesContent":["module.exports = function(hljs) {\n\n var LSL_STRING_ESCAPE_CHARS = {\n className: 'subst',\n begin: /\\\\[tn\"\\\\]/\n };\n\n var LSL_STRINGS = {\n className: 'string',\n begin: '\"',\n end: '\"',\n contains: [\n LSL_STRING_ESCAPE_CHARS\n ]\n };\n\n var LSL_NUMBERS = {\n className: 'number',\n begin: hljs.C_NUMBER_RE\n };\n\n var LSL_CONSTANTS = {\n className: 'literal',\n variants: [\n {\n begin: '\\\\b(?:PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\\\b'\n },\n {\n begin: '\\\\b(?:XP_ERROR_(?:EXPERIENCES_DISABLED|EXPERIENCE_(?:DISABLED|SUSPENDED)|INVALID_(?:EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(?:FOUND|PERMITTED(?:_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(?:PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(?:_OBJECT)?|(?:DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(?:FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(?:_(?:BY_(?:LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(?:PARCEL(?:_OWNER)?|REGION)))?|CAMERA_(?:PITCH|DISTANCE|BEHINDNESS_(?:ANGLE|LAG)|(?:FOCUS|POSITION)(?:_(?:THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(?:ROOT|SET|ALL_(?:OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(?:IVE|_(?:ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(?:FWD|BACK|(?:ROT_)?(?:LEFT|RIGHT)|UP|DOWN|(?:ML_)?LBUTTON)|PERMISSION_(?:RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(?:CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(?:TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(?:INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(?:_START)?|TELEPORT|MEDIA)|OBJECT_(?:CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(?:PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(?:ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(?:COUNT|EQUIVALENCE)|RETURN_(?:PARCEL(?:_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(?:_TAG)?|CREATOR|ATTACHED_(?:POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(?:BODY_SHAPE|PATHFINDING)_TYPE|(?:RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(?:MEMORY|TIME))|TYPE_(?:INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(?:DEBUG|PUBLIC)_CHANNEL|ATTACH_(?:AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](?:SHOULDER|HAND|FOOT|EAR|EYE|[UL](?:ARM|LEG)|HIP)|(?:LEFT|RIGHT)_PEC|HUD_(?:CENTER_[12]|TOP_(?:RIGHT|CENTER|LEFT)|BOTTOM(?:_(?:RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(?:BASE|TIP)|[LR]WING|FACE_(?:JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(?:LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(?:ONLINE|NAME|BORN|SIM_(?:POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(?:ON_FILE|USED)|REMOTE_DATA_(?:CHANNEL|REQUEST|REPLY)|PSYS_(?:PART_(?:BF_(?:ZERO|ONE(?:_MINUS_(?:DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(?:START|END)_(?:COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(?:RIBBON|WIND|INTERP_(?:COLOR|SCALE)|BOUNCE|FOLLOW_(?:SRC|VELOCITY)|TARGET_(?:POS|LINEAR)|EMISSIVE)_MASK)|SRC_(?:MAX_AGE|PATTERN|ANGLE_(?:BEGIN|END)|BURST_(?:RATE|PART_COUNT|RADIUS|SPEED_(?:MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(?:DROP|EXPLODE|ANGLE(?:_CONE(?:_EMPTY)?)?)))|VEHICLE_(?:REFERENCE_FRAME|TYPE_(?:NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(?:LINEAR|ANGULAR)_(?:FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(?:HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(?:LINEAR|ANGULAR)_(?:DEFLECTION_(?:EFFICIENCY|TIMESCALE)|MOTOR_(?:DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(?:EFFICIENCY|TIMESCALE)|BANKING_(?:EFFICIENCY|MIX|TIMESCALE)|FLAG_(?:NO_DEFLECTION_UP|LIMIT_(?:ROLL_ONLY|MOTOR_UP)|HOVER_(?:(?:WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(?:STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(?:ALLOW_UNSIT|ALPHA_MODE(?:_(?:BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(?:_(?:BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(?:DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(?:_(?:STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(?:NONE|LOW|MEDIUM|HIGH)|BUMP_(?:NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(?:DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(?:TYPE_(?:SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(?:MIRROR|INVERT))|PHYSICS(?:_(?:SHAPE_(?:CONVEX|NONE|PRIM|TYPE)))?|(?:POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(?:ALT_IMAGE_ENABLE|CONTROLS|(?:CURRENT|HOME)_URL|AUTO_(?:LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(?:WIDTH|HEIGHT)_PIXELS|WHITELIST(?:_ENABLE)?|PERMS_(?:INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(?:STANDARD|MINI)|PERM_(?:NONE|OWNER|GROUP|ANYONE)|MAX_(?:URL_LENGTH|WHITELIST_(?:SIZE|COUNT)|(?:WIDTH|HEIGHT)_PIXELS)))|MASK_(?:BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(?:TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(?:MEDIA_COMMAND_(?:STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(?:ALLOW_(?:FLY|(?:GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(?:GROUP_)?OBJECTS)|USE_(?:ACCESS_(?:GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(?:GROUP|ALL)_OBJECT_ENTRY)|COUNT_(?:TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(?:NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(?:MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(?:_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(?:HIDE|DEFAULT)|REGION_FLAG_(?:ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(?:COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(?:METHOD|MIMETYPE|BODY_(?:MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(?:INVALID_(?:AGENT|LINK_OBJECT)|NO(?:T_EXPERIENCE|_(?:ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(?:TRIM(?:_(?:HEAD|TAIL))?)|CLICK_ACTION_(?:NONE|TOUCH|SIT|BUY|PAY|OPEN(?:_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(?:NONE|SCRIPT_MEMORY)|RC_(?:DATA_FLAGS|DETECT_PHANTOM|GET_(?:LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(?:TYPES|AGENTS|(?:NON)?PHYSICAL|LAND))|RCERR_(?:CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(?:ALLOWED_(?:AGENT|GROUP)_(?:ADD|REMOVE)|BANNED_AGENT_(?:ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(?:COMMAND|CMD_(?:PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(?:GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(?:CMD_(?:(?:SMOOTH_)?STOP|JUMP)|DESIRED_(?:TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(?:_(?:[ABCD]|NONE))?|MAX_(?:DECEL|TURN_RADIUS|(?:ACCEL|SPEED)))|PURSUIT_(?:OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(?:CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(?:EVADE_(?:HIDDEN|SPOTTED)|FAILURE_(?:DYNAMIC_PATHFINDING_DISABLED|INVALID_(?:GOAL|START)|NO_(?:NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(?:PARCEL_)?UNREACHABLE)|(?:GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(?:_(?:FAST|NONE|SLOW))?|CONTENT_TYPE_(?:ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(?:RADIUS|STATIC)|(?:PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(?:AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\\\b'\n },\n {\n begin: '\\\\b(?:FALSE|TRUE)\\\\b'\n },\n {\n begin: '\\\\b(?:ZERO_ROTATION)\\\\b'\n },\n {\n begin: '\\\\b(?:EOF|JSON_(?:ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(?:BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(?:GRANTED|DENIED))\\\\b'\n },\n {\n begin: '\\\\b(?:ZERO_VECTOR|TOUCH_INVALID_(?:TEXCOORD|VECTOR))\\\\b'\n }\n ]\n };\n\n var LSL_FUNCTIONS = {\n className: 'built_in',\n begin: '\\\\b(?:ll(?:AgentInExperience|(?:Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(?:Details|ErrorMessage)|ReturnObjectsBy(?:ID|Owner)|Json(?:2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(?:Mag|Norm|Dist)|Rot(?:Between|2(?:Euler|Fwd|Left|Up))|(?:Euler|Axes)2Rot|Whisper|(?:Region|Owner)?Say|Shout|Listen(?:Control|Remove)?|Sensor(?:Repeat|Remove)?|Detected(?:Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|(?:[GS]et)(?:AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(?:Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(?:Scale|Offset|Rotate)Texture|(?:Rot)?Target(?:Remove)?|(?:Stop)?MoveToTarget|Apply(?:Rotational)?Impulse|Set(?:KeyframedMotion|ContentType|RegionPos|(?:Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(?:Queueing|Radius)|Vehicle(?:Type|(?:Float|Vector|Rotation)Param)|(?:Touch|Sit)?Text|Camera(?:Eye|At)Offset|PrimitiveParams|ClickAction|Link(?:Alpha|Color|PrimitiveParams(?:Fast)?|Texture(?:Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get(?:(?:Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(?:PrimitiveParams|Number(?:OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(?:Details|PermMask|PrimCount)|Parcel(?:MaxPrims|Details|Prim(?:Count|Owners))|Attached(?:List)?|(?:SPMax|Free|Used)Memory|Region(?:Name|TimeDilation|FPS|Corner|AgentCount)|Root(?:Position|Rotation)|UnixTime|(?:Parcel|Region)Flags|(?:Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(?:Prims|NotecardLines|Sides)|Animation(?:List)?|(?:Camera|Local)(?:Pos|Rot)|Vel|Accel|Omega|Time(?:stamp|OfDay)|(?:Object|CenterOf)?Mass|MassMKS|Energy|Owner|(?:Owner)?Key|SunDirection|Texture(?:Offset|Scale|Rot)|Inventory(?:Number|Name|Key|Type|Creator|PermMask)|Permissions(?:Key)?|StartParameter|List(?:Length|EntryType)|Date|Agent(?:Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(?:Name|State))|(?:Get|Reset|GetAndReset)Time|PlaySound(?:Slave)?|LoopSound(?:Master|Slave)?|(?:Trigger|Stop|Preload)Sound|(?:(?:Get|Delete)Sub|Insert)String|To(?:Upper|Lower)|Give(?:InventoryList|Money)|RezObject|(?:Stop)?LookAt|Sleep|CollisionFilter|(?:Take|Release)Controls|DetachFromAvatar|AttachToAvatar(?:Temp)?|InstantMessage|(?:GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(?:Length|Trim)|(?:Start|Stop)Animation|TargetOmega|Request(?:Experience)?Permissions|(?:Create|Break)Link|BreakAllLinks|(?:Give|Remove)Inventory|Water|PassTouches|Request(?:Agent|Inventory)Data|TeleportAgent(?:Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(?:Axis|Angle)|A(?:cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(?:CSV|Integer|Json|Float|String|Key|Vector|Rot|List(?:Strided)?)|DeleteSubList|List(?:Statistics|Sort|Randomize|(?:Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(?:CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(?:Slope|Normal|Contour)|GroundRepel|(?:Set|Remove)VehicleFlags|SitOnLink|(?:AvatarOn)?(?:Link)?SitTarget|Script(?:Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(?:Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(?:Integer|String)ToBase64|XorBase64|Log(?:10)?|Base64To(?:String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(?:Load|Release|(?:E|Une)scape)URL|ParcelMedia(?:CommandList|Query)|ModPow|MapDestination|(?:RemoveFrom|AddTo|Reset)Land(?:Pass|Ban)List|(?:Set|Clear)CameraParams|HTTP(?:Request|Response)|TextBox|DetectedTouch(?:UV|Face|Pos|(?:N|Bin)ormal|ST)|(?:MD5|SHA1|DumpList2)String|Request(?:Secure)?URL|Clear(?:Prim|Link)Media|(?:Link)?ParticleSystem|(?:Get|Request)(?:Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(?:Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\\\b'\n };\n\n return {\n illegal: ':',\n contains: [\n LSL_STRINGS,\n {\n className: 'comment',\n variants: [\n hljs.COMMENT('//', '$'),\n hljs.COMMENT('/\\\\*', '\\\\*/')\n ],\n relevance: 0\n },\n LSL_NUMBERS,\n {\n className: 'section',\n variants: [\n {\n begin: '\\\\b(?:state|default)\\\\b'\n },\n {\n begin: '\\\\b(?:state_(?:entry|exit)|touch(?:_(?:start|end))?|(?:land_)?collision(?:_(?:start|end))?|timer|listen|(?:no_)?sensor|control|(?:not_)?at_(?:rot_)?target|money|email|experience_permissions(?:_denied)?|run_time_permissions|changed|attach|dataserver|moving_(?:start|end)|link_message|(?:on|object)_rez|remote_data|http_re(?:sponse|quest)|path_update|transaction_result)\\\\b'\n }\n ]\n },\n LSL_FUNCTIONS,\n LSL_CONSTANTS,\n {\n className: 'type',\n begin: '\\\\b(?:integer|float|string|key|vector|quaternion|rotation|list)\\\\b'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/lua.js b/js/highlight/lua.js
index 9e34203db..d525f34f6 100644
--- a/js/highlight/lua.js
+++ b/js/highlight/lua.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[100],{466:function(e,t){e.exports=function(e){var t={begin:"\\[=*\\[",end:"\\]=*\\]",contains:["self"]},a=[e.COMMENT("--(?!\\[=*\\[)","$"),e.COMMENT("--\\[=*\\[","\\]=*\\]",{contains:[t],relevance:10})];return{lexemes:e.UNDERSCORE_IDENT_RE,keywords:{literal:"true false nil",keyword:"and break do else elseif end for goto if in local not or repeat return then until while",built_in:"_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len __gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstringmodule next pairs pcall print rawequal rawget rawset require select setfenvsetmetatable tonumber tostring type unpack xpcall arg selfcoroutine resume yield status wrap create running debug getupvalue debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv io lines write close flush open output type read stderr stdin input stdout popen tmpfile math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower table setn insert getn foreachi maxn foreach concat sort remove"},contains:a.concat([{className:"function",beginKeywords:"function",end:"\\)",contains:[e.inherit(e.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),{className:"params",begin:"\\(",endsWithParent:!0,contains:a}].concat(a)},e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"\\[=*\\[",end:"\\]=*\\]",contains:[t],relevance:5}])}}}}]);
-//# sourceMappingURL=lua.js.map?v=f4717f9fd32db6f93186 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[100],{467:function(e,t){e.exports=function(e){var t={begin:"\\[=*\\[",end:"\\]=*\\]",contains:["self"]},a=[e.COMMENT("--(?!\\[=*\\[)","$"),e.COMMENT("--\\[=*\\[","\\]=*\\]",{contains:[t],relevance:10})];return{lexemes:e.UNDERSCORE_IDENT_RE,keywords:{literal:"true false nil",keyword:"and break do else elseif end for goto if in local not or repeat return then until while",built_in:"_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len __gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstringmodule next pairs pcall print rawequal rawget rawset require select setfenvsetmetatable tonumber tostring type unpack xpcall arg selfcoroutine resume yield status wrap create running debug getupvalue debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv io lines write close flush open output type read stderr stdin input stdout popen tmpfile math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower table setn insert getn foreachi maxn foreach concat sort remove"},contains:a.concat([{className:"function",beginKeywords:"function",end:"\\)",contains:[e.inherit(e.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),{className:"params",begin:"\\(",endsWithParent:!0,contains:a}].concat(a)},e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"\\[=*\\[",end:"\\]=*\\]",contains:[t],relevance:5}])}}}}]);
+//# sourceMappingURL=lua.js.map?v=3a5f0e3c9c3b2d169fa5 \ No newline at end of file
diff --git a/js/highlight/lua.js.map b/js/highlight/lua.js.map
index a4beb1b8e..7cb45f48d 100644
--- a/js/highlight/lua.js.map
+++ b/js/highlight/lua.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/lua.js"],"names":["module","exports","hljs","LONG_BRACKETS","begin","end","contains","COMMENTS","COMMENT","relevance","lexemes","UNDERSCORE_IDENT_RE","keywords","literal","keyword","built_in","concat","className","beginKeywords","inherit","TITLE_MODE","endsWithParent","C_NUMBER_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAEIC,EAAgB,CAClBC,MAHyB,WAGIC,IAFJ,WAGzBC,SAAU,CAAC,SAETC,EAAW,CACbL,EAAKM,QAAQ,iBAAsC,KACnDN,EAAKM,QACH,aARuB,WAUvB,CACEF,SAAU,CAACH,GACXM,UAAW,MAIjB,MAAO,CACLC,QAASR,EAAKS,oBACdC,SAAU,CACRC,QAAS,iBACTC,QAAS,0FACTC,SAEE,qlCAeJT,SAAUC,EAASS,OAAO,CACxB,CACEC,UAAW,WACXC,cAAe,WAAYb,IAAK,MAChCC,SAAU,CACRJ,EAAKiB,QAAQjB,EAAKkB,WAAY,CAAChB,MAAO,sDACtC,CACEa,UAAW,SACXb,MAAO,MAAOiB,gBAAgB,EAC9Bf,SAAUC,IAEZS,OAAOT,IAEXL,EAAKoB,cACLpB,EAAKqB,iBACLrB,EAAKsB,kBACL,CACEP,UAAW,SACXb,MAzDqB,WAyDQC,IAxDR,WAyDrBC,SAAU,CAACH,GACXM,UAAW","file":"highlight/lua.js?v=f4717f9fd32db6f93186","sourcesContent":["module.exports = function(hljs) {\n var OPENING_LONG_BRACKET = '\\\\[=*\\\\[';\n var CLOSING_LONG_BRACKET = '\\\\]=*\\\\]';\n var LONG_BRACKETS = {\n begin: OPENING_LONG_BRACKET, end: CLOSING_LONG_BRACKET,\n contains: ['self']\n };\n var COMMENTS = [\n hljs.COMMENT('--(?!' + OPENING_LONG_BRACKET + ')', '$'),\n hljs.COMMENT(\n '--' + OPENING_LONG_BRACKET,\n CLOSING_LONG_BRACKET,\n {\n contains: [LONG_BRACKETS],\n relevance: 10\n }\n )\n ];\n return {\n lexemes: hljs.UNDERSCORE_IDENT_RE,\n keywords: {\n literal: \"true false nil\",\n keyword: \"and break do else elseif end for goto if in local not or repeat return then until while\",\n built_in:\n //Metatags and globals:\n '_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len ' +\n '__gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert ' +\n //Standard methods and properties:\n 'collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring' +\n 'module next pairs pcall print rawequal rawget rawset require select setfenv' +\n 'setmetatable tonumber tostring type unpack xpcall arg self' +\n //Library methods and properties (one line per library):\n 'coroutine resume yield status wrap create running debug getupvalue ' +\n 'debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv ' +\n 'io lines write close flush open output type read stderr stdin input stdout popen tmpfile ' +\n 'math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan ' +\n 'os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall ' +\n 'string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower ' +\n 'table setn insert getn foreachi maxn foreach concat sort remove'\n },\n contains: COMMENTS.concat([\n {\n className: 'function',\n beginKeywords: 'function', end: '\\\\)',\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: '([_a-zA-Z]\\\\w*\\\\.)*([_a-zA-Z]\\\\w*:)?[_a-zA-Z]\\\\w*'}),\n {\n className: 'params',\n begin: '\\\\(', endsWithParent: true,\n contains: COMMENTS\n }\n ].concat(COMMENTS)\n },\n hljs.C_NUMBER_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: OPENING_LONG_BRACKET, end: CLOSING_LONG_BRACKET,\n contains: [LONG_BRACKETS],\n relevance: 5\n }\n ])\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/lua.js"],"names":["module","exports","hljs","LONG_BRACKETS","begin","end","contains","COMMENTS","COMMENT","relevance","lexemes","UNDERSCORE_IDENT_RE","keywords","literal","keyword","built_in","concat","className","beginKeywords","inherit","TITLE_MODE","endsWithParent","C_NUMBER_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAEIC,EAAgB,CAClBC,MAHyB,WAGIC,IAFJ,WAGzBC,SAAU,CAAC,SAETC,EAAW,CACbL,EAAKM,QAAQ,iBAAsC,KACnDN,EAAKM,QACH,aARuB,WAUvB,CACEF,SAAU,CAACH,GACXM,UAAW,MAIjB,MAAO,CACLC,QAASR,EAAKS,oBACdC,SAAU,CACRC,QAAS,iBACTC,QAAS,0FACTC,SAEE,qlCAeJT,SAAUC,EAASS,OAAO,CACxB,CACEC,UAAW,WACXC,cAAe,WAAYb,IAAK,MAChCC,SAAU,CACRJ,EAAKiB,QAAQjB,EAAKkB,WAAY,CAAChB,MAAO,sDACtC,CACEa,UAAW,SACXb,MAAO,MAAOiB,gBAAgB,EAC9Bf,SAAUC,IAEZS,OAAOT,IAEXL,EAAKoB,cACLpB,EAAKqB,iBACLrB,EAAKsB,kBACL,CACEP,UAAW,SACXb,MAzDqB,WAyDQC,IAxDR,WAyDrBC,SAAU,CAACH,GACXM,UAAW","file":"highlight/lua.js?v=3a5f0e3c9c3b2d169fa5","sourcesContent":["module.exports = function(hljs) {\n var OPENING_LONG_BRACKET = '\\\\[=*\\\\[';\n var CLOSING_LONG_BRACKET = '\\\\]=*\\\\]';\n var LONG_BRACKETS = {\n begin: OPENING_LONG_BRACKET, end: CLOSING_LONG_BRACKET,\n contains: ['self']\n };\n var COMMENTS = [\n hljs.COMMENT('--(?!' + OPENING_LONG_BRACKET + ')', '$'),\n hljs.COMMENT(\n '--' + OPENING_LONG_BRACKET,\n CLOSING_LONG_BRACKET,\n {\n contains: [LONG_BRACKETS],\n relevance: 10\n }\n )\n ];\n return {\n lexemes: hljs.UNDERSCORE_IDENT_RE,\n keywords: {\n literal: \"true false nil\",\n keyword: \"and break do else elseif end for goto if in local not or repeat return then until while\",\n built_in:\n //Metatags and globals:\n '_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len ' +\n '__gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert ' +\n //Standard methods and properties:\n 'collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring' +\n 'module next pairs pcall print rawequal rawget rawset require select setfenv' +\n 'setmetatable tonumber tostring type unpack xpcall arg self' +\n //Library methods and properties (one line per library):\n 'coroutine resume yield status wrap create running debug getupvalue ' +\n 'debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv ' +\n 'io lines write close flush open output type read stderr stdin input stdout popen tmpfile ' +\n 'math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan ' +\n 'os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall ' +\n 'string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower ' +\n 'table setn insert getn foreachi maxn foreach concat sort remove'\n },\n contains: COMMENTS.concat([\n {\n className: 'function',\n beginKeywords: 'function', end: '\\\\)',\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: '([_a-zA-Z]\\\\w*\\\\.)*([_a-zA-Z]\\\\w*:)?[_a-zA-Z]\\\\w*'}),\n {\n className: 'params',\n begin: '\\\\(', endsWithParent: true,\n contains: COMMENTS\n }\n ].concat(COMMENTS)\n },\n hljs.C_NUMBER_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: OPENING_LONG_BRACKET, end: CLOSING_LONG_BRACKET,\n contains: [LONG_BRACKETS],\n relevance: 5\n }\n ])\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/makefile.js b/js/highlight/makefile.js
index 74d055044..775bb8e1f 100644
--- a/js/highlight/makefile.js
+++ b/js/highlight/makefile.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[101],{467:function(e,n){e.exports=function(e){var n={className:"variable",variants:[{begin:"\\$\\("+e.UNDERSCORE_IDENT_RE+"\\)",contains:[e.BACKSLASH_ESCAPE]},{begin:/\$[@%<?\^\+\*]/}]},i={className:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,n]},a={className:"variable",begin:/\$\([\w-]+\s/,end:/\)/,keywords:{built_in:"subst patsubst strip findstring filter filter-out sort word wordlist firstword lastword dir notdir suffix basename addsuffix addprefix join wildcard realpath abspath error warning shell origin flavor foreach if or and call eval file value"},contains:[n]},s={begin:"^"+e.UNDERSCORE_IDENT_RE+"\\s*[:+?]?=",illegal:"\\n",returnBegin:!0,contains:[{begin:"^"+e.UNDERSCORE_IDENT_RE,end:"[:+?]?=",excludeEnd:!0}]},r={className:"section",begin:/^[^\s]+:/,end:/$/,contains:[n]};return{aliases:["mk","mak"],keywords:"define endef undefine ifdef ifndef ifeq ifneq else endif include -include sinclude override export unexport private vpath",lexemes:/[\w-]+/,contains:[e.HASH_COMMENT_MODE,n,i,a,s,{className:"meta",begin:/^\.PHONY:/,end:/$/,keywords:{"meta-keyword":".PHONY"},lexemes:/[\.\w]+/},r]}}}}]);
-//# sourceMappingURL=makefile.js.map?v=7d08093791d0dd523e0a \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[101],{468:function(e,i){e.exports=function(e){var i={className:"variable",variants:[{begin:"\\$\\("+e.UNDERSCORE_IDENT_RE+"\\)",contains:[e.BACKSLASH_ESCAPE]},{begin:/\$[@%<?\^\+\*]/}]},n={className:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,i]},a={className:"variable",begin:/\$\([\w-]+\s/,end:/\)/,keywords:{built_in:"subst patsubst strip findstring filter filter-out sort word wordlist firstword lastword dir notdir suffix basename addsuffix addprefix join wildcard realpath abspath error warning shell origin flavor foreach if or and call eval file value"},contains:[i]},s={begin:"^"+e.UNDERSCORE_IDENT_RE+"\\s*(?=[:+?]?=)"},r={className:"section",begin:/^[^\s]+:/,end:/$/,contains:[i]};return{aliases:["mk","mak"],keywords:"define endef undefine ifdef ifndef ifeq ifneq else endif include -include sinclude override export unexport private vpath",lexemes:/[\w-]+/,contains:[e.HASH_COMMENT_MODE,i,n,a,s,{className:"meta",begin:/^\.PHONY:/,end:/$/,keywords:{"meta-keyword":".PHONY"},lexemes:/[\.\w]+/},r]}}}}]);
+//# sourceMappingURL=makefile.js.map?v=f20d61205575024461a4 \ No newline at end of file
diff --git a/js/highlight/makefile.js.map b/js/highlight/makefile.js.map
index 2004bb909..5af620b84 100644
--- a/js/highlight/makefile.js.map
+++ b/js/highlight/makefile.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/makefile.js"],"names":["module","exports","hljs","VARIABLE","className","variants","begin","UNDERSCORE_IDENT_RE","contains","BACKSLASH_ESCAPE","QUOTE_STRING","end","FUNC","keywords","built_in","VAR_ASSIG","illegal","returnBegin","excludeEnd","TARGET","aliases","lexemes","HASH_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EAAW,CACbC,UAAW,WACXC,SAAU,CACR,CACEC,MAAO,SAAWJ,EAAKK,oBAAsB,MAC7CC,SAAU,CAACN,EAAKO,mBAElB,CACEH,MAAO,oBAKTI,EAAe,CACjBN,UAAW,SACXE,MAAO,IAAKK,IAAK,IACjBH,SAAU,CACRN,EAAKO,iBACLN,IAIAS,EAAO,CACTR,UAAW,WACXE,MAAO,eAAgBK,IAAK,KAC5BE,SAAU,CACRC,SACE,kPAKJN,SAAU,CACRL,IAIAY,EAAY,CACdT,MAAO,IAAMJ,EAAKK,oBAAsB,cACxCS,QAAS,MACTC,aAAa,EACbT,SAAU,CACR,CACEF,MAAO,IAAMJ,EAAKK,oBAAqBI,IAAK,UAC5CO,YAAY,KAYdC,EAAS,CACXf,UAAW,UACXE,MAAO,WAAYK,IAAK,IACxBH,SAAU,CAACL,IAEb,MAAO,CACLiB,QAAS,CAAC,KAAM,OAChBP,SACE,4HAEFQ,QAAS,SACTb,SAAU,CACRN,EAAKoB,kBACLnB,EACAO,EACAE,EACAG,EAvBO,CACTX,UAAW,OACXE,MAAO,YAAaK,IAAK,IACzBE,SAAU,CAAC,eAAgB,UAC3BQ,QAAS,WAqBPF","file":"highlight/makefile.js?v=7d08093791d0dd523e0a","sourcesContent":["module.exports = function(hljs) {\n /* Variables: simple (eg $(var)) and special (eg $@) */\n var VARIABLE = {\n className: 'variable',\n variants: [\n {\n begin: '\\\\$\\\\(' + hljs.UNDERSCORE_IDENT_RE + '\\\\)',\n contains: [hljs.BACKSLASH_ESCAPE],\n },\n {\n begin: /\\$[@%<?\\^\\+\\*]/\n },\n ]\n };\n /* Quoted string with variables inside */\n var QUOTE_STRING = {\n className: 'string',\n begin: /\"/, end: /\"/,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n VARIABLE,\n ]\n };\n /* Function: $(func arg,...) */\n var FUNC = {\n className: 'variable',\n begin: /\\$\\([\\w-]+\\s/, end: /\\)/,\n keywords: {\n built_in:\n 'subst patsubst strip findstring filter filter-out sort ' +\n 'word wordlist firstword lastword dir notdir suffix basename ' +\n 'addsuffix addprefix join wildcard realpath abspath error warning ' +\n 'shell origin flavor foreach if or and call eval file value',\n },\n contains: [\n VARIABLE,\n ]\n };\n /* Variable assignment */\n var VAR_ASSIG = {\n begin: '^' + hljs.UNDERSCORE_IDENT_RE + '\\\\s*[:+?]?=',\n illegal: '\\\\n',\n returnBegin: true,\n contains: [\n {\n begin: '^' + hljs.UNDERSCORE_IDENT_RE, end: '[:+?]?=',\n excludeEnd: true,\n }\n ]\n };\n /* Meta targets (.PHONY) */\n var META = {\n className: 'meta',\n begin: /^\\.PHONY:/, end: /$/,\n keywords: {'meta-keyword': '.PHONY'},\n lexemes: /[\\.\\w]+/\n };\n /* Targets */\n var TARGET = {\n className: 'section',\n begin: /^[^\\s]+:/, end: /$/,\n contains: [VARIABLE,]\n };\n return {\n aliases: ['mk', 'mak'],\n keywords:\n 'define endef undefine ifdef ifndef ifeq ifneq else endif ' +\n 'include -include sinclude override export unexport private vpath',\n lexemes: /[\\w-]+/,\n contains: [\n hljs.HASH_COMMENT_MODE,\n VARIABLE,\n QUOTE_STRING,\n FUNC,\n VAR_ASSIG,\n META,\n TARGET,\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/makefile.js"],"names":["module","exports","hljs","VARIABLE","className","variants","begin","UNDERSCORE_IDENT_RE","contains","BACKSLASH_ESCAPE","QUOTE_STRING","end","FUNC","keywords","built_in","ASSIGNMENT","TARGET","aliases","lexemes","HASH_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EAAW,CACbC,UAAW,WACXC,SAAU,CACR,CACEC,MAAO,SAAWJ,EAAKK,oBAAsB,MAC7CC,SAAU,CAACN,EAAKO,mBAElB,CACEH,MAAO,oBAKTI,EAAe,CACjBN,UAAW,SACXE,MAAO,IAAKK,IAAK,IACjBH,SAAU,CACRN,EAAKO,iBACLN,IAIAS,EAAO,CACTR,UAAW,WACXE,MAAO,eAAgBK,IAAK,KAC5BE,SAAU,CACRC,SACE,kPAKJN,SAAU,CACRL,IAIAY,EAAa,CACfT,MAAO,IAAMJ,EAAKK,oBAAsB,mBAUtCS,EAAS,CACXZ,UAAW,UACXE,MAAO,WAAYK,IAAK,IACxBH,SAAU,CAACL,IAEb,MAAO,CACLc,QAAS,CAAC,KAAM,OAChBJ,SACE,4HAEFK,QAAS,SACTV,SAAU,CACRN,EAAKiB,kBACLhB,EACAO,EACAE,EACAG,EAvBO,CACTX,UAAW,OACXE,MAAO,YAAaK,IAAK,IACzBE,SAAU,CAAC,eAAgB,UAC3BK,QAAS,WAqBPF","file":"highlight/makefile.js?v=f20d61205575024461a4","sourcesContent":["module.exports = function(hljs) {\n /* Variables: simple (eg $(var)) and special (eg $@) */\n var VARIABLE = {\n className: 'variable',\n variants: [\n {\n begin: '\\\\$\\\\(' + hljs.UNDERSCORE_IDENT_RE + '\\\\)',\n contains: [hljs.BACKSLASH_ESCAPE],\n },\n {\n begin: /\\$[@%<?\\^\\+\\*]/\n },\n ]\n };\n /* Quoted string with variables inside */\n var QUOTE_STRING = {\n className: 'string',\n begin: /\"/, end: /\"/,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n VARIABLE,\n ]\n };\n /* Function: $(func arg,...) */\n var FUNC = {\n className: 'variable',\n begin: /\\$\\([\\w-]+\\s/, end: /\\)/,\n keywords: {\n built_in:\n 'subst patsubst strip findstring filter filter-out sort ' +\n 'word wordlist firstword lastword dir notdir suffix basename ' +\n 'addsuffix addprefix join wildcard realpath abspath error warning ' +\n 'shell origin flavor foreach if or and call eval file value',\n },\n contains: [\n VARIABLE,\n ]\n };\n /* Variable assignment */\n var ASSIGNMENT = {\n begin: '^' + hljs.UNDERSCORE_IDENT_RE + '\\\\s*(?=[:+?]?=)'\n };\n /* Meta targets (.PHONY) */\n var META = {\n className: 'meta',\n begin: /^\\.PHONY:/, end: /$/,\n keywords: {'meta-keyword': '.PHONY'},\n lexemes: /[\\.\\w]+/\n };\n /* Targets */\n var TARGET = {\n className: 'section',\n begin: /^[^\\s]+:/, end: /$/,\n contains: [VARIABLE,]\n };\n return {\n aliases: ['mk', 'mak'],\n keywords:\n 'define endef undefine ifdef ifndef ifeq ifneq else endif ' +\n 'include -include sinclude override export unexport private vpath',\n lexemes: /[\\w-]+/,\n contains: [\n hljs.HASH_COMMENT_MODE,\n VARIABLE,\n QUOTE_STRING,\n FUNC,\n ASSIGNMENT,\n META,\n TARGET,\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/markdown.js b/js/highlight/markdown.js
index fe3310590..605715aa6 100644
--- a/js/highlight/markdown.js
+++ b/js/highlight/markdown.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[102],{468:function(e,n){e.exports=function(e){return{aliases:["md","mkdown","mkd"],contains:[{className:"section",variants:[{begin:"^#{1,6}",end:"$"},{begin:"^.+?\\n[=-]{2,}$"}]},{begin:"<",end:">",subLanguage:"xml",relevance:0},{className:"bullet",begin:"^\\s*([*+-]|(\\d+\\.))\\s+"},{className:"strong",begin:"[*_]{2}.+?[*_]{2}"},{className:"emphasis",variants:[{begin:"\\*.+?\\*"},{begin:"_.+?_",relevance:0}]},{className:"quote",begin:"^>\\s+",end:"$"},{className:"code",variants:[{begin:"^```\\w*\\s*$",end:"^```[ ]*$"},{begin:"`.+?`"},{begin:"^( {4}|\\t)",end:"$",relevance:0}]},{begin:"^[-\\*]{3,}",end:"$"},{begin:"\\[.+?\\][\\(\\[].*?[\\)\\]]",returnBegin:!0,contains:[{className:"string",begin:"\\[",end:"\\]",excludeBegin:!0,returnEnd:!0,relevance:0},{className:"link",begin:"\\]\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"symbol",begin:"\\]\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0}],relevance:10},{begin:/^\[[^\n]+\]:/,returnBegin:!0,contains:[{className:"symbol",begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0},{className:"link",begin:/:\s*/,end:/$/,excludeBegin:!0}]}]}}}}]);
-//# sourceMappingURL=markdown.js.map?v=c87b4d23ef01e373209c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[102],{469:function(e,n){e.exports=function(e){return{aliases:["md","mkdown","mkd"],contains:[{className:"section",variants:[{begin:"^#{1,6}",end:"$"},{begin:"^.+?\\n[=-]{2,}$"}]},{begin:"<",end:">",subLanguage:"xml",relevance:0},{className:"bullet",begin:"^\\s*([*+-]|(\\d+\\.))\\s+"},{className:"strong",begin:"[*_]{2}.+?[*_]{2}"},{className:"emphasis",variants:[{begin:"\\*.+?\\*"},{begin:"_.+?_",relevance:0}]},{className:"quote",begin:"^>\\s+",end:"$"},{className:"code",variants:[{begin:"^```\\w*\\s*$",end:"^```[ ]*$"},{begin:"`.+?`"},{begin:"^( {4}|\\t)",end:"$",relevance:0}]},{begin:"^[-\\*]{3,}",end:"$"},{begin:"\\[.+?\\][\\(\\[].*?[\\)\\]]",returnBegin:!0,contains:[{className:"string",begin:"\\[",end:"\\]",excludeBegin:!0,returnEnd:!0,relevance:0},{className:"link",begin:"\\]\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"symbol",begin:"\\]\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0}],relevance:10},{begin:/^\[[^\n]+\]:/,returnBegin:!0,contains:[{className:"symbol",begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0},{className:"link",begin:/:\s*/,end:/$/,excludeBegin:!0}]}]}}}}]);
+//# sourceMappingURL=markdown.js.map?v=c176b1db2cd4865699c5 \ No newline at end of file
diff --git a/js/highlight/markdown.js.map b/js/highlight/markdown.js.map
index e043bf7f8..e4b9726bd 100644
--- a/js/highlight/markdown.js.map
+++ b/js/highlight/markdown.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/markdown.js"],"names":["module","exports","hljs","aliases","contains","className","variants","begin","end","subLanguage","relevance","returnBegin","excludeBegin","returnEnd","excludeEnd"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,KAAM,SAAU,OAC1BC,SAAU,CAER,CACEC,UAAW,UACXC,SAAU,CACR,CAAEC,MAAO,UAAWC,IAAK,KACzB,CAAED,MAAO,sBAIb,CACEA,MAAO,IAAKC,IAAK,IACjBC,YAAa,MACbC,UAAW,GAGb,CACEL,UAAW,SACXE,MAAO,8BAGT,CACEF,UAAW,SACXE,MAAO,qBAGT,CACEF,UAAW,WACXC,SAAU,CACR,CAAEC,MAAO,aACT,CAAEA,MAAO,QACPG,UAAW,KAKjB,CACEL,UAAW,QACXE,MAAO,SAAUC,IAAK,KAGxB,CACEH,UAAW,OACXC,SAAU,CACR,CACEC,MAAO,gBAAiBC,IAAK,aAE/B,CACED,MAAO,SAET,CACEA,MAAO,cAAeC,IAAK,IAC3BE,UAAW,KAKjB,CACEH,MAAO,cAAeC,IAAK,KAG7B,CACED,MAAO,+BACPI,aAAa,EACbP,SAAU,CACR,CACEC,UAAW,SACXE,MAAO,MAAOC,IAAK,MACnBI,cAAc,EACdC,WAAW,EACXH,UAAW,GAEb,CACEL,UAAW,OACXE,MAAO,SAAUC,IAAK,MACtBI,cAAc,EAAME,YAAY,GAElC,CACET,UAAW,SACXE,MAAO,SAAUC,IAAK,MACtBI,cAAc,EAAME,YAAY,IAGpCJ,UAAW,IAEb,CACEH,MAAO,eACPI,aAAa,EACbP,SAAU,CACR,CACEC,UAAW,SACXE,MAAO,KAAMC,IAAK,KAClBI,cAAc,EAAME,YAAY,GAElC,CACET,UAAW,OACXE,MAAO,OAAQC,IAAK,IACpBI,cAAc","file":"highlight/markdown.js?v=c87b4d23ef01e373209c","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['md', 'mkdown', 'mkd'],\n contains: [\n // highlight headers\n {\n className: 'section',\n variants: [\n { begin: '^#{1,6}', end: '$' },\n { begin: '^.+?\\\\n[=-]{2,}$' }\n ]\n },\n // inline html\n {\n begin: '<', end: '>',\n subLanguage: 'xml',\n relevance: 0\n },\n // lists (indicators only)\n {\n className: 'bullet',\n begin: '^\\\\s*([*+-]|(\\\\d+\\\\.))\\\\s+'\n },\n // strong segments\n {\n className: 'strong',\n begin: '[*_]{2}.+?[*_]{2}'\n },\n // emphasis segments\n {\n className: 'emphasis',\n variants: [\n { begin: '\\\\*.+?\\\\*' },\n { begin: '_.+?_'\n , relevance: 0\n }\n ]\n },\n // blockquotes\n {\n className: 'quote',\n begin: '^>\\\\s+', end: '$'\n },\n // code snippets\n {\n className: 'code',\n variants: [\n {\n begin: '^```\\\\w*\\\\s*$', end: '^```[ ]*$'\n },\n {\n begin: '`.+?`'\n },\n {\n begin: '^( {4}|\\\\t)', end: '$',\n relevance: 0\n }\n ]\n },\n // horizontal rules\n {\n begin: '^[-\\\\*]{3,}', end: '$'\n },\n // using links - title and link\n {\n begin: '\\\\[.+?\\\\][\\\\(\\\\[].*?[\\\\)\\\\]]',\n returnBegin: true,\n contains: [\n {\n className: 'string',\n begin: '\\\\[', end: '\\\\]',\n excludeBegin: true,\n returnEnd: true,\n relevance: 0\n },\n {\n className: 'link',\n begin: '\\\\]\\\\(', end: '\\\\)',\n excludeBegin: true, excludeEnd: true\n },\n {\n className: 'symbol',\n begin: '\\\\]\\\\[', end: '\\\\]',\n excludeBegin: true, excludeEnd: true\n }\n ],\n relevance: 10\n },\n {\n begin: /^\\[[^\\n]+\\]:/,\n returnBegin: true,\n contains: [\n {\n className: 'symbol',\n begin: /\\[/, end: /\\]/,\n excludeBegin: true, excludeEnd: true\n },\n {\n className: 'link',\n begin: /:\\s*/, end: /$/,\n excludeBegin: true\n }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/markdown.js"],"names":["module","exports","hljs","aliases","contains","className","variants","begin","end","subLanguage","relevance","returnBegin","excludeBegin","returnEnd","excludeEnd"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,KAAM,SAAU,OAC1BC,SAAU,CAER,CACEC,UAAW,UACXC,SAAU,CACR,CAAEC,MAAO,UAAWC,IAAK,KACzB,CAAED,MAAO,sBAIb,CACEA,MAAO,IAAKC,IAAK,IACjBC,YAAa,MACbC,UAAW,GAGb,CACEL,UAAW,SACXE,MAAO,8BAGT,CACEF,UAAW,SACXE,MAAO,qBAGT,CACEF,UAAW,WACXC,SAAU,CACR,CAAEC,MAAO,aACT,CAAEA,MAAO,QACPG,UAAW,KAKjB,CACEL,UAAW,QACXE,MAAO,SAAUC,IAAK,KAGxB,CACEH,UAAW,OACXC,SAAU,CACR,CACEC,MAAO,gBAAiBC,IAAK,aAE/B,CACED,MAAO,SAET,CACEA,MAAO,cAAeC,IAAK,IAC3BE,UAAW,KAKjB,CACEH,MAAO,cAAeC,IAAK,KAG7B,CACED,MAAO,+BACPI,aAAa,EACbP,SAAU,CACR,CACEC,UAAW,SACXE,MAAO,MAAOC,IAAK,MACnBI,cAAc,EACdC,WAAW,EACXH,UAAW,GAEb,CACEL,UAAW,OACXE,MAAO,SAAUC,IAAK,MACtBI,cAAc,EAAME,YAAY,GAElC,CACET,UAAW,SACXE,MAAO,SAAUC,IAAK,MACtBI,cAAc,EAAME,YAAY,IAGpCJ,UAAW,IAEb,CACEH,MAAO,eACPI,aAAa,EACbP,SAAU,CACR,CACEC,UAAW,SACXE,MAAO,KAAMC,IAAK,KAClBI,cAAc,EAAME,YAAY,GAElC,CACET,UAAW,OACXE,MAAO,OAAQC,IAAK,IACpBI,cAAc","file":"highlight/markdown.js?v=c176b1db2cd4865699c5","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['md', 'mkdown', 'mkd'],\n contains: [\n // highlight headers\n {\n className: 'section',\n variants: [\n { begin: '^#{1,6}', end: '$' },\n { begin: '^.+?\\\\n[=-]{2,}$' }\n ]\n },\n // inline html\n {\n begin: '<', end: '>',\n subLanguage: 'xml',\n relevance: 0\n },\n // lists (indicators only)\n {\n className: 'bullet',\n begin: '^\\\\s*([*+-]|(\\\\d+\\\\.))\\\\s+'\n },\n // strong segments\n {\n className: 'strong',\n begin: '[*_]{2}.+?[*_]{2}'\n },\n // emphasis segments\n {\n className: 'emphasis',\n variants: [\n { begin: '\\\\*.+?\\\\*' },\n { begin: '_.+?_'\n , relevance: 0\n }\n ]\n },\n // blockquotes\n {\n className: 'quote',\n begin: '^>\\\\s+', end: '$'\n },\n // code snippets\n {\n className: 'code',\n variants: [\n {\n begin: '^```\\\\w*\\\\s*$', end: '^```[ ]*$'\n },\n {\n begin: '`.+?`'\n },\n {\n begin: '^( {4}|\\\\t)', end: '$',\n relevance: 0\n }\n ]\n },\n // horizontal rules\n {\n begin: '^[-\\\\*]{3,}', end: '$'\n },\n // using links - title and link\n {\n begin: '\\\\[.+?\\\\][\\\\(\\\\[].*?[\\\\)\\\\]]',\n returnBegin: true,\n contains: [\n {\n className: 'string',\n begin: '\\\\[', end: '\\\\]',\n excludeBegin: true,\n returnEnd: true,\n relevance: 0\n },\n {\n className: 'link',\n begin: '\\\\]\\\\(', end: '\\\\)',\n excludeBegin: true, excludeEnd: true\n },\n {\n className: 'symbol',\n begin: '\\\\]\\\\[', end: '\\\\]',\n excludeBegin: true, excludeEnd: true\n }\n ],\n relevance: 10\n },\n {\n begin: /^\\[[^\\n]+\\]:/,\n returnBegin: true,\n contains: [\n {\n className: 'symbol',\n begin: /\\[/, end: /\\]/,\n excludeBegin: true, excludeEnd: true\n },\n {\n className: 'link',\n begin: /:\\s*/, end: /$/,\n excludeBegin: true\n }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/mathematica.js b/js/highlight/mathematica.js
index f859bd1e8..e4d232ee3 100644
--- a/js/highlight/mathematica.js
+++ b/js/highlight/mathematica.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[103],{469:function(e,t){e.exports=function(e){return{aliases:["mma","wl"],lexemes:"(\\$|\\b)"+e.IDENT_RE+"\\b",keywords:"AASTriangle AbelianGroup Abort AbortKernels AbortProtect AbortScheduledTask Above Abs AbsArg AbsArgPlot Absolute AbsoluteCorrelation AbsoluteCorrelationFunction AbsoluteCurrentValue AbsoluteDashing AbsoluteFileName AbsoluteOptions AbsolutePointSize AbsoluteThickness AbsoluteTime AbsoluteTiming AcceptanceThreshold AccountingForm Accumulate Accuracy AccuracyGoal ActionDelay ActionMenu ActionMenuBox ActionMenuBoxOptions Activate Active ActiveClassification ActiveClassificationObject ActiveItem ActivePrediction ActivePredictionObject ActiveStyle AcyclicGraphQ AddOnHelpPath AddSides AddTo AddToSearchIndex AddUsers AdjacencyGraph AdjacencyList AdjacencyMatrix AdjustmentBox AdjustmentBoxOptions AdjustTimeSeriesForecast AdministrativeDivisionData AffineHalfSpace AffineSpace AffineStateSpaceModel AffineTransform After AggregatedEntityClass AggregationLayer AircraftData AirportData AirPressureData AirTemperatureData AiryAi AiryAiPrime AiryAiZero AiryBi AiryBiPrime AiryBiZero AlgebraicIntegerQ AlgebraicNumber AlgebraicNumberDenominator AlgebraicNumberNorm AlgebraicNumberPolynomial AlgebraicNumberTrace AlgebraicRules AlgebraicRulesData Algebraics AlgebraicUnitQ Alignment AlignmentMarker AlignmentPoint All AllowAdultContent AllowedCloudExtraParameters AllowedCloudParameterExtensions AllowedDimensions AllowedFrequencyRange AllowedHeads AllowGroupClose AllowIncomplete AllowInlineCells AllowKernelInitialization AllowLooseGrammar AllowReverseGroupClose AllowScriptLevelChange AllTrue Alphabet AlphabeticOrder AlphabeticSort AlphaChannel AlternateImage AlternatingFactorial AlternatingGroup AlternativeHypothesis Alternatives AltitudeMethod AmbientLight AmbiguityFunction AmbiguityList Analytic AnatomyData AnatomyForm AnatomyPlot3D AnatomySkinStyle AnatomyStyling AnchoredSearch And AndersonDarlingTest AngerJ AngleBisector AngleBracket AnglePath AnglePath3D AngleVector AngularGauge Animate AnimationCycleOffset AnimationCycleRepetitions AnimationDirection AnimationDisplayTime AnimationRate AnimationRepetitions AnimationRunning AnimationRunTime AnimationTimeIndex Animator AnimatorBox AnimatorBoxOptions AnimatorElements Annotate Annotation AnnotationDelete AnnotationNames AnnotationRules AnnotationValue Annuity AnnuityDue Annulus AnomalyDetection AnomalyDetectorFunction Anonymous Antialiasing AntihermitianMatrixQ Antisymmetric AntisymmetricMatrixQ Antonyms AnyOrder AnySubset AnyTrue Apart ApartSquareFree APIFunction Appearance AppearanceElements AppearanceRules AppellF1 Append AppendCheck AppendLayer AppendTo ApplicationIdentificationKey Apply ApplySides ArcCos ArcCosh ArcCot ArcCoth ArcCsc ArcCsch ArcCurvature ARCHProcess ArcLength ArcSec ArcSech ArcSin ArcSinDistribution ArcSinh ArcTan ArcTanh Area Arg ArgMax ArgMin ArgumentCountQ ARIMAProcess ArithmeticGeometricMean ARMAProcess Around AroundReplace ARProcess Array ArrayComponents ArrayDepth ArrayFilter ArrayFlatten ArrayMesh ArrayPad ArrayPlot ArrayQ ArrayResample ArrayReshape ArrayRules Arrays Arrow Arrow3DBox ArrowBox Arrowheads ASATriangle Ask AskAppend AskConfirm AskDisplay AskedQ AskedValue AskFunction AskState AskTemplateDisplay AspectRatio AspectRatioFixed Assert AssociateTo Association AssociationFormat AssociationMap AssociationQ AssociationThread AssumeDeterministic Assuming Assumptions AstronomicalData AsymptoticDSolveValue AsymptoticEqual AsymptoticEquivalent AsymptoticGreater AsymptoticGreaterEqual AsymptoticIntegrate AsymptoticLess AsymptoticLessEqual AsymptoticOutputTracker AsymptoticRSolveValue AsymptoticSolve AsymptoticSum Asynchronous AsynchronousTaskObject AsynchronousTasks Atom AtomCoordinates AtomCount AtomDiagramCoordinates AtomList AtomQ AttentionLayer Attributes Audio AudioAmplify AudioAnnotate AudioAnnotationLookup AudioBlockMap AudioCapture AudioChannelAssignment AudioChannelCombine AudioChannelMix AudioChannels AudioChannelSeparate AudioData AudioDelay AudioDelete AudioDevice AudioDistance AudioFade AudioFrequencyShift AudioGenerator AudioIdentify AudioInputDevice AudioInsert AudioIntervals AudioJoin AudioLabel AudioLength AudioLocalMeasurements AudioLooping AudioLoudness AudioMeasurements AudioNormalize AudioOutputDevice AudioOverlay AudioPad AudioPan AudioPartition AudioPause AudioPitchShift AudioPlay AudioPlot AudioQ AudioRecord AudioReplace AudioResample AudioReverb AudioSampleRate AudioSpectralMap AudioSpectralTransformation AudioSplit AudioStop AudioStream AudioStreams AudioTimeStretch AudioTrim AudioType AugmentedPolyhedron AugmentedSymmetricPolynomial Authenticate Authentication AuthenticationDialog AutoAction Autocomplete AutocompletionFunction AutoCopy AutocorrelationTest AutoDelete AutoEvaluateEvents AutoGeneratedPackage AutoIndent AutoIndentSpacings AutoItalicWords AutoloadPath AutoMatch Automatic AutomaticImageSize AutoMultiplicationSymbol AutoNumberFormatting AutoOpenNotebooks AutoOpenPalettes AutoQuoteCharacters AutoRefreshed AutoRemove AutorunSequencing AutoScaling AutoScroll AutoSpacing AutoStyleOptions AutoStyleWords AutoSubmitting Axes AxesEdge AxesLabel AxesOrigin AxesStyle AxiomaticTheory AxisBabyMonsterGroupB Back Background BackgroundAppearance BackgroundTasksSettings Backslash Backsubstitution Backward Ball Band BandpassFilter BandstopFilter BarabasiAlbertGraphDistribution BarChart BarChart3D BarcodeImage BarcodeRecognize BaringhausHenzeTest BarLegend BarlowProschanImportance BarnesG BarOrigin BarSpacing BartlettHannWindow BartlettWindow BaseDecode BaseEncode BaseForm Baseline BaselinePosition BaseStyle BasicRecurrentLayer BatchNormalizationLayer BatchSize BatesDistribution BattleLemarieWavelet BayesianMaximization BayesianMaximizationObject BayesianMinimization BayesianMinimizationObject Because BeckmannDistribution Beep Before Begin BeginDialogPacket BeginFrontEndInteractionPacket BeginPackage BellB BellY Below BenfordDistribution BeniniDistribution BenktanderGibratDistribution BenktanderWeibullDistribution BernoulliB BernoulliDistribution BernoulliGraphDistribution BernoulliProcess BernsteinBasis BesselFilterModel BesselI BesselJ BesselJZero BesselK BesselY BesselYZero Beta BetaBinomialDistribution BetaDistribution BetaNegativeBinomialDistribution BetaPrimeDistribution BetaRegularized Between BetweennessCentrality BeveledPolyhedron BezierCurve BezierCurve3DBox BezierCurve3DBoxOptions BezierCurveBox BezierCurveBoxOptions BezierFunction BilateralFilter Binarize BinaryDeserialize BinaryDistance BinaryFormat BinaryImageQ BinaryRead BinaryReadList BinarySerialize BinaryWrite BinCounts BinLists Binomial BinomialDistribution BinomialProcess BinormalDistribution BiorthogonalSplineWavelet BipartiteGraphQ BiquadraticFilterModel BirnbaumImportance BirnbaumSaundersDistribution BitAnd BitClear BitGet BitLength BitNot BitOr BitSet BitShiftLeft BitShiftRight BitXor BiweightLocation BiweightMidvariance Black BlackmanHarrisWindow BlackmanNuttallWindow BlackmanWindow Blank BlankForm BlankNullSequence BlankSequence Blend Block BlockchainAddressData BlockchainBase BlockchainBlockData BlockchainContractValue BlockchainData BlockchainGet BlockchainKeyEncode BlockchainPut BlockchainTokenData BlockchainTransaction BlockchainTransactionData BlockchainTransactionSign BlockchainTransactionSubmit BlockMap BlockRandom BlomqvistBeta BlomqvistBetaTest Blue Blur BodePlot BohmanWindow Bold Bond BondCount BondList BondQ Bookmarks Boole BooleanConsecutiveFunction BooleanConvert BooleanCountingFunction BooleanFunction BooleanGraph BooleanMaxterms BooleanMinimize BooleanMinterms BooleanQ BooleanRegion Booleans BooleanStrings BooleanTable BooleanVariables BorderDimensions BorelTannerDistribution Bottom BottomHatTransform BoundaryDiscretizeGraphics BoundaryDiscretizeRegion BoundaryMesh BoundaryMeshRegion BoundaryMeshRegionQ BoundaryStyle BoundedRegionQ BoundingRegion Bounds Box BoxBaselineShift BoxData BoxDimensions Boxed Boxes BoxForm BoxFormFormatTypes BoxFrame BoxID BoxMargins BoxMatrix BoxObject BoxRatios BoxRotation BoxRotationPoint BoxStyle BoxWhiskerChart Bra BracketingBar BraKet BrayCurtisDistance BreadthFirstScan Break BridgeData BrightnessEqualize BroadcastStationData Brown BrownForsytheTest BrownianBridgeProcess BrowserCategory BSplineBasis BSplineCurve BSplineCurve3DBox BSplineCurve3DBoxOptions BSplineCurveBox BSplineCurveBoxOptions BSplineFunction BSplineSurface BSplineSurface3DBox BSplineSurface3DBoxOptions BubbleChart BubbleChart3D BubbleScale BubbleSizes BuildingData BulletGauge BusinessDayQ ButterflyGraph ButterworthFilterModel Button ButtonBar ButtonBox ButtonBoxOptions ButtonCell ButtonContents ButtonData ButtonEvaluator ButtonExpandable ButtonFrame ButtonFunction ButtonMargins ButtonMinHeight ButtonNote ButtonNotebook ButtonSource ButtonStyle ButtonStyleMenuListing Byte ByteArray ByteArrayFormat ByteArrayQ ByteArrayToString ByteCount ByteOrderingC CachedValue CacheGraphics CachePersistence CalendarConvert CalendarData CalendarType Callout CalloutMarker CalloutStyle CallPacket CanberraDistance Cancel CancelButton CandlestickChart CanonicalGraph CanonicalizePolygon CanonicalizePolyhedron CanonicalName CanonicalWarpingCorrespondence CanonicalWarpingDistance CantorMesh CantorStaircase Cap CapForm CapitalDifferentialD Capitalize CapsuleShape CaptureRunning CardinalBSplineBasis CarlemanLinearize CarmichaelLambda CaseOrdering Cases CaseSensitive Cashflow Casoratian Catalan CatalanNumber Catch Catenate CatenateLayer CauchyDistribution CauchyWindow CayleyGraph CDF CDFDeploy CDFInformation CDFWavelet Ceiling CelestialSystem Cell CellAutoOverwrite CellBaseline CellBoundingBox CellBracketOptions CellChangeTimes CellContents CellContext CellDingbat CellDynamicExpression CellEditDuplicate CellElementsBoundingBox CellElementSpacings CellEpilog CellEvaluationDuplicate CellEvaluationFunction CellEvaluationLanguage CellEventActions CellFrame CellFrameColor CellFrameLabelMargins CellFrameLabels CellFrameMargins CellGroup CellGroupData CellGrouping CellGroupingRules CellHorizontalScrolling CellID CellLabel CellLabelAutoDelete CellLabelMargins CellLabelPositioning CellLabelStyle CellLabelTemplate CellMargins CellObject CellOpen CellPrint CellProlog Cells CellSize CellStyle CellTags CellularAutomaton CensoredDistribution Censoring Center CenterArray CenterDot CentralFeature CentralMoment CentralMomentGeneratingFunction Cepstrogram CepstrogramArray CepstrumArray CForm ChampernowneNumber ChangeOptions ChannelBase ChannelBrokerAction ChannelDatabin ChannelHistoryLength ChannelListen ChannelListener ChannelListeners ChannelListenerWait ChannelObject ChannelPreSendFunction ChannelReceiverFunction ChannelSend ChannelSubscribers ChanVeseBinarize Character CharacterCounts CharacterEncoding CharacterEncodingsPath CharacteristicFunction CharacteristicPolynomial CharacterName CharacterRange Characters ChartBaseStyle ChartElementData ChartElementDataFunction ChartElementFunction ChartElements ChartLabels ChartLayout ChartLegends ChartStyle Chebyshev1FilterModel Chebyshev2FilterModel ChebyshevDistance ChebyshevT ChebyshevU Check CheckAbort CheckAll Checkbox CheckboxBar CheckboxBox CheckboxBoxOptions ChemicalData ChessboardDistance ChiDistribution ChineseRemainder ChiSquareDistribution ChoiceButtons ChoiceDialog CholeskyDecomposition Chop ChromaticityPlot ChromaticityPlot3D ChromaticPolynomial Circle CircleBox CircleDot CircleMinus CirclePlus CirclePoints CircleThrough CircleTimes CirculantGraph CircularOrthogonalMatrixDistribution CircularQuaternionMatrixDistribution CircularRealMatrixDistribution CircularSymplecticMatrixDistribution CircularUnitaryMatrixDistribution Circumsphere CityData ClassifierFunction ClassifierInformation ClassifierMeasurements ClassifierMeasurementsObject Classify ClassPriors Clear ClearAll ClearAttributes ClearCookies ClearPermissions ClearSystemCache ClebschGordan ClickPane Clip ClipboardNotebook ClipFill ClippingStyle ClipPlanes ClipPlanesStyle ClipRange Clock ClockGauge ClockwiseContourIntegral Close Closed CloseKernels ClosenessCentrality Closing ClosingAutoSave ClosingEvent CloudAccountData CloudBase CloudConnect CloudDeploy CloudDirectory CloudDisconnect CloudEvaluate CloudExport CloudExpression CloudExpressions CloudFunction CloudGet CloudImport CloudLoggingData CloudObject CloudObjectInformation CloudObjectInformationData CloudObjectNameFormat CloudObjects CloudObjectURLType CloudPublish CloudPut CloudRenderingMethod CloudSave CloudShare CloudSubmit CloudSymbol CloudUnshare ClusterClassify ClusterDissimilarityFunction ClusteringComponents ClusteringTree CMYKColor Coarse CodeAssistOptions Coefficient CoefficientArrays CoefficientDomain CoefficientList CoefficientRules CoifletWavelet Collect Colon ColonForm ColorBalance ColorCombine ColorConvert ColorCoverage ColorData ColorDataFunction ColorDetect ColorDistance ColorFunction ColorFunctionScaling Colorize ColorNegate ColorOutput ColorProfileData ColorQ ColorQuantize ColorReplace ColorRules ColorSelectorSettings ColorSeparate ColorSetter ColorSetterBox ColorSetterBoxOptions ColorSlider ColorsNear ColorSpace ColorToneMapping Column ColumnAlignments ColumnBackgrounds ColumnForm ColumnLines ColumnsEqual ColumnSpacings ColumnWidths CombinedEntityClass CombinerFunction CometData CommonDefaultFormatTypes Commonest CommonestFilter CommonName CommonUnits CommunityBoundaryStyle CommunityGraphPlot CommunityLabels CommunityRegionStyle CompanyData CompatibleUnitQ CompilationOptions CompilationTarget Compile Compiled CompiledCodeFunction CompiledFunction CompilerOptions Complement CompleteGraph CompleteGraphQ CompleteKaryTree CompletionsListPacket Complex Complexes ComplexExpand ComplexInfinity ComplexityFunction ComplexListPlot ComplexPlot ComplexPlot3D ComponentMeasurements ComponentwiseContextMenu Compose ComposeList ComposeSeries CompositeQ Composition CompoundElement CompoundExpression CompoundPoissonDistribution CompoundPoissonProcess CompoundRenewalProcess Compress CompressedData ComputeUncertainty Condition ConditionalExpression Conditioned Cone ConeBox ConfidenceLevel ConfidenceRange ConfidenceTransform ConfigurationPath ConformAudio ConformImages Congruent ConicHullRegion ConicHullRegion3DBox ConicHullRegionBox ConicOptimization Conjugate ConjugateTranspose Conjunction Connect ConnectedComponents ConnectedGraphComponents ConnectedGraphQ ConnectedMeshComponents ConnectedMoleculeComponents ConnectedMoleculeQ ConnectionSettings ConnectLibraryCallbackFunction ConnectSystemModelComponents ConnesWindow ConoverTest ConsoleMessage ConsoleMessagePacket ConsolePrint Constant ConstantArray ConstantArrayLayer ConstantImage ConstantPlusLayer ConstantRegionQ Constants ConstantTimesLayer ConstellationData ConstrainedMax ConstrainedMin Construct Containing ContainsAll ContainsAny ContainsExactly ContainsNone ContainsOnly ContentFieldOptions ContentLocationFunction ContentObject ContentPadding ContentsBoundingBox ContentSelectable ContentSize Context ContextMenu Contexts ContextToFileName Continuation Continue ContinuedFraction ContinuedFractionK ContinuousAction ContinuousMarkovProcess ContinuousTask ContinuousTimeModelQ ContinuousWaveletData ContinuousWaveletTransform ContourDetect ContourGraphics ContourIntegral ContourLabels ContourLines ContourPlot ContourPlot3D Contours ContourShading ContourSmoothing ContourStyle ContraharmonicMean ContrastiveLossLayer Control ControlActive ControlAlignment ControlGroupContentsBox ControllabilityGramian ControllabilityMatrix ControllableDecomposition ControllableModelQ ControllerDuration ControllerInformation ControllerInformationData ControllerLinking ControllerManipulate ControllerMethod ControllerPath ControllerState ControlPlacement ControlsRendering ControlType Convergents ConversionOptions ConversionRules ConvertToBitmapPacket ConvertToPostScript ConvertToPostScriptPacket ConvexHullMesh ConvexPolygonQ ConvexPolyhedronQ ConvolutionLayer Convolve ConwayGroupCo1 ConwayGroupCo2 ConwayGroupCo3 CookieFunction Cookies CoordinateBoundingBox CoordinateBoundingBoxArray CoordinateBounds CoordinateBoundsArray CoordinateChartData CoordinatesToolOptions CoordinateTransform CoordinateTransformData CoprimeQ Coproduct CopulaDistribution Copyable CopyDatabin CopyDirectory CopyFile CopyTag CopyToClipboard CornerFilter CornerNeighbors Correlation CorrelationDistance CorrelationFunction CorrelationTest Cos Cosh CoshIntegral CosineDistance CosineWindow CosIntegral Cot Coth Count CountDistinct CountDistinctBy CounterAssignments CounterBox CounterBoxOptions CounterClockwiseContourIntegral CounterEvaluator CounterFunction CounterIncrements CounterStyle CounterStyleMenuListing CountRoots CountryData Counts CountsBy Covariance CovarianceEstimatorFunction CovarianceFunction CoxianDistribution CoxIngersollRossProcess CoxModel CoxModelFit CramerVonMisesTest CreateArchive CreateCellID CreateChannel CreateCloudExpression CreateDatabin CreateDataSystemModel CreateDialog CreateDirectory CreateDocument CreateFile CreateIntermediateDirectories CreateManagedLibraryExpression CreateNotebook CreatePalette CreatePalettePacket CreatePermissionsGroup CreateScheduledTask CreateSearchIndex CreateSystemModel CreateTemporary CreateUUID CreateWindow CriterionFunction CriticalityFailureImportance CriticalitySuccessImportance CriticalSection Cross CrossEntropyLossLayer CrossingCount CrossingDetect CrossingPolygon CrossMatrix Csc Csch CTCLossLayer Cube CubeRoot Cubics Cuboid CuboidBox Cumulant CumulantGeneratingFunction Cup CupCap Curl CurlyDoubleQuote CurlyQuote CurrencyConvert CurrentDate CurrentImage CurrentlySpeakingPacket CurrentNotebookImage CurrentScreenImage CurrentValue Curry CurvatureFlowFilter CurveClosed Cyan CycleGraph CycleIndexPolynomial Cycles CyclicGroup Cyclotomic Cylinder CylinderBox CylindricalDecompositionD DagumDistribution DamData DamerauLevenshteinDistance DampingFactor Darker Dashed Dashing DatabaseConnect DatabaseDisconnect DatabaseReference Databin DatabinAdd DatabinRemove Databins DatabinUpload DataCompression DataDistribution DataRange DataReversed Dataset Date DateBounds Dated DateDelimiters DateDifference DatedUnit DateFormat DateFunction DateHistogram DateList DateListLogPlot DateListPlot DateListStepPlot DateObject DateObjectQ DateOverlapsQ DatePattern DatePlus DateRange DateReduction DateString DateTicksFormat DateValue DateWithinQ DaubechiesWavelet DavisDistribution DawsonF DayCount DayCountConvention DayHemisphere DaylightQ DayMatchQ DayName DayNightTerminator DayPlus DayRange DayRound DeBruijnGraph DeBruijnSequence Debug DebugTag Decapitalize Decimal DecimalForm DeclareKnownSymbols DeclarePackage Decompose DeconvolutionLayer Decrement Decrypt DecryptFile DedekindEta DeepSpaceProbeData Default DefaultAxesStyle DefaultBaseStyle DefaultBoxStyle DefaultButton DefaultColor DefaultControlPlacement DefaultDuplicateCellStyle DefaultDuration DefaultElement DefaultFaceGridsStyle DefaultFieldHintStyle DefaultFont DefaultFontProperties DefaultFormatType DefaultFormatTypeForStyle DefaultFrameStyle DefaultFrameTicksStyle DefaultGridLinesStyle DefaultInlineFormatType DefaultInputFormatType DefaultLabelStyle DefaultMenuStyle DefaultNaturalLanguage DefaultNewCellStyle DefaultNewInlineCellStyle DefaultNotebook DefaultOptions DefaultOutputFormatType DefaultPrintPrecision DefaultStyle DefaultStyleDefinitions DefaultTextFormatType DefaultTextInlineFormatType DefaultTicksStyle DefaultTooltipStyle DefaultValue DefaultValues Defer DefineExternal DefineInputStreamMethod DefineOutputStreamMethod DefineResourceFunction Definition Degree DegreeCentrality DegreeGraphDistribution DegreeLexicographic DegreeReverseLexicographic DEigensystem DEigenvalues Deinitialization Del DelaunayMesh Delayed Deletable Delete DeleteAnomalies DeleteBorderComponents DeleteCases DeleteChannel DeleteCloudExpression DeleteContents DeleteDirectory DeleteDuplicates DeleteDuplicatesBy DeleteFile DeleteMissing DeleteObject DeletePermissionsKey DeleteSearchIndex DeleteSmallComponents DeleteStopwords DeleteWithContents DeletionWarning DelimitedArray DelimitedSequence Delimiter DelimiterFlashTime DelimiterMatching Delimiters DeliveryFunction Dendrogram Denominator DensityGraphics DensityHistogram DensityPlot DensityPlot3D DependentVariables Deploy Deployed Depth DepthFirstScan Derivative DerivativeFilter DerivedKey DescriptorStateSpace DesignMatrix DestroyAfterEvaluation Det DeviceClose DeviceConfigure DeviceExecute DeviceExecuteAsynchronous DeviceObject DeviceOpen DeviceOpenQ DeviceRead DeviceReadBuffer DeviceReadLatest DeviceReadList DeviceReadTimeSeries Devices DeviceStreams DeviceWrite DeviceWriteBuffer DGaussianWavelet DiacriticalPositioning Diagonal DiagonalizableMatrixQ DiagonalMatrix DiagonalMatrixQ Dialog DialogIndent DialogInput DialogLevel DialogNotebook DialogProlog DialogReturn DialogSymbols Diamond DiamondMatrix DiceDissimilarity DictionaryLookup DictionaryWordQ DifferenceDelta DifferenceOrder DifferenceQuotient DifferenceRoot DifferenceRootReduce Differences DifferentialD DifferentialRoot DifferentialRootReduce DifferentiatorFilter DigitalSignature DigitBlock DigitBlockMinimum DigitCharacter DigitCount DigitQ DihedralAngle DihedralGroup Dilation DimensionalCombinations DimensionalMeshComponents DimensionReduce DimensionReducerFunction DimensionReduction Dimensions DiracComb DiracDelta DirectedEdge DirectedEdges DirectedGraph DirectedGraphQ DirectedInfinity Direction Directive Directory DirectoryName DirectoryQ DirectoryStack DirichletBeta DirichletCharacter DirichletCondition DirichletConvolve DirichletDistribution DirichletEta DirichletL DirichletLambda DirichletTransform DirichletWindow DisableConsolePrintPacket DisableFormatting DiscreteChirpZTransform DiscreteConvolve DiscreteDelta DiscreteHadamardTransform DiscreteIndicator DiscreteLimit DiscreteLQEstimatorGains DiscreteLQRegulatorGains DiscreteLyapunovSolve DiscreteMarkovProcess DiscreteMaxLimit DiscreteMinLimit DiscretePlot DiscretePlot3D DiscreteRatio DiscreteRiccatiSolve DiscreteShift DiscreteTimeModelQ DiscreteUniformDistribution DiscreteVariables DiscreteWaveletData DiscreteWaveletPacketTransform DiscreteWaveletTransform DiscretizeGraphics DiscretizeRegion Discriminant DisjointQ Disjunction Disk DiskBox DiskMatrix DiskSegment Dispatch DispatchQ DispersionEstimatorFunction Display DisplayAllSteps DisplayEndPacket DisplayFlushImagePacket DisplayForm DisplayFunction DisplayPacket DisplayRules DisplaySetSizePacket DisplayString DisplayTemporary DisplayWith DisplayWithRef DisplayWithVariable DistanceFunction DistanceMatrix DistanceTransform Distribute Distributed DistributedContexts DistributeDefinitions DistributionChart DistributionDomain DistributionFitTest DistributionParameterAssumptions DistributionParameterQ Dithering Div Divergence Divide DivideBy Dividers DivideSides Divisible Divisors DivisorSigma DivisorSum DMSList DMSString Do DockedCells DocumentGenerator DocumentGeneratorInformation DocumentGeneratorInformationData DocumentGenerators DocumentNotebook DocumentWeightingRules Dodecahedron DomainRegistrationInformation DominantColors DOSTextFormat Dot DotDashed DotEqual DotLayer DotPlusLayer Dotted DoubleBracketingBar DoubleContourIntegral DoubleDownArrow DoubleLeftArrow DoubleLeftRightArrow DoubleLeftTee DoubleLongLeftArrow DoubleLongLeftRightArrow DoubleLongRightArrow DoubleRightArrow DoubleRightTee DoubleUpArrow DoubleUpDownArrow DoubleVerticalBar DoublyInfinite Down DownArrow DownArrowBar DownArrowUpArrow DownLeftRightVector DownLeftTeeVector DownLeftVector DownLeftVectorBar DownRightTeeVector DownRightVector DownRightVectorBar Downsample DownTee DownTeeArrow DownValues DragAndDrop DrawEdges DrawFrontFaces DrawHighlighted Drop DropoutLayer DSolve DSolveValue Dt DualLinearProgramming DualPolyhedron DualSystemsModel DumpGet DumpSave DuplicateFreeQ Duration Dynamic DynamicBox DynamicBoxOptions DynamicEvaluationTimeout DynamicGeoGraphics DynamicImage DynamicLocation DynamicModule DynamicModuleBox DynamicModuleBoxOptions DynamicModuleParent DynamicModuleValues DynamicName DynamicNamespace DynamicReference DynamicSetting DynamicUpdating DynamicWrapper DynamicWrapperBox DynamicWrapperBoxOptionsE EarthImpactData EarthquakeData EccentricityCentrality Echo EchoFunction EclipseType EdgeAdd EdgeBetweennessCentrality EdgeCapacity EdgeCapForm EdgeColor EdgeConnectivity EdgeContract EdgeCost EdgeCount EdgeCoverQ EdgeCycleMatrix EdgeDashing EdgeDelete EdgeDetect EdgeForm EdgeIndex EdgeJoinForm EdgeLabeling EdgeLabels EdgeLabelStyle EdgeList EdgeOpacity EdgeQ EdgeRenderingFunction EdgeRules EdgeShapeFunction EdgeStyle EdgeThickness EdgeWeight EdgeWeightedGraphQ Editable EditButtonSettings EditCellTagsSettings EditDistance EffectiveInterest Eigensystem Eigenvalues EigenvectorCentrality Eigenvectors Element ElementData ElementwiseLayer ElidedForms Eliminate EliminationOrder Ellipsoid EllipticE EllipticExp EllipticExpPrime EllipticF EllipticFilterModel EllipticK EllipticLog EllipticNomeQ EllipticPi EllipticReducedHalfPeriods EllipticTheta EllipticThetaPrime EmbedCode EmbeddedHTML EmbeddedService EmbeddingLayer EmbeddingObject EmitSound EmphasizeSyntaxErrors EmpiricalDistribution Empty EmptyGraphQ EmptyRegion EnableConsolePrintPacket Enabled Encode Encrypt EncryptedObject EncryptFile End EndAdd EndDialogPacket EndFrontEndInteractionPacket EndOfBuffer EndOfFile EndOfLine EndOfString EndPackage EngineEnvironment EngineeringForm Enter EnterExpressionPacket EnterTextPacket Entity EntityClass EntityClassList EntityCopies EntityFunction EntityGroup EntityInstance EntityList EntityPrefetch EntityProperties EntityProperty EntityPropertyClass EntityRegister EntityStore EntityStores EntityTypeName EntityUnregister EntityValue Entropy EntropyFilter Environment Epilog EpilogFunction Equal EqualColumns EqualRows EqualTilde EqualTo EquatedTo Equilibrium EquirippleFilterKernel Equivalent Erf Erfc Erfi ErlangB ErlangC ErlangDistribution Erosion ErrorBox ErrorBoxOptions ErrorNorm ErrorPacket ErrorsDialogSettings EscapeRadius EstimatedBackground EstimatedDistribution EstimatedProcess EstimatorGains EstimatorRegulator EuclideanDistance EulerAngles EulerCharacteristic EulerE EulerGamma EulerianGraphQ EulerMatrix EulerPhi Evaluatable Evaluate Evaluated EvaluatePacket EvaluateScheduledTask EvaluationBox EvaluationCell EvaluationCompletionAction EvaluationData EvaluationElements EvaluationEnvironment EvaluationMode EvaluationMonitor EvaluationNotebook EvaluationObject EvaluationOrder Evaluator EvaluatorNames EvenQ EventData EventEvaluator EventHandler EventHandlerTag EventLabels EventSeries ExactBlackmanWindow ExactNumberQ ExactRootIsolation ExampleData Except ExcludedForms ExcludedLines ExcludedPhysicalQuantities ExcludePods Exclusions ExclusionsStyle Exists Exit ExitDialog ExoplanetData Exp Expand ExpandAll ExpandDenominator ExpandFileName ExpandNumerator Expectation ExpectationE ExpectedValue ExpGammaDistribution ExpIntegralE ExpIntegralEi ExpirationDate Exponent ExponentFunction ExponentialDistribution ExponentialFamily ExponentialGeneratingFunction ExponentialMovingAverage ExponentialPowerDistribution ExponentPosition ExponentStep Export ExportAutoReplacements ExportByteArray ExportForm ExportPacket ExportString Expression ExpressionCell ExpressionPacket ExpressionUUID ExpToTrig ExtendedEntityClass ExtendedGCD Extension ExtentElementFunction ExtentMarkers ExtentSize ExternalBundle ExternalCall ExternalDataCharacterEncoding ExternalEvaluate ExternalFunction ExternalFunctionName ExternalObject ExternalOptions ExternalSessionObject ExternalSessions ExternalTypeSignature ExternalValue Extract ExtractArchive ExtractLayer ExtremeValueDistributionFaceForm FaceGrids FaceGridsStyle FacialFeatures Factor FactorComplete Factorial Factorial2 FactorialMoment FactorialMomentGeneratingFunction FactorialPower FactorInteger FactorList FactorSquareFree FactorSquareFreeList FactorTerms FactorTermsList Fail Failure FailureAction FailureDistribution FailureQ False FareySequence FARIMAProcess FeatureDistance FeatureExtract FeatureExtraction FeatureExtractor FeatureExtractorFunction FeatureNames FeatureNearest FeatureSpacePlot FeatureSpacePlot3D FeatureTypes FEDisableConsolePrintPacket FeedbackLinearize FeedbackSector FeedbackSectorStyle FeedbackType FEEnableConsolePrintPacket FetalGrowthData Fibonacci Fibonorial FieldCompletionFunction FieldHint FieldHintStyle FieldMasked FieldSize File FileBaseName FileByteCount FileConvert FileDate FileExistsQ FileExtension FileFormat FileHandler FileHash FileInformation FileName FileNameDepth FileNameDialogSettings FileNameDrop FileNameForms FileNameJoin FileNames FileNameSetter FileNameSplit FileNameTake FilePrint FileSize FileSystemMap FileSystemScan FileTemplate FileTemplateApply FileType FilledCurve FilledCurveBox FilledCurveBoxOptions Filling FillingStyle FillingTransform FilteredEntityClass FilterRules FinancialBond FinancialData FinancialDerivative FinancialIndicator Find FindAnomalies FindArgMax FindArgMin FindChannels FindClique FindClusters FindCookies FindCurvePath FindCycle FindDevices FindDistribution FindDistributionParameters FindDivisions FindEdgeCover FindEdgeCut FindEdgeIndependentPaths FindEquationalProof FindEulerianCycle FindExternalEvaluators FindFaces FindFile FindFit FindFormula FindFundamentalCycles FindGeneratingFunction FindGeoLocation FindGeometricConjectures FindGeometricTransform FindGraphCommunities FindGraphIsomorphism FindGraphPartition FindHamiltonianCycle FindHamiltonianPath FindHiddenMarkovStates FindIndependentEdgeSet FindIndependentVertexSet FindInstance FindIntegerNullVector FindKClan FindKClique FindKClub FindKPlex FindLibrary FindLinearRecurrence FindList FindMatchingColor FindMaximum FindMaximumFlow FindMaxValue FindMeshDefects FindMinimum FindMinimumCostFlow FindMinimumCut FindMinValue FindMoleculeSubstructure FindPath FindPeaks FindPermutation FindPostmanTour FindProcessParameters FindRepeat FindRoot FindSequenceFunction FindSettings FindShortestPath FindShortestTour FindSpanningTree FindSystemModelEquilibrium FindTextualAnswer FindThreshold FindTransientRepeat FindVertexCover FindVertexCut FindVertexIndependentPaths Fine FinishDynamic FiniteAbelianGroupCount FiniteGroupCount FiniteGroupData First FirstCase FirstPassageTimeDistribution FirstPosition FischerGroupFi22 FischerGroupFi23 FischerGroupFi24Prime FisherHypergeometricDistribution FisherRatioTest FisherZDistribution Fit FitAll FitRegularization FittedModel FixedOrder FixedPoint FixedPointList FlashSelection Flat Flatten FlattenAt FlattenLayer FlatTopWindow FlipView Floor FlowPolynomial FlushPrintOutputPacket Fold FoldList FoldPair FoldPairList FollowRedirects Font FontColor FontFamily FontForm FontName FontOpacity FontPostScriptName FontProperties FontReencoding FontSize FontSlant FontSubstitutions FontTracking FontVariations FontWeight For ForAll Format FormatRules FormatType FormatTypeAutoConvert FormatValues FormBox FormBoxOptions FormControl FormFunction FormLayoutFunction FormObject FormPage FormTheme FormulaData FormulaLookup FortranForm Forward ForwardBackward Fourier FourierCoefficient FourierCosCoefficient FourierCosSeries FourierCosTransform FourierDCT FourierDCTFilter FourierDCTMatrix FourierDST FourierDSTMatrix FourierMatrix FourierParameters FourierSequenceTransform FourierSeries FourierSinCoefficient FourierSinSeries FourierSinTransform FourierTransform FourierTrigSeries FractionalBrownianMotionProcess FractionalGaussianNoiseProcess FractionalPart FractionBox FractionBoxOptions FractionLine Frame FrameBox FrameBoxOptions Framed FrameInset FrameLabel Frameless FrameMargins FrameRate FrameStyle FrameTicks FrameTicksStyle FRatioDistribution FrechetDistribution FreeQ FrenetSerretSystem FrequencySamplingFilterKernel FresnelC FresnelF FresnelG FresnelS Friday FrobeniusNumber FrobeniusSolve FromAbsoluteTime FromCharacterCode FromCoefficientRules FromContinuedFraction FromDate FromDigits FromDMS FromEntity FromJulianDate FromLetterNumber FromPolarCoordinates FromRomanNumeral FromSphericalCoordinates FromUnixTime Front FrontEndDynamicExpression FrontEndEventActions FrontEndExecute FrontEndObject FrontEndResource FrontEndResourceString FrontEndStackSize FrontEndToken FrontEndTokenExecute FrontEndValueCache FrontEndVersion FrontFaceColor FrontFaceOpacity Full FullAxes FullDefinition FullForm FullGraphics FullInformationOutputRegulator FullOptions FullRegion FullSimplify Function FunctionCompile FunctionCompileExport FunctionCompileExportByteArray FunctionCompileExportLibrary FunctionCompileExportString FunctionDomain FunctionExpand FunctionInterpolation FunctionPeriod FunctionRange FunctionSpace FussellVeselyImportanceGaborFilter GaborMatrix GaborWavelet GainMargins GainPhaseMargins GalaxyData GalleryView Gamma GammaDistribution GammaRegularized GapPenalty GARCHProcess GatedRecurrentLayer Gather GatherBy GaugeFaceElementFunction GaugeFaceStyle GaugeFrameElementFunction GaugeFrameSize GaugeFrameStyle GaugeLabels GaugeMarkers GaugeStyle GaussianFilter GaussianIntegers GaussianMatrix GaussianOrthogonalMatrixDistribution GaussianSymplecticMatrixDistribution GaussianUnitaryMatrixDistribution GaussianWindow GCD GegenbauerC General GeneralizedLinearModelFit GenerateAsymmetricKeyPair GenerateConditions GeneratedCell GeneratedDocumentBinding GenerateDerivedKey GenerateDigitalSignature GenerateDocument GeneratedParameters GeneratedQuantityMagnitudes GenerateHTTPResponse GenerateSecuredAuthenticationKey GenerateSymmetricKey GeneratingFunction GeneratorDescription GeneratorHistoryLength GeneratorOutputType Generic GenericCylindricalDecomposition GenomeData GenomeLookup GeoAntipode GeoArea GeoArraySize GeoBackground GeoBoundingBox GeoBounds GeoBoundsRegion GeoBubbleChart GeoCenter GeoCircle GeodesicClosing GeodesicDilation GeodesicErosion GeodesicOpening GeoDestination GeodesyData GeoDirection GeoDisk GeoDisplacement GeoDistance GeoDistanceList GeoElevationData GeoEntities GeoGraphics GeogravityModelData GeoGridDirectionDifference GeoGridLines GeoGridLinesStyle GeoGridPosition GeoGridRange GeoGridRangePadding GeoGridUnitArea GeoGridUnitDistance GeoGridVector GeoGroup GeoHemisphere GeoHemisphereBoundary GeoHistogram GeoIdentify GeoImage GeoLabels GeoLength GeoListPlot GeoLocation GeologicalPeriodData GeomagneticModelData GeoMarker GeometricAssertion GeometricBrownianMotionProcess GeometricDistribution GeometricMean GeometricMeanFilter GeometricScene GeometricTransformation GeometricTransformation3DBox GeometricTransformation3DBoxOptions GeometricTransformationBox GeometricTransformationBoxOptions GeoModel GeoNearest GeoPath GeoPosition GeoPositionENU GeoPositionXYZ GeoProjection GeoProjectionData GeoRange GeoRangePadding GeoRegionValuePlot GeoResolution GeoScaleBar GeoServer GeoSmoothHistogram GeoStreamPlot GeoStyling GeoStylingImageFunction GeoVariant GeoVector GeoVectorENU GeoVectorPlot GeoVectorXYZ GeoVisibleRegion GeoVisibleRegionBoundary GeoWithinQ GeoZoomLevel GestureHandler GestureHandlerTag Get GetBoundingBoxSizePacket GetContext GetEnvironment GetFileName GetFrontEndOptionsDataPacket GetLinebreakInformationPacket GetMenusPacket GetPageBreakInformationPacket Glaisher GlobalClusteringCoefficient GlobalPreferences GlobalSession Glow GoldenAngle GoldenRatio GompertzMakehamDistribution GoodmanKruskalGamma GoodmanKruskalGammaTest Goto Grad Gradient GradientFilter GradientOrientationFilter GrammarApply GrammarRules GrammarToken Graph Graph3D GraphAssortativity GraphAutomorphismGroup GraphCenter GraphComplement GraphData GraphDensity GraphDiameter GraphDifference GraphDisjointUnion GraphDistance GraphDistanceMatrix GraphElementData GraphEmbedding GraphHighlight GraphHighlightStyle GraphHub Graphics Graphics3D Graphics3DBox Graphics3DBoxOptions GraphicsArray GraphicsBaseline GraphicsBox GraphicsBoxOptions GraphicsColor GraphicsColumn GraphicsComplex GraphicsComplex3DBox GraphicsComplex3DBoxOptions GraphicsComplexBox GraphicsComplexBoxOptions GraphicsContents GraphicsData GraphicsGrid GraphicsGridBox GraphicsGroup GraphicsGroup3DBox GraphicsGroup3DBoxOptions GraphicsGroupBox GraphicsGroupBoxOptions GraphicsGrouping GraphicsHighlightColor GraphicsRow GraphicsSpacing GraphicsStyle GraphIntersection GraphLayout GraphLinkEfficiency GraphPeriphery GraphPlot GraphPlot3D GraphPower GraphPropertyDistribution GraphQ GraphRadius GraphReciprocity GraphRoot GraphStyle GraphUnion Gray GrayLevel Greater GreaterEqual GreaterEqualLess GreaterEqualThan GreaterFullEqual GreaterGreater GreaterLess GreaterSlantEqual GreaterThan GreaterTilde Green GreenFunction Grid GridBaseline GridBox GridBoxAlignment GridBoxBackground GridBoxDividers GridBoxFrame GridBoxItemSize GridBoxItemStyle GridBoxOptions GridBoxSpacings GridCreationSettings GridDefaultElement GridElementStyleOptions GridFrame GridFrameMargins GridGraph GridLines GridLinesStyle GroebnerBasis GroupActionBase GroupBy GroupCentralizer GroupElementFromWord GroupElementPosition GroupElementQ GroupElements GroupElementToWord GroupGenerators Groupings GroupMultiplicationTable GroupOrbits GroupOrder GroupPageBreakWithin GroupSetwiseStabilizer GroupStabilizer GroupStabilizerChain GroupTogetherGrouping GroupTogetherNestedGrouping GrowCutComponents Gudermannian GuidedFilter GumbelDistributionHaarWavelet HadamardMatrix HalfLine HalfNormalDistribution HalfPlane HalfSpace HamiltonianGraphQ HammingDistance HammingWindow HandlerFunctions HandlerFunctionsKeys HankelH1 HankelH2 HankelMatrix HankelTransform HannPoissonWindow HannWindow HaradaNortonGroupHN HararyGraph HarmonicMean HarmonicMeanFilter HarmonicNumber Hash Haversine HazardFunction Head HeadCompose HeaderLines Heads HeavisideLambda HeavisidePi HeavisideTheta HeldGroupHe HeldPart HelpBrowserLookup HelpBrowserNotebook HelpBrowserSettings Here HermiteDecomposition HermiteH HermitianMatrixQ HessenbergDecomposition Hessian HexadecimalCharacter Hexahedron HexahedronBox HexahedronBoxOptions HiddenMarkovProcess HiddenSurface Highlighted HighlightGraph HighlightImage HighlightMesh HighpassFilter HigmanSimsGroupHS HilbertCurve HilbertFilter HilbertMatrix Histogram Histogram3D HistogramDistribution HistogramList HistogramTransform HistogramTransformInterpolation HistoricalPeriodData HitMissTransform HITSCentrality HjorthDistribution HodgeDual HoeffdingD HoeffdingDTest Hold HoldAll HoldAllComplete HoldComplete HoldFirst HoldForm HoldPattern HoldRest HolidayCalendar HomeDirectory HomePage Horizontal HorizontalForm HorizontalGauge HorizontalScrollPosition HornerForm HostLookup HotellingTSquareDistribution HoytDistribution HTMLSave HTTPErrorResponse HTTPRedirect HTTPRequest HTTPRequestData HTTPResponse Hue HumanGrowthData HumpDownHump HumpEqual HurwitzLerchPhi HurwitzZeta HyperbolicDistribution HypercubeGraph HyperexponentialDistribution Hyperfactorial Hypergeometric0F1 Hypergeometric0F1Regularized Hypergeometric1F1 Hypergeometric1F1Regularized Hypergeometric2F1 Hypergeometric2F1Regularized HypergeometricDistribution HypergeometricPFQ HypergeometricPFQRegularized HypergeometricU Hyperlink HyperlinkCreationSettings Hyperplane Hyphenation HyphenationOptions HypoexponentialDistribution HypothesisTestDataI IconData Iconize IconizedObject IconRules Icosahedron Identity IdentityMatrix If IgnoreCase IgnoreDiacritics IgnorePunctuation IgnoreSpellCheck IgnoringInactive Im Image Image3D Image3DProjection Image3DSlices ImageAccumulate ImageAdd ImageAdjust ImageAlign ImageApply ImageApplyIndexed ImageAspectRatio ImageAssemble ImageAugmentationLayer ImageBoundingBoxes ImageCache ImageCacheValid ImageCapture ImageCaptureFunction ImageCases ImageChannels ImageClip ImageCollage ImageColorSpace ImageCompose ImageContainsQ ImageContents ImageConvolve ImageCooccurrence ImageCorners ImageCorrelate ImageCorrespondingPoints ImageCrop ImageData ImageDeconvolve ImageDemosaic ImageDifference ImageDimensions ImageDisplacements ImageDistance ImageEffect ImageExposureCombine ImageFeatureTrack ImageFileApply ImageFileFilter ImageFileScan ImageFilter ImageFocusCombine ImageForestingComponents ImageFormattingWidth ImageForwardTransformation ImageGraphics ImageHistogram ImageIdentify ImageInstanceQ ImageKeypoints ImageLevels ImageLines ImageMargins ImageMarker ImageMarkers ImageMeasurements ImageMesh ImageMultiply ImageOffset ImagePad ImagePadding ImagePartition ImagePeriodogram ImagePerspectiveTransformation ImagePosition ImagePreviewFunction ImagePyramid ImagePyramidApply ImageQ ImageRangeCache ImageRecolor ImageReflect ImageRegion ImageResize ImageResolution ImageRestyle ImageRotate ImageRotated ImageSaliencyFilter ImageScaled ImageScan ImageSize ImageSizeAction ImageSizeCache ImageSizeMultipliers ImageSizeRaw ImageSubtract ImageTake ImageTransformation ImageTrim ImageType ImageValue ImageValuePositions ImagingDevice ImplicitRegion Implies Import ImportAutoReplacements ImportByteArray ImportOptions ImportString ImprovementImportance In Inactivate Inactive IncidenceGraph IncidenceList IncidenceMatrix IncludeAromaticBonds IncludeConstantBasis IncludeDefinitions IncludeDirectories IncludeFileExtension IncludeGeneratorTasks IncludeHydrogens IncludeInflections IncludeMetaInformation IncludePods IncludeQuantities IncludeRelatedTables IncludeSingularTerm IncludeWindowTimes Increment IndefiniteMatrixQ Indent IndentingNewlineSpacings IndentMaxFraction IndependenceTest IndependentEdgeSetQ IndependentPhysicalQuantity IndependentUnit IndependentUnitDimension IndependentVertexSetQ Indeterminate IndeterminateThreshold IndexCreationOptions Indexed IndexGraph IndexTag Inequality InexactNumberQ InexactNumbers InfiniteLine InfinitePlane Infinity Infix InflationAdjust InflationMethod Information InformationData InformationDataGrid Inherited InheritScope InhomogeneousPoissonProcess InitialEvaluationHistory Initialization InitializationCell InitializationCellEvaluation InitializationCellWarning InitializationObjects InitializationValue Initialize InitialSeeding InlineCounterAssignments InlineCounterIncrements InlineRules Inner InnerPolygon InnerPolyhedron Inpaint Input InputAliases InputAssumptions InputAutoReplacements InputField InputFieldBox InputFieldBoxOptions InputForm InputGrouping InputNamePacket InputNotebook InputPacket InputSettings InputStream InputString InputStringPacket InputToBoxFormPacket Insert InsertionFunction InsertionPointObject InsertLinebreaks InsertResults Inset Inset3DBox Inset3DBoxOptions InsetBox InsetBoxOptions Insphere Install InstallService InstanceNormalizationLayer InString Integer IntegerDigits IntegerExponent IntegerLength IntegerName IntegerPart IntegerPartitions IntegerQ IntegerReverse Integers IntegerString Integral Integrate Interactive InteractiveTradingChart Interlaced Interleaving InternallyBalancedDecomposition InterpolatingFunction InterpolatingPolynomial Interpolation InterpolationOrder InterpolationPoints InterpolationPrecision Interpretation InterpretationBox InterpretationBoxOptions InterpretationFunction Interpreter InterpretTemplate InterquartileRange Interrupt InterruptSettings IntersectingQ Intersection Interval IntervalIntersection IntervalMarkers IntervalMarkersStyle IntervalMemberQ IntervalSlider IntervalUnion Into Inverse InverseBetaRegularized InverseCDF InverseChiSquareDistribution InverseContinuousWaveletTransform InverseDistanceTransform InverseEllipticNomeQ InverseErf InverseErfc InverseFourier InverseFourierCosTransform InverseFourierSequenceTransform InverseFourierSinTransform InverseFourierTransform InverseFunction InverseFunctions InverseGammaDistribution InverseGammaRegularized InverseGaussianDistribution InverseGudermannian InverseHankelTransform InverseHaversine InverseImagePyramid InverseJacobiCD InverseJacobiCN InverseJacobiCS InverseJacobiDC InverseJacobiDN InverseJacobiDS InverseJacobiNC InverseJacobiND InverseJacobiNS InverseJacobiSC InverseJacobiSD InverseJacobiSN InverseLaplaceTransform InverseMellinTransform InversePermutation InverseRadon InverseRadonTransform InverseSeries InverseShortTimeFourier InverseSpectrogram InverseSurvivalFunction InverseTransformedRegion InverseWaveletTransform InverseWeierstrassP InverseWishartMatrixDistribution InverseZTransform Invisible InvisibleApplication InvisibleTimes IPAddress IrreduciblePolynomialQ IslandData IsolatingInterval IsomorphicGraphQ IsotopeData Italic Item ItemAspectRatio ItemBox ItemBoxOptions ItemSize ItemStyle ItoProcessJaccardDissimilarity JacobiAmplitude Jacobian JacobiCD JacobiCN JacobiCS JacobiDC JacobiDN JacobiDS JacobiNC JacobiND JacobiNS JacobiP JacobiSC JacobiSD JacobiSN JacobiSymbol JacobiZeta JankoGroupJ1 JankoGroupJ2 JankoGroupJ3 JankoGroupJ4 JarqueBeraALMTest JohnsonDistribution Join JoinAcross Joined JoinedCurve JoinedCurveBox JoinedCurveBoxOptions JoinForm JordanDecomposition JordanModelDecomposition JulianDate JuliaSetBoettcher JuliaSetIterationCount JuliaSetPlot JuliaSetPointsK KagiChart KaiserBesselWindow KaiserWindow KalmanEstimator KalmanFilter KarhunenLoeveDecomposition KaryTree KatzCentrality KCoreComponents KDistribution KEdgeConnectedComponents KEdgeConnectedGraphQ KelvinBei KelvinBer KelvinKei KelvinKer KendallTau KendallTauTest KernelExecute KernelFunction KernelMixtureDistribution Kernels Ket Key KeyCollisionFunction KeyComplement KeyDrop KeyDropFrom KeyExistsQ KeyFreeQ KeyIntersection KeyMap KeyMemberQ KeypointStrength Keys KeySelect KeySort KeySortBy KeyTake KeyUnion KeyValueMap KeyValuePattern Khinchin KillProcess KirchhoffGraph KirchhoffMatrix KleinInvariantJ KnapsackSolve KnightTourGraph KnotData KnownUnitQ KochCurve KolmogorovSmirnovTest KroneckerDelta KroneckerModelDecomposition KroneckerProduct KroneckerSymbol KuiperTest KumaraswamyDistribution Kurtosis KuwaharaFilter KVertexConnectedComponents KVertexConnectedGraphQLABColor Label Labeled LabeledSlider LabelingFunction LabelingSize LabelStyle LabelVisibility LaguerreL LakeData LambdaComponents LambertW LaminaData LanczosWindow LandauDistribution Language LanguageCategory LanguageData LanguageIdentify LanguageOptions LaplaceDistribution LaplaceTransform Laplacian LaplacianFilter LaplacianGaussianFilter Large Larger Last Latitude LatitudeLongitude LatticeData LatticeReduce Launch LaunchKernels LayeredGraphPlot LayerSizeFunction LayoutInformation LCHColor LCM LeaderSize LeafCount LeapYearQ LearnDistribution LearnedDistribution LearningRate LearningRateMultipliers LeastSquares LeastSquaresFilterKernel Left LeftArrow LeftArrowBar LeftArrowRightArrow LeftDownTeeVector LeftDownVector LeftDownVectorBar LeftRightArrow LeftRightVector LeftTee LeftTeeArrow LeftTeeVector LeftTriangle LeftTriangleBar LeftTriangleEqual LeftUpDownVector LeftUpTeeVector LeftUpVector LeftUpVectorBar LeftVector LeftVectorBar LegendAppearance Legended LegendFunction LegendLabel LegendLayout LegendMargins LegendMarkers LegendMarkerSize LegendreP LegendreQ LegendreType Length LengthWhile LerchPhi Less LessEqual LessEqualGreater LessEqualThan LessFullEqual LessGreater LessLess LessSlantEqual LessThan LessTilde LetterCharacter LetterCounts LetterNumber LetterQ Level LeveneTest LeviCivitaTensor LevyDistribution Lexicographic LibraryDataType LibraryFunction LibraryFunctionError LibraryFunctionInformation LibraryFunctionLoad LibraryFunctionUnload LibraryLoad LibraryUnload LicenseID LiftingFilterData LiftingWaveletTransform LightBlue LightBrown LightCyan Lighter LightGray LightGreen Lighting LightingAngle LightMagenta LightOrange LightPink LightPurple LightRed LightSources LightYellow Likelihood Limit LimitsPositioning LimitsPositioningTokens LindleyDistribution Line Line3DBox Line3DBoxOptions LinearFilter LinearFractionalOptimization LinearFractionalTransform LinearGradientImage LinearizingTransformationData LinearLayer LinearModelFit LinearOffsetFunction LinearOptimization LinearProgramming LinearRecurrence LinearSolve LinearSolveFunction LineBox LineBoxOptions LineBreak LinebreakAdjustments LineBreakChart LinebreakSemicolonWeighting LineBreakWithin LineColor LineGraph LineIndent LineIndentMaxFraction LineIntegralConvolutionPlot LineIntegralConvolutionScale LineLegend LineOpacity LineSpacing LineWrapParts LinkActivate LinkClose LinkConnect LinkConnectedQ LinkCreate LinkError LinkFlush LinkFunction LinkHost LinkInterrupt LinkLaunch LinkMode LinkObject LinkOpen LinkOptions LinkPatterns LinkProtocol LinkRankCentrality LinkRead LinkReadHeld LinkReadyQ Links LinkService LinkWrite LinkWriteHeld LiouvilleLambda List Listable ListAnimate ListContourPlot ListContourPlot3D ListConvolve ListCorrelate ListCurvePathPlot ListDeconvolve ListDensityPlot ListDensityPlot3D Listen ListFormat ListFourierSequenceTransform ListInterpolation ListLineIntegralConvolutionPlot ListLinePlot ListLogLinearPlot ListLogLogPlot ListLogPlot ListPicker ListPickerBox ListPickerBoxBackground ListPickerBoxOptions ListPlay ListPlot ListPlot3D ListPointPlot3D ListPolarPlot ListQ ListSliceContourPlot3D ListSliceDensityPlot3D ListSliceVectorPlot3D ListStepPlot ListStreamDensityPlot ListStreamPlot ListSurfacePlot3D ListVectorDensityPlot ListVectorPlot ListVectorPlot3D ListZTransform Literal LiteralSearch LocalAdaptiveBinarize LocalCache LocalClusteringCoefficient LocalizeDefinitions LocalizeVariables LocalObject LocalObjects LocalResponseNormalizationLayer LocalSubmit LocalSymbol LocalTime LocalTimeZone LocationEquivalenceTest LocationTest Locator LocatorAutoCreate LocatorBox LocatorBoxOptions LocatorCentering LocatorPane LocatorPaneBox LocatorPaneBoxOptions LocatorRegion Locked Log Log10 Log2 LogBarnesG LogGamma LogGammaDistribution LogicalExpand LogIntegral LogisticDistribution LogisticSigmoid LogitModelFit LogLikelihood LogLinearPlot LogLogisticDistribution LogLogPlot LogMultinormalDistribution LogNormalDistribution LogPlot LogRankTest LogSeriesDistribution LongEqual Longest LongestCommonSequence LongestCommonSequencePositions LongestCommonSubsequence LongestCommonSubsequencePositions LongestMatch LongestOrderedSequence LongForm Longitude LongLeftArrow LongLeftRightArrow LongRightArrow LongShortTermMemoryLayer Lookup Loopback LoopFreeGraphQ LossFunction LowerCaseQ LowerLeftArrow LowerRightArrow LowerTriangularize LowerTriangularMatrixQ LowpassFilter LQEstimatorGains LQGRegulator LQOutputRegulatorGains LQRegulatorGains LUBackSubstitution LucasL LuccioSamiComponents LUDecomposition LunarEclipse LUVColor LyapunovSolve LyonsGroupLyMachineID MachineName MachineNumberQ MachinePrecision MacintoshSystemPageSetup Magenta Magnification Magnify MailAddressValidation MailExecute MailFolder MailItem MailReceiverFunction MailResponseFunction MailSearch MailServerConnect MailServerConnection MailSettings MainSolve MaintainDynamicCaches Majority MakeBoxes MakeExpression MakeRules ManagedLibraryExpressionID ManagedLibraryExpressionQ MandelbrotSetBoettcher MandelbrotSetDistance MandelbrotSetIterationCount MandelbrotSetMemberQ MandelbrotSetPlot MangoldtLambda ManhattanDistance Manipulate Manipulator MannedSpaceMissionData MannWhitneyTest MantissaExponent Manual Map MapAll MapAt MapIndexed MAProcess MapThread MarchenkoPasturDistribution MarcumQ MardiaCombinedTest MardiaKurtosisTest MardiaSkewnessTest MarginalDistribution MarkovProcessProperties Masking MatchingDissimilarity MatchLocalNameQ MatchLocalNames MatchQ Material MathematicalFunctionData MathematicaNotation MathieuC MathieuCharacteristicA MathieuCharacteristicB MathieuCharacteristicExponent MathieuCPrime MathieuGroupM11 MathieuGroupM12 MathieuGroupM22 MathieuGroupM23 MathieuGroupM24 MathieuS MathieuSPrime MathMLForm MathMLText Matrices MatrixExp MatrixForm MatrixFunction MatrixLog MatrixNormalDistribution MatrixPlot MatrixPower MatrixPropertyDistribution MatrixQ MatrixRank MatrixTDistribution Max MaxBend MaxCellMeasure MaxColorDistance MaxDetect MaxDuration MaxExtraBandwidths MaxExtraConditions MaxFeatureDisplacement MaxFeatures MaxFilter MaximalBy Maximize MaxItems MaxIterations MaxLimit MaxMemoryUsed MaxMixtureKernels MaxOverlapFraction MaxPlotPoints MaxPoints MaxRecursion MaxStableDistribution MaxStepFraction MaxSteps MaxStepSize MaxTrainingRounds MaxValue MaxwellDistribution MaxWordGap McLaughlinGroupMcL Mean MeanAbsoluteLossLayer MeanAround MeanClusteringCoefficient MeanDegreeConnectivity MeanDeviation MeanFilter MeanGraphDistance MeanNeighborDegree MeanShift MeanShiftFilter MeanSquaredLossLayer Median MedianDeviation MedianFilter MedicalTestData Medium MeijerG MeijerGReduce MeixnerDistribution MellinConvolve MellinTransform MemberQ MemoryAvailable MemoryConstrained MemoryConstraint MemoryInUse MengerMesh Menu MenuAppearance MenuCommandKey MenuEvaluator MenuItem MenuList MenuPacket MenuSortingValue MenuStyle MenuView Merge MergeDifferences MergingFunction MersennePrimeExponent MersennePrimeExponentQ Mesh MeshCellCentroid MeshCellCount MeshCellHighlight MeshCellIndex MeshCellLabel MeshCellMarker MeshCellMeasure MeshCellQuality MeshCells MeshCellShapeFunction MeshCellStyle MeshCoordinates MeshFunctions MeshPrimitives MeshQualityGoal MeshRange MeshRefinementFunction MeshRegion MeshRegionQ MeshShading MeshStyle Message MessageDialog MessageList MessageName MessageObject MessageOptions MessagePacket Messages MessagesNotebook MetaCharacters MetaInformation MeteorShowerData Method MethodOptions MexicanHatWavelet MeyerWavelet Midpoint Min MinColorDistance MinDetect MineralData MinFilter MinimalBy MinimalPolynomial MinimalStateSpaceModel Minimize MinimumTimeIncrement MinIntervalSize MinkowskiQuestionMark MinLimit MinMax MinorPlanetData Minors MinRecursion MinSize MinStableDistribution Minus MinusPlus MinValue Missing MissingBehavior MissingDataMethod MissingDataRules MissingQ MissingString MissingStyle MissingValuePattern MittagLefflerE MixedFractionParts MixedGraphQ MixedMagnitude MixedRadix MixedRadixQuantity MixedUnit MixtureDistribution Mod Modal Mode Modular ModularInverse ModularLambda Module Modulus MoebiusMu Molecule MoleculeContainsQ MoleculeEquivalentQ MoleculeGraph MoleculeModify MoleculePattern MoleculePlot MoleculePlot3D MoleculeProperty MoleculeQ MoleculeValue Moment Momentary MomentConvert MomentEvaluate MomentGeneratingFunction MomentOfInertia Monday Monitor MonomialList MonomialOrder MonsterGroupM MoonPhase MoonPosition MorletWavelet MorphologicalBinarize MorphologicalBranchPoints MorphologicalComponents MorphologicalEulerNumber MorphologicalGraph MorphologicalPerimeter MorphologicalTransform MortalityData Most MountainData MouseAnnotation MouseAppearance MouseAppearanceTag MouseButtons Mouseover MousePointerNote MousePosition MovieData MovingAverage MovingMap MovingMedian MoyalDistribution Multicolumn MultiedgeStyle MultigraphQ MultilaunchWarning MultiLetterItalics MultiLetterStyle MultilineFunction Multinomial MultinomialDistribution MultinormalDistribution MultiplicativeOrder Multiplicity MultiplySides Multiselection MultivariateHypergeometricDistribution MultivariatePoissonDistribution MultivariateTDistributionN NakagamiDistribution NameQ Names NamespaceBox NamespaceBoxOptions Nand NArgMax NArgMin NBernoulliB NBodySimulation NBodySimulationData NCache NDEigensystem NDEigenvalues NDSolve NDSolveValue Nearest NearestFunction NearestNeighborGraph NearestTo NebulaData NeedCurrentFrontEndPackagePacket NeedCurrentFrontEndSymbolsPacket NeedlemanWunschSimilarity Needs Negative NegativeBinomialDistribution NegativeDefiniteMatrixQ NegativeIntegers NegativeMultinomialDistribution NegativeRationals NegativeReals NegativeSemidefiniteMatrixQ NeighborhoodData NeighborhoodGraph Nest NestedGreaterGreater NestedLessLess NestedScriptRules NestGraph NestList NestWhile NestWhileList NetAppend NetBidirectionalOperator NetChain NetDecoder NetDelete NetDrop NetEncoder NetEvaluationMode NetExtract NetFlatten NetFoldOperator NetGraph NetInformation NetInitialize NetInsert NetInsertSharedArrays NetJoin NetMapOperator NetMapThreadOperator NetMeasurements NetModel NetNestOperator NetPairEmbeddingOperator NetPort NetPortGradient NetPrepend NetRename NetReplace NetReplacePart NetSharedArray NetStateObject NetTake NetTrain NetTrainResultsObject NetworkPacketCapture NetworkPacketRecording NetworkPacketRecordingDuring NetworkPacketTrace NeumannValue NevilleThetaC NevilleThetaD NevilleThetaN NevilleThetaS NewPrimitiveStyle NExpectation Next NextCell NextDate NextPrime NextScheduledTaskTime NHoldAll NHoldFirst NHoldRest NicholsGridLines NicholsPlot NightHemisphere NIntegrate NMaximize NMaxValue NMinimize NMinValue NominalVariables NonAssociative NoncentralBetaDistribution NoncentralChiSquareDistribution NoncentralFRatioDistribution NoncentralStudentTDistribution NonCommutativeMultiply NonConstants NondimensionalizationTransform None NoneTrue NonlinearModelFit NonlinearStateSpaceModel NonlocalMeansFilter NonNegative NonNegativeIntegers NonNegativeRationals NonNegativeReals NonPositive NonPositiveIntegers NonPositiveRationals NonPositiveReals Nor NorlundB Norm Normal NormalDistribution NormalGrouping NormalizationLayer Normalize Normalized NormalizedSquaredEuclideanDistance NormalMatrixQ NormalsFunction NormFunction Not NotCongruent NotCupCap NotDoubleVerticalBar Notebook NotebookApply NotebookAutoSave NotebookClose NotebookConvertSettings NotebookCreate NotebookCreateReturnObject NotebookDefault NotebookDelete NotebookDirectory NotebookDynamicExpression NotebookEvaluate NotebookEventActions NotebookFileName NotebookFind NotebookFindReturnObject NotebookGet NotebookGetLayoutInformationPacket NotebookGetMisspellingsPacket NotebookImport NotebookInformation NotebookInterfaceObject NotebookLocate NotebookObject NotebookOpen NotebookOpenReturnObject NotebookPath NotebookPrint NotebookPut NotebookPutReturnObject NotebookRead NotebookResetGeneratedCells Notebooks NotebookSave NotebookSaveAs NotebookSelection NotebookSetupLayoutInformationPacket NotebooksMenu NotebookTemplate NotebookWrite NotElement NotEqualTilde NotExists NotGreater NotGreaterEqual NotGreaterFullEqual NotGreaterGreater NotGreaterLess NotGreaterSlantEqual NotGreaterTilde Nothing NotHumpDownHump NotHumpEqual NotificationFunction NotLeftTriangle NotLeftTriangleBar NotLeftTriangleEqual NotLess NotLessEqual NotLessFullEqual NotLessGreater NotLessLess NotLessSlantEqual NotLessTilde NotNestedGreaterGreater NotNestedLessLess NotPrecedes NotPrecedesEqual NotPrecedesSlantEqual NotPrecedesTilde NotReverseElement NotRightTriangle NotRightTriangleBar NotRightTriangleEqual NotSquareSubset NotSquareSubsetEqual NotSquareSuperset NotSquareSupersetEqual NotSubset NotSubsetEqual NotSucceeds NotSucceedsEqual NotSucceedsSlantEqual NotSucceedsTilde NotSuperset NotSupersetEqual NotTilde NotTildeEqual NotTildeFullEqual NotTildeTilde NotVerticalBar Now NoWhitespace NProbability NProduct NProductFactors NRoots NSolve NSum NSumTerms NuclearExplosionData NuclearReactorData Null NullRecords NullSpace NullWords Number NumberCompose NumberDecompose NumberExpand NumberFieldClassNumber NumberFieldDiscriminant NumberFieldFundamentalUnits NumberFieldIntegralBasis NumberFieldNormRepresentatives NumberFieldRegulator NumberFieldRootsOfUnity NumberFieldSignature NumberForm NumberFormat NumberLinePlot NumberMarks NumberMultiplier NumberPadding NumberPoint NumberQ NumberSeparator NumberSigns NumberString Numerator NumeratorDenominator NumericalOrder NumericalSort NumericArray NumericArrayQ NumericArrayType NumericFunction NumericQ NuttallWindow NValues NyquistGridLines NyquistPlotO ObservabilityGramian ObservabilityMatrix ObservableDecomposition ObservableModelQ OceanData Octahedron OddQ Off Offset OLEData On ONanGroupON Once OneIdentity Opacity OpacityFunction OpacityFunctionScaling Open OpenAppend Opener OpenerBox OpenerBoxOptions OpenerView OpenFunctionInspectorPacket Opening OpenRead OpenSpecialOptions OpenTemporary OpenWrite Operate OperatingSystem OptimumFlowData Optional OptionalElement OptionInspectorSettings OptionQ Options OptionsPacket OptionsPattern OptionValue OptionValueBox OptionValueBoxOptions Or Orange Order OrderDistribution OrderedQ Ordering OrderingBy OrderingLayer Orderless OrderlessPatternSequence OrnsteinUhlenbeckProcess Orthogonalize OrthogonalMatrixQ Out Outer OuterPolygon OuterPolyhedron OutputAutoOverwrite OutputControllabilityMatrix OutputControllableModelQ OutputForm OutputFormData OutputGrouping OutputMathEditExpression OutputNamePacket OutputResponse OutputSizeLimit OutputStream Over OverBar OverDot Overflow OverHat Overlaps Overlay OverlayBox OverlayBoxOptions Overscript OverscriptBox OverscriptBoxOptions OverTilde OverVector OverwriteTarget OwenT OwnValuesPackage PackingMethod PaddedForm Padding PaddingLayer PaddingSize PadeApproximant PadLeft PadRight PageBreakAbove PageBreakBelow PageBreakWithin PageFooterLines PageFooters PageHeaderLines PageHeaders PageHeight PageRankCentrality PageTheme PageWidth Pagination PairedBarChart PairedHistogram PairedSmoothHistogram PairedTTest PairedZTest PaletteNotebook PalettePath PalindromeQ Pane PaneBox PaneBoxOptions Panel PanelBox PanelBoxOptions Paneled PaneSelector PaneSelectorBox PaneSelectorBoxOptions PaperWidth ParabolicCylinderD ParagraphIndent ParagraphSpacing ParallelArray ParallelCombine ParallelDo Parallelepiped ParallelEvaluate Parallelization Parallelize ParallelMap ParallelNeeds Parallelogram ParallelProduct ParallelSubmit ParallelSum ParallelTable ParallelTry Parameter ParameterEstimator ParameterMixtureDistribution ParameterVariables ParametricFunction ParametricNDSolve ParametricNDSolveValue ParametricPlot ParametricPlot3D ParametricRegion ParentBox ParentCell ParentConnect ParentDirectory ParentForm Parenthesize ParentList ParentNotebook ParetoDistribution ParetoPickandsDistribution ParkData Part PartBehavior PartialCorrelationFunction PartialD ParticleAcceleratorData ParticleData Partition PartitionGranularity PartitionsP PartitionsQ PartLayer PartOfSpeech PartProtection ParzenWindow PascalDistribution PassEventsDown PassEventsUp Paste PasteAutoQuoteCharacters PasteBoxFormInlineCells PasteButton Path PathGraph PathGraphQ Pattern PatternSequence PatternTest PauliMatrix PaulWavelet Pause PausedTime PDF PeakDetect PeanoCurve PearsonChiSquareTest PearsonCorrelationTest PearsonDistribution PercentForm PerfectNumber PerfectNumberQ PerformanceGoal Perimeter PeriodicBoundaryCondition PeriodicInterpolation Periodogram PeriodogramArray Permanent Permissions PermissionsGroup PermissionsGroupMemberQ PermissionsGroups PermissionsKey PermissionsKeys PermutationCycles PermutationCyclesQ PermutationGroup PermutationLength PermutationList PermutationListQ PermutationMax PermutationMin PermutationOrder PermutationPower PermutationProduct PermutationReplace Permutations PermutationSupport Permute PeronaMalikFilter Perpendicular PerpendicularBisector PersistenceLocation PersistenceTime PersistentObject PersistentObjects PersistentValue PersonData PERTDistribution PetersenGraph PhaseMargins PhaseRange PhysicalSystemData Pi Pick PIDData PIDDerivativeFilter PIDFeedforward PIDTune Piecewise PiecewiseExpand PieChart PieChart3D PillaiTrace PillaiTraceTest PingTime Pink PitchRecognize Pivoting PixelConstrained PixelValue PixelValuePositions Placed Placeholder PlaceholderReplace Plain PlanarAngle PlanarGraph PlanarGraphQ PlanckRadiationLaw PlaneCurveData PlanetaryMoonData PlanetData PlantData Play PlayRange Plot Plot3D Plot3Matrix PlotDivision PlotJoined PlotLabel PlotLabels PlotLayout PlotLegends PlotMarkers PlotPoints PlotRange PlotRangeClipping PlotRangeClipPlanesStyle PlotRangePadding PlotRegion PlotStyle PlotTheme Pluralize Plus PlusMinus Pochhammer PodStates PodWidth Point Point3DBox Point3DBoxOptions PointBox PointBoxOptions PointFigureChart PointLegend PointSize PoissonConsulDistribution PoissonDistribution PoissonProcess PoissonWindow PolarAxes PolarAxesOrigin PolarGridLines PolarPlot PolarTicks PoleZeroMarkers PolyaAeppliDistribution PolyGamma Polygon Polygon3DBox Polygon3DBoxOptions PolygonalNumber PolygonAngle PolygonBox PolygonBoxOptions PolygonCoordinates PolygonDecomposition PolygonHoleScale PolygonIntersections PolygonScale Polyhedron PolyhedronAngle PolyhedronCoordinates PolyhedronData PolyhedronDecomposition PolyhedronGenus PolyLog PolynomialExtendedGCD PolynomialForm PolynomialGCD PolynomialLCM PolynomialMod PolynomialQ PolynomialQuotient PolynomialQuotientRemainder PolynomialReduce PolynomialRemainder Polynomials PoolingLayer PopupMenu PopupMenuBox PopupMenuBoxOptions PopupView PopupWindow Position PositionIndex Positive PositiveDefiniteMatrixQ PositiveIntegers PositiveRationals PositiveReals PositiveSemidefiniteMatrixQ PossibleZeroQ Postfix PostScript Power PowerDistribution PowerExpand PowerMod PowerModList PowerRange PowerSpectralDensity PowersRepresentations PowerSymmetricPolynomial Precedence PrecedenceForm Precedes PrecedesEqual PrecedesSlantEqual PrecedesTilde Precision PrecisionGoal PreDecrement Predict PredictionRoot PredictorFunction PredictorInformation PredictorMeasurements PredictorMeasurementsObject PreemptProtect PreferencesPath Prefix PreIncrement Prepend PrependLayer PrependTo PreprocessingRules PreserveColor PreserveImageOptions Previous PreviousCell PreviousDate PriceGraphDistribution PrimaryPlaceholder Prime PrimeNu PrimeOmega PrimePi PrimePowerQ PrimeQ Primes PrimeZetaP PrimitivePolynomialQ PrimitiveRoot PrimitiveRootList PrincipalComponents PrincipalValue Print PrintableASCIIQ PrintAction PrintForm PrintingCopies PrintingOptions PrintingPageRange PrintingStartingPageNumber PrintingStyleEnvironment Printout3D Printout3DPreviewer PrintPrecision PrintTemporary Prism PrismBox PrismBoxOptions PrivateCellOptions PrivateEvaluationOptions PrivateFontOptions PrivateFrontEndOptions PrivateKey PrivateNotebookOptions PrivatePaths Probability ProbabilityDistribution ProbabilityPlot ProbabilityPr ProbabilityScalePlot ProbitModelFit ProcessConnection ProcessDirectory ProcessEnvironment Processes ProcessEstimator ProcessInformation ProcessObject ProcessParameterAssumptions ProcessParameterQ ProcessStateDomain ProcessStatus ProcessTimeDomain Product ProductDistribution ProductLog ProgressIndicator ProgressIndicatorBox ProgressIndicatorBoxOptions Projection Prolog PromptForm ProofObject Properties Property PropertyList PropertyValue Proportion Proportional Protect Protected ProteinData Pruning PseudoInverse PsychrometricPropertyData PublicKey PublisherID PulsarData PunctuationCharacter Purple Put PutAppend Pyramid PyramidBox PyramidBoxOptionsQBinomial QFactorial QGamma QHypergeometricPFQ QnDispersion QPochhammer QPolyGamma QRDecomposition QuadraticIrrationalQ QuadraticOptimization Quantile QuantilePlot Quantity QuantityArray QuantityDistribution QuantityForm QuantityMagnitude QuantityQ QuantityUnit QuantityVariable QuantityVariableCanonicalUnit QuantityVariableDimensions QuantityVariableIdentifier QuantityVariablePhysicalQuantity Quartics QuartileDeviation Quartiles QuartileSkewness Query QueueingNetworkProcess QueueingProcess QueueProperties Quiet Quit Quotient QuotientRemainderRadialGradientImage RadialityCentrality RadicalBox RadicalBoxOptions RadioButton RadioButtonBar RadioButtonBox RadioButtonBoxOptions Radon RadonTransform RamanujanTau RamanujanTauL RamanujanTauTheta RamanujanTauZ Ramp Random RandomChoice RandomColor RandomComplex RandomEntity RandomFunction RandomGeoPosition RandomGraph RandomImage RandomInstance RandomInteger RandomPermutation RandomPoint RandomPolygon RandomPolyhedron RandomPrime RandomReal RandomSample RandomSeed RandomSeeding RandomVariate RandomWalkProcess RandomWord Range RangeFilter RangeSpecification RankedMax RankedMin RarerProbability Raster Raster3D Raster3DBox Raster3DBoxOptions RasterArray RasterBox RasterBoxOptions Rasterize RasterSize Rational RationalFunctions Rationalize Rationals Ratios RawArray RawBoxes RawData RawMedium RayleighDistribution Re Read ReadByteArray ReadLine ReadList ReadProtected ReadString Real RealAbs RealBlockDiagonalForm RealDigits RealExponent Reals RealSign Reap RecognitionPrior RecognitionThreshold Record RecordLists RecordSeparators Rectangle RectangleBox RectangleBoxOptions RectangleChart RectangleChart3D RectangularRepeatingElement RecurrenceFilter RecurrenceTable RecurringDigitsForm Red Reduce RefBox ReferenceLineStyle ReferenceMarkers ReferenceMarkerStyle Refine ReflectionMatrix ReflectionTransform Refresh RefreshRate Region RegionBinarize RegionBoundary RegionBounds RegionCentroid RegionDifference RegionDimension RegionDisjoint RegionDistance RegionDistanceFunction RegionEmbeddingDimension RegionEqual RegionFunction RegionImage RegionIntersection RegionMeasure RegionMember RegionMemberFunction RegionMoment RegionNearest RegionNearestFunction RegionPlot RegionPlot3D RegionProduct RegionQ RegionResize RegionSize RegionSymmetricDifference RegionUnion RegionWithin RegisterExternalEvaluator RegularExpression Regularization RegularlySampledQ RegularPolygon ReIm ReImLabels ReImPlot ReImStyle Reinstall RelationalDatabase RelationGraph Release ReleaseHold ReliabilityDistribution ReliefImage ReliefPlot RemoteAuthorizationCaching RemoteConnect RemoteConnectionObject RemoteFile RemoteRun RemoteRunProcess Remove RemoveAlphaChannel RemoveAsynchronousTask RemoveAudioStream RemoveBackground RemoveChannelListener RemoveChannelSubscribers Removed RemoveDiacritics RemoveInputStreamMethod RemoveOutputStreamMethod RemoveProperty RemoveScheduledTask RemoveUsers RenameDirectory RenameFile RenderAll RenderingOptions RenewalProcess RenkoChart RepairMesh Repeated RepeatedNull RepeatedString RepeatedTiming RepeatingElement Replace ReplaceAll ReplaceHeldPart ReplaceImageValue ReplaceList ReplacePart ReplacePixelValue ReplaceRepeated ReplicateLayer RequiredPhysicalQuantities Resampling ResamplingAlgorithmData ResamplingMethod Rescale RescalingTransform ResetDirectory ResetMenusPacket ResetScheduledTask ReshapeLayer Residue ResizeLayer Resolve ResourceAcquire ResourceData ResourceFunction ResourceObject ResourceRegister ResourceRemove ResourceSearch ResourceSubmissionObject ResourceSubmit ResourceSystemBase ResourceUpdate ResponseForm Rest RestartInterval Restricted Resultant ResumePacket Return ReturnEntersInput ReturnExpressionPacket ReturnInputFormPacket ReturnPacket ReturnReceiptFunction ReturnTextPacket Reverse ReverseBiorthogonalSplineWavelet ReverseElement ReverseEquilibrium ReverseGraph ReverseSort ReverseSortBy ReverseUpEquilibrium RevolutionAxis RevolutionPlot3D RGBColor RiccatiSolve RiceDistribution RidgeFilter RiemannR RiemannSiegelTheta RiemannSiegelZ RiemannXi Riffle Right RightArrow RightArrowBar RightArrowLeftArrow RightComposition RightCosetRepresentative RightDownTeeVector RightDownVector RightDownVectorBar RightTee RightTeeArrow RightTeeVector RightTriangle RightTriangleBar RightTriangleEqual RightUpDownVector RightUpTeeVector RightUpVector RightUpVectorBar RightVector RightVectorBar RiskAchievementImportance RiskReductionImportance RogersTanimotoDissimilarity RollPitchYawAngles RollPitchYawMatrix RomanNumeral Root RootApproximant RootIntervals RootLocusPlot RootMeanSquare RootOfUnityQ RootReduce Roots RootSum Rotate RotateLabel RotateLeft RotateRight RotationAction RotationBox RotationBoxOptions RotationMatrix RotationTransform Round RoundImplies RoundingRadius Row RowAlignments RowBackgrounds RowBox RowHeights RowLines RowMinHeight RowReduce RowsEqual RowSpacings RSolve RSolveValue RudinShapiro RudvalisGroupRu Rule RuleCondition RuleDelayed RuleForm RulePlot RulerUnits Run RunProcess RunScheduledTask RunThrough RuntimeAttributes RuntimeOptions RussellRaoDissimilaritySameQ SameTest SampledEntityClass SampleDepth SampledSoundFunction SampledSoundList SampleRate SamplingPeriod SARIMAProcess SARMAProcess SASTriangle SatelliteData SatisfiabilityCount SatisfiabilityInstances SatisfiableQ Saturday Save Saveable SaveAutoDelete SaveConnection SaveDefinitions SavitzkyGolayMatrix SawtoothWave Scale Scaled ScaleDivisions ScaledMousePosition ScaleOrigin ScalePadding ScaleRanges ScaleRangeStyle ScalingFunctions ScalingMatrix ScalingTransform Scan ScheduledTask ScheduledTaskActiveQ ScheduledTaskInformation ScheduledTaskInformationData ScheduledTaskObject ScheduledTasks SchurDecomposition ScientificForm ScientificNotationThreshold ScorerGi ScorerGiPrime ScorerHi ScorerHiPrime ScreenRectangle ScreenStyleEnvironment ScriptBaselineShifts ScriptForm ScriptLevel ScriptMinSize ScriptRules ScriptSizeMultipliers Scrollbars ScrollingOptions ScrollPosition SearchAdjustment SearchIndexObject SearchIndices SearchQueryString SearchResultObject Sec Sech SechDistribution SecondOrderConeOptimization SectionGrouping SectorChart SectorChart3D SectorOrigin SectorSpacing SecuredAuthenticationKey SecuredAuthenticationKeys SeedRandom Select Selectable SelectComponents SelectedCells SelectedNotebook SelectFirst Selection SelectionAnimate SelectionCell SelectionCellCreateCell SelectionCellDefaultStyle SelectionCellParentStyle SelectionCreateCell SelectionDebuggerTag SelectionDuplicateCell SelectionEvaluate SelectionEvaluateCreateCell SelectionMove SelectionPlaceholder SelectionSetStyle SelectWithContents SelfLoops SelfLoopStyle SemanticImport SemanticImportString SemanticInterpretation SemialgebraicComponentInstances SemidefiniteOptimization SendMail SendMessage Sequence SequenceAlignment SequenceAttentionLayer SequenceCases SequenceCount SequenceFold SequenceFoldList SequenceForm SequenceHold SequenceLastLayer SequenceMostLayer SequencePosition SequencePredict SequencePredictorFunction SequenceReplace SequenceRestLayer SequenceReverseLayer SequenceSplit Series SeriesCoefficient SeriesData ServiceConnect ServiceDisconnect ServiceExecute ServiceObject ServiceRequest ServiceResponse ServiceSubmit SessionSubmit SessionTime Set SetAccuracy SetAlphaChannel SetAttributes Setbacks SetBoxFormNamesPacket SetCloudDirectory SetCookies SetDelayed SetDirectory SetEnvironment SetEvaluationNotebook SetFileDate SetFileLoadingContext SetNotebookStatusLine SetOptions SetOptionsPacket SetPermissions SetPrecision SetProperty SetSecuredAuthenticationKey SetSelectedNotebook SetSharedFunction SetSharedVariable SetSpeechParametersPacket SetStreamPosition SetSystemModel SetSystemOptions Setter SetterBar SetterBox SetterBoxOptions Setting SetUsers SetValue Shading Shallow ShannonWavelet ShapiroWilkTest Share SharingList Sharpen ShearingMatrix ShearingTransform ShellRegion ShenCastanMatrix ShiftedGompertzDistribution ShiftRegisterSequence Short ShortDownArrow Shortest ShortestMatch ShortestPathFunction ShortLeftArrow ShortRightArrow ShortTimeFourier ShortTimeFourierData ShortUpArrow Show ShowAutoConvert ShowAutoSpellCheck ShowAutoStyles ShowCellBracket ShowCellLabel ShowCellTags ShowClosedCellArea ShowCodeAssist ShowContents ShowControls ShowCursorTracker ShowGroupOpenCloseIcon ShowGroupOpener ShowInvisibleCharacters ShowPageBreaks ShowPredictiveInterface ShowSelection ShowShortBoxForm ShowSpecialCharacters ShowStringCharacters ShowSyntaxStyles ShrinkingDelay ShrinkWrapBoundingBox SiderealTime SiegelTheta SiegelTukeyTest SierpinskiCurve SierpinskiMesh Sign Signature SignedRankTest SignedRegionDistance SignificanceLevel SignPadding SignTest SimilarityRules SimpleGraph SimpleGraphQ SimplePolygonQ SimplePolyhedronQ Simplex Simplify Sin Sinc SinghMaddalaDistribution SingleEvaluation SingleLetterItalics SingleLetterStyle SingularValueDecomposition SingularValueList SingularValuePlot SingularValues Sinh SinhIntegral SinIntegral SixJSymbol Skeleton SkeletonTransform SkellamDistribution Skewness SkewNormalDistribution SkinStyle Skip SliceContourPlot3D SliceDensityPlot3D SliceDistribution SliceVectorPlot3D Slider Slider2D Slider2DBox Slider2DBoxOptions SliderBox SliderBoxOptions SlideView Slot SlotSequence Small SmallCircle Smaller SmithDecomposition SmithDelayCompensator SmithWatermanSimilarity SmoothDensityHistogram SmoothHistogram SmoothHistogram3D SmoothKernelDistribution SnDispersion Snippet SnubPolyhedron SocialMediaData Socket SocketConnect SocketListen SocketListener SocketObject SocketOpen SocketReadMessage SocketReadyQ Sockets SocketWaitAll SocketWaitNext SoftmaxLayer SokalSneathDissimilarity SolarEclipse SolarSystemFeatureData SolidAngle SolidData SolidRegionQ Solve SolveAlways SolveDelayed Sort SortBy SortedBy SortedEntityClass Sound SoundAndGraphics SoundNote SoundVolume SourceLink Sow Space SpaceCurveData SpaceForm Spacer Spacings Span SpanAdjustments SpanCharacterRounding SpanFromAbove SpanFromBoth SpanFromLeft SpanLineThickness SpanMaxSize SpanMinSize SpanningCharacters SpanSymmetric SparseArray SpatialGraphDistribution SpatialMedian SpatialTransformationLayer Speak SpeakTextPacket SpearmanRankTest SpearmanRho SpeciesData SpecificityGoal SpectralLineData Spectrogram SpectrogramArray Specularity SpeechRecognize SpeechSynthesize SpellingCorrection SpellingCorrectionList SpellingDictionaries SpellingDictionariesPath SpellingOptions SpellingSuggestionsPacket Sphere SphereBox SpherePoints SphericalBesselJ SphericalBesselY SphericalHankelH1 SphericalHankelH2 SphericalHarmonicY SphericalPlot3D SphericalRegion SphericalShell SpheroidalEigenvalue SpheroidalJoiningFactor SpheroidalPS SpheroidalPSPrime SpheroidalQS SpheroidalQSPrime SpheroidalRadialFactor SpheroidalS1 SpheroidalS1Prime SpheroidalS2 SpheroidalS2Prime Splice SplicedDistribution SplineClosed SplineDegree SplineKnots SplineWeights Split SplitBy SpokenString Sqrt SqrtBox SqrtBoxOptions Square SquaredEuclideanDistance SquareFreeQ SquareIntersection SquareMatrixQ SquareRepeatingElement SquaresR SquareSubset SquareSubsetEqual SquareSuperset SquareSupersetEqual SquareUnion SquareWave SSSTriangle StabilityMargins StabilityMarginsStyle StableDistribution Stack StackBegin StackComplete StackedDateListPlot StackedListPlot StackInhibit StadiumShape StandardAtmosphereData StandardDeviation StandardDeviationFilter StandardForm Standardize Standardized StandardOceanData StandbyDistribution Star StarClusterData StarData StarGraph StartAsynchronousTask StartExternalSession StartingStepSize StartOfLine StartOfString StartProcess StartScheduledTask StartupSound StartWebSession StateDimensions StateFeedbackGains StateOutputEstimator StateResponse StateSpaceModel StateSpaceRealization StateSpaceTransform StateTransformationLinearize StationaryDistribution StationaryWaveletPacketTransform StationaryWaveletTransform StatusArea StatusCentrality StepMonitor StereochemistryElements StieltjesGamma StirlingS1 StirlingS2 StopAsynchronousTask StoppingPowerData StopScheduledTask StrataVariables StratonovichProcess StreamColorFunction StreamColorFunctionScaling StreamDensityPlot StreamMarkers StreamPlot StreamPoints StreamPosition Streams StreamScale StreamStyle String StringBreak StringByteCount StringCases StringContainsQ StringCount StringDelete StringDrop StringEndsQ StringExpression StringExtract StringForm StringFormat StringFreeQ StringInsert StringJoin StringLength StringMatchQ StringPadLeft StringPadRight StringPart StringPartition StringPosition StringQ StringRepeat StringReplace StringReplaceList StringReplacePart StringReverse StringRiffle StringRotateLeft StringRotateRight StringSkeleton StringSplit StringStartsQ StringTake StringTemplate StringToByteArray StringToStream StringTrim StripBoxes StripOnInput StripWrapperBoxes StrokeForm StructuralImportance StructuredArray StructuredSelection StruveH StruveL Stub StudentTDistribution Style StyleBox StyleBoxAutoDelete StyleData StyleDefinitions StyleForm StyleHints StyleKeyMapping StyleMenuListing StyleNameDialogSettings StyleNames StylePrint StyleSheetPath Subdivide Subfactorial Subgraph SubMinus SubPlus SubresultantPolynomialRemainders SubresultantPolynomials Subresultants Subscript SubscriptBox SubscriptBoxOptions Subscripted Subsequences Subset SubsetEqual SubsetMap SubsetQ Subsets SubStar SubstitutionSystem Subsuperscript SubsuperscriptBox SubsuperscriptBoxOptions Subtract SubtractFrom SubtractSides SubValues Succeeds SucceedsEqual SucceedsSlantEqual SucceedsTilde Success SuchThat Sum SumConvergence SummationLayer Sunday SunPosition Sunrise Sunset SuperDagger SuperMinus SupernovaData SuperPlus Superscript SuperscriptBox SuperscriptBoxOptions Superset SupersetEqual SuperStar Surd SurdForm SurfaceArea SurfaceColor SurfaceData SurfaceGraphics SurvivalDistribution SurvivalFunction SurvivalModel SurvivalModelFit SuspendPacket SuzukiDistribution SuzukiGroupSuz SwatchLegend Switch Symbol SymbolName SymletWavelet Symmetric SymmetricGroup SymmetricKey SymmetricMatrixQ SymmetricPolynomial SymmetricReduction Symmetrize SymmetrizedArray SymmetrizedArrayRules SymmetrizedDependentComponents SymmetrizedIndependentComponents SymmetrizedReplacePart SynchronousInitialization SynchronousUpdating Synonyms Syntax SyntaxForm SyntaxInformation SyntaxLength SyntaxPacket SyntaxQ SynthesizeMissingValues SystemDialogInput SystemException SystemGet SystemHelpPath SystemInformation SystemInformationData SystemInstall SystemModel SystemModeler SystemModelExamples SystemModelLinearize SystemModelParametricSimulate SystemModelPlot SystemModelProgressReporting SystemModelReliability SystemModels SystemModelSimulate SystemModelSimulateSensitivity SystemModelSimulationData SystemOpen SystemOptions SystemProcessData SystemProcesses SystemsConnectionsModel SystemsModelDelay SystemsModelDelayApproximate SystemsModelDelete SystemsModelDimensions SystemsModelExtract SystemsModelFeedbackConnect SystemsModelLabels SystemsModelLinearity SystemsModelMerge SystemsModelOrder SystemsModelParallelConnect SystemsModelSeriesConnect SystemsModelStateFeedbackConnect SystemsModelVectorRelativeOrders SystemStub SystemTestTab TabFilling Table TableAlignments TableDepth TableDirections TableForm TableHeadings TableSpacing TableView TableViewBox TableViewBoxBackground TableViewBoxOptions TabSpacings TabView TabViewBox TabViewBoxOptions TagBox TagBoxNote TagBoxOptions TaggingRules TagSet TagSetDelayed TagStyle TagUnset Take TakeDrop TakeLargest TakeLargestBy TakeList TakeSmallest TakeSmallestBy TakeWhile Tally Tan Tanh TargetDevice TargetFunctions TargetSystem TargetUnits TaskAbort TaskExecute TaskObject TaskRemove TaskResume Tasks TaskSuspend TaskWait TautologyQ TelegraphProcess TemplateApply TemplateArgBox TemplateBox TemplateBoxOptions TemplateEvaluate TemplateExpression TemplateIf TemplateObject TemplateSequence TemplateSlot TemplateSlotSequence TemplateUnevaluated TemplateVerbatim TemplateWith TemporalData TemporalRegularity Temporary TemporaryVariable TensorContract TensorDimensions TensorExpand TensorProduct TensorQ TensorRank TensorReduce TensorSymmetry TensorTranspose TensorWedge TestID TestReport TestReportObject TestResultObject Tetrahedron TetrahedronBox TetrahedronBoxOptions TeXForm TeXSave Text Text3DBox Text3DBoxOptions TextAlignment TextBand TextBoundingBox TextBox TextCases TextCell TextClipboardType TextContents TextData TextElement TextForm TextGrid TextJustification TextLine TextPacket TextParagraph TextPosition TextRecognize TextSearch TextSearchReport TextSentences TextString TextStructure TextStyle TextTranslation Texture TextureCoordinateFunction TextureCoordinateScaling TextWords Therefore ThermodynamicData ThermometerGauge Thick Thickness Thin Thinning ThisLink ThompsonGroupTh Thread ThreadingLayer ThreeJSymbol Threshold Through Throw ThueMorse Thumbnail Thursday Ticks TicksStyle TideData Tilde TildeEqual TildeFullEqual TildeTilde TimeConstrained TimeConstraint TimeDirection TimeFormat TimeGoal TimelinePlot TimeObject TimeObjectQ Times TimesBy TimeSeries TimeSeriesAggregate TimeSeriesForecast TimeSeriesInsert TimeSeriesInvertibility TimeSeriesMap TimeSeriesMapThread TimeSeriesModel TimeSeriesModelFit TimeSeriesResample TimeSeriesRescale TimeSeriesShift TimeSeriesThread TimeSeriesWindow TimeUsed TimeValue TimeWarpingCorrespondence TimeWarpingDistance TimeZone TimeZoneConvert TimeZoneOffset Timing Tiny TitleGrouping TitsGroupT ToBoxes ToCharacterCode ToColor ToContinuousTimeModel ToDate Today ToDiscreteTimeModel ToEntity ToeplitzMatrix ToExpression ToFileName Together Toggle ToggleFalse Toggler TogglerBar TogglerBox TogglerBoxOptions ToHeldExpression ToInvertibleTimeSeries TokenWords Tolerance ToLowerCase Tomorrow ToNumberField TooBig Tooltip TooltipBox TooltipBoxOptions TooltipDelay TooltipStyle Top TopHatTransform ToPolarCoordinates TopologicalSort ToRadicals ToRules ToSphericalCoordinates ToString Total TotalHeight TotalLayer TotalVariationFilter TotalWidth TouchPosition TouchscreenAutoZoom TouchscreenControlPlacement ToUpperCase Tr Trace TraceAbove TraceAction TraceBackward TraceDepth TraceDialog TraceForward TraceInternal TraceLevel TraceOff TraceOn TraceOriginal TracePrint TraceScan TrackedSymbols TrackingFunction TracyWidomDistribution TradingChart TraditionalForm TraditionalFunctionNotation TraditionalNotation TraditionalOrder TrainingProgressCheckpointing TrainingProgressFunction TrainingProgressMeasurements TrainingProgressReporting TrainingStoppingCriterion TransferFunctionCancel TransferFunctionExpand TransferFunctionFactor TransferFunctionModel TransferFunctionPoles TransferFunctionTransform TransferFunctionZeros TransformationClass TransformationFunction TransformationFunctions TransformationMatrix TransformedDistribution TransformedField TransformedProcess TransformedRegion TransitionDirection TransitionDuration TransitionEffect TransitiveClosureGraph TransitiveReductionGraph Translate TranslationOptions TranslationTransform Transliterate Transparent TransparentColor Transpose TransposeLayer TrapSelection TravelDirections TravelDirectionsData TravelDistance TravelDistanceList TravelMethod TravelTime TreeForm TreeGraph TreeGraphQ TreePlot TrendStyle Triangle TriangleCenter TriangleConstruct TriangleMeasurement TriangleWave TriangularDistribution TriangulateMesh Trig TrigExpand TrigFactor TrigFactorList Trigger TrigReduce TrigToExp TrimmedMean TrimmedVariance TropicalStormData True TrueQ TruncatedDistribution TruncatedPolyhedron TsallisQExponentialDistribution TsallisQGaussianDistribution TTest Tube TubeBezierCurveBox TubeBezierCurveBoxOptions TubeBox TubeBoxOptions TubeBSplineCurveBox TubeBSplineCurveBoxOptions Tuesday TukeyLambdaDistribution TukeyWindow TunnelData Tuples TuranGraph TuringMachine TuttePolynomial TwoWayRule Typed TypeSpecifierUnateQ Uncompress UnconstrainedParameters Undefined UnderBar Underflow Underlined Underoverscript UnderoverscriptBox UnderoverscriptBoxOptions Underscript UnderscriptBox UnderscriptBoxOptions UnderseaFeatureData UndirectedEdge UndirectedGraph UndirectedGraphQ UndoOptions UndoTrackedVariables Unequal UnequalTo Unevaluated UniformDistribution UniformGraphDistribution UniformPolyhedron UniformSumDistribution Uninstall Union UnionPlus Unique UnitaryMatrixQ UnitBox UnitConvert UnitDimensions Unitize UnitRootTest UnitSimplify UnitStep UnitSystem UnitTriangle UnitVector UnitVectorLayer UnityDimensions UniverseModelData UniversityData UnixTime Unprotect UnregisterExternalEvaluator UnsameQ UnsavedVariables Unset UnsetShared UntrackedVariables Up UpArrow UpArrowBar UpArrowDownArrow Update UpdateDynamicObjects UpdateDynamicObjectsSynchronous UpdateInterval UpdateSearchIndex UpDownArrow UpEquilibrium UpperCaseQ UpperLeftArrow UpperRightArrow UpperTriangularize UpperTriangularMatrixQ Upsample UpSet UpSetDelayed UpTee UpTeeArrow UpTo UpValues URL URLBuild URLDecode URLDispatcher URLDownload URLDownloadSubmit URLEncode URLExecute URLExpand URLFetch URLFetchAsynchronous URLParse URLQueryDecode URLQueryEncode URLRead URLResponseTime URLSave URLSaveAsynchronous URLShorten URLSubmit UseGraphicsRange UserDefinedWavelet Using UsingFrontEnd UtilityFunctionV2Get ValenceErrorHandling ValidationLength ValidationSet Value ValueBox ValueBoxOptions ValueDimensions ValueForm ValuePreprocessingFunction ValueQ Values ValuesData Variables Variance VarianceEquivalenceTest VarianceEstimatorFunction VarianceGammaDistribution VarianceTest VectorAngle VectorAround VectorColorFunction VectorColorFunctionScaling VectorDensityPlot VectorGlyphData VectorGreater VectorGreaterEqual VectorLess VectorLessEqual VectorMarkers VectorPlot VectorPlot3D VectorPoints VectorQ Vectors VectorScale VectorStyle Vee Verbatim Verbose VerboseConvertToPostScriptPacket VerificationTest VerifyConvergence VerifyDerivedKey VerifyDigitalSignature VerifyInterpretation VerifySecurityCertificates VerifySolutions VerifyTestAssumptions Version VersionNumber VertexAdd VertexCapacity VertexColors VertexComponent VertexConnectivity VertexContract VertexCoordinateRules VertexCoordinates VertexCorrelationSimilarity VertexCosineSimilarity VertexCount VertexCoverQ VertexDataCoordinates VertexDegree VertexDelete VertexDiceSimilarity VertexEccentricity VertexInComponent VertexInDegree VertexIndex VertexJaccardSimilarity VertexLabeling VertexLabels VertexLabelStyle VertexList VertexNormals VertexOutComponent VertexOutDegree VertexQ VertexRenderingFunction VertexReplace VertexShape VertexShapeFunction VertexSize VertexStyle VertexTextureCoordinates VertexWeight VertexWeightedGraphQ Vertical VerticalBar VerticalForm VerticalGauge VerticalSeparator VerticalSlider VerticalTilde ViewAngle ViewCenter ViewMatrix ViewPoint ViewPointSelectorSettings ViewPort ViewProjection ViewRange ViewVector ViewVertical VirtualGroupData Visible VisibleCell VoiceStyleData VoigtDistribution VolcanoData Volume VonMisesDistribution VoronoiMeshWaitAll WaitAsynchronousTask WaitNext WaitUntil WakebyDistribution WalleniusHypergeometricDistribution WaringYuleDistribution WarpingCorrespondence WarpingDistance WatershedComponents WatsonUSquareTest WattsStrogatzGraphDistribution WaveletBestBasis WaveletFilterCoefficients WaveletImagePlot WaveletListPlot WaveletMapIndexed WaveletMatrixPlot WaveletPhi WaveletPsi WaveletScale WaveletScalogram WaveletThreshold WeaklyConnectedComponents WeaklyConnectedGraphComponents WeaklyConnectedGraphQ WeakStationarity WeatherData WeatherForecastData WebAudioSearch WebElementObject WeberE WebExecute WebImage WebImageSearch WebSearch WebSessionObject WebSessions WebWindowObject Wedge Wednesday WeibullDistribution WeierstrassE1 WeierstrassE2 WeierstrassE3 WeierstrassEta1 WeierstrassEta2 WeierstrassEta3 WeierstrassHalfPeriods WeierstrassHalfPeriodW1 WeierstrassHalfPeriodW2 WeierstrassHalfPeriodW3 WeierstrassInvariantG2 WeierstrassInvariantG3 WeierstrassInvariants WeierstrassP WeierstrassPPrime WeierstrassSigma WeierstrassZeta WeightedAdjacencyGraph WeightedAdjacencyMatrix WeightedData WeightedGraphQ Weights WelchWindow WheelGraph WhenEvent Which While White WhiteNoiseProcess WhitePoint Whitespace WhitespaceCharacter WhittakerM WhittakerW WienerFilter WienerProcess WignerD WignerSemicircleDistribution WikipediaData WikipediaSearch WilksW WilksWTest WindDirectionData WindingCount WindingPolygon WindowClickSelect WindowElements WindowFloating WindowFrame WindowFrameElements WindowMargins WindowMovable WindowOpacity WindowPersistentStyles WindowSelected WindowSize WindowStatusArea WindowTitle WindowToolbars WindowWidth WindSpeedData WindVectorData WinsorizedMean WinsorizedVariance WishartMatrixDistribution With WolframAlpha WolframAlphaDate WolframAlphaQuantity WolframAlphaResult WolframLanguageData Word WordBoundary WordCharacter WordCloud WordCount WordCounts WordData WordDefinition WordFrequency WordFrequencyData WordList WordOrientation WordSearch WordSelectionFunction WordSeparators WordSpacings WordStem WordTranslation WorkingPrecision WrapAround Write WriteLine WriteString WronskianXMLElement XMLObject XMLTemplate Xnor Xor XYZColorYellow Yesterday YuleDissimilarityZernikeR ZeroSymmetric ZeroTest ZeroWidthTimes Zeta ZetaZero ZIPCodeData ZipfDistribution ZoomCenter ZoomFactor ZTest ZTransform$Aborted $ActivationGroupID $ActivationKey $ActivationUserRegistered $AddOnsDirectory $AllowExternalChannelFunctions $AssertFunction $Assumptions $AsynchronousTask $AudioInputDevices $AudioOutputDevices $BaseDirectory $BatchInput $BatchOutput $BlockchainBase $BoxForms $ByteOrdering $CacheBaseDirectory $Canceled $ChannelBase $CharacterEncoding $CharacterEncodings $CloudBase $CloudConnected $CloudCreditsAvailable $CloudEvaluation $CloudExpressionBase $CloudObjectNameFormat $CloudObjectURLType $CloudRootDirectory $CloudSymbolBase $CloudUserID $CloudUserUUID $CloudVersion $CloudVersionNumber $CloudWolframEngineVersionNumber $CommandLine $CompilationTarget $ConditionHold $ConfiguredKernels $Context $ContextPath $ControlActiveSetting $Cookies $CookieStore $CreationDate $CurrentLink $CurrentTask $CurrentWebSession $DateStringFormat $DefaultAudioInputDevice $DefaultAudioOutputDevice $DefaultFont $DefaultFrontEnd $DefaultImagingDevice $DefaultLocalBase $DefaultMailbox $DefaultNetworkInterface $DefaultPath $Display $DisplayFunction $DistributedContexts $DynamicEvaluation $Echo $EmbedCodeEnvironments $EmbeddableServices $EntityStores $Epilog $EvaluationCloudBase $EvaluationCloudObject $EvaluationEnvironment $ExportFormats $Failed $FinancialDataSource $FontFamilies $FormatType $FrontEnd $FrontEndSession $GeoEntityTypes $GeoLocation $GeoLocationCity $GeoLocationCountry $GeoLocationPrecision $GeoLocationSource $HistoryLength $HomeDirectory $HTMLExportRules $HTTPCookies $HTTPRequest $IgnoreEOF $ImageFormattingWidth $ImagingDevice $ImagingDevices $ImportFormats $IncomingMailSettings $InitialDirectory $Initialization $InitializationContexts $Input $InputFileName $InputStreamMethods $Inspector $InstallationDate $InstallationDirectory $InterfaceEnvironment $InterpreterTypes $IterationLimit $KernelCount $KernelID $Language $LaunchDirectory $LibraryPath $LicenseExpirationDate $LicenseID $LicenseProcesses $LicenseServer $LicenseSubprocesses $LicenseType $Line $Linked $LinkSupported $LoadedFiles $LocalBase $LocalSymbolBase $MachineAddresses $MachineDomain $MachineDomains $MachineEpsilon $MachineID $MachineName $MachinePrecision $MachineType $MaxExtraPrecision $MaxLicenseProcesses $MaxLicenseSubprocesses $MaxMachineNumber $MaxNumber $MaxPiecewiseCases $MaxPrecision $MaxRootDegree $MessageGroups $MessageList $MessagePrePrint $Messages $MinMachineNumber $MinNumber $MinorReleaseNumber $MinPrecision $MobilePhone $ModuleNumber $NetworkConnected $NetworkInterfaces $NetworkLicense $NewMessage $NewSymbol $Notebooks $NoValue $NumberMarks $Off $OperatingSystem $Output $OutputForms $OutputSizeLimit $OutputStreamMethods $Packages $ParentLink $ParentProcessID $PasswordFile $PatchLevelID $Path $PathnameSeparator $PerformanceGoal $Permissions $PermissionsGroupBase $PersistenceBase $PersistencePath $PipeSupported $PlotTheme $Post $Pre $PreferencesDirectory $PreInitialization $PrePrint $PreRead $PrintForms $PrintLiteral $Printout3DPreviewer $ProcessID $ProcessorCount $ProcessorType $ProductInformation $ProgramName $PublisherID $RandomState $RecursionLimit $RegisteredDeviceClasses $RegisteredUserName $ReleaseNumber $RequesterAddress $RequesterWolframID $RequesterWolframUUID $ResourceSystemBase $RootDirectory $ScheduledTask $ScriptCommandLine $ScriptInputString $SecuredAuthenticationKeyTokens $ServiceCreditsAvailable $Services $SessionID $SetParentLink $SharedFunctions $SharedVariables $SoundDisplay $SoundDisplayFunction $SourceLink $SSHAuthentication $SummaryBoxDataSizeLimit $SuppressInputFormHeads $SynchronousEvaluation $SyntaxHandler $System $SystemCharacterEncoding $SystemID $SystemMemory $SystemShell $SystemTimeZone $SystemWordLength $TemplatePath $TemporaryDirectory $TemporaryPrefix $TestFileName $TextStyle $TimedOut $TimeUnit $TimeZone $TimeZoneEntity $TopDirectory $TraceOff $TraceOn $TracePattern $TracePostAction $TracePreAction $UnitSystem $Urgent $UserAddOnsDirectory $UserAgentLanguages $UserAgentMachine $UserAgentName $UserAgentOperatingSystem $UserAgentString $UserAgentVersion $UserBaseDirectory $UserDocumentsDirectory $Username $UserName $UserURLBase $Version $VersionNumber $VoiceStyles $WolframID $WolframUUID",contains:[e.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}}}]);
-//# sourceMappingURL=mathematica.js.map?v=bfcff5869f59f182fad6 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[103],{470:function(e,t){e.exports=function(e){return{aliases:["mma","wl"],lexemes:"(\\$|\\b)"+e.IDENT_RE+"\\b",keywords:"AASTriangle AbelianGroup Abort AbortKernels AbortProtect AbortScheduledTask Above Abs AbsArg AbsArgPlot Absolute AbsoluteCorrelation AbsoluteCorrelationFunction AbsoluteCurrentValue AbsoluteDashing AbsoluteFileName AbsoluteOptions AbsolutePointSize AbsoluteThickness AbsoluteTime AbsoluteTiming AcceptanceThreshold AccountingForm Accumulate Accuracy AccuracyGoal ActionDelay ActionMenu ActionMenuBox ActionMenuBoxOptions Activate Active ActiveClassification ActiveClassificationObject ActiveItem ActivePrediction ActivePredictionObject ActiveStyle AcyclicGraphQ AddOnHelpPath AddSides AddTo AddToSearchIndex AddUsers AdjacencyGraph AdjacencyList AdjacencyMatrix AdjustmentBox AdjustmentBoxOptions AdjustTimeSeriesForecast AdministrativeDivisionData AffineHalfSpace AffineSpace AffineStateSpaceModel AffineTransform After AggregatedEntityClass AggregationLayer AircraftData AirportData AirPressureData AirTemperatureData AiryAi AiryAiPrime AiryAiZero AiryBi AiryBiPrime AiryBiZero AlgebraicIntegerQ AlgebraicNumber AlgebraicNumberDenominator AlgebraicNumberNorm AlgebraicNumberPolynomial AlgebraicNumberTrace AlgebraicRules AlgebraicRulesData Algebraics AlgebraicUnitQ Alignment AlignmentMarker AlignmentPoint All AllowAdultContent AllowedCloudExtraParameters AllowedCloudParameterExtensions AllowedDimensions AllowedFrequencyRange AllowedHeads AllowGroupClose AllowIncomplete AllowInlineCells AllowKernelInitialization AllowLooseGrammar AllowReverseGroupClose AllowScriptLevelChange AllTrue Alphabet AlphabeticOrder AlphabeticSort AlphaChannel AlternateImage AlternatingFactorial AlternatingGroup AlternativeHypothesis Alternatives AltitudeMethod AmbientLight AmbiguityFunction AmbiguityList Analytic AnatomyData AnatomyForm AnatomyPlot3D AnatomySkinStyle AnatomyStyling AnchoredSearch And AndersonDarlingTest AngerJ AngleBisector AngleBracket AnglePath AnglePath3D AngleVector AngularGauge Animate AnimationCycleOffset AnimationCycleRepetitions AnimationDirection AnimationDisplayTime AnimationRate AnimationRepetitions AnimationRunning AnimationRunTime AnimationTimeIndex Animator AnimatorBox AnimatorBoxOptions AnimatorElements Annotate Annotation AnnotationDelete AnnotationNames AnnotationRules AnnotationValue Annuity AnnuityDue Annulus AnomalyDetection AnomalyDetectorFunction Anonymous Antialiasing AntihermitianMatrixQ Antisymmetric AntisymmetricMatrixQ Antonyms AnyOrder AnySubset AnyTrue Apart ApartSquareFree APIFunction Appearance AppearanceElements AppearanceRules AppellF1 Append AppendCheck AppendLayer AppendTo ApplicationIdentificationKey Apply ApplySides ArcCos ArcCosh ArcCot ArcCoth ArcCsc ArcCsch ArcCurvature ARCHProcess ArcLength ArcSec ArcSech ArcSin ArcSinDistribution ArcSinh ArcTan ArcTanh Area Arg ArgMax ArgMin ArgumentCountQ ARIMAProcess ArithmeticGeometricMean ARMAProcess Around AroundReplace ARProcess Array ArrayComponents ArrayDepth ArrayFilter ArrayFlatten ArrayMesh ArrayPad ArrayPlot ArrayQ ArrayResample ArrayReshape ArrayRules Arrays Arrow Arrow3DBox ArrowBox Arrowheads ASATriangle Ask AskAppend AskConfirm AskDisplay AskedQ AskedValue AskFunction AskState AskTemplateDisplay AspectRatio AspectRatioFixed Assert AssociateTo Association AssociationFormat AssociationMap AssociationQ AssociationThread AssumeDeterministic Assuming Assumptions AstronomicalData AsymptoticDSolveValue AsymptoticEqual AsymptoticEquivalent AsymptoticGreater AsymptoticGreaterEqual AsymptoticIntegrate AsymptoticLess AsymptoticLessEqual AsymptoticOutputTracker AsymptoticRSolveValue AsymptoticSolve AsymptoticSum Asynchronous AsynchronousTaskObject AsynchronousTasks Atom AtomCoordinates AtomCount AtomDiagramCoordinates AtomList AtomQ AttentionLayer Attributes Audio AudioAmplify AudioAnnotate AudioAnnotationLookup AudioBlockMap AudioCapture AudioChannelAssignment AudioChannelCombine AudioChannelMix AudioChannels AudioChannelSeparate AudioData AudioDelay AudioDelete AudioDevice AudioDistance AudioFade AudioFrequencyShift AudioGenerator AudioIdentify AudioInputDevice AudioInsert AudioIntervals AudioJoin AudioLabel AudioLength AudioLocalMeasurements AudioLooping AudioLoudness AudioMeasurements AudioNormalize AudioOutputDevice AudioOverlay AudioPad AudioPan AudioPartition AudioPause AudioPitchShift AudioPlay AudioPlot AudioQ AudioRecord AudioReplace AudioResample AudioReverb AudioSampleRate AudioSpectralMap AudioSpectralTransformation AudioSplit AudioStop AudioStream AudioStreams AudioTimeStretch AudioTrim AudioType AugmentedPolyhedron AugmentedSymmetricPolynomial Authenticate Authentication AuthenticationDialog AutoAction Autocomplete AutocompletionFunction AutoCopy AutocorrelationTest AutoDelete AutoEvaluateEvents AutoGeneratedPackage AutoIndent AutoIndentSpacings AutoItalicWords AutoloadPath AutoMatch Automatic AutomaticImageSize AutoMultiplicationSymbol AutoNumberFormatting AutoOpenNotebooks AutoOpenPalettes AutoQuoteCharacters AutoRefreshed AutoRemove AutorunSequencing AutoScaling AutoScroll AutoSpacing AutoStyleOptions AutoStyleWords AutoSubmitting Axes AxesEdge AxesLabel AxesOrigin AxesStyle AxiomaticTheory AxisBabyMonsterGroupB Back Background BackgroundAppearance BackgroundTasksSettings Backslash Backsubstitution Backward Ball Band BandpassFilter BandstopFilter BarabasiAlbertGraphDistribution BarChart BarChart3D BarcodeImage BarcodeRecognize BaringhausHenzeTest BarLegend BarlowProschanImportance BarnesG BarOrigin BarSpacing BartlettHannWindow BartlettWindow BaseDecode BaseEncode BaseForm Baseline BaselinePosition BaseStyle BasicRecurrentLayer BatchNormalizationLayer BatchSize BatesDistribution BattleLemarieWavelet BayesianMaximization BayesianMaximizationObject BayesianMinimization BayesianMinimizationObject Because BeckmannDistribution Beep Before Begin BeginDialogPacket BeginFrontEndInteractionPacket BeginPackage BellB BellY Below BenfordDistribution BeniniDistribution BenktanderGibratDistribution BenktanderWeibullDistribution BernoulliB BernoulliDistribution BernoulliGraphDistribution BernoulliProcess BernsteinBasis BesselFilterModel BesselI BesselJ BesselJZero BesselK BesselY BesselYZero Beta BetaBinomialDistribution BetaDistribution BetaNegativeBinomialDistribution BetaPrimeDistribution BetaRegularized Between BetweennessCentrality BeveledPolyhedron BezierCurve BezierCurve3DBox BezierCurve3DBoxOptions BezierCurveBox BezierCurveBoxOptions BezierFunction BilateralFilter Binarize BinaryDeserialize BinaryDistance BinaryFormat BinaryImageQ BinaryRead BinaryReadList BinarySerialize BinaryWrite BinCounts BinLists Binomial BinomialDistribution BinomialProcess BinormalDistribution BiorthogonalSplineWavelet BipartiteGraphQ BiquadraticFilterModel BirnbaumImportance BirnbaumSaundersDistribution BitAnd BitClear BitGet BitLength BitNot BitOr BitSet BitShiftLeft BitShiftRight BitXor BiweightLocation BiweightMidvariance Black BlackmanHarrisWindow BlackmanNuttallWindow BlackmanWindow Blank BlankForm BlankNullSequence BlankSequence Blend Block BlockchainAddressData BlockchainBase BlockchainBlockData BlockchainContractValue BlockchainData BlockchainGet BlockchainKeyEncode BlockchainPut BlockchainTokenData BlockchainTransaction BlockchainTransactionData BlockchainTransactionSign BlockchainTransactionSubmit BlockMap BlockRandom BlomqvistBeta BlomqvistBetaTest Blue Blur BodePlot BohmanWindow Bold Bond BondCount BondList BondQ Bookmarks Boole BooleanConsecutiveFunction BooleanConvert BooleanCountingFunction BooleanFunction BooleanGraph BooleanMaxterms BooleanMinimize BooleanMinterms BooleanQ BooleanRegion Booleans BooleanStrings BooleanTable BooleanVariables BorderDimensions BorelTannerDistribution Bottom BottomHatTransform BoundaryDiscretizeGraphics BoundaryDiscretizeRegion BoundaryMesh BoundaryMeshRegion BoundaryMeshRegionQ BoundaryStyle BoundedRegionQ BoundingRegion Bounds Box BoxBaselineShift BoxData BoxDimensions Boxed Boxes BoxForm BoxFormFormatTypes BoxFrame BoxID BoxMargins BoxMatrix BoxObject BoxRatios BoxRotation BoxRotationPoint BoxStyle BoxWhiskerChart Bra BracketingBar BraKet BrayCurtisDistance BreadthFirstScan Break BridgeData BrightnessEqualize BroadcastStationData Brown BrownForsytheTest BrownianBridgeProcess BrowserCategory BSplineBasis BSplineCurve BSplineCurve3DBox BSplineCurve3DBoxOptions BSplineCurveBox BSplineCurveBoxOptions BSplineFunction BSplineSurface BSplineSurface3DBox BSplineSurface3DBoxOptions BubbleChart BubbleChart3D BubbleScale BubbleSizes BuildingData BulletGauge BusinessDayQ ButterflyGraph ButterworthFilterModel Button ButtonBar ButtonBox ButtonBoxOptions ButtonCell ButtonContents ButtonData ButtonEvaluator ButtonExpandable ButtonFrame ButtonFunction ButtonMargins ButtonMinHeight ButtonNote ButtonNotebook ButtonSource ButtonStyle ButtonStyleMenuListing Byte ByteArray ByteArrayFormat ByteArrayQ ByteArrayToString ByteCount ByteOrderingC CachedValue CacheGraphics CachePersistence CalendarConvert CalendarData CalendarType Callout CalloutMarker CalloutStyle CallPacket CanberraDistance Cancel CancelButton CandlestickChart CanonicalGraph CanonicalizePolygon CanonicalizePolyhedron CanonicalName CanonicalWarpingCorrespondence CanonicalWarpingDistance CantorMesh CantorStaircase Cap CapForm CapitalDifferentialD Capitalize CapsuleShape CaptureRunning CardinalBSplineBasis CarlemanLinearize CarmichaelLambda CaseOrdering Cases CaseSensitive Cashflow Casoratian Catalan CatalanNumber Catch Catenate CatenateLayer CauchyDistribution CauchyWindow CayleyGraph CDF CDFDeploy CDFInformation CDFWavelet Ceiling CelestialSystem Cell CellAutoOverwrite CellBaseline CellBoundingBox CellBracketOptions CellChangeTimes CellContents CellContext CellDingbat CellDynamicExpression CellEditDuplicate CellElementsBoundingBox CellElementSpacings CellEpilog CellEvaluationDuplicate CellEvaluationFunction CellEvaluationLanguage CellEventActions CellFrame CellFrameColor CellFrameLabelMargins CellFrameLabels CellFrameMargins CellGroup CellGroupData CellGrouping CellGroupingRules CellHorizontalScrolling CellID CellLabel CellLabelAutoDelete CellLabelMargins CellLabelPositioning CellLabelStyle CellLabelTemplate CellMargins CellObject CellOpen CellPrint CellProlog Cells CellSize CellStyle CellTags CellularAutomaton CensoredDistribution Censoring Center CenterArray CenterDot CentralFeature CentralMoment CentralMomentGeneratingFunction Cepstrogram CepstrogramArray CepstrumArray CForm ChampernowneNumber ChangeOptions ChannelBase ChannelBrokerAction ChannelDatabin ChannelHistoryLength ChannelListen ChannelListener ChannelListeners ChannelListenerWait ChannelObject ChannelPreSendFunction ChannelReceiverFunction ChannelSend ChannelSubscribers ChanVeseBinarize Character CharacterCounts CharacterEncoding CharacterEncodingsPath CharacteristicFunction CharacteristicPolynomial CharacterName CharacterRange Characters ChartBaseStyle ChartElementData ChartElementDataFunction ChartElementFunction ChartElements ChartLabels ChartLayout ChartLegends ChartStyle Chebyshev1FilterModel Chebyshev2FilterModel ChebyshevDistance ChebyshevT ChebyshevU Check CheckAbort CheckAll Checkbox CheckboxBar CheckboxBox CheckboxBoxOptions ChemicalData ChessboardDistance ChiDistribution ChineseRemainder ChiSquareDistribution ChoiceButtons ChoiceDialog CholeskyDecomposition Chop ChromaticityPlot ChromaticityPlot3D ChromaticPolynomial Circle CircleBox CircleDot CircleMinus CirclePlus CirclePoints CircleThrough CircleTimes CirculantGraph CircularOrthogonalMatrixDistribution CircularQuaternionMatrixDistribution CircularRealMatrixDistribution CircularSymplecticMatrixDistribution CircularUnitaryMatrixDistribution Circumsphere CityData ClassifierFunction ClassifierInformation ClassifierMeasurements ClassifierMeasurementsObject Classify ClassPriors Clear ClearAll ClearAttributes ClearCookies ClearPermissions ClearSystemCache ClebschGordan ClickPane Clip ClipboardNotebook ClipFill ClippingStyle ClipPlanes ClipPlanesStyle ClipRange Clock ClockGauge ClockwiseContourIntegral Close Closed CloseKernels ClosenessCentrality Closing ClosingAutoSave ClosingEvent CloudAccountData CloudBase CloudConnect CloudDeploy CloudDirectory CloudDisconnect CloudEvaluate CloudExport CloudExpression CloudExpressions CloudFunction CloudGet CloudImport CloudLoggingData CloudObject CloudObjectInformation CloudObjectInformationData CloudObjectNameFormat CloudObjects CloudObjectURLType CloudPublish CloudPut CloudRenderingMethod CloudSave CloudShare CloudSubmit CloudSymbol CloudUnshare ClusterClassify ClusterDissimilarityFunction ClusteringComponents ClusteringTree CMYKColor Coarse CodeAssistOptions Coefficient CoefficientArrays CoefficientDomain CoefficientList CoefficientRules CoifletWavelet Collect Colon ColonForm ColorBalance ColorCombine ColorConvert ColorCoverage ColorData ColorDataFunction ColorDetect ColorDistance ColorFunction ColorFunctionScaling Colorize ColorNegate ColorOutput ColorProfileData ColorQ ColorQuantize ColorReplace ColorRules ColorSelectorSettings ColorSeparate ColorSetter ColorSetterBox ColorSetterBoxOptions ColorSlider ColorsNear ColorSpace ColorToneMapping Column ColumnAlignments ColumnBackgrounds ColumnForm ColumnLines ColumnsEqual ColumnSpacings ColumnWidths CombinedEntityClass CombinerFunction CometData CommonDefaultFormatTypes Commonest CommonestFilter CommonName CommonUnits CommunityBoundaryStyle CommunityGraphPlot CommunityLabels CommunityRegionStyle CompanyData CompatibleUnitQ CompilationOptions CompilationTarget Compile Compiled CompiledCodeFunction CompiledFunction CompilerOptions Complement CompleteGraph CompleteGraphQ CompleteKaryTree CompletionsListPacket Complex Complexes ComplexExpand ComplexInfinity ComplexityFunction ComplexListPlot ComplexPlot ComplexPlot3D ComponentMeasurements ComponentwiseContextMenu Compose ComposeList ComposeSeries CompositeQ Composition CompoundElement CompoundExpression CompoundPoissonDistribution CompoundPoissonProcess CompoundRenewalProcess Compress CompressedData ComputeUncertainty Condition ConditionalExpression Conditioned Cone ConeBox ConfidenceLevel ConfidenceRange ConfidenceTransform ConfigurationPath ConformAudio ConformImages Congruent ConicHullRegion ConicHullRegion3DBox ConicHullRegionBox ConicOptimization Conjugate ConjugateTranspose Conjunction Connect ConnectedComponents ConnectedGraphComponents ConnectedGraphQ ConnectedMeshComponents ConnectedMoleculeComponents ConnectedMoleculeQ ConnectionSettings ConnectLibraryCallbackFunction ConnectSystemModelComponents ConnesWindow ConoverTest ConsoleMessage ConsoleMessagePacket ConsolePrint Constant ConstantArray ConstantArrayLayer ConstantImage ConstantPlusLayer ConstantRegionQ Constants ConstantTimesLayer ConstellationData ConstrainedMax ConstrainedMin Construct Containing ContainsAll ContainsAny ContainsExactly ContainsNone ContainsOnly ContentFieldOptions ContentLocationFunction ContentObject ContentPadding ContentsBoundingBox ContentSelectable ContentSize Context ContextMenu Contexts ContextToFileName Continuation Continue ContinuedFraction ContinuedFractionK ContinuousAction ContinuousMarkovProcess ContinuousTask ContinuousTimeModelQ ContinuousWaveletData ContinuousWaveletTransform ContourDetect ContourGraphics ContourIntegral ContourLabels ContourLines ContourPlot ContourPlot3D Contours ContourShading ContourSmoothing ContourStyle ContraharmonicMean ContrastiveLossLayer Control ControlActive ControlAlignment ControlGroupContentsBox ControllabilityGramian ControllabilityMatrix ControllableDecomposition ControllableModelQ ControllerDuration ControllerInformation ControllerInformationData ControllerLinking ControllerManipulate ControllerMethod ControllerPath ControllerState ControlPlacement ControlsRendering ControlType Convergents ConversionOptions ConversionRules ConvertToBitmapPacket ConvertToPostScript ConvertToPostScriptPacket ConvexHullMesh ConvexPolygonQ ConvexPolyhedronQ ConvolutionLayer Convolve ConwayGroupCo1 ConwayGroupCo2 ConwayGroupCo3 CookieFunction Cookies CoordinateBoundingBox CoordinateBoundingBoxArray CoordinateBounds CoordinateBoundsArray CoordinateChartData CoordinatesToolOptions CoordinateTransform CoordinateTransformData CoprimeQ Coproduct CopulaDistribution Copyable CopyDatabin CopyDirectory CopyFile CopyTag CopyToClipboard CornerFilter CornerNeighbors Correlation CorrelationDistance CorrelationFunction CorrelationTest Cos Cosh CoshIntegral CosineDistance CosineWindow CosIntegral Cot Coth Count CountDistinct CountDistinctBy CounterAssignments CounterBox CounterBoxOptions CounterClockwiseContourIntegral CounterEvaluator CounterFunction CounterIncrements CounterStyle CounterStyleMenuListing CountRoots CountryData Counts CountsBy Covariance CovarianceEstimatorFunction CovarianceFunction CoxianDistribution CoxIngersollRossProcess CoxModel CoxModelFit CramerVonMisesTest CreateArchive CreateCellID CreateChannel CreateCloudExpression CreateDatabin CreateDataSystemModel CreateDialog CreateDirectory CreateDocument CreateFile CreateIntermediateDirectories CreateManagedLibraryExpression CreateNotebook CreatePalette CreatePalettePacket CreatePermissionsGroup CreateScheduledTask CreateSearchIndex CreateSystemModel CreateTemporary CreateUUID CreateWindow CriterionFunction CriticalityFailureImportance CriticalitySuccessImportance CriticalSection Cross CrossEntropyLossLayer CrossingCount CrossingDetect CrossingPolygon CrossMatrix Csc Csch CTCLossLayer Cube CubeRoot Cubics Cuboid CuboidBox Cumulant CumulantGeneratingFunction Cup CupCap Curl CurlyDoubleQuote CurlyQuote CurrencyConvert CurrentDate CurrentImage CurrentlySpeakingPacket CurrentNotebookImage CurrentScreenImage CurrentValue Curry CurvatureFlowFilter CurveClosed Cyan CycleGraph CycleIndexPolynomial Cycles CyclicGroup Cyclotomic Cylinder CylinderBox CylindricalDecompositionD DagumDistribution DamData DamerauLevenshteinDistance DampingFactor Darker Dashed Dashing DatabaseConnect DatabaseDisconnect DatabaseReference Databin DatabinAdd DatabinRemove Databins DatabinUpload DataCompression DataDistribution DataRange DataReversed Dataset Date DateBounds Dated DateDelimiters DateDifference DatedUnit DateFormat DateFunction DateHistogram DateList DateListLogPlot DateListPlot DateListStepPlot DateObject DateObjectQ DateOverlapsQ DatePattern DatePlus DateRange DateReduction DateString DateTicksFormat DateValue DateWithinQ DaubechiesWavelet DavisDistribution DawsonF DayCount DayCountConvention DayHemisphere DaylightQ DayMatchQ DayName DayNightTerminator DayPlus DayRange DayRound DeBruijnGraph DeBruijnSequence Debug DebugTag Decapitalize Decimal DecimalForm DeclareKnownSymbols DeclarePackage Decompose DeconvolutionLayer Decrement Decrypt DecryptFile DedekindEta DeepSpaceProbeData Default DefaultAxesStyle DefaultBaseStyle DefaultBoxStyle DefaultButton DefaultColor DefaultControlPlacement DefaultDuplicateCellStyle DefaultDuration DefaultElement DefaultFaceGridsStyle DefaultFieldHintStyle DefaultFont DefaultFontProperties DefaultFormatType DefaultFormatTypeForStyle DefaultFrameStyle DefaultFrameTicksStyle DefaultGridLinesStyle DefaultInlineFormatType DefaultInputFormatType DefaultLabelStyle DefaultMenuStyle DefaultNaturalLanguage DefaultNewCellStyle DefaultNewInlineCellStyle DefaultNotebook DefaultOptions DefaultOutputFormatType DefaultPrintPrecision DefaultStyle DefaultStyleDefinitions DefaultTextFormatType DefaultTextInlineFormatType DefaultTicksStyle DefaultTooltipStyle DefaultValue DefaultValues Defer DefineExternal DefineInputStreamMethod DefineOutputStreamMethod DefineResourceFunction Definition Degree DegreeCentrality DegreeGraphDistribution DegreeLexicographic DegreeReverseLexicographic DEigensystem DEigenvalues Deinitialization Del DelaunayMesh Delayed Deletable Delete DeleteAnomalies DeleteBorderComponents DeleteCases DeleteChannel DeleteCloudExpression DeleteContents DeleteDirectory DeleteDuplicates DeleteDuplicatesBy DeleteFile DeleteMissing DeleteObject DeletePermissionsKey DeleteSearchIndex DeleteSmallComponents DeleteStopwords DeleteWithContents DeletionWarning DelimitedArray DelimitedSequence Delimiter DelimiterFlashTime DelimiterMatching Delimiters DeliveryFunction Dendrogram Denominator DensityGraphics DensityHistogram DensityPlot DensityPlot3D DependentVariables Deploy Deployed Depth DepthFirstScan Derivative DerivativeFilter DerivedKey DescriptorStateSpace DesignMatrix DestroyAfterEvaluation Det DeviceClose DeviceConfigure DeviceExecute DeviceExecuteAsynchronous DeviceObject DeviceOpen DeviceOpenQ DeviceRead DeviceReadBuffer DeviceReadLatest DeviceReadList DeviceReadTimeSeries Devices DeviceStreams DeviceWrite DeviceWriteBuffer DGaussianWavelet DiacriticalPositioning Diagonal DiagonalizableMatrixQ DiagonalMatrix DiagonalMatrixQ Dialog DialogIndent DialogInput DialogLevel DialogNotebook DialogProlog DialogReturn DialogSymbols Diamond DiamondMatrix DiceDissimilarity DictionaryLookup DictionaryWordQ DifferenceDelta DifferenceOrder DifferenceQuotient DifferenceRoot DifferenceRootReduce Differences DifferentialD DifferentialRoot DifferentialRootReduce DifferentiatorFilter DigitalSignature DigitBlock DigitBlockMinimum DigitCharacter DigitCount DigitQ DihedralAngle DihedralGroup Dilation DimensionalCombinations DimensionalMeshComponents DimensionReduce DimensionReducerFunction DimensionReduction Dimensions DiracComb DiracDelta DirectedEdge DirectedEdges DirectedGraph DirectedGraphQ DirectedInfinity Direction Directive Directory DirectoryName DirectoryQ DirectoryStack DirichletBeta DirichletCharacter DirichletCondition DirichletConvolve DirichletDistribution DirichletEta DirichletL DirichletLambda DirichletTransform DirichletWindow DisableConsolePrintPacket DisableFormatting DiscreteChirpZTransform DiscreteConvolve DiscreteDelta DiscreteHadamardTransform DiscreteIndicator DiscreteLimit DiscreteLQEstimatorGains DiscreteLQRegulatorGains DiscreteLyapunovSolve DiscreteMarkovProcess DiscreteMaxLimit DiscreteMinLimit DiscretePlot DiscretePlot3D DiscreteRatio DiscreteRiccatiSolve DiscreteShift DiscreteTimeModelQ DiscreteUniformDistribution DiscreteVariables DiscreteWaveletData DiscreteWaveletPacketTransform DiscreteWaveletTransform DiscretizeGraphics DiscretizeRegion Discriminant DisjointQ Disjunction Disk DiskBox DiskMatrix DiskSegment Dispatch DispatchQ DispersionEstimatorFunction Display DisplayAllSteps DisplayEndPacket DisplayFlushImagePacket DisplayForm DisplayFunction DisplayPacket DisplayRules DisplaySetSizePacket DisplayString DisplayTemporary DisplayWith DisplayWithRef DisplayWithVariable DistanceFunction DistanceMatrix DistanceTransform Distribute Distributed DistributedContexts DistributeDefinitions DistributionChart DistributionDomain DistributionFitTest DistributionParameterAssumptions DistributionParameterQ Dithering Div Divergence Divide DivideBy Dividers DivideSides Divisible Divisors DivisorSigma DivisorSum DMSList DMSString Do DockedCells DocumentGenerator DocumentGeneratorInformation DocumentGeneratorInformationData DocumentGenerators DocumentNotebook DocumentWeightingRules Dodecahedron DomainRegistrationInformation DominantColors DOSTextFormat Dot DotDashed DotEqual DotLayer DotPlusLayer Dotted DoubleBracketingBar DoubleContourIntegral DoubleDownArrow DoubleLeftArrow DoubleLeftRightArrow DoubleLeftTee DoubleLongLeftArrow DoubleLongLeftRightArrow DoubleLongRightArrow DoubleRightArrow DoubleRightTee DoubleUpArrow DoubleUpDownArrow DoubleVerticalBar DoublyInfinite Down DownArrow DownArrowBar DownArrowUpArrow DownLeftRightVector DownLeftTeeVector DownLeftVector DownLeftVectorBar DownRightTeeVector DownRightVector DownRightVectorBar Downsample DownTee DownTeeArrow DownValues DragAndDrop DrawEdges DrawFrontFaces DrawHighlighted Drop DropoutLayer DSolve DSolveValue Dt DualLinearProgramming DualPolyhedron DualSystemsModel DumpGet DumpSave DuplicateFreeQ Duration Dynamic DynamicBox DynamicBoxOptions DynamicEvaluationTimeout DynamicGeoGraphics DynamicImage DynamicLocation DynamicModule DynamicModuleBox DynamicModuleBoxOptions DynamicModuleParent DynamicModuleValues DynamicName DynamicNamespace DynamicReference DynamicSetting DynamicUpdating DynamicWrapper DynamicWrapperBox DynamicWrapperBoxOptionsE EarthImpactData EarthquakeData EccentricityCentrality Echo EchoFunction EclipseType EdgeAdd EdgeBetweennessCentrality EdgeCapacity EdgeCapForm EdgeColor EdgeConnectivity EdgeContract EdgeCost EdgeCount EdgeCoverQ EdgeCycleMatrix EdgeDashing EdgeDelete EdgeDetect EdgeForm EdgeIndex EdgeJoinForm EdgeLabeling EdgeLabels EdgeLabelStyle EdgeList EdgeOpacity EdgeQ EdgeRenderingFunction EdgeRules EdgeShapeFunction EdgeStyle EdgeThickness EdgeWeight EdgeWeightedGraphQ Editable EditButtonSettings EditCellTagsSettings EditDistance EffectiveInterest Eigensystem Eigenvalues EigenvectorCentrality Eigenvectors Element ElementData ElementwiseLayer ElidedForms Eliminate EliminationOrder Ellipsoid EllipticE EllipticExp EllipticExpPrime EllipticF EllipticFilterModel EllipticK EllipticLog EllipticNomeQ EllipticPi EllipticReducedHalfPeriods EllipticTheta EllipticThetaPrime EmbedCode EmbeddedHTML EmbeddedService EmbeddingLayer EmbeddingObject EmitSound EmphasizeSyntaxErrors EmpiricalDistribution Empty EmptyGraphQ EmptyRegion EnableConsolePrintPacket Enabled Encode Encrypt EncryptedObject EncryptFile End EndAdd EndDialogPacket EndFrontEndInteractionPacket EndOfBuffer EndOfFile EndOfLine EndOfString EndPackage EngineEnvironment EngineeringForm Enter EnterExpressionPacket EnterTextPacket Entity EntityClass EntityClassList EntityCopies EntityFunction EntityGroup EntityInstance EntityList EntityPrefetch EntityProperties EntityProperty EntityPropertyClass EntityRegister EntityStore EntityStores EntityTypeName EntityUnregister EntityValue Entropy EntropyFilter Environment Epilog EpilogFunction Equal EqualColumns EqualRows EqualTilde EqualTo EquatedTo Equilibrium EquirippleFilterKernel Equivalent Erf Erfc Erfi ErlangB ErlangC ErlangDistribution Erosion ErrorBox ErrorBoxOptions ErrorNorm ErrorPacket ErrorsDialogSettings EscapeRadius EstimatedBackground EstimatedDistribution EstimatedProcess EstimatorGains EstimatorRegulator EuclideanDistance EulerAngles EulerCharacteristic EulerE EulerGamma EulerianGraphQ EulerMatrix EulerPhi Evaluatable Evaluate Evaluated EvaluatePacket EvaluateScheduledTask EvaluationBox EvaluationCell EvaluationCompletionAction EvaluationData EvaluationElements EvaluationEnvironment EvaluationMode EvaluationMonitor EvaluationNotebook EvaluationObject EvaluationOrder Evaluator EvaluatorNames EvenQ EventData EventEvaluator EventHandler EventHandlerTag EventLabels EventSeries ExactBlackmanWindow ExactNumberQ ExactRootIsolation ExampleData Except ExcludedForms ExcludedLines ExcludedPhysicalQuantities ExcludePods Exclusions ExclusionsStyle Exists Exit ExitDialog ExoplanetData Exp Expand ExpandAll ExpandDenominator ExpandFileName ExpandNumerator Expectation ExpectationE ExpectedValue ExpGammaDistribution ExpIntegralE ExpIntegralEi ExpirationDate Exponent ExponentFunction ExponentialDistribution ExponentialFamily ExponentialGeneratingFunction ExponentialMovingAverage ExponentialPowerDistribution ExponentPosition ExponentStep Export ExportAutoReplacements ExportByteArray ExportForm ExportPacket ExportString Expression ExpressionCell ExpressionPacket ExpressionUUID ExpToTrig ExtendedEntityClass ExtendedGCD Extension ExtentElementFunction ExtentMarkers ExtentSize ExternalBundle ExternalCall ExternalDataCharacterEncoding ExternalEvaluate ExternalFunction ExternalFunctionName ExternalObject ExternalOptions ExternalSessionObject ExternalSessions ExternalTypeSignature ExternalValue Extract ExtractArchive ExtractLayer ExtremeValueDistributionFaceForm FaceGrids FaceGridsStyle FacialFeatures Factor FactorComplete Factorial Factorial2 FactorialMoment FactorialMomentGeneratingFunction FactorialPower FactorInteger FactorList FactorSquareFree FactorSquareFreeList FactorTerms FactorTermsList Fail Failure FailureAction FailureDistribution FailureQ False FareySequence FARIMAProcess FeatureDistance FeatureExtract FeatureExtraction FeatureExtractor FeatureExtractorFunction FeatureNames FeatureNearest FeatureSpacePlot FeatureSpacePlot3D FeatureTypes FEDisableConsolePrintPacket FeedbackLinearize FeedbackSector FeedbackSectorStyle FeedbackType FEEnableConsolePrintPacket FetalGrowthData Fibonacci Fibonorial FieldCompletionFunction FieldHint FieldHintStyle FieldMasked FieldSize File FileBaseName FileByteCount FileConvert FileDate FileExistsQ FileExtension FileFormat FileHandler FileHash FileInformation FileName FileNameDepth FileNameDialogSettings FileNameDrop FileNameForms FileNameJoin FileNames FileNameSetter FileNameSplit FileNameTake FilePrint FileSize FileSystemMap FileSystemScan FileTemplate FileTemplateApply FileType FilledCurve FilledCurveBox FilledCurveBoxOptions Filling FillingStyle FillingTransform FilteredEntityClass FilterRules FinancialBond FinancialData FinancialDerivative FinancialIndicator Find FindAnomalies FindArgMax FindArgMin FindChannels FindClique FindClusters FindCookies FindCurvePath FindCycle FindDevices FindDistribution FindDistributionParameters FindDivisions FindEdgeCover FindEdgeCut FindEdgeIndependentPaths FindEquationalProof FindEulerianCycle FindExternalEvaluators FindFaces FindFile FindFit FindFormula FindFundamentalCycles FindGeneratingFunction FindGeoLocation FindGeometricConjectures FindGeometricTransform FindGraphCommunities FindGraphIsomorphism FindGraphPartition FindHamiltonianCycle FindHamiltonianPath FindHiddenMarkovStates FindIndependentEdgeSet FindIndependentVertexSet FindInstance FindIntegerNullVector FindKClan FindKClique FindKClub FindKPlex FindLibrary FindLinearRecurrence FindList FindMatchingColor FindMaximum FindMaximumFlow FindMaxValue FindMeshDefects FindMinimum FindMinimumCostFlow FindMinimumCut FindMinValue FindMoleculeSubstructure FindPath FindPeaks FindPermutation FindPostmanTour FindProcessParameters FindRepeat FindRoot FindSequenceFunction FindSettings FindShortestPath FindShortestTour FindSpanningTree FindSystemModelEquilibrium FindTextualAnswer FindThreshold FindTransientRepeat FindVertexCover FindVertexCut FindVertexIndependentPaths Fine FinishDynamic FiniteAbelianGroupCount FiniteGroupCount FiniteGroupData First FirstCase FirstPassageTimeDistribution FirstPosition FischerGroupFi22 FischerGroupFi23 FischerGroupFi24Prime FisherHypergeometricDistribution FisherRatioTest FisherZDistribution Fit FitAll FitRegularization FittedModel FixedOrder FixedPoint FixedPointList FlashSelection Flat Flatten FlattenAt FlattenLayer FlatTopWindow FlipView Floor FlowPolynomial FlushPrintOutputPacket Fold FoldList FoldPair FoldPairList FollowRedirects Font FontColor FontFamily FontForm FontName FontOpacity FontPostScriptName FontProperties FontReencoding FontSize FontSlant FontSubstitutions FontTracking FontVariations FontWeight For ForAll Format FormatRules FormatType FormatTypeAutoConvert FormatValues FormBox FormBoxOptions FormControl FormFunction FormLayoutFunction FormObject FormPage FormTheme FormulaData FormulaLookup FortranForm Forward ForwardBackward Fourier FourierCoefficient FourierCosCoefficient FourierCosSeries FourierCosTransform FourierDCT FourierDCTFilter FourierDCTMatrix FourierDST FourierDSTMatrix FourierMatrix FourierParameters FourierSequenceTransform FourierSeries FourierSinCoefficient FourierSinSeries FourierSinTransform FourierTransform FourierTrigSeries FractionalBrownianMotionProcess FractionalGaussianNoiseProcess FractionalPart FractionBox FractionBoxOptions FractionLine Frame FrameBox FrameBoxOptions Framed FrameInset FrameLabel Frameless FrameMargins FrameRate FrameStyle FrameTicks FrameTicksStyle FRatioDistribution FrechetDistribution FreeQ FrenetSerretSystem FrequencySamplingFilterKernel FresnelC FresnelF FresnelG FresnelS Friday FrobeniusNumber FrobeniusSolve FromAbsoluteTime FromCharacterCode FromCoefficientRules FromContinuedFraction FromDate FromDigits FromDMS FromEntity FromJulianDate FromLetterNumber FromPolarCoordinates FromRomanNumeral FromSphericalCoordinates FromUnixTime Front FrontEndDynamicExpression FrontEndEventActions FrontEndExecute FrontEndObject FrontEndResource FrontEndResourceString FrontEndStackSize FrontEndToken FrontEndTokenExecute FrontEndValueCache FrontEndVersion FrontFaceColor FrontFaceOpacity Full FullAxes FullDefinition FullForm FullGraphics FullInformationOutputRegulator FullOptions FullRegion FullSimplify Function FunctionCompile FunctionCompileExport FunctionCompileExportByteArray FunctionCompileExportLibrary FunctionCompileExportString FunctionDomain FunctionExpand FunctionInterpolation FunctionPeriod FunctionRange FunctionSpace FussellVeselyImportanceGaborFilter GaborMatrix GaborWavelet GainMargins GainPhaseMargins GalaxyData GalleryView Gamma GammaDistribution GammaRegularized GapPenalty GARCHProcess GatedRecurrentLayer Gather GatherBy GaugeFaceElementFunction GaugeFaceStyle GaugeFrameElementFunction GaugeFrameSize GaugeFrameStyle GaugeLabels GaugeMarkers GaugeStyle GaussianFilter GaussianIntegers GaussianMatrix GaussianOrthogonalMatrixDistribution GaussianSymplecticMatrixDistribution GaussianUnitaryMatrixDistribution GaussianWindow GCD GegenbauerC General GeneralizedLinearModelFit GenerateAsymmetricKeyPair GenerateConditions GeneratedCell GeneratedDocumentBinding GenerateDerivedKey GenerateDigitalSignature GenerateDocument GeneratedParameters GeneratedQuantityMagnitudes GenerateHTTPResponse GenerateSecuredAuthenticationKey GenerateSymmetricKey GeneratingFunction GeneratorDescription GeneratorHistoryLength GeneratorOutputType Generic GenericCylindricalDecomposition GenomeData GenomeLookup GeoAntipode GeoArea GeoArraySize GeoBackground GeoBoundingBox GeoBounds GeoBoundsRegion GeoBubbleChart GeoCenter GeoCircle GeodesicClosing GeodesicDilation GeodesicErosion GeodesicOpening GeoDestination GeodesyData GeoDirection GeoDisk GeoDisplacement GeoDistance GeoDistanceList GeoElevationData GeoEntities GeoGraphics GeogravityModelData GeoGridDirectionDifference GeoGridLines GeoGridLinesStyle GeoGridPosition GeoGridRange GeoGridRangePadding GeoGridUnitArea GeoGridUnitDistance GeoGridVector GeoGroup GeoHemisphere GeoHemisphereBoundary GeoHistogram GeoIdentify GeoImage GeoLabels GeoLength GeoListPlot GeoLocation GeologicalPeriodData GeomagneticModelData GeoMarker GeometricAssertion GeometricBrownianMotionProcess GeometricDistribution GeometricMean GeometricMeanFilter GeometricScene GeometricTransformation GeometricTransformation3DBox GeometricTransformation3DBoxOptions GeometricTransformationBox GeometricTransformationBoxOptions GeoModel GeoNearest GeoPath GeoPosition GeoPositionENU GeoPositionXYZ GeoProjection GeoProjectionData GeoRange GeoRangePadding GeoRegionValuePlot GeoResolution GeoScaleBar GeoServer GeoSmoothHistogram GeoStreamPlot GeoStyling GeoStylingImageFunction GeoVariant GeoVector GeoVectorENU GeoVectorPlot GeoVectorXYZ GeoVisibleRegion GeoVisibleRegionBoundary GeoWithinQ GeoZoomLevel GestureHandler GestureHandlerTag Get GetBoundingBoxSizePacket GetContext GetEnvironment GetFileName GetFrontEndOptionsDataPacket GetLinebreakInformationPacket GetMenusPacket GetPageBreakInformationPacket Glaisher GlobalClusteringCoefficient GlobalPreferences GlobalSession Glow GoldenAngle GoldenRatio GompertzMakehamDistribution GoodmanKruskalGamma GoodmanKruskalGammaTest Goto Grad Gradient GradientFilter GradientOrientationFilter GrammarApply GrammarRules GrammarToken Graph Graph3D GraphAssortativity GraphAutomorphismGroup GraphCenter GraphComplement GraphData GraphDensity GraphDiameter GraphDifference GraphDisjointUnion GraphDistance GraphDistanceMatrix GraphElementData GraphEmbedding GraphHighlight GraphHighlightStyle GraphHub Graphics Graphics3D Graphics3DBox Graphics3DBoxOptions GraphicsArray GraphicsBaseline GraphicsBox GraphicsBoxOptions GraphicsColor GraphicsColumn GraphicsComplex GraphicsComplex3DBox GraphicsComplex3DBoxOptions GraphicsComplexBox GraphicsComplexBoxOptions GraphicsContents GraphicsData GraphicsGrid GraphicsGridBox GraphicsGroup GraphicsGroup3DBox GraphicsGroup3DBoxOptions GraphicsGroupBox GraphicsGroupBoxOptions GraphicsGrouping GraphicsHighlightColor GraphicsRow GraphicsSpacing GraphicsStyle GraphIntersection GraphLayout GraphLinkEfficiency GraphPeriphery GraphPlot GraphPlot3D GraphPower GraphPropertyDistribution GraphQ GraphRadius GraphReciprocity GraphRoot GraphStyle GraphUnion Gray GrayLevel Greater GreaterEqual GreaterEqualLess GreaterEqualThan GreaterFullEqual GreaterGreater GreaterLess GreaterSlantEqual GreaterThan GreaterTilde Green GreenFunction Grid GridBaseline GridBox GridBoxAlignment GridBoxBackground GridBoxDividers GridBoxFrame GridBoxItemSize GridBoxItemStyle GridBoxOptions GridBoxSpacings GridCreationSettings GridDefaultElement GridElementStyleOptions GridFrame GridFrameMargins GridGraph GridLines GridLinesStyle GroebnerBasis GroupActionBase GroupBy GroupCentralizer GroupElementFromWord GroupElementPosition GroupElementQ GroupElements GroupElementToWord GroupGenerators Groupings GroupMultiplicationTable GroupOrbits GroupOrder GroupPageBreakWithin GroupSetwiseStabilizer GroupStabilizer GroupStabilizerChain GroupTogetherGrouping GroupTogetherNestedGrouping GrowCutComponents Gudermannian GuidedFilter GumbelDistributionHaarWavelet HadamardMatrix HalfLine HalfNormalDistribution HalfPlane HalfSpace HamiltonianGraphQ HammingDistance HammingWindow HandlerFunctions HandlerFunctionsKeys HankelH1 HankelH2 HankelMatrix HankelTransform HannPoissonWindow HannWindow HaradaNortonGroupHN HararyGraph HarmonicMean HarmonicMeanFilter HarmonicNumber Hash Haversine HazardFunction Head HeadCompose HeaderLines Heads HeavisideLambda HeavisidePi HeavisideTheta HeldGroupHe HeldPart HelpBrowserLookup HelpBrowserNotebook HelpBrowserSettings Here HermiteDecomposition HermiteH HermitianMatrixQ HessenbergDecomposition Hessian HexadecimalCharacter Hexahedron HexahedronBox HexahedronBoxOptions HiddenMarkovProcess HiddenSurface Highlighted HighlightGraph HighlightImage HighlightMesh HighpassFilter HigmanSimsGroupHS HilbertCurve HilbertFilter HilbertMatrix Histogram Histogram3D HistogramDistribution HistogramList HistogramTransform HistogramTransformInterpolation HistoricalPeriodData HitMissTransform HITSCentrality HjorthDistribution HodgeDual HoeffdingD HoeffdingDTest Hold HoldAll HoldAllComplete HoldComplete HoldFirst HoldForm HoldPattern HoldRest HolidayCalendar HomeDirectory HomePage Horizontal HorizontalForm HorizontalGauge HorizontalScrollPosition HornerForm HostLookup HotellingTSquareDistribution HoytDistribution HTMLSave HTTPErrorResponse HTTPRedirect HTTPRequest HTTPRequestData HTTPResponse Hue HumanGrowthData HumpDownHump HumpEqual HurwitzLerchPhi HurwitzZeta HyperbolicDistribution HypercubeGraph HyperexponentialDistribution Hyperfactorial Hypergeometric0F1 Hypergeometric0F1Regularized Hypergeometric1F1 Hypergeometric1F1Regularized Hypergeometric2F1 Hypergeometric2F1Regularized HypergeometricDistribution HypergeometricPFQ HypergeometricPFQRegularized HypergeometricU Hyperlink HyperlinkCreationSettings Hyperplane Hyphenation HyphenationOptions HypoexponentialDistribution HypothesisTestDataI IconData Iconize IconizedObject IconRules Icosahedron Identity IdentityMatrix If IgnoreCase IgnoreDiacritics IgnorePunctuation IgnoreSpellCheck IgnoringInactive Im Image Image3D Image3DProjection Image3DSlices ImageAccumulate ImageAdd ImageAdjust ImageAlign ImageApply ImageApplyIndexed ImageAspectRatio ImageAssemble ImageAugmentationLayer ImageBoundingBoxes ImageCache ImageCacheValid ImageCapture ImageCaptureFunction ImageCases ImageChannels ImageClip ImageCollage ImageColorSpace ImageCompose ImageContainsQ ImageContents ImageConvolve ImageCooccurrence ImageCorners ImageCorrelate ImageCorrespondingPoints ImageCrop ImageData ImageDeconvolve ImageDemosaic ImageDifference ImageDimensions ImageDisplacements ImageDistance ImageEffect ImageExposureCombine ImageFeatureTrack ImageFileApply ImageFileFilter ImageFileScan ImageFilter ImageFocusCombine ImageForestingComponents ImageFormattingWidth ImageForwardTransformation ImageGraphics ImageHistogram ImageIdentify ImageInstanceQ ImageKeypoints ImageLevels ImageLines ImageMargins ImageMarker ImageMarkers ImageMeasurements ImageMesh ImageMultiply ImageOffset ImagePad ImagePadding ImagePartition ImagePeriodogram ImagePerspectiveTransformation ImagePosition ImagePreviewFunction ImagePyramid ImagePyramidApply ImageQ ImageRangeCache ImageRecolor ImageReflect ImageRegion ImageResize ImageResolution ImageRestyle ImageRotate ImageRotated ImageSaliencyFilter ImageScaled ImageScan ImageSize ImageSizeAction ImageSizeCache ImageSizeMultipliers ImageSizeRaw ImageSubtract ImageTake ImageTransformation ImageTrim ImageType ImageValue ImageValuePositions ImagingDevice ImplicitRegion Implies Import ImportAutoReplacements ImportByteArray ImportOptions ImportString ImprovementImportance In Inactivate Inactive IncidenceGraph IncidenceList IncidenceMatrix IncludeAromaticBonds IncludeConstantBasis IncludeDefinitions IncludeDirectories IncludeFileExtension IncludeGeneratorTasks IncludeHydrogens IncludeInflections IncludeMetaInformation IncludePods IncludeQuantities IncludeRelatedTables IncludeSingularTerm IncludeWindowTimes Increment IndefiniteMatrixQ Indent IndentingNewlineSpacings IndentMaxFraction IndependenceTest IndependentEdgeSetQ IndependentPhysicalQuantity IndependentUnit IndependentUnitDimension IndependentVertexSetQ Indeterminate IndeterminateThreshold IndexCreationOptions Indexed IndexGraph IndexTag Inequality InexactNumberQ InexactNumbers InfiniteLine InfinitePlane Infinity Infix InflationAdjust InflationMethod Information InformationData InformationDataGrid Inherited InheritScope InhomogeneousPoissonProcess InitialEvaluationHistory Initialization InitializationCell InitializationCellEvaluation InitializationCellWarning InitializationObjects InitializationValue Initialize InitialSeeding InlineCounterAssignments InlineCounterIncrements InlineRules Inner InnerPolygon InnerPolyhedron Inpaint Input InputAliases InputAssumptions InputAutoReplacements InputField InputFieldBox InputFieldBoxOptions InputForm InputGrouping InputNamePacket InputNotebook InputPacket InputSettings InputStream InputString InputStringPacket InputToBoxFormPacket Insert InsertionFunction InsertionPointObject InsertLinebreaks InsertResults Inset Inset3DBox Inset3DBoxOptions InsetBox InsetBoxOptions Insphere Install InstallService InstanceNormalizationLayer InString Integer IntegerDigits IntegerExponent IntegerLength IntegerName IntegerPart IntegerPartitions IntegerQ IntegerReverse Integers IntegerString Integral Integrate Interactive InteractiveTradingChart Interlaced Interleaving InternallyBalancedDecomposition InterpolatingFunction InterpolatingPolynomial Interpolation InterpolationOrder InterpolationPoints InterpolationPrecision Interpretation InterpretationBox InterpretationBoxOptions InterpretationFunction Interpreter InterpretTemplate InterquartileRange Interrupt InterruptSettings IntersectingQ Intersection Interval IntervalIntersection IntervalMarkers IntervalMarkersStyle IntervalMemberQ IntervalSlider IntervalUnion Into Inverse InverseBetaRegularized InverseCDF InverseChiSquareDistribution InverseContinuousWaveletTransform InverseDistanceTransform InverseEllipticNomeQ InverseErf InverseErfc InverseFourier InverseFourierCosTransform InverseFourierSequenceTransform InverseFourierSinTransform InverseFourierTransform InverseFunction InverseFunctions InverseGammaDistribution InverseGammaRegularized InverseGaussianDistribution InverseGudermannian InverseHankelTransform InverseHaversine InverseImagePyramid InverseJacobiCD InverseJacobiCN InverseJacobiCS InverseJacobiDC InverseJacobiDN InverseJacobiDS InverseJacobiNC InverseJacobiND InverseJacobiNS InverseJacobiSC InverseJacobiSD InverseJacobiSN InverseLaplaceTransform InverseMellinTransform InversePermutation InverseRadon InverseRadonTransform InverseSeries InverseShortTimeFourier InverseSpectrogram InverseSurvivalFunction InverseTransformedRegion InverseWaveletTransform InverseWeierstrassP InverseWishartMatrixDistribution InverseZTransform Invisible InvisibleApplication InvisibleTimes IPAddress IrreduciblePolynomialQ IslandData IsolatingInterval IsomorphicGraphQ IsotopeData Italic Item ItemAspectRatio ItemBox ItemBoxOptions ItemSize ItemStyle ItoProcessJaccardDissimilarity JacobiAmplitude Jacobian JacobiCD JacobiCN JacobiCS JacobiDC JacobiDN JacobiDS JacobiNC JacobiND JacobiNS JacobiP JacobiSC JacobiSD JacobiSN JacobiSymbol JacobiZeta JankoGroupJ1 JankoGroupJ2 JankoGroupJ3 JankoGroupJ4 JarqueBeraALMTest JohnsonDistribution Join JoinAcross Joined JoinedCurve JoinedCurveBox JoinedCurveBoxOptions JoinForm JordanDecomposition JordanModelDecomposition JulianDate JuliaSetBoettcher JuliaSetIterationCount JuliaSetPlot JuliaSetPointsK KagiChart KaiserBesselWindow KaiserWindow KalmanEstimator KalmanFilter KarhunenLoeveDecomposition KaryTree KatzCentrality KCoreComponents KDistribution KEdgeConnectedComponents KEdgeConnectedGraphQ KelvinBei KelvinBer KelvinKei KelvinKer KendallTau KendallTauTest KernelExecute KernelFunction KernelMixtureDistribution Kernels Ket Key KeyCollisionFunction KeyComplement KeyDrop KeyDropFrom KeyExistsQ KeyFreeQ KeyIntersection KeyMap KeyMemberQ KeypointStrength Keys KeySelect KeySort KeySortBy KeyTake KeyUnion KeyValueMap KeyValuePattern Khinchin KillProcess KirchhoffGraph KirchhoffMatrix KleinInvariantJ KnapsackSolve KnightTourGraph KnotData KnownUnitQ KochCurve KolmogorovSmirnovTest KroneckerDelta KroneckerModelDecomposition KroneckerProduct KroneckerSymbol KuiperTest KumaraswamyDistribution Kurtosis KuwaharaFilter KVertexConnectedComponents KVertexConnectedGraphQLABColor Label Labeled LabeledSlider LabelingFunction LabelingSize LabelStyle LabelVisibility LaguerreL LakeData LambdaComponents LambertW LaminaData LanczosWindow LandauDistribution Language LanguageCategory LanguageData LanguageIdentify LanguageOptions LaplaceDistribution LaplaceTransform Laplacian LaplacianFilter LaplacianGaussianFilter Large Larger Last Latitude LatitudeLongitude LatticeData LatticeReduce Launch LaunchKernels LayeredGraphPlot LayerSizeFunction LayoutInformation LCHColor LCM LeaderSize LeafCount LeapYearQ LearnDistribution LearnedDistribution LearningRate LearningRateMultipliers LeastSquares LeastSquaresFilterKernel Left LeftArrow LeftArrowBar LeftArrowRightArrow LeftDownTeeVector LeftDownVector LeftDownVectorBar LeftRightArrow LeftRightVector LeftTee LeftTeeArrow LeftTeeVector LeftTriangle LeftTriangleBar LeftTriangleEqual LeftUpDownVector LeftUpTeeVector LeftUpVector LeftUpVectorBar LeftVector LeftVectorBar LegendAppearance Legended LegendFunction LegendLabel LegendLayout LegendMargins LegendMarkers LegendMarkerSize LegendreP LegendreQ LegendreType Length LengthWhile LerchPhi Less LessEqual LessEqualGreater LessEqualThan LessFullEqual LessGreater LessLess LessSlantEqual LessThan LessTilde LetterCharacter LetterCounts LetterNumber LetterQ Level LeveneTest LeviCivitaTensor LevyDistribution Lexicographic LibraryDataType LibraryFunction LibraryFunctionError LibraryFunctionInformation LibraryFunctionLoad LibraryFunctionUnload LibraryLoad LibraryUnload LicenseID LiftingFilterData LiftingWaveletTransform LightBlue LightBrown LightCyan Lighter LightGray LightGreen Lighting LightingAngle LightMagenta LightOrange LightPink LightPurple LightRed LightSources LightYellow Likelihood Limit LimitsPositioning LimitsPositioningTokens LindleyDistribution Line Line3DBox Line3DBoxOptions LinearFilter LinearFractionalOptimization LinearFractionalTransform LinearGradientImage LinearizingTransformationData LinearLayer LinearModelFit LinearOffsetFunction LinearOptimization LinearProgramming LinearRecurrence LinearSolve LinearSolveFunction LineBox LineBoxOptions LineBreak LinebreakAdjustments LineBreakChart LinebreakSemicolonWeighting LineBreakWithin LineColor LineGraph LineIndent LineIndentMaxFraction LineIntegralConvolutionPlot LineIntegralConvolutionScale LineLegend LineOpacity LineSpacing LineWrapParts LinkActivate LinkClose LinkConnect LinkConnectedQ LinkCreate LinkError LinkFlush LinkFunction LinkHost LinkInterrupt LinkLaunch LinkMode LinkObject LinkOpen LinkOptions LinkPatterns LinkProtocol LinkRankCentrality LinkRead LinkReadHeld LinkReadyQ Links LinkService LinkWrite LinkWriteHeld LiouvilleLambda List Listable ListAnimate ListContourPlot ListContourPlot3D ListConvolve ListCorrelate ListCurvePathPlot ListDeconvolve ListDensityPlot ListDensityPlot3D Listen ListFormat ListFourierSequenceTransform ListInterpolation ListLineIntegralConvolutionPlot ListLinePlot ListLogLinearPlot ListLogLogPlot ListLogPlot ListPicker ListPickerBox ListPickerBoxBackground ListPickerBoxOptions ListPlay ListPlot ListPlot3D ListPointPlot3D ListPolarPlot ListQ ListSliceContourPlot3D ListSliceDensityPlot3D ListSliceVectorPlot3D ListStepPlot ListStreamDensityPlot ListStreamPlot ListSurfacePlot3D ListVectorDensityPlot ListVectorPlot ListVectorPlot3D ListZTransform Literal LiteralSearch LocalAdaptiveBinarize LocalCache LocalClusteringCoefficient LocalizeDefinitions LocalizeVariables LocalObject LocalObjects LocalResponseNormalizationLayer LocalSubmit LocalSymbol LocalTime LocalTimeZone LocationEquivalenceTest LocationTest Locator LocatorAutoCreate LocatorBox LocatorBoxOptions LocatorCentering LocatorPane LocatorPaneBox LocatorPaneBoxOptions LocatorRegion Locked Log Log10 Log2 LogBarnesG LogGamma LogGammaDistribution LogicalExpand LogIntegral LogisticDistribution LogisticSigmoid LogitModelFit LogLikelihood LogLinearPlot LogLogisticDistribution LogLogPlot LogMultinormalDistribution LogNormalDistribution LogPlot LogRankTest LogSeriesDistribution LongEqual Longest LongestCommonSequence LongestCommonSequencePositions LongestCommonSubsequence LongestCommonSubsequencePositions LongestMatch LongestOrderedSequence LongForm Longitude LongLeftArrow LongLeftRightArrow LongRightArrow LongShortTermMemoryLayer Lookup Loopback LoopFreeGraphQ LossFunction LowerCaseQ LowerLeftArrow LowerRightArrow LowerTriangularize LowerTriangularMatrixQ LowpassFilter LQEstimatorGains LQGRegulator LQOutputRegulatorGains LQRegulatorGains LUBackSubstitution LucasL LuccioSamiComponents LUDecomposition LunarEclipse LUVColor LyapunovSolve LyonsGroupLyMachineID MachineName MachineNumberQ MachinePrecision MacintoshSystemPageSetup Magenta Magnification Magnify MailAddressValidation MailExecute MailFolder MailItem MailReceiverFunction MailResponseFunction MailSearch MailServerConnect MailServerConnection MailSettings MainSolve MaintainDynamicCaches Majority MakeBoxes MakeExpression MakeRules ManagedLibraryExpressionID ManagedLibraryExpressionQ MandelbrotSetBoettcher MandelbrotSetDistance MandelbrotSetIterationCount MandelbrotSetMemberQ MandelbrotSetPlot MangoldtLambda ManhattanDistance Manipulate Manipulator MannedSpaceMissionData MannWhitneyTest MantissaExponent Manual Map MapAll MapAt MapIndexed MAProcess MapThread MarchenkoPasturDistribution MarcumQ MardiaCombinedTest MardiaKurtosisTest MardiaSkewnessTest MarginalDistribution MarkovProcessProperties Masking MatchingDissimilarity MatchLocalNameQ MatchLocalNames MatchQ Material MathematicalFunctionData MathematicaNotation MathieuC MathieuCharacteristicA MathieuCharacteristicB MathieuCharacteristicExponent MathieuCPrime MathieuGroupM11 MathieuGroupM12 MathieuGroupM22 MathieuGroupM23 MathieuGroupM24 MathieuS MathieuSPrime MathMLForm MathMLText Matrices MatrixExp MatrixForm MatrixFunction MatrixLog MatrixNormalDistribution MatrixPlot MatrixPower MatrixPropertyDistribution MatrixQ MatrixRank MatrixTDistribution Max MaxBend MaxCellMeasure MaxColorDistance MaxDetect MaxDuration MaxExtraBandwidths MaxExtraConditions MaxFeatureDisplacement MaxFeatures MaxFilter MaximalBy Maximize MaxItems MaxIterations MaxLimit MaxMemoryUsed MaxMixtureKernels MaxOverlapFraction MaxPlotPoints MaxPoints MaxRecursion MaxStableDistribution MaxStepFraction MaxSteps MaxStepSize MaxTrainingRounds MaxValue MaxwellDistribution MaxWordGap McLaughlinGroupMcL Mean MeanAbsoluteLossLayer MeanAround MeanClusteringCoefficient MeanDegreeConnectivity MeanDeviation MeanFilter MeanGraphDistance MeanNeighborDegree MeanShift MeanShiftFilter MeanSquaredLossLayer Median MedianDeviation MedianFilter MedicalTestData Medium MeijerG MeijerGReduce MeixnerDistribution MellinConvolve MellinTransform MemberQ MemoryAvailable MemoryConstrained MemoryConstraint MemoryInUse MengerMesh Menu MenuAppearance MenuCommandKey MenuEvaluator MenuItem MenuList MenuPacket MenuSortingValue MenuStyle MenuView Merge MergeDifferences MergingFunction MersennePrimeExponent MersennePrimeExponentQ Mesh MeshCellCentroid MeshCellCount MeshCellHighlight MeshCellIndex MeshCellLabel MeshCellMarker MeshCellMeasure MeshCellQuality MeshCells MeshCellShapeFunction MeshCellStyle MeshCoordinates MeshFunctions MeshPrimitives MeshQualityGoal MeshRange MeshRefinementFunction MeshRegion MeshRegionQ MeshShading MeshStyle Message MessageDialog MessageList MessageName MessageObject MessageOptions MessagePacket Messages MessagesNotebook MetaCharacters MetaInformation MeteorShowerData Method MethodOptions MexicanHatWavelet MeyerWavelet Midpoint Min MinColorDistance MinDetect MineralData MinFilter MinimalBy MinimalPolynomial MinimalStateSpaceModel Minimize MinimumTimeIncrement MinIntervalSize MinkowskiQuestionMark MinLimit MinMax MinorPlanetData Minors MinRecursion MinSize MinStableDistribution Minus MinusPlus MinValue Missing MissingBehavior MissingDataMethod MissingDataRules MissingQ MissingString MissingStyle MissingValuePattern MittagLefflerE MixedFractionParts MixedGraphQ MixedMagnitude MixedRadix MixedRadixQuantity MixedUnit MixtureDistribution Mod Modal Mode Modular ModularInverse ModularLambda Module Modulus MoebiusMu Molecule MoleculeContainsQ MoleculeEquivalentQ MoleculeGraph MoleculeModify MoleculePattern MoleculePlot MoleculePlot3D MoleculeProperty MoleculeQ MoleculeValue Moment Momentary MomentConvert MomentEvaluate MomentGeneratingFunction MomentOfInertia Monday Monitor MonomialList MonomialOrder MonsterGroupM MoonPhase MoonPosition MorletWavelet MorphologicalBinarize MorphologicalBranchPoints MorphologicalComponents MorphologicalEulerNumber MorphologicalGraph MorphologicalPerimeter MorphologicalTransform MortalityData Most MountainData MouseAnnotation MouseAppearance MouseAppearanceTag MouseButtons Mouseover MousePointerNote MousePosition MovieData MovingAverage MovingMap MovingMedian MoyalDistribution Multicolumn MultiedgeStyle MultigraphQ MultilaunchWarning MultiLetterItalics MultiLetterStyle MultilineFunction Multinomial MultinomialDistribution MultinormalDistribution MultiplicativeOrder Multiplicity MultiplySides Multiselection MultivariateHypergeometricDistribution MultivariatePoissonDistribution MultivariateTDistributionN NakagamiDistribution NameQ Names NamespaceBox NamespaceBoxOptions Nand NArgMax NArgMin NBernoulliB NBodySimulation NBodySimulationData NCache NDEigensystem NDEigenvalues NDSolve NDSolveValue Nearest NearestFunction NearestNeighborGraph NearestTo NebulaData NeedCurrentFrontEndPackagePacket NeedCurrentFrontEndSymbolsPacket NeedlemanWunschSimilarity Needs Negative NegativeBinomialDistribution NegativeDefiniteMatrixQ NegativeIntegers NegativeMultinomialDistribution NegativeRationals NegativeReals NegativeSemidefiniteMatrixQ NeighborhoodData NeighborhoodGraph Nest NestedGreaterGreater NestedLessLess NestedScriptRules NestGraph NestList NestWhile NestWhileList NetAppend NetBidirectionalOperator NetChain NetDecoder NetDelete NetDrop NetEncoder NetEvaluationMode NetExtract NetFlatten NetFoldOperator NetGraph NetInformation NetInitialize NetInsert NetInsertSharedArrays NetJoin NetMapOperator NetMapThreadOperator NetMeasurements NetModel NetNestOperator NetPairEmbeddingOperator NetPort NetPortGradient NetPrepend NetRename NetReplace NetReplacePart NetSharedArray NetStateObject NetTake NetTrain NetTrainResultsObject NetworkPacketCapture NetworkPacketRecording NetworkPacketRecordingDuring NetworkPacketTrace NeumannValue NevilleThetaC NevilleThetaD NevilleThetaN NevilleThetaS NewPrimitiveStyle NExpectation Next NextCell NextDate NextPrime NextScheduledTaskTime NHoldAll NHoldFirst NHoldRest NicholsGridLines NicholsPlot NightHemisphere NIntegrate NMaximize NMaxValue NMinimize NMinValue NominalVariables NonAssociative NoncentralBetaDistribution NoncentralChiSquareDistribution NoncentralFRatioDistribution NoncentralStudentTDistribution NonCommutativeMultiply NonConstants NondimensionalizationTransform None NoneTrue NonlinearModelFit NonlinearStateSpaceModel NonlocalMeansFilter NonNegative NonNegativeIntegers NonNegativeRationals NonNegativeReals NonPositive NonPositiveIntegers NonPositiveRationals NonPositiveReals Nor NorlundB Norm Normal NormalDistribution NormalGrouping NormalizationLayer Normalize Normalized NormalizedSquaredEuclideanDistance NormalMatrixQ NormalsFunction NormFunction Not NotCongruent NotCupCap NotDoubleVerticalBar Notebook NotebookApply NotebookAutoSave NotebookClose NotebookConvertSettings NotebookCreate NotebookCreateReturnObject NotebookDefault NotebookDelete NotebookDirectory NotebookDynamicExpression NotebookEvaluate NotebookEventActions NotebookFileName NotebookFind NotebookFindReturnObject NotebookGet NotebookGetLayoutInformationPacket NotebookGetMisspellingsPacket NotebookImport NotebookInformation NotebookInterfaceObject NotebookLocate NotebookObject NotebookOpen NotebookOpenReturnObject NotebookPath NotebookPrint NotebookPut NotebookPutReturnObject NotebookRead NotebookResetGeneratedCells Notebooks NotebookSave NotebookSaveAs NotebookSelection NotebookSetupLayoutInformationPacket NotebooksMenu NotebookTemplate NotebookWrite NotElement NotEqualTilde NotExists NotGreater NotGreaterEqual NotGreaterFullEqual NotGreaterGreater NotGreaterLess NotGreaterSlantEqual NotGreaterTilde Nothing NotHumpDownHump NotHumpEqual NotificationFunction NotLeftTriangle NotLeftTriangleBar NotLeftTriangleEqual NotLess NotLessEqual NotLessFullEqual NotLessGreater NotLessLess NotLessSlantEqual NotLessTilde NotNestedGreaterGreater NotNestedLessLess NotPrecedes NotPrecedesEqual NotPrecedesSlantEqual NotPrecedesTilde NotReverseElement NotRightTriangle NotRightTriangleBar NotRightTriangleEqual NotSquareSubset NotSquareSubsetEqual NotSquareSuperset NotSquareSupersetEqual NotSubset NotSubsetEqual NotSucceeds NotSucceedsEqual NotSucceedsSlantEqual NotSucceedsTilde NotSuperset NotSupersetEqual NotTilde NotTildeEqual NotTildeFullEqual NotTildeTilde NotVerticalBar Now NoWhitespace NProbability NProduct NProductFactors NRoots NSolve NSum NSumTerms NuclearExplosionData NuclearReactorData Null NullRecords NullSpace NullWords Number NumberCompose NumberDecompose NumberExpand NumberFieldClassNumber NumberFieldDiscriminant NumberFieldFundamentalUnits NumberFieldIntegralBasis NumberFieldNormRepresentatives NumberFieldRegulator NumberFieldRootsOfUnity NumberFieldSignature NumberForm NumberFormat NumberLinePlot NumberMarks NumberMultiplier NumberPadding NumberPoint NumberQ NumberSeparator NumberSigns NumberString Numerator NumeratorDenominator NumericalOrder NumericalSort NumericArray NumericArrayQ NumericArrayType NumericFunction NumericQ NuttallWindow NValues NyquistGridLines NyquistPlotO ObservabilityGramian ObservabilityMatrix ObservableDecomposition ObservableModelQ OceanData Octahedron OddQ Off Offset OLEData On ONanGroupON Once OneIdentity Opacity OpacityFunction OpacityFunctionScaling Open OpenAppend Opener OpenerBox OpenerBoxOptions OpenerView OpenFunctionInspectorPacket Opening OpenRead OpenSpecialOptions OpenTemporary OpenWrite Operate OperatingSystem OptimumFlowData Optional OptionalElement OptionInspectorSettings OptionQ Options OptionsPacket OptionsPattern OptionValue OptionValueBox OptionValueBoxOptions Or Orange Order OrderDistribution OrderedQ Ordering OrderingBy OrderingLayer Orderless OrderlessPatternSequence OrnsteinUhlenbeckProcess Orthogonalize OrthogonalMatrixQ Out Outer OuterPolygon OuterPolyhedron OutputAutoOverwrite OutputControllabilityMatrix OutputControllableModelQ OutputForm OutputFormData OutputGrouping OutputMathEditExpression OutputNamePacket OutputResponse OutputSizeLimit OutputStream Over OverBar OverDot Overflow OverHat Overlaps Overlay OverlayBox OverlayBoxOptions Overscript OverscriptBox OverscriptBoxOptions OverTilde OverVector OverwriteTarget OwenT OwnValuesPackage PackingMethod PaddedForm Padding PaddingLayer PaddingSize PadeApproximant PadLeft PadRight PageBreakAbove PageBreakBelow PageBreakWithin PageFooterLines PageFooters PageHeaderLines PageHeaders PageHeight PageRankCentrality PageTheme PageWidth Pagination PairedBarChart PairedHistogram PairedSmoothHistogram PairedTTest PairedZTest PaletteNotebook PalettePath PalindromeQ Pane PaneBox PaneBoxOptions Panel PanelBox PanelBoxOptions Paneled PaneSelector PaneSelectorBox PaneSelectorBoxOptions PaperWidth ParabolicCylinderD ParagraphIndent ParagraphSpacing ParallelArray ParallelCombine ParallelDo Parallelepiped ParallelEvaluate Parallelization Parallelize ParallelMap ParallelNeeds Parallelogram ParallelProduct ParallelSubmit ParallelSum ParallelTable ParallelTry Parameter ParameterEstimator ParameterMixtureDistribution ParameterVariables ParametricFunction ParametricNDSolve ParametricNDSolveValue ParametricPlot ParametricPlot3D ParametricRegion ParentBox ParentCell ParentConnect ParentDirectory ParentForm Parenthesize ParentList ParentNotebook ParetoDistribution ParetoPickandsDistribution ParkData Part PartBehavior PartialCorrelationFunction PartialD ParticleAcceleratorData ParticleData Partition PartitionGranularity PartitionsP PartitionsQ PartLayer PartOfSpeech PartProtection ParzenWindow PascalDistribution PassEventsDown PassEventsUp Paste PasteAutoQuoteCharacters PasteBoxFormInlineCells PasteButton Path PathGraph PathGraphQ Pattern PatternSequence PatternTest PauliMatrix PaulWavelet Pause PausedTime PDF PeakDetect PeanoCurve PearsonChiSquareTest PearsonCorrelationTest PearsonDistribution PercentForm PerfectNumber PerfectNumberQ PerformanceGoal Perimeter PeriodicBoundaryCondition PeriodicInterpolation Periodogram PeriodogramArray Permanent Permissions PermissionsGroup PermissionsGroupMemberQ PermissionsGroups PermissionsKey PermissionsKeys PermutationCycles PermutationCyclesQ PermutationGroup PermutationLength PermutationList PermutationListQ PermutationMax PermutationMin PermutationOrder PermutationPower PermutationProduct PermutationReplace Permutations PermutationSupport Permute PeronaMalikFilter Perpendicular PerpendicularBisector PersistenceLocation PersistenceTime PersistentObject PersistentObjects PersistentValue PersonData PERTDistribution PetersenGraph PhaseMargins PhaseRange PhysicalSystemData Pi Pick PIDData PIDDerivativeFilter PIDFeedforward PIDTune Piecewise PiecewiseExpand PieChart PieChart3D PillaiTrace PillaiTraceTest PingTime Pink PitchRecognize Pivoting PixelConstrained PixelValue PixelValuePositions Placed Placeholder PlaceholderReplace Plain PlanarAngle PlanarGraph PlanarGraphQ PlanckRadiationLaw PlaneCurveData PlanetaryMoonData PlanetData PlantData Play PlayRange Plot Plot3D Plot3Matrix PlotDivision PlotJoined PlotLabel PlotLabels PlotLayout PlotLegends PlotMarkers PlotPoints PlotRange PlotRangeClipping PlotRangeClipPlanesStyle PlotRangePadding PlotRegion PlotStyle PlotTheme Pluralize Plus PlusMinus Pochhammer PodStates PodWidth Point Point3DBox Point3DBoxOptions PointBox PointBoxOptions PointFigureChart PointLegend PointSize PoissonConsulDistribution PoissonDistribution PoissonProcess PoissonWindow PolarAxes PolarAxesOrigin PolarGridLines PolarPlot PolarTicks PoleZeroMarkers PolyaAeppliDistribution PolyGamma Polygon Polygon3DBox Polygon3DBoxOptions PolygonalNumber PolygonAngle PolygonBox PolygonBoxOptions PolygonCoordinates PolygonDecomposition PolygonHoleScale PolygonIntersections PolygonScale Polyhedron PolyhedronAngle PolyhedronCoordinates PolyhedronData PolyhedronDecomposition PolyhedronGenus PolyLog PolynomialExtendedGCD PolynomialForm PolynomialGCD PolynomialLCM PolynomialMod PolynomialQ PolynomialQuotient PolynomialQuotientRemainder PolynomialReduce PolynomialRemainder Polynomials PoolingLayer PopupMenu PopupMenuBox PopupMenuBoxOptions PopupView PopupWindow Position PositionIndex Positive PositiveDefiniteMatrixQ PositiveIntegers PositiveRationals PositiveReals PositiveSemidefiniteMatrixQ PossibleZeroQ Postfix PostScript Power PowerDistribution PowerExpand PowerMod PowerModList PowerRange PowerSpectralDensity PowersRepresentations PowerSymmetricPolynomial Precedence PrecedenceForm Precedes PrecedesEqual PrecedesSlantEqual PrecedesTilde Precision PrecisionGoal PreDecrement Predict PredictionRoot PredictorFunction PredictorInformation PredictorMeasurements PredictorMeasurementsObject PreemptProtect PreferencesPath Prefix PreIncrement Prepend PrependLayer PrependTo PreprocessingRules PreserveColor PreserveImageOptions Previous PreviousCell PreviousDate PriceGraphDistribution PrimaryPlaceholder Prime PrimeNu PrimeOmega PrimePi PrimePowerQ PrimeQ Primes PrimeZetaP PrimitivePolynomialQ PrimitiveRoot PrimitiveRootList PrincipalComponents PrincipalValue Print PrintableASCIIQ PrintAction PrintForm PrintingCopies PrintingOptions PrintingPageRange PrintingStartingPageNumber PrintingStyleEnvironment Printout3D Printout3DPreviewer PrintPrecision PrintTemporary Prism PrismBox PrismBoxOptions PrivateCellOptions PrivateEvaluationOptions PrivateFontOptions PrivateFrontEndOptions PrivateKey PrivateNotebookOptions PrivatePaths Probability ProbabilityDistribution ProbabilityPlot ProbabilityPr ProbabilityScalePlot ProbitModelFit ProcessConnection ProcessDirectory ProcessEnvironment Processes ProcessEstimator ProcessInformation ProcessObject ProcessParameterAssumptions ProcessParameterQ ProcessStateDomain ProcessStatus ProcessTimeDomain Product ProductDistribution ProductLog ProgressIndicator ProgressIndicatorBox ProgressIndicatorBoxOptions Projection Prolog PromptForm ProofObject Properties Property PropertyList PropertyValue Proportion Proportional Protect Protected ProteinData Pruning PseudoInverse PsychrometricPropertyData PublicKey PublisherID PulsarData PunctuationCharacter Purple Put PutAppend Pyramid PyramidBox PyramidBoxOptionsQBinomial QFactorial QGamma QHypergeometricPFQ QnDispersion QPochhammer QPolyGamma QRDecomposition QuadraticIrrationalQ QuadraticOptimization Quantile QuantilePlot Quantity QuantityArray QuantityDistribution QuantityForm QuantityMagnitude QuantityQ QuantityUnit QuantityVariable QuantityVariableCanonicalUnit QuantityVariableDimensions QuantityVariableIdentifier QuantityVariablePhysicalQuantity Quartics QuartileDeviation Quartiles QuartileSkewness Query QueueingNetworkProcess QueueingProcess QueueProperties Quiet Quit Quotient QuotientRemainderRadialGradientImage RadialityCentrality RadicalBox RadicalBoxOptions RadioButton RadioButtonBar RadioButtonBox RadioButtonBoxOptions Radon RadonTransform RamanujanTau RamanujanTauL RamanujanTauTheta RamanujanTauZ Ramp Random RandomChoice RandomColor RandomComplex RandomEntity RandomFunction RandomGeoPosition RandomGraph RandomImage RandomInstance RandomInteger RandomPermutation RandomPoint RandomPolygon RandomPolyhedron RandomPrime RandomReal RandomSample RandomSeed RandomSeeding RandomVariate RandomWalkProcess RandomWord Range RangeFilter RangeSpecification RankedMax RankedMin RarerProbability Raster Raster3D Raster3DBox Raster3DBoxOptions RasterArray RasterBox RasterBoxOptions Rasterize RasterSize Rational RationalFunctions Rationalize Rationals Ratios RawArray RawBoxes RawData RawMedium RayleighDistribution Re Read ReadByteArray ReadLine ReadList ReadProtected ReadString Real RealAbs RealBlockDiagonalForm RealDigits RealExponent Reals RealSign Reap RecognitionPrior RecognitionThreshold Record RecordLists RecordSeparators Rectangle RectangleBox RectangleBoxOptions RectangleChart RectangleChart3D RectangularRepeatingElement RecurrenceFilter RecurrenceTable RecurringDigitsForm Red Reduce RefBox ReferenceLineStyle ReferenceMarkers ReferenceMarkerStyle Refine ReflectionMatrix ReflectionTransform Refresh RefreshRate Region RegionBinarize RegionBoundary RegionBounds RegionCentroid RegionDifference RegionDimension RegionDisjoint RegionDistance RegionDistanceFunction RegionEmbeddingDimension RegionEqual RegionFunction RegionImage RegionIntersection RegionMeasure RegionMember RegionMemberFunction RegionMoment RegionNearest RegionNearestFunction RegionPlot RegionPlot3D RegionProduct RegionQ RegionResize RegionSize RegionSymmetricDifference RegionUnion RegionWithin RegisterExternalEvaluator RegularExpression Regularization RegularlySampledQ RegularPolygon ReIm ReImLabels ReImPlot ReImStyle Reinstall RelationalDatabase RelationGraph Release ReleaseHold ReliabilityDistribution ReliefImage ReliefPlot RemoteAuthorizationCaching RemoteConnect RemoteConnectionObject RemoteFile RemoteRun RemoteRunProcess Remove RemoveAlphaChannel RemoveAsynchronousTask RemoveAudioStream RemoveBackground RemoveChannelListener RemoveChannelSubscribers Removed RemoveDiacritics RemoveInputStreamMethod RemoveOutputStreamMethod RemoveProperty RemoveScheduledTask RemoveUsers RenameDirectory RenameFile RenderAll RenderingOptions RenewalProcess RenkoChart RepairMesh Repeated RepeatedNull RepeatedString RepeatedTiming RepeatingElement Replace ReplaceAll ReplaceHeldPart ReplaceImageValue ReplaceList ReplacePart ReplacePixelValue ReplaceRepeated ReplicateLayer RequiredPhysicalQuantities Resampling ResamplingAlgorithmData ResamplingMethod Rescale RescalingTransform ResetDirectory ResetMenusPacket ResetScheduledTask ReshapeLayer Residue ResizeLayer Resolve ResourceAcquire ResourceData ResourceFunction ResourceObject ResourceRegister ResourceRemove ResourceSearch ResourceSubmissionObject ResourceSubmit ResourceSystemBase ResourceUpdate ResponseForm Rest RestartInterval Restricted Resultant ResumePacket Return ReturnEntersInput ReturnExpressionPacket ReturnInputFormPacket ReturnPacket ReturnReceiptFunction ReturnTextPacket Reverse ReverseBiorthogonalSplineWavelet ReverseElement ReverseEquilibrium ReverseGraph ReverseSort ReverseSortBy ReverseUpEquilibrium RevolutionAxis RevolutionPlot3D RGBColor RiccatiSolve RiceDistribution RidgeFilter RiemannR RiemannSiegelTheta RiemannSiegelZ RiemannXi Riffle Right RightArrow RightArrowBar RightArrowLeftArrow RightComposition RightCosetRepresentative RightDownTeeVector RightDownVector RightDownVectorBar RightTee RightTeeArrow RightTeeVector RightTriangle RightTriangleBar RightTriangleEqual RightUpDownVector RightUpTeeVector RightUpVector RightUpVectorBar RightVector RightVectorBar RiskAchievementImportance RiskReductionImportance RogersTanimotoDissimilarity RollPitchYawAngles RollPitchYawMatrix RomanNumeral Root RootApproximant RootIntervals RootLocusPlot RootMeanSquare RootOfUnityQ RootReduce Roots RootSum Rotate RotateLabel RotateLeft RotateRight RotationAction RotationBox RotationBoxOptions RotationMatrix RotationTransform Round RoundImplies RoundingRadius Row RowAlignments RowBackgrounds RowBox RowHeights RowLines RowMinHeight RowReduce RowsEqual RowSpacings RSolve RSolveValue RudinShapiro RudvalisGroupRu Rule RuleCondition RuleDelayed RuleForm RulePlot RulerUnits Run RunProcess RunScheduledTask RunThrough RuntimeAttributes RuntimeOptions RussellRaoDissimilaritySameQ SameTest SampledEntityClass SampleDepth SampledSoundFunction SampledSoundList SampleRate SamplingPeriod SARIMAProcess SARMAProcess SASTriangle SatelliteData SatisfiabilityCount SatisfiabilityInstances SatisfiableQ Saturday Save Saveable SaveAutoDelete SaveConnection SaveDefinitions SavitzkyGolayMatrix SawtoothWave Scale Scaled ScaleDivisions ScaledMousePosition ScaleOrigin ScalePadding ScaleRanges ScaleRangeStyle ScalingFunctions ScalingMatrix ScalingTransform Scan ScheduledTask ScheduledTaskActiveQ ScheduledTaskInformation ScheduledTaskInformationData ScheduledTaskObject ScheduledTasks SchurDecomposition ScientificForm ScientificNotationThreshold ScorerGi ScorerGiPrime ScorerHi ScorerHiPrime ScreenRectangle ScreenStyleEnvironment ScriptBaselineShifts ScriptForm ScriptLevel ScriptMinSize ScriptRules ScriptSizeMultipliers Scrollbars ScrollingOptions ScrollPosition SearchAdjustment SearchIndexObject SearchIndices SearchQueryString SearchResultObject Sec Sech SechDistribution SecondOrderConeOptimization SectionGrouping SectorChart SectorChart3D SectorOrigin SectorSpacing SecuredAuthenticationKey SecuredAuthenticationKeys SeedRandom Select Selectable SelectComponents SelectedCells SelectedNotebook SelectFirst Selection SelectionAnimate SelectionCell SelectionCellCreateCell SelectionCellDefaultStyle SelectionCellParentStyle SelectionCreateCell SelectionDebuggerTag SelectionDuplicateCell SelectionEvaluate SelectionEvaluateCreateCell SelectionMove SelectionPlaceholder SelectionSetStyle SelectWithContents SelfLoops SelfLoopStyle SemanticImport SemanticImportString SemanticInterpretation SemialgebraicComponentInstances SemidefiniteOptimization SendMail SendMessage Sequence SequenceAlignment SequenceAttentionLayer SequenceCases SequenceCount SequenceFold SequenceFoldList SequenceForm SequenceHold SequenceLastLayer SequenceMostLayer SequencePosition SequencePredict SequencePredictorFunction SequenceReplace SequenceRestLayer SequenceReverseLayer SequenceSplit Series SeriesCoefficient SeriesData ServiceConnect ServiceDisconnect ServiceExecute ServiceObject ServiceRequest ServiceResponse ServiceSubmit SessionSubmit SessionTime Set SetAccuracy SetAlphaChannel SetAttributes Setbacks SetBoxFormNamesPacket SetCloudDirectory SetCookies SetDelayed SetDirectory SetEnvironment SetEvaluationNotebook SetFileDate SetFileLoadingContext SetNotebookStatusLine SetOptions SetOptionsPacket SetPermissions SetPrecision SetProperty SetSecuredAuthenticationKey SetSelectedNotebook SetSharedFunction SetSharedVariable SetSpeechParametersPacket SetStreamPosition SetSystemModel SetSystemOptions Setter SetterBar SetterBox SetterBoxOptions Setting SetUsers SetValue Shading Shallow ShannonWavelet ShapiroWilkTest Share SharingList Sharpen ShearingMatrix ShearingTransform ShellRegion ShenCastanMatrix ShiftedGompertzDistribution ShiftRegisterSequence Short ShortDownArrow Shortest ShortestMatch ShortestPathFunction ShortLeftArrow ShortRightArrow ShortTimeFourier ShortTimeFourierData ShortUpArrow Show ShowAutoConvert ShowAutoSpellCheck ShowAutoStyles ShowCellBracket ShowCellLabel ShowCellTags ShowClosedCellArea ShowCodeAssist ShowContents ShowControls ShowCursorTracker ShowGroupOpenCloseIcon ShowGroupOpener ShowInvisibleCharacters ShowPageBreaks ShowPredictiveInterface ShowSelection ShowShortBoxForm ShowSpecialCharacters ShowStringCharacters ShowSyntaxStyles ShrinkingDelay ShrinkWrapBoundingBox SiderealTime SiegelTheta SiegelTukeyTest SierpinskiCurve SierpinskiMesh Sign Signature SignedRankTest SignedRegionDistance SignificanceLevel SignPadding SignTest SimilarityRules SimpleGraph SimpleGraphQ SimplePolygonQ SimplePolyhedronQ Simplex Simplify Sin Sinc SinghMaddalaDistribution SingleEvaluation SingleLetterItalics SingleLetterStyle SingularValueDecomposition SingularValueList SingularValuePlot SingularValues Sinh SinhIntegral SinIntegral SixJSymbol Skeleton SkeletonTransform SkellamDistribution Skewness SkewNormalDistribution SkinStyle Skip SliceContourPlot3D SliceDensityPlot3D SliceDistribution SliceVectorPlot3D Slider Slider2D Slider2DBox Slider2DBoxOptions SliderBox SliderBoxOptions SlideView Slot SlotSequence Small SmallCircle Smaller SmithDecomposition SmithDelayCompensator SmithWatermanSimilarity SmoothDensityHistogram SmoothHistogram SmoothHistogram3D SmoothKernelDistribution SnDispersion Snippet SnubPolyhedron SocialMediaData Socket SocketConnect SocketListen SocketListener SocketObject SocketOpen SocketReadMessage SocketReadyQ Sockets SocketWaitAll SocketWaitNext SoftmaxLayer SokalSneathDissimilarity SolarEclipse SolarSystemFeatureData SolidAngle SolidData SolidRegionQ Solve SolveAlways SolveDelayed Sort SortBy SortedBy SortedEntityClass Sound SoundAndGraphics SoundNote SoundVolume SourceLink Sow Space SpaceCurveData SpaceForm Spacer Spacings Span SpanAdjustments SpanCharacterRounding SpanFromAbove SpanFromBoth SpanFromLeft SpanLineThickness SpanMaxSize SpanMinSize SpanningCharacters SpanSymmetric SparseArray SpatialGraphDistribution SpatialMedian SpatialTransformationLayer Speak SpeakTextPacket SpearmanRankTest SpearmanRho SpeciesData SpecificityGoal SpectralLineData Spectrogram SpectrogramArray Specularity SpeechRecognize SpeechSynthesize SpellingCorrection SpellingCorrectionList SpellingDictionaries SpellingDictionariesPath SpellingOptions SpellingSuggestionsPacket Sphere SphereBox SpherePoints SphericalBesselJ SphericalBesselY SphericalHankelH1 SphericalHankelH2 SphericalHarmonicY SphericalPlot3D SphericalRegion SphericalShell SpheroidalEigenvalue SpheroidalJoiningFactor SpheroidalPS SpheroidalPSPrime SpheroidalQS SpheroidalQSPrime SpheroidalRadialFactor SpheroidalS1 SpheroidalS1Prime SpheroidalS2 SpheroidalS2Prime Splice SplicedDistribution SplineClosed SplineDegree SplineKnots SplineWeights Split SplitBy SpokenString Sqrt SqrtBox SqrtBoxOptions Square SquaredEuclideanDistance SquareFreeQ SquareIntersection SquareMatrixQ SquareRepeatingElement SquaresR SquareSubset SquareSubsetEqual SquareSuperset SquareSupersetEqual SquareUnion SquareWave SSSTriangle StabilityMargins StabilityMarginsStyle StableDistribution Stack StackBegin StackComplete StackedDateListPlot StackedListPlot StackInhibit StadiumShape StandardAtmosphereData StandardDeviation StandardDeviationFilter StandardForm Standardize Standardized StandardOceanData StandbyDistribution Star StarClusterData StarData StarGraph StartAsynchronousTask StartExternalSession StartingStepSize StartOfLine StartOfString StartProcess StartScheduledTask StartupSound StartWebSession StateDimensions StateFeedbackGains StateOutputEstimator StateResponse StateSpaceModel StateSpaceRealization StateSpaceTransform StateTransformationLinearize StationaryDistribution StationaryWaveletPacketTransform StationaryWaveletTransform StatusArea StatusCentrality StepMonitor StereochemistryElements StieltjesGamma StirlingS1 StirlingS2 StopAsynchronousTask StoppingPowerData StopScheduledTask StrataVariables StratonovichProcess StreamColorFunction StreamColorFunctionScaling StreamDensityPlot StreamMarkers StreamPlot StreamPoints StreamPosition Streams StreamScale StreamStyle String StringBreak StringByteCount StringCases StringContainsQ StringCount StringDelete StringDrop StringEndsQ StringExpression StringExtract StringForm StringFormat StringFreeQ StringInsert StringJoin StringLength StringMatchQ StringPadLeft StringPadRight StringPart StringPartition StringPosition StringQ StringRepeat StringReplace StringReplaceList StringReplacePart StringReverse StringRiffle StringRotateLeft StringRotateRight StringSkeleton StringSplit StringStartsQ StringTake StringTemplate StringToByteArray StringToStream StringTrim StripBoxes StripOnInput StripWrapperBoxes StrokeForm StructuralImportance StructuredArray StructuredSelection StruveH StruveL Stub StudentTDistribution Style StyleBox StyleBoxAutoDelete StyleData StyleDefinitions StyleForm StyleHints StyleKeyMapping StyleMenuListing StyleNameDialogSettings StyleNames StylePrint StyleSheetPath Subdivide Subfactorial Subgraph SubMinus SubPlus SubresultantPolynomialRemainders SubresultantPolynomials Subresultants Subscript SubscriptBox SubscriptBoxOptions Subscripted Subsequences Subset SubsetEqual SubsetMap SubsetQ Subsets SubStar SubstitutionSystem Subsuperscript SubsuperscriptBox SubsuperscriptBoxOptions Subtract SubtractFrom SubtractSides SubValues Succeeds SucceedsEqual SucceedsSlantEqual SucceedsTilde Success SuchThat Sum SumConvergence SummationLayer Sunday SunPosition Sunrise Sunset SuperDagger SuperMinus SupernovaData SuperPlus Superscript SuperscriptBox SuperscriptBoxOptions Superset SupersetEqual SuperStar Surd SurdForm SurfaceArea SurfaceColor SurfaceData SurfaceGraphics SurvivalDistribution SurvivalFunction SurvivalModel SurvivalModelFit SuspendPacket SuzukiDistribution SuzukiGroupSuz SwatchLegend Switch Symbol SymbolName SymletWavelet Symmetric SymmetricGroup SymmetricKey SymmetricMatrixQ SymmetricPolynomial SymmetricReduction Symmetrize SymmetrizedArray SymmetrizedArrayRules SymmetrizedDependentComponents SymmetrizedIndependentComponents SymmetrizedReplacePart SynchronousInitialization SynchronousUpdating Synonyms Syntax SyntaxForm SyntaxInformation SyntaxLength SyntaxPacket SyntaxQ SynthesizeMissingValues SystemDialogInput SystemException SystemGet SystemHelpPath SystemInformation SystemInformationData SystemInstall SystemModel SystemModeler SystemModelExamples SystemModelLinearize SystemModelParametricSimulate SystemModelPlot SystemModelProgressReporting SystemModelReliability SystemModels SystemModelSimulate SystemModelSimulateSensitivity SystemModelSimulationData SystemOpen SystemOptions SystemProcessData SystemProcesses SystemsConnectionsModel SystemsModelDelay SystemsModelDelayApproximate SystemsModelDelete SystemsModelDimensions SystemsModelExtract SystemsModelFeedbackConnect SystemsModelLabels SystemsModelLinearity SystemsModelMerge SystemsModelOrder SystemsModelParallelConnect SystemsModelSeriesConnect SystemsModelStateFeedbackConnect SystemsModelVectorRelativeOrders SystemStub SystemTestTab TabFilling Table TableAlignments TableDepth TableDirections TableForm TableHeadings TableSpacing TableView TableViewBox TableViewBoxBackground TableViewBoxOptions TabSpacings TabView TabViewBox TabViewBoxOptions TagBox TagBoxNote TagBoxOptions TaggingRules TagSet TagSetDelayed TagStyle TagUnset Take TakeDrop TakeLargest TakeLargestBy TakeList TakeSmallest TakeSmallestBy TakeWhile Tally Tan Tanh TargetDevice TargetFunctions TargetSystem TargetUnits TaskAbort TaskExecute TaskObject TaskRemove TaskResume Tasks TaskSuspend TaskWait TautologyQ TelegraphProcess TemplateApply TemplateArgBox TemplateBox TemplateBoxOptions TemplateEvaluate TemplateExpression TemplateIf TemplateObject TemplateSequence TemplateSlot TemplateSlotSequence TemplateUnevaluated TemplateVerbatim TemplateWith TemporalData TemporalRegularity Temporary TemporaryVariable TensorContract TensorDimensions TensorExpand TensorProduct TensorQ TensorRank TensorReduce TensorSymmetry TensorTranspose TensorWedge TestID TestReport TestReportObject TestResultObject Tetrahedron TetrahedronBox TetrahedronBoxOptions TeXForm TeXSave Text Text3DBox Text3DBoxOptions TextAlignment TextBand TextBoundingBox TextBox TextCases TextCell TextClipboardType TextContents TextData TextElement TextForm TextGrid TextJustification TextLine TextPacket TextParagraph TextPosition TextRecognize TextSearch TextSearchReport TextSentences TextString TextStructure TextStyle TextTranslation Texture TextureCoordinateFunction TextureCoordinateScaling TextWords Therefore ThermodynamicData ThermometerGauge Thick Thickness Thin Thinning ThisLink ThompsonGroupTh Thread ThreadingLayer ThreeJSymbol Threshold Through Throw ThueMorse Thumbnail Thursday Ticks TicksStyle TideData Tilde TildeEqual TildeFullEqual TildeTilde TimeConstrained TimeConstraint TimeDirection TimeFormat TimeGoal TimelinePlot TimeObject TimeObjectQ Times TimesBy TimeSeries TimeSeriesAggregate TimeSeriesForecast TimeSeriesInsert TimeSeriesInvertibility TimeSeriesMap TimeSeriesMapThread TimeSeriesModel TimeSeriesModelFit TimeSeriesResample TimeSeriesRescale TimeSeriesShift TimeSeriesThread TimeSeriesWindow TimeUsed TimeValue TimeWarpingCorrespondence TimeWarpingDistance TimeZone TimeZoneConvert TimeZoneOffset Timing Tiny TitleGrouping TitsGroupT ToBoxes ToCharacterCode ToColor ToContinuousTimeModel ToDate Today ToDiscreteTimeModel ToEntity ToeplitzMatrix ToExpression ToFileName Together Toggle ToggleFalse Toggler TogglerBar TogglerBox TogglerBoxOptions ToHeldExpression ToInvertibleTimeSeries TokenWords Tolerance ToLowerCase Tomorrow ToNumberField TooBig Tooltip TooltipBox TooltipBoxOptions TooltipDelay TooltipStyle Top TopHatTransform ToPolarCoordinates TopologicalSort ToRadicals ToRules ToSphericalCoordinates ToString Total TotalHeight TotalLayer TotalVariationFilter TotalWidth TouchPosition TouchscreenAutoZoom TouchscreenControlPlacement ToUpperCase Tr Trace TraceAbove TraceAction TraceBackward TraceDepth TraceDialog TraceForward TraceInternal TraceLevel TraceOff TraceOn TraceOriginal TracePrint TraceScan TrackedSymbols TrackingFunction TracyWidomDistribution TradingChart TraditionalForm TraditionalFunctionNotation TraditionalNotation TraditionalOrder TrainingProgressCheckpointing TrainingProgressFunction TrainingProgressMeasurements TrainingProgressReporting TrainingStoppingCriterion TransferFunctionCancel TransferFunctionExpand TransferFunctionFactor TransferFunctionModel TransferFunctionPoles TransferFunctionTransform TransferFunctionZeros TransformationClass TransformationFunction TransformationFunctions TransformationMatrix TransformedDistribution TransformedField TransformedProcess TransformedRegion TransitionDirection TransitionDuration TransitionEffect TransitiveClosureGraph TransitiveReductionGraph Translate TranslationOptions TranslationTransform Transliterate Transparent TransparentColor Transpose TransposeLayer TrapSelection TravelDirections TravelDirectionsData TravelDistance TravelDistanceList TravelMethod TravelTime TreeForm TreeGraph TreeGraphQ TreePlot TrendStyle Triangle TriangleCenter TriangleConstruct TriangleMeasurement TriangleWave TriangularDistribution TriangulateMesh Trig TrigExpand TrigFactor TrigFactorList Trigger TrigReduce TrigToExp TrimmedMean TrimmedVariance TropicalStormData True TrueQ TruncatedDistribution TruncatedPolyhedron TsallisQExponentialDistribution TsallisQGaussianDistribution TTest Tube TubeBezierCurveBox TubeBezierCurveBoxOptions TubeBox TubeBoxOptions TubeBSplineCurveBox TubeBSplineCurveBoxOptions Tuesday TukeyLambdaDistribution TukeyWindow TunnelData Tuples TuranGraph TuringMachine TuttePolynomial TwoWayRule Typed TypeSpecifierUnateQ Uncompress UnconstrainedParameters Undefined UnderBar Underflow Underlined Underoverscript UnderoverscriptBox UnderoverscriptBoxOptions Underscript UnderscriptBox UnderscriptBoxOptions UnderseaFeatureData UndirectedEdge UndirectedGraph UndirectedGraphQ UndoOptions UndoTrackedVariables Unequal UnequalTo Unevaluated UniformDistribution UniformGraphDistribution UniformPolyhedron UniformSumDistribution Uninstall Union UnionPlus Unique UnitaryMatrixQ UnitBox UnitConvert UnitDimensions Unitize UnitRootTest UnitSimplify UnitStep UnitSystem UnitTriangle UnitVector UnitVectorLayer UnityDimensions UniverseModelData UniversityData UnixTime Unprotect UnregisterExternalEvaluator UnsameQ UnsavedVariables Unset UnsetShared UntrackedVariables Up UpArrow UpArrowBar UpArrowDownArrow Update UpdateDynamicObjects UpdateDynamicObjectsSynchronous UpdateInterval UpdateSearchIndex UpDownArrow UpEquilibrium UpperCaseQ UpperLeftArrow UpperRightArrow UpperTriangularize UpperTriangularMatrixQ Upsample UpSet UpSetDelayed UpTee UpTeeArrow UpTo UpValues URL URLBuild URLDecode URLDispatcher URLDownload URLDownloadSubmit URLEncode URLExecute URLExpand URLFetch URLFetchAsynchronous URLParse URLQueryDecode URLQueryEncode URLRead URLResponseTime URLSave URLSaveAsynchronous URLShorten URLSubmit UseGraphicsRange UserDefinedWavelet Using UsingFrontEnd UtilityFunctionV2Get ValenceErrorHandling ValidationLength ValidationSet Value ValueBox ValueBoxOptions ValueDimensions ValueForm ValuePreprocessingFunction ValueQ Values ValuesData Variables Variance VarianceEquivalenceTest VarianceEstimatorFunction VarianceGammaDistribution VarianceTest VectorAngle VectorAround VectorColorFunction VectorColorFunctionScaling VectorDensityPlot VectorGlyphData VectorGreater VectorGreaterEqual VectorLess VectorLessEqual VectorMarkers VectorPlot VectorPlot3D VectorPoints VectorQ Vectors VectorScale VectorStyle Vee Verbatim Verbose VerboseConvertToPostScriptPacket VerificationTest VerifyConvergence VerifyDerivedKey VerifyDigitalSignature VerifyInterpretation VerifySecurityCertificates VerifySolutions VerifyTestAssumptions Version VersionNumber VertexAdd VertexCapacity VertexColors VertexComponent VertexConnectivity VertexContract VertexCoordinateRules VertexCoordinates VertexCorrelationSimilarity VertexCosineSimilarity VertexCount VertexCoverQ VertexDataCoordinates VertexDegree VertexDelete VertexDiceSimilarity VertexEccentricity VertexInComponent VertexInDegree VertexIndex VertexJaccardSimilarity VertexLabeling VertexLabels VertexLabelStyle VertexList VertexNormals VertexOutComponent VertexOutDegree VertexQ VertexRenderingFunction VertexReplace VertexShape VertexShapeFunction VertexSize VertexStyle VertexTextureCoordinates VertexWeight VertexWeightedGraphQ Vertical VerticalBar VerticalForm VerticalGauge VerticalSeparator VerticalSlider VerticalTilde ViewAngle ViewCenter ViewMatrix ViewPoint ViewPointSelectorSettings ViewPort ViewProjection ViewRange ViewVector ViewVertical VirtualGroupData Visible VisibleCell VoiceStyleData VoigtDistribution VolcanoData Volume VonMisesDistribution VoronoiMeshWaitAll WaitAsynchronousTask WaitNext WaitUntil WakebyDistribution WalleniusHypergeometricDistribution WaringYuleDistribution WarpingCorrespondence WarpingDistance WatershedComponents WatsonUSquareTest WattsStrogatzGraphDistribution WaveletBestBasis WaveletFilterCoefficients WaveletImagePlot WaveletListPlot WaveletMapIndexed WaveletMatrixPlot WaveletPhi WaveletPsi WaveletScale WaveletScalogram WaveletThreshold WeaklyConnectedComponents WeaklyConnectedGraphComponents WeaklyConnectedGraphQ WeakStationarity WeatherData WeatherForecastData WebAudioSearch WebElementObject WeberE WebExecute WebImage WebImageSearch WebSearch WebSessionObject WebSessions WebWindowObject Wedge Wednesday WeibullDistribution WeierstrassE1 WeierstrassE2 WeierstrassE3 WeierstrassEta1 WeierstrassEta2 WeierstrassEta3 WeierstrassHalfPeriods WeierstrassHalfPeriodW1 WeierstrassHalfPeriodW2 WeierstrassHalfPeriodW3 WeierstrassInvariantG2 WeierstrassInvariantG3 WeierstrassInvariants WeierstrassP WeierstrassPPrime WeierstrassSigma WeierstrassZeta WeightedAdjacencyGraph WeightedAdjacencyMatrix WeightedData WeightedGraphQ Weights WelchWindow WheelGraph WhenEvent Which While White WhiteNoiseProcess WhitePoint Whitespace WhitespaceCharacter WhittakerM WhittakerW WienerFilter WienerProcess WignerD WignerSemicircleDistribution WikipediaData WikipediaSearch WilksW WilksWTest WindDirectionData WindingCount WindingPolygon WindowClickSelect WindowElements WindowFloating WindowFrame WindowFrameElements WindowMargins WindowMovable WindowOpacity WindowPersistentStyles WindowSelected WindowSize WindowStatusArea WindowTitle WindowToolbars WindowWidth WindSpeedData WindVectorData WinsorizedMean WinsorizedVariance WishartMatrixDistribution With WolframAlpha WolframAlphaDate WolframAlphaQuantity WolframAlphaResult WolframLanguageData Word WordBoundary WordCharacter WordCloud WordCount WordCounts WordData WordDefinition WordFrequency WordFrequencyData WordList WordOrientation WordSearch WordSelectionFunction WordSeparators WordSpacings WordStem WordTranslation WorkingPrecision WrapAround Write WriteLine WriteString WronskianXMLElement XMLObject XMLTemplate Xnor Xor XYZColorYellow Yesterday YuleDissimilarityZernikeR ZeroSymmetric ZeroTest ZeroWidthTimes Zeta ZetaZero ZIPCodeData ZipfDistribution ZoomCenter ZoomFactor ZTest ZTransform$Aborted $ActivationGroupID $ActivationKey $ActivationUserRegistered $AddOnsDirectory $AllowExternalChannelFunctions $AssertFunction $Assumptions $AsynchronousTask $AudioInputDevices $AudioOutputDevices $BaseDirectory $BatchInput $BatchOutput $BlockchainBase $BoxForms $ByteOrdering $CacheBaseDirectory $Canceled $ChannelBase $CharacterEncoding $CharacterEncodings $CloudBase $CloudConnected $CloudCreditsAvailable $CloudEvaluation $CloudExpressionBase $CloudObjectNameFormat $CloudObjectURLType $CloudRootDirectory $CloudSymbolBase $CloudUserID $CloudUserUUID $CloudVersion $CloudVersionNumber $CloudWolframEngineVersionNumber $CommandLine $CompilationTarget $ConditionHold $ConfiguredKernels $Context $ContextPath $ControlActiveSetting $Cookies $CookieStore $CreationDate $CurrentLink $CurrentTask $CurrentWebSession $DateStringFormat $DefaultAudioInputDevice $DefaultAudioOutputDevice $DefaultFont $DefaultFrontEnd $DefaultImagingDevice $DefaultLocalBase $DefaultMailbox $DefaultNetworkInterface $DefaultPath $Display $DisplayFunction $DistributedContexts $DynamicEvaluation $Echo $EmbedCodeEnvironments $EmbeddableServices $EntityStores $Epilog $EvaluationCloudBase $EvaluationCloudObject $EvaluationEnvironment $ExportFormats $Failed $FinancialDataSource $FontFamilies $FormatType $FrontEnd $FrontEndSession $GeoEntityTypes $GeoLocation $GeoLocationCity $GeoLocationCountry $GeoLocationPrecision $GeoLocationSource $HistoryLength $HomeDirectory $HTMLExportRules $HTTPCookies $HTTPRequest $IgnoreEOF $ImageFormattingWidth $ImagingDevice $ImagingDevices $ImportFormats $IncomingMailSettings $InitialDirectory $Initialization $InitializationContexts $Input $InputFileName $InputStreamMethods $Inspector $InstallationDate $InstallationDirectory $InterfaceEnvironment $InterpreterTypes $IterationLimit $KernelCount $KernelID $Language $LaunchDirectory $LibraryPath $LicenseExpirationDate $LicenseID $LicenseProcesses $LicenseServer $LicenseSubprocesses $LicenseType $Line $Linked $LinkSupported $LoadedFiles $LocalBase $LocalSymbolBase $MachineAddresses $MachineDomain $MachineDomains $MachineEpsilon $MachineID $MachineName $MachinePrecision $MachineType $MaxExtraPrecision $MaxLicenseProcesses $MaxLicenseSubprocesses $MaxMachineNumber $MaxNumber $MaxPiecewiseCases $MaxPrecision $MaxRootDegree $MessageGroups $MessageList $MessagePrePrint $Messages $MinMachineNumber $MinNumber $MinorReleaseNumber $MinPrecision $MobilePhone $ModuleNumber $NetworkConnected $NetworkInterfaces $NetworkLicense $NewMessage $NewSymbol $Notebooks $NoValue $NumberMarks $Off $OperatingSystem $Output $OutputForms $OutputSizeLimit $OutputStreamMethods $Packages $ParentLink $ParentProcessID $PasswordFile $PatchLevelID $Path $PathnameSeparator $PerformanceGoal $Permissions $PermissionsGroupBase $PersistenceBase $PersistencePath $PipeSupported $PlotTheme $Post $Pre $PreferencesDirectory $PreInitialization $PrePrint $PreRead $PrintForms $PrintLiteral $Printout3DPreviewer $ProcessID $ProcessorCount $ProcessorType $ProductInformation $ProgramName $PublisherID $RandomState $RecursionLimit $RegisteredDeviceClasses $RegisteredUserName $ReleaseNumber $RequesterAddress $RequesterWolframID $RequesterWolframUUID $ResourceSystemBase $RootDirectory $ScheduledTask $ScriptCommandLine $ScriptInputString $SecuredAuthenticationKeyTokens $ServiceCreditsAvailable $Services $SessionID $SetParentLink $SharedFunctions $SharedVariables $SoundDisplay $SoundDisplayFunction $SourceLink $SSHAuthentication $SummaryBoxDataSizeLimit $SuppressInputFormHeads $SynchronousEvaluation $SyntaxHandler $System $SystemCharacterEncoding $SystemID $SystemMemory $SystemShell $SystemTimeZone $SystemWordLength $TemplatePath $TemporaryDirectory $TemporaryPrefix $TestFileName $TextStyle $TimedOut $TimeUnit $TimeZone $TimeZoneEntity $TopDirectory $TraceOff $TraceOn $TracePattern $TracePostAction $TracePreAction $UnitSystem $Urgent $UserAddOnsDirectory $UserAgentLanguages $UserAgentMachine $UserAgentName $UserAgentOperatingSystem $UserAgentString $UserAgentVersion $UserBaseDirectory $UserDocumentsDirectory $Username $UserName $UserURLBase $Version $VersionNumber $VoiceStyles $WolframID $WolframUUID",contains:[e.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}}}]);
+//# sourceMappingURL=mathematica.js.map?v=483c94b31a85fa90f286 \ No newline at end of file
diff --git a/js/highlight/mathematica.js.map b/js/highlight/mathematica.js.map
index 3ea426910..3972b813c 100644
--- a/js/highlight/mathematica.js.map
+++ b/js/highlight/mathematica.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mathematica.js"],"names":["module","exports","hljs","aliases","lexemes","IDENT_RE","keywords","contains","COMMENT","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,MAAO,MACjBC,QAAS,YAAcF,EAAKG,SAAW,MAWvCC,SAAU,wm6FA2BVC,SAAU,CACRL,EAAKM,QAAQ,SAAU,SAAU,CAACD,SAAU,CAAC,UAC7CL,EAAKO,kBACLP,EAAKQ","file":"highlight/mathematica.js?v=bfcff5869f59f182fad6","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['mma', 'wl'],\n lexemes: '(\\\\$|\\\\b)' + hljs.IDENT_RE + '\\\\b',\n //\n // The list of \"keywords\" (System` symbols) was determined by evaluating the following Wolfram Language code in Mathematica 12.0:\n //\n // StringRiffle[\n // \"'\" <> StringRiffle[#, \" \"] <> \"'\" & /@\n // Values[GroupBy[\n // Select[Names[\"System`*\"],\n // StringStartsQ[#, CharacterRange[\"A\", \"Z\"] | \"$\"] &],\n // First[Characters[#]] &]], \" +\\n\"]\n //\n keywords: 'AASTriangle AbelianGroup Abort AbortKernels AbortProtect AbortScheduledTask Above Abs AbsArg AbsArgPlot Absolute AbsoluteCorrelation AbsoluteCorrelationFunction AbsoluteCurrentValue AbsoluteDashing AbsoluteFileName AbsoluteOptions AbsolutePointSize AbsoluteThickness AbsoluteTime AbsoluteTiming AcceptanceThreshold AccountingForm Accumulate Accuracy AccuracyGoal ActionDelay ActionMenu ActionMenuBox ActionMenuBoxOptions Activate Active ActiveClassification ActiveClassificationObject ActiveItem ActivePrediction ActivePredictionObject ActiveStyle AcyclicGraphQ AddOnHelpPath AddSides AddTo AddToSearchIndex AddUsers AdjacencyGraph AdjacencyList AdjacencyMatrix AdjustmentBox AdjustmentBoxOptions AdjustTimeSeriesForecast AdministrativeDivisionData AffineHalfSpace AffineSpace AffineStateSpaceModel AffineTransform After AggregatedEntityClass AggregationLayer AircraftData AirportData AirPressureData AirTemperatureData AiryAi AiryAiPrime AiryAiZero AiryBi AiryBiPrime AiryBiZero AlgebraicIntegerQ AlgebraicNumber AlgebraicNumberDenominator AlgebraicNumberNorm AlgebraicNumberPolynomial AlgebraicNumberTrace AlgebraicRules AlgebraicRulesData Algebraics AlgebraicUnitQ Alignment AlignmentMarker AlignmentPoint All AllowAdultContent AllowedCloudExtraParameters AllowedCloudParameterExtensions AllowedDimensions AllowedFrequencyRange AllowedHeads AllowGroupClose AllowIncomplete AllowInlineCells AllowKernelInitialization AllowLooseGrammar AllowReverseGroupClose AllowScriptLevelChange AllTrue Alphabet AlphabeticOrder AlphabeticSort AlphaChannel AlternateImage AlternatingFactorial AlternatingGroup AlternativeHypothesis Alternatives AltitudeMethod AmbientLight AmbiguityFunction AmbiguityList Analytic AnatomyData AnatomyForm AnatomyPlot3D AnatomySkinStyle AnatomyStyling AnchoredSearch And AndersonDarlingTest AngerJ AngleBisector AngleBracket AnglePath AnglePath3D AngleVector AngularGauge Animate AnimationCycleOffset AnimationCycleRepetitions AnimationDirection AnimationDisplayTime AnimationRate AnimationRepetitions AnimationRunning AnimationRunTime AnimationTimeIndex Animator AnimatorBox AnimatorBoxOptions AnimatorElements Annotate Annotation AnnotationDelete AnnotationNames AnnotationRules AnnotationValue Annuity AnnuityDue Annulus AnomalyDetection AnomalyDetectorFunction Anonymous Antialiasing AntihermitianMatrixQ Antisymmetric AntisymmetricMatrixQ Antonyms AnyOrder AnySubset AnyTrue Apart ApartSquareFree APIFunction Appearance AppearanceElements AppearanceRules AppellF1 Append AppendCheck AppendLayer AppendTo ApplicationIdentificationKey Apply ApplySides ArcCos ArcCosh ArcCot ArcCoth ArcCsc ArcCsch ArcCurvature ARCHProcess ArcLength ArcSec ArcSech ArcSin ArcSinDistribution ArcSinh ArcTan ArcTanh Area Arg ArgMax ArgMin ArgumentCountQ ARIMAProcess ArithmeticGeometricMean ARMAProcess Around AroundReplace ARProcess Array ArrayComponents ArrayDepth ArrayFilter ArrayFlatten ArrayMesh ArrayPad ArrayPlot ArrayQ ArrayResample ArrayReshape ArrayRules Arrays Arrow Arrow3DBox ArrowBox Arrowheads ASATriangle Ask AskAppend AskConfirm AskDisplay AskedQ AskedValue AskFunction AskState AskTemplateDisplay AspectRatio AspectRatioFixed Assert AssociateTo Association AssociationFormat AssociationMap AssociationQ AssociationThread AssumeDeterministic Assuming Assumptions AstronomicalData AsymptoticDSolveValue AsymptoticEqual AsymptoticEquivalent AsymptoticGreater AsymptoticGreaterEqual AsymptoticIntegrate AsymptoticLess AsymptoticLessEqual AsymptoticOutputTracker AsymptoticRSolveValue AsymptoticSolve AsymptoticSum Asynchronous AsynchronousTaskObject AsynchronousTasks Atom AtomCoordinates AtomCount AtomDiagramCoordinates AtomList AtomQ AttentionLayer Attributes Audio AudioAmplify AudioAnnotate AudioAnnotationLookup AudioBlockMap AudioCapture AudioChannelAssignment AudioChannelCombine AudioChannelMix AudioChannels AudioChannelSeparate AudioData AudioDelay AudioDelete AudioDevice AudioDistance AudioFade AudioFrequencyShift AudioGenerator AudioIdentify AudioInputDevice AudioInsert AudioIntervals AudioJoin AudioLabel AudioLength AudioLocalMeasurements AudioLooping AudioLoudness AudioMeasurements AudioNormalize AudioOutputDevice AudioOverlay AudioPad AudioPan AudioPartition AudioPause AudioPitchShift AudioPlay AudioPlot AudioQ AudioRecord AudioReplace AudioResample AudioReverb AudioSampleRate AudioSpectralMap AudioSpectralTransformation AudioSplit AudioStop AudioStream AudioStreams AudioTimeStretch AudioTrim AudioType AugmentedPolyhedron AugmentedSymmetricPolynomial Authenticate Authentication AuthenticationDialog AutoAction Autocomplete AutocompletionFunction AutoCopy AutocorrelationTest AutoDelete AutoEvaluateEvents AutoGeneratedPackage AutoIndent AutoIndentSpacings AutoItalicWords AutoloadPath AutoMatch Automatic AutomaticImageSize AutoMultiplicationSymbol AutoNumberFormatting AutoOpenNotebooks AutoOpenPalettes AutoQuoteCharacters AutoRefreshed AutoRemove AutorunSequencing AutoScaling AutoScroll AutoSpacing AutoStyleOptions AutoStyleWords AutoSubmitting Axes AxesEdge AxesLabel AxesOrigin AxesStyle AxiomaticTheory Axis' +\n 'BabyMonsterGroupB Back Background BackgroundAppearance BackgroundTasksSettings Backslash Backsubstitution Backward Ball Band BandpassFilter BandstopFilter BarabasiAlbertGraphDistribution BarChart BarChart3D BarcodeImage BarcodeRecognize BaringhausHenzeTest BarLegend BarlowProschanImportance BarnesG BarOrigin BarSpacing BartlettHannWindow BartlettWindow BaseDecode BaseEncode BaseForm Baseline BaselinePosition BaseStyle BasicRecurrentLayer BatchNormalizationLayer BatchSize BatesDistribution BattleLemarieWavelet BayesianMaximization BayesianMaximizationObject BayesianMinimization BayesianMinimizationObject Because BeckmannDistribution Beep Before Begin BeginDialogPacket BeginFrontEndInteractionPacket BeginPackage BellB BellY Below BenfordDistribution BeniniDistribution BenktanderGibratDistribution BenktanderWeibullDistribution BernoulliB BernoulliDistribution BernoulliGraphDistribution BernoulliProcess BernsteinBasis BesselFilterModel BesselI BesselJ BesselJZero BesselK BesselY BesselYZero Beta BetaBinomialDistribution BetaDistribution BetaNegativeBinomialDistribution BetaPrimeDistribution BetaRegularized Between BetweennessCentrality BeveledPolyhedron BezierCurve BezierCurve3DBox BezierCurve3DBoxOptions BezierCurveBox BezierCurveBoxOptions BezierFunction BilateralFilter Binarize BinaryDeserialize BinaryDistance BinaryFormat BinaryImageQ BinaryRead BinaryReadList BinarySerialize BinaryWrite BinCounts BinLists Binomial BinomialDistribution BinomialProcess BinormalDistribution BiorthogonalSplineWavelet BipartiteGraphQ BiquadraticFilterModel BirnbaumImportance BirnbaumSaundersDistribution BitAnd BitClear BitGet BitLength BitNot BitOr BitSet BitShiftLeft BitShiftRight BitXor BiweightLocation BiweightMidvariance Black BlackmanHarrisWindow BlackmanNuttallWindow BlackmanWindow Blank BlankForm BlankNullSequence BlankSequence Blend Block BlockchainAddressData BlockchainBase BlockchainBlockData BlockchainContractValue BlockchainData BlockchainGet BlockchainKeyEncode BlockchainPut BlockchainTokenData BlockchainTransaction BlockchainTransactionData BlockchainTransactionSign BlockchainTransactionSubmit BlockMap BlockRandom BlomqvistBeta BlomqvistBetaTest Blue Blur BodePlot BohmanWindow Bold Bond BondCount BondList BondQ Bookmarks Boole BooleanConsecutiveFunction BooleanConvert BooleanCountingFunction BooleanFunction BooleanGraph BooleanMaxterms BooleanMinimize BooleanMinterms BooleanQ BooleanRegion Booleans BooleanStrings BooleanTable BooleanVariables BorderDimensions BorelTannerDistribution Bottom BottomHatTransform BoundaryDiscretizeGraphics BoundaryDiscretizeRegion BoundaryMesh BoundaryMeshRegion BoundaryMeshRegionQ BoundaryStyle BoundedRegionQ BoundingRegion Bounds Box BoxBaselineShift BoxData BoxDimensions Boxed Boxes BoxForm BoxFormFormatTypes BoxFrame BoxID BoxMargins BoxMatrix BoxObject BoxRatios BoxRotation BoxRotationPoint BoxStyle BoxWhiskerChart Bra BracketingBar BraKet BrayCurtisDistance BreadthFirstScan Break BridgeData BrightnessEqualize BroadcastStationData Brown BrownForsytheTest BrownianBridgeProcess BrowserCategory BSplineBasis BSplineCurve BSplineCurve3DBox BSplineCurve3DBoxOptions BSplineCurveBox BSplineCurveBoxOptions BSplineFunction BSplineSurface BSplineSurface3DBox BSplineSurface3DBoxOptions BubbleChart BubbleChart3D BubbleScale BubbleSizes BuildingData BulletGauge BusinessDayQ ButterflyGraph ButterworthFilterModel Button ButtonBar ButtonBox ButtonBoxOptions ButtonCell ButtonContents ButtonData ButtonEvaluator ButtonExpandable ButtonFrame ButtonFunction ButtonMargins ButtonMinHeight ButtonNote ButtonNotebook ButtonSource ButtonStyle ButtonStyleMenuListing Byte ByteArray ByteArrayFormat ByteArrayQ ByteArrayToString ByteCount ByteOrdering' +\n 'C CachedValue CacheGraphics CachePersistence CalendarConvert CalendarData CalendarType Callout CalloutMarker CalloutStyle CallPacket CanberraDistance Cancel CancelButton CandlestickChart CanonicalGraph CanonicalizePolygon CanonicalizePolyhedron CanonicalName CanonicalWarpingCorrespondence CanonicalWarpingDistance CantorMesh CantorStaircase Cap CapForm CapitalDifferentialD Capitalize CapsuleShape CaptureRunning CardinalBSplineBasis CarlemanLinearize CarmichaelLambda CaseOrdering Cases CaseSensitive Cashflow Casoratian Catalan CatalanNumber Catch Catenate CatenateLayer CauchyDistribution CauchyWindow CayleyGraph CDF CDFDeploy CDFInformation CDFWavelet Ceiling CelestialSystem Cell CellAutoOverwrite CellBaseline CellBoundingBox CellBracketOptions CellChangeTimes CellContents CellContext CellDingbat CellDynamicExpression CellEditDuplicate CellElementsBoundingBox CellElementSpacings CellEpilog CellEvaluationDuplicate CellEvaluationFunction CellEvaluationLanguage CellEventActions CellFrame CellFrameColor CellFrameLabelMargins CellFrameLabels CellFrameMargins CellGroup CellGroupData CellGrouping CellGroupingRules CellHorizontalScrolling CellID CellLabel CellLabelAutoDelete CellLabelMargins CellLabelPositioning CellLabelStyle CellLabelTemplate CellMargins CellObject CellOpen CellPrint CellProlog Cells CellSize CellStyle CellTags CellularAutomaton CensoredDistribution Censoring Center CenterArray CenterDot CentralFeature CentralMoment CentralMomentGeneratingFunction Cepstrogram CepstrogramArray CepstrumArray CForm ChampernowneNumber ChangeOptions ChannelBase ChannelBrokerAction ChannelDatabin ChannelHistoryLength ChannelListen ChannelListener ChannelListeners ChannelListenerWait ChannelObject ChannelPreSendFunction ChannelReceiverFunction ChannelSend ChannelSubscribers ChanVeseBinarize Character CharacterCounts CharacterEncoding CharacterEncodingsPath CharacteristicFunction CharacteristicPolynomial CharacterName CharacterRange Characters ChartBaseStyle ChartElementData ChartElementDataFunction ChartElementFunction ChartElements ChartLabels ChartLayout ChartLegends ChartStyle Chebyshev1FilterModel Chebyshev2FilterModel ChebyshevDistance ChebyshevT ChebyshevU Check CheckAbort CheckAll Checkbox CheckboxBar CheckboxBox CheckboxBoxOptions ChemicalData ChessboardDistance ChiDistribution ChineseRemainder ChiSquareDistribution ChoiceButtons ChoiceDialog CholeskyDecomposition Chop ChromaticityPlot ChromaticityPlot3D ChromaticPolynomial Circle CircleBox CircleDot CircleMinus CirclePlus CirclePoints CircleThrough CircleTimes CirculantGraph CircularOrthogonalMatrixDistribution CircularQuaternionMatrixDistribution CircularRealMatrixDistribution CircularSymplecticMatrixDistribution CircularUnitaryMatrixDistribution Circumsphere CityData ClassifierFunction ClassifierInformation ClassifierMeasurements ClassifierMeasurementsObject Classify ClassPriors Clear ClearAll ClearAttributes ClearCookies ClearPermissions ClearSystemCache ClebschGordan ClickPane Clip ClipboardNotebook ClipFill ClippingStyle ClipPlanes ClipPlanesStyle ClipRange Clock ClockGauge ClockwiseContourIntegral Close Closed CloseKernels ClosenessCentrality Closing ClosingAutoSave ClosingEvent CloudAccountData CloudBase CloudConnect CloudDeploy CloudDirectory CloudDisconnect CloudEvaluate CloudExport CloudExpression CloudExpressions CloudFunction CloudGet CloudImport CloudLoggingData CloudObject CloudObjectInformation CloudObjectInformationData CloudObjectNameFormat CloudObjects CloudObjectURLType CloudPublish CloudPut CloudRenderingMethod CloudSave CloudShare CloudSubmit CloudSymbol CloudUnshare ClusterClassify ClusterDissimilarityFunction ClusteringComponents ClusteringTree CMYKColor Coarse CodeAssistOptions Coefficient CoefficientArrays CoefficientDomain CoefficientList CoefficientRules CoifletWavelet Collect Colon ColonForm ColorBalance ColorCombine ColorConvert ColorCoverage ColorData ColorDataFunction ColorDetect ColorDistance ColorFunction ColorFunctionScaling Colorize ColorNegate ColorOutput ColorProfileData ColorQ ColorQuantize ColorReplace ColorRules ColorSelectorSettings ColorSeparate ColorSetter ColorSetterBox ColorSetterBoxOptions ColorSlider ColorsNear ColorSpace ColorToneMapping Column ColumnAlignments ColumnBackgrounds ColumnForm ColumnLines ColumnsEqual ColumnSpacings ColumnWidths CombinedEntityClass CombinerFunction CometData CommonDefaultFormatTypes Commonest CommonestFilter CommonName CommonUnits CommunityBoundaryStyle CommunityGraphPlot CommunityLabels CommunityRegionStyle CompanyData CompatibleUnitQ CompilationOptions CompilationTarget Compile Compiled CompiledCodeFunction CompiledFunction CompilerOptions Complement CompleteGraph CompleteGraphQ CompleteKaryTree CompletionsListPacket Complex Complexes ComplexExpand ComplexInfinity ComplexityFunction ComplexListPlot ComplexPlot ComplexPlot3D ComponentMeasurements ComponentwiseContextMenu Compose ComposeList ComposeSeries CompositeQ Composition CompoundElement CompoundExpression CompoundPoissonDistribution CompoundPoissonProcess CompoundRenewalProcess Compress CompressedData ComputeUncertainty Condition ConditionalExpression Conditioned Cone ConeBox ConfidenceLevel ConfidenceRange ConfidenceTransform ConfigurationPath ConformAudio ConformImages Congruent ConicHullRegion ConicHullRegion3DBox ConicHullRegionBox ConicOptimization Conjugate ConjugateTranspose Conjunction Connect ConnectedComponents ConnectedGraphComponents ConnectedGraphQ ConnectedMeshComponents ConnectedMoleculeComponents ConnectedMoleculeQ ConnectionSettings ConnectLibraryCallbackFunction ConnectSystemModelComponents ConnesWindow ConoverTest ConsoleMessage ConsoleMessagePacket ConsolePrint Constant ConstantArray ConstantArrayLayer ConstantImage ConstantPlusLayer ConstantRegionQ Constants ConstantTimesLayer ConstellationData ConstrainedMax ConstrainedMin Construct Containing ContainsAll ContainsAny ContainsExactly ContainsNone ContainsOnly ContentFieldOptions ContentLocationFunction ContentObject ContentPadding ContentsBoundingBox ContentSelectable ContentSize Context ContextMenu Contexts ContextToFileName Continuation Continue ContinuedFraction ContinuedFractionK ContinuousAction ContinuousMarkovProcess ContinuousTask ContinuousTimeModelQ ContinuousWaveletData ContinuousWaveletTransform ContourDetect ContourGraphics ContourIntegral ContourLabels ContourLines ContourPlot ContourPlot3D Contours ContourShading ContourSmoothing ContourStyle ContraharmonicMean ContrastiveLossLayer Control ControlActive ControlAlignment ControlGroupContentsBox ControllabilityGramian ControllabilityMatrix ControllableDecomposition ControllableModelQ ControllerDuration ControllerInformation ControllerInformationData ControllerLinking ControllerManipulate ControllerMethod ControllerPath ControllerState ControlPlacement ControlsRendering ControlType Convergents ConversionOptions ConversionRules ConvertToBitmapPacket ConvertToPostScript ConvertToPostScriptPacket ConvexHullMesh ConvexPolygonQ ConvexPolyhedronQ ConvolutionLayer Convolve ConwayGroupCo1 ConwayGroupCo2 ConwayGroupCo3 CookieFunction Cookies CoordinateBoundingBox CoordinateBoundingBoxArray CoordinateBounds CoordinateBoundsArray CoordinateChartData CoordinatesToolOptions CoordinateTransform CoordinateTransformData CoprimeQ Coproduct CopulaDistribution Copyable CopyDatabin CopyDirectory CopyFile CopyTag CopyToClipboard CornerFilter CornerNeighbors Correlation CorrelationDistance CorrelationFunction CorrelationTest Cos Cosh CoshIntegral CosineDistance CosineWindow CosIntegral Cot Coth Count CountDistinct CountDistinctBy CounterAssignments CounterBox CounterBoxOptions CounterClockwiseContourIntegral CounterEvaluator CounterFunction CounterIncrements CounterStyle CounterStyleMenuListing CountRoots CountryData Counts CountsBy Covariance CovarianceEstimatorFunction CovarianceFunction CoxianDistribution CoxIngersollRossProcess CoxModel CoxModelFit CramerVonMisesTest CreateArchive CreateCellID CreateChannel CreateCloudExpression CreateDatabin CreateDataSystemModel CreateDialog CreateDirectory CreateDocument CreateFile CreateIntermediateDirectories CreateManagedLibraryExpression CreateNotebook CreatePalette CreatePalettePacket CreatePermissionsGroup CreateScheduledTask CreateSearchIndex CreateSystemModel CreateTemporary CreateUUID CreateWindow CriterionFunction CriticalityFailureImportance CriticalitySuccessImportance CriticalSection Cross CrossEntropyLossLayer CrossingCount CrossingDetect CrossingPolygon CrossMatrix Csc Csch CTCLossLayer Cube CubeRoot Cubics Cuboid CuboidBox Cumulant CumulantGeneratingFunction Cup CupCap Curl CurlyDoubleQuote CurlyQuote CurrencyConvert CurrentDate CurrentImage CurrentlySpeakingPacket CurrentNotebookImage CurrentScreenImage CurrentValue Curry CurvatureFlowFilter CurveClosed Cyan CycleGraph CycleIndexPolynomial Cycles CyclicGroup Cyclotomic Cylinder CylinderBox CylindricalDecomposition' +\n 'D DagumDistribution DamData DamerauLevenshteinDistance DampingFactor Darker Dashed Dashing DatabaseConnect DatabaseDisconnect DatabaseReference Databin DatabinAdd DatabinRemove Databins DatabinUpload DataCompression DataDistribution DataRange DataReversed Dataset Date DateBounds Dated DateDelimiters DateDifference DatedUnit DateFormat DateFunction DateHistogram DateList DateListLogPlot DateListPlot DateListStepPlot DateObject DateObjectQ DateOverlapsQ DatePattern DatePlus DateRange DateReduction DateString DateTicksFormat DateValue DateWithinQ DaubechiesWavelet DavisDistribution DawsonF DayCount DayCountConvention DayHemisphere DaylightQ DayMatchQ DayName DayNightTerminator DayPlus DayRange DayRound DeBruijnGraph DeBruijnSequence Debug DebugTag Decapitalize Decimal DecimalForm DeclareKnownSymbols DeclarePackage Decompose DeconvolutionLayer Decrement Decrypt DecryptFile DedekindEta DeepSpaceProbeData Default DefaultAxesStyle DefaultBaseStyle DefaultBoxStyle DefaultButton DefaultColor DefaultControlPlacement DefaultDuplicateCellStyle DefaultDuration DefaultElement DefaultFaceGridsStyle DefaultFieldHintStyle DefaultFont DefaultFontProperties DefaultFormatType DefaultFormatTypeForStyle DefaultFrameStyle DefaultFrameTicksStyle DefaultGridLinesStyle DefaultInlineFormatType DefaultInputFormatType DefaultLabelStyle DefaultMenuStyle DefaultNaturalLanguage DefaultNewCellStyle DefaultNewInlineCellStyle DefaultNotebook DefaultOptions DefaultOutputFormatType DefaultPrintPrecision DefaultStyle DefaultStyleDefinitions DefaultTextFormatType DefaultTextInlineFormatType DefaultTicksStyle DefaultTooltipStyle DefaultValue DefaultValues Defer DefineExternal DefineInputStreamMethod DefineOutputStreamMethod DefineResourceFunction Definition Degree DegreeCentrality DegreeGraphDistribution DegreeLexicographic DegreeReverseLexicographic DEigensystem DEigenvalues Deinitialization Del DelaunayMesh Delayed Deletable Delete DeleteAnomalies DeleteBorderComponents DeleteCases DeleteChannel DeleteCloudExpression DeleteContents DeleteDirectory DeleteDuplicates DeleteDuplicatesBy DeleteFile DeleteMissing DeleteObject DeletePermissionsKey DeleteSearchIndex DeleteSmallComponents DeleteStopwords DeleteWithContents DeletionWarning DelimitedArray DelimitedSequence Delimiter DelimiterFlashTime DelimiterMatching Delimiters DeliveryFunction Dendrogram Denominator DensityGraphics DensityHistogram DensityPlot DensityPlot3D DependentVariables Deploy Deployed Depth DepthFirstScan Derivative DerivativeFilter DerivedKey DescriptorStateSpace DesignMatrix DestroyAfterEvaluation Det DeviceClose DeviceConfigure DeviceExecute DeviceExecuteAsynchronous DeviceObject DeviceOpen DeviceOpenQ DeviceRead DeviceReadBuffer DeviceReadLatest DeviceReadList DeviceReadTimeSeries Devices DeviceStreams DeviceWrite DeviceWriteBuffer DGaussianWavelet DiacriticalPositioning Diagonal DiagonalizableMatrixQ DiagonalMatrix DiagonalMatrixQ Dialog DialogIndent DialogInput DialogLevel DialogNotebook DialogProlog DialogReturn DialogSymbols Diamond DiamondMatrix DiceDissimilarity DictionaryLookup DictionaryWordQ DifferenceDelta DifferenceOrder DifferenceQuotient DifferenceRoot DifferenceRootReduce Differences DifferentialD DifferentialRoot DifferentialRootReduce DifferentiatorFilter DigitalSignature DigitBlock DigitBlockMinimum DigitCharacter DigitCount DigitQ DihedralAngle DihedralGroup Dilation DimensionalCombinations DimensionalMeshComponents DimensionReduce DimensionReducerFunction DimensionReduction Dimensions DiracComb DiracDelta DirectedEdge DirectedEdges DirectedGraph DirectedGraphQ DirectedInfinity Direction Directive Directory DirectoryName DirectoryQ DirectoryStack DirichletBeta DirichletCharacter DirichletCondition DirichletConvolve DirichletDistribution DirichletEta DirichletL DirichletLambda DirichletTransform DirichletWindow DisableConsolePrintPacket DisableFormatting DiscreteChirpZTransform DiscreteConvolve DiscreteDelta DiscreteHadamardTransform DiscreteIndicator DiscreteLimit DiscreteLQEstimatorGains DiscreteLQRegulatorGains DiscreteLyapunovSolve DiscreteMarkovProcess DiscreteMaxLimit DiscreteMinLimit DiscretePlot DiscretePlot3D DiscreteRatio DiscreteRiccatiSolve DiscreteShift DiscreteTimeModelQ DiscreteUniformDistribution DiscreteVariables DiscreteWaveletData DiscreteWaveletPacketTransform DiscreteWaveletTransform DiscretizeGraphics DiscretizeRegion Discriminant DisjointQ Disjunction Disk DiskBox DiskMatrix DiskSegment Dispatch DispatchQ DispersionEstimatorFunction Display DisplayAllSteps DisplayEndPacket DisplayFlushImagePacket DisplayForm DisplayFunction DisplayPacket DisplayRules DisplaySetSizePacket DisplayString DisplayTemporary DisplayWith DisplayWithRef DisplayWithVariable DistanceFunction DistanceMatrix DistanceTransform Distribute Distributed DistributedContexts DistributeDefinitions DistributionChart DistributionDomain DistributionFitTest DistributionParameterAssumptions DistributionParameterQ Dithering Div Divergence Divide DivideBy Dividers DivideSides Divisible Divisors DivisorSigma DivisorSum DMSList DMSString Do DockedCells DocumentGenerator DocumentGeneratorInformation DocumentGeneratorInformationData DocumentGenerators DocumentNotebook DocumentWeightingRules Dodecahedron DomainRegistrationInformation DominantColors DOSTextFormat Dot DotDashed DotEqual DotLayer DotPlusLayer Dotted DoubleBracketingBar DoubleContourIntegral DoubleDownArrow DoubleLeftArrow DoubleLeftRightArrow DoubleLeftTee DoubleLongLeftArrow DoubleLongLeftRightArrow DoubleLongRightArrow DoubleRightArrow DoubleRightTee DoubleUpArrow DoubleUpDownArrow DoubleVerticalBar DoublyInfinite Down DownArrow DownArrowBar DownArrowUpArrow DownLeftRightVector DownLeftTeeVector DownLeftVector DownLeftVectorBar DownRightTeeVector DownRightVector DownRightVectorBar Downsample DownTee DownTeeArrow DownValues DragAndDrop DrawEdges DrawFrontFaces DrawHighlighted Drop DropoutLayer DSolve DSolveValue Dt DualLinearProgramming DualPolyhedron DualSystemsModel DumpGet DumpSave DuplicateFreeQ Duration Dynamic DynamicBox DynamicBoxOptions DynamicEvaluationTimeout DynamicGeoGraphics DynamicImage DynamicLocation DynamicModule DynamicModuleBox DynamicModuleBoxOptions DynamicModuleParent DynamicModuleValues DynamicName DynamicNamespace DynamicReference DynamicSetting DynamicUpdating DynamicWrapper DynamicWrapperBox DynamicWrapperBoxOptions' +\n 'E EarthImpactData EarthquakeData EccentricityCentrality Echo EchoFunction EclipseType EdgeAdd EdgeBetweennessCentrality EdgeCapacity EdgeCapForm EdgeColor EdgeConnectivity EdgeContract EdgeCost EdgeCount EdgeCoverQ EdgeCycleMatrix EdgeDashing EdgeDelete EdgeDetect EdgeForm EdgeIndex EdgeJoinForm EdgeLabeling EdgeLabels EdgeLabelStyle EdgeList EdgeOpacity EdgeQ EdgeRenderingFunction EdgeRules EdgeShapeFunction EdgeStyle EdgeThickness EdgeWeight EdgeWeightedGraphQ Editable EditButtonSettings EditCellTagsSettings EditDistance EffectiveInterest Eigensystem Eigenvalues EigenvectorCentrality Eigenvectors Element ElementData ElementwiseLayer ElidedForms Eliminate EliminationOrder Ellipsoid EllipticE EllipticExp EllipticExpPrime EllipticF EllipticFilterModel EllipticK EllipticLog EllipticNomeQ EllipticPi EllipticReducedHalfPeriods EllipticTheta EllipticThetaPrime EmbedCode EmbeddedHTML EmbeddedService EmbeddingLayer EmbeddingObject EmitSound EmphasizeSyntaxErrors EmpiricalDistribution Empty EmptyGraphQ EmptyRegion EnableConsolePrintPacket Enabled Encode Encrypt EncryptedObject EncryptFile End EndAdd EndDialogPacket EndFrontEndInteractionPacket EndOfBuffer EndOfFile EndOfLine EndOfString EndPackage EngineEnvironment EngineeringForm Enter EnterExpressionPacket EnterTextPacket Entity EntityClass EntityClassList EntityCopies EntityFunction EntityGroup EntityInstance EntityList EntityPrefetch EntityProperties EntityProperty EntityPropertyClass EntityRegister EntityStore EntityStores EntityTypeName EntityUnregister EntityValue Entropy EntropyFilter Environment Epilog EpilogFunction Equal EqualColumns EqualRows EqualTilde EqualTo EquatedTo Equilibrium EquirippleFilterKernel Equivalent Erf Erfc Erfi ErlangB ErlangC ErlangDistribution Erosion ErrorBox ErrorBoxOptions ErrorNorm ErrorPacket ErrorsDialogSettings EscapeRadius EstimatedBackground EstimatedDistribution EstimatedProcess EstimatorGains EstimatorRegulator EuclideanDistance EulerAngles EulerCharacteristic EulerE EulerGamma EulerianGraphQ EulerMatrix EulerPhi Evaluatable Evaluate Evaluated EvaluatePacket EvaluateScheduledTask EvaluationBox EvaluationCell EvaluationCompletionAction EvaluationData EvaluationElements EvaluationEnvironment EvaluationMode EvaluationMonitor EvaluationNotebook EvaluationObject EvaluationOrder Evaluator EvaluatorNames EvenQ EventData EventEvaluator EventHandler EventHandlerTag EventLabels EventSeries ExactBlackmanWindow ExactNumberQ ExactRootIsolation ExampleData Except ExcludedForms ExcludedLines ExcludedPhysicalQuantities ExcludePods Exclusions ExclusionsStyle Exists Exit ExitDialog ExoplanetData Exp Expand ExpandAll ExpandDenominator ExpandFileName ExpandNumerator Expectation ExpectationE ExpectedValue ExpGammaDistribution ExpIntegralE ExpIntegralEi ExpirationDate Exponent ExponentFunction ExponentialDistribution ExponentialFamily ExponentialGeneratingFunction ExponentialMovingAverage ExponentialPowerDistribution ExponentPosition ExponentStep Export ExportAutoReplacements ExportByteArray ExportForm ExportPacket ExportString Expression ExpressionCell ExpressionPacket ExpressionUUID ExpToTrig ExtendedEntityClass ExtendedGCD Extension ExtentElementFunction ExtentMarkers ExtentSize ExternalBundle ExternalCall ExternalDataCharacterEncoding ExternalEvaluate ExternalFunction ExternalFunctionName ExternalObject ExternalOptions ExternalSessionObject ExternalSessions ExternalTypeSignature ExternalValue Extract ExtractArchive ExtractLayer ExtremeValueDistribution' +\n 'FaceForm FaceGrids FaceGridsStyle FacialFeatures Factor FactorComplete Factorial Factorial2 FactorialMoment FactorialMomentGeneratingFunction FactorialPower FactorInteger FactorList FactorSquareFree FactorSquareFreeList FactorTerms FactorTermsList Fail Failure FailureAction FailureDistribution FailureQ False FareySequence FARIMAProcess FeatureDistance FeatureExtract FeatureExtraction FeatureExtractor FeatureExtractorFunction FeatureNames FeatureNearest FeatureSpacePlot FeatureSpacePlot3D FeatureTypes FEDisableConsolePrintPacket FeedbackLinearize FeedbackSector FeedbackSectorStyle FeedbackType FEEnableConsolePrintPacket FetalGrowthData Fibonacci Fibonorial FieldCompletionFunction FieldHint FieldHintStyle FieldMasked FieldSize File FileBaseName FileByteCount FileConvert FileDate FileExistsQ FileExtension FileFormat FileHandler FileHash FileInformation FileName FileNameDepth FileNameDialogSettings FileNameDrop FileNameForms FileNameJoin FileNames FileNameSetter FileNameSplit FileNameTake FilePrint FileSize FileSystemMap FileSystemScan FileTemplate FileTemplateApply FileType FilledCurve FilledCurveBox FilledCurveBoxOptions Filling FillingStyle FillingTransform FilteredEntityClass FilterRules FinancialBond FinancialData FinancialDerivative FinancialIndicator Find FindAnomalies FindArgMax FindArgMin FindChannels FindClique FindClusters FindCookies FindCurvePath FindCycle FindDevices FindDistribution FindDistributionParameters FindDivisions FindEdgeCover FindEdgeCut FindEdgeIndependentPaths FindEquationalProof FindEulerianCycle FindExternalEvaluators FindFaces FindFile FindFit FindFormula FindFundamentalCycles FindGeneratingFunction FindGeoLocation FindGeometricConjectures FindGeometricTransform FindGraphCommunities FindGraphIsomorphism FindGraphPartition FindHamiltonianCycle FindHamiltonianPath FindHiddenMarkovStates FindIndependentEdgeSet FindIndependentVertexSet FindInstance FindIntegerNullVector FindKClan FindKClique FindKClub FindKPlex FindLibrary FindLinearRecurrence FindList FindMatchingColor FindMaximum FindMaximumFlow FindMaxValue FindMeshDefects FindMinimum FindMinimumCostFlow FindMinimumCut FindMinValue FindMoleculeSubstructure FindPath FindPeaks FindPermutation FindPostmanTour FindProcessParameters FindRepeat FindRoot FindSequenceFunction FindSettings FindShortestPath FindShortestTour FindSpanningTree FindSystemModelEquilibrium FindTextualAnswer FindThreshold FindTransientRepeat FindVertexCover FindVertexCut FindVertexIndependentPaths Fine FinishDynamic FiniteAbelianGroupCount FiniteGroupCount FiniteGroupData First FirstCase FirstPassageTimeDistribution FirstPosition FischerGroupFi22 FischerGroupFi23 FischerGroupFi24Prime FisherHypergeometricDistribution FisherRatioTest FisherZDistribution Fit FitAll FitRegularization FittedModel FixedOrder FixedPoint FixedPointList FlashSelection Flat Flatten FlattenAt FlattenLayer FlatTopWindow FlipView Floor FlowPolynomial FlushPrintOutputPacket Fold FoldList FoldPair FoldPairList FollowRedirects Font FontColor FontFamily FontForm FontName FontOpacity FontPostScriptName FontProperties FontReencoding FontSize FontSlant FontSubstitutions FontTracking FontVariations FontWeight For ForAll Format FormatRules FormatType FormatTypeAutoConvert FormatValues FormBox FormBoxOptions FormControl FormFunction FormLayoutFunction FormObject FormPage FormTheme FormulaData FormulaLookup FortranForm Forward ForwardBackward Fourier FourierCoefficient FourierCosCoefficient FourierCosSeries FourierCosTransform FourierDCT FourierDCTFilter FourierDCTMatrix FourierDST FourierDSTMatrix FourierMatrix FourierParameters FourierSequenceTransform FourierSeries FourierSinCoefficient FourierSinSeries FourierSinTransform FourierTransform FourierTrigSeries FractionalBrownianMotionProcess FractionalGaussianNoiseProcess FractionalPart FractionBox FractionBoxOptions FractionLine Frame FrameBox FrameBoxOptions Framed FrameInset FrameLabel Frameless FrameMargins FrameRate FrameStyle FrameTicks FrameTicksStyle FRatioDistribution FrechetDistribution FreeQ FrenetSerretSystem FrequencySamplingFilterKernel FresnelC FresnelF FresnelG FresnelS Friday FrobeniusNumber FrobeniusSolve FromAbsoluteTime FromCharacterCode FromCoefficientRules FromContinuedFraction FromDate FromDigits FromDMS FromEntity FromJulianDate FromLetterNumber FromPolarCoordinates FromRomanNumeral FromSphericalCoordinates FromUnixTime Front FrontEndDynamicExpression FrontEndEventActions FrontEndExecute FrontEndObject FrontEndResource FrontEndResourceString FrontEndStackSize FrontEndToken FrontEndTokenExecute FrontEndValueCache FrontEndVersion FrontFaceColor FrontFaceOpacity Full FullAxes FullDefinition FullForm FullGraphics FullInformationOutputRegulator FullOptions FullRegion FullSimplify Function FunctionCompile FunctionCompileExport FunctionCompileExportByteArray FunctionCompileExportLibrary FunctionCompileExportString FunctionDomain FunctionExpand FunctionInterpolation FunctionPeriod FunctionRange FunctionSpace FussellVeselyImportance' +\n 'GaborFilter GaborMatrix GaborWavelet GainMargins GainPhaseMargins GalaxyData GalleryView Gamma GammaDistribution GammaRegularized GapPenalty GARCHProcess GatedRecurrentLayer Gather GatherBy GaugeFaceElementFunction GaugeFaceStyle GaugeFrameElementFunction GaugeFrameSize GaugeFrameStyle GaugeLabels GaugeMarkers GaugeStyle GaussianFilter GaussianIntegers GaussianMatrix GaussianOrthogonalMatrixDistribution GaussianSymplecticMatrixDistribution GaussianUnitaryMatrixDistribution GaussianWindow GCD GegenbauerC General GeneralizedLinearModelFit GenerateAsymmetricKeyPair GenerateConditions GeneratedCell GeneratedDocumentBinding GenerateDerivedKey GenerateDigitalSignature GenerateDocument GeneratedParameters GeneratedQuantityMagnitudes GenerateHTTPResponse GenerateSecuredAuthenticationKey GenerateSymmetricKey GeneratingFunction GeneratorDescription GeneratorHistoryLength GeneratorOutputType Generic GenericCylindricalDecomposition GenomeData GenomeLookup GeoAntipode GeoArea GeoArraySize GeoBackground GeoBoundingBox GeoBounds GeoBoundsRegion GeoBubbleChart GeoCenter GeoCircle GeodesicClosing GeodesicDilation GeodesicErosion GeodesicOpening GeoDestination GeodesyData GeoDirection GeoDisk GeoDisplacement GeoDistance GeoDistanceList GeoElevationData GeoEntities GeoGraphics GeogravityModelData GeoGridDirectionDifference GeoGridLines GeoGridLinesStyle GeoGridPosition GeoGridRange GeoGridRangePadding GeoGridUnitArea GeoGridUnitDistance GeoGridVector GeoGroup GeoHemisphere GeoHemisphereBoundary GeoHistogram GeoIdentify GeoImage GeoLabels GeoLength GeoListPlot GeoLocation GeologicalPeriodData GeomagneticModelData GeoMarker GeometricAssertion GeometricBrownianMotionProcess GeometricDistribution GeometricMean GeometricMeanFilter GeometricScene GeometricTransformation GeometricTransformation3DBox GeometricTransformation3DBoxOptions GeometricTransformationBox GeometricTransformationBoxOptions GeoModel GeoNearest GeoPath GeoPosition GeoPositionENU GeoPositionXYZ GeoProjection GeoProjectionData GeoRange GeoRangePadding GeoRegionValuePlot GeoResolution GeoScaleBar GeoServer GeoSmoothHistogram GeoStreamPlot GeoStyling GeoStylingImageFunction GeoVariant GeoVector GeoVectorENU GeoVectorPlot GeoVectorXYZ GeoVisibleRegion GeoVisibleRegionBoundary GeoWithinQ GeoZoomLevel GestureHandler GestureHandlerTag Get GetBoundingBoxSizePacket GetContext GetEnvironment GetFileName GetFrontEndOptionsDataPacket GetLinebreakInformationPacket GetMenusPacket GetPageBreakInformationPacket Glaisher GlobalClusteringCoefficient GlobalPreferences GlobalSession Glow GoldenAngle GoldenRatio GompertzMakehamDistribution GoodmanKruskalGamma GoodmanKruskalGammaTest Goto Grad Gradient GradientFilter GradientOrientationFilter GrammarApply GrammarRules GrammarToken Graph Graph3D GraphAssortativity GraphAutomorphismGroup GraphCenter GraphComplement GraphData GraphDensity GraphDiameter GraphDifference GraphDisjointUnion GraphDistance GraphDistanceMatrix GraphElementData GraphEmbedding GraphHighlight GraphHighlightStyle GraphHub Graphics Graphics3D Graphics3DBox Graphics3DBoxOptions GraphicsArray GraphicsBaseline GraphicsBox GraphicsBoxOptions GraphicsColor GraphicsColumn GraphicsComplex GraphicsComplex3DBox GraphicsComplex3DBoxOptions GraphicsComplexBox GraphicsComplexBoxOptions GraphicsContents GraphicsData GraphicsGrid GraphicsGridBox GraphicsGroup GraphicsGroup3DBox GraphicsGroup3DBoxOptions GraphicsGroupBox GraphicsGroupBoxOptions GraphicsGrouping GraphicsHighlightColor GraphicsRow GraphicsSpacing GraphicsStyle GraphIntersection GraphLayout GraphLinkEfficiency GraphPeriphery GraphPlot GraphPlot3D GraphPower GraphPropertyDistribution GraphQ GraphRadius GraphReciprocity GraphRoot GraphStyle GraphUnion Gray GrayLevel Greater GreaterEqual GreaterEqualLess GreaterEqualThan GreaterFullEqual GreaterGreater GreaterLess GreaterSlantEqual GreaterThan GreaterTilde Green GreenFunction Grid GridBaseline GridBox GridBoxAlignment GridBoxBackground GridBoxDividers GridBoxFrame GridBoxItemSize GridBoxItemStyle GridBoxOptions GridBoxSpacings GridCreationSettings GridDefaultElement GridElementStyleOptions GridFrame GridFrameMargins GridGraph GridLines GridLinesStyle GroebnerBasis GroupActionBase GroupBy GroupCentralizer GroupElementFromWord GroupElementPosition GroupElementQ GroupElements GroupElementToWord GroupGenerators Groupings GroupMultiplicationTable GroupOrbits GroupOrder GroupPageBreakWithin GroupSetwiseStabilizer GroupStabilizer GroupStabilizerChain GroupTogetherGrouping GroupTogetherNestedGrouping GrowCutComponents Gudermannian GuidedFilter GumbelDistribution' +\n 'HaarWavelet HadamardMatrix HalfLine HalfNormalDistribution HalfPlane HalfSpace HamiltonianGraphQ HammingDistance HammingWindow HandlerFunctions HandlerFunctionsKeys HankelH1 HankelH2 HankelMatrix HankelTransform HannPoissonWindow HannWindow HaradaNortonGroupHN HararyGraph HarmonicMean HarmonicMeanFilter HarmonicNumber Hash Haversine HazardFunction Head HeadCompose HeaderLines Heads HeavisideLambda HeavisidePi HeavisideTheta HeldGroupHe HeldPart HelpBrowserLookup HelpBrowserNotebook HelpBrowserSettings Here HermiteDecomposition HermiteH HermitianMatrixQ HessenbergDecomposition Hessian HexadecimalCharacter Hexahedron HexahedronBox HexahedronBoxOptions HiddenMarkovProcess HiddenSurface Highlighted HighlightGraph HighlightImage HighlightMesh HighpassFilter HigmanSimsGroupHS HilbertCurve HilbertFilter HilbertMatrix Histogram Histogram3D HistogramDistribution HistogramList HistogramTransform HistogramTransformInterpolation HistoricalPeriodData HitMissTransform HITSCentrality HjorthDistribution HodgeDual HoeffdingD HoeffdingDTest Hold HoldAll HoldAllComplete HoldComplete HoldFirst HoldForm HoldPattern HoldRest HolidayCalendar HomeDirectory HomePage Horizontal HorizontalForm HorizontalGauge HorizontalScrollPosition HornerForm HostLookup HotellingTSquareDistribution HoytDistribution HTMLSave HTTPErrorResponse HTTPRedirect HTTPRequest HTTPRequestData HTTPResponse Hue HumanGrowthData HumpDownHump HumpEqual HurwitzLerchPhi HurwitzZeta HyperbolicDistribution HypercubeGraph HyperexponentialDistribution Hyperfactorial Hypergeometric0F1 Hypergeometric0F1Regularized Hypergeometric1F1 Hypergeometric1F1Regularized Hypergeometric2F1 Hypergeometric2F1Regularized HypergeometricDistribution HypergeometricPFQ HypergeometricPFQRegularized HypergeometricU Hyperlink HyperlinkCreationSettings Hyperplane Hyphenation HyphenationOptions HypoexponentialDistribution HypothesisTestData' +\n 'I IconData Iconize IconizedObject IconRules Icosahedron Identity IdentityMatrix If IgnoreCase IgnoreDiacritics IgnorePunctuation IgnoreSpellCheck IgnoringInactive Im Image Image3D Image3DProjection Image3DSlices ImageAccumulate ImageAdd ImageAdjust ImageAlign ImageApply ImageApplyIndexed ImageAspectRatio ImageAssemble ImageAugmentationLayer ImageBoundingBoxes ImageCache ImageCacheValid ImageCapture ImageCaptureFunction ImageCases ImageChannels ImageClip ImageCollage ImageColorSpace ImageCompose ImageContainsQ ImageContents ImageConvolve ImageCooccurrence ImageCorners ImageCorrelate ImageCorrespondingPoints ImageCrop ImageData ImageDeconvolve ImageDemosaic ImageDifference ImageDimensions ImageDisplacements ImageDistance ImageEffect ImageExposureCombine ImageFeatureTrack ImageFileApply ImageFileFilter ImageFileScan ImageFilter ImageFocusCombine ImageForestingComponents ImageFormattingWidth ImageForwardTransformation ImageGraphics ImageHistogram ImageIdentify ImageInstanceQ ImageKeypoints ImageLevels ImageLines ImageMargins ImageMarker ImageMarkers ImageMeasurements ImageMesh ImageMultiply ImageOffset ImagePad ImagePadding ImagePartition ImagePeriodogram ImagePerspectiveTransformation ImagePosition ImagePreviewFunction ImagePyramid ImagePyramidApply ImageQ ImageRangeCache ImageRecolor ImageReflect ImageRegion ImageResize ImageResolution ImageRestyle ImageRotate ImageRotated ImageSaliencyFilter ImageScaled ImageScan ImageSize ImageSizeAction ImageSizeCache ImageSizeMultipliers ImageSizeRaw ImageSubtract ImageTake ImageTransformation ImageTrim ImageType ImageValue ImageValuePositions ImagingDevice ImplicitRegion Implies Import ImportAutoReplacements ImportByteArray ImportOptions ImportString ImprovementImportance In Inactivate Inactive IncidenceGraph IncidenceList IncidenceMatrix IncludeAromaticBonds IncludeConstantBasis IncludeDefinitions IncludeDirectories IncludeFileExtension IncludeGeneratorTasks IncludeHydrogens IncludeInflections IncludeMetaInformation IncludePods IncludeQuantities IncludeRelatedTables IncludeSingularTerm IncludeWindowTimes Increment IndefiniteMatrixQ Indent IndentingNewlineSpacings IndentMaxFraction IndependenceTest IndependentEdgeSetQ IndependentPhysicalQuantity IndependentUnit IndependentUnitDimension IndependentVertexSetQ Indeterminate IndeterminateThreshold IndexCreationOptions Indexed IndexGraph IndexTag Inequality InexactNumberQ InexactNumbers InfiniteLine InfinitePlane Infinity Infix InflationAdjust InflationMethod Information InformationData InformationDataGrid Inherited InheritScope InhomogeneousPoissonProcess InitialEvaluationHistory Initialization InitializationCell InitializationCellEvaluation InitializationCellWarning InitializationObjects InitializationValue Initialize InitialSeeding InlineCounterAssignments InlineCounterIncrements InlineRules Inner InnerPolygon InnerPolyhedron Inpaint Input InputAliases InputAssumptions InputAutoReplacements InputField InputFieldBox InputFieldBoxOptions InputForm InputGrouping InputNamePacket InputNotebook InputPacket InputSettings InputStream InputString InputStringPacket InputToBoxFormPacket Insert InsertionFunction InsertionPointObject InsertLinebreaks InsertResults Inset Inset3DBox Inset3DBoxOptions InsetBox InsetBoxOptions Insphere Install InstallService InstanceNormalizationLayer InString Integer IntegerDigits IntegerExponent IntegerLength IntegerName IntegerPart IntegerPartitions IntegerQ IntegerReverse Integers IntegerString Integral Integrate Interactive InteractiveTradingChart Interlaced Interleaving InternallyBalancedDecomposition InterpolatingFunction InterpolatingPolynomial Interpolation InterpolationOrder InterpolationPoints InterpolationPrecision Interpretation InterpretationBox InterpretationBoxOptions InterpretationFunction Interpreter InterpretTemplate InterquartileRange Interrupt InterruptSettings IntersectingQ Intersection Interval IntervalIntersection IntervalMarkers IntervalMarkersStyle IntervalMemberQ IntervalSlider IntervalUnion Into Inverse InverseBetaRegularized InverseCDF InverseChiSquareDistribution InverseContinuousWaveletTransform InverseDistanceTransform InverseEllipticNomeQ InverseErf InverseErfc InverseFourier InverseFourierCosTransform InverseFourierSequenceTransform InverseFourierSinTransform InverseFourierTransform InverseFunction InverseFunctions InverseGammaDistribution InverseGammaRegularized InverseGaussianDistribution InverseGudermannian InverseHankelTransform InverseHaversine InverseImagePyramid InverseJacobiCD InverseJacobiCN InverseJacobiCS InverseJacobiDC InverseJacobiDN InverseJacobiDS InverseJacobiNC InverseJacobiND InverseJacobiNS InverseJacobiSC InverseJacobiSD InverseJacobiSN InverseLaplaceTransform InverseMellinTransform InversePermutation InverseRadon InverseRadonTransform InverseSeries InverseShortTimeFourier InverseSpectrogram InverseSurvivalFunction InverseTransformedRegion InverseWaveletTransform InverseWeierstrassP InverseWishartMatrixDistribution InverseZTransform Invisible InvisibleApplication InvisibleTimes IPAddress IrreduciblePolynomialQ IslandData IsolatingInterval IsomorphicGraphQ IsotopeData Italic Item ItemAspectRatio ItemBox ItemBoxOptions ItemSize ItemStyle ItoProcess' +\n 'JaccardDissimilarity JacobiAmplitude Jacobian JacobiCD JacobiCN JacobiCS JacobiDC JacobiDN JacobiDS JacobiNC JacobiND JacobiNS JacobiP JacobiSC JacobiSD JacobiSN JacobiSymbol JacobiZeta JankoGroupJ1 JankoGroupJ2 JankoGroupJ3 JankoGroupJ4 JarqueBeraALMTest JohnsonDistribution Join JoinAcross Joined JoinedCurve JoinedCurveBox JoinedCurveBoxOptions JoinForm JordanDecomposition JordanModelDecomposition JulianDate JuliaSetBoettcher JuliaSetIterationCount JuliaSetPlot JuliaSetPoints' +\n 'K KagiChart KaiserBesselWindow KaiserWindow KalmanEstimator KalmanFilter KarhunenLoeveDecomposition KaryTree KatzCentrality KCoreComponents KDistribution KEdgeConnectedComponents KEdgeConnectedGraphQ KelvinBei KelvinBer KelvinKei KelvinKer KendallTau KendallTauTest KernelExecute KernelFunction KernelMixtureDistribution Kernels Ket Key KeyCollisionFunction KeyComplement KeyDrop KeyDropFrom KeyExistsQ KeyFreeQ KeyIntersection KeyMap KeyMemberQ KeypointStrength Keys KeySelect KeySort KeySortBy KeyTake KeyUnion KeyValueMap KeyValuePattern Khinchin KillProcess KirchhoffGraph KirchhoffMatrix KleinInvariantJ KnapsackSolve KnightTourGraph KnotData KnownUnitQ KochCurve KolmogorovSmirnovTest KroneckerDelta KroneckerModelDecomposition KroneckerProduct KroneckerSymbol KuiperTest KumaraswamyDistribution Kurtosis KuwaharaFilter KVertexConnectedComponents KVertexConnectedGraphQ' +\n 'LABColor Label Labeled LabeledSlider LabelingFunction LabelingSize LabelStyle LabelVisibility LaguerreL LakeData LambdaComponents LambertW LaminaData LanczosWindow LandauDistribution Language LanguageCategory LanguageData LanguageIdentify LanguageOptions LaplaceDistribution LaplaceTransform Laplacian LaplacianFilter LaplacianGaussianFilter Large Larger Last Latitude LatitudeLongitude LatticeData LatticeReduce Launch LaunchKernels LayeredGraphPlot LayerSizeFunction LayoutInformation LCHColor LCM LeaderSize LeafCount LeapYearQ LearnDistribution LearnedDistribution LearningRate LearningRateMultipliers LeastSquares LeastSquaresFilterKernel Left LeftArrow LeftArrowBar LeftArrowRightArrow LeftDownTeeVector LeftDownVector LeftDownVectorBar LeftRightArrow LeftRightVector LeftTee LeftTeeArrow LeftTeeVector LeftTriangle LeftTriangleBar LeftTriangleEqual LeftUpDownVector LeftUpTeeVector LeftUpVector LeftUpVectorBar LeftVector LeftVectorBar LegendAppearance Legended LegendFunction LegendLabel LegendLayout LegendMargins LegendMarkers LegendMarkerSize LegendreP LegendreQ LegendreType Length LengthWhile LerchPhi Less LessEqual LessEqualGreater LessEqualThan LessFullEqual LessGreater LessLess LessSlantEqual LessThan LessTilde LetterCharacter LetterCounts LetterNumber LetterQ Level LeveneTest LeviCivitaTensor LevyDistribution Lexicographic LibraryDataType LibraryFunction LibraryFunctionError LibraryFunctionInformation LibraryFunctionLoad LibraryFunctionUnload LibraryLoad LibraryUnload LicenseID LiftingFilterData LiftingWaveletTransform LightBlue LightBrown LightCyan Lighter LightGray LightGreen Lighting LightingAngle LightMagenta LightOrange LightPink LightPurple LightRed LightSources LightYellow Likelihood Limit LimitsPositioning LimitsPositioningTokens LindleyDistribution Line Line3DBox Line3DBoxOptions LinearFilter LinearFractionalOptimization LinearFractionalTransform LinearGradientImage LinearizingTransformationData LinearLayer LinearModelFit LinearOffsetFunction LinearOptimization LinearProgramming LinearRecurrence LinearSolve LinearSolveFunction LineBox LineBoxOptions LineBreak LinebreakAdjustments LineBreakChart LinebreakSemicolonWeighting LineBreakWithin LineColor LineGraph LineIndent LineIndentMaxFraction LineIntegralConvolutionPlot LineIntegralConvolutionScale LineLegend LineOpacity LineSpacing LineWrapParts LinkActivate LinkClose LinkConnect LinkConnectedQ LinkCreate LinkError LinkFlush LinkFunction LinkHost LinkInterrupt LinkLaunch LinkMode LinkObject LinkOpen LinkOptions LinkPatterns LinkProtocol LinkRankCentrality LinkRead LinkReadHeld LinkReadyQ Links LinkService LinkWrite LinkWriteHeld LiouvilleLambda List Listable ListAnimate ListContourPlot ListContourPlot3D ListConvolve ListCorrelate ListCurvePathPlot ListDeconvolve ListDensityPlot ListDensityPlot3D Listen ListFormat ListFourierSequenceTransform ListInterpolation ListLineIntegralConvolutionPlot ListLinePlot ListLogLinearPlot ListLogLogPlot ListLogPlot ListPicker ListPickerBox ListPickerBoxBackground ListPickerBoxOptions ListPlay ListPlot ListPlot3D ListPointPlot3D ListPolarPlot ListQ ListSliceContourPlot3D ListSliceDensityPlot3D ListSliceVectorPlot3D ListStepPlot ListStreamDensityPlot ListStreamPlot ListSurfacePlot3D ListVectorDensityPlot ListVectorPlot ListVectorPlot3D ListZTransform Literal LiteralSearch LocalAdaptiveBinarize LocalCache LocalClusteringCoefficient LocalizeDefinitions LocalizeVariables LocalObject LocalObjects LocalResponseNormalizationLayer LocalSubmit LocalSymbol LocalTime LocalTimeZone LocationEquivalenceTest LocationTest Locator LocatorAutoCreate LocatorBox LocatorBoxOptions LocatorCentering LocatorPane LocatorPaneBox LocatorPaneBoxOptions LocatorRegion Locked Log Log10 Log2 LogBarnesG LogGamma LogGammaDistribution LogicalExpand LogIntegral LogisticDistribution LogisticSigmoid LogitModelFit LogLikelihood LogLinearPlot LogLogisticDistribution LogLogPlot LogMultinormalDistribution LogNormalDistribution LogPlot LogRankTest LogSeriesDistribution LongEqual Longest LongestCommonSequence LongestCommonSequencePositions LongestCommonSubsequence LongestCommonSubsequencePositions LongestMatch LongestOrderedSequence LongForm Longitude LongLeftArrow LongLeftRightArrow LongRightArrow LongShortTermMemoryLayer Lookup Loopback LoopFreeGraphQ LossFunction LowerCaseQ LowerLeftArrow LowerRightArrow LowerTriangularize LowerTriangularMatrixQ LowpassFilter LQEstimatorGains LQGRegulator LQOutputRegulatorGains LQRegulatorGains LUBackSubstitution LucasL LuccioSamiComponents LUDecomposition LunarEclipse LUVColor LyapunovSolve LyonsGroupLy' +\n 'MachineID MachineName MachineNumberQ MachinePrecision MacintoshSystemPageSetup Magenta Magnification Magnify MailAddressValidation MailExecute MailFolder MailItem MailReceiverFunction MailResponseFunction MailSearch MailServerConnect MailServerConnection MailSettings MainSolve MaintainDynamicCaches Majority MakeBoxes MakeExpression MakeRules ManagedLibraryExpressionID ManagedLibraryExpressionQ MandelbrotSetBoettcher MandelbrotSetDistance MandelbrotSetIterationCount MandelbrotSetMemberQ MandelbrotSetPlot MangoldtLambda ManhattanDistance Manipulate Manipulator MannedSpaceMissionData MannWhitneyTest MantissaExponent Manual Map MapAll MapAt MapIndexed MAProcess MapThread MarchenkoPasturDistribution MarcumQ MardiaCombinedTest MardiaKurtosisTest MardiaSkewnessTest MarginalDistribution MarkovProcessProperties Masking MatchingDissimilarity MatchLocalNameQ MatchLocalNames MatchQ Material MathematicalFunctionData MathematicaNotation MathieuC MathieuCharacteristicA MathieuCharacteristicB MathieuCharacteristicExponent MathieuCPrime MathieuGroupM11 MathieuGroupM12 MathieuGroupM22 MathieuGroupM23 MathieuGroupM24 MathieuS MathieuSPrime MathMLForm MathMLText Matrices MatrixExp MatrixForm MatrixFunction MatrixLog MatrixNormalDistribution MatrixPlot MatrixPower MatrixPropertyDistribution MatrixQ MatrixRank MatrixTDistribution Max MaxBend MaxCellMeasure MaxColorDistance MaxDetect MaxDuration MaxExtraBandwidths MaxExtraConditions MaxFeatureDisplacement MaxFeatures MaxFilter MaximalBy Maximize MaxItems MaxIterations MaxLimit MaxMemoryUsed MaxMixtureKernels MaxOverlapFraction MaxPlotPoints MaxPoints MaxRecursion MaxStableDistribution MaxStepFraction MaxSteps MaxStepSize MaxTrainingRounds MaxValue MaxwellDistribution MaxWordGap McLaughlinGroupMcL Mean MeanAbsoluteLossLayer MeanAround MeanClusteringCoefficient MeanDegreeConnectivity MeanDeviation MeanFilter MeanGraphDistance MeanNeighborDegree MeanShift MeanShiftFilter MeanSquaredLossLayer Median MedianDeviation MedianFilter MedicalTestData Medium MeijerG MeijerGReduce MeixnerDistribution MellinConvolve MellinTransform MemberQ MemoryAvailable MemoryConstrained MemoryConstraint MemoryInUse MengerMesh Menu MenuAppearance MenuCommandKey MenuEvaluator MenuItem MenuList MenuPacket MenuSortingValue MenuStyle MenuView Merge MergeDifferences MergingFunction MersennePrimeExponent MersennePrimeExponentQ Mesh MeshCellCentroid MeshCellCount MeshCellHighlight MeshCellIndex MeshCellLabel MeshCellMarker MeshCellMeasure MeshCellQuality MeshCells MeshCellShapeFunction MeshCellStyle MeshCoordinates MeshFunctions MeshPrimitives MeshQualityGoal MeshRange MeshRefinementFunction MeshRegion MeshRegionQ MeshShading MeshStyle Message MessageDialog MessageList MessageName MessageObject MessageOptions MessagePacket Messages MessagesNotebook MetaCharacters MetaInformation MeteorShowerData Method MethodOptions MexicanHatWavelet MeyerWavelet Midpoint Min MinColorDistance MinDetect MineralData MinFilter MinimalBy MinimalPolynomial MinimalStateSpaceModel Minimize MinimumTimeIncrement MinIntervalSize MinkowskiQuestionMark MinLimit MinMax MinorPlanetData Minors MinRecursion MinSize MinStableDistribution Minus MinusPlus MinValue Missing MissingBehavior MissingDataMethod MissingDataRules MissingQ MissingString MissingStyle MissingValuePattern MittagLefflerE MixedFractionParts MixedGraphQ MixedMagnitude MixedRadix MixedRadixQuantity MixedUnit MixtureDistribution Mod Modal Mode Modular ModularInverse ModularLambda Module Modulus MoebiusMu Molecule MoleculeContainsQ MoleculeEquivalentQ MoleculeGraph MoleculeModify MoleculePattern MoleculePlot MoleculePlot3D MoleculeProperty MoleculeQ MoleculeValue Moment Momentary MomentConvert MomentEvaluate MomentGeneratingFunction MomentOfInertia Monday Monitor MonomialList MonomialOrder MonsterGroupM MoonPhase MoonPosition MorletWavelet MorphologicalBinarize MorphologicalBranchPoints MorphologicalComponents MorphologicalEulerNumber MorphologicalGraph MorphologicalPerimeter MorphologicalTransform MortalityData Most MountainData MouseAnnotation MouseAppearance MouseAppearanceTag MouseButtons Mouseover MousePointerNote MousePosition MovieData MovingAverage MovingMap MovingMedian MoyalDistribution Multicolumn MultiedgeStyle MultigraphQ MultilaunchWarning MultiLetterItalics MultiLetterStyle MultilineFunction Multinomial MultinomialDistribution MultinormalDistribution MultiplicativeOrder Multiplicity MultiplySides Multiselection MultivariateHypergeometricDistribution MultivariatePoissonDistribution MultivariateTDistribution' +\n 'N NakagamiDistribution NameQ Names NamespaceBox NamespaceBoxOptions Nand NArgMax NArgMin NBernoulliB NBodySimulation NBodySimulationData NCache NDEigensystem NDEigenvalues NDSolve NDSolveValue Nearest NearestFunction NearestNeighborGraph NearestTo NebulaData NeedCurrentFrontEndPackagePacket NeedCurrentFrontEndSymbolsPacket NeedlemanWunschSimilarity Needs Negative NegativeBinomialDistribution NegativeDefiniteMatrixQ NegativeIntegers NegativeMultinomialDistribution NegativeRationals NegativeReals NegativeSemidefiniteMatrixQ NeighborhoodData NeighborhoodGraph Nest NestedGreaterGreater NestedLessLess NestedScriptRules NestGraph NestList NestWhile NestWhileList NetAppend NetBidirectionalOperator NetChain NetDecoder NetDelete NetDrop NetEncoder NetEvaluationMode NetExtract NetFlatten NetFoldOperator NetGraph NetInformation NetInitialize NetInsert NetInsertSharedArrays NetJoin NetMapOperator NetMapThreadOperator NetMeasurements NetModel NetNestOperator NetPairEmbeddingOperator NetPort NetPortGradient NetPrepend NetRename NetReplace NetReplacePart NetSharedArray NetStateObject NetTake NetTrain NetTrainResultsObject NetworkPacketCapture NetworkPacketRecording NetworkPacketRecordingDuring NetworkPacketTrace NeumannValue NevilleThetaC NevilleThetaD NevilleThetaN NevilleThetaS NewPrimitiveStyle NExpectation Next NextCell NextDate NextPrime NextScheduledTaskTime NHoldAll NHoldFirst NHoldRest NicholsGridLines NicholsPlot NightHemisphere NIntegrate NMaximize NMaxValue NMinimize NMinValue NominalVariables NonAssociative NoncentralBetaDistribution NoncentralChiSquareDistribution NoncentralFRatioDistribution NoncentralStudentTDistribution NonCommutativeMultiply NonConstants NondimensionalizationTransform None NoneTrue NonlinearModelFit NonlinearStateSpaceModel NonlocalMeansFilter NonNegative NonNegativeIntegers NonNegativeRationals NonNegativeReals NonPositive NonPositiveIntegers NonPositiveRationals NonPositiveReals Nor NorlundB Norm Normal NormalDistribution NormalGrouping NormalizationLayer Normalize Normalized NormalizedSquaredEuclideanDistance NormalMatrixQ NormalsFunction NormFunction Not NotCongruent NotCupCap NotDoubleVerticalBar Notebook NotebookApply NotebookAutoSave NotebookClose NotebookConvertSettings NotebookCreate NotebookCreateReturnObject NotebookDefault NotebookDelete NotebookDirectory NotebookDynamicExpression NotebookEvaluate NotebookEventActions NotebookFileName NotebookFind NotebookFindReturnObject NotebookGet NotebookGetLayoutInformationPacket NotebookGetMisspellingsPacket NotebookImport NotebookInformation NotebookInterfaceObject NotebookLocate NotebookObject NotebookOpen NotebookOpenReturnObject NotebookPath NotebookPrint NotebookPut NotebookPutReturnObject NotebookRead NotebookResetGeneratedCells Notebooks NotebookSave NotebookSaveAs NotebookSelection NotebookSetupLayoutInformationPacket NotebooksMenu NotebookTemplate NotebookWrite NotElement NotEqualTilde NotExists NotGreater NotGreaterEqual NotGreaterFullEqual NotGreaterGreater NotGreaterLess NotGreaterSlantEqual NotGreaterTilde Nothing NotHumpDownHump NotHumpEqual NotificationFunction NotLeftTriangle NotLeftTriangleBar NotLeftTriangleEqual NotLess NotLessEqual NotLessFullEqual NotLessGreater NotLessLess NotLessSlantEqual NotLessTilde NotNestedGreaterGreater NotNestedLessLess NotPrecedes NotPrecedesEqual NotPrecedesSlantEqual NotPrecedesTilde NotReverseElement NotRightTriangle NotRightTriangleBar NotRightTriangleEqual NotSquareSubset NotSquareSubsetEqual NotSquareSuperset NotSquareSupersetEqual NotSubset NotSubsetEqual NotSucceeds NotSucceedsEqual NotSucceedsSlantEqual NotSucceedsTilde NotSuperset NotSupersetEqual NotTilde NotTildeEqual NotTildeFullEqual NotTildeTilde NotVerticalBar Now NoWhitespace NProbability NProduct NProductFactors NRoots NSolve NSum NSumTerms NuclearExplosionData NuclearReactorData Null NullRecords NullSpace NullWords Number NumberCompose NumberDecompose NumberExpand NumberFieldClassNumber NumberFieldDiscriminant NumberFieldFundamentalUnits NumberFieldIntegralBasis NumberFieldNormRepresentatives NumberFieldRegulator NumberFieldRootsOfUnity NumberFieldSignature NumberForm NumberFormat NumberLinePlot NumberMarks NumberMultiplier NumberPadding NumberPoint NumberQ NumberSeparator NumberSigns NumberString Numerator NumeratorDenominator NumericalOrder NumericalSort NumericArray NumericArrayQ NumericArrayType NumericFunction NumericQ NuttallWindow NValues NyquistGridLines NyquistPlot' +\n 'O ObservabilityGramian ObservabilityMatrix ObservableDecomposition ObservableModelQ OceanData Octahedron OddQ Off Offset OLEData On ONanGroupON Once OneIdentity Opacity OpacityFunction OpacityFunctionScaling Open OpenAppend Opener OpenerBox OpenerBoxOptions OpenerView OpenFunctionInspectorPacket Opening OpenRead OpenSpecialOptions OpenTemporary OpenWrite Operate OperatingSystem OptimumFlowData Optional OptionalElement OptionInspectorSettings OptionQ Options OptionsPacket OptionsPattern OptionValue OptionValueBox OptionValueBoxOptions Or Orange Order OrderDistribution OrderedQ Ordering OrderingBy OrderingLayer Orderless OrderlessPatternSequence OrnsteinUhlenbeckProcess Orthogonalize OrthogonalMatrixQ Out Outer OuterPolygon OuterPolyhedron OutputAutoOverwrite OutputControllabilityMatrix OutputControllableModelQ OutputForm OutputFormData OutputGrouping OutputMathEditExpression OutputNamePacket OutputResponse OutputSizeLimit OutputStream Over OverBar OverDot Overflow OverHat Overlaps Overlay OverlayBox OverlayBoxOptions Overscript OverscriptBox OverscriptBoxOptions OverTilde OverVector OverwriteTarget OwenT OwnValues' +\n 'Package PackingMethod PaddedForm Padding PaddingLayer PaddingSize PadeApproximant PadLeft PadRight PageBreakAbove PageBreakBelow PageBreakWithin PageFooterLines PageFooters PageHeaderLines PageHeaders PageHeight PageRankCentrality PageTheme PageWidth Pagination PairedBarChart PairedHistogram PairedSmoothHistogram PairedTTest PairedZTest PaletteNotebook PalettePath PalindromeQ Pane PaneBox PaneBoxOptions Panel PanelBox PanelBoxOptions Paneled PaneSelector PaneSelectorBox PaneSelectorBoxOptions PaperWidth ParabolicCylinderD ParagraphIndent ParagraphSpacing ParallelArray ParallelCombine ParallelDo Parallelepiped ParallelEvaluate Parallelization Parallelize ParallelMap ParallelNeeds Parallelogram ParallelProduct ParallelSubmit ParallelSum ParallelTable ParallelTry Parameter ParameterEstimator ParameterMixtureDistribution ParameterVariables ParametricFunction ParametricNDSolve ParametricNDSolveValue ParametricPlot ParametricPlot3D ParametricRegion ParentBox ParentCell ParentConnect ParentDirectory ParentForm Parenthesize ParentList ParentNotebook ParetoDistribution ParetoPickandsDistribution ParkData Part PartBehavior PartialCorrelationFunction PartialD ParticleAcceleratorData ParticleData Partition PartitionGranularity PartitionsP PartitionsQ PartLayer PartOfSpeech PartProtection ParzenWindow PascalDistribution PassEventsDown PassEventsUp Paste PasteAutoQuoteCharacters PasteBoxFormInlineCells PasteButton Path PathGraph PathGraphQ Pattern PatternSequence PatternTest PauliMatrix PaulWavelet Pause PausedTime PDF PeakDetect PeanoCurve PearsonChiSquareTest PearsonCorrelationTest PearsonDistribution PercentForm PerfectNumber PerfectNumberQ PerformanceGoal Perimeter PeriodicBoundaryCondition PeriodicInterpolation Periodogram PeriodogramArray Permanent Permissions PermissionsGroup PermissionsGroupMemberQ PermissionsGroups PermissionsKey PermissionsKeys PermutationCycles PermutationCyclesQ PermutationGroup PermutationLength PermutationList PermutationListQ PermutationMax PermutationMin PermutationOrder PermutationPower PermutationProduct PermutationReplace Permutations PermutationSupport Permute PeronaMalikFilter Perpendicular PerpendicularBisector PersistenceLocation PersistenceTime PersistentObject PersistentObjects PersistentValue PersonData PERTDistribution PetersenGraph PhaseMargins PhaseRange PhysicalSystemData Pi Pick PIDData PIDDerivativeFilter PIDFeedforward PIDTune Piecewise PiecewiseExpand PieChart PieChart3D PillaiTrace PillaiTraceTest PingTime Pink PitchRecognize Pivoting PixelConstrained PixelValue PixelValuePositions Placed Placeholder PlaceholderReplace Plain PlanarAngle PlanarGraph PlanarGraphQ PlanckRadiationLaw PlaneCurveData PlanetaryMoonData PlanetData PlantData Play PlayRange Plot Plot3D Plot3Matrix PlotDivision PlotJoined PlotLabel PlotLabels PlotLayout PlotLegends PlotMarkers PlotPoints PlotRange PlotRangeClipping PlotRangeClipPlanesStyle PlotRangePadding PlotRegion PlotStyle PlotTheme Pluralize Plus PlusMinus Pochhammer PodStates PodWidth Point Point3DBox Point3DBoxOptions PointBox PointBoxOptions PointFigureChart PointLegend PointSize PoissonConsulDistribution PoissonDistribution PoissonProcess PoissonWindow PolarAxes PolarAxesOrigin PolarGridLines PolarPlot PolarTicks PoleZeroMarkers PolyaAeppliDistribution PolyGamma Polygon Polygon3DBox Polygon3DBoxOptions PolygonalNumber PolygonAngle PolygonBox PolygonBoxOptions PolygonCoordinates PolygonDecomposition PolygonHoleScale PolygonIntersections PolygonScale Polyhedron PolyhedronAngle PolyhedronCoordinates PolyhedronData PolyhedronDecomposition PolyhedronGenus PolyLog PolynomialExtendedGCD PolynomialForm PolynomialGCD PolynomialLCM PolynomialMod PolynomialQ PolynomialQuotient PolynomialQuotientRemainder PolynomialReduce PolynomialRemainder Polynomials PoolingLayer PopupMenu PopupMenuBox PopupMenuBoxOptions PopupView PopupWindow Position PositionIndex Positive PositiveDefiniteMatrixQ PositiveIntegers PositiveRationals PositiveReals PositiveSemidefiniteMatrixQ PossibleZeroQ Postfix PostScript Power PowerDistribution PowerExpand PowerMod PowerModList PowerRange PowerSpectralDensity PowersRepresentations PowerSymmetricPolynomial Precedence PrecedenceForm Precedes PrecedesEqual PrecedesSlantEqual PrecedesTilde Precision PrecisionGoal PreDecrement Predict PredictionRoot PredictorFunction PredictorInformation PredictorMeasurements PredictorMeasurementsObject PreemptProtect PreferencesPath Prefix PreIncrement Prepend PrependLayer PrependTo PreprocessingRules PreserveColor PreserveImageOptions Previous PreviousCell PreviousDate PriceGraphDistribution PrimaryPlaceholder Prime PrimeNu PrimeOmega PrimePi PrimePowerQ PrimeQ Primes PrimeZetaP PrimitivePolynomialQ PrimitiveRoot PrimitiveRootList PrincipalComponents PrincipalValue Print PrintableASCIIQ PrintAction PrintForm PrintingCopies PrintingOptions PrintingPageRange PrintingStartingPageNumber PrintingStyleEnvironment Printout3D Printout3DPreviewer PrintPrecision PrintTemporary Prism PrismBox PrismBoxOptions PrivateCellOptions PrivateEvaluationOptions PrivateFontOptions PrivateFrontEndOptions PrivateKey PrivateNotebookOptions PrivatePaths Probability ProbabilityDistribution ProbabilityPlot ProbabilityPr ProbabilityScalePlot ProbitModelFit ProcessConnection ProcessDirectory ProcessEnvironment Processes ProcessEstimator ProcessInformation ProcessObject ProcessParameterAssumptions ProcessParameterQ ProcessStateDomain ProcessStatus ProcessTimeDomain Product ProductDistribution ProductLog ProgressIndicator ProgressIndicatorBox ProgressIndicatorBoxOptions Projection Prolog PromptForm ProofObject Properties Property PropertyList PropertyValue Proportion Proportional Protect Protected ProteinData Pruning PseudoInverse PsychrometricPropertyData PublicKey PublisherID PulsarData PunctuationCharacter Purple Put PutAppend Pyramid PyramidBox PyramidBoxOptions' +\n 'QBinomial QFactorial QGamma QHypergeometricPFQ QnDispersion QPochhammer QPolyGamma QRDecomposition QuadraticIrrationalQ QuadraticOptimization Quantile QuantilePlot Quantity QuantityArray QuantityDistribution QuantityForm QuantityMagnitude QuantityQ QuantityUnit QuantityVariable QuantityVariableCanonicalUnit QuantityVariableDimensions QuantityVariableIdentifier QuantityVariablePhysicalQuantity Quartics QuartileDeviation Quartiles QuartileSkewness Query QueueingNetworkProcess QueueingProcess QueueProperties Quiet Quit Quotient QuotientRemainder' +\n 'RadialGradientImage RadialityCentrality RadicalBox RadicalBoxOptions RadioButton RadioButtonBar RadioButtonBox RadioButtonBoxOptions Radon RadonTransform RamanujanTau RamanujanTauL RamanujanTauTheta RamanujanTauZ Ramp Random RandomChoice RandomColor RandomComplex RandomEntity RandomFunction RandomGeoPosition RandomGraph RandomImage RandomInstance RandomInteger RandomPermutation RandomPoint RandomPolygon RandomPolyhedron RandomPrime RandomReal RandomSample RandomSeed RandomSeeding RandomVariate RandomWalkProcess RandomWord Range RangeFilter RangeSpecification RankedMax RankedMin RarerProbability Raster Raster3D Raster3DBox Raster3DBoxOptions RasterArray RasterBox RasterBoxOptions Rasterize RasterSize Rational RationalFunctions Rationalize Rationals Ratios RawArray RawBoxes RawData RawMedium RayleighDistribution Re Read ReadByteArray ReadLine ReadList ReadProtected ReadString Real RealAbs RealBlockDiagonalForm RealDigits RealExponent Reals RealSign Reap RecognitionPrior RecognitionThreshold Record RecordLists RecordSeparators Rectangle RectangleBox RectangleBoxOptions RectangleChart RectangleChart3D RectangularRepeatingElement RecurrenceFilter RecurrenceTable RecurringDigitsForm Red Reduce RefBox ReferenceLineStyle ReferenceMarkers ReferenceMarkerStyle Refine ReflectionMatrix ReflectionTransform Refresh RefreshRate Region RegionBinarize RegionBoundary RegionBounds RegionCentroid RegionDifference RegionDimension RegionDisjoint RegionDistance RegionDistanceFunction RegionEmbeddingDimension RegionEqual RegionFunction RegionImage RegionIntersection RegionMeasure RegionMember RegionMemberFunction RegionMoment RegionNearest RegionNearestFunction RegionPlot RegionPlot3D RegionProduct RegionQ RegionResize RegionSize RegionSymmetricDifference RegionUnion RegionWithin RegisterExternalEvaluator RegularExpression Regularization RegularlySampledQ RegularPolygon ReIm ReImLabels ReImPlot ReImStyle Reinstall RelationalDatabase RelationGraph Release ReleaseHold ReliabilityDistribution ReliefImage ReliefPlot RemoteAuthorizationCaching RemoteConnect RemoteConnectionObject RemoteFile RemoteRun RemoteRunProcess Remove RemoveAlphaChannel RemoveAsynchronousTask RemoveAudioStream RemoveBackground RemoveChannelListener RemoveChannelSubscribers Removed RemoveDiacritics RemoveInputStreamMethod RemoveOutputStreamMethod RemoveProperty RemoveScheduledTask RemoveUsers RenameDirectory RenameFile RenderAll RenderingOptions RenewalProcess RenkoChart RepairMesh Repeated RepeatedNull RepeatedString RepeatedTiming RepeatingElement Replace ReplaceAll ReplaceHeldPart ReplaceImageValue ReplaceList ReplacePart ReplacePixelValue ReplaceRepeated ReplicateLayer RequiredPhysicalQuantities Resampling ResamplingAlgorithmData ResamplingMethod Rescale RescalingTransform ResetDirectory ResetMenusPacket ResetScheduledTask ReshapeLayer Residue ResizeLayer Resolve ResourceAcquire ResourceData ResourceFunction ResourceObject ResourceRegister ResourceRemove ResourceSearch ResourceSubmissionObject ResourceSubmit ResourceSystemBase ResourceUpdate ResponseForm Rest RestartInterval Restricted Resultant ResumePacket Return ReturnEntersInput ReturnExpressionPacket ReturnInputFormPacket ReturnPacket ReturnReceiptFunction ReturnTextPacket Reverse ReverseBiorthogonalSplineWavelet ReverseElement ReverseEquilibrium ReverseGraph ReverseSort ReverseSortBy ReverseUpEquilibrium RevolutionAxis RevolutionPlot3D RGBColor RiccatiSolve RiceDistribution RidgeFilter RiemannR RiemannSiegelTheta RiemannSiegelZ RiemannXi Riffle Right RightArrow RightArrowBar RightArrowLeftArrow RightComposition RightCosetRepresentative RightDownTeeVector RightDownVector RightDownVectorBar RightTee RightTeeArrow RightTeeVector RightTriangle RightTriangleBar RightTriangleEqual RightUpDownVector RightUpTeeVector RightUpVector RightUpVectorBar RightVector RightVectorBar RiskAchievementImportance RiskReductionImportance RogersTanimotoDissimilarity RollPitchYawAngles RollPitchYawMatrix RomanNumeral Root RootApproximant RootIntervals RootLocusPlot RootMeanSquare RootOfUnityQ RootReduce Roots RootSum Rotate RotateLabel RotateLeft RotateRight RotationAction RotationBox RotationBoxOptions RotationMatrix RotationTransform Round RoundImplies RoundingRadius Row RowAlignments RowBackgrounds RowBox RowHeights RowLines RowMinHeight RowReduce RowsEqual RowSpacings RSolve RSolveValue RudinShapiro RudvalisGroupRu Rule RuleCondition RuleDelayed RuleForm RulePlot RulerUnits Run RunProcess RunScheduledTask RunThrough RuntimeAttributes RuntimeOptions RussellRaoDissimilarity' +\n 'SameQ SameTest SampledEntityClass SampleDepth SampledSoundFunction SampledSoundList SampleRate SamplingPeriod SARIMAProcess SARMAProcess SASTriangle SatelliteData SatisfiabilityCount SatisfiabilityInstances SatisfiableQ Saturday Save Saveable SaveAutoDelete SaveConnection SaveDefinitions SavitzkyGolayMatrix SawtoothWave Scale Scaled ScaleDivisions ScaledMousePosition ScaleOrigin ScalePadding ScaleRanges ScaleRangeStyle ScalingFunctions ScalingMatrix ScalingTransform Scan ScheduledTask ScheduledTaskActiveQ ScheduledTaskInformation ScheduledTaskInformationData ScheduledTaskObject ScheduledTasks SchurDecomposition ScientificForm ScientificNotationThreshold ScorerGi ScorerGiPrime ScorerHi ScorerHiPrime ScreenRectangle ScreenStyleEnvironment ScriptBaselineShifts ScriptForm ScriptLevel ScriptMinSize ScriptRules ScriptSizeMultipliers Scrollbars ScrollingOptions ScrollPosition SearchAdjustment SearchIndexObject SearchIndices SearchQueryString SearchResultObject Sec Sech SechDistribution SecondOrderConeOptimization SectionGrouping SectorChart SectorChart3D SectorOrigin SectorSpacing SecuredAuthenticationKey SecuredAuthenticationKeys SeedRandom Select Selectable SelectComponents SelectedCells SelectedNotebook SelectFirst Selection SelectionAnimate SelectionCell SelectionCellCreateCell SelectionCellDefaultStyle SelectionCellParentStyle SelectionCreateCell SelectionDebuggerTag SelectionDuplicateCell SelectionEvaluate SelectionEvaluateCreateCell SelectionMove SelectionPlaceholder SelectionSetStyle SelectWithContents SelfLoops SelfLoopStyle SemanticImport SemanticImportString SemanticInterpretation SemialgebraicComponentInstances SemidefiniteOptimization SendMail SendMessage Sequence SequenceAlignment SequenceAttentionLayer SequenceCases SequenceCount SequenceFold SequenceFoldList SequenceForm SequenceHold SequenceLastLayer SequenceMostLayer SequencePosition SequencePredict SequencePredictorFunction SequenceReplace SequenceRestLayer SequenceReverseLayer SequenceSplit Series SeriesCoefficient SeriesData ServiceConnect ServiceDisconnect ServiceExecute ServiceObject ServiceRequest ServiceResponse ServiceSubmit SessionSubmit SessionTime Set SetAccuracy SetAlphaChannel SetAttributes Setbacks SetBoxFormNamesPacket SetCloudDirectory SetCookies SetDelayed SetDirectory SetEnvironment SetEvaluationNotebook SetFileDate SetFileLoadingContext SetNotebookStatusLine SetOptions SetOptionsPacket SetPermissions SetPrecision SetProperty SetSecuredAuthenticationKey SetSelectedNotebook SetSharedFunction SetSharedVariable SetSpeechParametersPacket SetStreamPosition SetSystemModel SetSystemOptions Setter SetterBar SetterBox SetterBoxOptions Setting SetUsers SetValue Shading Shallow ShannonWavelet ShapiroWilkTest Share SharingList Sharpen ShearingMatrix ShearingTransform ShellRegion ShenCastanMatrix ShiftedGompertzDistribution ShiftRegisterSequence Short ShortDownArrow Shortest ShortestMatch ShortestPathFunction ShortLeftArrow ShortRightArrow ShortTimeFourier ShortTimeFourierData ShortUpArrow Show ShowAutoConvert ShowAutoSpellCheck ShowAutoStyles ShowCellBracket ShowCellLabel ShowCellTags ShowClosedCellArea ShowCodeAssist ShowContents ShowControls ShowCursorTracker ShowGroupOpenCloseIcon ShowGroupOpener ShowInvisibleCharacters ShowPageBreaks ShowPredictiveInterface ShowSelection ShowShortBoxForm ShowSpecialCharacters ShowStringCharacters ShowSyntaxStyles ShrinkingDelay ShrinkWrapBoundingBox SiderealTime SiegelTheta SiegelTukeyTest SierpinskiCurve SierpinskiMesh Sign Signature SignedRankTest SignedRegionDistance SignificanceLevel SignPadding SignTest SimilarityRules SimpleGraph SimpleGraphQ SimplePolygonQ SimplePolyhedronQ Simplex Simplify Sin Sinc SinghMaddalaDistribution SingleEvaluation SingleLetterItalics SingleLetterStyle SingularValueDecomposition SingularValueList SingularValuePlot SingularValues Sinh SinhIntegral SinIntegral SixJSymbol Skeleton SkeletonTransform SkellamDistribution Skewness SkewNormalDistribution SkinStyle Skip SliceContourPlot3D SliceDensityPlot3D SliceDistribution SliceVectorPlot3D Slider Slider2D Slider2DBox Slider2DBoxOptions SliderBox SliderBoxOptions SlideView Slot SlotSequence Small SmallCircle Smaller SmithDecomposition SmithDelayCompensator SmithWatermanSimilarity SmoothDensityHistogram SmoothHistogram SmoothHistogram3D SmoothKernelDistribution SnDispersion Snippet SnubPolyhedron SocialMediaData Socket SocketConnect SocketListen SocketListener SocketObject SocketOpen SocketReadMessage SocketReadyQ Sockets SocketWaitAll SocketWaitNext SoftmaxLayer SokalSneathDissimilarity SolarEclipse SolarSystemFeatureData SolidAngle SolidData SolidRegionQ Solve SolveAlways SolveDelayed Sort SortBy SortedBy SortedEntityClass Sound SoundAndGraphics SoundNote SoundVolume SourceLink Sow Space SpaceCurveData SpaceForm Spacer Spacings Span SpanAdjustments SpanCharacterRounding SpanFromAbove SpanFromBoth SpanFromLeft SpanLineThickness SpanMaxSize SpanMinSize SpanningCharacters SpanSymmetric SparseArray SpatialGraphDistribution SpatialMedian SpatialTransformationLayer Speak SpeakTextPacket SpearmanRankTest SpearmanRho SpeciesData SpecificityGoal SpectralLineData Spectrogram SpectrogramArray Specularity SpeechRecognize SpeechSynthesize SpellingCorrection SpellingCorrectionList SpellingDictionaries SpellingDictionariesPath SpellingOptions SpellingSuggestionsPacket Sphere SphereBox SpherePoints SphericalBesselJ SphericalBesselY SphericalHankelH1 SphericalHankelH2 SphericalHarmonicY SphericalPlot3D SphericalRegion SphericalShell SpheroidalEigenvalue SpheroidalJoiningFactor SpheroidalPS SpheroidalPSPrime SpheroidalQS SpheroidalQSPrime SpheroidalRadialFactor SpheroidalS1 SpheroidalS1Prime SpheroidalS2 SpheroidalS2Prime Splice SplicedDistribution SplineClosed SplineDegree SplineKnots SplineWeights Split SplitBy SpokenString Sqrt SqrtBox SqrtBoxOptions Square SquaredEuclideanDistance SquareFreeQ SquareIntersection SquareMatrixQ SquareRepeatingElement SquaresR SquareSubset SquareSubsetEqual SquareSuperset SquareSupersetEqual SquareUnion SquareWave SSSTriangle StabilityMargins StabilityMarginsStyle StableDistribution Stack StackBegin StackComplete StackedDateListPlot StackedListPlot StackInhibit StadiumShape StandardAtmosphereData StandardDeviation StandardDeviationFilter StandardForm Standardize Standardized StandardOceanData StandbyDistribution Star StarClusterData StarData StarGraph StartAsynchronousTask StartExternalSession StartingStepSize StartOfLine StartOfString StartProcess StartScheduledTask StartupSound StartWebSession StateDimensions StateFeedbackGains StateOutputEstimator StateResponse StateSpaceModel StateSpaceRealization StateSpaceTransform StateTransformationLinearize StationaryDistribution StationaryWaveletPacketTransform StationaryWaveletTransform StatusArea StatusCentrality StepMonitor StereochemistryElements StieltjesGamma StirlingS1 StirlingS2 StopAsynchronousTask StoppingPowerData StopScheduledTask StrataVariables StratonovichProcess StreamColorFunction StreamColorFunctionScaling StreamDensityPlot StreamMarkers StreamPlot StreamPoints StreamPosition Streams StreamScale StreamStyle String StringBreak StringByteCount StringCases StringContainsQ StringCount StringDelete StringDrop StringEndsQ StringExpression StringExtract StringForm StringFormat StringFreeQ StringInsert StringJoin StringLength StringMatchQ StringPadLeft StringPadRight StringPart StringPartition StringPosition StringQ StringRepeat StringReplace StringReplaceList StringReplacePart StringReverse StringRiffle StringRotateLeft StringRotateRight StringSkeleton StringSplit StringStartsQ StringTake StringTemplate StringToByteArray StringToStream StringTrim StripBoxes StripOnInput StripWrapperBoxes StrokeForm StructuralImportance StructuredArray StructuredSelection StruveH StruveL Stub StudentTDistribution Style StyleBox StyleBoxAutoDelete StyleData StyleDefinitions StyleForm StyleHints StyleKeyMapping StyleMenuListing StyleNameDialogSettings StyleNames StylePrint StyleSheetPath Subdivide Subfactorial Subgraph SubMinus SubPlus SubresultantPolynomialRemainders SubresultantPolynomials Subresultants Subscript SubscriptBox SubscriptBoxOptions Subscripted Subsequences Subset SubsetEqual SubsetMap SubsetQ Subsets SubStar SubstitutionSystem Subsuperscript SubsuperscriptBox SubsuperscriptBoxOptions Subtract SubtractFrom SubtractSides SubValues Succeeds SucceedsEqual SucceedsSlantEqual SucceedsTilde Success SuchThat Sum SumConvergence SummationLayer Sunday SunPosition Sunrise Sunset SuperDagger SuperMinus SupernovaData SuperPlus Superscript SuperscriptBox SuperscriptBoxOptions Superset SupersetEqual SuperStar Surd SurdForm SurfaceArea SurfaceColor SurfaceData SurfaceGraphics SurvivalDistribution SurvivalFunction SurvivalModel SurvivalModelFit SuspendPacket SuzukiDistribution SuzukiGroupSuz SwatchLegend Switch Symbol SymbolName SymletWavelet Symmetric SymmetricGroup SymmetricKey SymmetricMatrixQ SymmetricPolynomial SymmetricReduction Symmetrize SymmetrizedArray SymmetrizedArrayRules SymmetrizedDependentComponents SymmetrizedIndependentComponents SymmetrizedReplacePart SynchronousInitialization SynchronousUpdating Synonyms Syntax SyntaxForm SyntaxInformation SyntaxLength SyntaxPacket SyntaxQ SynthesizeMissingValues SystemDialogInput SystemException SystemGet SystemHelpPath SystemInformation SystemInformationData SystemInstall SystemModel SystemModeler SystemModelExamples SystemModelLinearize SystemModelParametricSimulate SystemModelPlot SystemModelProgressReporting SystemModelReliability SystemModels SystemModelSimulate SystemModelSimulateSensitivity SystemModelSimulationData SystemOpen SystemOptions SystemProcessData SystemProcesses SystemsConnectionsModel SystemsModelDelay SystemsModelDelayApproximate SystemsModelDelete SystemsModelDimensions SystemsModelExtract SystemsModelFeedbackConnect SystemsModelLabels SystemsModelLinearity SystemsModelMerge SystemsModelOrder SystemsModelParallelConnect SystemsModelSeriesConnect SystemsModelStateFeedbackConnect SystemsModelVectorRelativeOrders SystemStub SystemTest' +\n 'Tab TabFilling Table TableAlignments TableDepth TableDirections TableForm TableHeadings TableSpacing TableView TableViewBox TableViewBoxBackground TableViewBoxOptions TabSpacings TabView TabViewBox TabViewBoxOptions TagBox TagBoxNote TagBoxOptions TaggingRules TagSet TagSetDelayed TagStyle TagUnset Take TakeDrop TakeLargest TakeLargestBy TakeList TakeSmallest TakeSmallestBy TakeWhile Tally Tan Tanh TargetDevice TargetFunctions TargetSystem TargetUnits TaskAbort TaskExecute TaskObject TaskRemove TaskResume Tasks TaskSuspend TaskWait TautologyQ TelegraphProcess TemplateApply TemplateArgBox TemplateBox TemplateBoxOptions TemplateEvaluate TemplateExpression TemplateIf TemplateObject TemplateSequence TemplateSlot TemplateSlotSequence TemplateUnevaluated TemplateVerbatim TemplateWith TemporalData TemporalRegularity Temporary TemporaryVariable TensorContract TensorDimensions TensorExpand TensorProduct TensorQ TensorRank TensorReduce TensorSymmetry TensorTranspose TensorWedge TestID TestReport TestReportObject TestResultObject Tetrahedron TetrahedronBox TetrahedronBoxOptions TeXForm TeXSave Text Text3DBox Text3DBoxOptions TextAlignment TextBand TextBoundingBox TextBox TextCases TextCell TextClipboardType TextContents TextData TextElement TextForm TextGrid TextJustification TextLine TextPacket TextParagraph TextPosition TextRecognize TextSearch TextSearchReport TextSentences TextString TextStructure TextStyle TextTranslation Texture TextureCoordinateFunction TextureCoordinateScaling TextWords Therefore ThermodynamicData ThermometerGauge Thick Thickness Thin Thinning ThisLink ThompsonGroupTh Thread ThreadingLayer ThreeJSymbol Threshold Through Throw ThueMorse Thumbnail Thursday Ticks TicksStyle TideData Tilde TildeEqual TildeFullEqual TildeTilde TimeConstrained TimeConstraint TimeDirection TimeFormat TimeGoal TimelinePlot TimeObject TimeObjectQ Times TimesBy TimeSeries TimeSeriesAggregate TimeSeriesForecast TimeSeriesInsert TimeSeriesInvertibility TimeSeriesMap TimeSeriesMapThread TimeSeriesModel TimeSeriesModelFit TimeSeriesResample TimeSeriesRescale TimeSeriesShift TimeSeriesThread TimeSeriesWindow TimeUsed TimeValue TimeWarpingCorrespondence TimeWarpingDistance TimeZone TimeZoneConvert TimeZoneOffset Timing Tiny TitleGrouping TitsGroupT ToBoxes ToCharacterCode ToColor ToContinuousTimeModel ToDate Today ToDiscreteTimeModel ToEntity ToeplitzMatrix ToExpression ToFileName Together Toggle ToggleFalse Toggler TogglerBar TogglerBox TogglerBoxOptions ToHeldExpression ToInvertibleTimeSeries TokenWords Tolerance ToLowerCase Tomorrow ToNumberField TooBig Tooltip TooltipBox TooltipBoxOptions TooltipDelay TooltipStyle Top TopHatTransform ToPolarCoordinates TopologicalSort ToRadicals ToRules ToSphericalCoordinates ToString Total TotalHeight TotalLayer TotalVariationFilter TotalWidth TouchPosition TouchscreenAutoZoom TouchscreenControlPlacement ToUpperCase Tr Trace TraceAbove TraceAction TraceBackward TraceDepth TraceDialog TraceForward TraceInternal TraceLevel TraceOff TraceOn TraceOriginal TracePrint TraceScan TrackedSymbols TrackingFunction TracyWidomDistribution TradingChart TraditionalForm TraditionalFunctionNotation TraditionalNotation TraditionalOrder TrainingProgressCheckpointing TrainingProgressFunction TrainingProgressMeasurements TrainingProgressReporting TrainingStoppingCriterion TransferFunctionCancel TransferFunctionExpand TransferFunctionFactor TransferFunctionModel TransferFunctionPoles TransferFunctionTransform TransferFunctionZeros TransformationClass TransformationFunction TransformationFunctions TransformationMatrix TransformedDistribution TransformedField TransformedProcess TransformedRegion TransitionDirection TransitionDuration TransitionEffect TransitiveClosureGraph TransitiveReductionGraph Translate TranslationOptions TranslationTransform Transliterate Transparent TransparentColor Transpose TransposeLayer TrapSelection TravelDirections TravelDirectionsData TravelDistance TravelDistanceList TravelMethod TravelTime TreeForm TreeGraph TreeGraphQ TreePlot TrendStyle Triangle TriangleCenter TriangleConstruct TriangleMeasurement TriangleWave TriangularDistribution TriangulateMesh Trig TrigExpand TrigFactor TrigFactorList Trigger TrigReduce TrigToExp TrimmedMean TrimmedVariance TropicalStormData True TrueQ TruncatedDistribution TruncatedPolyhedron TsallisQExponentialDistribution TsallisQGaussianDistribution TTest Tube TubeBezierCurveBox TubeBezierCurveBoxOptions TubeBox TubeBoxOptions TubeBSplineCurveBox TubeBSplineCurveBoxOptions Tuesday TukeyLambdaDistribution TukeyWindow TunnelData Tuples TuranGraph TuringMachine TuttePolynomial TwoWayRule Typed TypeSpecifier' +\n 'UnateQ Uncompress UnconstrainedParameters Undefined UnderBar Underflow Underlined Underoverscript UnderoverscriptBox UnderoverscriptBoxOptions Underscript UnderscriptBox UnderscriptBoxOptions UnderseaFeatureData UndirectedEdge UndirectedGraph UndirectedGraphQ UndoOptions UndoTrackedVariables Unequal UnequalTo Unevaluated UniformDistribution UniformGraphDistribution UniformPolyhedron UniformSumDistribution Uninstall Union UnionPlus Unique UnitaryMatrixQ UnitBox UnitConvert UnitDimensions Unitize UnitRootTest UnitSimplify UnitStep UnitSystem UnitTriangle UnitVector UnitVectorLayer UnityDimensions UniverseModelData UniversityData UnixTime Unprotect UnregisterExternalEvaluator UnsameQ UnsavedVariables Unset UnsetShared UntrackedVariables Up UpArrow UpArrowBar UpArrowDownArrow Update UpdateDynamicObjects UpdateDynamicObjectsSynchronous UpdateInterval UpdateSearchIndex UpDownArrow UpEquilibrium UpperCaseQ UpperLeftArrow UpperRightArrow UpperTriangularize UpperTriangularMatrixQ Upsample UpSet UpSetDelayed UpTee UpTeeArrow UpTo UpValues URL URLBuild URLDecode URLDispatcher URLDownload URLDownloadSubmit URLEncode URLExecute URLExpand URLFetch URLFetchAsynchronous URLParse URLQueryDecode URLQueryEncode URLRead URLResponseTime URLSave URLSaveAsynchronous URLShorten URLSubmit UseGraphicsRange UserDefinedWavelet Using UsingFrontEnd UtilityFunction' +\n 'V2Get ValenceErrorHandling ValidationLength ValidationSet Value ValueBox ValueBoxOptions ValueDimensions ValueForm ValuePreprocessingFunction ValueQ Values ValuesData Variables Variance VarianceEquivalenceTest VarianceEstimatorFunction VarianceGammaDistribution VarianceTest VectorAngle VectorAround VectorColorFunction VectorColorFunctionScaling VectorDensityPlot VectorGlyphData VectorGreater VectorGreaterEqual VectorLess VectorLessEqual VectorMarkers VectorPlot VectorPlot3D VectorPoints VectorQ Vectors VectorScale VectorStyle Vee Verbatim Verbose VerboseConvertToPostScriptPacket VerificationTest VerifyConvergence VerifyDerivedKey VerifyDigitalSignature VerifyInterpretation VerifySecurityCertificates VerifySolutions VerifyTestAssumptions Version VersionNumber VertexAdd VertexCapacity VertexColors VertexComponent VertexConnectivity VertexContract VertexCoordinateRules VertexCoordinates VertexCorrelationSimilarity VertexCosineSimilarity VertexCount VertexCoverQ VertexDataCoordinates VertexDegree VertexDelete VertexDiceSimilarity VertexEccentricity VertexInComponent VertexInDegree VertexIndex VertexJaccardSimilarity VertexLabeling VertexLabels VertexLabelStyle VertexList VertexNormals VertexOutComponent VertexOutDegree VertexQ VertexRenderingFunction VertexReplace VertexShape VertexShapeFunction VertexSize VertexStyle VertexTextureCoordinates VertexWeight VertexWeightedGraphQ Vertical VerticalBar VerticalForm VerticalGauge VerticalSeparator VerticalSlider VerticalTilde ViewAngle ViewCenter ViewMatrix ViewPoint ViewPointSelectorSettings ViewPort ViewProjection ViewRange ViewVector ViewVertical VirtualGroupData Visible VisibleCell VoiceStyleData VoigtDistribution VolcanoData Volume VonMisesDistribution VoronoiMesh' +\n 'WaitAll WaitAsynchronousTask WaitNext WaitUntil WakebyDistribution WalleniusHypergeometricDistribution WaringYuleDistribution WarpingCorrespondence WarpingDistance WatershedComponents WatsonUSquareTest WattsStrogatzGraphDistribution WaveletBestBasis WaveletFilterCoefficients WaveletImagePlot WaveletListPlot WaveletMapIndexed WaveletMatrixPlot WaveletPhi WaveletPsi WaveletScale WaveletScalogram WaveletThreshold WeaklyConnectedComponents WeaklyConnectedGraphComponents WeaklyConnectedGraphQ WeakStationarity WeatherData WeatherForecastData WebAudioSearch WebElementObject WeberE WebExecute WebImage WebImageSearch WebSearch WebSessionObject WebSessions WebWindowObject Wedge Wednesday WeibullDistribution WeierstrassE1 WeierstrassE2 WeierstrassE3 WeierstrassEta1 WeierstrassEta2 WeierstrassEta3 WeierstrassHalfPeriods WeierstrassHalfPeriodW1 WeierstrassHalfPeriodW2 WeierstrassHalfPeriodW3 WeierstrassInvariantG2 WeierstrassInvariantG3 WeierstrassInvariants WeierstrassP WeierstrassPPrime WeierstrassSigma WeierstrassZeta WeightedAdjacencyGraph WeightedAdjacencyMatrix WeightedData WeightedGraphQ Weights WelchWindow WheelGraph WhenEvent Which While White WhiteNoiseProcess WhitePoint Whitespace WhitespaceCharacter WhittakerM WhittakerW WienerFilter WienerProcess WignerD WignerSemicircleDistribution WikipediaData WikipediaSearch WilksW WilksWTest WindDirectionData WindingCount WindingPolygon WindowClickSelect WindowElements WindowFloating WindowFrame WindowFrameElements WindowMargins WindowMovable WindowOpacity WindowPersistentStyles WindowSelected WindowSize WindowStatusArea WindowTitle WindowToolbars WindowWidth WindSpeedData WindVectorData WinsorizedMean WinsorizedVariance WishartMatrixDistribution With WolframAlpha WolframAlphaDate WolframAlphaQuantity WolframAlphaResult WolframLanguageData Word WordBoundary WordCharacter WordCloud WordCount WordCounts WordData WordDefinition WordFrequency WordFrequencyData WordList WordOrientation WordSearch WordSelectionFunction WordSeparators WordSpacings WordStem WordTranslation WorkingPrecision WrapAround Write WriteLine WriteString Wronskian' +\n 'XMLElement XMLObject XMLTemplate Xnor Xor XYZColor' +\n 'Yellow Yesterday YuleDissimilarity' +\n 'ZernikeR ZeroSymmetric ZeroTest ZeroWidthTimes Zeta ZetaZero ZIPCodeData ZipfDistribution ZoomCenter ZoomFactor ZTest ZTransform' +\n '$Aborted $ActivationGroupID $ActivationKey $ActivationUserRegistered $AddOnsDirectory $AllowExternalChannelFunctions $AssertFunction $Assumptions $AsynchronousTask $AudioInputDevices $AudioOutputDevices $BaseDirectory $BatchInput $BatchOutput $BlockchainBase $BoxForms $ByteOrdering $CacheBaseDirectory $Canceled $ChannelBase $CharacterEncoding $CharacterEncodings $CloudBase $CloudConnected $CloudCreditsAvailable $CloudEvaluation $CloudExpressionBase $CloudObjectNameFormat $CloudObjectURLType $CloudRootDirectory $CloudSymbolBase $CloudUserID $CloudUserUUID $CloudVersion $CloudVersionNumber $CloudWolframEngineVersionNumber $CommandLine $CompilationTarget $ConditionHold $ConfiguredKernels $Context $ContextPath $ControlActiveSetting $Cookies $CookieStore $CreationDate $CurrentLink $CurrentTask $CurrentWebSession $DateStringFormat $DefaultAudioInputDevice $DefaultAudioOutputDevice $DefaultFont $DefaultFrontEnd $DefaultImagingDevice $DefaultLocalBase $DefaultMailbox $DefaultNetworkInterface $DefaultPath $Display $DisplayFunction $DistributedContexts $DynamicEvaluation $Echo $EmbedCodeEnvironments $EmbeddableServices $EntityStores $Epilog $EvaluationCloudBase $EvaluationCloudObject $EvaluationEnvironment $ExportFormats $Failed $FinancialDataSource $FontFamilies $FormatType $FrontEnd $FrontEndSession $GeoEntityTypes $GeoLocation $GeoLocationCity $GeoLocationCountry $GeoLocationPrecision $GeoLocationSource $HistoryLength $HomeDirectory $HTMLExportRules $HTTPCookies $HTTPRequest $IgnoreEOF $ImageFormattingWidth $ImagingDevice $ImagingDevices $ImportFormats $IncomingMailSettings $InitialDirectory $Initialization $InitializationContexts $Input $InputFileName $InputStreamMethods $Inspector $InstallationDate $InstallationDirectory $InterfaceEnvironment $InterpreterTypes $IterationLimit $KernelCount $KernelID $Language $LaunchDirectory $LibraryPath $LicenseExpirationDate $LicenseID $LicenseProcesses $LicenseServer $LicenseSubprocesses $LicenseType $Line $Linked $LinkSupported $LoadedFiles $LocalBase $LocalSymbolBase $MachineAddresses $MachineDomain $MachineDomains $MachineEpsilon $MachineID $MachineName $MachinePrecision $MachineType $MaxExtraPrecision $MaxLicenseProcesses $MaxLicenseSubprocesses $MaxMachineNumber $MaxNumber $MaxPiecewiseCases $MaxPrecision $MaxRootDegree $MessageGroups $MessageList $MessagePrePrint $Messages $MinMachineNumber $MinNumber $MinorReleaseNumber $MinPrecision $MobilePhone $ModuleNumber $NetworkConnected $NetworkInterfaces $NetworkLicense $NewMessage $NewSymbol $Notebooks $NoValue $NumberMarks $Off $OperatingSystem $Output $OutputForms $OutputSizeLimit $OutputStreamMethods $Packages $ParentLink $ParentProcessID $PasswordFile $PatchLevelID $Path $PathnameSeparator $PerformanceGoal $Permissions $PermissionsGroupBase $PersistenceBase $PersistencePath $PipeSupported $PlotTheme $Post $Pre $PreferencesDirectory $PreInitialization $PrePrint $PreRead $PrintForms $PrintLiteral $Printout3DPreviewer $ProcessID $ProcessorCount $ProcessorType $ProductInformation $ProgramName $PublisherID $RandomState $RecursionLimit $RegisteredDeviceClasses $RegisteredUserName $ReleaseNumber $RequesterAddress $RequesterWolframID $RequesterWolframUUID $ResourceSystemBase $RootDirectory $ScheduledTask $ScriptCommandLine $ScriptInputString $SecuredAuthenticationKeyTokens $ServiceCreditsAvailable $Services $SessionID $SetParentLink $SharedFunctions $SharedVariables $SoundDisplay $SoundDisplayFunction $SourceLink $SSHAuthentication $SummaryBoxDataSizeLimit $SuppressInputFormHeads $SynchronousEvaluation $SyntaxHandler $System $SystemCharacterEncoding $SystemID $SystemMemory $SystemShell $SystemTimeZone $SystemWordLength $TemplatePath $TemporaryDirectory $TemporaryPrefix $TestFileName $TextStyle $TimedOut $TimeUnit $TimeZone $TimeZoneEntity $TopDirectory $TraceOff $TraceOn $TracePattern $TracePostAction $TracePreAction $UnitSystem $Urgent $UserAddOnsDirectory $UserAgentLanguages $UserAgentMachine $UserAgentName $UserAgentOperatingSystem $UserAgentString $UserAgentVersion $UserBaseDirectory $UserDocumentsDirectory $Username $UserName $UserURLBase $Version $VersionNumber $VoiceStyles $WolframID $WolframUUID',\n contains: [\n hljs.COMMENT('\\\\(\\\\*', '\\\\*\\\\)', {contains: ['self']}),\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mathematica.js"],"names":["module","exports","hljs","aliases","lexemes","IDENT_RE","keywords","contains","COMMENT","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,MAAO,MACjBC,QAAS,YAAcF,EAAKG,SAAW,MAWvCC,SAAU,wm6FA2BVC,SAAU,CACRL,EAAKM,QAAQ,SAAU,SAAU,CAACD,SAAU,CAAC,UAC7CL,EAAKO,kBACLP,EAAKQ","file":"highlight/mathematica.js?v=483c94b31a85fa90f286","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['mma', 'wl'],\n lexemes: '(\\\\$|\\\\b)' + hljs.IDENT_RE + '\\\\b',\n //\n // The list of \"keywords\" (System` symbols) was determined by evaluating the following Wolfram Language code in Mathematica 12.0:\n //\n // StringRiffle[\n // \"'\" <> StringRiffle[#, \" \"] <> \"'\" & /@\n // Values[GroupBy[\n // Select[Names[\"System`*\"],\n // StringStartsQ[#, CharacterRange[\"A\", \"Z\"] | \"$\"] &],\n // First[Characters[#]] &]], \" +\\n\"]\n //\n keywords: 'AASTriangle AbelianGroup Abort AbortKernels AbortProtect AbortScheduledTask Above Abs AbsArg AbsArgPlot Absolute AbsoluteCorrelation AbsoluteCorrelationFunction AbsoluteCurrentValue AbsoluteDashing AbsoluteFileName AbsoluteOptions AbsolutePointSize AbsoluteThickness AbsoluteTime AbsoluteTiming AcceptanceThreshold AccountingForm Accumulate Accuracy AccuracyGoal ActionDelay ActionMenu ActionMenuBox ActionMenuBoxOptions Activate Active ActiveClassification ActiveClassificationObject ActiveItem ActivePrediction ActivePredictionObject ActiveStyle AcyclicGraphQ AddOnHelpPath AddSides AddTo AddToSearchIndex AddUsers AdjacencyGraph AdjacencyList AdjacencyMatrix AdjustmentBox AdjustmentBoxOptions AdjustTimeSeriesForecast AdministrativeDivisionData AffineHalfSpace AffineSpace AffineStateSpaceModel AffineTransform After AggregatedEntityClass AggregationLayer AircraftData AirportData AirPressureData AirTemperatureData AiryAi AiryAiPrime AiryAiZero AiryBi AiryBiPrime AiryBiZero AlgebraicIntegerQ AlgebraicNumber AlgebraicNumberDenominator AlgebraicNumberNorm AlgebraicNumberPolynomial AlgebraicNumberTrace AlgebraicRules AlgebraicRulesData Algebraics AlgebraicUnitQ Alignment AlignmentMarker AlignmentPoint All AllowAdultContent AllowedCloudExtraParameters AllowedCloudParameterExtensions AllowedDimensions AllowedFrequencyRange AllowedHeads AllowGroupClose AllowIncomplete AllowInlineCells AllowKernelInitialization AllowLooseGrammar AllowReverseGroupClose AllowScriptLevelChange AllTrue Alphabet AlphabeticOrder AlphabeticSort AlphaChannel AlternateImage AlternatingFactorial AlternatingGroup AlternativeHypothesis Alternatives AltitudeMethod AmbientLight AmbiguityFunction AmbiguityList Analytic AnatomyData AnatomyForm AnatomyPlot3D AnatomySkinStyle AnatomyStyling AnchoredSearch And AndersonDarlingTest AngerJ AngleBisector AngleBracket AnglePath AnglePath3D AngleVector AngularGauge Animate AnimationCycleOffset AnimationCycleRepetitions AnimationDirection AnimationDisplayTime AnimationRate AnimationRepetitions AnimationRunning AnimationRunTime AnimationTimeIndex Animator AnimatorBox AnimatorBoxOptions AnimatorElements Annotate Annotation AnnotationDelete AnnotationNames AnnotationRules AnnotationValue Annuity AnnuityDue Annulus AnomalyDetection AnomalyDetectorFunction Anonymous Antialiasing AntihermitianMatrixQ Antisymmetric AntisymmetricMatrixQ Antonyms AnyOrder AnySubset AnyTrue Apart ApartSquareFree APIFunction Appearance AppearanceElements AppearanceRules AppellF1 Append AppendCheck AppendLayer AppendTo ApplicationIdentificationKey Apply ApplySides ArcCos ArcCosh ArcCot ArcCoth ArcCsc ArcCsch ArcCurvature ARCHProcess ArcLength ArcSec ArcSech ArcSin ArcSinDistribution ArcSinh ArcTan ArcTanh Area Arg ArgMax ArgMin ArgumentCountQ ARIMAProcess ArithmeticGeometricMean ARMAProcess Around AroundReplace ARProcess Array ArrayComponents ArrayDepth ArrayFilter ArrayFlatten ArrayMesh ArrayPad ArrayPlot ArrayQ ArrayResample ArrayReshape ArrayRules Arrays Arrow Arrow3DBox ArrowBox Arrowheads ASATriangle Ask AskAppend AskConfirm AskDisplay AskedQ AskedValue AskFunction AskState AskTemplateDisplay AspectRatio AspectRatioFixed Assert AssociateTo Association AssociationFormat AssociationMap AssociationQ AssociationThread AssumeDeterministic Assuming Assumptions AstronomicalData AsymptoticDSolveValue AsymptoticEqual AsymptoticEquivalent AsymptoticGreater AsymptoticGreaterEqual AsymptoticIntegrate AsymptoticLess AsymptoticLessEqual AsymptoticOutputTracker AsymptoticRSolveValue AsymptoticSolve AsymptoticSum Asynchronous AsynchronousTaskObject AsynchronousTasks Atom AtomCoordinates AtomCount AtomDiagramCoordinates AtomList AtomQ AttentionLayer Attributes Audio AudioAmplify AudioAnnotate AudioAnnotationLookup AudioBlockMap AudioCapture AudioChannelAssignment AudioChannelCombine AudioChannelMix AudioChannels AudioChannelSeparate AudioData AudioDelay AudioDelete AudioDevice AudioDistance AudioFade AudioFrequencyShift AudioGenerator AudioIdentify AudioInputDevice AudioInsert AudioIntervals AudioJoin AudioLabel AudioLength AudioLocalMeasurements AudioLooping AudioLoudness AudioMeasurements AudioNormalize AudioOutputDevice AudioOverlay AudioPad AudioPan AudioPartition AudioPause AudioPitchShift AudioPlay AudioPlot AudioQ AudioRecord AudioReplace AudioResample AudioReverb AudioSampleRate AudioSpectralMap AudioSpectralTransformation AudioSplit AudioStop AudioStream AudioStreams AudioTimeStretch AudioTrim AudioType AugmentedPolyhedron AugmentedSymmetricPolynomial Authenticate Authentication AuthenticationDialog AutoAction Autocomplete AutocompletionFunction AutoCopy AutocorrelationTest AutoDelete AutoEvaluateEvents AutoGeneratedPackage AutoIndent AutoIndentSpacings AutoItalicWords AutoloadPath AutoMatch Automatic AutomaticImageSize AutoMultiplicationSymbol AutoNumberFormatting AutoOpenNotebooks AutoOpenPalettes AutoQuoteCharacters AutoRefreshed AutoRemove AutorunSequencing AutoScaling AutoScroll AutoSpacing AutoStyleOptions AutoStyleWords AutoSubmitting Axes AxesEdge AxesLabel AxesOrigin AxesStyle AxiomaticTheory Axis' +\n 'BabyMonsterGroupB Back Background BackgroundAppearance BackgroundTasksSettings Backslash Backsubstitution Backward Ball Band BandpassFilter BandstopFilter BarabasiAlbertGraphDistribution BarChart BarChart3D BarcodeImage BarcodeRecognize BaringhausHenzeTest BarLegend BarlowProschanImportance BarnesG BarOrigin BarSpacing BartlettHannWindow BartlettWindow BaseDecode BaseEncode BaseForm Baseline BaselinePosition BaseStyle BasicRecurrentLayer BatchNormalizationLayer BatchSize BatesDistribution BattleLemarieWavelet BayesianMaximization BayesianMaximizationObject BayesianMinimization BayesianMinimizationObject Because BeckmannDistribution Beep Before Begin BeginDialogPacket BeginFrontEndInteractionPacket BeginPackage BellB BellY Below BenfordDistribution BeniniDistribution BenktanderGibratDistribution BenktanderWeibullDistribution BernoulliB BernoulliDistribution BernoulliGraphDistribution BernoulliProcess BernsteinBasis BesselFilterModel BesselI BesselJ BesselJZero BesselK BesselY BesselYZero Beta BetaBinomialDistribution BetaDistribution BetaNegativeBinomialDistribution BetaPrimeDistribution BetaRegularized Between BetweennessCentrality BeveledPolyhedron BezierCurve BezierCurve3DBox BezierCurve3DBoxOptions BezierCurveBox BezierCurveBoxOptions BezierFunction BilateralFilter Binarize BinaryDeserialize BinaryDistance BinaryFormat BinaryImageQ BinaryRead BinaryReadList BinarySerialize BinaryWrite BinCounts BinLists Binomial BinomialDistribution BinomialProcess BinormalDistribution BiorthogonalSplineWavelet BipartiteGraphQ BiquadraticFilterModel BirnbaumImportance BirnbaumSaundersDistribution BitAnd BitClear BitGet BitLength BitNot BitOr BitSet BitShiftLeft BitShiftRight BitXor BiweightLocation BiweightMidvariance Black BlackmanHarrisWindow BlackmanNuttallWindow BlackmanWindow Blank BlankForm BlankNullSequence BlankSequence Blend Block BlockchainAddressData BlockchainBase BlockchainBlockData BlockchainContractValue BlockchainData BlockchainGet BlockchainKeyEncode BlockchainPut BlockchainTokenData BlockchainTransaction BlockchainTransactionData BlockchainTransactionSign BlockchainTransactionSubmit BlockMap BlockRandom BlomqvistBeta BlomqvistBetaTest Blue Blur BodePlot BohmanWindow Bold Bond BondCount BondList BondQ Bookmarks Boole BooleanConsecutiveFunction BooleanConvert BooleanCountingFunction BooleanFunction BooleanGraph BooleanMaxterms BooleanMinimize BooleanMinterms BooleanQ BooleanRegion Booleans BooleanStrings BooleanTable BooleanVariables BorderDimensions BorelTannerDistribution Bottom BottomHatTransform BoundaryDiscretizeGraphics BoundaryDiscretizeRegion BoundaryMesh BoundaryMeshRegion BoundaryMeshRegionQ BoundaryStyle BoundedRegionQ BoundingRegion Bounds Box BoxBaselineShift BoxData BoxDimensions Boxed Boxes BoxForm BoxFormFormatTypes BoxFrame BoxID BoxMargins BoxMatrix BoxObject BoxRatios BoxRotation BoxRotationPoint BoxStyle BoxWhiskerChart Bra BracketingBar BraKet BrayCurtisDistance BreadthFirstScan Break BridgeData BrightnessEqualize BroadcastStationData Brown BrownForsytheTest BrownianBridgeProcess BrowserCategory BSplineBasis BSplineCurve BSplineCurve3DBox BSplineCurve3DBoxOptions BSplineCurveBox BSplineCurveBoxOptions BSplineFunction BSplineSurface BSplineSurface3DBox BSplineSurface3DBoxOptions BubbleChart BubbleChart3D BubbleScale BubbleSizes BuildingData BulletGauge BusinessDayQ ButterflyGraph ButterworthFilterModel Button ButtonBar ButtonBox ButtonBoxOptions ButtonCell ButtonContents ButtonData ButtonEvaluator ButtonExpandable ButtonFrame ButtonFunction ButtonMargins ButtonMinHeight ButtonNote ButtonNotebook ButtonSource ButtonStyle ButtonStyleMenuListing Byte ByteArray ByteArrayFormat ByteArrayQ ByteArrayToString ByteCount ByteOrdering' +\n 'C CachedValue CacheGraphics CachePersistence CalendarConvert CalendarData CalendarType Callout CalloutMarker CalloutStyle CallPacket CanberraDistance Cancel CancelButton CandlestickChart CanonicalGraph CanonicalizePolygon CanonicalizePolyhedron CanonicalName CanonicalWarpingCorrespondence CanonicalWarpingDistance CantorMesh CantorStaircase Cap CapForm CapitalDifferentialD Capitalize CapsuleShape CaptureRunning CardinalBSplineBasis CarlemanLinearize CarmichaelLambda CaseOrdering Cases CaseSensitive Cashflow Casoratian Catalan CatalanNumber Catch Catenate CatenateLayer CauchyDistribution CauchyWindow CayleyGraph CDF CDFDeploy CDFInformation CDFWavelet Ceiling CelestialSystem Cell CellAutoOverwrite CellBaseline CellBoundingBox CellBracketOptions CellChangeTimes CellContents CellContext CellDingbat CellDynamicExpression CellEditDuplicate CellElementsBoundingBox CellElementSpacings CellEpilog CellEvaluationDuplicate CellEvaluationFunction CellEvaluationLanguage CellEventActions CellFrame CellFrameColor CellFrameLabelMargins CellFrameLabels CellFrameMargins CellGroup CellGroupData CellGrouping CellGroupingRules CellHorizontalScrolling CellID CellLabel CellLabelAutoDelete CellLabelMargins CellLabelPositioning CellLabelStyle CellLabelTemplate CellMargins CellObject CellOpen CellPrint CellProlog Cells CellSize CellStyle CellTags CellularAutomaton CensoredDistribution Censoring Center CenterArray CenterDot CentralFeature CentralMoment CentralMomentGeneratingFunction Cepstrogram CepstrogramArray CepstrumArray CForm ChampernowneNumber ChangeOptions ChannelBase ChannelBrokerAction ChannelDatabin ChannelHistoryLength ChannelListen ChannelListener ChannelListeners ChannelListenerWait ChannelObject ChannelPreSendFunction ChannelReceiverFunction ChannelSend ChannelSubscribers ChanVeseBinarize Character CharacterCounts CharacterEncoding CharacterEncodingsPath CharacteristicFunction CharacteristicPolynomial CharacterName CharacterRange Characters ChartBaseStyle ChartElementData ChartElementDataFunction ChartElementFunction ChartElements ChartLabels ChartLayout ChartLegends ChartStyle Chebyshev1FilterModel Chebyshev2FilterModel ChebyshevDistance ChebyshevT ChebyshevU Check CheckAbort CheckAll Checkbox CheckboxBar CheckboxBox CheckboxBoxOptions ChemicalData ChessboardDistance ChiDistribution ChineseRemainder ChiSquareDistribution ChoiceButtons ChoiceDialog CholeskyDecomposition Chop ChromaticityPlot ChromaticityPlot3D ChromaticPolynomial Circle CircleBox CircleDot CircleMinus CirclePlus CirclePoints CircleThrough CircleTimes CirculantGraph CircularOrthogonalMatrixDistribution CircularQuaternionMatrixDistribution CircularRealMatrixDistribution CircularSymplecticMatrixDistribution CircularUnitaryMatrixDistribution Circumsphere CityData ClassifierFunction ClassifierInformation ClassifierMeasurements ClassifierMeasurementsObject Classify ClassPriors Clear ClearAll ClearAttributes ClearCookies ClearPermissions ClearSystemCache ClebschGordan ClickPane Clip ClipboardNotebook ClipFill ClippingStyle ClipPlanes ClipPlanesStyle ClipRange Clock ClockGauge ClockwiseContourIntegral Close Closed CloseKernels ClosenessCentrality Closing ClosingAutoSave ClosingEvent CloudAccountData CloudBase CloudConnect CloudDeploy CloudDirectory CloudDisconnect CloudEvaluate CloudExport CloudExpression CloudExpressions CloudFunction CloudGet CloudImport CloudLoggingData CloudObject CloudObjectInformation CloudObjectInformationData CloudObjectNameFormat CloudObjects CloudObjectURLType CloudPublish CloudPut CloudRenderingMethod CloudSave CloudShare CloudSubmit CloudSymbol CloudUnshare ClusterClassify ClusterDissimilarityFunction ClusteringComponents ClusteringTree CMYKColor Coarse CodeAssistOptions Coefficient CoefficientArrays CoefficientDomain CoefficientList CoefficientRules CoifletWavelet Collect Colon ColonForm ColorBalance ColorCombine ColorConvert ColorCoverage ColorData ColorDataFunction ColorDetect ColorDistance ColorFunction ColorFunctionScaling Colorize ColorNegate ColorOutput ColorProfileData ColorQ ColorQuantize ColorReplace ColorRules ColorSelectorSettings ColorSeparate ColorSetter ColorSetterBox ColorSetterBoxOptions ColorSlider ColorsNear ColorSpace ColorToneMapping Column ColumnAlignments ColumnBackgrounds ColumnForm ColumnLines ColumnsEqual ColumnSpacings ColumnWidths CombinedEntityClass CombinerFunction CometData CommonDefaultFormatTypes Commonest CommonestFilter CommonName CommonUnits CommunityBoundaryStyle CommunityGraphPlot CommunityLabels CommunityRegionStyle CompanyData CompatibleUnitQ CompilationOptions CompilationTarget Compile Compiled CompiledCodeFunction CompiledFunction CompilerOptions Complement CompleteGraph CompleteGraphQ CompleteKaryTree CompletionsListPacket Complex Complexes ComplexExpand ComplexInfinity ComplexityFunction ComplexListPlot ComplexPlot ComplexPlot3D ComponentMeasurements ComponentwiseContextMenu Compose ComposeList ComposeSeries CompositeQ Composition CompoundElement CompoundExpression CompoundPoissonDistribution CompoundPoissonProcess CompoundRenewalProcess Compress CompressedData ComputeUncertainty Condition ConditionalExpression Conditioned Cone ConeBox ConfidenceLevel ConfidenceRange ConfidenceTransform ConfigurationPath ConformAudio ConformImages Congruent ConicHullRegion ConicHullRegion3DBox ConicHullRegionBox ConicOptimization Conjugate ConjugateTranspose Conjunction Connect ConnectedComponents ConnectedGraphComponents ConnectedGraphQ ConnectedMeshComponents ConnectedMoleculeComponents ConnectedMoleculeQ ConnectionSettings ConnectLibraryCallbackFunction ConnectSystemModelComponents ConnesWindow ConoverTest ConsoleMessage ConsoleMessagePacket ConsolePrint Constant ConstantArray ConstantArrayLayer ConstantImage ConstantPlusLayer ConstantRegionQ Constants ConstantTimesLayer ConstellationData ConstrainedMax ConstrainedMin Construct Containing ContainsAll ContainsAny ContainsExactly ContainsNone ContainsOnly ContentFieldOptions ContentLocationFunction ContentObject ContentPadding ContentsBoundingBox ContentSelectable ContentSize Context ContextMenu Contexts ContextToFileName Continuation Continue ContinuedFraction ContinuedFractionK ContinuousAction ContinuousMarkovProcess ContinuousTask ContinuousTimeModelQ ContinuousWaveletData ContinuousWaveletTransform ContourDetect ContourGraphics ContourIntegral ContourLabels ContourLines ContourPlot ContourPlot3D Contours ContourShading ContourSmoothing ContourStyle ContraharmonicMean ContrastiveLossLayer Control ControlActive ControlAlignment ControlGroupContentsBox ControllabilityGramian ControllabilityMatrix ControllableDecomposition ControllableModelQ ControllerDuration ControllerInformation ControllerInformationData ControllerLinking ControllerManipulate ControllerMethod ControllerPath ControllerState ControlPlacement ControlsRendering ControlType Convergents ConversionOptions ConversionRules ConvertToBitmapPacket ConvertToPostScript ConvertToPostScriptPacket ConvexHullMesh ConvexPolygonQ ConvexPolyhedronQ ConvolutionLayer Convolve ConwayGroupCo1 ConwayGroupCo2 ConwayGroupCo3 CookieFunction Cookies CoordinateBoundingBox CoordinateBoundingBoxArray CoordinateBounds CoordinateBoundsArray CoordinateChartData CoordinatesToolOptions CoordinateTransform CoordinateTransformData CoprimeQ Coproduct CopulaDistribution Copyable CopyDatabin CopyDirectory CopyFile CopyTag CopyToClipboard CornerFilter CornerNeighbors Correlation CorrelationDistance CorrelationFunction CorrelationTest Cos Cosh CoshIntegral CosineDistance CosineWindow CosIntegral Cot Coth Count CountDistinct CountDistinctBy CounterAssignments CounterBox CounterBoxOptions CounterClockwiseContourIntegral CounterEvaluator CounterFunction CounterIncrements CounterStyle CounterStyleMenuListing CountRoots CountryData Counts CountsBy Covariance CovarianceEstimatorFunction CovarianceFunction CoxianDistribution CoxIngersollRossProcess CoxModel CoxModelFit CramerVonMisesTest CreateArchive CreateCellID CreateChannel CreateCloudExpression CreateDatabin CreateDataSystemModel CreateDialog CreateDirectory CreateDocument CreateFile CreateIntermediateDirectories CreateManagedLibraryExpression CreateNotebook CreatePalette CreatePalettePacket CreatePermissionsGroup CreateScheduledTask CreateSearchIndex CreateSystemModel CreateTemporary CreateUUID CreateWindow CriterionFunction CriticalityFailureImportance CriticalitySuccessImportance CriticalSection Cross CrossEntropyLossLayer CrossingCount CrossingDetect CrossingPolygon CrossMatrix Csc Csch CTCLossLayer Cube CubeRoot Cubics Cuboid CuboidBox Cumulant CumulantGeneratingFunction Cup CupCap Curl CurlyDoubleQuote CurlyQuote CurrencyConvert CurrentDate CurrentImage CurrentlySpeakingPacket CurrentNotebookImage CurrentScreenImage CurrentValue Curry CurvatureFlowFilter CurveClosed Cyan CycleGraph CycleIndexPolynomial Cycles CyclicGroup Cyclotomic Cylinder CylinderBox CylindricalDecomposition' +\n 'D DagumDistribution DamData DamerauLevenshteinDistance DampingFactor Darker Dashed Dashing DatabaseConnect DatabaseDisconnect DatabaseReference Databin DatabinAdd DatabinRemove Databins DatabinUpload DataCompression DataDistribution DataRange DataReversed Dataset Date DateBounds Dated DateDelimiters DateDifference DatedUnit DateFormat DateFunction DateHistogram DateList DateListLogPlot DateListPlot DateListStepPlot DateObject DateObjectQ DateOverlapsQ DatePattern DatePlus DateRange DateReduction DateString DateTicksFormat DateValue DateWithinQ DaubechiesWavelet DavisDistribution DawsonF DayCount DayCountConvention DayHemisphere DaylightQ DayMatchQ DayName DayNightTerminator DayPlus DayRange DayRound DeBruijnGraph DeBruijnSequence Debug DebugTag Decapitalize Decimal DecimalForm DeclareKnownSymbols DeclarePackage Decompose DeconvolutionLayer Decrement Decrypt DecryptFile DedekindEta DeepSpaceProbeData Default DefaultAxesStyle DefaultBaseStyle DefaultBoxStyle DefaultButton DefaultColor DefaultControlPlacement DefaultDuplicateCellStyle DefaultDuration DefaultElement DefaultFaceGridsStyle DefaultFieldHintStyle DefaultFont DefaultFontProperties DefaultFormatType DefaultFormatTypeForStyle DefaultFrameStyle DefaultFrameTicksStyle DefaultGridLinesStyle DefaultInlineFormatType DefaultInputFormatType DefaultLabelStyle DefaultMenuStyle DefaultNaturalLanguage DefaultNewCellStyle DefaultNewInlineCellStyle DefaultNotebook DefaultOptions DefaultOutputFormatType DefaultPrintPrecision DefaultStyle DefaultStyleDefinitions DefaultTextFormatType DefaultTextInlineFormatType DefaultTicksStyle DefaultTooltipStyle DefaultValue DefaultValues Defer DefineExternal DefineInputStreamMethod DefineOutputStreamMethod DefineResourceFunction Definition Degree DegreeCentrality DegreeGraphDistribution DegreeLexicographic DegreeReverseLexicographic DEigensystem DEigenvalues Deinitialization Del DelaunayMesh Delayed Deletable Delete DeleteAnomalies DeleteBorderComponents DeleteCases DeleteChannel DeleteCloudExpression DeleteContents DeleteDirectory DeleteDuplicates DeleteDuplicatesBy DeleteFile DeleteMissing DeleteObject DeletePermissionsKey DeleteSearchIndex DeleteSmallComponents DeleteStopwords DeleteWithContents DeletionWarning DelimitedArray DelimitedSequence Delimiter DelimiterFlashTime DelimiterMatching Delimiters DeliveryFunction Dendrogram Denominator DensityGraphics DensityHistogram DensityPlot DensityPlot3D DependentVariables Deploy Deployed Depth DepthFirstScan Derivative DerivativeFilter DerivedKey DescriptorStateSpace DesignMatrix DestroyAfterEvaluation Det DeviceClose DeviceConfigure DeviceExecute DeviceExecuteAsynchronous DeviceObject DeviceOpen DeviceOpenQ DeviceRead DeviceReadBuffer DeviceReadLatest DeviceReadList DeviceReadTimeSeries Devices DeviceStreams DeviceWrite DeviceWriteBuffer DGaussianWavelet DiacriticalPositioning Diagonal DiagonalizableMatrixQ DiagonalMatrix DiagonalMatrixQ Dialog DialogIndent DialogInput DialogLevel DialogNotebook DialogProlog DialogReturn DialogSymbols Diamond DiamondMatrix DiceDissimilarity DictionaryLookup DictionaryWordQ DifferenceDelta DifferenceOrder DifferenceQuotient DifferenceRoot DifferenceRootReduce Differences DifferentialD DifferentialRoot DifferentialRootReduce DifferentiatorFilter DigitalSignature DigitBlock DigitBlockMinimum DigitCharacter DigitCount DigitQ DihedralAngle DihedralGroup Dilation DimensionalCombinations DimensionalMeshComponents DimensionReduce DimensionReducerFunction DimensionReduction Dimensions DiracComb DiracDelta DirectedEdge DirectedEdges DirectedGraph DirectedGraphQ DirectedInfinity Direction Directive Directory DirectoryName DirectoryQ DirectoryStack DirichletBeta DirichletCharacter DirichletCondition DirichletConvolve DirichletDistribution DirichletEta DirichletL DirichletLambda DirichletTransform DirichletWindow DisableConsolePrintPacket DisableFormatting DiscreteChirpZTransform DiscreteConvolve DiscreteDelta DiscreteHadamardTransform DiscreteIndicator DiscreteLimit DiscreteLQEstimatorGains DiscreteLQRegulatorGains DiscreteLyapunovSolve DiscreteMarkovProcess DiscreteMaxLimit DiscreteMinLimit DiscretePlot DiscretePlot3D DiscreteRatio DiscreteRiccatiSolve DiscreteShift DiscreteTimeModelQ DiscreteUniformDistribution DiscreteVariables DiscreteWaveletData DiscreteWaveletPacketTransform DiscreteWaveletTransform DiscretizeGraphics DiscretizeRegion Discriminant DisjointQ Disjunction Disk DiskBox DiskMatrix DiskSegment Dispatch DispatchQ DispersionEstimatorFunction Display DisplayAllSteps DisplayEndPacket DisplayFlushImagePacket DisplayForm DisplayFunction DisplayPacket DisplayRules DisplaySetSizePacket DisplayString DisplayTemporary DisplayWith DisplayWithRef DisplayWithVariable DistanceFunction DistanceMatrix DistanceTransform Distribute Distributed DistributedContexts DistributeDefinitions DistributionChart DistributionDomain DistributionFitTest DistributionParameterAssumptions DistributionParameterQ Dithering Div Divergence Divide DivideBy Dividers DivideSides Divisible Divisors DivisorSigma DivisorSum DMSList DMSString Do DockedCells DocumentGenerator DocumentGeneratorInformation DocumentGeneratorInformationData DocumentGenerators DocumentNotebook DocumentWeightingRules Dodecahedron DomainRegistrationInformation DominantColors DOSTextFormat Dot DotDashed DotEqual DotLayer DotPlusLayer Dotted DoubleBracketingBar DoubleContourIntegral DoubleDownArrow DoubleLeftArrow DoubleLeftRightArrow DoubleLeftTee DoubleLongLeftArrow DoubleLongLeftRightArrow DoubleLongRightArrow DoubleRightArrow DoubleRightTee DoubleUpArrow DoubleUpDownArrow DoubleVerticalBar DoublyInfinite Down DownArrow DownArrowBar DownArrowUpArrow DownLeftRightVector DownLeftTeeVector DownLeftVector DownLeftVectorBar DownRightTeeVector DownRightVector DownRightVectorBar Downsample DownTee DownTeeArrow DownValues DragAndDrop DrawEdges DrawFrontFaces DrawHighlighted Drop DropoutLayer DSolve DSolveValue Dt DualLinearProgramming DualPolyhedron DualSystemsModel DumpGet DumpSave DuplicateFreeQ Duration Dynamic DynamicBox DynamicBoxOptions DynamicEvaluationTimeout DynamicGeoGraphics DynamicImage DynamicLocation DynamicModule DynamicModuleBox DynamicModuleBoxOptions DynamicModuleParent DynamicModuleValues DynamicName DynamicNamespace DynamicReference DynamicSetting DynamicUpdating DynamicWrapper DynamicWrapperBox DynamicWrapperBoxOptions' +\n 'E EarthImpactData EarthquakeData EccentricityCentrality Echo EchoFunction EclipseType EdgeAdd EdgeBetweennessCentrality EdgeCapacity EdgeCapForm EdgeColor EdgeConnectivity EdgeContract EdgeCost EdgeCount EdgeCoverQ EdgeCycleMatrix EdgeDashing EdgeDelete EdgeDetect EdgeForm EdgeIndex EdgeJoinForm EdgeLabeling EdgeLabels EdgeLabelStyle EdgeList EdgeOpacity EdgeQ EdgeRenderingFunction EdgeRules EdgeShapeFunction EdgeStyle EdgeThickness EdgeWeight EdgeWeightedGraphQ Editable EditButtonSettings EditCellTagsSettings EditDistance EffectiveInterest Eigensystem Eigenvalues EigenvectorCentrality Eigenvectors Element ElementData ElementwiseLayer ElidedForms Eliminate EliminationOrder Ellipsoid EllipticE EllipticExp EllipticExpPrime EllipticF EllipticFilterModel EllipticK EllipticLog EllipticNomeQ EllipticPi EllipticReducedHalfPeriods EllipticTheta EllipticThetaPrime EmbedCode EmbeddedHTML EmbeddedService EmbeddingLayer EmbeddingObject EmitSound EmphasizeSyntaxErrors EmpiricalDistribution Empty EmptyGraphQ EmptyRegion EnableConsolePrintPacket Enabled Encode Encrypt EncryptedObject EncryptFile End EndAdd EndDialogPacket EndFrontEndInteractionPacket EndOfBuffer EndOfFile EndOfLine EndOfString EndPackage EngineEnvironment EngineeringForm Enter EnterExpressionPacket EnterTextPacket Entity EntityClass EntityClassList EntityCopies EntityFunction EntityGroup EntityInstance EntityList EntityPrefetch EntityProperties EntityProperty EntityPropertyClass EntityRegister EntityStore EntityStores EntityTypeName EntityUnregister EntityValue Entropy EntropyFilter Environment Epilog EpilogFunction Equal EqualColumns EqualRows EqualTilde EqualTo EquatedTo Equilibrium EquirippleFilterKernel Equivalent Erf Erfc Erfi ErlangB ErlangC ErlangDistribution Erosion ErrorBox ErrorBoxOptions ErrorNorm ErrorPacket ErrorsDialogSettings EscapeRadius EstimatedBackground EstimatedDistribution EstimatedProcess EstimatorGains EstimatorRegulator EuclideanDistance EulerAngles EulerCharacteristic EulerE EulerGamma EulerianGraphQ EulerMatrix EulerPhi Evaluatable Evaluate Evaluated EvaluatePacket EvaluateScheduledTask EvaluationBox EvaluationCell EvaluationCompletionAction EvaluationData EvaluationElements EvaluationEnvironment EvaluationMode EvaluationMonitor EvaluationNotebook EvaluationObject EvaluationOrder Evaluator EvaluatorNames EvenQ EventData EventEvaluator EventHandler EventHandlerTag EventLabels EventSeries ExactBlackmanWindow ExactNumberQ ExactRootIsolation ExampleData Except ExcludedForms ExcludedLines ExcludedPhysicalQuantities ExcludePods Exclusions ExclusionsStyle Exists Exit ExitDialog ExoplanetData Exp Expand ExpandAll ExpandDenominator ExpandFileName ExpandNumerator Expectation ExpectationE ExpectedValue ExpGammaDistribution ExpIntegralE ExpIntegralEi ExpirationDate Exponent ExponentFunction ExponentialDistribution ExponentialFamily ExponentialGeneratingFunction ExponentialMovingAverage ExponentialPowerDistribution ExponentPosition ExponentStep Export ExportAutoReplacements ExportByteArray ExportForm ExportPacket ExportString Expression ExpressionCell ExpressionPacket ExpressionUUID ExpToTrig ExtendedEntityClass ExtendedGCD Extension ExtentElementFunction ExtentMarkers ExtentSize ExternalBundle ExternalCall ExternalDataCharacterEncoding ExternalEvaluate ExternalFunction ExternalFunctionName ExternalObject ExternalOptions ExternalSessionObject ExternalSessions ExternalTypeSignature ExternalValue Extract ExtractArchive ExtractLayer ExtremeValueDistribution' +\n 'FaceForm FaceGrids FaceGridsStyle FacialFeatures Factor FactorComplete Factorial Factorial2 FactorialMoment FactorialMomentGeneratingFunction FactorialPower FactorInteger FactorList FactorSquareFree FactorSquareFreeList FactorTerms FactorTermsList Fail Failure FailureAction FailureDistribution FailureQ False FareySequence FARIMAProcess FeatureDistance FeatureExtract FeatureExtraction FeatureExtractor FeatureExtractorFunction FeatureNames FeatureNearest FeatureSpacePlot FeatureSpacePlot3D FeatureTypes FEDisableConsolePrintPacket FeedbackLinearize FeedbackSector FeedbackSectorStyle FeedbackType FEEnableConsolePrintPacket FetalGrowthData Fibonacci Fibonorial FieldCompletionFunction FieldHint FieldHintStyle FieldMasked FieldSize File FileBaseName FileByteCount FileConvert FileDate FileExistsQ FileExtension FileFormat FileHandler FileHash FileInformation FileName FileNameDepth FileNameDialogSettings FileNameDrop FileNameForms FileNameJoin FileNames FileNameSetter FileNameSplit FileNameTake FilePrint FileSize FileSystemMap FileSystemScan FileTemplate FileTemplateApply FileType FilledCurve FilledCurveBox FilledCurveBoxOptions Filling FillingStyle FillingTransform FilteredEntityClass FilterRules FinancialBond FinancialData FinancialDerivative FinancialIndicator Find FindAnomalies FindArgMax FindArgMin FindChannels FindClique FindClusters FindCookies FindCurvePath FindCycle FindDevices FindDistribution FindDistributionParameters FindDivisions FindEdgeCover FindEdgeCut FindEdgeIndependentPaths FindEquationalProof FindEulerianCycle FindExternalEvaluators FindFaces FindFile FindFit FindFormula FindFundamentalCycles FindGeneratingFunction FindGeoLocation FindGeometricConjectures FindGeometricTransform FindGraphCommunities FindGraphIsomorphism FindGraphPartition FindHamiltonianCycle FindHamiltonianPath FindHiddenMarkovStates FindIndependentEdgeSet FindIndependentVertexSet FindInstance FindIntegerNullVector FindKClan FindKClique FindKClub FindKPlex FindLibrary FindLinearRecurrence FindList FindMatchingColor FindMaximum FindMaximumFlow FindMaxValue FindMeshDefects FindMinimum FindMinimumCostFlow FindMinimumCut FindMinValue FindMoleculeSubstructure FindPath FindPeaks FindPermutation FindPostmanTour FindProcessParameters FindRepeat FindRoot FindSequenceFunction FindSettings FindShortestPath FindShortestTour FindSpanningTree FindSystemModelEquilibrium FindTextualAnswer FindThreshold FindTransientRepeat FindVertexCover FindVertexCut FindVertexIndependentPaths Fine FinishDynamic FiniteAbelianGroupCount FiniteGroupCount FiniteGroupData First FirstCase FirstPassageTimeDistribution FirstPosition FischerGroupFi22 FischerGroupFi23 FischerGroupFi24Prime FisherHypergeometricDistribution FisherRatioTest FisherZDistribution Fit FitAll FitRegularization FittedModel FixedOrder FixedPoint FixedPointList FlashSelection Flat Flatten FlattenAt FlattenLayer FlatTopWindow FlipView Floor FlowPolynomial FlushPrintOutputPacket Fold FoldList FoldPair FoldPairList FollowRedirects Font FontColor FontFamily FontForm FontName FontOpacity FontPostScriptName FontProperties FontReencoding FontSize FontSlant FontSubstitutions FontTracking FontVariations FontWeight For ForAll Format FormatRules FormatType FormatTypeAutoConvert FormatValues FormBox FormBoxOptions FormControl FormFunction FormLayoutFunction FormObject FormPage FormTheme FormulaData FormulaLookup FortranForm Forward ForwardBackward Fourier FourierCoefficient FourierCosCoefficient FourierCosSeries FourierCosTransform FourierDCT FourierDCTFilter FourierDCTMatrix FourierDST FourierDSTMatrix FourierMatrix FourierParameters FourierSequenceTransform FourierSeries FourierSinCoefficient FourierSinSeries FourierSinTransform FourierTransform FourierTrigSeries FractionalBrownianMotionProcess FractionalGaussianNoiseProcess FractionalPart FractionBox FractionBoxOptions FractionLine Frame FrameBox FrameBoxOptions Framed FrameInset FrameLabel Frameless FrameMargins FrameRate FrameStyle FrameTicks FrameTicksStyle FRatioDistribution FrechetDistribution FreeQ FrenetSerretSystem FrequencySamplingFilterKernel FresnelC FresnelF FresnelG FresnelS Friday FrobeniusNumber FrobeniusSolve FromAbsoluteTime FromCharacterCode FromCoefficientRules FromContinuedFraction FromDate FromDigits FromDMS FromEntity FromJulianDate FromLetterNumber FromPolarCoordinates FromRomanNumeral FromSphericalCoordinates FromUnixTime Front FrontEndDynamicExpression FrontEndEventActions FrontEndExecute FrontEndObject FrontEndResource FrontEndResourceString FrontEndStackSize FrontEndToken FrontEndTokenExecute FrontEndValueCache FrontEndVersion FrontFaceColor FrontFaceOpacity Full FullAxes FullDefinition FullForm FullGraphics FullInformationOutputRegulator FullOptions FullRegion FullSimplify Function FunctionCompile FunctionCompileExport FunctionCompileExportByteArray FunctionCompileExportLibrary FunctionCompileExportString FunctionDomain FunctionExpand FunctionInterpolation FunctionPeriod FunctionRange FunctionSpace FussellVeselyImportance' +\n 'GaborFilter GaborMatrix GaborWavelet GainMargins GainPhaseMargins GalaxyData GalleryView Gamma GammaDistribution GammaRegularized GapPenalty GARCHProcess GatedRecurrentLayer Gather GatherBy GaugeFaceElementFunction GaugeFaceStyle GaugeFrameElementFunction GaugeFrameSize GaugeFrameStyle GaugeLabels GaugeMarkers GaugeStyle GaussianFilter GaussianIntegers GaussianMatrix GaussianOrthogonalMatrixDistribution GaussianSymplecticMatrixDistribution GaussianUnitaryMatrixDistribution GaussianWindow GCD GegenbauerC General GeneralizedLinearModelFit GenerateAsymmetricKeyPair GenerateConditions GeneratedCell GeneratedDocumentBinding GenerateDerivedKey GenerateDigitalSignature GenerateDocument GeneratedParameters GeneratedQuantityMagnitudes GenerateHTTPResponse GenerateSecuredAuthenticationKey GenerateSymmetricKey GeneratingFunction GeneratorDescription GeneratorHistoryLength GeneratorOutputType Generic GenericCylindricalDecomposition GenomeData GenomeLookup GeoAntipode GeoArea GeoArraySize GeoBackground GeoBoundingBox GeoBounds GeoBoundsRegion GeoBubbleChart GeoCenter GeoCircle GeodesicClosing GeodesicDilation GeodesicErosion GeodesicOpening GeoDestination GeodesyData GeoDirection GeoDisk GeoDisplacement GeoDistance GeoDistanceList GeoElevationData GeoEntities GeoGraphics GeogravityModelData GeoGridDirectionDifference GeoGridLines GeoGridLinesStyle GeoGridPosition GeoGridRange GeoGridRangePadding GeoGridUnitArea GeoGridUnitDistance GeoGridVector GeoGroup GeoHemisphere GeoHemisphereBoundary GeoHistogram GeoIdentify GeoImage GeoLabels GeoLength GeoListPlot GeoLocation GeologicalPeriodData GeomagneticModelData GeoMarker GeometricAssertion GeometricBrownianMotionProcess GeometricDistribution GeometricMean GeometricMeanFilter GeometricScene GeometricTransformation GeometricTransformation3DBox GeometricTransformation3DBoxOptions GeometricTransformationBox GeometricTransformationBoxOptions GeoModel GeoNearest GeoPath GeoPosition GeoPositionENU GeoPositionXYZ GeoProjection GeoProjectionData GeoRange GeoRangePadding GeoRegionValuePlot GeoResolution GeoScaleBar GeoServer GeoSmoothHistogram GeoStreamPlot GeoStyling GeoStylingImageFunction GeoVariant GeoVector GeoVectorENU GeoVectorPlot GeoVectorXYZ GeoVisibleRegion GeoVisibleRegionBoundary GeoWithinQ GeoZoomLevel GestureHandler GestureHandlerTag Get GetBoundingBoxSizePacket GetContext GetEnvironment GetFileName GetFrontEndOptionsDataPacket GetLinebreakInformationPacket GetMenusPacket GetPageBreakInformationPacket Glaisher GlobalClusteringCoefficient GlobalPreferences GlobalSession Glow GoldenAngle GoldenRatio GompertzMakehamDistribution GoodmanKruskalGamma GoodmanKruskalGammaTest Goto Grad Gradient GradientFilter GradientOrientationFilter GrammarApply GrammarRules GrammarToken Graph Graph3D GraphAssortativity GraphAutomorphismGroup GraphCenter GraphComplement GraphData GraphDensity GraphDiameter GraphDifference GraphDisjointUnion GraphDistance GraphDistanceMatrix GraphElementData GraphEmbedding GraphHighlight GraphHighlightStyle GraphHub Graphics Graphics3D Graphics3DBox Graphics3DBoxOptions GraphicsArray GraphicsBaseline GraphicsBox GraphicsBoxOptions GraphicsColor GraphicsColumn GraphicsComplex GraphicsComplex3DBox GraphicsComplex3DBoxOptions GraphicsComplexBox GraphicsComplexBoxOptions GraphicsContents GraphicsData GraphicsGrid GraphicsGridBox GraphicsGroup GraphicsGroup3DBox GraphicsGroup3DBoxOptions GraphicsGroupBox GraphicsGroupBoxOptions GraphicsGrouping GraphicsHighlightColor GraphicsRow GraphicsSpacing GraphicsStyle GraphIntersection GraphLayout GraphLinkEfficiency GraphPeriphery GraphPlot GraphPlot3D GraphPower GraphPropertyDistribution GraphQ GraphRadius GraphReciprocity GraphRoot GraphStyle GraphUnion Gray GrayLevel Greater GreaterEqual GreaterEqualLess GreaterEqualThan GreaterFullEqual GreaterGreater GreaterLess GreaterSlantEqual GreaterThan GreaterTilde Green GreenFunction Grid GridBaseline GridBox GridBoxAlignment GridBoxBackground GridBoxDividers GridBoxFrame GridBoxItemSize GridBoxItemStyle GridBoxOptions GridBoxSpacings GridCreationSettings GridDefaultElement GridElementStyleOptions GridFrame GridFrameMargins GridGraph GridLines GridLinesStyle GroebnerBasis GroupActionBase GroupBy GroupCentralizer GroupElementFromWord GroupElementPosition GroupElementQ GroupElements GroupElementToWord GroupGenerators Groupings GroupMultiplicationTable GroupOrbits GroupOrder GroupPageBreakWithin GroupSetwiseStabilizer GroupStabilizer GroupStabilizerChain GroupTogetherGrouping GroupTogetherNestedGrouping GrowCutComponents Gudermannian GuidedFilter GumbelDistribution' +\n 'HaarWavelet HadamardMatrix HalfLine HalfNormalDistribution HalfPlane HalfSpace HamiltonianGraphQ HammingDistance HammingWindow HandlerFunctions HandlerFunctionsKeys HankelH1 HankelH2 HankelMatrix HankelTransform HannPoissonWindow HannWindow HaradaNortonGroupHN HararyGraph HarmonicMean HarmonicMeanFilter HarmonicNumber Hash Haversine HazardFunction Head HeadCompose HeaderLines Heads HeavisideLambda HeavisidePi HeavisideTheta HeldGroupHe HeldPart HelpBrowserLookup HelpBrowserNotebook HelpBrowserSettings Here HermiteDecomposition HermiteH HermitianMatrixQ HessenbergDecomposition Hessian HexadecimalCharacter Hexahedron HexahedronBox HexahedronBoxOptions HiddenMarkovProcess HiddenSurface Highlighted HighlightGraph HighlightImage HighlightMesh HighpassFilter HigmanSimsGroupHS HilbertCurve HilbertFilter HilbertMatrix Histogram Histogram3D HistogramDistribution HistogramList HistogramTransform HistogramTransformInterpolation HistoricalPeriodData HitMissTransform HITSCentrality HjorthDistribution HodgeDual HoeffdingD HoeffdingDTest Hold HoldAll HoldAllComplete HoldComplete HoldFirst HoldForm HoldPattern HoldRest HolidayCalendar HomeDirectory HomePage Horizontal HorizontalForm HorizontalGauge HorizontalScrollPosition HornerForm HostLookup HotellingTSquareDistribution HoytDistribution HTMLSave HTTPErrorResponse HTTPRedirect HTTPRequest HTTPRequestData HTTPResponse Hue HumanGrowthData HumpDownHump HumpEqual HurwitzLerchPhi HurwitzZeta HyperbolicDistribution HypercubeGraph HyperexponentialDistribution Hyperfactorial Hypergeometric0F1 Hypergeometric0F1Regularized Hypergeometric1F1 Hypergeometric1F1Regularized Hypergeometric2F1 Hypergeometric2F1Regularized HypergeometricDistribution HypergeometricPFQ HypergeometricPFQRegularized HypergeometricU Hyperlink HyperlinkCreationSettings Hyperplane Hyphenation HyphenationOptions HypoexponentialDistribution HypothesisTestData' +\n 'I IconData Iconize IconizedObject IconRules Icosahedron Identity IdentityMatrix If IgnoreCase IgnoreDiacritics IgnorePunctuation IgnoreSpellCheck IgnoringInactive Im Image Image3D Image3DProjection Image3DSlices ImageAccumulate ImageAdd ImageAdjust ImageAlign ImageApply ImageApplyIndexed ImageAspectRatio ImageAssemble ImageAugmentationLayer ImageBoundingBoxes ImageCache ImageCacheValid ImageCapture ImageCaptureFunction ImageCases ImageChannels ImageClip ImageCollage ImageColorSpace ImageCompose ImageContainsQ ImageContents ImageConvolve ImageCooccurrence ImageCorners ImageCorrelate ImageCorrespondingPoints ImageCrop ImageData ImageDeconvolve ImageDemosaic ImageDifference ImageDimensions ImageDisplacements ImageDistance ImageEffect ImageExposureCombine ImageFeatureTrack ImageFileApply ImageFileFilter ImageFileScan ImageFilter ImageFocusCombine ImageForestingComponents ImageFormattingWidth ImageForwardTransformation ImageGraphics ImageHistogram ImageIdentify ImageInstanceQ ImageKeypoints ImageLevels ImageLines ImageMargins ImageMarker ImageMarkers ImageMeasurements ImageMesh ImageMultiply ImageOffset ImagePad ImagePadding ImagePartition ImagePeriodogram ImagePerspectiveTransformation ImagePosition ImagePreviewFunction ImagePyramid ImagePyramidApply ImageQ ImageRangeCache ImageRecolor ImageReflect ImageRegion ImageResize ImageResolution ImageRestyle ImageRotate ImageRotated ImageSaliencyFilter ImageScaled ImageScan ImageSize ImageSizeAction ImageSizeCache ImageSizeMultipliers ImageSizeRaw ImageSubtract ImageTake ImageTransformation ImageTrim ImageType ImageValue ImageValuePositions ImagingDevice ImplicitRegion Implies Import ImportAutoReplacements ImportByteArray ImportOptions ImportString ImprovementImportance In Inactivate Inactive IncidenceGraph IncidenceList IncidenceMatrix IncludeAromaticBonds IncludeConstantBasis IncludeDefinitions IncludeDirectories IncludeFileExtension IncludeGeneratorTasks IncludeHydrogens IncludeInflections IncludeMetaInformation IncludePods IncludeQuantities IncludeRelatedTables IncludeSingularTerm IncludeWindowTimes Increment IndefiniteMatrixQ Indent IndentingNewlineSpacings IndentMaxFraction IndependenceTest IndependentEdgeSetQ IndependentPhysicalQuantity IndependentUnit IndependentUnitDimension IndependentVertexSetQ Indeterminate IndeterminateThreshold IndexCreationOptions Indexed IndexGraph IndexTag Inequality InexactNumberQ InexactNumbers InfiniteLine InfinitePlane Infinity Infix InflationAdjust InflationMethod Information InformationData InformationDataGrid Inherited InheritScope InhomogeneousPoissonProcess InitialEvaluationHistory Initialization InitializationCell InitializationCellEvaluation InitializationCellWarning InitializationObjects InitializationValue Initialize InitialSeeding InlineCounterAssignments InlineCounterIncrements InlineRules Inner InnerPolygon InnerPolyhedron Inpaint Input InputAliases InputAssumptions InputAutoReplacements InputField InputFieldBox InputFieldBoxOptions InputForm InputGrouping InputNamePacket InputNotebook InputPacket InputSettings InputStream InputString InputStringPacket InputToBoxFormPacket Insert InsertionFunction InsertionPointObject InsertLinebreaks InsertResults Inset Inset3DBox Inset3DBoxOptions InsetBox InsetBoxOptions Insphere Install InstallService InstanceNormalizationLayer InString Integer IntegerDigits IntegerExponent IntegerLength IntegerName IntegerPart IntegerPartitions IntegerQ IntegerReverse Integers IntegerString Integral Integrate Interactive InteractiveTradingChart Interlaced Interleaving InternallyBalancedDecomposition InterpolatingFunction InterpolatingPolynomial Interpolation InterpolationOrder InterpolationPoints InterpolationPrecision Interpretation InterpretationBox InterpretationBoxOptions InterpretationFunction Interpreter InterpretTemplate InterquartileRange Interrupt InterruptSettings IntersectingQ Intersection Interval IntervalIntersection IntervalMarkers IntervalMarkersStyle IntervalMemberQ IntervalSlider IntervalUnion Into Inverse InverseBetaRegularized InverseCDF InverseChiSquareDistribution InverseContinuousWaveletTransform InverseDistanceTransform InverseEllipticNomeQ InverseErf InverseErfc InverseFourier InverseFourierCosTransform InverseFourierSequenceTransform InverseFourierSinTransform InverseFourierTransform InverseFunction InverseFunctions InverseGammaDistribution InverseGammaRegularized InverseGaussianDistribution InverseGudermannian InverseHankelTransform InverseHaversine InverseImagePyramid InverseJacobiCD InverseJacobiCN InverseJacobiCS InverseJacobiDC InverseJacobiDN InverseJacobiDS InverseJacobiNC InverseJacobiND InverseJacobiNS InverseJacobiSC InverseJacobiSD InverseJacobiSN InverseLaplaceTransform InverseMellinTransform InversePermutation InverseRadon InverseRadonTransform InverseSeries InverseShortTimeFourier InverseSpectrogram InverseSurvivalFunction InverseTransformedRegion InverseWaveletTransform InverseWeierstrassP InverseWishartMatrixDistribution InverseZTransform Invisible InvisibleApplication InvisibleTimes IPAddress IrreduciblePolynomialQ IslandData IsolatingInterval IsomorphicGraphQ IsotopeData Italic Item ItemAspectRatio ItemBox ItemBoxOptions ItemSize ItemStyle ItoProcess' +\n 'JaccardDissimilarity JacobiAmplitude Jacobian JacobiCD JacobiCN JacobiCS JacobiDC JacobiDN JacobiDS JacobiNC JacobiND JacobiNS JacobiP JacobiSC JacobiSD JacobiSN JacobiSymbol JacobiZeta JankoGroupJ1 JankoGroupJ2 JankoGroupJ3 JankoGroupJ4 JarqueBeraALMTest JohnsonDistribution Join JoinAcross Joined JoinedCurve JoinedCurveBox JoinedCurveBoxOptions JoinForm JordanDecomposition JordanModelDecomposition JulianDate JuliaSetBoettcher JuliaSetIterationCount JuliaSetPlot JuliaSetPoints' +\n 'K KagiChart KaiserBesselWindow KaiserWindow KalmanEstimator KalmanFilter KarhunenLoeveDecomposition KaryTree KatzCentrality KCoreComponents KDistribution KEdgeConnectedComponents KEdgeConnectedGraphQ KelvinBei KelvinBer KelvinKei KelvinKer KendallTau KendallTauTest KernelExecute KernelFunction KernelMixtureDistribution Kernels Ket Key KeyCollisionFunction KeyComplement KeyDrop KeyDropFrom KeyExistsQ KeyFreeQ KeyIntersection KeyMap KeyMemberQ KeypointStrength Keys KeySelect KeySort KeySortBy KeyTake KeyUnion KeyValueMap KeyValuePattern Khinchin KillProcess KirchhoffGraph KirchhoffMatrix KleinInvariantJ KnapsackSolve KnightTourGraph KnotData KnownUnitQ KochCurve KolmogorovSmirnovTest KroneckerDelta KroneckerModelDecomposition KroneckerProduct KroneckerSymbol KuiperTest KumaraswamyDistribution Kurtosis KuwaharaFilter KVertexConnectedComponents KVertexConnectedGraphQ' +\n 'LABColor Label Labeled LabeledSlider LabelingFunction LabelingSize LabelStyle LabelVisibility LaguerreL LakeData LambdaComponents LambertW LaminaData LanczosWindow LandauDistribution Language LanguageCategory LanguageData LanguageIdentify LanguageOptions LaplaceDistribution LaplaceTransform Laplacian LaplacianFilter LaplacianGaussianFilter Large Larger Last Latitude LatitudeLongitude LatticeData LatticeReduce Launch LaunchKernels LayeredGraphPlot LayerSizeFunction LayoutInformation LCHColor LCM LeaderSize LeafCount LeapYearQ LearnDistribution LearnedDistribution LearningRate LearningRateMultipliers LeastSquares LeastSquaresFilterKernel Left LeftArrow LeftArrowBar LeftArrowRightArrow LeftDownTeeVector LeftDownVector LeftDownVectorBar LeftRightArrow LeftRightVector LeftTee LeftTeeArrow LeftTeeVector LeftTriangle LeftTriangleBar LeftTriangleEqual LeftUpDownVector LeftUpTeeVector LeftUpVector LeftUpVectorBar LeftVector LeftVectorBar LegendAppearance Legended LegendFunction LegendLabel LegendLayout LegendMargins LegendMarkers LegendMarkerSize LegendreP LegendreQ LegendreType Length LengthWhile LerchPhi Less LessEqual LessEqualGreater LessEqualThan LessFullEqual LessGreater LessLess LessSlantEqual LessThan LessTilde LetterCharacter LetterCounts LetterNumber LetterQ Level LeveneTest LeviCivitaTensor LevyDistribution Lexicographic LibraryDataType LibraryFunction LibraryFunctionError LibraryFunctionInformation LibraryFunctionLoad LibraryFunctionUnload LibraryLoad LibraryUnload LicenseID LiftingFilterData LiftingWaveletTransform LightBlue LightBrown LightCyan Lighter LightGray LightGreen Lighting LightingAngle LightMagenta LightOrange LightPink LightPurple LightRed LightSources LightYellow Likelihood Limit LimitsPositioning LimitsPositioningTokens LindleyDistribution Line Line3DBox Line3DBoxOptions LinearFilter LinearFractionalOptimization LinearFractionalTransform LinearGradientImage LinearizingTransformationData LinearLayer LinearModelFit LinearOffsetFunction LinearOptimization LinearProgramming LinearRecurrence LinearSolve LinearSolveFunction LineBox LineBoxOptions LineBreak LinebreakAdjustments LineBreakChart LinebreakSemicolonWeighting LineBreakWithin LineColor LineGraph LineIndent LineIndentMaxFraction LineIntegralConvolutionPlot LineIntegralConvolutionScale LineLegend LineOpacity LineSpacing LineWrapParts LinkActivate LinkClose LinkConnect LinkConnectedQ LinkCreate LinkError LinkFlush LinkFunction LinkHost LinkInterrupt LinkLaunch LinkMode LinkObject LinkOpen LinkOptions LinkPatterns LinkProtocol LinkRankCentrality LinkRead LinkReadHeld LinkReadyQ Links LinkService LinkWrite LinkWriteHeld LiouvilleLambda List Listable ListAnimate ListContourPlot ListContourPlot3D ListConvolve ListCorrelate ListCurvePathPlot ListDeconvolve ListDensityPlot ListDensityPlot3D Listen ListFormat ListFourierSequenceTransform ListInterpolation ListLineIntegralConvolutionPlot ListLinePlot ListLogLinearPlot ListLogLogPlot ListLogPlot ListPicker ListPickerBox ListPickerBoxBackground ListPickerBoxOptions ListPlay ListPlot ListPlot3D ListPointPlot3D ListPolarPlot ListQ ListSliceContourPlot3D ListSliceDensityPlot3D ListSliceVectorPlot3D ListStepPlot ListStreamDensityPlot ListStreamPlot ListSurfacePlot3D ListVectorDensityPlot ListVectorPlot ListVectorPlot3D ListZTransform Literal LiteralSearch LocalAdaptiveBinarize LocalCache LocalClusteringCoefficient LocalizeDefinitions LocalizeVariables LocalObject LocalObjects LocalResponseNormalizationLayer LocalSubmit LocalSymbol LocalTime LocalTimeZone LocationEquivalenceTest LocationTest Locator LocatorAutoCreate LocatorBox LocatorBoxOptions LocatorCentering LocatorPane LocatorPaneBox LocatorPaneBoxOptions LocatorRegion Locked Log Log10 Log2 LogBarnesG LogGamma LogGammaDistribution LogicalExpand LogIntegral LogisticDistribution LogisticSigmoid LogitModelFit LogLikelihood LogLinearPlot LogLogisticDistribution LogLogPlot LogMultinormalDistribution LogNormalDistribution LogPlot LogRankTest LogSeriesDistribution LongEqual Longest LongestCommonSequence LongestCommonSequencePositions LongestCommonSubsequence LongestCommonSubsequencePositions LongestMatch LongestOrderedSequence LongForm Longitude LongLeftArrow LongLeftRightArrow LongRightArrow LongShortTermMemoryLayer Lookup Loopback LoopFreeGraphQ LossFunction LowerCaseQ LowerLeftArrow LowerRightArrow LowerTriangularize LowerTriangularMatrixQ LowpassFilter LQEstimatorGains LQGRegulator LQOutputRegulatorGains LQRegulatorGains LUBackSubstitution LucasL LuccioSamiComponents LUDecomposition LunarEclipse LUVColor LyapunovSolve LyonsGroupLy' +\n 'MachineID MachineName MachineNumberQ MachinePrecision MacintoshSystemPageSetup Magenta Magnification Magnify MailAddressValidation MailExecute MailFolder MailItem MailReceiverFunction MailResponseFunction MailSearch MailServerConnect MailServerConnection MailSettings MainSolve MaintainDynamicCaches Majority MakeBoxes MakeExpression MakeRules ManagedLibraryExpressionID ManagedLibraryExpressionQ MandelbrotSetBoettcher MandelbrotSetDistance MandelbrotSetIterationCount MandelbrotSetMemberQ MandelbrotSetPlot MangoldtLambda ManhattanDistance Manipulate Manipulator MannedSpaceMissionData MannWhitneyTest MantissaExponent Manual Map MapAll MapAt MapIndexed MAProcess MapThread MarchenkoPasturDistribution MarcumQ MardiaCombinedTest MardiaKurtosisTest MardiaSkewnessTest MarginalDistribution MarkovProcessProperties Masking MatchingDissimilarity MatchLocalNameQ MatchLocalNames MatchQ Material MathematicalFunctionData MathematicaNotation MathieuC MathieuCharacteristicA MathieuCharacteristicB MathieuCharacteristicExponent MathieuCPrime MathieuGroupM11 MathieuGroupM12 MathieuGroupM22 MathieuGroupM23 MathieuGroupM24 MathieuS MathieuSPrime MathMLForm MathMLText Matrices MatrixExp MatrixForm MatrixFunction MatrixLog MatrixNormalDistribution MatrixPlot MatrixPower MatrixPropertyDistribution MatrixQ MatrixRank MatrixTDistribution Max MaxBend MaxCellMeasure MaxColorDistance MaxDetect MaxDuration MaxExtraBandwidths MaxExtraConditions MaxFeatureDisplacement MaxFeatures MaxFilter MaximalBy Maximize MaxItems MaxIterations MaxLimit MaxMemoryUsed MaxMixtureKernels MaxOverlapFraction MaxPlotPoints MaxPoints MaxRecursion MaxStableDistribution MaxStepFraction MaxSteps MaxStepSize MaxTrainingRounds MaxValue MaxwellDistribution MaxWordGap McLaughlinGroupMcL Mean MeanAbsoluteLossLayer MeanAround MeanClusteringCoefficient MeanDegreeConnectivity MeanDeviation MeanFilter MeanGraphDistance MeanNeighborDegree MeanShift MeanShiftFilter MeanSquaredLossLayer Median MedianDeviation MedianFilter MedicalTestData Medium MeijerG MeijerGReduce MeixnerDistribution MellinConvolve MellinTransform MemberQ MemoryAvailable MemoryConstrained MemoryConstraint MemoryInUse MengerMesh Menu MenuAppearance MenuCommandKey MenuEvaluator MenuItem MenuList MenuPacket MenuSortingValue MenuStyle MenuView Merge MergeDifferences MergingFunction MersennePrimeExponent MersennePrimeExponentQ Mesh MeshCellCentroid MeshCellCount MeshCellHighlight MeshCellIndex MeshCellLabel MeshCellMarker MeshCellMeasure MeshCellQuality MeshCells MeshCellShapeFunction MeshCellStyle MeshCoordinates MeshFunctions MeshPrimitives MeshQualityGoal MeshRange MeshRefinementFunction MeshRegion MeshRegionQ MeshShading MeshStyle Message MessageDialog MessageList MessageName MessageObject MessageOptions MessagePacket Messages MessagesNotebook MetaCharacters MetaInformation MeteorShowerData Method MethodOptions MexicanHatWavelet MeyerWavelet Midpoint Min MinColorDistance MinDetect MineralData MinFilter MinimalBy MinimalPolynomial MinimalStateSpaceModel Minimize MinimumTimeIncrement MinIntervalSize MinkowskiQuestionMark MinLimit MinMax MinorPlanetData Minors MinRecursion MinSize MinStableDistribution Minus MinusPlus MinValue Missing MissingBehavior MissingDataMethod MissingDataRules MissingQ MissingString MissingStyle MissingValuePattern MittagLefflerE MixedFractionParts MixedGraphQ MixedMagnitude MixedRadix MixedRadixQuantity MixedUnit MixtureDistribution Mod Modal Mode Modular ModularInverse ModularLambda Module Modulus MoebiusMu Molecule MoleculeContainsQ MoleculeEquivalentQ MoleculeGraph MoleculeModify MoleculePattern MoleculePlot MoleculePlot3D MoleculeProperty MoleculeQ MoleculeValue Moment Momentary MomentConvert MomentEvaluate MomentGeneratingFunction MomentOfInertia Monday Monitor MonomialList MonomialOrder MonsterGroupM MoonPhase MoonPosition MorletWavelet MorphologicalBinarize MorphologicalBranchPoints MorphologicalComponents MorphologicalEulerNumber MorphologicalGraph MorphologicalPerimeter MorphologicalTransform MortalityData Most MountainData MouseAnnotation MouseAppearance MouseAppearanceTag MouseButtons Mouseover MousePointerNote MousePosition MovieData MovingAverage MovingMap MovingMedian MoyalDistribution Multicolumn MultiedgeStyle MultigraphQ MultilaunchWarning MultiLetterItalics MultiLetterStyle MultilineFunction Multinomial MultinomialDistribution MultinormalDistribution MultiplicativeOrder Multiplicity MultiplySides Multiselection MultivariateHypergeometricDistribution MultivariatePoissonDistribution MultivariateTDistribution' +\n 'N NakagamiDistribution NameQ Names NamespaceBox NamespaceBoxOptions Nand NArgMax NArgMin NBernoulliB NBodySimulation NBodySimulationData NCache NDEigensystem NDEigenvalues NDSolve NDSolveValue Nearest NearestFunction NearestNeighborGraph NearestTo NebulaData NeedCurrentFrontEndPackagePacket NeedCurrentFrontEndSymbolsPacket NeedlemanWunschSimilarity Needs Negative NegativeBinomialDistribution NegativeDefiniteMatrixQ NegativeIntegers NegativeMultinomialDistribution NegativeRationals NegativeReals NegativeSemidefiniteMatrixQ NeighborhoodData NeighborhoodGraph Nest NestedGreaterGreater NestedLessLess NestedScriptRules NestGraph NestList NestWhile NestWhileList NetAppend NetBidirectionalOperator NetChain NetDecoder NetDelete NetDrop NetEncoder NetEvaluationMode NetExtract NetFlatten NetFoldOperator NetGraph NetInformation NetInitialize NetInsert NetInsertSharedArrays NetJoin NetMapOperator NetMapThreadOperator NetMeasurements NetModel NetNestOperator NetPairEmbeddingOperator NetPort NetPortGradient NetPrepend NetRename NetReplace NetReplacePart NetSharedArray NetStateObject NetTake NetTrain NetTrainResultsObject NetworkPacketCapture NetworkPacketRecording NetworkPacketRecordingDuring NetworkPacketTrace NeumannValue NevilleThetaC NevilleThetaD NevilleThetaN NevilleThetaS NewPrimitiveStyle NExpectation Next NextCell NextDate NextPrime NextScheduledTaskTime NHoldAll NHoldFirst NHoldRest NicholsGridLines NicholsPlot NightHemisphere NIntegrate NMaximize NMaxValue NMinimize NMinValue NominalVariables NonAssociative NoncentralBetaDistribution NoncentralChiSquareDistribution NoncentralFRatioDistribution NoncentralStudentTDistribution NonCommutativeMultiply NonConstants NondimensionalizationTransform None NoneTrue NonlinearModelFit NonlinearStateSpaceModel NonlocalMeansFilter NonNegative NonNegativeIntegers NonNegativeRationals NonNegativeReals NonPositive NonPositiveIntegers NonPositiveRationals NonPositiveReals Nor NorlundB Norm Normal NormalDistribution NormalGrouping NormalizationLayer Normalize Normalized NormalizedSquaredEuclideanDistance NormalMatrixQ NormalsFunction NormFunction Not NotCongruent NotCupCap NotDoubleVerticalBar Notebook NotebookApply NotebookAutoSave NotebookClose NotebookConvertSettings NotebookCreate NotebookCreateReturnObject NotebookDefault NotebookDelete NotebookDirectory NotebookDynamicExpression NotebookEvaluate NotebookEventActions NotebookFileName NotebookFind NotebookFindReturnObject NotebookGet NotebookGetLayoutInformationPacket NotebookGetMisspellingsPacket NotebookImport NotebookInformation NotebookInterfaceObject NotebookLocate NotebookObject NotebookOpen NotebookOpenReturnObject NotebookPath NotebookPrint NotebookPut NotebookPutReturnObject NotebookRead NotebookResetGeneratedCells Notebooks NotebookSave NotebookSaveAs NotebookSelection NotebookSetupLayoutInformationPacket NotebooksMenu NotebookTemplate NotebookWrite NotElement NotEqualTilde NotExists NotGreater NotGreaterEqual NotGreaterFullEqual NotGreaterGreater NotGreaterLess NotGreaterSlantEqual NotGreaterTilde Nothing NotHumpDownHump NotHumpEqual NotificationFunction NotLeftTriangle NotLeftTriangleBar NotLeftTriangleEqual NotLess NotLessEqual NotLessFullEqual NotLessGreater NotLessLess NotLessSlantEqual NotLessTilde NotNestedGreaterGreater NotNestedLessLess NotPrecedes NotPrecedesEqual NotPrecedesSlantEqual NotPrecedesTilde NotReverseElement NotRightTriangle NotRightTriangleBar NotRightTriangleEqual NotSquareSubset NotSquareSubsetEqual NotSquareSuperset NotSquareSupersetEqual NotSubset NotSubsetEqual NotSucceeds NotSucceedsEqual NotSucceedsSlantEqual NotSucceedsTilde NotSuperset NotSupersetEqual NotTilde NotTildeEqual NotTildeFullEqual NotTildeTilde NotVerticalBar Now NoWhitespace NProbability NProduct NProductFactors NRoots NSolve NSum NSumTerms NuclearExplosionData NuclearReactorData Null NullRecords NullSpace NullWords Number NumberCompose NumberDecompose NumberExpand NumberFieldClassNumber NumberFieldDiscriminant NumberFieldFundamentalUnits NumberFieldIntegralBasis NumberFieldNormRepresentatives NumberFieldRegulator NumberFieldRootsOfUnity NumberFieldSignature NumberForm NumberFormat NumberLinePlot NumberMarks NumberMultiplier NumberPadding NumberPoint NumberQ NumberSeparator NumberSigns NumberString Numerator NumeratorDenominator NumericalOrder NumericalSort NumericArray NumericArrayQ NumericArrayType NumericFunction NumericQ NuttallWindow NValues NyquistGridLines NyquistPlot' +\n 'O ObservabilityGramian ObservabilityMatrix ObservableDecomposition ObservableModelQ OceanData Octahedron OddQ Off Offset OLEData On ONanGroupON Once OneIdentity Opacity OpacityFunction OpacityFunctionScaling Open OpenAppend Opener OpenerBox OpenerBoxOptions OpenerView OpenFunctionInspectorPacket Opening OpenRead OpenSpecialOptions OpenTemporary OpenWrite Operate OperatingSystem OptimumFlowData Optional OptionalElement OptionInspectorSettings OptionQ Options OptionsPacket OptionsPattern OptionValue OptionValueBox OptionValueBoxOptions Or Orange Order OrderDistribution OrderedQ Ordering OrderingBy OrderingLayer Orderless OrderlessPatternSequence OrnsteinUhlenbeckProcess Orthogonalize OrthogonalMatrixQ Out Outer OuterPolygon OuterPolyhedron OutputAutoOverwrite OutputControllabilityMatrix OutputControllableModelQ OutputForm OutputFormData OutputGrouping OutputMathEditExpression OutputNamePacket OutputResponse OutputSizeLimit OutputStream Over OverBar OverDot Overflow OverHat Overlaps Overlay OverlayBox OverlayBoxOptions Overscript OverscriptBox OverscriptBoxOptions OverTilde OverVector OverwriteTarget OwenT OwnValues' +\n 'Package PackingMethod PaddedForm Padding PaddingLayer PaddingSize PadeApproximant PadLeft PadRight PageBreakAbove PageBreakBelow PageBreakWithin PageFooterLines PageFooters PageHeaderLines PageHeaders PageHeight PageRankCentrality PageTheme PageWidth Pagination PairedBarChart PairedHistogram PairedSmoothHistogram PairedTTest PairedZTest PaletteNotebook PalettePath PalindromeQ Pane PaneBox PaneBoxOptions Panel PanelBox PanelBoxOptions Paneled PaneSelector PaneSelectorBox PaneSelectorBoxOptions PaperWidth ParabolicCylinderD ParagraphIndent ParagraphSpacing ParallelArray ParallelCombine ParallelDo Parallelepiped ParallelEvaluate Parallelization Parallelize ParallelMap ParallelNeeds Parallelogram ParallelProduct ParallelSubmit ParallelSum ParallelTable ParallelTry Parameter ParameterEstimator ParameterMixtureDistribution ParameterVariables ParametricFunction ParametricNDSolve ParametricNDSolveValue ParametricPlot ParametricPlot3D ParametricRegion ParentBox ParentCell ParentConnect ParentDirectory ParentForm Parenthesize ParentList ParentNotebook ParetoDistribution ParetoPickandsDistribution ParkData Part PartBehavior PartialCorrelationFunction PartialD ParticleAcceleratorData ParticleData Partition PartitionGranularity PartitionsP PartitionsQ PartLayer PartOfSpeech PartProtection ParzenWindow PascalDistribution PassEventsDown PassEventsUp Paste PasteAutoQuoteCharacters PasteBoxFormInlineCells PasteButton Path PathGraph PathGraphQ Pattern PatternSequence PatternTest PauliMatrix PaulWavelet Pause PausedTime PDF PeakDetect PeanoCurve PearsonChiSquareTest PearsonCorrelationTest PearsonDistribution PercentForm PerfectNumber PerfectNumberQ PerformanceGoal Perimeter PeriodicBoundaryCondition PeriodicInterpolation Periodogram PeriodogramArray Permanent Permissions PermissionsGroup PermissionsGroupMemberQ PermissionsGroups PermissionsKey PermissionsKeys PermutationCycles PermutationCyclesQ PermutationGroup PermutationLength PermutationList PermutationListQ PermutationMax PermutationMin PermutationOrder PermutationPower PermutationProduct PermutationReplace Permutations PermutationSupport Permute PeronaMalikFilter Perpendicular PerpendicularBisector PersistenceLocation PersistenceTime PersistentObject PersistentObjects PersistentValue PersonData PERTDistribution PetersenGraph PhaseMargins PhaseRange PhysicalSystemData Pi Pick PIDData PIDDerivativeFilter PIDFeedforward PIDTune Piecewise PiecewiseExpand PieChart PieChart3D PillaiTrace PillaiTraceTest PingTime Pink PitchRecognize Pivoting PixelConstrained PixelValue PixelValuePositions Placed Placeholder PlaceholderReplace Plain PlanarAngle PlanarGraph PlanarGraphQ PlanckRadiationLaw PlaneCurveData PlanetaryMoonData PlanetData PlantData Play PlayRange Plot Plot3D Plot3Matrix PlotDivision PlotJoined PlotLabel PlotLabels PlotLayout PlotLegends PlotMarkers PlotPoints PlotRange PlotRangeClipping PlotRangeClipPlanesStyle PlotRangePadding PlotRegion PlotStyle PlotTheme Pluralize Plus PlusMinus Pochhammer PodStates PodWidth Point Point3DBox Point3DBoxOptions PointBox PointBoxOptions PointFigureChart PointLegend PointSize PoissonConsulDistribution PoissonDistribution PoissonProcess PoissonWindow PolarAxes PolarAxesOrigin PolarGridLines PolarPlot PolarTicks PoleZeroMarkers PolyaAeppliDistribution PolyGamma Polygon Polygon3DBox Polygon3DBoxOptions PolygonalNumber PolygonAngle PolygonBox PolygonBoxOptions PolygonCoordinates PolygonDecomposition PolygonHoleScale PolygonIntersections PolygonScale Polyhedron PolyhedronAngle PolyhedronCoordinates PolyhedronData PolyhedronDecomposition PolyhedronGenus PolyLog PolynomialExtendedGCD PolynomialForm PolynomialGCD PolynomialLCM PolynomialMod PolynomialQ PolynomialQuotient PolynomialQuotientRemainder PolynomialReduce PolynomialRemainder Polynomials PoolingLayer PopupMenu PopupMenuBox PopupMenuBoxOptions PopupView PopupWindow Position PositionIndex Positive PositiveDefiniteMatrixQ PositiveIntegers PositiveRationals PositiveReals PositiveSemidefiniteMatrixQ PossibleZeroQ Postfix PostScript Power PowerDistribution PowerExpand PowerMod PowerModList PowerRange PowerSpectralDensity PowersRepresentations PowerSymmetricPolynomial Precedence PrecedenceForm Precedes PrecedesEqual PrecedesSlantEqual PrecedesTilde Precision PrecisionGoal PreDecrement Predict PredictionRoot PredictorFunction PredictorInformation PredictorMeasurements PredictorMeasurementsObject PreemptProtect PreferencesPath Prefix PreIncrement Prepend PrependLayer PrependTo PreprocessingRules PreserveColor PreserveImageOptions Previous PreviousCell PreviousDate PriceGraphDistribution PrimaryPlaceholder Prime PrimeNu PrimeOmega PrimePi PrimePowerQ PrimeQ Primes PrimeZetaP PrimitivePolynomialQ PrimitiveRoot PrimitiveRootList PrincipalComponents PrincipalValue Print PrintableASCIIQ PrintAction PrintForm PrintingCopies PrintingOptions PrintingPageRange PrintingStartingPageNumber PrintingStyleEnvironment Printout3D Printout3DPreviewer PrintPrecision PrintTemporary Prism PrismBox PrismBoxOptions PrivateCellOptions PrivateEvaluationOptions PrivateFontOptions PrivateFrontEndOptions PrivateKey PrivateNotebookOptions PrivatePaths Probability ProbabilityDistribution ProbabilityPlot ProbabilityPr ProbabilityScalePlot ProbitModelFit ProcessConnection ProcessDirectory ProcessEnvironment Processes ProcessEstimator ProcessInformation ProcessObject ProcessParameterAssumptions ProcessParameterQ ProcessStateDomain ProcessStatus ProcessTimeDomain Product ProductDistribution ProductLog ProgressIndicator ProgressIndicatorBox ProgressIndicatorBoxOptions Projection Prolog PromptForm ProofObject Properties Property PropertyList PropertyValue Proportion Proportional Protect Protected ProteinData Pruning PseudoInverse PsychrometricPropertyData PublicKey PublisherID PulsarData PunctuationCharacter Purple Put PutAppend Pyramid PyramidBox PyramidBoxOptions' +\n 'QBinomial QFactorial QGamma QHypergeometricPFQ QnDispersion QPochhammer QPolyGamma QRDecomposition QuadraticIrrationalQ QuadraticOptimization Quantile QuantilePlot Quantity QuantityArray QuantityDistribution QuantityForm QuantityMagnitude QuantityQ QuantityUnit QuantityVariable QuantityVariableCanonicalUnit QuantityVariableDimensions QuantityVariableIdentifier QuantityVariablePhysicalQuantity Quartics QuartileDeviation Quartiles QuartileSkewness Query QueueingNetworkProcess QueueingProcess QueueProperties Quiet Quit Quotient QuotientRemainder' +\n 'RadialGradientImage RadialityCentrality RadicalBox RadicalBoxOptions RadioButton RadioButtonBar RadioButtonBox RadioButtonBoxOptions Radon RadonTransform RamanujanTau RamanujanTauL RamanujanTauTheta RamanujanTauZ Ramp Random RandomChoice RandomColor RandomComplex RandomEntity RandomFunction RandomGeoPosition RandomGraph RandomImage RandomInstance RandomInteger RandomPermutation RandomPoint RandomPolygon RandomPolyhedron RandomPrime RandomReal RandomSample RandomSeed RandomSeeding RandomVariate RandomWalkProcess RandomWord Range RangeFilter RangeSpecification RankedMax RankedMin RarerProbability Raster Raster3D Raster3DBox Raster3DBoxOptions RasterArray RasterBox RasterBoxOptions Rasterize RasterSize Rational RationalFunctions Rationalize Rationals Ratios RawArray RawBoxes RawData RawMedium RayleighDistribution Re Read ReadByteArray ReadLine ReadList ReadProtected ReadString Real RealAbs RealBlockDiagonalForm RealDigits RealExponent Reals RealSign Reap RecognitionPrior RecognitionThreshold Record RecordLists RecordSeparators Rectangle RectangleBox RectangleBoxOptions RectangleChart RectangleChart3D RectangularRepeatingElement RecurrenceFilter RecurrenceTable RecurringDigitsForm Red Reduce RefBox ReferenceLineStyle ReferenceMarkers ReferenceMarkerStyle Refine ReflectionMatrix ReflectionTransform Refresh RefreshRate Region RegionBinarize RegionBoundary RegionBounds RegionCentroid RegionDifference RegionDimension RegionDisjoint RegionDistance RegionDistanceFunction RegionEmbeddingDimension RegionEqual RegionFunction RegionImage RegionIntersection RegionMeasure RegionMember RegionMemberFunction RegionMoment RegionNearest RegionNearestFunction RegionPlot RegionPlot3D RegionProduct RegionQ RegionResize RegionSize RegionSymmetricDifference RegionUnion RegionWithin RegisterExternalEvaluator RegularExpression Regularization RegularlySampledQ RegularPolygon ReIm ReImLabels ReImPlot ReImStyle Reinstall RelationalDatabase RelationGraph Release ReleaseHold ReliabilityDistribution ReliefImage ReliefPlot RemoteAuthorizationCaching RemoteConnect RemoteConnectionObject RemoteFile RemoteRun RemoteRunProcess Remove RemoveAlphaChannel RemoveAsynchronousTask RemoveAudioStream RemoveBackground RemoveChannelListener RemoveChannelSubscribers Removed RemoveDiacritics RemoveInputStreamMethod RemoveOutputStreamMethod RemoveProperty RemoveScheduledTask RemoveUsers RenameDirectory RenameFile RenderAll RenderingOptions RenewalProcess RenkoChart RepairMesh Repeated RepeatedNull RepeatedString RepeatedTiming RepeatingElement Replace ReplaceAll ReplaceHeldPart ReplaceImageValue ReplaceList ReplacePart ReplacePixelValue ReplaceRepeated ReplicateLayer RequiredPhysicalQuantities Resampling ResamplingAlgorithmData ResamplingMethod Rescale RescalingTransform ResetDirectory ResetMenusPacket ResetScheduledTask ReshapeLayer Residue ResizeLayer Resolve ResourceAcquire ResourceData ResourceFunction ResourceObject ResourceRegister ResourceRemove ResourceSearch ResourceSubmissionObject ResourceSubmit ResourceSystemBase ResourceUpdate ResponseForm Rest RestartInterval Restricted Resultant ResumePacket Return ReturnEntersInput ReturnExpressionPacket ReturnInputFormPacket ReturnPacket ReturnReceiptFunction ReturnTextPacket Reverse ReverseBiorthogonalSplineWavelet ReverseElement ReverseEquilibrium ReverseGraph ReverseSort ReverseSortBy ReverseUpEquilibrium RevolutionAxis RevolutionPlot3D RGBColor RiccatiSolve RiceDistribution RidgeFilter RiemannR RiemannSiegelTheta RiemannSiegelZ RiemannXi Riffle Right RightArrow RightArrowBar RightArrowLeftArrow RightComposition RightCosetRepresentative RightDownTeeVector RightDownVector RightDownVectorBar RightTee RightTeeArrow RightTeeVector RightTriangle RightTriangleBar RightTriangleEqual RightUpDownVector RightUpTeeVector RightUpVector RightUpVectorBar RightVector RightVectorBar RiskAchievementImportance RiskReductionImportance RogersTanimotoDissimilarity RollPitchYawAngles RollPitchYawMatrix RomanNumeral Root RootApproximant RootIntervals RootLocusPlot RootMeanSquare RootOfUnityQ RootReduce Roots RootSum Rotate RotateLabel RotateLeft RotateRight RotationAction RotationBox RotationBoxOptions RotationMatrix RotationTransform Round RoundImplies RoundingRadius Row RowAlignments RowBackgrounds RowBox RowHeights RowLines RowMinHeight RowReduce RowsEqual RowSpacings RSolve RSolveValue RudinShapiro RudvalisGroupRu Rule RuleCondition RuleDelayed RuleForm RulePlot RulerUnits Run RunProcess RunScheduledTask RunThrough RuntimeAttributes RuntimeOptions RussellRaoDissimilarity' +\n 'SameQ SameTest SampledEntityClass SampleDepth SampledSoundFunction SampledSoundList SampleRate SamplingPeriod SARIMAProcess SARMAProcess SASTriangle SatelliteData SatisfiabilityCount SatisfiabilityInstances SatisfiableQ Saturday Save Saveable SaveAutoDelete SaveConnection SaveDefinitions SavitzkyGolayMatrix SawtoothWave Scale Scaled ScaleDivisions ScaledMousePosition ScaleOrigin ScalePadding ScaleRanges ScaleRangeStyle ScalingFunctions ScalingMatrix ScalingTransform Scan ScheduledTask ScheduledTaskActiveQ ScheduledTaskInformation ScheduledTaskInformationData ScheduledTaskObject ScheduledTasks SchurDecomposition ScientificForm ScientificNotationThreshold ScorerGi ScorerGiPrime ScorerHi ScorerHiPrime ScreenRectangle ScreenStyleEnvironment ScriptBaselineShifts ScriptForm ScriptLevel ScriptMinSize ScriptRules ScriptSizeMultipliers Scrollbars ScrollingOptions ScrollPosition SearchAdjustment SearchIndexObject SearchIndices SearchQueryString SearchResultObject Sec Sech SechDistribution SecondOrderConeOptimization SectionGrouping SectorChart SectorChart3D SectorOrigin SectorSpacing SecuredAuthenticationKey SecuredAuthenticationKeys SeedRandom Select Selectable SelectComponents SelectedCells SelectedNotebook SelectFirst Selection SelectionAnimate SelectionCell SelectionCellCreateCell SelectionCellDefaultStyle SelectionCellParentStyle SelectionCreateCell SelectionDebuggerTag SelectionDuplicateCell SelectionEvaluate SelectionEvaluateCreateCell SelectionMove SelectionPlaceholder SelectionSetStyle SelectWithContents SelfLoops SelfLoopStyle SemanticImport SemanticImportString SemanticInterpretation SemialgebraicComponentInstances SemidefiniteOptimization SendMail SendMessage Sequence SequenceAlignment SequenceAttentionLayer SequenceCases SequenceCount SequenceFold SequenceFoldList SequenceForm SequenceHold SequenceLastLayer SequenceMostLayer SequencePosition SequencePredict SequencePredictorFunction SequenceReplace SequenceRestLayer SequenceReverseLayer SequenceSplit Series SeriesCoefficient SeriesData ServiceConnect ServiceDisconnect ServiceExecute ServiceObject ServiceRequest ServiceResponse ServiceSubmit SessionSubmit SessionTime Set SetAccuracy SetAlphaChannel SetAttributes Setbacks SetBoxFormNamesPacket SetCloudDirectory SetCookies SetDelayed SetDirectory SetEnvironment SetEvaluationNotebook SetFileDate SetFileLoadingContext SetNotebookStatusLine SetOptions SetOptionsPacket SetPermissions SetPrecision SetProperty SetSecuredAuthenticationKey SetSelectedNotebook SetSharedFunction SetSharedVariable SetSpeechParametersPacket SetStreamPosition SetSystemModel SetSystemOptions Setter SetterBar SetterBox SetterBoxOptions Setting SetUsers SetValue Shading Shallow ShannonWavelet ShapiroWilkTest Share SharingList Sharpen ShearingMatrix ShearingTransform ShellRegion ShenCastanMatrix ShiftedGompertzDistribution ShiftRegisterSequence Short ShortDownArrow Shortest ShortestMatch ShortestPathFunction ShortLeftArrow ShortRightArrow ShortTimeFourier ShortTimeFourierData ShortUpArrow Show ShowAutoConvert ShowAutoSpellCheck ShowAutoStyles ShowCellBracket ShowCellLabel ShowCellTags ShowClosedCellArea ShowCodeAssist ShowContents ShowControls ShowCursorTracker ShowGroupOpenCloseIcon ShowGroupOpener ShowInvisibleCharacters ShowPageBreaks ShowPredictiveInterface ShowSelection ShowShortBoxForm ShowSpecialCharacters ShowStringCharacters ShowSyntaxStyles ShrinkingDelay ShrinkWrapBoundingBox SiderealTime SiegelTheta SiegelTukeyTest SierpinskiCurve SierpinskiMesh Sign Signature SignedRankTest SignedRegionDistance SignificanceLevel SignPadding SignTest SimilarityRules SimpleGraph SimpleGraphQ SimplePolygonQ SimplePolyhedronQ Simplex Simplify Sin Sinc SinghMaddalaDistribution SingleEvaluation SingleLetterItalics SingleLetterStyle SingularValueDecomposition SingularValueList SingularValuePlot SingularValues Sinh SinhIntegral SinIntegral SixJSymbol Skeleton SkeletonTransform SkellamDistribution Skewness SkewNormalDistribution SkinStyle Skip SliceContourPlot3D SliceDensityPlot3D SliceDistribution SliceVectorPlot3D Slider Slider2D Slider2DBox Slider2DBoxOptions SliderBox SliderBoxOptions SlideView Slot SlotSequence Small SmallCircle Smaller SmithDecomposition SmithDelayCompensator SmithWatermanSimilarity SmoothDensityHistogram SmoothHistogram SmoothHistogram3D SmoothKernelDistribution SnDispersion Snippet SnubPolyhedron SocialMediaData Socket SocketConnect SocketListen SocketListener SocketObject SocketOpen SocketReadMessage SocketReadyQ Sockets SocketWaitAll SocketWaitNext SoftmaxLayer SokalSneathDissimilarity SolarEclipse SolarSystemFeatureData SolidAngle SolidData SolidRegionQ Solve SolveAlways SolveDelayed Sort SortBy SortedBy SortedEntityClass Sound SoundAndGraphics SoundNote SoundVolume SourceLink Sow Space SpaceCurveData SpaceForm Spacer Spacings Span SpanAdjustments SpanCharacterRounding SpanFromAbove SpanFromBoth SpanFromLeft SpanLineThickness SpanMaxSize SpanMinSize SpanningCharacters SpanSymmetric SparseArray SpatialGraphDistribution SpatialMedian SpatialTransformationLayer Speak SpeakTextPacket SpearmanRankTest SpearmanRho SpeciesData SpecificityGoal SpectralLineData Spectrogram SpectrogramArray Specularity SpeechRecognize SpeechSynthesize SpellingCorrection SpellingCorrectionList SpellingDictionaries SpellingDictionariesPath SpellingOptions SpellingSuggestionsPacket Sphere SphereBox SpherePoints SphericalBesselJ SphericalBesselY SphericalHankelH1 SphericalHankelH2 SphericalHarmonicY SphericalPlot3D SphericalRegion SphericalShell SpheroidalEigenvalue SpheroidalJoiningFactor SpheroidalPS SpheroidalPSPrime SpheroidalQS SpheroidalQSPrime SpheroidalRadialFactor SpheroidalS1 SpheroidalS1Prime SpheroidalS2 SpheroidalS2Prime Splice SplicedDistribution SplineClosed SplineDegree SplineKnots SplineWeights Split SplitBy SpokenString Sqrt SqrtBox SqrtBoxOptions Square SquaredEuclideanDistance SquareFreeQ SquareIntersection SquareMatrixQ SquareRepeatingElement SquaresR SquareSubset SquareSubsetEqual SquareSuperset SquareSupersetEqual SquareUnion SquareWave SSSTriangle StabilityMargins StabilityMarginsStyle StableDistribution Stack StackBegin StackComplete StackedDateListPlot StackedListPlot StackInhibit StadiumShape StandardAtmosphereData StandardDeviation StandardDeviationFilter StandardForm Standardize Standardized StandardOceanData StandbyDistribution Star StarClusterData StarData StarGraph StartAsynchronousTask StartExternalSession StartingStepSize StartOfLine StartOfString StartProcess StartScheduledTask StartupSound StartWebSession StateDimensions StateFeedbackGains StateOutputEstimator StateResponse StateSpaceModel StateSpaceRealization StateSpaceTransform StateTransformationLinearize StationaryDistribution StationaryWaveletPacketTransform StationaryWaveletTransform StatusArea StatusCentrality StepMonitor StereochemistryElements StieltjesGamma StirlingS1 StirlingS2 StopAsynchronousTask StoppingPowerData StopScheduledTask StrataVariables StratonovichProcess StreamColorFunction StreamColorFunctionScaling StreamDensityPlot StreamMarkers StreamPlot StreamPoints StreamPosition Streams StreamScale StreamStyle String StringBreak StringByteCount StringCases StringContainsQ StringCount StringDelete StringDrop StringEndsQ StringExpression StringExtract StringForm StringFormat StringFreeQ StringInsert StringJoin StringLength StringMatchQ StringPadLeft StringPadRight StringPart StringPartition StringPosition StringQ StringRepeat StringReplace StringReplaceList StringReplacePart StringReverse StringRiffle StringRotateLeft StringRotateRight StringSkeleton StringSplit StringStartsQ StringTake StringTemplate StringToByteArray StringToStream StringTrim StripBoxes StripOnInput StripWrapperBoxes StrokeForm StructuralImportance StructuredArray StructuredSelection StruveH StruveL Stub StudentTDistribution Style StyleBox StyleBoxAutoDelete StyleData StyleDefinitions StyleForm StyleHints StyleKeyMapping StyleMenuListing StyleNameDialogSettings StyleNames StylePrint StyleSheetPath Subdivide Subfactorial Subgraph SubMinus SubPlus SubresultantPolynomialRemainders SubresultantPolynomials Subresultants Subscript SubscriptBox SubscriptBoxOptions Subscripted Subsequences Subset SubsetEqual SubsetMap SubsetQ Subsets SubStar SubstitutionSystem Subsuperscript SubsuperscriptBox SubsuperscriptBoxOptions Subtract SubtractFrom SubtractSides SubValues Succeeds SucceedsEqual SucceedsSlantEqual SucceedsTilde Success SuchThat Sum SumConvergence SummationLayer Sunday SunPosition Sunrise Sunset SuperDagger SuperMinus SupernovaData SuperPlus Superscript SuperscriptBox SuperscriptBoxOptions Superset SupersetEqual SuperStar Surd SurdForm SurfaceArea SurfaceColor SurfaceData SurfaceGraphics SurvivalDistribution SurvivalFunction SurvivalModel SurvivalModelFit SuspendPacket SuzukiDistribution SuzukiGroupSuz SwatchLegend Switch Symbol SymbolName SymletWavelet Symmetric SymmetricGroup SymmetricKey SymmetricMatrixQ SymmetricPolynomial SymmetricReduction Symmetrize SymmetrizedArray SymmetrizedArrayRules SymmetrizedDependentComponents SymmetrizedIndependentComponents SymmetrizedReplacePart SynchronousInitialization SynchronousUpdating Synonyms Syntax SyntaxForm SyntaxInformation SyntaxLength SyntaxPacket SyntaxQ SynthesizeMissingValues SystemDialogInput SystemException SystemGet SystemHelpPath SystemInformation SystemInformationData SystemInstall SystemModel SystemModeler SystemModelExamples SystemModelLinearize SystemModelParametricSimulate SystemModelPlot SystemModelProgressReporting SystemModelReliability SystemModels SystemModelSimulate SystemModelSimulateSensitivity SystemModelSimulationData SystemOpen SystemOptions SystemProcessData SystemProcesses SystemsConnectionsModel SystemsModelDelay SystemsModelDelayApproximate SystemsModelDelete SystemsModelDimensions SystemsModelExtract SystemsModelFeedbackConnect SystemsModelLabels SystemsModelLinearity SystemsModelMerge SystemsModelOrder SystemsModelParallelConnect SystemsModelSeriesConnect SystemsModelStateFeedbackConnect SystemsModelVectorRelativeOrders SystemStub SystemTest' +\n 'Tab TabFilling Table TableAlignments TableDepth TableDirections TableForm TableHeadings TableSpacing TableView TableViewBox TableViewBoxBackground TableViewBoxOptions TabSpacings TabView TabViewBox TabViewBoxOptions TagBox TagBoxNote TagBoxOptions TaggingRules TagSet TagSetDelayed TagStyle TagUnset Take TakeDrop TakeLargest TakeLargestBy TakeList TakeSmallest TakeSmallestBy TakeWhile Tally Tan Tanh TargetDevice TargetFunctions TargetSystem TargetUnits TaskAbort TaskExecute TaskObject TaskRemove TaskResume Tasks TaskSuspend TaskWait TautologyQ TelegraphProcess TemplateApply TemplateArgBox TemplateBox TemplateBoxOptions TemplateEvaluate TemplateExpression TemplateIf TemplateObject TemplateSequence TemplateSlot TemplateSlotSequence TemplateUnevaluated TemplateVerbatim TemplateWith TemporalData TemporalRegularity Temporary TemporaryVariable TensorContract TensorDimensions TensorExpand TensorProduct TensorQ TensorRank TensorReduce TensorSymmetry TensorTranspose TensorWedge TestID TestReport TestReportObject TestResultObject Tetrahedron TetrahedronBox TetrahedronBoxOptions TeXForm TeXSave Text Text3DBox Text3DBoxOptions TextAlignment TextBand TextBoundingBox TextBox TextCases TextCell TextClipboardType TextContents TextData TextElement TextForm TextGrid TextJustification TextLine TextPacket TextParagraph TextPosition TextRecognize TextSearch TextSearchReport TextSentences TextString TextStructure TextStyle TextTranslation Texture TextureCoordinateFunction TextureCoordinateScaling TextWords Therefore ThermodynamicData ThermometerGauge Thick Thickness Thin Thinning ThisLink ThompsonGroupTh Thread ThreadingLayer ThreeJSymbol Threshold Through Throw ThueMorse Thumbnail Thursday Ticks TicksStyle TideData Tilde TildeEqual TildeFullEqual TildeTilde TimeConstrained TimeConstraint TimeDirection TimeFormat TimeGoal TimelinePlot TimeObject TimeObjectQ Times TimesBy TimeSeries TimeSeriesAggregate TimeSeriesForecast TimeSeriesInsert TimeSeriesInvertibility TimeSeriesMap TimeSeriesMapThread TimeSeriesModel TimeSeriesModelFit TimeSeriesResample TimeSeriesRescale TimeSeriesShift TimeSeriesThread TimeSeriesWindow TimeUsed TimeValue TimeWarpingCorrespondence TimeWarpingDistance TimeZone TimeZoneConvert TimeZoneOffset Timing Tiny TitleGrouping TitsGroupT ToBoxes ToCharacterCode ToColor ToContinuousTimeModel ToDate Today ToDiscreteTimeModel ToEntity ToeplitzMatrix ToExpression ToFileName Together Toggle ToggleFalse Toggler TogglerBar TogglerBox TogglerBoxOptions ToHeldExpression ToInvertibleTimeSeries TokenWords Tolerance ToLowerCase Tomorrow ToNumberField TooBig Tooltip TooltipBox TooltipBoxOptions TooltipDelay TooltipStyle Top TopHatTransform ToPolarCoordinates TopologicalSort ToRadicals ToRules ToSphericalCoordinates ToString Total TotalHeight TotalLayer TotalVariationFilter TotalWidth TouchPosition TouchscreenAutoZoom TouchscreenControlPlacement ToUpperCase Tr Trace TraceAbove TraceAction TraceBackward TraceDepth TraceDialog TraceForward TraceInternal TraceLevel TraceOff TraceOn TraceOriginal TracePrint TraceScan TrackedSymbols TrackingFunction TracyWidomDistribution TradingChart TraditionalForm TraditionalFunctionNotation TraditionalNotation TraditionalOrder TrainingProgressCheckpointing TrainingProgressFunction TrainingProgressMeasurements TrainingProgressReporting TrainingStoppingCriterion TransferFunctionCancel TransferFunctionExpand TransferFunctionFactor TransferFunctionModel TransferFunctionPoles TransferFunctionTransform TransferFunctionZeros TransformationClass TransformationFunction TransformationFunctions TransformationMatrix TransformedDistribution TransformedField TransformedProcess TransformedRegion TransitionDirection TransitionDuration TransitionEffect TransitiveClosureGraph TransitiveReductionGraph Translate TranslationOptions TranslationTransform Transliterate Transparent TransparentColor Transpose TransposeLayer TrapSelection TravelDirections TravelDirectionsData TravelDistance TravelDistanceList TravelMethod TravelTime TreeForm TreeGraph TreeGraphQ TreePlot TrendStyle Triangle TriangleCenter TriangleConstruct TriangleMeasurement TriangleWave TriangularDistribution TriangulateMesh Trig TrigExpand TrigFactor TrigFactorList Trigger TrigReduce TrigToExp TrimmedMean TrimmedVariance TropicalStormData True TrueQ TruncatedDistribution TruncatedPolyhedron TsallisQExponentialDistribution TsallisQGaussianDistribution TTest Tube TubeBezierCurveBox TubeBezierCurveBoxOptions TubeBox TubeBoxOptions TubeBSplineCurveBox TubeBSplineCurveBoxOptions Tuesday TukeyLambdaDistribution TukeyWindow TunnelData Tuples TuranGraph TuringMachine TuttePolynomial TwoWayRule Typed TypeSpecifier' +\n 'UnateQ Uncompress UnconstrainedParameters Undefined UnderBar Underflow Underlined Underoverscript UnderoverscriptBox UnderoverscriptBoxOptions Underscript UnderscriptBox UnderscriptBoxOptions UnderseaFeatureData UndirectedEdge UndirectedGraph UndirectedGraphQ UndoOptions UndoTrackedVariables Unequal UnequalTo Unevaluated UniformDistribution UniformGraphDistribution UniformPolyhedron UniformSumDistribution Uninstall Union UnionPlus Unique UnitaryMatrixQ UnitBox UnitConvert UnitDimensions Unitize UnitRootTest UnitSimplify UnitStep UnitSystem UnitTriangle UnitVector UnitVectorLayer UnityDimensions UniverseModelData UniversityData UnixTime Unprotect UnregisterExternalEvaluator UnsameQ UnsavedVariables Unset UnsetShared UntrackedVariables Up UpArrow UpArrowBar UpArrowDownArrow Update UpdateDynamicObjects UpdateDynamicObjectsSynchronous UpdateInterval UpdateSearchIndex UpDownArrow UpEquilibrium UpperCaseQ UpperLeftArrow UpperRightArrow UpperTriangularize UpperTriangularMatrixQ Upsample UpSet UpSetDelayed UpTee UpTeeArrow UpTo UpValues URL URLBuild URLDecode URLDispatcher URLDownload URLDownloadSubmit URLEncode URLExecute URLExpand URLFetch URLFetchAsynchronous URLParse URLQueryDecode URLQueryEncode URLRead URLResponseTime URLSave URLSaveAsynchronous URLShorten URLSubmit UseGraphicsRange UserDefinedWavelet Using UsingFrontEnd UtilityFunction' +\n 'V2Get ValenceErrorHandling ValidationLength ValidationSet Value ValueBox ValueBoxOptions ValueDimensions ValueForm ValuePreprocessingFunction ValueQ Values ValuesData Variables Variance VarianceEquivalenceTest VarianceEstimatorFunction VarianceGammaDistribution VarianceTest VectorAngle VectorAround VectorColorFunction VectorColorFunctionScaling VectorDensityPlot VectorGlyphData VectorGreater VectorGreaterEqual VectorLess VectorLessEqual VectorMarkers VectorPlot VectorPlot3D VectorPoints VectorQ Vectors VectorScale VectorStyle Vee Verbatim Verbose VerboseConvertToPostScriptPacket VerificationTest VerifyConvergence VerifyDerivedKey VerifyDigitalSignature VerifyInterpretation VerifySecurityCertificates VerifySolutions VerifyTestAssumptions Version VersionNumber VertexAdd VertexCapacity VertexColors VertexComponent VertexConnectivity VertexContract VertexCoordinateRules VertexCoordinates VertexCorrelationSimilarity VertexCosineSimilarity VertexCount VertexCoverQ VertexDataCoordinates VertexDegree VertexDelete VertexDiceSimilarity VertexEccentricity VertexInComponent VertexInDegree VertexIndex VertexJaccardSimilarity VertexLabeling VertexLabels VertexLabelStyle VertexList VertexNormals VertexOutComponent VertexOutDegree VertexQ VertexRenderingFunction VertexReplace VertexShape VertexShapeFunction VertexSize VertexStyle VertexTextureCoordinates VertexWeight VertexWeightedGraphQ Vertical VerticalBar VerticalForm VerticalGauge VerticalSeparator VerticalSlider VerticalTilde ViewAngle ViewCenter ViewMatrix ViewPoint ViewPointSelectorSettings ViewPort ViewProjection ViewRange ViewVector ViewVertical VirtualGroupData Visible VisibleCell VoiceStyleData VoigtDistribution VolcanoData Volume VonMisesDistribution VoronoiMesh' +\n 'WaitAll WaitAsynchronousTask WaitNext WaitUntil WakebyDistribution WalleniusHypergeometricDistribution WaringYuleDistribution WarpingCorrespondence WarpingDistance WatershedComponents WatsonUSquareTest WattsStrogatzGraphDistribution WaveletBestBasis WaveletFilterCoefficients WaveletImagePlot WaveletListPlot WaveletMapIndexed WaveletMatrixPlot WaveletPhi WaveletPsi WaveletScale WaveletScalogram WaveletThreshold WeaklyConnectedComponents WeaklyConnectedGraphComponents WeaklyConnectedGraphQ WeakStationarity WeatherData WeatherForecastData WebAudioSearch WebElementObject WeberE WebExecute WebImage WebImageSearch WebSearch WebSessionObject WebSessions WebWindowObject Wedge Wednesday WeibullDistribution WeierstrassE1 WeierstrassE2 WeierstrassE3 WeierstrassEta1 WeierstrassEta2 WeierstrassEta3 WeierstrassHalfPeriods WeierstrassHalfPeriodW1 WeierstrassHalfPeriodW2 WeierstrassHalfPeriodW3 WeierstrassInvariantG2 WeierstrassInvariantG3 WeierstrassInvariants WeierstrassP WeierstrassPPrime WeierstrassSigma WeierstrassZeta WeightedAdjacencyGraph WeightedAdjacencyMatrix WeightedData WeightedGraphQ Weights WelchWindow WheelGraph WhenEvent Which While White WhiteNoiseProcess WhitePoint Whitespace WhitespaceCharacter WhittakerM WhittakerW WienerFilter WienerProcess WignerD WignerSemicircleDistribution WikipediaData WikipediaSearch WilksW WilksWTest WindDirectionData WindingCount WindingPolygon WindowClickSelect WindowElements WindowFloating WindowFrame WindowFrameElements WindowMargins WindowMovable WindowOpacity WindowPersistentStyles WindowSelected WindowSize WindowStatusArea WindowTitle WindowToolbars WindowWidth WindSpeedData WindVectorData WinsorizedMean WinsorizedVariance WishartMatrixDistribution With WolframAlpha WolframAlphaDate WolframAlphaQuantity WolframAlphaResult WolframLanguageData Word WordBoundary WordCharacter WordCloud WordCount WordCounts WordData WordDefinition WordFrequency WordFrequencyData WordList WordOrientation WordSearch WordSelectionFunction WordSeparators WordSpacings WordStem WordTranslation WorkingPrecision WrapAround Write WriteLine WriteString Wronskian' +\n 'XMLElement XMLObject XMLTemplate Xnor Xor XYZColor' +\n 'Yellow Yesterday YuleDissimilarity' +\n 'ZernikeR ZeroSymmetric ZeroTest ZeroWidthTimes Zeta ZetaZero ZIPCodeData ZipfDistribution ZoomCenter ZoomFactor ZTest ZTransform' +\n '$Aborted $ActivationGroupID $ActivationKey $ActivationUserRegistered $AddOnsDirectory $AllowExternalChannelFunctions $AssertFunction $Assumptions $AsynchronousTask $AudioInputDevices $AudioOutputDevices $BaseDirectory $BatchInput $BatchOutput $BlockchainBase $BoxForms $ByteOrdering $CacheBaseDirectory $Canceled $ChannelBase $CharacterEncoding $CharacterEncodings $CloudBase $CloudConnected $CloudCreditsAvailable $CloudEvaluation $CloudExpressionBase $CloudObjectNameFormat $CloudObjectURLType $CloudRootDirectory $CloudSymbolBase $CloudUserID $CloudUserUUID $CloudVersion $CloudVersionNumber $CloudWolframEngineVersionNumber $CommandLine $CompilationTarget $ConditionHold $ConfiguredKernels $Context $ContextPath $ControlActiveSetting $Cookies $CookieStore $CreationDate $CurrentLink $CurrentTask $CurrentWebSession $DateStringFormat $DefaultAudioInputDevice $DefaultAudioOutputDevice $DefaultFont $DefaultFrontEnd $DefaultImagingDevice $DefaultLocalBase $DefaultMailbox $DefaultNetworkInterface $DefaultPath $Display $DisplayFunction $DistributedContexts $DynamicEvaluation $Echo $EmbedCodeEnvironments $EmbeddableServices $EntityStores $Epilog $EvaluationCloudBase $EvaluationCloudObject $EvaluationEnvironment $ExportFormats $Failed $FinancialDataSource $FontFamilies $FormatType $FrontEnd $FrontEndSession $GeoEntityTypes $GeoLocation $GeoLocationCity $GeoLocationCountry $GeoLocationPrecision $GeoLocationSource $HistoryLength $HomeDirectory $HTMLExportRules $HTTPCookies $HTTPRequest $IgnoreEOF $ImageFormattingWidth $ImagingDevice $ImagingDevices $ImportFormats $IncomingMailSettings $InitialDirectory $Initialization $InitializationContexts $Input $InputFileName $InputStreamMethods $Inspector $InstallationDate $InstallationDirectory $InterfaceEnvironment $InterpreterTypes $IterationLimit $KernelCount $KernelID $Language $LaunchDirectory $LibraryPath $LicenseExpirationDate $LicenseID $LicenseProcesses $LicenseServer $LicenseSubprocesses $LicenseType $Line $Linked $LinkSupported $LoadedFiles $LocalBase $LocalSymbolBase $MachineAddresses $MachineDomain $MachineDomains $MachineEpsilon $MachineID $MachineName $MachinePrecision $MachineType $MaxExtraPrecision $MaxLicenseProcesses $MaxLicenseSubprocesses $MaxMachineNumber $MaxNumber $MaxPiecewiseCases $MaxPrecision $MaxRootDegree $MessageGroups $MessageList $MessagePrePrint $Messages $MinMachineNumber $MinNumber $MinorReleaseNumber $MinPrecision $MobilePhone $ModuleNumber $NetworkConnected $NetworkInterfaces $NetworkLicense $NewMessage $NewSymbol $Notebooks $NoValue $NumberMarks $Off $OperatingSystem $Output $OutputForms $OutputSizeLimit $OutputStreamMethods $Packages $ParentLink $ParentProcessID $PasswordFile $PatchLevelID $Path $PathnameSeparator $PerformanceGoal $Permissions $PermissionsGroupBase $PersistenceBase $PersistencePath $PipeSupported $PlotTheme $Post $Pre $PreferencesDirectory $PreInitialization $PrePrint $PreRead $PrintForms $PrintLiteral $Printout3DPreviewer $ProcessID $ProcessorCount $ProcessorType $ProductInformation $ProgramName $PublisherID $RandomState $RecursionLimit $RegisteredDeviceClasses $RegisteredUserName $ReleaseNumber $RequesterAddress $RequesterWolframID $RequesterWolframUUID $ResourceSystemBase $RootDirectory $ScheduledTask $ScriptCommandLine $ScriptInputString $SecuredAuthenticationKeyTokens $ServiceCreditsAvailable $Services $SessionID $SetParentLink $SharedFunctions $SharedVariables $SoundDisplay $SoundDisplayFunction $SourceLink $SSHAuthentication $SummaryBoxDataSizeLimit $SuppressInputFormHeads $SynchronousEvaluation $SyntaxHandler $System $SystemCharacterEncoding $SystemID $SystemMemory $SystemShell $SystemTimeZone $SystemWordLength $TemplatePath $TemporaryDirectory $TemporaryPrefix $TestFileName $TextStyle $TimedOut $TimeUnit $TimeZone $TimeZoneEntity $TopDirectory $TraceOff $TraceOn $TracePattern $TracePostAction $TracePreAction $UnitSystem $Urgent $UserAddOnsDirectory $UserAgentLanguages $UserAgentMachine $UserAgentName $UserAgentOperatingSystem $UserAgentString $UserAgentVersion $UserBaseDirectory $UserDocumentsDirectory $Username $UserName $UserURLBase $Version $VersionNumber $VoiceStyles $WolframID $WolframUUID',\n contains: [\n hljs.COMMENT('\\\\(\\\\*', '\\\\*\\\\)', {contains: ['self']}),\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/matlab.js b/js/highlight/matlab.js
index 2f90da75b..58b7323bb 100644
--- a/js/highlight/matlab.js
+++ b/js/highlight/matlab.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[104],{470:function(e,a){e.exports=function(e){var a={relevance:0,contains:[{begin:"('|\\.')+"}]};return{keywords:{keyword:"break case catch classdef continue else elseif end enumerated events for function global if methods otherwise parfor persistent properties return spmd switch try while",built_in:"sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i inf nan isnan isinf isfinite j why compan gallery hadamard hankel hilb invhilb magic pascal rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun legend intersect ismember procrustes hold num2cell "},illegal:'(//|"|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}]}]},{className:"built_in",begin:/true|false/,relevance:0,starts:a},{begin:"[a-zA-Z][a-zA-Z_0-9]*('|\\.')+",relevance:0},{className:"number",begin:e.C_NUMBER_RE,relevance:0,starts:a},{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE,{begin:"''"}]},{begin:/\]|}|\)/,relevance:0,starts:a},{className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE,{begin:'""'}],starts:a},e.COMMENT("^\\s*\\%\\{\\s*$","^\\s*\\%\\}\\s*$"),e.COMMENT("\\%","$")]}}}}]);
-//# sourceMappingURL=matlab.js.map?v=2ee9b6533b8cb874f361 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[104],{471:function(e,a){e.exports=function(e){var a={relevance:0,contains:[{begin:"('|\\.')+"}]};return{keywords:{keyword:"break case catch classdef continue else elseif end enumerated events for function global if methods otherwise parfor persistent properties return spmd switch try while",built_in:"sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i inf nan isnan isinf isfinite j why compan gallery hadamard hankel hilb invhilb magic pascal rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun legend intersect ismember procrustes hold num2cell "},illegal:'(//|"|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}]}]},{className:"built_in",begin:/true|false/,relevance:0,starts:a},{begin:"[a-zA-Z][a-zA-Z_0-9]*('|\\.')+",relevance:0},{className:"number",begin:e.C_NUMBER_RE,relevance:0,starts:a},{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE,{begin:"''"}]},{begin:/\]|}|\)/,relevance:0,starts:a},{className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE,{begin:'""'}],starts:a},e.COMMENT("^\\s*\\%\\{\\s*$","^\\s*\\%\\}\\s*$"),e.COMMENT("\\%","$")]}}}}]);
+//# sourceMappingURL=matlab.js.map?v=e8ce50b033797edb4dab \ No newline at end of file
diff --git a/js/highlight/matlab.js.map b/js/highlight/matlab.js.map
index 1d704b25e..a19e56838 100644
--- a/js/highlight/matlab.js.map
+++ b/js/highlight/matlab.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/matlab.js"],"names":["module","exports","hljs","TRANSPOSE","relevance","contains","begin","keywords","keyword","built_in","illegal","className","beginKeywords","end","UNDERSCORE_TITLE_MODE","variants","starts","C_NUMBER_RE","BACKSLASH_ESCAPE","COMMENT"],"mappings":"qFAAAA,EAAOC,QAIP,SAASC,GAEP,IACIC,EAAY,CACdC,UAAW,EACXC,SAAU,CACR,CAAEC,MAJa,eAQnB,MAAO,CACLC,SAAU,CACRC,QACE,0KAEFC,SACE,kwCAiBJC,QAAS,0BACTL,SAAU,CACR,CACEM,UAAW,WACXC,cAAe,WAAYC,IAAK,IAChCR,SAAU,CACRH,EAAKY,sBACL,CACEH,UAAW,SACXI,SAAU,CACR,CAACT,MAAO,MAAOO,IAAK,OACpB,CAACP,MAAO,MAAOO,IAAK,WAK5B,CACEF,UAAW,WACXL,MAAO,aACPF,UAAW,EACXY,OAAQb,GAEV,CACEG,MAAO,iCACPF,UAAW,GAEb,CACEO,UAAW,SACXL,MAAOJ,EAAKe,YACZb,UAAW,EACXY,OAAQb,GAEV,CACEQ,UAAW,SACXL,MAAO,IAAMO,IAAK,IAClBR,SAAU,CACRH,EAAKgB,iBACL,CAACZ,MAAO,QAEZ,CACEA,MAAO,UACPF,UAAW,EACXY,OAAQb,GAEV,CACEQ,UAAW,SACXL,MAAO,IAAKO,IAAK,IACjBR,SAAU,CACRH,EAAKgB,iBACL,CAACZ,MAAO,OAEVU,OAAQb,GAEVD,EAAKiB,QAAQ,mBAAoB,oBACjCjB,EAAKiB,QAAQ,MAAO","file":"highlight/matlab.js?v=2ee9b6533b8cb874f361","sourcesContent":["module.exports = /*\n Formal syntax is not published, helpful link:\n https://github.com/kornilova-l/matlab-IntelliJ-plugin/blob/master/src/main/grammar/Matlab.bnf\n*/\nfunction(hljs) {\n\n var TRANSPOSE_RE = '(\\'|\\\\.\\')+';\n var TRANSPOSE = {\n relevance: 0,\n contains: [\n { begin: TRANSPOSE_RE }\n ]\n };\n\n return {\n keywords: {\n keyword:\n 'break case catch classdef continue else elseif end enumerated events for function ' +\n 'global if methods otherwise parfor persistent properties return spmd switch try while',\n built_in:\n 'sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan ' +\n 'atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot ' +\n 'cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog ' +\n 'realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal ' +\n 'cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli ' +\n 'besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma ' +\n 'gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms ' +\n 'nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones ' +\n 'eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ' +\n 'ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril ' +\n 'triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute ' +\n 'shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i inf nan ' +\n 'isnan isinf isfinite j why compan gallery hadamard hankel hilb invhilb magic pascal ' +\n 'rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table ' +\n 'readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun ' +\n 'legend intersect ismember procrustes hold num2cell '\n },\n illegal: '(//|\"|#|/\\\\*|\\\\s+/\\\\w+)',\n contains: [\n {\n className: 'function',\n beginKeywords: 'function', end: '$',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n {\n className: 'params',\n variants: [\n {begin: '\\\\(', end: '\\\\)'},\n {begin: '\\\\[', end: '\\\\]'}\n ]\n }\n ]\n },\n {\n className: 'built_in',\n begin: /true|false/,\n relevance: 0,\n starts: TRANSPOSE\n },\n {\n begin: '[a-zA-Z][a-zA-Z_0-9]*' + TRANSPOSE_RE,\n relevance: 0\n },\n {\n className: 'number',\n begin: hljs.C_NUMBER_RE,\n relevance: 0,\n starts: TRANSPOSE\n },\n {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n {begin: '\\'\\''}]\n },\n {\n begin: /\\]|}|\\)/,\n relevance: 0,\n starts: TRANSPOSE\n },\n {\n className: 'string',\n begin: '\"', end: '\"',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n {begin: '\"\"'}\n ],\n starts: TRANSPOSE\n },\n hljs.COMMENT('^\\\\s*\\\\%\\\\{\\\\s*$', '^\\\\s*\\\\%\\\\}\\\\s*$'),\n hljs.COMMENT('\\\\%', '$')\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/matlab.js"],"names":["module","exports","hljs","TRANSPOSE","relevance","contains","begin","keywords","keyword","built_in","illegal","className","beginKeywords","end","UNDERSCORE_TITLE_MODE","variants","starts","C_NUMBER_RE","BACKSLASH_ESCAPE","COMMENT"],"mappings":"qFAAAA,EAAOC,QAIP,SAASC,GAEP,IACIC,EAAY,CACdC,UAAW,EACXC,SAAU,CACR,CAAEC,MAJa,eAQnB,MAAO,CACLC,SAAU,CACRC,QACE,0KAEFC,SACE,kwCAiBJC,QAAS,0BACTL,SAAU,CACR,CACEM,UAAW,WACXC,cAAe,WAAYC,IAAK,IAChCR,SAAU,CACRH,EAAKY,sBACL,CACEH,UAAW,SACXI,SAAU,CACR,CAACT,MAAO,MAAOO,IAAK,OACpB,CAACP,MAAO,MAAOO,IAAK,WAK5B,CACEF,UAAW,WACXL,MAAO,aACPF,UAAW,EACXY,OAAQb,GAEV,CACEG,MAAO,iCACPF,UAAW,GAEb,CACEO,UAAW,SACXL,MAAOJ,EAAKe,YACZb,UAAW,EACXY,OAAQb,GAEV,CACEQ,UAAW,SACXL,MAAO,IAAMO,IAAK,IAClBR,SAAU,CACRH,EAAKgB,iBACL,CAACZ,MAAO,QAEZ,CACEA,MAAO,UACPF,UAAW,EACXY,OAAQb,GAEV,CACEQ,UAAW,SACXL,MAAO,IAAKO,IAAK,IACjBR,SAAU,CACRH,EAAKgB,iBACL,CAACZ,MAAO,OAEVU,OAAQb,GAEVD,EAAKiB,QAAQ,mBAAoB,oBACjCjB,EAAKiB,QAAQ,MAAO","file":"highlight/matlab.js?v=e8ce50b033797edb4dab","sourcesContent":["module.exports = /*\n Formal syntax is not published, helpful link:\n https://github.com/kornilova-l/matlab-IntelliJ-plugin/blob/master/src/main/grammar/Matlab.bnf\n*/\nfunction(hljs) {\n\n var TRANSPOSE_RE = '(\\'|\\\\.\\')+';\n var TRANSPOSE = {\n relevance: 0,\n contains: [\n { begin: TRANSPOSE_RE }\n ]\n };\n\n return {\n keywords: {\n keyword:\n 'break case catch classdef continue else elseif end enumerated events for function ' +\n 'global if methods otherwise parfor persistent properties return spmd switch try while',\n built_in:\n 'sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan ' +\n 'atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot ' +\n 'cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog ' +\n 'realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal ' +\n 'cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli ' +\n 'besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma ' +\n 'gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms ' +\n 'nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones ' +\n 'eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ' +\n 'ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril ' +\n 'triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute ' +\n 'shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i inf nan ' +\n 'isnan isinf isfinite j why compan gallery hadamard hankel hilb invhilb magic pascal ' +\n 'rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table ' +\n 'readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun ' +\n 'legend intersect ismember procrustes hold num2cell '\n },\n illegal: '(//|\"|#|/\\\\*|\\\\s+/\\\\w+)',\n contains: [\n {\n className: 'function',\n beginKeywords: 'function', end: '$',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n {\n className: 'params',\n variants: [\n {begin: '\\\\(', end: '\\\\)'},\n {begin: '\\\\[', end: '\\\\]'}\n ]\n }\n ]\n },\n {\n className: 'built_in',\n begin: /true|false/,\n relevance: 0,\n starts: TRANSPOSE\n },\n {\n begin: '[a-zA-Z][a-zA-Z_0-9]*' + TRANSPOSE_RE,\n relevance: 0\n },\n {\n className: 'number',\n begin: hljs.C_NUMBER_RE,\n relevance: 0,\n starts: TRANSPOSE\n },\n {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n {begin: '\\'\\''}]\n },\n {\n begin: /\\]|}|\\)/,\n relevance: 0,\n starts: TRANSPOSE\n },\n {\n className: 'string',\n begin: '\"', end: '\"',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n {begin: '\"\"'}\n ],\n starts: TRANSPOSE\n },\n hljs.COMMENT('^\\\\s*\\\\%\\\\{\\\\s*$', '^\\\\s*\\\\%\\\\}\\\\s*$'),\n hljs.COMMENT('\\\\%', '$')\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/maxima.js b/js/highlight/maxima.js
index 1297c2085..a173a2750 100644
--- a/js/highlight/maxima.js
+++ b/js/highlight/maxima.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[105],{471:function(e,t){e.exports=function(e){return{lexemes:"[A-Za-z_%][0-9A-Za-z_%]*",keywords:{keyword:"if then else elseif for thru do while unless step in and or not",literal:"true false unknown inf minf ind und %e %i %pi %phi %gamma",built_in:" abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type alias allroots alphacharp alphanumericp amortization %and annuity_fv annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2 applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method av average_degree backtrace bars barsplot barsplot_description base64 base64_decode bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description break bug_report build_info|10 buildq build_sample burn cabs canform canten cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2 charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps chinese cholesky christof chromatic_index chromatic_number cint circulant_graph clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse collectterms columnop columnspace columnswap columnvector combination combine comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph complete_graph complex_number_p components compose_functions concan concat conjugate conmetderiv connected_components connect_vertices cons constant constantp constituent constvalue cont2part content continuous_freq contortion contour_plot contract contract_edge contragrad contrib_ode convert coord copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1 covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate declare declare_constvalue declare_dimensions declare_fundamental_dimensions declare_fundamental_units declare_qty declare_translated declare_unit_conversion declare_units declare_weights decsym defcon define define_alt_display define_variable defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten delta demo demoivre denom depends derivdegree derivlist describe desolve determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export dimacs_import dimension dimensionless dimensions dimensions_as_list direct directory discrete_freq disjoin disjointp disolate disp dispcon dispform dispfun dispJordan display disprule dispterms distrib divide divisors divsum dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors euler ev eval_string evenp every evolution evolution2d evundiff example exp expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li expintegral_shi expintegral_si explicit explose exponentialize express expt exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge file_search file_type fillarray findde find_root find_root_abs find_root_error find_root_rel first fix flatten flength float floatnump floor flower_snark flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string get_pixel get_plot_option get_tex_environment get_tex_environment_default get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart imetric implicit implicit_derivative implicit_plot indexed_tensor indices induced_subgraph inferencep inference_result infix info_display init_atensor init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions integrate intersect intersection intervalp intopois intosum invariant1 invariant2 inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2 kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit Lindstedt linear linearinterpol linear_program linear_regression line_graph linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country make_polygon make_random_state make_rgb_picture makeset make_string_input_stream make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker max max_clique max_degree max_flow maximize_lp max_independent_set max_matching maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext newdet new_graph newline newton new_variable next_prime nicedummies niceindices ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst nthroot nullity nullspace num numbered_boundaries numberp number_to_octets num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin oid_to_octets op opena opena_binary openr openr_binary openw openw_binary operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface parg parGosper parse_string parse_timedate part part2cont partfrac partition partition_set partpol path_digraph path_graph pathname_directory pathname_name pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod powerseries powerset prefix prev_prime primep primes principal_components print printf printfile print_graph printpois printprops prodrac product properties propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2 quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan radius random random_bernoulli random_beta random_binomial random_bipartite_graph random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform random_exp random_f random_gamma random_general_finite_discrete random_geometric random_graph random_graph1 random_gumbel random_hypergeometric random_laplace random_logistic random_lognormal random_negative_binomial random_network random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto random_permutation random_poisson random_rayleigh random_regular_graph random_student_t random_tournament random_tree random_weibull range rank rat ratcoef ratdenom ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus rem remainder remarray rembox remcomps remcon remcoord remfun remfunction remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions remove_fundamental_units remove_plot_option remove_vertex rempart remrule remsym remvalue rename rename_file reset reset_displays residue resolvante resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann rinvariant risch rk rmdir rncombine romberg room rootscontract round row rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1 spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot starplot_description status std std1 std_bernoulli std_beta std_binomial std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull stemplot stirling stirling1 stirling2 strim striml strimr string stringout stringp strong_components struve_h struve_l sublis sublist sublist_indices submatrix subsample subset subsetp subst substinpart subst_parallel substpart substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext symbolp symmdifference symmetricp system take_channel take_inference tan tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference test_normality test_proportion test_proportions_difference test_rank_sum test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep totalfourier totient tpartpol trace tracematrix trace_options transform_sample translate translate_file transpose treefale tree_reduce treillis treinat triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget ultraspherical underlying_graph undiff union unique uniteigenvectors unitp units unit_step unitvector unorder unsum untellrat untimer untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table absboxchar activecontexts adapt_depth additive adim aform algebraic algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top azimuth background background_color backsubst berlefact bernstein_explicit besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest border boundaries_array box boxchar breakup %c capping cauchysum cbrange cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics colorbox columns commutative complex cone context contexts contour contour_levels cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp cube current_let_rule_package cylinder data_file_name debugmode decreasing default_let_rule_package delay dependencies derivabbrev derivsubst detout diagmetric diff dim dimensions dispflag display2d|10 display_format_internal distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart edge_color edge_coloring edge_partition edge_type edge_width %edispflag elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine factlim factorflag factorial_expand factors_only fb feature features file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10 file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color fill_density filled_func fixed_vertices flipflag float2bf font font_size fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both head_length head_type height hypergeometric_representation %iargs ibase icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued integrate_use_rootsof integration_constant integration_constant_counter interpolate_color intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10 maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties opsubst optimprefix optionset orientation origin orthopoly_returns_intervals outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart png_file pochhammer_max_index points pointsize point_size points_joined point_type poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list poly_secondary_elimination_order poly_top_reduction_only posfun position powerdisp pred prederror primep_number_of_tests product_use_gamma program programmode promote_float_to_bigfloat prompt proportional_axes props psexpand ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type show_vertices show_weight simp simplified_output simplify_products simpproduct simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch tr track transcompile transform transform_xy translate_fast_arrays transparent transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest",symbol:"_ __ %|0 %%|0"},contains:[{className:"comment",begin:"/\\*",end:"\\*/",contains:["self"]},e.QUOTE_STRING_MODE,{className:"number",relevance:0,variants:[{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Ee][-+]?\\d+\\b"},{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Bb][-+]?\\d+\\b",relevance:10},{begin:"\\b(\\.\\d+|\\d+\\.\\d+)\\b"},{begin:"\\b(\\d+|0[0-9A-Za-z]+)\\.?\\b"}]}],illegal:/@/}}}}]);
-//# sourceMappingURL=maxima.js.map?v=046dd34749d3b80b55b8 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[105],{472:function(e,t){e.exports=function(e){return{lexemes:"[A-Za-z_%][0-9A-Za-z_%]*",keywords:{keyword:"if then else elseif for thru do while unless step in and or not",literal:"true false unknown inf minf ind und %e %i %pi %phi %gamma",built_in:" abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type alias allroots alphacharp alphanumericp amortization %and annuity_fv annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2 applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method av average_degree backtrace bars barsplot barsplot_description base64 base64_decode bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description break bug_report build_info|10 buildq build_sample burn cabs canform canten cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2 charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps chinese cholesky christof chromatic_index chromatic_number cint circulant_graph clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse collectterms columnop columnspace columnswap columnvector combination combine comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph complete_graph complex_number_p components compose_functions concan concat conjugate conmetderiv connected_components connect_vertices cons constant constantp constituent constvalue cont2part content continuous_freq contortion contour_plot contract contract_edge contragrad contrib_ode convert coord copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1 covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate declare declare_constvalue declare_dimensions declare_fundamental_dimensions declare_fundamental_units declare_qty declare_translated declare_unit_conversion declare_units declare_weights decsym defcon define define_alt_display define_variable defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten delta demo demoivre denom depends derivdegree derivlist describe desolve determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export dimacs_import dimension dimensionless dimensions dimensions_as_list direct directory discrete_freq disjoin disjointp disolate disp dispcon dispform dispfun dispJordan display disprule dispterms distrib divide divisors divsum dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors euler ev eval_string evenp every evolution evolution2d evundiff example exp expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li expintegral_shi expintegral_si explicit explose exponentialize express expt exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge file_search file_type fillarray findde find_root find_root_abs find_root_error find_root_rel first fix flatten flength float floatnump floor flower_snark flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string get_pixel get_plot_option get_tex_environment get_tex_environment_default get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart imetric implicit implicit_derivative implicit_plot indexed_tensor indices induced_subgraph inferencep inference_result infix info_display init_atensor init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions integrate intersect intersection intervalp intopois intosum invariant1 invariant2 inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2 kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit Lindstedt linear linearinterpol linear_program linear_regression line_graph linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country make_polygon make_random_state make_rgb_picture makeset make_string_input_stream make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker max max_clique max_degree max_flow maximize_lp max_independent_set max_matching maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext newdet new_graph newline newton new_variable next_prime nicedummies niceindices ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst nthroot nullity nullspace num numbered_boundaries numberp number_to_octets num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin oid_to_octets op opena opena_binary openr openr_binary openw openw_binary operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface parg parGosper parse_string parse_timedate part part2cont partfrac partition partition_set partpol path_digraph path_graph pathname_directory pathname_name pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod powerseries powerset prefix prev_prime primep primes principal_components print printf printfile print_graph printpois printprops prodrac product properties propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2 quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan radius random random_bernoulli random_beta random_binomial random_bipartite_graph random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform random_exp random_f random_gamma random_general_finite_discrete random_geometric random_graph random_graph1 random_gumbel random_hypergeometric random_laplace random_logistic random_lognormal random_negative_binomial random_network random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto random_permutation random_poisson random_rayleigh random_regular_graph random_student_t random_tournament random_tree random_weibull range rank rat ratcoef ratdenom ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus rem remainder remarray rembox remcomps remcon remcoord remfun remfunction remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions remove_fundamental_units remove_plot_option remove_vertex rempart remrule remsym remvalue rename rename_file reset reset_displays residue resolvante resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann rinvariant risch rk rmdir rncombine romberg room rootscontract round row rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1 spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot starplot_description status std std1 std_bernoulli std_beta std_binomial std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull stemplot stirling stirling1 stirling2 strim striml strimr string stringout stringp strong_components struve_h struve_l sublis sublist sublist_indices submatrix subsample subset subsetp subst substinpart subst_parallel substpart substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext symbolp symmdifference symmetricp system take_channel take_inference tan tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference test_normality test_proportion test_proportions_difference test_rank_sum test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep totalfourier totient tpartpol trace tracematrix trace_options transform_sample translate translate_file transpose treefale tree_reduce treillis treinat triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget ultraspherical underlying_graph undiff union unique uniteigenvectors unitp units unit_step unitvector unorder unsum untellrat untimer untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table absboxchar activecontexts adapt_depth additive adim aform algebraic algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top azimuth background background_color backsubst berlefact bernstein_explicit besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest border boundaries_array box boxchar breakup %c capping cauchysum cbrange cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics colorbox columns commutative complex cone context contexts contour contour_levels cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp cube current_let_rule_package cylinder data_file_name debugmode decreasing default_let_rule_package delay dependencies derivabbrev derivsubst detout diagmetric diff dim dimensions dispflag display2d|10 display_format_internal distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart edge_color edge_coloring edge_partition edge_type edge_width %edispflag elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine factlim factorflag factorial_expand factors_only fb feature features file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10 file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color fill_density filled_func fixed_vertices flipflag float2bf font font_size fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both head_length head_type height hypergeometric_representation %iargs ibase icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued integrate_use_rootsof integration_constant integration_constant_counter interpolate_color intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10 maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties opsubst optimprefix optionset orientation origin orthopoly_returns_intervals outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart png_file pochhammer_max_index points pointsize point_size points_joined point_type poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list poly_secondary_elimination_order poly_top_reduction_only posfun position powerdisp pred prederror primep_number_of_tests product_use_gamma program programmode promote_float_to_bigfloat prompt proportional_axes props psexpand ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type show_vertices show_weight simp simplified_output simplify_products simpproduct simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch tr track transcompile transform transform_xy translate_fast_arrays transparent transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest",symbol:"_ __ %|0 %%|0"},contains:[{className:"comment",begin:"/\\*",end:"\\*/",contains:["self"]},e.QUOTE_STRING_MODE,{className:"number",relevance:0,variants:[{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Ee][-+]?\\d+\\b"},{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Bb][-+]?\\d+\\b",relevance:10},{begin:"\\b(\\.\\d+|\\d+\\.\\d+)\\b"},{begin:"\\b(\\d+|0[0-9A-Za-z]+)\\.?\\b"}]}],illegal:/@/}}}}]);
+//# sourceMappingURL=maxima.js.map?v=fac046a1f51230c115ad \ No newline at end of file
diff --git a/js/highlight/maxima.js.map b/js/highlight/maxima.js.map
index 3d9af550e..c5778c475 100644
--- a/js/highlight/maxima.js.map
+++ b/js/highlight/maxima.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/maxima.js"],"names":["module","exports","hljs","lexemes","keywords","keyword","literal","built_in","symbol","contains","className","begin","end","QUOTE_STRING_MODE","relevance","variants","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAuWxB,MAAO,CACLC,QAAS,2BACTC,SAAU,CACRC,QAzWW,kEA0WXC,QAzWW,4DA0WXC,SAxWE,032BAyWFC,OARU,iBAUZC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,OACPC,IAAK,OACLH,SAAU,CAAC,SAEbP,EAAKW,kBACL,CACEH,UAAW,SACXI,UAAW,EACXC,SAAU,CACR,CAGEJ,MAAO,yDAET,CAEEA,MAAO,wDACPG,UAAW,IAEb,CAGEH,MAAO,+BAET,CAGEA,MAAO,qCAKfK,QAAS","file":"highlight/maxima.js?v=046dd34749d3b80b55b8","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = 'if then else elseif for thru do while unless step in and or not';\n var LITERALS = 'true false unknown inf minf ind und %e %i %pi %phi %gamma';\n var BUILTIN_FUNCTIONS =\n ' abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate'\n + ' addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix'\n + ' adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type'\n + ' alias allroots alphacharp alphanumericp amortization %and annuity_fv'\n + ' annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2'\n + ' applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply'\n + ' arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger'\n + ' asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order'\n + ' asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method'\n + ' av average_degree backtrace bars barsplot barsplot_description base64 base64_decode'\n + ' bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx'\n + ' bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify'\n + ' bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized'\n + ' bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp'\n + ' bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition'\n + ' block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description'\n + ' break bug_report build_info|10 buildq build_sample burn cabs canform canten'\n + ' cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli'\n + ' cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform'\n + ' cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel'\n + ' cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial'\n + ' cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson'\n + ' cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay'\n + ' ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic'\n + ' cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2'\n + ' charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps'\n + ' chinese cholesky christof chromatic_index chromatic_number cint circulant_graph'\n + ' clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph'\n + ' clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse'\n + ' collectterms columnop columnspace columnswap columnvector combination combine'\n + ' comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph'\n + ' complete_graph complex_number_p components compose_functions concan concat'\n + ' conjugate conmetderiv connected_components connect_vertices cons constant'\n + ' constantp constituent constvalue cont2part content continuous_freq contortion'\n + ' contour_plot contract contract_edge contragrad contrib_ode convert coord'\n + ' copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1'\n + ' covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline'\n + ' ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph'\n + ' cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate'\n + ' declare declare_constvalue declare_dimensions declare_fundamental_dimensions'\n + ' declare_fundamental_units declare_qty declare_translated declare_unit_conversion'\n + ' declare_units declare_weights decsym defcon define define_alt_display define_variable'\n + ' defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten'\n + ' delta demo demoivre denom depends derivdegree derivlist describe desolve'\n + ' determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag'\n + ' diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export'\n + ' dimacs_import dimension dimensionless dimensions dimensions_as_list direct'\n + ' directory discrete_freq disjoin disjointp disolate disp dispcon dispform'\n + ' dispfun dispJordan display disprule dispterms distrib divide divisors divsum'\n + ' dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart'\n + ' draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring'\n + ' edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth'\n + ' einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome'\n + ' ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using'\n + ' ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi'\n + ' ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp'\n + ' equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors'\n + ' euler ev eval_string evenp every evolution evolution2d evundiff example exp'\n + ' expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci'\n + ' expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li'\n + ' expintegral_shi expintegral_si explicit explose exponentialize express expt'\n + ' exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum'\n + ' factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements'\n + ' fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge'\n + ' file_search file_type fillarray findde find_root find_root_abs find_root_error'\n + ' find_root_rel first fix flatten flength float floatnump floor flower_snark'\n + ' flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran'\n + ' fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp'\n + ' foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s'\n + ' from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp'\n + ' fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units'\n + ' fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized'\n + ' gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide'\n + ' gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym'\n + ' geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean'\n + ' geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string'\n + ' get_pixel get_plot_option get_tex_environment get_tex_environment_default'\n + ' get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close'\n + ' gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum'\n + ' gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import'\n + ' graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery'\n + ' graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph'\n + ' grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path'\n + ' hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite'\n + ' hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description'\n + ' hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph'\n + ' icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy'\n + ' ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart'\n + ' imetric implicit implicit_derivative implicit_plot indexed_tensor indices'\n + ' induced_subgraph inferencep inference_result infix info_display init_atensor'\n + ' init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions'\n + ' integrate intersect intersection intervalp intopois intosum invariant1 invariant2'\n + ' inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc'\n + ' inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns'\n + ' inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint'\n + ' invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph'\n + ' is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate'\n + ' isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph'\n + ' items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc'\n + ' jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd'\n + ' jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill'\n + ' killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis'\n + ' kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform'\n + ' kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete'\n + ' kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace'\n + ' kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2'\n + ' kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson'\n + ' kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange'\n + ' laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp'\n + ' lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length'\n + ' let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit'\n + ' Lindstedt linear linearinterpol linear_program linear_regression line_graph'\n + ' linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials'\n + ' listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry'\n + ' log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst'\n + ' lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact'\n + ' lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub'\n + ' lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma'\n + ' make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country'\n + ' make_polygon make_random_state make_rgb_picture makeset make_string_input_stream'\n + ' make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom'\n + ' maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display'\n + ' mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker'\n + ' max max_clique max_degree max_flow maximize_lp max_independent_set max_matching'\n + ' maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform'\n + ' mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete'\n + ' mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic'\n + ' mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t'\n + ' mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull'\n + ' median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree'\n + ' min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor'\n + ' minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton'\n + ' mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions'\n + ' multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff'\n + ' multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary'\n + ' natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext'\n + ' newdet new_graph newline newton new_variable next_prime nicedummies niceindices'\n + ' ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp'\n + ' nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst'\n + ' nthroot nullity nullspace num numbered_boundaries numberp number_to_octets'\n + ' num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai'\n + ' nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin'\n + ' oid_to_octets op opena opena_binary openr openr_binary openw openw_binary'\n + ' operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless'\n + ' orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap'\n + ' out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface'\n + ' parg parGosper parse_string parse_timedate part part2cont partfrac partition'\n + ' partition_set partpol path_digraph path_graph pathname_directory pathname_name'\n + ' pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform'\n + ' pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete'\n + ' pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal'\n + ' pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal'\n + ' pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t'\n + ' pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph'\n + ' petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding'\n + ' playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff'\n + ' poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar'\n + ' polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion'\n + ' poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal'\n + ' poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal'\n + ' poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation'\n + ' poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm'\n + ' poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form'\n + ' poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part'\n + ' poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension'\n + ' poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod'\n + ' powerseries powerset prefix prev_prime primep primes principal_components'\n + ' print printf printfile print_graph printpois printprops prodrac product properties'\n + ' propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct'\n + ' puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp'\n + ' quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile'\n + ' quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2'\n + ' quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f'\n + ' quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel'\n + ' quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal'\n + ' quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t'\n + ' quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t'\n + ' quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan'\n + ' radius random random_bernoulli random_beta random_binomial random_bipartite_graph'\n + ' random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform'\n + ' random_exp random_f random_gamma random_general_finite_discrete random_geometric'\n + ' random_graph random_graph1 random_gumbel random_hypergeometric random_laplace'\n + ' random_logistic random_lognormal random_negative_binomial random_network'\n + ' random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto'\n + ' random_permutation random_poisson random_rayleigh random_regular_graph random_student_t'\n + ' random_tournament random_tree random_weibull range rank rat ratcoef ratdenom'\n + ' ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump'\n + ' ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array'\n + ' read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline'\n + ' read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate'\n + ' realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar'\n + ' rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus'\n + ' rem remainder remarray rembox remcomps remcon remcoord remfun remfunction'\n + ' remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions'\n + ' remove_fundamental_units remove_plot_option remove_vertex rempart remrule'\n + ' remsym remvalue rename rename_file reset reset_displays residue resolvante'\n + ' resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein'\n + ' resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer'\n + ' rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann'\n + ' rinvariant risch rk rmdir rncombine romberg room rootscontract round row'\n + ' rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i'\n + ' scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description'\n + ' scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second'\n + ' sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight'\n + ' setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state'\n + ' set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications'\n + ' set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path'\n + ' show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform'\n + ' simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert'\n + ' sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial'\n + ' skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp'\n + ' skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric'\n + ' skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic'\n + ' skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t'\n + ' skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t'\n + ' skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph'\n + ' smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve'\n + ' solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export'\n + ' sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1'\n + ' spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition'\n + ' sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus'\n + ' ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot'\n + ' starplot_description status std std1 std_bernoulli std_beta std_binomial'\n + ' std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma'\n + ' std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace'\n + ' std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t'\n + ' std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull'\n + ' stemplot stirling stirling1 stirling2 strim striml strimr string stringout'\n + ' stringp strong_components struve_h struve_l sublis sublist sublist_indices'\n + ' submatrix subsample subset subsetp subst substinpart subst_parallel substpart'\n + ' substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext'\n + ' symbolp symmdifference symmetricp system take_channel take_inference tan'\n + ' tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract'\n + ' tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference'\n + ' test_normality test_proportion test_proportions_difference test_rank_sum'\n + ' test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display'\n + ' texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter'\n + ' toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep'\n + ' totalfourier totient tpartpol trace tracematrix trace_options transform_sample'\n + ' translate translate_file transpose treefale tree_reduce treillis treinat'\n + ' triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate'\n + ' truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph'\n + ' truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget'\n + ' ultraspherical underlying_graph undiff union unique uniteigenvectors unitp'\n + ' units unit_step unitvector unorder unsum untellrat untimer'\n + ' untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli'\n + ' var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform'\n + ' var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel'\n + ' var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial'\n + ' var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson'\n + ' var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp'\n + ' verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance'\n + ' vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle'\n + ' vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j'\n + ' wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian'\n + ' xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta'\n + ' zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors'\n + ' zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table'\n + ' absboxchar activecontexts adapt_depth additive adim aform algebraic'\n + ' algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic'\n + ' animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar'\n + ' asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top'\n + ' azimuth background background_color backsubst berlefact bernstein_explicit'\n + ' besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest'\n + ' border boundaries_array box boxchar breakup %c capping cauchysum cbrange'\n + ' cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics'\n + ' colorbox columns commutative complex cone context contexts contour contour_levels'\n + ' cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp'\n + ' cube current_let_rule_package cylinder data_file_name debugmode decreasing'\n + ' default_let_rule_package delay dependencies derivabbrev derivsubst detout'\n + ' diagmetric diff dim dimensions dispflag display2d|10 display_format_internal'\n + ' distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor'\n + ' doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules'\n + ' dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart'\n + ' edge_color edge_coloring edge_partition edge_type edge_width %edispflag'\n + ' elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer'\n + ' epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type'\n + ' %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand'\n + ' expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine'\n + ' factlim factorflag factorial_expand factors_only fb feature features'\n + ' file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10'\n + ' file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color'\n + ' fill_density filled_func fixed_vertices flipflag float2bf font font_size'\n + ' fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim'\n + ' gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command'\n + ' gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command'\n + ' gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command'\n + ' gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble'\n + ' gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args'\n + ' Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both'\n + ' head_length head_type height hypergeometric_representation %iargs ibase'\n + ' icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form'\n + ' ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval'\n + ' infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued'\n + ' integrate_use_rootsof integration_constant integration_constant_counter interpolate_color'\n + ' intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr'\n + ' julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment'\n + ' label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max'\n + ' leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear'\n + ' linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params'\n + ' linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname'\n + ' loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx'\n + ' logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros'\n + ' mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult'\n + ' matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10'\n + ' maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint'\n + ' maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp'\n + ' mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver'\n + ' modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag'\n + ' newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc'\n + ' noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np'\n + ' npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties'\n + ' opsubst optimprefix optionset orientation origin orthopoly_returns_intervals'\n + ' outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution'\n + ' %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart'\n + ' png_file pochhammer_max_index points pointsize point_size points_joined point_type'\n + ' poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm'\n + ' poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list'\n + ' poly_secondary_elimination_order poly_top_reduction_only posfun position'\n + ' powerdisp pred prederror primep_number_of_tests product_use_gamma program'\n + ' programmode promote_float_to_bigfloat prompt proportional_axes props psexpand'\n + ' ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof'\n + ' ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann'\n + ' ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw'\n + ' refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs'\n + ' rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy'\n + ' same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck'\n + ' setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width'\n + ' show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type'\n + ' show_vertices show_weight simp simplified_output simplify_products simpproduct'\n + ' simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn'\n + ' solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag'\n + ' stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda'\n + ' subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric'\n + ' tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials'\n + ' tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch'\n + ' tr track transcompile transform transform_xy translate_fast_arrays transparent'\n + ' transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex'\n + ' tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign'\n + ' trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars'\n + ' tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode'\n + ' tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes'\n + ' ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble'\n + ' usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition'\n + ' vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface'\n + ' wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel'\n + ' xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate'\n + ' xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel'\n + ' xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width'\n + ' ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis'\n + ' ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis'\n + ' yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob'\n + ' zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest';\n var SYMBOLS = '_ __ %|0 %%|0';\n\n return {\n lexemes: '[A-Za-z_%][0-9A-Za-z_%]*',\n keywords: {\n keyword: KEYWORDS,\n literal: LITERALS,\n built_in: BUILTIN_FUNCTIONS,\n symbol: SYMBOLS,\n },\n contains: [\n {\n className: 'comment',\n begin: '/\\\\*',\n end: '\\\\*/',\n contains: ['self']\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'number',\n relevance: 0,\n variants: [\n {\n // float number w/ exponent\n // hmm, I wonder if we ought to include other exponent markers?\n begin: '\\\\b(\\\\d+|\\\\d+\\\\.|\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)[Ee][-+]?\\\\d+\\\\b',\n },\n {\n // bigfloat number\n begin: '\\\\b(\\\\d+|\\\\d+\\\\.|\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)[Bb][-+]?\\\\d+\\\\b',\n relevance: 10\n },\n {\n // float number w/out exponent\n // Doesn't seem to recognize floats which start with '.'\n begin: '\\\\b(\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)\\\\b',\n },\n {\n // integer in base up to 36\n // Doesn't seem to recognize integers which end with '.'\n begin: '\\\\b(\\\\d+|0[0-9A-Za-z]+)\\\\.?\\\\b',\n }\n ]\n }\n ],\n illegal: /@/\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/maxima.js"],"names":["module","exports","hljs","lexemes","keywords","keyword","literal","built_in","symbol","contains","className","begin","end","QUOTE_STRING_MODE","relevance","variants","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAuWxB,MAAO,CACLC,QAAS,2BACTC,SAAU,CACRC,QAzWW,kEA0WXC,QAzWW,4DA0WXC,SAxWE,032BAyWFC,OARU,iBAUZC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,OACPC,IAAK,OACLH,SAAU,CAAC,SAEbP,EAAKW,kBACL,CACEH,UAAW,SACXI,UAAW,EACXC,SAAU,CACR,CAGEJ,MAAO,yDAET,CAEEA,MAAO,wDACPG,UAAW,IAEb,CAGEH,MAAO,+BAET,CAGEA,MAAO,qCAKfK,QAAS","file":"highlight/maxima.js?v=fac046a1f51230c115ad","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = 'if then else elseif for thru do while unless step in and or not';\n var LITERALS = 'true false unknown inf minf ind und %e %i %pi %phi %gamma';\n var BUILTIN_FUNCTIONS =\n ' abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate'\n + ' addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix'\n + ' adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type'\n + ' alias allroots alphacharp alphanumericp amortization %and annuity_fv'\n + ' annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2'\n + ' applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply'\n + ' arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger'\n + ' asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order'\n + ' asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method'\n + ' av average_degree backtrace bars barsplot barsplot_description base64 base64_decode'\n + ' bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx'\n + ' bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify'\n + ' bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized'\n + ' bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp'\n + ' bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition'\n + ' block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description'\n + ' break bug_report build_info|10 buildq build_sample burn cabs canform canten'\n + ' cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli'\n + ' cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform'\n + ' cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel'\n + ' cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial'\n + ' cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson'\n + ' cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay'\n + ' ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic'\n + ' cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2'\n + ' charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps'\n + ' chinese cholesky christof chromatic_index chromatic_number cint circulant_graph'\n + ' clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph'\n + ' clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse'\n + ' collectterms columnop columnspace columnswap columnvector combination combine'\n + ' comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph'\n + ' complete_graph complex_number_p components compose_functions concan concat'\n + ' conjugate conmetderiv connected_components connect_vertices cons constant'\n + ' constantp constituent constvalue cont2part content continuous_freq contortion'\n + ' contour_plot contract contract_edge contragrad contrib_ode convert coord'\n + ' copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1'\n + ' covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline'\n + ' ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph'\n + ' cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate'\n + ' declare declare_constvalue declare_dimensions declare_fundamental_dimensions'\n + ' declare_fundamental_units declare_qty declare_translated declare_unit_conversion'\n + ' declare_units declare_weights decsym defcon define define_alt_display define_variable'\n + ' defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten'\n + ' delta demo demoivre denom depends derivdegree derivlist describe desolve'\n + ' determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag'\n + ' diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export'\n + ' dimacs_import dimension dimensionless dimensions dimensions_as_list direct'\n + ' directory discrete_freq disjoin disjointp disolate disp dispcon dispform'\n + ' dispfun dispJordan display disprule dispterms distrib divide divisors divsum'\n + ' dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart'\n + ' draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring'\n + ' edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth'\n + ' einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome'\n + ' ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using'\n + ' ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi'\n + ' ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp'\n + ' equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors'\n + ' euler ev eval_string evenp every evolution evolution2d evundiff example exp'\n + ' expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci'\n + ' expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li'\n + ' expintegral_shi expintegral_si explicit explose exponentialize express expt'\n + ' exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum'\n + ' factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements'\n + ' fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge'\n + ' file_search file_type fillarray findde find_root find_root_abs find_root_error'\n + ' find_root_rel first fix flatten flength float floatnump floor flower_snark'\n + ' flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran'\n + ' fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp'\n + ' foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s'\n + ' from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp'\n + ' fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units'\n + ' fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized'\n + ' gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide'\n + ' gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym'\n + ' geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean'\n + ' geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string'\n + ' get_pixel get_plot_option get_tex_environment get_tex_environment_default'\n + ' get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close'\n + ' gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum'\n + ' gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import'\n + ' graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery'\n + ' graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph'\n + ' grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path'\n + ' hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite'\n + ' hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description'\n + ' hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph'\n + ' icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy'\n + ' ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart'\n + ' imetric implicit implicit_derivative implicit_plot indexed_tensor indices'\n + ' induced_subgraph inferencep inference_result infix info_display init_atensor'\n + ' init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions'\n + ' integrate intersect intersection intervalp intopois intosum invariant1 invariant2'\n + ' inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc'\n + ' inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns'\n + ' inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint'\n + ' invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph'\n + ' is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate'\n + ' isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph'\n + ' items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc'\n + ' jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd'\n + ' jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill'\n + ' killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis'\n + ' kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform'\n + ' kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete'\n + ' kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace'\n + ' kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2'\n + ' kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson'\n + ' kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange'\n + ' laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp'\n + ' lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length'\n + ' let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit'\n + ' Lindstedt linear linearinterpol linear_program linear_regression line_graph'\n + ' linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials'\n + ' listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry'\n + ' log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst'\n + ' lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact'\n + ' lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub'\n + ' lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma'\n + ' make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country'\n + ' make_polygon make_random_state make_rgb_picture makeset make_string_input_stream'\n + ' make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom'\n + ' maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display'\n + ' mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker'\n + ' max max_clique max_degree max_flow maximize_lp max_independent_set max_matching'\n + ' maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform'\n + ' mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete'\n + ' mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic'\n + ' mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t'\n + ' mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull'\n + ' median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree'\n + ' min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor'\n + ' minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton'\n + ' mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions'\n + ' multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff'\n + ' multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary'\n + ' natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext'\n + ' newdet new_graph newline newton new_variable next_prime nicedummies niceindices'\n + ' ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp'\n + ' nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst'\n + ' nthroot nullity nullspace num numbered_boundaries numberp number_to_octets'\n + ' num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai'\n + ' nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin'\n + ' oid_to_octets op opena opena_binary openr openr_binary openw openw_binary'\n + ' operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless'\n + ' orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap'\n + ' out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface'\n + ' parg parGosper parse_string parse_timedate part part2cont partfrac partition'\n + ' partition_set partpol path_digraph path_graph pathname_directory pathname_name'\n + ' pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform'\n + ' pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete'\n + ' pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal'\n + ' pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal'\n + ' pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t'\n + ' pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph'\n + ' petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding'\n + ' playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff'\n + ' poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar'\n + ' polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion'\n + ' poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal'\n + ' poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal'\n + ' poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation'\n + ' poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm'\n + ' poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form'\n + ' poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part'\n + ' poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension'\n + ' poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod'\n + ' powerseries powerset prefix prev_prime primep primes principal_components'\n + ' print printf printfile print_graph printpois printprops prodrac product properties'\n + ' propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct'\n + ' puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp'\n + ' quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile'\n + ' quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2'\n + ' quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f'\n + ' quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel'\n + ' quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal'\n + ' quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t'\n + ' quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t'\n + ' quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan'\n + ' radius random random_bernoulli random_beta random_binomial random_bipartite_graph'\n + ' random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform'\n + ' random_exp random_f random_gamma random_general_finite_discrete random_geometric'\n + ' random_graph random_graph1 random_gumbel random_hypergeometric random_laplace'\n + ' random_logistic random_lognormal random_negative_binomial random_network'\n + ' random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto'\n + ' random_permutation random_poisson random_rayleigh random_regular_graph random_student_t'\n + ' random_tournament random_tree random_weibull range rank rat ratcoef ratdenom'\n + ' ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump'\n + ' ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array'\n + ' read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline'\n + ' read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate'\n + ' realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar'\n + ' rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus'\n + ' rem remainder remarray rembox remcomps remcon remcoord remfun remfunction'\n + ' remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions'\n + ' remove_fundamental_units remove_plot_option remove_vertex rempart remrule'\n + ' remsym remvalue rename rename_file reset reset_displays residue resolvante'\n + ' resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein'\n + ' resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer'\n + ' rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann'\n + ' rinvariant risch rk rmdir rncombine romberg room rootscontract round row'\n + ' rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i'\n + ' scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description'\n + ' scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second'\n + ' sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight'\n + ' setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state'\n + ' set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications'\n + ' set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path'\n + ' show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform'\n + ' simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert'\n + ' sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial'\n + ' skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp'\n + ' skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric'\n + ' skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic'\n + ' skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t'\n + ' skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t'\n + ' skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph'\n + ' smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve'\n + ' solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export'\n + ' sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1'\n + ' spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition'\n + ' sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus'\n + ' ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot'\n + ' starplot_description status std std1 std_bernoulli std_beta std_binomial'\n + ' std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma'\n + ' std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace'\n + ' std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t'\n + ' std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull'\n + ' stemplot stirling stirling1 stirling2 strim striml strimr string stringout'\n + ' stringp strong_components struve_h struve_l sublis sublist sublist_indices'\n + ' submatrix subsample subset subsetp subst substinpart subst_parallel substpart'\n + ' substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext'\n + ' symbolp symmdifference symmetricp system take_channel take_inference tan'\n + ' tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract'\n + ' tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference'\n + ' test_normality test_proportion test_proportions_difference test_rank_sum'\n + ' test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display'\n + ' texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter'\n + ' toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep'\n + ' totalfourier totient tpartpol trace tracematrix trace_options transform_sample'\n + ' translate translate_file transpose treefale tree_reduce treillis treinat'\n + ' triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate'\n + ' truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph'\n + ' truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget'\n + ' ultraspherical underlying_graph undiff union unique uniteigenvectors unitp'\n + ' units unit_step unitvector unorder unsum untellrat untimer'\n + ' untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli'\n + ' var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform'\n + ' var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel'\n + ' var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial'\n + ' var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson'\n + ' var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp'\n + ' verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance'\n + ' vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle'\n + ' vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j'\n + ' wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian'\n + ' xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta'\n + ' zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors'\n + ' zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table'\n + ' absboxchar activecontexts adapt_depth additive adim aform algebraic'\n + ' algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic'\n + ' animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar'\n + ' asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top'\n + ' azimuth background background_color backsubst berlefact bernstein_explicit'\n + ' besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest'\n + ' border boundaries_array box boxchar breakup %c capping cauchysum cbrange'\n + ' cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics'\n + ' colorbox columns commutative complex cone context contexts contour contour_levels'\n + ' cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp'\n + ' cube current_let_rule_package cylinder data_file_name debugmode decreasing'\n + ' default_let_rule_package delay dependencies derivabbrev derivsubst detout'\n + ' diagmetric diff dim dimensions dispflag display2d|10 display_format_internal'\n + ' distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor'\n + ' doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules'\n + ' dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart'\n + ' edge_color edge_coloring edge_partition edge_type edge_width %edispflag'\n + ' elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer'\n + ' epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type'\n + ' %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand'\n + ' expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine'\n + ' factlim factorflag factorial_expand factors_only fb feature features'\n + ' file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10'\n + ' file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color'\n + ' fill_density filled_func fixed_vertices flipflag float2bf font font_size'\n + ' fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim'\n + ' gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command'\n + ' gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command'\n + ' gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command'\n + ' gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble'\n + ' gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args'\n + ' Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both'\n + ' head_length head_type height hypergeometric_representation %iargs ibase'\n + ' icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form'\n + ' ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval'\n + ' infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued'\n + ' integrate_use_rootsof integration_constant integration_constant_counter interpolate_color'\n + ' intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr'\n + ' julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment'\n + ' label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max'\n + ' leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear'\n + ' linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params'\n + ' linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname'\n + ' loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx'\n + ' logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros'\n + ' mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult'\n + ' matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10'\n + ' maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint'\n + ' maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp'\n + ' mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver'\n + ' modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag'\n + ' newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc'\n + ' noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np'\n + ' npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties'\n + ' opsubst optimprefix optionset orientation origin orthopoly_returns_intervals'\n + ' outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution'\n + ' %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart'\n + ' png_file pochhammer_max_index points pointsize point_size points_joined point_type'\n + ' poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm'\n + ' poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list'\n + ' poly_secondary_elimination_order poly_top_reduction_only posfun position'\n + ' powerdisp pred prederror primep_number_of_tests product_use_gamma program'\n + ' programmode promote_float_to_bigfloat prompt proportional_axes props psexpand'\n + ' ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof'\n + ' ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann'\n + ' ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw'\n + ' refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs'\n + ' rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy'\n + ' same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck'\n + ' setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width'\n + ' show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type'\n + ' show_vertices show_weight simp simplified_output simplify_products simpproduct'\n + ' simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn'\n + ' solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag'\n + ' stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda'\n + ' subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric'\n + ' tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials'\n + ' tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch'\n + ' tr track transcompile transform transform_xy translate_fast_arrays transparent'\n + ' transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex'\n + ' tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign'\n + ' trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars'\n + ' tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode'\n + ' tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes'\n + ' ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble'\n + ' usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition'\n + ' vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface'\n + ' wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel'\n + ' xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate'\n + ' xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel'\n + ' xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width'\n + ' ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis'\n + ' ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis'\n + ' yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob'\n + ' zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest';\n var SYMBOLS = '_ __ %|0 %%|0';\n\n return {\n lexemes: '[A-Za-z_%][0-9A-Za-z_%]*',\n keywords: {\n keyword: KEYWORDS,\n literal: LITERALS,\n built_in: BUILTIN_FUNCTIONS,\n symbol: SYMBOLS,\n },\n contains: [\n {\n className: 'comment',\n begin: '/\\\\*',\n end: '\\\\*/',\n contains: ['self']\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'number',\n relevance: 0,\n variants: [\n {\n // float number w/ exponent\n // hmm, I wonder if we ought to include other exponent markers?\n begin: '\\\\b(\\\\d+|\\\\d+\\\\.|\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)[Ee][-+]?\\\\d+\\\\b',\n },\n {\n // bigfloat number\n begin: '\\\\b(\\\\d+|\\\\d+\\\\.|\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)[Bb][-+]?\\\\d+\\\\b',\n relevance: 10\n },\n {\n // float number w/out exponent\n // Doesn't seem to recognize floats which start with '.'\n begin: '\\\\b(\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)\\\\b',\n },\n {\n // integer in base up to 36\n // Doesn't seem to recognize integers which end with '.'\n begin: '\\\\b(\\\\d+|0[0-9A-Za-z]+)\\\\.?\\\\b',\n }\n ]\n }\n ],\n illegal: /@/\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/mel.js b/js/highlight/mel.js
index 1038db7d2..5a5ab4124 100644
--- a/js/highlight/mel.js
+++ b/js/highlight/mel.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[106],{472:function(e,t){e.exports=function(e){return{keywords:"int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform",illegal:"</",contains:[e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},{begin:"[\\$\\%\\@](\\^\\w\\b|#\\w+|[^\\s\\w{]|{\\w+}|\\w+)"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}}}]);
-//# sourceMappingURL=mel.js.map?v=216bf44da3da1098cda3 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[106],{473:function(e,t){e.exports=function(e){return{keywords:"int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform",illegal:"</",contains:[e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},{begin:"[\\$\\%\\@](\\^\\w\\b|#\\w+|[^\\s\\w{]|{\\w+}|\\w+)"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}}}]);
+//# sourceMappingURL=mel.js.map?v=93c1bcf6baa80a731571 \ No newline at end of file
diff --git a/js/highlight/mel.js.map b/js/highlight/mel.js.map
index 57e290a22..4b3ce15dd 100644
--- a/js/highlight/mel.js.map
+++ b/js/highlight/mel.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mel.js"],"names":["module","exports","hljs","keywords","illegal","contains","C_NUMBER_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","className","begin","end","BACKSLASH_ESCAPE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SACE,o0fA2MFC,QAAS,KACTC,SAAU,CACRH,EAAKI,cACLJ,EAAKK,iBACLL,EAAKM,kBACL,CACEC,UAAW,SACXC,MAAO,IAAKC,IAAK,IACjBN,SAAU,CAACH,EAAKU,mBAElB,CACEF,MAAO,uDAETR,EAAKW,oBACLX,EAAKY","file":"highlight/mel.js?v=216bf44da3da1098cda3","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords:\n 'int float string vector matrix if else switch case default while do for in break ' +\n 'continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic ' +\n 'addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey ' +\n 'affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve ' +\n 'alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor ' +\n 'animDisplay animView annotate appendStringArray applicationName applyAttrPreset ' +\n 'applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx ' +\n 'artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu ' +\n 'artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand ' +\n 'assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface ' +\n 'attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu ' +\n 'attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp ' +\n 'attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery ' +\n 'autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults ' +\n 'bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership ' +\n 'bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType ' +\n 'boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu ' +\n 'buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge ' +\n 'cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch ' +\n 'catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox ' +\n 'character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp ' +\n 'checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip ' +\n 'clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore ' +\n 'closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter ' +\n 'cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color ' +\n 'colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp ' +\n 'colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem ' +\n 'componentEditor compositingInterop computePolysetVolume condition cone confirmDialog ' +\n 'connectAttr connectControl connectDynamic connectJoint connectionInfo constrain ' +\n 'constrainValue constructionHistory container containsMultibyte contextInfo control ' +\n 'convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation ' +\n 'convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache ' +\n 'cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel ' +\n 'cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver ' +\n 'cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor ' +\n 'createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer ' +\n 'createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse ' +\n 'currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx ' +\n 'curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface ' +\n 'curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox ' +\n 'defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete ' +\n 'deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes ' +\n 'delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo ' +\n 'dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable ' +\n 'disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected ' +\n 'displayColor displayCull displayLevelOfDetail displayPref displayRGBColor ' +\n 'displaySmoothness displayStats displayString displaySurface distanceDimContext ' +\n 'distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct ' +\n 'doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator ' +\n 'duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression ' +\n 'dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor ' +\n 'dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers ' +\n 'editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor ' +\n 'editorTemplate effector emit emitter enableDevice encodeString endString endsWith env ' +\n 'equivalent equivalentTol erf error eval evalDeferred evalEcho event ' +\n 'exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp ' +\n 'expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof ' +\n 'fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo ' +\n 'filetest filletCurve filter filterCurve filterExpand filterStudioImport ' +\n 'findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster ' +\n 'finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar ' +\n 'floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo ' +\n 'fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint ' +\n 'frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss ' +\n 'geometryConstraint getApplicationVersionAsFloat getAttr getClassification ' +\n 'getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes ' +\n 'getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender ' +\n 'glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl ' +\n 'gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid ' +\n 'gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap ' +\n 'HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor ' +\n 'HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached ' +\n 'HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel ' +\n 'headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey ' +\n 'hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender ' +\n 'hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox ' +\n 'iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ' +\n 'ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ' +\n 'ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform ' +\n 'insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance ' +\n 'instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp ' +\n 'interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf ' +\n 'isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect ' +\n 'itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx ' +\n 'jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner ' +\n 'keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx ' +\n 'keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx ' +\n 'keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx ' +\n 'keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor ' +\n 'layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList ' +\n 'lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep ' +\n 'listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory ' +\n 'listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation ' +\n 'listNodeTypes listPanelCategories listRelatives listSets listTransforms ' +\n 'listUnselected listerEditor loadFluid loadNewShelf loadPlugin ' +\n 'loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log ' +\n 'longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive ' +\n 'makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext ' +\n 'manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx ' +\n 'manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout ' +\n 'menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp ' +\n 'mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move ' +\n 'moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute ' +\n 'nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast ' +\n 'nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint ' +\n 'normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect ' +\n 'nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref ' +\n 'nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType ' +\n 'objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface ' +\n 'offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit ' +\n 'orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier ' +\n 'paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration ' +\n 'panelHistory paramDimContext paramDimension paramLocator parent parentConstraint ' +\n 'particle particleExists particleInstancer particleRenderInfo partition pasteKey ' +\n 'pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture ' +\n 'pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo ' +\n 'pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult ' +\n 'pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend ' +\n 'polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal ' +\n 'polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge ' +\n 'polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge ' +\n 'polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet ' +\n 'polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet ' +\n 'polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection ' +\n 'polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge ' +\n 'polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet ' +\n 'polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix ' +\n 'polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut ' +\n 'polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet ' +\n 'polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge ' +\n 'polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex ' +\n 'polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection ' +\n 'polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection ' +\n 'polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint ' +\n 'polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate ' +\n 'polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge ' +\n 'polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing ' +\n 'polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet ' +\n 'polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace ' +\n 'popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer ' +\n 'projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx ' +\n 'propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd ' +\n 'python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection ' +\n 'radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl ' +\n 'readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference ' +\n 'referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE ' +\n 'registerPluginResource rehash reloadImage removeJoint removeMultiInstance ' +\n 'removePanelCategory rename renameAttr renameSelectionList renameUI render ' +\n 'renderGlobalsNode renderInfo renderLayerButton renderLayerParent ' +\n 'renderLayerPostProcess renderLayerUnparent renderManip renderPartition ' +\n 'renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor ' +\n 'renderWindowSelectContext renderer reorder reorderDeformers requires reroot ' +\n 'resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget ' +\n 'reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx ' +\n 'rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout ' +\n 'runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage ' +\n 'saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale ' +\n 'scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor ' +\n 'sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable ' +\n 'scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt ' +\n 'searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey ' +\n 'selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType ' +\n 'selectedNodes selectionConnection separator setAttr setAttrEnumResource ' +\n 'setAttrMapping setAttrNiceNameResource setConstraintRestPosition ' +\n 'setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr ' +\n 'setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe ' +\n 'setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag ' +\n 'setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject ' +\n 'setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets ' +\n 'shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare ' +\n 'shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField ' +\n 'shortNameOf showHelp showHidden showManipCtx showSelectionInTitle ' +\n 'showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface ' +\n 'size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep ' +\n 'snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound ' +\n 'soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort ' +\n 'spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString ' +\n 'startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp ' +\n 'stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex ' +\n 'stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex ' +\n 'stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString ' +\n 'stringToStringArray strip stripPrefixFromName stroke subdAutoProjection ' +\n 'subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV ' +\n 'subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror ' +\n 'subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease ' +\n 'subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring ' +\n 'surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton ' +\n 'symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext ' +\n 'texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext ' +\n 'texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text ' +\n 'textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList ' +\n 'textToShelf textureDisplacePlane textureHairColor texturePlacementContext ' +\n 'textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath ' +\n 'toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower ' +\n 'toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper ' +\n 'trace track trackCtx transferAttributes transformCompare transformLimits translator ' +\n 'trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence ' +\n 'twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit ' +\n 'unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink ' +\n 'uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane ' +\n 'viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex ' +\n 'waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire ' +\n 'wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform',\n illegal: '</',\n contains: [\n hljs.C_NUMBER_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '`', end: '`',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n { // eats variables\n begin: '[\\\\$\\\\%\\\\@](\\\\^\\\\w\\\\b|#\\\\w+|[^\\\\s\\\\w{]|{\\\\w+}|\\\\w+)'\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mel.js"],"names":["module","exports","hljs","keywords","illegal","contains","C_NUMBER_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","className","begin","end","BACKSLASH_ESCAPE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SACE,o0fA2MFC,QAAS,KACTC,SAAU,CACRH,EAAKI,cACLJ,EAAKK,iBACLL,EAAKM,kBACL,CACEC,UAAW,SACXC,MAAO,IAAKC,IAAK,IACjBN,SAAU,CAACH,EAAKU,mBAElB,CACEF,MAAO,uDAETR,EAAKW,oBACLX,EAAKY","file":"highlight/mel.js?v=93c1bcf6baa80a731571","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords:\n 'int float string vector matrix if else switch case default while do for in break ' +\n 'continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic ' +\n 'addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey ' +\n 'affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve ' +\n 'alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor ' +\n 'animDisplay animView annotate appendStringArray applicationName applyAttrPreset ' +\n 'applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx ' +\n 'artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu ' +\n 'artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand ' +\n 'assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface ' +\n 'attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu ' +\n 'attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp ' +\n 'attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery ' +\n 'autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults ' +\n 'bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership ' +\n 'bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType ' +\n 'boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu ' +\n 'buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge ' +\n 'cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch ' +\n 'catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox ' +\n 'character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp ' +\n 'checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip ' +\n 'clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore ' +\n 'closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter ' +\n 'cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color ' +\n 'colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp ' +\n 'colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem ' +\n 'componentEditor compositingInterop computePolysetVolume condition cone confirmDialog ' +\n 'connectAttr connectControl connectDynamic connectJoint connectionInfo constrain ' +\n 'constrainValue constructionHistory container containsMultibyte contextInfo control ' +\n 'convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation ' +\n 'convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache ' +\n 'cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel ' +\n 'cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver ' +\n 'cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor ' +\n 'createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer ' +\n 'createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse ' +\n 'currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx ' +\n 'curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface ' +\n 'curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox ' +\n 'defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete ' +\n 'deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes ' +\n 'delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo ' +\n 'dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable ' +\n 'disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected ' +\n 'displayColor displayCull displayLevelOfDetail displayPref displayRGBColor ' +\n 'displaySmoothness displayStats displayString displaySurface distanceDimContext ' +\n 'distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct ' +\n 'doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator ' +\n 'duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression ' +\n 'dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor ' +\n 'dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers ' +\n 'editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor ' +\n 'editorTemplate effector emit emitter enableDevice encodeString endString endsWith env ' +\n 'equivalent equivalentTol erf error eval evalDeferred evalEcho event ' +\n 'exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp ' +\n 'expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof ' +\n 'fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo ' +\n 'filetest filletCurve filter filterCurve filterExpand filterStudioImport ' +\n 'findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster ' +\n 'finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar ' +\n 'floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo ' +\n 'fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint ' +\n 'frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss ' +\n 'geometryConstraint getApplicationVersionAsFloat getAttr getClassification ' +\n 'getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes ' +\n 'getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender ' +\n 'glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl ' +\n 'gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid ' +\n 'gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap ' +\n 'HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor ' +\n 'HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached ' +\n 'HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel ' +\n 'headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey ' +\n 'hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender ' +\n 'hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox ' +\n 'iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ' +\n 'ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ' +\n 'ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform ' +\n 'insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance ' +\n 'instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp ' +\n 'interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf ' +\n 'isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect ' +\n 'itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx ' +\n 'jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner ' +\n 'keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx ' +\n 'keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx ' +\n 'keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx ' +\n 'keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor ' +\n 'layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList ' +\n 'lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep ' +\n 'listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory ' +\n 'listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation ' +\n 'listNodeTypes listPanelCategories listRelatives listSets listTransforms ' +\n 'listUnselected listerEditor loadFluid loadNewShelf loadPlugin ' +\n 'loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log ' +\n 'longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive ' +\n 'makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext ' +\n 'manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx ' +\n 'manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout ' +\n 'menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp ' +\n 'mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move ' +\n 'moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute ' +\n 'nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast ' +\n 'nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint ' +\n 'normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect ' +\n 'nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref ' +\n 'nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType ' +\n 'objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface ' +\n 'offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit ' +\n 'orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier ' +\n 'paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration ' +\n 'panelHistory paramDimContext paramDimension paramLocator parent parentConstraint ' +\n 'particle particleExists particleInstancer particleRenderInfo partition pasteKey ' +\n 'pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture ' +\n 'pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo ' +\n 'pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult ' +\n 'pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend ' +\n 'polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal ' +\n 'polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge ' +\n 'polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge ' +\n 'polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet ' +\n 'polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet ' +\n 'polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection ' +\n 'polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge ' +\n 'polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet ' +\n 'polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix ' +\n 'polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut ' +\n 'polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet ' +\n 'polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge ' +\n 'polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex ' +\n 'polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection ' +\n 'polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection ' +\n 'polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint ' +\n 'polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate ' +\n 'polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge ' +\n 'polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing ' +\n 'polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet ' +\n 'polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace ' +\n 'popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer ' +\n 'projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx ' +\n 'propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd ' +\n 'python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection ' +\n 'radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl ' +\n 'readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference ' +\n 'referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE ' +\n 'registerPluginResource rehash reloadImage removeJoint removeMultiInstance ' +\n 'removePanelCategory rename renameAttr renameSelectionList renameUI render ' +\n 'renderGlobalsNode renderInfo renderLayerButton renderLayerParent ' +\n 'renderLayerPostProcess renderLayerUnparent renderManip renderPartition ' +\n 'renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor ' +\n 'renderWindowSelectContext renderer reorder reorderDeformers requires reroot ' +\n 'resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget ' +\n 'reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx ' +\n 'rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout ' +\n 'runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage ' +\n 'saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale ' +\n 'scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor ' +\n 'sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable ' +\n 'scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt ' +\n 'searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey ' +\n 'selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType ' +\n 'selectedNodes selectionConnection separator setAttr setAttrEnumResource ' +\n 'setAttrMapping setAttrNiceNameResource setConstraintRestPosition ' +\n 'setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr ' +\n 'setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe ' +\n 'setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag ' +\n 'setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject ' +\n 'setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets ' +\n 'shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare ' +\n 'shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField ' +\n 'shortNameOf showHelp showHidden showManipCtx showSelectionInTitle ' +\n 'showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface ' +\n 'size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep ' +\n 'snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound ' +\n 'soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort ' +\n 'spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString ' +\n 'startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp ' +\n 'stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex ' +\n 'stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex ' +\n 'stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString ' +\n 'stringToStringArray strip stripPrefixFromName stroke subdAutoProjection ' +\n 'subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV ' +\n 'subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror ' +\n 'subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease ' +\n 'subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring ' +\n 'surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton ' +\n 'symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext ' +\n 'texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext ' +\n 'texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text ' +\n 'textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList ' +\n 'textToShelf textureDisplacePlane textureHairColor texturePlacementContext ' +\n 'textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath ' +\n 'toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower ' +\n 'toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper ' +\n 'trace track trackCtx transferAttributes transformCompare transformLimits translator ' +\n 'trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence ' +\n 'twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit ' +\n 'unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink ' +\n 'uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane ' +\n 'viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex ' +\n 'waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire ' +\n 'wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform',\n illegal: '</',\n contains: [\n hljs.C_NUMBER_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '`', end: '`',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n { // eats variables\n begin: '[\\\\$\\\\%\\\\@](\\\\^\\\\w\\\\b|#\\\\w+|[^\\\\s\\\\w{]|{\\\\w+}|\\\\w+)'\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/mercury.js b/js/highlight/mercury.js
index 083ad0f8b..cea274788 100644
--- a/js/highlight/mercury.js
+++ b/js/highlight/mercury.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[107],{473:function(e,i){e.exports=function(e){var i=e.COMMENT("%","$"),n=e.inherit(e.APOS_STRING_MODE,{relevance:0}),t=e.inherit(e.QUOTE_STRING_MODE,{relevance:0});t.contains.push({className:"subst",begin:"\\\\[abfnrtv]\\|\\\\x[0-9a-fA-F]*\\\\\\|%[-+# *.0-9]*[dioxXucsfeEgGp]",relevance:0});return{aliases:["m","moo"],keywords:{keyword:"module use_module import_module include_module end_module initialise mutable initialize finalize finalise interface implementation pred mode func type inst solver any_pred any_func is semidet det nondet multi erroneous failure cc_nondet cc_multi typeclass instance where pragma promise external trace atomic or_else require_complete_switch require_det require_semidet require_multi require_nondet require_cc_multi require_cc_nondet require_erroneous require_failure",meta:"inline no_inline type_spec source_file fact_table obsolete memo loop_check minimal_model terminates does_not_terminate check_termination promise_equivalent_clauses foreign_proc foreign_decl foreign_code foreign_type foreign_import_module foreign_export_enum foreign_export foreign_enum may_call_mercury will_not_call_mercury thread_safe not_thread_safe maybe_thread_safe promise_pure promise_semipure tabled_for_io local untrailed trailed attach_to_io_state can_pass_as_mercury_type stable will_not_throw_exception may_modify_trail will_not_modify_trail may_duplicate may_not_duplicate affects_liveness does_not_affect_liveness doesnt_affect_liveness no_sharing unknown_sharing sharing",built_in:"some all not if then else true fail false try catch catch_any semidet_true semidet_false semidet_fail impure_true impure semipure"},contains:[{className:"built_in",variants:[{begin:"<=>"},{begin:"<=",relevance:0},{begin:"=>",relevance:0},{begin:"/\\\\"},{begin:"\\\\/"}]},{className:"built_in",variants:[{begin:":-\\|--\x3e"},{begin:"=",relevance:0}]},i,e.C_BLOCK_COMMENT_MODE,{className:"number",begin:"0'.\\|0[box][0-9a-fA-F]*"},e.NUMBER_MODE,n,t,{begin:/:-/},{begin:/\.$/}]}}}}]);
-//# sourceMappingURL=mercury.js.map?v=ea4494b7e22551cecffb \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[107],{474:function(e,i){e.exports=function(e){var i=e.COMMENT("%","$"),n=e.inherit(e.APOS_STRING_MODE,{relevance:0}),t=e.inherit(e.QUOTE_STRING_MODE,{relevance:0});t.contains=t.contains.slice(),t.contains.push({className:"subst",begin:"\\\\[abfnrtv]\\|\\\\x[0-9a-fA-F]*\\\\\\|%[-+# *.0-9]*[dioxXucsfeEgGp]",relevance:0});return{aliases:["m","moo"],keywords:{keyword:"module use_module import_module include_module end_module initialise mutable initialize finalize finalise interface implementation pred mode func type inst solver any_pred any_func is semidet det nondet multi erroneous failure cc_nondet cc_multi typeclass instance where pragma promise external trace atomic or_else require_complete_switch require_det require_semidet require_multi require_nondet require_cc_multi require_cc_nondet require_erroneous require_failure",meta:"inline no_inline type_spec source_file fact_table obsolete memo loop_check minimal_model terminates does_not_terminate check_termination promise_equivalent_clauses foreign_proc foreign_decl foreign_code foreign_type foreign_import_module foreign_export_enum foreign_export foreign_enum may_call_mercury will_not_call_mercury thread_safe not_thread_safe maybe_thread_safe promise_pure promise_semipure tabled_for_io local untrailed trailed attach_to_io_state can_pass_as_mercury_type stable will_not_throw_exception may_modify_trail will_not_modify_trail may_duplicate may_not_duplicate affects_liveness does_not_affect_liveness doesnt_affect_liveness no_sharing unknown_sharing sharing",built_in:"some all not if then else true fail false try catch catch_any semidet_true semidet_false semidet_fail impure_true impure semipure"},contains:[{className:"built_in",variants:[{begin:"<=>"},{begin:"<=",relevance:0},{begin:"=>",relevance:0},{begin:"/\\\\"},{begin:"\\\\/"}]},{className:"built_in",variants:[{begin:":-\\|--\x3e"},{begin:"=",relevance:0}]},i,e.C_BLOCK_COMMENT_MODE,{className:"number",begin:"0'.\\|0[box][0-9a-fA-F]*"},e.NUMBER_MODE,n,t,{begin:/:-/},{begin:/\.$/}]}}}}]);
+//# sourceMappingURL=mercury.js.map?v=414e423c5fed49ecb7a7 \ No newline at end of file
diff --git a/js/highlight/mercury.js.map b/js/highlight/mercury.js.map
index 079ca0365..5b7776184 100644
--- a/js/highlight/mercury.js.map
+++ b/js/highlight/mercury.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mercury.js"],"names":["module","exports","hljs","COMMENT","ATOM","inherit","APOS_STRING_MODE","relevance","STRING","QUOTE_STRING_MODE","contains","push","className","begin","aliases","keywords","keyword","meta","built_in","variants","C_BLOCK_COMMENT_MODE","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IA6BIC,EAAUD,EAAKC,QAAQ,IAAK,KAO5BC,EAAOF,EAAKG,QAAQH,EAAKI,iBAAkB,CAACC,UAAW,IACvDC,EAASN,EAAKG,QAAQH,EAAKO,kBAAmB,CAACF,UAAW,IAM9DC,EAAOE,SAASC,KALC,CACfC,UAAW,QACXC,MAAO,wEACPN,UAAW,IAuBb,MAAO,CACLO,QAAS,CAAC,IAAK,OACfC,SAlEa,CACbC,QACE,odAOFC,KAEE,grBAaFC,SACE,qIA0CFR,SAAU,CAtBM,CAChBE,UAAW,WACXO,SAAU,CACR,CAACN,MAAO,OACR,CAACA,MAAO,KAAMN,UAAW,GACzB,CAACM,MAAO,KAAMN,UAAW,GACzB,CAACM,MAAO,SACR,CAACA,MAAO,WAIgB,CAC1BD,UAAW,WACXO,SAAU,CACR,CAACN,MAAO,eACR,CAACA,MAAO,IAAKN,UAAW,KAUxBJ,EACAD,EAAKkB,qBAxCK,CACZR,UAAW,SACXC,MAAO,4BAwCLX,EAAKmB,YACLjB,EACAI,EACA,CAACK,MAAO,MACR,CAACA,MAAO","file":"highlight/mercury.js?v=ea4494b7e22551cecffb","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n 'module use_module import_module include_module end_module initialise ' +\n 'mutable initialize finalize finalise interface implementation pred ' +\n 'mode func type inst solver any_pred any_func is semidet det nondet ' +\n 'multi erroneous failure cc_nondet cc_multi typeclass instance where ' +\n 'pragma promise external trace atomic or_else require_complete_switch ' +\n 'require_det require_semidet require_multi require_nondet ' +\n 'require_cc_multi require_cc_nondet require_erroneous require_failure',\n meta:\n // pragma\n 'inline no_inline type_spec source_file fact_table obsolete memo ' +\n 'loop_check minimal_model terminates does_not_terminate ' +\n 'check_termination promise_equivalent_clauses ' +\n // preprocessor\n 'foreign_proc foreign_decl foreign_code foreign_type ' +\n 'foreign_import_module foreign_export_enum foreign_export ' +\n 'foreign_enum may_call_mercury will_not_call_mercury thread_safe ' +\n 'not_thread_safe maybe_thread_safe promise_pure promise_semipure ' +\n 'tabled_for_io local untrailed trailed attach_to_io_state ' +\n 'can_pass_as_mercury_type stable will_not_throw_exception ' +\n 'may_modify_trail will_not_modify_trail may_duplicate ' +\n 'may_not_duplicate affects_liveness does_not_affect_liveness ' +\n 'doesnt_affect_liveness no_sharing unknown_sharing sharing',\n built_in:\n 'some all not if then else true fail false try catch catch_any ' +\n 'semidet_true semidet_false semidet_fail impure_true impure semipure'\n };\n\n var COMMENT = hljs.COMMENT('%', '$');\n\n var NUMCODE = {\n className: 'number',\n begin: \"0'.\\\\|0[box][0-9a-fA-F]*\"\n };\n\n var ATOM = hljs.inherit(hljs.APOS_STRING_MODE, {relevance: 0});\n var STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, {relevance: 0});\n var STRING_FMT = {\n className: 'subst',\n begin: '\\\\\\\\[abfnrtv]\\\\|\\\\\\\\x[0-9a-fA-F]*\\\\\\\\\\\\|%[-+# *.0-9]*[dioxXucsfeEgGp]',\n relevance: 0\n };\n STRING.contains.push(STRING_FMT);\n\n var IMPLICATION = {\n className: 'built_in',\n variants: [\n {begin: '<=>'},\n {begin: '<=', relevance: 0},\n {begin: '=>', relevance: 0},\n {begin: '/\\\\\\\\'},\n {begin: '\\\\\\\\/'}\n ]\n };\n\n var HEAD_BODY_CONJUNCTION = {\n className: 'built_in',\n variants: [\n {begin: ':-\\\\|-->'},\n {begin: '=', relevance: 0}\n ]\n };\n\n return {\n aliases: ['m', 'moo'],\n keywords: KEYWORDS,\n contains: [\n IMPLICATION,\n HEAD_BODY_CONJUNCTION,\n COMMENT,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMCODE,\n hljs.NUMBER_MODE,\n ATOM,\n STRING,\n {begin: /:-/}, // relevance booster\n {begin: /\\.$/} // relevance booster\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mercury.js"],"names":["module","exports","hljs","COMMENT","ATOM","inherit","APOS_STRING_MODE","relevance","STRING","QUOTE_STRING_MODE","contains","slice","push","className","begin","aliases","keywords","keyword","meta","built_in","variants","C_BLOCK_COMMENT_MODE","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IA6BIC,EAAUD,EAAKC,QAAQ,IAAK,KAO5BC,EAAOF,EAAKG,QAAQH,EAAKI,iBAAkB,CAACC,UAAW,IACvDC,EAASN,EAAKG,QAAQH,EAAKO,kBAAmB,CAACF,UAAW,IAM9DC,EAAOE,SAAWF,EAAOE,SAASC,QAClCH,EAAOE,SAASE,KANC,CACfC,UAAW,QACXC,MAAO,wEACPP,UAAW,IAwBb,MAAO,CACLQ,QAAS,CAAC,IAAK,OACfC,SAnEa,CACbC,QACE,odAOFC,KAEE,grBAaFC,SACE,qIA2CFT,SAAU,CAtBM,CAChBG,UAAW,WACXO,SAAU,CACR,CAACN,MAAO,OACR,CAACA,MAAO,KAAMP,UAAW,GACzB,CAACO,MAAO,KAAMP,UAAW,GACzB,CAACO,MAAO,SACR,CAACA,MAAO,WAIgB,CAC1BD,UAAW,WACXO,SAAU,CACR,CAACN,MAAO,eACR,CAACA,MAAO,IAAKP,UAAW,KAUxBJ,EACAD,EAAKmB,qBAzCK,CACZR,UAAW,SACXC,MAAO,4BAyCLZ,EAAKoB,YACLlB,EACAI,EACA,CAACM,MAAO,MACR,CAACA,MAAO","file":"highlight/mercury.js?v=414e423c5fed49ecb7a7","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n 'module use_module import_module include_module end_module initialise ' +\n 'mutable initialize finalize finalise interface implementation pred ' +\n 'mode func type inst solver any_pred any_func is semidet det nondet ' +\n 'multi erroneous failure cc_nondet cc_multi typeclass instance where ' +\n 'pragma promise external trace atomic or_else require_complete_switch ' +\n 'require_det require_semidet require_multi require_nondet ' +\n 'require_cc_multi require_cc_nondet require_erroneous require_failure',\n meta:\n // pragma\n 'inline no_inline type_spec source_file fact_table obsolete memo ' +\n 'loop_check minimal_model terminates does_not_terminate ' +\n 'check_termination promise_equivalent_clauses ' +\n // preprocessor\n 'foreign_proc foreign_decl foreign_code foreign_type ' +\n 'foreign_import_module foreign_export_enum foreign_export ' +\n 'foreign_enum may_call_mercury will_not_call_mercury thread_safe ' +\n 'not_thread_safe maybe_thread_safe promise_pure promise_semipure ' +\n 'tabled_for_io local untrailed trailed attach_to_io_state ' +\n 'can_pass_as_mercury_type stable will_not_throw_exception ' +\n 'may_modify_trail will_not_modify_trail may_duplicate ' +\n 'may_not_duplicate affects_liveness does_not_affect_liveness ' +\n 'doesnt_affect_liveness no_sharing unknown_sharing sharing',\n built_in:\n 'some all not if then else true fail false try catch catch_any ' +\n 'semidet_true semidet_false semidet_fail impure_true impure semipure'\n };\n\n var COMMENT = hljs.COMMENT('%', '$');\n\n var NUMCODE = {\n className: 'number',\n begin: \"0'.\\\\|0[box][0-9a-fA-F]*\"\n };\n\n var ATOM = hljs.inherit(hljs.APOS_STRING_MODE, {relevance: 0});\n var STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, {relevance: 0});\n var STRING_FMT = {\n className: 'subst',\n begin: '\\\\\\\\[abfnrtv]\\\\|\\\\\\\\x[0-9a-fA-F]*\\\\\\\\\\\\|%[-+# *.0-9]*[dioxXucsfeEgGp]',\n relevance: 0\n };\n STRING.contains = STRING.contains.slice() // we need our own copy of contains\n STRING.contains.push(STRING_FMT);\n\n var IMPLICATION = {\n className: 'built_in',\n variants: [\n {begin: '<=>'},\n {begin: '<=', relevance: 0},\n {begin: '=>', relevance: 0},\n {begin: '/\\\\\\\\'},\n {begin: '\\\\\\\\/'}\n ]\n };\n\n var HEAD_BODY_CONJUNCTION = {\n className: 'built_in',\n variants: [\n {begin: ':-\\\\|-->'},\n {begin: '=', relevance: 0}\n ]\n };\n\n return {\n aliases: ['m', 'moo'],\n keywords: KEYWORDS,\n contains: [\n IMPLICATION,\n HEAD_BODY_CONJUNCTION,\n COMMENT,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMCODE,\n hljs.NUMBER_MODE,\n ATOM,\n STRING,\n {begin: /:-/}, // relevance booster\n {begin: /\\.$/} // relevance booster\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/mipsasm.js b/js/highlight/mipsasm.js
index f3fe51ddd..4a9f9868a 100644
--- a/js/highlight/mipsasm.js
+++ b/js/highlight/mipsasm.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[108],{474:function(e,s){e.exports=function(e){return{case_insensitive:!0,aliases:["mips"],lexemes:"\\.?"+e.IDENT_RE,keywords:{meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg ",built_in:"$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 $16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 t0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 k0 k1 gp sp fp ra $f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 $f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt "},contains:[{className:"keyword",begin:"\\b(addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(.hb)?|jr(.hb)?|lbu?|lhu?|ll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|srlv?|subu?|sw[lr]?|xori?|wsbh|abs.[sd]|add.[sd]|alnv.ps|bc1[ft]l?|c.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et]).[sd]|(ceil|floor|round|trunc).[lw].[sd]|cfc1|cvt.d.[lsw]|cvt.l.[dsw]|cvt.ps.s|cvt.s.[dlw]|cvt.s.p[lu]|cvt.w.[dls]|div.[ds]|ldx?c1|luxc1|lwx?c1|madd.[sd]|mfc1|mov[fntz]?.[ds]|msub.[sd]|mth?c1|mul.[ds]|neg.[ds]|nmadd.[ds]|nmsub.[ds]|p[lu][lu].ps|recip.fmt|r?sqrt.[ds]|sdx?c1|sub.[ds]|suxc1|swx?c1|break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|tlti?u?|tnei?|wait|wrpgpr)",end:"\\s"},e.COMMENT("[;#](?!s*$)","$"),e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"0x[0-9a-f]+"},{begin:"\\b-?\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"^\\s*[0-9]+:"},{begin:"[0-9]+[bf]"}],relevance:0}],illegal:"/"}}}}]);
-//# sourceMappingURL=mipsasm.js.map?v=85abbb968434f2b20037 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[108],{475:function(e,s){e.exports=function(e){return{case_insensitive:!0,aliases:["mips"],lexemes:"\\.?"+e.IDENT_RE,keywords:{meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg ",built_in:"$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 $16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 t0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 k0 k1 gp sp fp ra $f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 $f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt "},contains:[{className:"keyword",begin:"\\b(addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(.hb)?|jr(.hb)?|lbu?|lhu?|ll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|srlv?|subu?|sw[lr]?|xori?|wsbh|abs.[sd]|add.[sd]|alnv.ps|bc1[ft]l?|c.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et]).[sd]|(ceil|floor|round|trunc).[lw].[sd]|cfc1|cvt.d.[lsw]|cvt.l.[dsw]|cvt.ps.s|cvt.s.[dlw]|cvt.s.p[lu]|cvt.w.[dls]|div.[ds]|ldx?c1|luxc1|lwx?c1|madd.[sd]|mfc1|mov[fntz]?.[ds]|msub.[sd]|mth?c1|mul.[ds]|neg.[ds]|nmadd.[ds]|nmsub.[ds]|p[lu][lu].ps|recip.fmt|r?sqrt.[ds]|sdx?c1|sub.[ds]|suxc1|swx?c1|break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|tlti?u?|tnei?|wait|wrpgpr)",end:"\\s"},e.COMMENT("[;#](?!s*$)","$"),e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"0x[0-9a-f]+"},{begin:"\\b-?\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"^\\s*[0-9]+:"},{begin:"[0-9]+[bf]"}],relevance:0}],illegal:"/"}}}}]);
+//# sourceMappingURL=mipsasm.js.map?v=9d5609deeea481d092a0 \ No newline at end of file
diff --git a/js/highlight/mipsasm.js.map b/js/highlight/mipsasm.js.map
index 44178c1d1..327f1bdf6 100644
--- a/js/highlight/mipsasm.js.map
+++ b/js/highlight/mipsasm.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mipsasm.js"],"names":["module","exports","hljs","case_insensitive","aliases","lexemes","IDENT_RE","keywords","meta","built_in","contains","className","begin","end","COMMENT","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","relevance","illegal","variants"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,CAAC,QACVC,QAAS,OAASH,EAAKI,SACvBC,SAAU,CACRC,KAEE,6OACFC,SACE,opBAYJC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,6zBAqBPC,IAAK,OAGPX,EAAKY,QAAQ,cAAgB,KAC7BZ,EAAKa,qBACLb,EAAKc,kBACL,CACEL,UAAW,SACXC,MAAO,IACPC,IAAK,WACLI,UAAW,GAEb,CACEN,UAAW,QACXC,MAAO,MAAOC,IAAK,MACnBK,QAAS,MACTD,UAAW,GAEb,CACEN,UAAW,SACXQ,SAAU,CACN,CAACP,MAAO,eACR,CAACA,MAAO,cAEZK,UAAW,GAEb,CACEN,UAAW,SACXQ,SAAU,CACN,CAACP,MAAO,sCACR,CAACA,MAAO,gBACR,CAACA,MAAO,eAEZK,UAAW,IAGfC,QAAS","file":"highlight/mipsasm.js?v=85abbb968434f2b20037","sourcesContent":["module.exports = function(hljs) {\n //local labels: %?[FB]?[AT]?\\d{1,2}\\w+\n return {\n case_insensitive: true,\n aliases: ['mips'],\n lexemes: '\\\\.?' + hljs.IDENT_RE,\n keywords: {\n meta:\n //GNU preprocs\n '.2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg ',\n built_in:\n '$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 ' + // integer registers\n '$16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 ' + // integer registers\n 'zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 ' + // integer register aliases\n 't0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 ' + // integer register aliases\n 'k0 k1 gp sp fp ra ' + // integer register aliases\n '$f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 ' + // floating-point registers\n '$f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 ' + // floating-point registers\n 'Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi ' + // Coprocessor 0 registers\n 'HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId ' + // Coprocessor 0 registers\n 'EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ' + // Coprocessor 0 registers\n 'ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt ' // Coprocessor 0 registers\n },\n contains: [\n {\n className: 'keyword',\n begin: '\\\\b('+ //mnemonics\n // 32-bit integer instructions\n 'addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|' +\n 'bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(\\.hb)?|jr(\\.hb)?|lbu?|lhu?|' +\n 'll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|' +\n 'multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|' +\n 'srlv?|subu?|sw[lr]?|xori?|wsbh|' +\n // floating-point instructions\n 'abs\\.[sd]|add\\.[sd]|alnv.ps|bc1[ft]l?|' +\n 'c\\.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et])\\.[sd]|' +\n '(ceil|floor|round|trunc)\\.[lw]\\.[sd]|cfc1|cvt\\.d\\.[lsw]|' +\n 'cvt\\.l\\.[dsw]|cvt\\.ps\\.s|cvt\\.s\\.[dlw]|cvt\\.s\\.p[lu]|cvt\\.w\\.[dls]|' +\n 'div\\.[ds]|ldx?c1|luxc1|lwx?c1|madd\\.[sd]|mfc1|mov[fntz]?\\.[ds]|' +\n 'msub\\.[sd]|mth?c1|mul\\.[ds]|neg\\.[ds]|nmadd\\.[ds]|nmsub\\.[ds]|' +\n 'p[lu][lu]\\.ps|recip\\.fmt|r?sqrt\\.[ds]|sdx?c1|sub\\.[ds]|suxc1|' +\n 'swx?c1|' +\n // system control instructions\n 'break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|' +\n 'rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|' +\n 'tlti?u?|tnei?|wait|wrpgpr'+\n ')',\n end: '\\\\s'\n },\n // lines ending with ; or # aren't really comments, probably auto-detect fail\n hljs.COMMENT('[;#](?!\\s*$)', '$'),\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '\\'',\n end: '[^\\\\\\\\]\\'',\n relevance: 0\n },\n {\n className: 'title',\n begin: '\\\\|', end: '\\\\|',\n illegal: '\\\\n',\n relevance: 0\n },\n {\n className: 'number',\n variants: [\n {begin: '0x[0-9a-f]+'}, //hex\n {begin: '\\\\b-?\\\\d+'} //bare number\n ],\n relevance: 0\n },\n {\n className: 'symbol',\n variants: [\n {begin: '^\\\\s*[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+:'}, //GNU MIPS syntax\n {begin: '^\\\\s*[0-9]+:'}, // numbered local labels\n {begin: '[0-9]+[bf]' } // number local label reference (backwards, forwards)\n ],\n relevance: 0\n }\n ],\n illegal: '\\/'\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mipsasm.js"],"names":["module","exports","hljs","case_insensitive","aliases","lexemes","IDENT_RE","keywords","meta","built_in","contains","className","begin","end","COMMENT","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","relevance","illegal","variants"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,CAAC,QACVC,QAAS,OAASH,EAAKI,SACvBC,SAAU,CACRC,KAEE,6OACFC,SACE,opBAYJC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,6zBAqBPC,IAAK,OAGPX,EAAKY,QAAQ,cAAgB,KAC7BZ,EAAKa,qBACLb,EAAKc,kBACL,CACEL,UAAW,SACXC,MAAO,IACPC,IAAK,WACLI,UAAW,GAEb,CACEN,UAAW,QACXC,MAAO,MAAOC,IAAK,MACnBK,QAAS,MACTD,UAAW,GAEb,CACEN,UAAW,SACXQ,SAAU,CACN,CAACP,MAAO,eACR,CAACA,MAAO,cAEZK,UAAW,GAEb,CACEN,UAAW,SACXQ,SAAU,CACN,CAACP,MAAO,sCACR,CAACA,MAAO,gBACR,CAACA,MAAO,eAEZK,UAAW,IAGfC,QAAS","file":"highlight/mipsasm.js?v=9d5609deeea481d092a0","sourcesContent":["module.exports = function(hljs) {\n //local labels: %?[FB]?[AT]?\\d{1,2}\\w+\n return {\n case_insensitive: true,\n aliases: ['mips'],\n lexemes: '\\\\.?' + hljs.IDENT_RE,\n keywords: {\n meta:\n //GNU preprocs\n '.2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg ',\n built_in:\n '$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 ' + // integer registers\n '$16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 ' + // integer registers\n 'zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 ' + // integer register aliases\n 't0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 ' + // integer register aliases\n 'k0 k1 gp sp fp ra ' + // integer register aliases\n '$f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 ' + // floating-point registers\n '$f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 ' + // floating-point registers\n 'Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi ' + // Coprocessor 0 registers\n 'HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId ' + // Coprocessor 0 registers\n 'EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ' + // Coprocessor 0 registers\n 'ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt ' // Coprocessor 0 registers\n },\n contains: [\n {\n className: 'keyword',\n begin: '\\\\b('+ //mnemonics\n // 32-bit integer instructions\n 'addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|' +\n 'bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(\\.hb)?|jr(\\.hb)?|lbu?|lhu?|' +\n 'll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|' +\n 'multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|' +\n 'srlv?|subu?|sw[lr]?|xori?|wsbh|' +\n // floating-point instructions\n 'abs\\.[sd]|add\\.[sd]|alnv.ps|bc1[ft]l?|' +\n 'c\\.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et])\\.[sd]|' +\n '(ceil|floor|round|trunc)\\.[lw]\\.[sd]|cfc1|cvt\\.d\\.[lsw]|' +\n 'cvt\\.l\\.[dsw]|cvt\\.ps\\.s|cvt\\.s\\.[dlw]|cvt\\.s\\.p[lu]|cvt\\.w\\.[dls]|' +\n 'div\\.[ds]|ldx?c1|luxc1|lwx?c1|madd\\.[sd]|mfc1|mov[fntz]?\\.[ds]|' +\n 'msub\\.[sd]|mth?c1|mul\\.[ds]|neg\\.[ds]|nmadd\\.[ds]|nmsub\\.[ds]|' +\n 'p[lu][lu]\\.ps|recip\\.fmt|r?sqrt\\.[ds]|sdx?c1|sub\\.[ds]|suxc1|' +\n 'swx?c1|' +\n // system control instructions\n 'break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|' +\n 'rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|' +\n 'tlti?u?|tnei?|wait|wrpgpr'+\n ')',\n end: '\\\\s'\n },\n // lines ending with ; or # aren't really comments, probably auto-detect fail\n hljs.COMMENT('[;#](?!\\s*$)', '$'),\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '\\'',\n end: '[^\\\\\\\\]\\'',\n relevance: 0\n },\n {\n className: 'title',\n begin: '\\\\|', end: '\\\\|',\n illegal: '\\\\n',\n relevance: 0\n },\n {\n className: 'number',\n variants: [\n {begin: '0x[0-9a-f]+'}, //hex\n {begin: '\\\\b-?\\\\d+'} //bare number\n ],\n relevance: 0\n },\n {\n className: 'symbol',\n variants: [\n {begin: '^\\\\s*[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+:'}, //GNU MIPS syntax\n {begin: '^\\\\s*[0-9]+:'}, // numbered local labels\n {begin: '[0-9]+[bf]' } // number local label reference (backwards, forwards)\n ],\n relevance: 0\n }\n ],\n illegal: '\\/'\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/mizar.js b/js/highlight/mizar.js
index f043cb801..20516c566 100644
--- a/js/highlight/mizar.js
+++ b/js/highlight/mizar.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[109],{475:function(e,t){e.exports=function(e){return{keywords:"environ vocabularies notations constructors definitions registrations theorems schemes requirements begin end definition registration cluster existence pred func defpred deffunc theorem proof let take assume then thus hence ex for st holds consider reconsider such that and in provided of as from be being by means equals implies iff redefine define now not or attr is mode suppose per cases set thesis contradiction scheme reserve struct correctness compatibility coherence symmetry assymetry reflexivity irreflexivity connectedness uniqueness commutativity idempotence involutiveness projectivity",contains:[e.COMMENT("::","$")]}}}}]);
-//# sourceMappingURL=mizar.js.map?v=82f47ef94dd9d67243a3 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[109],{476:function(e,t){e.exports=function(e){return{keywords:"environ vocabularies notations constructors definitions registrations theorems schemes requirements begin end definition registration cluster existence pred func defpred deffunc theorem proof let take assume then thus hence ex for st holds consider reconsider such that and in provided of as from be being by means equals implies iff redefine define now not or attr is mode suppose per cases set thesis contradiction scheme reserve struct correctness compatibility coherence symmetry assymetry reflexivity irreflexivity connectedness uniqueness commutativity idempotence involutiveness projectivity",contains:[e.COMMENT("::","$")]}}}}]);
+//# sourceMappingURL=mizar.js.map?v=6a29b8b667b4e9803831 \ No newline at end of file
diff --git a/js/highlight/mizar.js.map b/js/highlight/mizar.js.map
index 08f9c6cd3..25696888e 100644
--- a/js/highlight/mizar.js.map
+++ b/js/highlight/mizar.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mizar.js"],"names":["module","exports","hljs","keywords","contains","COMMENT"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SACE,ylBAUFC,SAAU,CACRF,EAAKG,QAAQ,KAAM","file":"highlight/mizar.js?v=82f47ef94dd9d67243a3","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords:\n 'environ vocabularies notations constructors definitions ' +\n 'registrations theorems schemes requirements begin end definition ' +\n 'registration cluster existence pred func defpred deffunc theorem ' +\n 'proof let take assume then thus hence ex for st holds consider ' +\n 'reconsider such that and in provided of as from be being by means ' +\n 'equals implies iff redefine define now not or attr is mode ' +\n 'suppose per cases set thesis contradiction scheme reserve struct ' +\n 'correctness compatibility coherence symmetry assymetry ' +\n 'reflexivity irreflexivity connectedness uniqueness commutativity ' +\n 'idempotence involutiveness projectivity',\n contains: [\n hljs.COMMENT('::', '$')\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mizar.js"],"names":["module","exports","hljs","keywords","contains","COMMENT"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SACE,ylBAUFC,SAAU,CACRF,EAAKG,QAAQ,KAAM","file":"highlight/mizar.js?v=6a29b8b667b4e9803831","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords:\n 'environ vocabularies notations constructors definitions ' +\n 'registrations theorems schemes requirements begin end definition ' +\n 'registration cluster existence pred func defpred deffunc theorem ' +\n 'proof let take assume then thus hence ex for st holds consider ' +\n 'reconsider such that and in provided of as from be being by means ' +\n 'equals implies iff redefine define now not or attr is mode ' +\n 'suppose per cases set thesis contradiction scheme reserve struct ' +\n 'correctness compatibility coherence symmetry assymetry ' +\n 'reflexivity irreflexivity connectedness uniqueness commutativity ' +\n 'idempotence involutiveness projectivity',\n contains: [\n hljs.COMMENT('::', '$')\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/mojolicious.js b/js/highlight/mojolicious.js
index 9b88e48a9..63040cd8f 100644
--- a/js/highlight/mojolicious.js
+++ b/js/highlight/mojolicious.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[110],{476:function(e,n){e.exports=function(e){return{subLanguage:"xml",contains:[{className:"meta",begin:"^__(END|DATA)__$"},{begin:"^\\s*%{1,2}={0,2}",end:"$",subLanguage:"perl"},{begin:"<%{1,2}={0,2}",end:"={0,1}%>",subLanguage:"perl",excludeBegin:!0,excludeEnd:!0}]}}}}]);
-//# sourceMappingURL=mojolicious.js.map?v=646a52273319f1a0360e \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[110],{477:function(e,n){e.exports=function(e){return{subLanguage:"xml",contains:[{className:"meta",begin:"^__(END|DATA)__$"},{begin:"^\\s*%{1,2}={0,2}",end:"$",subLanguage:"perl"},{begin:"<%{1,2}={0,2}",end:"={0,1}%>",subLanguage:"perl",excludeBegin:!0,excludeEnd:!0}]}}}}]);
+//# sourceMappingURL=mojolicious.js.map?v=85f3d6a6fa8c94b59441 \ No newline at end of file
diff --git a/js/highlight/mojolicious.js.map b/js/highlight/mojolicious.js.map
index dd2419bea..71e39358c 100644
--- a/js/highlight/mojolicious.js.map
+++ b/js/highlight/mojolicious.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mojolicious.js"],"names":["module","exports","hljs","subLanguage","contains","className","begin","end","excludeBegin","excludeEnd"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,YAAa,MACbC,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,oBAGT,CACEA,MAAO,oBAAqBC,IAAK,IACjCJ,YAAa,QAGf,CACEG,MAAO,gBACPC,IAAK,WACLJ,YAAa,OACbK,cAAc,EACdC,YAAY","file":"highlight/mojolicious.js?v=646a52273319f1a0360e","sourcesContent":["module.exports = function(hljs) {\n return {\n subLanguage: 'xml',\n contains: [\n {\n className: 'meta',\n begin: '^__(END|DATA)__$'\n },\n // mojolicious line\n {\n begin: \"^\\\\s*%{1,2}={0,2}\", end: '$',\n subLanguage: 'perl'\n },\n // mojolicious block\n {\n begin: \"<%{1,2}={0,2}\",\n end: \"={0,1}%>\",\n subLanguage: 'perl',\n excludeBegin: true,\n excludeEnd: true\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/mojolicious.js"],"names":["module","exports","hljs","subLanguage","contains","className","begin","end","excludeBegin","excludeEnd"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,YAAa,MACbC,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,oBAGT,CACEA,MAAO,oBAAqBC,IAAK,IACjCJ,YAAa,QAGf,CACEG,MAAO,gBACPC,IAAK,WACLJ,YAAa,OACbK,cAAc,EACdC,YAAY","file":"highlight/mojolicious.js?v=85f3d6a6fa8c94b59441","sourcesContent":["module.exports = function(hljs) {\n return {\n subLanguage: 'xml',\n contains: [\n {\n className: 'meta',\n begin: '^__(END|DATA)__$'\n },\n // mojolicious line\n {\n begin: \"^\\\\s*%{1,2}={0,2}\", end: '$',\n subLanguage: 'perl'\n },\n // mojolicious block\n {\n begin: \"<%{1,2}={0,2}\",\n end: \"={0,1}%>\",\n subLanguage: 'perl',\n excludeBegin: true,\n excludeEnd: true\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/monkey.js b/js/highlight/monkey.js
index 01eccba11..b4fb7ec04 100644
--- a/js/highlight/monkey.js
+++ b/js/highlight/monkey.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[111],{477:function(e,n){e.exports=function(e){var n={className:"number",relevance:0,variants:[{begin:"[$][a-fA-F0-9]+"},e.NUMBER_MODE]};return{case_insensitive:!0,keywords:{keyword:"public private property continue exit extern new try catch eachin not abstract final select case default const local global field end if then else elseif endif while wend repeat until forever for to step next return module inline throw import",built_in:"DebugLog DebugStop Error Print ACos ACosr ASin ASinr ATan ATan2 ATan2r ATanr Abs Abs Ceil Clamp Clamp Cos Cosr Exp Floor Log Max Max Min Min Pow Sgn Sgn Sin Sinr Sqrt Tan Tanr Seed PI HALFPI TWOPI",literal:"true false null and or shl shr mod"},illegal:/\/\*/,contains:[e.COMMENT("#rem","#end"),e.COMMENT("'","$",{relevance:0}),{className:"function",beginKeywords:"function method",end:"[(=:]|$",illegal:/\n/,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"class",beginKeywords:"class interface",end:"$",contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{className:"built_in",begin:"\\b(self|super)\\b"},{className:"meta",begin:"\\s*#",end:"$",keywords:{"meta-keyword":"if else elseif endif end then"}},{className:"meta",begin:"^\\s*strict\\b"},{beginKeywords:"alias",end:"=",contains:[e.UNDERSCORE_TITLE_MODE]},e.QUOTE_STRING_MODE,n]}}}}]);
-//# sourceMappingURL=monkey.js.map?v=79c7c5fb901ec2f5afba \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[111],{478:function(e,n){e.exports=function(e){var n={className:"number",relevance:0,variants:[{begin:"[$][a-fA-F0-9]+"},e.NUMBER_MODE]};return{case_insensitive:!0,keywords:{keyword:"public private property continue exit extern new try catch eachin not abstract final select case default const local global field end if then else elseif endif while wend repeat until forever for to step next return module inline throw import",built_in:"DebugLog DebugStop Error Print ACos ACosr ASin ASinr ATan ATan2 ATan2r ATanr Abs Abs Ceil Clamp Clamp Cos Cosr Exp Floor Log Max Max Min Min Pow Sgn Sgn Sin Sinr Sqrt Tan Tanr Seed PI HALFPI TWOPI",literal:"true false null and or shl shr mod"},illegal:/\/\*/,contains:[e.COMMENT("#rem","#end"),e.COMMENT("'","$",{relevance:0}),{className:"function",beginKeywords:"function method",end:"[(=:]|$",illegal:/\n/,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"class",beginKeywords:"class interface",end:"$",contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{className:"built_in",begin:"\\b(self|super)\\b"},{className:"meta",begin:"\\s*#",end:"$",keywords:{"meta-keyword":"if else elseif endif end then"}},{className:"meta",begin:"^\\s*strict\\b"},{beginKeywords:"alias",end:"=",contains:[e.UNDERSCORE_TITLE_MODE]},e.QUOTE_STRING_MODE,n]}}}}]);
+//# sourceMappingURL=monkey.js.map?v=4a3f216687a251cca431 \ No newline at end of file
diff --git a/js/highlight/monkey.js.map b/js/highlight/monkey.js.map
index 57b069267..920dd061a 100644
--- a/js/highlight/monkey.js.map
+++ b/js/highlight/monkey.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/monkey.js"],"names":["module","exports","hljs","NUMBER","className","relevance","variants","begin","NUMBER_MODE","case_insensitive","keywords","keyword","built_in","literal","illegal","contains","COMMENT","beginKeywords","end","UNDERSCORE_TITLE_MODE","QUOTE_STRING_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAS,CACXC,UAAW,SAAUC,UAAW,EAChCC,SAAU,CACR,CACEC,MAAO,mBAETL,EAAKM,cAIT,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACRC,QAAS,qPAKTC,SAAU,uMAGVC,QAAS,sCAEXC,QAAS,OACTC,SAAU,CACRb,EAAKc,QAAQ,OAAQ,QACrBd,EAAKc,QACH,IACA,IACA,CACEX,UAAW,IAGf,CACED,UAAW,WACXa,cAAe,kBAAmBC,IAAK,UACvCJ,QAAS,KACTC,SAAU,CACRb,EAAKiB,wBAGT,CACEf,UAAW,QACXa,cAAe,kBAAmBC,IAAK,IACvCH,SAAU,CACR,CACEE,cAAe,sBAEjBf,EAAKiB,wBAGT,CACEf,UAAW,WACXG,MAAO,sBAET,CACEH,UAAW,OACXG,MAAO,QAASW,IAAK,IACrBR,SAAU,CAAC,eAAgB,kCAE7B,CACEN,UAAW,OACXG,MAAO,kBAET,CACEU,cAAe,QAASC,IAAK,IAC7BH,SAAU,CAACb,EAAKiB,wBAElBjB,EAAKkB,kBACLjB","file":"highlight/monkey.js?v=79c7c5fb901ec2f5afba","sourcesContent":["module.exports = function(hljs) {\n var NUMBER = {\n className: 'number', relevance: 0,\n variants: [\n {\n begin: '[$][a-fA-F0-9]+'\n },\n hljs.NUMBER_MODE\n ]\n };\n\n return {\n case_insensitive: true,\n keywords: {\n keyword: 'public private property continue exit extern new try catch ' +\n 'eachin not abstract final select case default const local global field ' +\n 'end if then else elseif endif while wend repeat until forever for ' +\n 'to step next return module inline throw import',\n\n built_in: 'DebugLog DebugStop Error Print ACos ACosr ASin ASinr ATan ATan2 ATan2r ATanr Abs Abs Ceil ' +\n 'Clamp Clamp Cos Cosr Exp Floor Log Max Max Min Min Pow Sgn Sgn Sin Sinr Sqrt Tan Tanr Seed PI HALFPI TWOPI',\n\n literal: 'true false null and or shl shr mod'\n },\n illegal: /\\/\\*/,\n contains: [\n hljs.COMMENT('#rem', '#end'),\n hljs.COMMENT(\n \"'\",\n '$',\n {\n relevance: 0\n }\n ),\n {\n className: 'function',\n beginKeywords: 'function method', end: '[(=:]|$',\n illegal: /\\n/,\n contains: [\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class interface', end: '$',\n contains: [\n {\n beginKeywords: 'extends implements'\n },\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n className: 'built_in',\n begin: '\\\\b(self|super)\\\\b'\n },\n {\n className: 'meta',\n begin: '\\\\s*#', end: '$',\n keywords: {'meta-keyword': 'if else elseif endif end then'}\n },\n {\n className: 'meta',\n begin: '^\\\\s*strict\\\\b'\n },\n {\n beginKeywords: 'alias', end: '=',\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n hljs.QUOTE_STRING_MODE,\n NUMBER\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/monkey.js"],"names":["module","exports","hljs","NUMBER","className","relevance","variants","begin","NUMBER_MODE","case_insensitive","keywords","keyword","built_in","literal","illegal","contains","COMMENT","beginKeywords","end","UNDERSCORE_TITLE_MODE","QUOTE_STRING_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAS,CACXC,UAAW,SAAUC,UAAW,EAChCC,SAAU,CACR,CACEC,MAAO,mBAETL,EAAKM,cAIT,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACRC,QAAS,qPAKTC,SAAU,uMAGVC,QAAS,sCAEXC,QAAS,OACTC,SAAU,CACRb,EAAKc,QAAQ,OAAQ,QACrBd,EAAKc,QACH,IACA,IACA,CACEX,UAAW,IAGf,CACED,UAAW,WACXa,cAAe,kBAAmBC,IAAK,UACvCJ,QAAS,KACTC,SAAU,CACRb,EAAKiB,wBAGT,CACEf,UAAW,QACXa,cAAe,kBAAmBC,IAAK,IACvCH,SAAU,CACR,CACEE,cAAe,sBAEjBf,EAAKiB,wBAGT,CACEf,UAAW,WACXG,MAAO,sBAET,CACEH,UAAW,OACXG,MAAO,QAASW,IAAK,IACrBR,SAAU,CAAC,eAAgB,kCAE7B,CACEN,UAAW,OACXG,MAAO,kBAET,CACEU,cAAe,QAASC,IAAK,IAC7BH,SAAU,CAACb,EAAKiB,wBAElBjB,EAAKkB,kBACLjB","file":"highlight/monkey.js?v=4a3f216687a251cca431","sourcesContent":["module.exports = function(hljs) {\n var NUMBER = {\n className: 'number', relevance: 0,\n variants: [\n {\n begin: '[$][a-fA-F0-9]+'\n },\n hljs.NUMBER_MODE\n ]\n };\n\n return {\n case_insensitive: true,\n keywords: {\n keyword: 'public private property continue exit extern new try catch ' +\n 'eachin not abstract final select case default const local global field ' +\n 'end if then else elseif endif while wend repeat until forever for ' +\n 'to step next return module inline throw import',\n\n built_in: 'DebugLog DebugStop Error Print ACos ACosr ASin ASinr ATan ATan2 ATan2r ATanr Abs Abs Ceil ' +\n 'Clamp Clamp Cos Cosr Exp Floor Log Max Max Min Min Pow Sgn Sgn Sin Sinr Sqrt Tan Tanr Seed PI HALFPI TWOPI',\n\n literal: 'true false null and or shl shr mod'\n },\n illegal: /\\/\\*/,\n contains: [\n hljs.COMMENT('#rem', '#end'),\n hljs.COMMENT(\n \"'\",\n '$',\n {\n relevance: 0\n }\n ),\n {\n className: 'function',\n beginKeywords: 'function method', end: '[(=:]|$',\n illegal: /\\n/,\n contains: [\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class interface', end: '$',\n contains: [\n {\n beginKeywords: 'extends implements'\n },\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n className: 'built_in',\n begin: '\\\\b(self|super)\\\\b'\n },\n {\n className: 'meta',\n begin: '\\\\s*#', end: '$',\n keywords: {'meta-keyword': 'if else elseif endif end then'}\n },\n {\n className: 'meta',\n begin: '^\\\\s*strict\\\\b'\n },\n {\n beginKeywords: 'alias', end: '=',\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n hljs.QUOTE_STRING_MODE,\n NUMBER\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/moonscript.js b/js/highlight/moonscript.js
index c541aefac..303fa21f6 100644
--- a/js/highlight/moonscript.js
+++ b/js/highlight/moonscript.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[112],{478:function(e,n){e.exports=function(e){var n={keyword:"if then not for in while do return else elseif break continue switch and or unless when class extends super local import export from using",literal:"true false nil",built_in:"_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug io math os package string table"},s="[A-Za-z$_][0-9A-Za-z$_]*",a={className:"subst",begin:/#\{/,end:/}/,keywords:n},t=[e.inherit(e.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,a]}]},{className:"built_in",begin:"@__"+e.IDENT_RE},{begin:"@"+e.IDENT_RE},{begin:e.IDENT_RE+"\\\\"+e.IDENT_RE}];a.contains=t;var i=e.inherit(e.TITLE_MODE,{begin:s}),r={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:n,contains:["self"].concat(t)}]};return{aliases:["moon"],keywords:n,illegal:/\/\*/,contains:t.concat([e.COMMENT("--","$"),{className:"function",begin:"^\\s*"+s+"\\s*=\\s*(\\(.*\\))?\\s*\\B[-=]>",end:"[-=]>",returnBegin:!0,contains:[i,r]},{begin:/[\(,:=]\s*/,relevance:0,contains:[{className:"function",begin:"(\\(.*\\))?\\s*\\B[-=]>",end:"[-=]>",returnBegin:!0,contains:[r]}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[i]},i]},{className:"name",begin:s+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}}}]);
-//# sourceMappingURL=moonscript.js.map?v=259c468782b9a9863fc3 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[112],{479:function(e,n){e.exports=function(e){var n={keyword:"if then not for in while do return else elseif break continue switch and or unless when class extends super local import export from using",literal:"true false nil",built_in:"_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug io math os package string table"},s="[A-Za-z$_][0-9A-Za-z$_]*",a={className:"subst",begin:/#\{/,end:/}/,keywords:n},t=[e.inherit(e.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,a]}]},{className:"built_in",begin:"@__"+e.IDENT_RE},{begin:"@"+e.IDENT_RE},{begin:e.IDENT_RE+"\\\\"+e.IDENT_RE}];a.contains=t;var i=e.inherit(e.TITLE_MODE,{begin:s}),r={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:n,contains:["self"].concat(t)}]};return{aliases:["moon"],keywords:n,illegal:/\/\*/,contains:t.concat([e.COMMENT("--","$"),{className:"function",begin:"^\\s*"+s+"\\s*=\\s*(\\(.*\\))?\\s*\\B[-=]>",end:"[-=]>",returnBegin:!0,contains:[i,r]},{begin:/[\(,:=]\s*/,relevance:0,contains:[{className:"function",begin:"(\\(.*\\))?\\s*\\B[-=]>",end:"[-=]>",returnBegin:!0,contains:[r]}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[i]},i]},{className:"name",begin:s+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}}}]);
+//# sourceMappingURL=moonscript.js.map?v=55d2478df875c48f0655 \ No newline at end of file
diff --git a/js/highlight/moonscript.js.map b/js/highlight/moonscript.js.map
index 803fb4024..87b1fa7ea 100644
--- a/js/highlight/moonscript.js.map
+++ b/js/highlight/moonscript.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/moonscript.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","built_in","JS_IDENT_RE","SUBST","className","begin","end","keywords","EXPRESSIONS","inherit","C_NUMBER_MODE","starts","relevance","variants","contains","BACKSLASH_ESCAPE","IDENT_RE","TITLE","TITLE_MODE","PARAMS","returnBegin","concat","aliases","illegal","COMMENT","beginKeywords","endsWithParent","returnEnd"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QAEE,6IAEFC,QACE,iBACFC,SACE,qRAKAC,EAAc,2BACdC,EAAQ,CACVC,UAAW,QACXC,MAAO,MAAOC,IAAK,IACnBC,SAAUT,GAERU,EAAc,CAChBX,EAAKY,QAAQZ,EAAKa,cAChB,CAACC,OAAQ,CAACL,IAAK,WAAYM,UAAW,KACxC,CACER,UAAW,SACXS,SAAU,CACR,CACER,MAAO,IAAKC,IAAK,IACjBQ,SAAU,CAACjB,EAAKkB,mBAElB,CACEV,MAAO,IAAKC,IAAK,IACjBQ,SAAU,CAACjB,EAAKkB,iBAAkBZ,MAIxC,CACEC,UAAW,WACXC,MAAO,MAAQR,EAAKmB,UAEtB,CACEX,MAAO,IAAMR,EAAKmB,UAEpB,CACEX,MAAOR,EAAKmB,SAAW,OAASnB,EAAKmB,WAGzCb,EAAMW,SAAWN,EAEjB,IAAIS,EAAQpB,EAAKY,QAAQZ,EAAKqB,WAAY,CAACb,MAAOH,IAE9CiB,EAAS,CACXf,UAAW,SACXC,MAAO,YAAae,aAAa,EAGjCN,SAAU,CAAC,CACTT,MAAO,KAAMC,IAAK,KAClBC,SAAUT,EACVgB,SAAU,CAAC,QAAQO,OAAOb,MAI9B,MAAO,CACLc,QAAS,CAAC,QACVf,SAAUT,EACVyB,QAAS,OACTT,SAAUN,EAAYa,OAAO,CAC3BxB,EAAK2B,QAAQ,KAAM,KACnB,CACEpB,UAAW,WACXC,MAAO,QAAUH,EAAV,mCAAiDI,IAAK,QAC7Dc,aAAa,EACbN,SAAU,CAACG,EAAOE,IAEpB,CACEd,MAAO,aACPO,UAAW,EACXE,SAAU,CACR,CACEV,UAAW,WACXC,MA/BM,0BA+BYC,IAAK,QACvBc,aAAa,EACbN,SAAU,CAACK,MAIjB,CACEf,UAAW,QACXqB,cAAe,QACfnB,IAAK,IACLiB,QAAS,YACTT,SAAU,CACR,CACEW,cAAe,UACfC,gBAAgB,EAChBH,QAAS,YACTT,SAAU,CAACG,IAEbA,IAGJ,CACEb,UAAW,OACXC,MAAOH,EAAc,IAAKI,IAAK,IAC/Bc,aAAa,EAAMO,WAAW,EAC9Bf,UAAW","file":"highlight/moonscript.js?v=259c468782b9a9863fc3","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n // Moonscript keywords\n 'if then not for in while do return else elseif break continue switch and or ' +\n 'unless when class extends super local import export from using',\n literal:\n 'true false nil',\n built_in:\n '_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load ' +\n 'loadfile loadstring module next pairs pcall print rawequal rawget rawset require ' +\n 'select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug ' +\n 'io math os package string table'\n };\n var JS_IDENT_RE = '[A-Za-z$_][0-9A-Za-z$_]*';\n var SUBST = {\n className: 'subst',\n begin: /#\\{/, end: /}/,\n keywords: KEYWORDS\n };\n var EXPRESSIONS = [\n hljs.inherit(hljs.C_NUMBER_MODE,\n {starts: {end: '(\\\\s*/)?', relevance: 0}}), // a number tries to eat the following slash to prevent treating it as a regexp\n {\n className: 'string',\n variants: [\n {\n begin: /'/, end: /'/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: /\"/, end: /\"/,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST]\n }\n ]\n },\n {\n className: 'built_in',\n begin: '@__' + hljs.IDENT_RE\n },\n {\n begin: '@' + hljs.IDENT_RE // relevance booster on par with CoffeeScript\n },\n {\n begin: hljs.IDENT_RE + '\\\\\\\\' + hljs.IDENT_RE // inst\\method\n }\n ];\n SUBST.contains = EXPRESSIONS;\n\n var TITLE = hljs.inherit(hljs.TITLE_MODE, {begin: JS_IDENT_RE});\n var PARAMS_RE = '(\\\\(.*\\\\))?\\\\s*\\\\B[-=]>';\n var PARAMS = {\n className: 'params',\n begin: '\\\\([^\\\\(]', returnBegin: true,\n /* We need another contained nameless mode to not have every nested\n pair of parens to be called \"params\" */\n contains: [{\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n contains: ['self'].concat(EXPRESSIONS)\n }]\n };\n\n return {\n aliases: ['moon'],\n keywords: KEYWORDS,\n illegal: /\\/\\*/,\n contains: EXPRESSIONS.concat([\n hljs.COMMENT('--', '$'),\n {\n className: 'function', // function: -> =>\n begin: '^\\\\s*' + JS_IDENT_RE + '\\\\s*=\\\\s*' + PARAMS_RE, end: '[-=]>',\n returnBegin: true,\n contains: [TITLE, PARAMS]\n },\n {\n begin: /[\\(,:=]\\s*/, // anonymous function start\n relevance: 0,\n contains: [\n {\n className: 'function',\n begin: PARAMS_RE, end: '[-=]>',\n returnBegin: true,\n contains: [PARAMS]\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class',\n end: '$',\n illegal: /[:=\"\\[\\]]/,\n contains: [\n {\n beginKeywords: 'extends',\n endsWithParent: true,\n illegal: /[:=\"\\[\\]]/,\n contains: [TITLE]\n },\n TITLE\n ]\n },\n {\n className: 'name', // table\n begin: JS_IDENT_RE + ':', end: ':',\n returnBegin: true, returnEnd: true,\n relevance: 0\n }\n ])\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/moonscript.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","built_in","JS_IDENT_RE","SUBST","className","begin","end","keywords","EXPRESSIONS","inherit","C_NUMBER_MODE","starts","relevance","variants","contains","BACKSLASH_ESCAPE","IDENT_RE","TITLE","TITLE_MODE","PARAMS","returnBegin","concat","aliases","illegal","COMMENT","beginKeywords","endsWithParent","returnEnd"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QAEE,6IAEFC,QACE,iBACFC,SACE,qRAKAC,EAAc,2BACdC,EAAQ,CACVC,UAAW,QACXC,MAAO,MAAOC,IAAK,IACnBC,SAAUT,GAERU,EAAc,CAChBX,EAAKY,QAAQZ,EAAKa,cAChB,CAACC,OAAQ,CAACL,IAAK,WAAYM,UAAW,KACxC,CACER,UAAW,SACXS,SAAU,CACR,CACER,MAAO,IAAKC,IAAK,IACjBQ,SAAU,CAACjB,EAAKkB,mBAElB,CACEV,MAAO,IAAKC,IAAK,IACjBQ,SAAU,CAACjB,EAAKkB,iBAAkBZ,MAIxC,CACEC,UAAW,WACXC,MAAO,MAAQR,EAAKmB,UAEtB,CACEX,MAAO,IAAMR,EAAKmB,UAEpB,CACEX,MAAOR,EAAKmB,SAAW,OAASnB,EAAKmB,WAGzCb,EAAMW,SAAWN,EAEjB,IAAIS,EAAQpB,EAAKY,QAAQZ,EAAKqB,WAAY,CAACb,MAAOH,IAE9CiB,EAAS,CACXf,UAAW,SACXC,MAAO,YAAae,aAAa,EAGjCN,SAAU,CAAC,CACTT,MAAO,KAAMC,IAAK,KAClBC,SAAUT,EACVgB,SAAU,CAAC,QAAQO,OAAOb,MAI9B,MAAO,CACLc,QAAS,CAAC,QACVf,SAAUT,EACVyB,QAAS,OACTT,SAAUN,EAAYa,OAAO,CAC3BxB,EAAK2B,QAAQ,KAAM,KACnB,CACEpB,UAAW,WACXC,MAAO,QAAUH,EAAV,mCAAiDI,IAAK,QAC7Dc,aAAa,EACbN,SAAU,CAACG,EAAOE,IAEpB,CACEd,MAAO,aACPO,UAAW,EACXE,SAAU,CACR,CACEV,UAAW,WACXC,MA/BM,0BA+BYC,IAAK,QACvBc,aAAa,EACbN,SAAU,CAACK,MAIjB,CACEf,UAAW,QACXqB,cAAe,QACfnB,IAAK,IACLiB,QAAS,YACTT,SAAU,CACR,CACEW,cAAe,UACfC,gBAAgB,EAChBH,QAAS,YACTT,SAAU,CAACG,IAEbA,IAGJ,CACEb,UAAW,OACXC,MAAOH,EAAc,IAAKI,IAAK,IAC/Bc,aAAa,EAAMO,WAAW,EAC9Bf,UAAW","file":"highlight/moonscript.js?v=55d2478df875c48f0655","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n // Moonscript keywords\n 'if then not for in while do return else elseif break continue switch and or ' +\n 'unless when class extends super local import export from using',\n literal:\n 'true false nil',\n built_in:\n '_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load ' +\n 'loadfile loadstring module next pairs pcall print rawequal rawget rawset require ' +\n 'select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug ' +\n 'io math os package string table'\n };\n var JS_IDENT_RE = '[A-Za-z$_][0-9A-Za-z$_]*';\n var SUBST = {\n className: 'subst',\n begin: /#\\{/, end: /}/,\n keywords: KEYWORDS\n };\n var EXPRESSIONS = [\n hljs.inherit(hljs.C_NUMBER_MODE,\n {starts: {end: '(\\\\s*/)?', relevance: 0}}), // a number tries to eat the following slash to prevent treating it as a regexp\n {\n className: 'string',\n variants: [\n {\n begin: /'/, end: /'/,\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: /\"/, end: /\"/,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST]\n }\n ]\n },\n {\n className: 'built_in',\n begin: '@__' + hljs.IDENT_RE\n },\n {\n begin: '@' + hljs.IDENT_RE // relevance booster on par with CoffeeScript\n },\n {\n begin: hljs.IDENT_RE + '\\\\\\\\' + hljs.IDENT_RE // inst\\method\n }\n ];\n SUBST.contains = EXPRESSIONS;\n\n var TITLE = hljs.inherit(hljs.TITLE_MODE, {begin: JS_IDENT_RE});\n var PARAMS_RE = '(\\\\(.*\\\\))?\\\\s*\\\\B[-=]>';\n var PARAMS = {\n className: 'params',\n begin: '\\\\([^\\\\(]', returnBegin: true,\n /* We need another contained nameless mode to not have every nested\n pair of parens to be called \"params\" */\n contains: [{\n begin: /\\(/, end: /\\)/,\n keywords: KEYWORDS,\n contains: ['self'].concat(EXPRESSIONS)\n }]\n };\n\n return {\n aliases: ['moon'],\n keywords: KEYWORDS,\n illegal: /\\/\\*/,\n contains: EXPRESSIONS.concat([\n hljs.COMMENT('--', '$'),\n {\n className: 'function', // function: -> =>\n begin: '^\\\\s*' + JS_IDENT_RE + '\\\\s*=\\\\s*' + PARAMS_RE, end: '[-=]>',\n returnBegin: true,\n contains: [TITLE, PARAMS]\n },\n {\n begin: /[\\(,:=]\\s*/, // anonymous function start\n relevance: 0,\n contains: [\n {\n className: 'function',\n begin: PARAMS_RE, end: '[-=]>',\n returnBegin: true,\n contains: [PARAMS]\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class',\n end: '$',\n illegal: /[:=\"\\[\\]]/,\n contains: [\n {\n beginKeywords: 'extends',\n endsWithParent: true,\n illegal: /[:=\"\\[\\]]/,\n contains: [TITLE]\n },\n TITLE\n ]\n },\n {\n className: 'name', // table\n begin: JS_IDENT_RE + ':', end: ':',\n returnBegin: true, returnEnd: true,\n relevance: 0\n }\n ])\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/n1ql.js b/js/highlight/n1ql.js
index c82e080d2..297860e96 100644
--- a/js/highlight/n1ql.js
+++ b/js/highlight/n1ql.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[113],{479:function(e,t){e.exports=function(e){return{case_insensitive:!0,contains:[{beginKeywords:"build create index delete drop explain infer|10 insert merge prepare select update upsert|10",end:/;/,endsWithParent:!0,keywords:{keyword:"all alter analyze and any array as asc begin between binary boolean break bucket build by call case cast cluster collate collection commit connect continue correlate cover create database dataset datastore declare decrement delete derived desc describe distinct do drop each element else end every except exclude execute exists explain fetch first flatten for force from function grant group gsi having if ignore ilike in include increment index infer inline inner insert intersect into is join key keys keyspace known last left let letting like limit lsm map mapping matched materialized merge minus namespace nest not number object offset on option or order outer over parse partition password path pool prepare primary private privilege procedure public raw realm reduce rename return returning revoke right role rollback satisfies schema select self semi set show some start statistics string system then to transaction trigger truncate under union unique unknown unnest unset update upsert use user using validate value valued values via view when where while with within work xor",literal:"true false null missing|5",built_in:"array_agg array_append array_concat array_contains array_count array_distinct array_ifnull array_length array_max array_min array_position array_prepend array_put array_range array_remove array_repeat array_replace array_reverse array_sort array_sum avg count max min sum greatest least ifmissing ifmissingornull ifnull missingif nullif ifinf ifnan ifnanorinf naninf neginfif posinfif clock_millis clock_str date_add_millis date_add_str date_diff_millis date_diff_str date_part_millis date_part_str date_trunc_millis date_trunc_str duration_to_str millis str_to_millis millis_to_str millis_to_utc millis_to_zone_name now_millis now_str str_to_duration str_to_utc str_to_zone_name decode_json encode_json encoded_size poly_length base64 base64_encode base64_decode meta uuid abs acos asin atan atan2 ceil cos degrees e exp ln log floor pi power radians random round sign sin sqrt tan trunc object_length object_names object_pairs object_inner_pairs object_values object_inner_values object_add object_put object_remove object_unwrap regexp_contains regexp_like regexp_position regexp_replace contains initcap length lower ltrim position repeat replace rtrim split substr title trim upper isarray isatom isboolean isnumber isobject isstring type toarray toatom toboolean tonumber toobject tostring"},contains:[{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:"symbol",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE],relevance:2},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_BLOCK_COMMENT_MODE]}}}}]);
-//# sourceMappingURL=n1ql.js.map?v=819e9f111e7e6fcc1b0c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[113],{480:function(e,t){e.exports=function(e){return{case_insensitive:!0,contains:[{beginKeywords:"build create index delete drop explain infer|10 insert merge prepare select update upsert|10",end:/;/,endsWithParent:!0,keywords:{keyword:"all alter analyze and any array as asc begin between binary boolean break bucket build by call case cast cluster collate collection commit connect continue correlate cover create database dataset datastore declare decrement delete derived desc describe distinct do drop each element else end every except exclude execute exists explain fetch first flatten for force from function grant group gsi having if ignore ilike in include increment index infer inline inner insert intersect into is join key keys keyspace known last left let letting like limit lsm map mapping matched materialized merge minus namespace nest not number object offset on option or order outer over parse partition password path pool prepare primary private privilege procedure public raw realm reduce rename return returning revoke right role rollback satisfies schema select self semi set show some start statistics string system then to transaction trigger truncate under union unique unknown unnest unset update upsert use user using validate value valued values via view when where while with within work xor",literal:"true false null missing|5",built_in:"array_agg array_append array_concat array_contains array_count array_distinct array_ifnull array_length array_max array_min array_position array_prepend array_put array_range array_remove array_repeat array_replace array_reverse array_sort array_sum avg count max min sum greatest least ifmissing ifmissingornull ifnull missingif nullif ifinf ifnan ifnanorinf naninf neginfif posinfif clock_millis clock_str date_add_millis date_add_str date_diff_millis date_diff_str date_part_millis date_part_str date_trunc_millis date_trunc_str duration_to_str millis str_to_millis millis_to_str millis_to_utc millis_to_zone_name now_millis now_str str_to_duration str_to_utc str_to_zone_name decode_json encode_json encoded_size poly_length base64 base64_encode base64_decode meta uuid abs acos asin atan atan2 ceil cos degrees e exp ln log floor pi power radians random round sign sin sqrt tan trunc object_length object_names object_pairs object_inner_pairs object_values object_inner_values object_add object_put object_remove object_unwrap regexp_contains regexp_like regexp_position regexp_replace contains initcap length lower ltrim position repeat replace rtrim split substr title trim upper isarray isatom isboolean isnumber isobject isstring type toarray toatom toboolean tonumber toobject tostring"},contains:[{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:"symbol",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE],relevance:2},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_BLOCK_COMMENT_MODE]}}}}]);
+//# sourceMappingURL=n1ql.js.map?v=3eadea73e515fd20b16e \ No newline at end of file
diff --git a/js/highlight/n1ql.js.map b/js/highlight/n1ql.js.map
index d63510a3d..9d72d3265 100644
--- a/js/highlight/n1ql.js.map
+++ b/js/highlight/n1ql.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/n1ql.js"],"names":["module","exports","hljs","case_insensitive","contains","beginKeywords","end","endsWithParent","keywords","keyword","literal","built_in","className","begin","BACKSLASH_ESCAPE","relevance","C_NUMBER_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACR,CACEC,cACE,+FACFC,IAAK,IAAKC,gBAAgB,EAC1BC,SAAU,CAERC,QACE,gkCAaFC,QACE,4BAEFC,SACE,mxCAaJP,SAAU,CACR,CACEQ,UAAW,SACXC,MAAO,IAAMP,IAAK,IAClBF,SAAU,CAACF,EAAKY,kBAChBC,UAAW,GAEb,CACEH,UAAW,SACXC,MAAO,IAAKP,IAAK,IACjBF,SAAU,CAACF,EAAKY,kBAChBC,UAAW,GAEb,CACEH,UAAW,SACXC,MAAO,IAAKP,IAAK,IACjBF,SAAU,CAACF,EAAKY,kBAChBC,UAAW,GAEbb,EAAKc,cACLd,EAAKe,uBAGTf,EAAKe","file":"highlight/n1ql.js?v=819e9f111e7e6fcc1b0c","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n contains: [\n {\n beginKeywords:\n 'build create index delete drop explain infer|10 insert merge prepare select update upsert|10',\n end: /;/, endsWithParent: true,\n keywords: {\n // Taken from http://developer.couchbase.com/documentation/server/current/n1ql/n1ql-language-reference/reservedwords.html\n keyword:\n 'all alter analyze and any array as asc begin between binary boolean break bucket build by call ' +\n 'case cast cluster collate collection commit connect continue correlate cover create database ' +\n 'dataset datastore declare decrement delete derived desc describe distinct do drop each element ' +\n 'else end every except exclude execute exists explain fetch first flatten for force from ' +\n 'function grant group gsi having if ignore ilike in include increment index infer inline inner ' +\n 'insert intersect into is join key keys keyspace known last left let letting like limit lsm map ' +\n 'mapping matched materialized merge minus namespace nest not number object offset on ' +\n 'option or order outer over parse partition password path pool prepare primary private privilege ' +\n 'procedure public raw realm reduce rename return returning revoke right role rollback satisfies ' +\n 'schema select self semi set show some start statistics string system then to transaction trigger ' +\n 'truncate under union unique unknown unnest unset update upsert use user using validate value ' +\n 'valued values via view when where while with within work xor',\n // Taken from http://developer.couchbase.com/documentation/server/4.5/n1ql/n1ql-language-reference/literals.html\n literal:\n 'true false null missing|5',\n // Taken from http://developer.couchbase.com/documentation/server/4.5/n1ql/n1ql-language-reference/functions.html\n built_in:\n 'array_agg array_append array_concat array_contains array_count array_distinct array_ifnull array_length ' +\n 'array_max array_min array_position array_prepend array_put array_range array_remove array_repeat array_replace ' +\n 'array_reverse array_sort array_sum avg count max min sum greatest least ifmissing ifmissingornull ifnull ' +\n 'missingif nullif ifinf ifnan ifnanorinf naninf neginfif posinfif clock_millis clock_str date_add_millis ' +\n 'date_add_str date_diff_millis date_diff_str date_part_millis date_part_str date_trunc_millis date_trunc_str ' +\n 'duration_to_str millis str_to_millis millis_to_str millis_to_utc millis_to_zone_name now_millis now_str ' +\n 'str_to_duration str_to_utc str_to_zone_name decode_json encode_json encoded_size poly_length base64 base64_encode ' +\n 'base64_decode meta uuid abs acos asin atan atan2 ceil cos degrees e exp ln log floor pi power radians random ' +\n 'round sign sin sqrt tan trunc object_length object_names object_pairs object_inner_pairs object_values ' +\n 'object_inner_values object_add object_put object_remove object_unwrap regexp_contains regexp_like regexp_position ' +\n 'regexp_replace contains initcap length lower ltrim position repeat replace rtrim split substr title trim upper ' +\n 'isarray isatom isboolean isnumber isobject isstring type toarray toatom toboolean tonumber toobject tostring'\n },\n contains: [\n {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 0\n },\n {\n className: 'string',\n begin: '\"', end: '\"',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 0\n },\n {\n className: 'symbol',\n begin: '`', end: '`',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 2\n },\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/n1ql.js"],"names":["module","exports","hljs","case_insensitive","contains","beginKeywords","end","endsWithParent","keywords","keyword","literal","built_in","className","begin","BACKSLASH_ESCAPE","relevance","C_NUMBER_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACR,CACEC,cACE,+FACFC,IAAK,IAAKC,gBAAgB,EAC1BC,SAAU,CAERC,QACE,gkCAaFC,QACE,4BAEFC,SACE,mxCAaJP,SAAU,CACR,CACEQ,UAAW,SACXC,MAAO,IAAMP,IAAK,IAClBF,SAAU,CAACF,EAAKY,kBAChBC,UAAW,GAEb,CACEH,UAAW,SACXC,MAAO,IAAKP,IAAK,IACjBF,SAAU,CAACF,EAAKY,kBAChBC,UAAW,GAEb,CACEH,UAAW,SACXC,MAAO,IAAKP,IAAK,IACjBF,SAAU,CAACF,EAAKY,kBAChBC,UAAW,GAEbb,EAAKc,cACLd,EAAKe,uBAGTf,EAAKe","file":"highlight/n1ql.js?v=3eadea73e515fd20b16e","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n contains: [\n {\n beginKeywords:\n 'build create index delete drop explain infer|10 insert merge prepare select update upsert|10',\n end: /;/, endsWithParent: true,\n keywords: {\n // Taken from http://developer.couchbase.com/documentation/server/current/n1ql/n1ql-language-reference/reservedwords.html\n keyword:\n 'all alter analyze and any array as asc begin between binary boolean break bucket build by call ' +\n 'case cast cluster collate collection commit connect continue correlate cover create database ' +\n 'dataset datastore declare decrement delete derived desc describe distinct do drop each element ' +\n 'else end every except exclude execute exists explain fetch first flatten for force from ' +\n 'function grant group gsi having if ignore ilike in include increment index infer inline inner ' +\n 'insert intersect into is join key keys keyspace known last left let letting like limit lsm map ' +\n 'mapping matched materialized merge minus namespace nest not number object offset on ' +\n 'option or order outer over parse partition password path pool prepare primary private privilege ' +\n 'procedure public raw realm reduce rename return returning revoke right role rollback satisfies ' +\n 'schema select self semi set show some start statistics string system then to transaction trigger ' +\n 'truncate under union unique unknown unnest unset update upsert use user using validate value ' +\n 'valued values via view when where while with within work xor',\n // Taken from http://developer.couchbase.com/documentation/server/4.5/n1ql/n1ql-language-reference/literals.html\n literal:\n 'true false null missing|5',\n // Taken from http://developer.couchbase.com/documentation/server/4.5/n1ql/n1ql-language-reference/functions.html\n built_in:\n 'array_agg array_append array_concat array_contains array_count array_distinct array_ifnull array_length ' +\n 'array_max array_min array_position array_prepend array_put array_range array_remove array_repeat array_replace ' +\n 'array_reverse array_sort array_sum avg count max min sum greatest least ifmissing ifmissingornull ifnull ' +\n 'missingif nullif ifinf ifnan ifnanorinf naninf neginfif posinfif clock_millis clock_str date_add_millis ' +\n 'date_add_str date_diff_millis date_diff_str date_part_millis date_part_str date_trunc_millis date_trunc_str ' +\n 'duration_to_str millis str_to_millis millis_to_str millis_to_utc millis_to_zone_name now_millis now_str ' +\n 'str_to_duration str_to_utc str_to_zone_name decode_json encode_json encoded_size poly_length base64 base64_encode ' +\n 'base64_decode meta uuid abs acos asin atan atan2 ceil cos degrees e exp ln log floor pi power radians random ' +\n 'round sign sin sqrt tan trunc object_length object_names object_pairs object_inner_pairs object_values ' +\n 'object_inner_values object_add object_put object_remove object_unwrap regexp_contains regexp_like regexp_position ' +\n 'regexp_replace contains initcap length lower ltrim position repeat replace rtrim split substr title trim upper ' +\n 'isarray isatom isboolean isnumber isobject isstring type toarray toatom toboolean tonumber toobject tostring'\n },\n contains: [\n {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 0\n },\n {\n className: 'string',\n begin: '\"', end: '\"',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 0\n },\n {\n className: 'symbol',\n begin: '`', end: '`',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 2\n },\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/nginx.js b/js/highlight/nginx.js
index 65f74e238..08c68bd0b 100644
--- a/js/highlight/nginx.js
+++ b/js/highlight/nginx.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[114],{480:function(e,n){e.exports=function(e){var n={className:"variable",variants:[{begin:/\$\d+/},{begin:/\$\{/,end:/}/},{begin:"[\\$\\@]"+e.UNDERSCORE_IDENT_RE}]},a={endsWithParent:!0,lexemes:"[a-z/_]+",keywords:{literal:"on off yes no true false none blocked debug info notice warn error crit select break last permanent redirect kqueue rtsig epoll poll /dev/poll"},relevance:0,illegal:"=>",contains:[e.HASH_COMMENT_MODE,{className:"string",contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:/"/,end:/"/},{begin:/'/,end:/'/}]},{begin:"([a-z]+):/",end:"\\s",endsWithParent:!0,excludeEnd:!0,contains:[n]},{className:"regexp",contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:"\\s\\^",end:"\\s|{|;",returnEnd:!0},{begin:"~\\*?\\s+",end:"\\s|{|;",returnEnd:!0},{begin:"\\*(\\.[a-z\\-]+)+"},{begin:"([a-z\\-]+\\.)+\\*"}]},{className:"number",begin:"\\b\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b"},{className:"number",begin:"\\b\\d+[kKmMgGdshdwy]*\\b",relevance:0},n]};return{aliases:["nginxconf"],contains:[e.HASH_COMMENT_MODE,{begin:e.UNDERSCORE_IDENT_RE+"\\s+{",returnBegin:!0,end:"{",contains:[{className:"section",begin:e.UNDERSCORE_IDENT_RE}],relevance:0},{begin:e.UNDERSCORE_IDENT_RE+"\\s",end:";|{",returnBegin:!0,contains:[{className:"attribute",begin:e.UNDERSCORE_IDENT_RE,starts:a}],relevance:0}],illegal:"[^\\s\\}]"}}}}]);
-//# sourceMappingURL=nginx.js.map?v=9e45882f2d58060a2f02 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[114],{481:function(e,n){e.exports=function(e){var n={className:"variable",variants:[{begin:/\$\d+/},{begin:/\$\{/,end:/}/},{begin:"[\\$\\@]"+e.UNDERSCORE_IDENT_RE}]},a={endsWithParent:!0,lexemes:"[a-z/_]+",keywords:{literal:"on off yes no true false none blocked debug info notice warn error crit select break last permanent redirect kqueue rtsig epoll poll /dev/poll"},relevance:0,illegal:"=>",contains:[e.HASH_COMMENT_MODE,{className:"string",contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:/"/,end:/"/},{begin:/'/,end:/'/}]},{begin:"([a-z]+):/",end:"\\s",endsWithParent:!0,excludeEnd:!0,contains:[n]},{className:"regexp",contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:"\\s\\^",end:"\\s|{|;",returnEnd:!0},{begin:"~\\*?\\s+",end:"\\s|{|;",returnEnd:!0},{begin:"\\*(\\.[a-z\\-]+)+"},{begin:"([a-z\\-]+\\.)+\\*"}]},{className:"number",begin:"\\b\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b"},{className:"number",begin:"\\b\\d+[kKmMgGdshdwy]*\\b",relevance:0},n]};return{aliases:["nginxconf"],contains:[e.HASH_COMMENT_MODE,{begin:e.UNDERSCORE_IDENT_RE+"\\s+{",returnBegin:!0,end:"{",contains:[{className:"section",begin:e.UNDERSCORE_IDENT_RE}],relevance:0},{begin:e.UNDERSCORE_IDENT_RE+"\\s",end:";|{",returnBegin:!0,contains:[{className:"attribute",begin:e.UNDERSCORE_IDENT_RE,starts:a}],relevance:0}],illegal:"[^\\s\\}]"}}}}]);
+//# sourceMappingURL=nginx.js.map?v=49b0f012825d96845ad4 \ No newline at end of file
diff --git a/js/highlight/nginx.js.map b/js/highlight/nginx.js.map
index 43992aed6..0bda202e4 100644
--- a/js/highlight/nginx.js.map
+++ b/js/highlight/nginx.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/nginx.js"],"names":["module","exports","hljs","VAR","className","variants","begin","end","UNDERSCORE_IDENT_RE","DEFAULT","endsWithParent","lexemes","keywords","literal","relevance","illegal","contains","HASH_COMMENT_MODE","BACKSLASH_ESCAPE","excludeEnd","returnEnd","aliases","returnBegin","starts"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAM,CACRC,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,SACR,CAACA,MAAO,OAAQC,IAAK,KACrB,CAACD,MAAO,WAAaJ,EAAKM,uBAG1BC,EAAU,CACZC,gBAAgB,EAChBC,QAAS,WACTC,SAAU,CACRC,QACE,kJAGJC,UAAW,EACXC,QAAS,KACTC,SAAU,CACRd,EAAKe,kBACL,CACEb,UAAW,SACXY,SAAU,CAACd,EAAKgB,iBAAkBf,GAClCE,SAAU,CACR,CAACC,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,IAAKC,IAAK,OAItB,CACED,MAAO,aAAcC,IAAK,MAAOG,gBAAgB,EAAMS,YAAY,EACnEH,SAAU,CAACb,IAEb,CACEC,UAAW,SACXY,SAAU,CAACd,EAAKgB,iBAAkBf,GAClCE,SAAU,CACR,CAACC,MAAO,SAAUC,IAAK,UAAWa,WAAW,GAE7C,CAACd,MAAO,YAAaC,IAAK,UAAWa,WAAW,GAEhD,CAACd,MAAO,sBAER,CAACA,MAAO,wBAIZ,CACEF,UAAW,SACXE,MAAO,+DAGT,CACEF,UAAW,SACXE,MAAO,4BACPQ,UAAW,GAEbX,IAIJ,MAAO,CACLkB,QAAS,CAAC,aACVL,SAAU,CACRd,EAAKe,kBACL,CACEX,MAAOJ,EAAKM,oBAAsB,QAASc,aAAa,EACxDf,IAAK,IACLS,SAAU,CACR,CACEZ,UAAW,UACXE,MAAOJ,EAAKM,sBAGhBM,UAAW,GAEb,CACER,MAAOJ,EAAKM,oBAAsB,MAAOD,IAAK,MAAOe,aAAa,EAClEN,SAAU,CACR,CACEZ,UAAW,YACXE,MAAOJ,EAAKM,oBACZe,OAAQd,IAGZK,UAAW,IAGfC,QAAS","file":"highlight/nginx.js?v=9e45882f2d58060a2f02","sourcesContent":["module.exports = function(hljs) {\n var VAR = {\n className: 'variable',\n variants: [\n {begin: /\\$\\d+/},\n {begin: /\\$\\{/, end: /}/},\n {begin: '[\\\\$\\\\@]' + hljs.UNDERSCORE_IDENT_RE}\n ]\n };\n var DEFAULT = {\n endsWithParent: true,\n lexemes: '[a-z/_]+',\n keywords: {\n literal:\n 'on off yes no true false none blocked debug info notice warn error crit ' +\n 'select break last permanent redirect kqueue rtsig epoll poll /dev/poll'\n },\n relevance: 0,\n illegal: '=>',\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, VAR],\n variants: [\n {begin: /\"/, end: /\"/},\n {begin: /'/, end: /'/}\n ]\n },\n // this swallows entire URLs to avoid detecting numbers within\n {\n begin: '([a-z]+):/', end: '\\\\s', endsWithParent: true, excludeEnd: true,\n contains: [VAR]\n },\n {\n className: 'regexp',\n contains: [hljs.BACKSLASH_ESCAPE, VAR],\n variants: [\n {begin: \"\\\\s\\\\^\", end: \"\\\\s|{|;\", returnEnd: true},\n // regexp locations (~, ~*)\n {begin: \"~\\\\*?\\\\s+\", end: \"\\\\s|{|;\", returnEnd: true},\n // *.example.com\n {begin: \"\\\\*(\\\\.[a-z\\\\-]+)+\"},\n // sub.example.*\n {begin: \"([a-z\\\\-]+\\\\.)+\\\\*\"}\n ]\n },\n // IP\n {\n className: 'number',\n begin: '\\\\b\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}(:\\\\d{1,5})?\\\\b'\n },\n // units\n {\n className: 'number',\n begin: '\\\\b\\\\d+[kKmMgGdshdwy]*\\\\b',\n relevance: 0\n },\n VAR\n ]\n };\n\n return {\n aliases: ['nginxconf'],\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s+{', returnBegin: true,\n end: '{',\n contains: [\n {\n className: 'section',\n begin: hljs.UNDERSCORE_IDENT_RE\n }\n ],\n relevance: 0\n },\n {\n begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s', end: ';|{', returnBegin: true,\n contains: [\n {\n className: 'attribute',\n begin: hljs.UNDERSCORE_IDENT_RE,\n starts: DEFAULT\n }\n ],\n relevance: 0\n }\n ],\n illegal: '[^\\\\s\\\\}]'\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/nginx.js"],"names":["module","exports","hljs","VAR","className","variants","begin","end","UNDERSCORE_IDENT_RE","DEFAULT","endsWithParent","lexemes","keywords","literal","relevance","illegal","contains","HASH_COMMENT_MODE","BACKSLASH_ESCAPE","excludeEnd","returnEnd","aliases","returnBegin","starts"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAM,CACRC,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,SACR,CAACA,MAAO,OAAQC,IAAK,KACrB,CAACD,MAAO,WAAaJ,EAAKM,uBAG1BC,EAAU,CACZC,gBAAgB,EAChBC,QAAS,WACTC,SAAU,CACRC,QACE,kJAGJC,UAAW,EACXC,QAAS,KACTC,SAAU,CACRd,EAAKe,kBACL,CACEb,UAAW,SACXY,SAAU,CAACd,EAAKgB,iBAAkBf,GAClCE,SAAU,CACR,CAACC,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,IAAKC,IAAK,OAItB,CACED,MAAO,aAAcC,IAAK,MAAOG,gBAAgB,EAAMS,YAAY,EACnEH,SAAU,CAACb,IAEb,CACEC,UAAW,SACXY,SAAU,CAACd,EAAKgB,iBAAkBf,GAClCE,SAAU,CACR,CAACC,MAAO,SAAUC,IAAK,UAAWa,WAAW,GAE7C,CAACd,MAAO,YAAaC,IAAK,UAAWa,WAAW,GAEhD,CAACd,MAAO,sBAER,CAACA,MAAO,wBAIZ,CACEF,UAAW,SACXE,MAAO,+DAGT,CACEF,UAAW,SACXE,MAAO,4BACPQ,UAAW,GAEbX,IAIJ,MAAO,CACLkB,QAAS,CAAC,aACVL,SAAU,CACRd,EAAKe,kBACL,CACEX,MAAOJ,EAAKM,oBAAsB,QAASc,aAAa,EACxDf,IAAK,IACLS,SAAU,CACR,CACEZ,UAAW,UACXE,MAAOJ,EAAKM,sBAGhBM,UAAW,GAEb,CACER,MAAOJ,EAAKM,oBAAsB,MAAOD,IAAK,MAAOe,aAAa,EAClEN,SAAU,CACR,CACEZ,UAAW,YACXE,MAAOJ,EAAKM,oBACZe,OAAQd,IAGZK,UAAW,IAGfC,QAAS","file":"highlight/nginx.js?v=49b0f012825d96845ad4","sourcesContent":["module.exports = function(hljs) {\n var VAR = {\n className: 'variable',\n variants: [\n {begin: /\\$\\d+/},\n {begin: /\\$\\{/, end: /}/},\n {begin: '[\\\\$\\\\@]' + hljs.UNDERSCORE_IDENT_RE}\n ]\n };\n var DEFAULT = {\n endsWithParent: true,\n lexemes: '[a-z/_]+',\n keywords: {\n literal:\n 'on off yes no true false none blocked debug info notice warn error crit ' +\n 'select break last permanent redirect kqueue rtsig epoll poll /dev/poll'\n },\n relevance: 0,\n illegal: '=>',\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, VAR],\n variants: [\n {begin: /\"/, end: /\"/},\n {begin: /'/, end: /'/}\n ]\n },\n // this swallows entire URLs to avoid detecting numbers within\n {\n begin: '([a-z]+):/', end: '\\\\s', endsWithParent: true, excludeEnd: true,\n contains: [VAR]\n },\n {\n className: 'regexp',\n contains: [hljs.BACKSLASH_ESCAPE, VAR],\n variants: [\n {begin: \"\\\\s\\\\^\", end: \"\\\\s|{|;\", returnEnd: true},\n // regexp locations (~, ~*)\n {begin: \"~\\\\*?\\\\s+\", end: \"\\\\s|{|;\", returnEnd: true},\n // *.example.com\n {begin: \"\\\\*(\\\\.[a-z\\\\-]+)+\"},\n // sub.example.*\n {begin: \"([a-z\\\\-]+\\\\.)+\\\\*\"}\n ]\n },\n // IP\n {\n className: 'number',\n begin: '\\\\b\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}(:\\\\d{1,5})?\\\\b'\n },\n // units\n {\n className: 'number',\n begin: '\\\\b\\\\d+[kKmMgGdshdwy]*\\\\b',\n relevance: 0\n },\n VAR\n ]\n };\n\n return {\n aliases: ['nginxconf'],\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s+{', returnBegin: true,\n end: '{',\n contains: [\n {\n className: 'section',\n begin: hljs.UNDERSCORE_IDENT_RE\n }\n ],\n relevance: 0\n },\n {\n begin: hljs.UNDERSCORE_IDENT_RE + '\\\\s', end: ';|{', returnBegin: true,\n contains: [\n {\n className: 'attribute',\n begin: hljs.UNDERSCORE_IDENT_RE,\n starts: DEFAULT\n }\n ],\n relevance: 0\n }\n ],\n illegal: '[^\\\\s\\\\}]'\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/nimrod.js b/js/highlight/nimrod.js
index 6ede6569b..5d9b8201e 100644
--- a/js/highlight/nimrod.js
+++ b/js/highlight/nimrod.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[115],{481:function(e,n){e.exports=function(e){return{aliases:["nim"],keywords:{keyword:"addr and as asm bind block break case cast const continue converter discard distinct div do elif else end enum except export finally for from generic if import in include interface is isnot iterator let macro method mixin mod nil not notin object of or out proc ptr raise ref return shl shr static template try tuple type using var when while with without xor yield",literal:"shared guarded stdin stdout stderr result true false",built_in:"int int8 int16 int32 int64 uint uint8 uint16 uint32 uint64 float float32 float64 bool char string cstring pointer expr stmt void auto any range array openarray varargs seq set clong culong cchar cschar cshort cint csize clonglong cfloat cdouble clongdouble cuchar cushort cuint culonglong cstringarray semistatic"},contains:[{className:"meta",begin:/{\./,end:/\.}/,relevance:10},{className:"string",begin:/[a-zA-Z]\w*"/,end:/"/,contains:[{begin:/""/}]},{className:"string",begin:/([a-zA-Z]\w*)?"""/,end:/"""/},e.QUOTE_STRING_MODE,{className:"type",begin:/\b[A-Z]\w+\b/,relevance:0},{className:"number",relevance:0,variants:[{begin:/\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},{begin:/\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(\d[_\d]*)('?[iIuUfF](8|16|32|64))?/}]},e.HASH_COMMENT_MODE]}}}}]);
-//# sourceMappingURL=nimrod.js.map?v=930e05a008dfa1d6ac01 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[115],{482:function(e,n){e.exports=function(e){return{aliases:["nim"],keywords:{keyword:"addr and as asm bind block break case cast const continue converter discard distinct div do elif else end enum except export finally for from generic if import in include interface is isnot iterator let macro method mixin mod nil not notin object of or out proc ptr raise ref return shl shr static template try tuple type using var when while with without xor yield",literal:"shared guarded stdin stdout stderr result true false",built_in:"int int8 int16 int32 int64 uint uint8 uint16 uint32 uint64 float float32 float64 bool char string cstring pointer expr stmt void auto any range array openarray varargs seq set clong culong cchar cschar cshort cint csize clonglong cfloat cdouble clongdouble cuchar cushort cuint culonglong cstringarray semistatic"},contains:[{className:"meta",begin:/{\./,end:/\.}/,relevance:10},{className:"string",begin:/[a-zA-Z]\w*"/,end:/"/,contains:[{begin:/""/}]},{className:"string",begin:/([a-zA-Z]\w*)?"""/,end:/"""/},e.QUOTE_STRING_MODE,{className:"type",begin:/\b[A-Z]\w+\b/,relevance:0},{className:"number",relevance:0,variants:[{begin:/\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},{begin:/\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(\d[_\d]*)('?[iIuUfF](8|16|32|64))?/}]},e.HASH_COMMENT_MODE]}}}}]);
+//# sourceMappingURL=nimrod.js.map?v=614d2341ad81de37a0a4 \ No newline at end of file
diff --git a/js/highlight/nimrod.js.map b/js/highlight/nimrod.js.map
index 68e4594cf..c5c75e4fb 100644
--- a/js/highlight/nimrod.js.map
+++ b/js/highlight/nimrod.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/nimrod.js"],"names":["module","exports","hljs","aliases","keywords","keyword","literal","built_in","contains","className","begin","end","relevance","QUOTE_STRING_MODE","variants","HASH_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,OACVC,SAAU,CACRC,QACE,gXAMFC,QACE,uDACFC,SACE,4TAMJC,SAAU,CAAE,CACRC,UAAW,OACXC,MAAO,MACPC,IAAK,MACLC,UAAW,IACV,CACDH,UAAW,SACXC,MAAO,eACPC,IAAK,IACLH,SAAU,CAAC,CAACE,MAAO,QAClB,CACDD,UAAW,SACXC,MAAO,oBACPC,IAAK,OAEPT,EAAKW,kBACL,CACEJ,UAAW,OACXC,MAAO,eACPE,UAAW,GACV,CACDH,UAAW,SACXG,UAAW,EACXE,SAAU,CACR,CAACJ,MAAO,4DACR,CAACA,MAAO,+CACR,CAACA,MAAO,iDACR,CAACA,MAAO,2CAGZR,EAAKa","file":"highlight/nimrod.js?v=930e05a008dfa1d6ac01","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['nim'],\n keywords: {\n keyword:\n 'addr and as asm bind block break case cast const continue converter ' +\n 'discard distinct div do elif else end enum except export finally ' +\n 'for from generic if import in include interface is isnot iterator ' +\n 'let macro method mixin mod nil not notin object of or out proc ptr ' +\n 'raise ref return shl shr static template try tuple type using var ' +\n 'when while with without xor yield',\n literal:\n 'shared guarded stdin stdout stderr result true false',\n built_in:\n 'int int8 int16 int32 int64 uint uint8 uint16 uint32 uint64 float ' +\n 'float32 float64 bool char string cstring pointer expr stmt void ' +\n 'auto any range array openarray varargs seq set clong culong cchar ' +\n 'cschar cshort cint csize clonglong cfloat cdouble clongdouble ' +\n 'cuchar cushort cuint culonglong cstringarray semistatic'\n },\n contains: [ {\n className: 'meta', // Actually pragma\n begin: /{\\./,\n end: /\\.}/,\n relevance: 10\n }, {\n className: 'string',\n begin: /[a-zA-Z]\\w*\"/,\n end: /\"/,\n contains: [{begin: /\"\"/}]\n }, {\n className: 'string',\n begin: /([a-zA-Z]\\w*)?\"\"\"/,\n end: /\"\"\"/\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'type',\n begin: /\\b[A-Z]\\w+\\b/,\n relevance: 0\n }, {\n className: 'number',\n relevance: 0,\n variants: [\n {begin: /\\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},\n {begin: /\\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},\n {begin: /\\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},\n {begin: /\\b(\\d[_\\d]*)('?[iIuUfF](8|16|32|64))?/}\n ]\n },\n hljs.HASH_COMMENT_MODE\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/nimrod.js"],"names":["module","exports","hljs","aliases","keywords","keyword","literal","built_in","contains","className","begin","end","relevance","QUOTE_STRING_MODE","variants","HASH_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,OACVC,SAAU,CACRC,QACE,gXAMFC,QACE,uDACFC,SACE,4TAMJC,SAAU,CAAE,CACRC,UAAW,OACXC,MAAO,MACPC,IAAK,MACLC,UAAW,IACV,CACDH,UAAW,SACXC,MAAO,eACPC,IAAK,IACLH,SAAU,CAAC,CAACE,MAAO,QAClB,CACDD,UAAW,SACXC,MAAO,oBACPC,IAAK,OAEPT,EAAKW,kBACL,CACEJ,UAAW,OACXC,MAAO,eACPE,UAAW,GACV,CACDH,UAAW,SACXG,UAAW,EACXE,SAAU,CACR,CAACJ,MAAO,4DACR,CAACA,MAAO,+CACR,CAACA,MAAO,iDACR,CAACA,MAAO,2CAGZR,EAAKa","file":"highlight/nimrod.js?v=614d2341ad81de37a0a4","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['nim'],\n keywords: {\n keyword:\n 'addr and as asm bind block break case cast const continue converter ' +\n 'discard distinct div do elif else end enum except export finally ' +\n 'for from generic if import in include interface is isnot iterator ' +\n 'let macro method mixin mod nil not notin object of or out proc ptr ' +\n 'raise ref return shl shr static template try tuple type using var ' +\n 'when while with without xor yield',\n literal:\n 'shared guarded stdin stdout stderr result true false',\n built_in:\n 'int int8 int16 int32 int64 uint uint8 uint16 uint32 uint64 float ' +\n 'float32 float64 bool char string cstring pointer expr stmt void ' +\n 'auto any range array openarray varargs seq set clong culong cchar ' +\n 'cschar cshort cint csize clonglong cfloat cdouble clongdouble ' +\n 'cuchar cushort cuint culonglong cstringarray semistatic'\n },\n contains: [ {\n className: 'meta', // Actually pragma\n begin: /{\\./,\n end: /\\.}/,\n relevance: 10\n }, {\n className: 'string',\n begin: /[a-zA-Z]\\w*\"/,\n end: /\"/,\n contains: [{begin: /\"\"/}]\n }, {\n className: 'string',\n begin: /([a-zA-Z]\\w*)?\"\"\"/,\n end: /\"\"\"/\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'type',\n begin: /\\b[A-Z]\\w+\\b/,\n relevance: 0\n }, {\n className: 'number',\n relevance: 0,\n variants: [\n {begin: /\\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},\n {begin: /\\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},\n {begin: /\\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},\n {begin: /\\b(\\d[_\\d]*)('?[iIuUfF](8|16|32|64))?/}\n ]\n },\n hljs.HASH_COMMENT_MODE\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/nix.js b/js/highlight/nix.js
index c55f35a96..e3256f804 100644
--- a/js/highlight/nix.js
+++ b/js/highlight/nix.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[116],{482:function(e,n){e.exports=function(e){var n={keyword:"rec with let in inherit assert if else then",literal:"true false or and null",built_in:"import abort baseNameOf dirOf isNull builtins map removeAttrs throw toString derivation"},t={className:"subst",begin:/\$\{/,end:/}/,keywords:n},i={className:"string",contains:[t],variants:[{begin:"''",end:"''"},{begin:'"',end:'"'}]},s=[e.NUMBER_MODE,e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i,{begin:/[a-zA-Z0-9-_]+(\s*=)/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/\S+/}]}];return t.contains=s,{aliases:["nixos"],keywords:n,contains:s}}}}]);
-//# sourceMappingURL=nix.js.map?v=941a6c647f5f859f2333 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[116],{483:function(e,n){e.exports=function(e){var n={keyword:"rec with let in inherit assert if else then",literal:"true false or and null",built_in:"import abort baseNameOf dirOf isNull builtins map removeAttrs throw toString derivation"},t={className:"subst",begin:/\$\{/,end:/}/,keywords:n},i={className:"string",contains:[t],variants:[{begin:"''",end:"''"},{begin:'"',end:'"'}]},s=[e.NUMBER_MODE,e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i,{begin:/[a-zA-Z0-9-_]+(\s*=)/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/\S+/}]}];return t.contains=s,{aliases:["nixos"],keywords:n,contains:s}}}}]);
+//# sourceMappingURL=nix.js.map?v=543a514ee50dabba2c30 \ No newline at end of file
diff --git a/js/highlight/nix.js.map b/js/highlight/nix.js.map
index f5b52a9a5..617a2b69c 100644
--- a/js/highlight/nix.js.map
+++ b/js/highlight/nix.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/nix.js"],"names":["module","exports","hljs","NIX_KEYWORDS","keyword","literal","built_in","ANTIQUOTE","className","begin","end","keywords","STRING","contains","variants","EXPRESSIONS","NUMBER_MODE","HASH_COMMENT_MODE","C_BLOCK_COMMENT_MODE","returnBegin","relevance","aliases"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAe,CACjBC,QACE,8CACFC,QACE,yBACFC,SACE,2FAGAC,EAAY,CACdC,UAAW,QACXC,MAAO,OACPC,IAAK,IACLC,SAAUR,GAYRS,EAAS,CACXJ,UAAW,SACXK,SAAU,CAACN,GACXO,SAAU,CACR,CAACL,MAAO,KAAMC,IAAK,MACnB,CAACD,MAAO,IAAKC,IAAK,OAGlBK,EAAc,CAChBb,EAAKc,YACLd,EAAKe,kBACLf,EAAKgB,qBACLN,EAtBU,CACVH,MAAO,uBAAwBU,aAAa,EAC5CC,UAAW,EACXP,SAAU,CACR,CACEL,UAAW,OACXC,MAAO,UAoBb,OADAF,EAAUM,SAAWE,EACd,CACLM,QAAS,CAAC,SACVV,SAAUR,EACVU,SAAUE","file":"highlight/nix.js?v=941a6c647f5f859f2333","sourcesContent":["module.exports = function(hljs) {\n var NIX_KEYWORDS = {\n keyword:\n 'rec with let in inherit assert if else then',\n literal:\n 'true false or and null',\n built_in:\n 'import abort baseNameOf dirOf isNull builtins map removeAttrs throw ' +\n 'toString derivation'\n };\n var ANTIQUOTE = {\n className: 'subst',\n begin: /\\$\\{/,\n end: /}/,\n keywords: NIX_KEYWORDS\n };\n var ATTRS = {\n begin: /[a-zA-Z0-9-_]+(\\s*=)/, returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'attr',\n begin: /\\S+/\n }\n ]\n };\n var STRING = {\n className: 'string',\n contains: [ANTIQUOTE],\n variants: [\n {begin: \"''\", end: \"''\"},\n {begin: '\"', end: '\"'}\n ]\n };\n var EXPRESSIONS = [\n hljs.NUMBER_MODE,\n hljs.HASH_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRING,\n ATTRS\n ];\n ANTIQUOTE.contains = EXPRESSIONS;\n return {\n aliases: [\"nixos\"],\n keywords: NIX_KEYWORDS,\n contains: EXPRESSIONS\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/nix.js"],"names":["module","exports","hljs","NIX_KEYWORDS","keyword","literal","built_in","ANTIQUOTE","className","begin","end","keywords","STRING","contains","variants","EXPRESSIONS","NUMBER_MODE","HASH_COMMENT_MODE","C_BLOCK_COMMENT_MODE","returnBegin","relevance","aliases"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAe,CACjBC,QACE,8CACFC,QACE,yBACFC,SACE,2FAGAC,EAAY,CACdC,UAAW,QACXC,MAAO,OACPC,IAAK,IACLC,SAAUR,GAYRS,EAAS,CACXJ,UAAW,SACXK,SAAU,CAACN,GACXO,SAAU,CACR,CAACL,MAAO,KAAMC,IAAK,MACnB,CAACD,MAAO,IAAKC,IAAK,OAGlBK,EAAc,CAChBb,EAAKc,YACLd,EAAKe,kBACLf,EAAKgB,qBACLN,EAtBU,CACVH,MAAO,uBAAwBU,aAAa,EAC5CC,UAAW,EACXP,SAAU,CACR,CACEL,UAAW,OACXC,MAAO,UAoBb,OADAF,EAAUM,SAAWE,EACd,CACLM,QAAS,CAAC,SACVV,SAAUR,EACVU,SAAUE","file":"highlight/nix.js?v=543a514ee50dabba2c30","sourcesContent":["module.exports = function(hljs) {\n var NIX_KEYWORDS = {\n keyword:\n 'rec with let in inherit assert if else then',\n literal:\n 'true false or and null',\n built_in:\n 'import abort baseNameOf dirOf isNull builtins map removeAttrs throw ' +\n 'toString derivation'\n };\n var ANTIQUOTE = {\n className: 'subst',\n begin: /\\$\\{/,\n end: /}/,\n keywords: NIX_KEYWORDS\n };\n var ATTRS = {\n begin: /[a-zA-Z0-9-_]+(\\s*=)/, returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'attr',\n begin: /\\S+/\n }\n ]\n };\n var STRING = {\n className: 'string',\n contains: [ANTIQUOTE],\n variants: [\n {begin: \"''\", end: \"''\"},\n {begin: '\"', end: '\"'}\n ]\n };\n var EXPRESSIONS = [\n hljs.NUMBER_MODE,\n hljs.HASH_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRING,\n ATTRS\n ];\n ANTIQUOTE.contains = EXPRESSIONS;\n return {\n aliases: [\"nixos\"],\n keywords: NIX_KEYWORDS,\n contains: EXPRESSIONS\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/nsis.js b/js/highlight/nsis.js
index c4644a225..ce69ea37f 100644
--- a/js/highlight/nsis.js
+++ b/js/highlight/nsis.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[117],{483:function(e,t){e.exports=function(e){var t={className:"variable",begin:/\$+{[\w\.:-]+}/},n={className:"variable",begin:/\$+\w+/,illegal:/\(\){}/},i={className:"variable",begin:/\$+\([\w\^\.:-]+\)/},r={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"},{begin:"`",end:"`"}],illegal:/\n/,contains:[{className:"meta",begin:/\$(\\[nrt]|\$)/},{className:"variable",begin:/\$(ADMINTOOLS|APPDATA|CDBURN_AREA|CMDLINE|COMMONFILES32|COMMONFILES64|COMMONFILES|COOKIES|DESKTOP|DOCUMENTS|EXEDIR|EXEFILE|EXEPATH|FAVORITES|FONTS|HISTORY|HWNDPARENT|INSTDIR|INTERNET_CACHE|LANGUAGE|LOCALAPPDATA|MUSIC|NETHOOD|OUTDIR|PICTURES|PLUGINSDIR|PRINTHOOD|PROFILE|PROGRAMFILES32|PROGRAMFILES64|PROGRAMFILES|QUICKLAUNCH|RECENT|RESOURCES_LOCALIZED|RESOURCES|SENDTO|SMPROGRAMS|SMSTARTUP|STARTMENU|SYSDIR|TEMP|TEMPLATES|VIDEOS|WINDIR)/},t,n,i]};return{case_insensitive:!1,keywords:{keyword:"Abort AddBrandingImage AddSize AllowRootDirInstall AllowSkipFiles AutoCloseWindow BGFont BGGradient BrandingText BringToFront Call CallInstDLL Caption ChangeUI CheckBitmap ClearErrors CompletedText ComponentText CopyFiles CRCCheck CreateDirectory CreateFont CreateShortCut Delete DeleteINISec DeleteINIStr DeleteRegKey DeleteRegValue DetailPrint DetailsButtonText DirText DirVar DirVerify EnableWindow EnumRegKey EnumRegValue Exch Exec ExecShell ExecShellWait ExecWait ExpandEnvStrings File FileBufSize FileClose FileErrorText FileOpen FileRead FileReadByte FileReadUTF16LE FileReadWord FileSeek FileWrite FileWriteByte FileWriteUTF16LE FileWriteWord FindClose FindFirst FindNext FindWindow FlushINI FunctionEnd GetCurInstType GetCurrentAddress GetDlgItem GetDLLVersion GetDLLVersionLocal GetErrorLevel GetFileTime GetFileTimeLocal GetFullPathName GetFunctionAddress GetInstDirError GetLabelAddress GetTempFileName Goto HideWindow Icon IfAbort IfErrors IfFileExists IfRebootFlag IfSilent InitPluginsDir InstallButtonText InstallColors InstallDir InstallDirRegKey InstProgressFlags InstType InstTypeGetText InstTypeSetText Int64Cmp Int64CmpU Int64Fmt IntCmp IntCmpU IntFmt IntOp IntPtrCmp IntPtrCmpU IntPtrOp IsWindow LangString LicenseBkColor LicenseData LicenseForceSelection LicenseLangString LicenseText LoadLanguageFile LockWindow LogSet LogText ManifestDPIAware ManifestSupportedOS MessageBox MiscButtonText Name Nop OutFile Page PageCallbacks PageExEnd Pop Push Quit ReadEnvStr ReadINIStr ReadRegDWORD ReadRegStr Reboot RegDLL Rename RequestExecutionLevel ReserveFile Return RMDir SearchPath SectionEnd SectionGetFlags SectionGetInstTypes SectionGetSize SectionGetText SectionGroupEnd SectionIn SectionSetFlags SectionSetInstTypes SectionSetSize SectionSetText SendMessage SetAutoClose SetBrandingImage SetCompress SetCompressor SetCompressorDictSize SetCtlColors SetCurInstType SetDatablockOptimize SetDateSave SetDetailsPrint SetDetailsView SetErrorLevel SetErrors SetFileAttributes SetFont SetOutPath SetOverwrite SetRebootFlag SetRegView SetShellVarContext SetSilent ShowInstDetails ShowUninstDetails ShowWindow SilentInstall SilentUnInstall Sleep SpaceTexts StrCmp StrCmpS StrCpy StrLen SubCaption Unicode UninstallButtonText UninstallCaption UninstallIcon UninstallSubCaption UninstallText UninstPage UnRegDLL Var VIAddVersionKey VIFileVersion VIProductVersion WindowIcon WriteINIStr WriteRegBin WriteRegDWORD WriteRegExpandStr WriteRegMultiStr WriteRegNone WriteRegStr WriteUninstaller XPStyle",literal:"admin all auto both bottom bzip2 colored components current custom directory false force hide highest ifdiff ifnewer instfiles lastused leave left license listonly lzma nevershow none normal notset off on open print right show silent silentlog smooth textonly top true try un.components un.custom un.directory un.instfiles un.license uninstConfirm user Win10 Win7 Win8 WinVista zlib"},contains:[e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(";","$",{relevance:0}),{className:"function",beginKeywords:"Function PageEx Section SectionGroup",end:"$"},r,{className:"keyword",begin:/\!(addincludedir|addplugindir|appendfile|cd|define|delfile|echo|else|endif|error|execute|finalize|getdllversion|gettlbversion|if|ifdef|ifmacrodef|ifmacrondef|ifndef|include|insertmacro|macro|macroend|makensis|packhdr|searchparse|searchreplace|system|tempfile|undef|verbose|warning)/},t,n,i,{className:"params",begin:"(ARCHIVE|FILE_ATTRIBUTE_ARCHIVE|FILE_ATTRIBUTE_NORMAL|FILE_ATTRIBUTE_OFFLINE|FILE_ATTRIBUTE_READONLY|FILE_ATTRIBUTE_SYSTEM|FILE_ATTRIBUTE_TEMPORARY|HKCR|HKCU|HKDD|HKEY_CLASSES_ROOT|HKEY_CURRENT_CONFIG|HKEY_CURRENT_USER|HKEY_DYN_DATA|HKEY_LOCAL_MACHINE|HKEY_PERFORMANCE_DATA|HKEY_USERS|HKLM|HKPD|HKU|IDABORT|IDCANCEL|IDIGNORE|IDNO|IDOK|IDRETRY|IDYES|MB_ABORTRETRYIGNORE|MB_DEFBUTTON1|MB_DEFBUTTON2|MB_DEFBUTTON3|MB_DEFBUTTON4|MB_ICONEXCLAMATION|MB_ICONINFORMATION|MB_ICONQUESTION|MB_ICONSTOP|MB_OK|MB_OKCANCEL|MB_RETRYCANCEL|MB_RIGHT|MB_RTLREADING|MB_SETFOREGROUND|MB_TOPMOST|MB_USERICON|MB_YESNO|NORMAL|OFFLINE|READONLY|SHCTX|SHELL_CONTEXT|SYSTEM|TEMPORARY)"},{className:"class",begin:/\w+\:\:\w+/},e.NUMBER_MODE]}}}}]);
-//# sourceMappingURL=nsis.js.map?v=ff1f31092556ed517fc8 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[117],{484:function(e,t){e.exports=function(e){var t={className:"variable",begin:/\$+{[\w\.:-]+}/},n={className:"variable",begin:/\$+\w+/,illegal:/\(\){}/},i={className:"variable",begin:/\$+\([\w\^\.:-]+\)/},r={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"},{begin:"`",end:"`"}],illegal:/\n/,contains:[{className:"meta",begin:/\$(\\[nrt]|\$)/},{className:"variable",begin:/\$(ADMINTOOLS|APPDATA|CDBURN_AREA|CMDLINE|COMMONFILES32|COMMONFILES64|COMMONFILES|COOKIES|DESKTOP|DOCUMENTS|EXEDIR|EXEFILE|EXEPATH|FAVORITES|FONTS|HISTORY|HWNDPARENT|INSTDIR|INTERNET_CACHE|LANGUAGE|LOCALAPPDATA|MUSIC|NETHOOD|OUTDIR|PICTURES|PLUGINSDIR|PRINTHOOD|PROFILE|PROGRAMFILES32|PROGRAMFILES64|PROGRAMFILES|QUICKLAUNCH|RECENT|RESOURCES_LOCALIZED|RESOURCES|SENDTO|SMPROGRAMS|SMSTARTUP|STARTMENU|SYSDIR|TEMP|TEMPLATES|VIDEOS|WINDIR)/},t,n,i]};return{case_insensitive:!1,keywords:{keyword:"Abort AddBrandingImage AddSize AllowRootDirInstall AllowSkipFiles AutoCloseWindow BGFont BGGradient BrandingText BringToFront Call CallInstDLL Caption ChangeUI CheckBitmap ClearErrors CompletedText ComponentText CopyFiles CRCCheck CreateDirectory CreateFont CreateShortCut Delete DeleteINISec DeleteINIStr DeleteRegKey DeleteRegValue DetailPrint DetailsButtonText DirText DirVar DirVerify EnableWindow EnumRegKey EnumRegValue Exch Exec ExecShell ExecShellWait ExecWait ExpandEnvStrings File FileBufSize FileClose FileErrorText FileOpen FileRead FileReadByte FileReadUTF16LE FileReadWord FileSeek FileWrite FileWriteByte FileWriteUTF16LE FileWriteWord FindClose FindFirst FindNext FindWindow FlushINI FunctionEnd GetCurInstType GetCurrentAddress GetDlgItem GetDLLVersion GetDLLVersionLocal GetErrorLevel GetFileTime GetFileTimeLocal GetFullPathName GetFunctionAddress GetInstDirError GetLabelAddress GetTempFileName Goto HideWindow Icon IfAbort IfErrors IfFileExists IfRebootFlag IfSilent InitPluginsDir InstallButtonText InstallColors InstallDir InstallDirRegKey InstProgressFlags InstType InstTypeGetText InstTypeSetText Int64Cmp Int64CmpU Int64Fmt IntCmp IntCmpU IntFmt IntOp IntPtrCmp IntPtrCmpU IntPtrOp IsWindow LangString LicenseBkColor LicenseData LicenseForceSelection LicenseLangString LicenseText LoadLanguageFile LockWindow LogSet LogText ManifestDPIAware ManifestSupportedOS MessageBox MiscButtonText Name Nop OutFile Page PageCallbacks PageExEnd Pop Push Quit ReadEnvStr ReadINIStr ReadRegDWORD ReadRegStr Reboot RegDLL Rename RequestExecutionLevel ReserveFile Return RMDir SearchPath SectionEnd SectionGetFlags SectionGetInstTypes SectionGetSize SectionGetText SectionGroupEnd SectionIn SectionSetFlags SectionSetInstTypes SectionSetSize SectionSetText SendMessage SetAutoClose SetBrandingImage SetCompress SetCompressor SetCompressorDictSize SetCtlColors SetCurInstType SetDatablockOptimize SetDateSave SetDetailsPrint SetDetailsView SetErrorLevel SetErrors SetFileAttributes SetFont SetOutPath SetOverwrite SetRebootFlag SetRegView SetShellVarContext SetSilent ShowInstDetails ShowUninstDetails ShowWindow SilentInstall SilentUnInstall Sleep SpaceTexts StrCmp StrCmpS StrCpy StrLen SubCaption Unicode UninstallButtonText UninstallCaption UninstallIcon UninstallSubCaption UninstallText UninstPage UnRegDLL Var VIAddVersionKey VIFileVersion VIProductVersion WindowIcon WriteINIStr WriteRegBin WriteRegDWORD WriteRegExpandStr WriteRegMultiStr WriteRegNone WriteRegStr WriteUninstaller XPStyle",literal:"admin all auto both bottom bzip2 colored components current custom directory false force hide highest ifdiff ifnewer instfiles lastused leave left license listonly lzma nevershow none normal notset off on open print right show silent silentlog smooth textonly top true try un.components un.custom un.directory un.instfiles un.license uninstConfirm user Win10 Win7 Win8 WinVista zlib"},contains:[e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(";","$",{relevance:0}),{className:"function",beginKeywords:"Function PageEx Section SectionGroup",end:"$"},r,{className:"keyword",begin:/\!(addincludedir|addplugindir|appendfile|cd|define|delfile|echo|else|endif|error|execute|finalize|getdllversion|gettlbversion|if|ifdef|ifmacrodef|ifmacrondef|ifndef|include|insertmacro|macro|macroend|makensis|packhdr|searchparse|searchreplace|system|tempfile|undef|verbose|warning)/},t,n,i,{className:"params",begin:"(ARCHIVE|FILE_ATTRIBUTE_ARCHIVE|FILE_ATTRIBUTE_NORMAL|FILE_ATTRIBUTE_OFFLINE|FILE_ATTRIBUTE_READONLY|FILE_ATTRIBUTE_SYSTEM|FILE_ATTRIBUTE_TEMPORARY|HKCR|HKCU|HKDD|HKEY_CLASSES_ROOT|HKEY_CURRENT_CONFIG|HKEY_CURRENT_USER|HKEY_DYN_DATA|HKEY_LOCAL_MACHINE|HKEY_PERFORMANCE_DATA|HKEY_USERS|HKLM|HKPD|HKU|IDABORT|IDCANCEL|IDIGNORE|IDNO|IDOK|IDRETRY|IDYES|MB_ABORTRETRYIGNORE|MB_DEFBUTTON1|MB_DEFBUTTON2|MB_DEFBUTTON3|MB_DEFBUTTON4|MB_ICONEXCLAMATION|MB_ICONINFORMATION|MB_ICONQUESTION|MB_ICONSTOP|MB_OK|MB_OKCANCEL|MB_RETRYCANCEL|MB_RIGHT|MB_RTLREADING|MB_SETFOREGROUND|MB_TOPMOST|MB_USERICON|MB_YESNO|NORMAL|OFFLINE|READONLY|SHCTX|SHELL_CONTEXT|SYSTEM|TEMPORARY)"},{className:"class",begin:/\w+\:\:\w+/},e.NUMBER_MODE]}}}}]);
+//# sourceMappingURL=nsis.js.map?v=5399d10990ccbbed2bc7 \ No newline at end of file
diff --git a/js/highlight/nsis.js.map b/js/highlight/nsis.js.map
index 80bda4a25..c79fc1272 100644
--- a/js/highlight/nsis.js.map
+++ b/js/highlight/nsis.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/nsis.js"],"names":["module","exports","hljs","DEFINES","className","begin","VARIABLES","illegal","LANGUAGES","STRING","variants","end","contains","case_insensitive","keywords","keyword","literal","HASH_COMMENT_MODE","C_BLOCK_COMMENT_MODE","COMMENT","relevance","beginKeywords","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAKIC,EAAU,CAEZC,UAAW,WACXC,MAAO,kBAGLC,EAAY,CAEdF,UAAW,WACXC,MAAO,SACPE,QAAS,UAGPC,EAAY,CAEdJ,UAAW,WACXC,MAAO,sBA2BHI,EAAS,CACXL,UAAW,SACXM,SAAU,CACR,CACEL,MAAO,IAAKM,IAAK,KAEnB,CACEN,MAAO,IAAMM,IAAK,KAEpB,CACEN,MAAO,IAAKM,IAAK,MAGrBJ,QAAS,KACTK,SAAU,CA1BE,CAEdR,UAAW,OACXC,MAAO,kBAvCO,CACdD,UAAW,WACXC,MAAO,wbA+DHF,EACAG,EACAE,IAIN,MAAO,CACLK,kBAAkB,EAClBC,SAAU,CACRC,QACA,68EACAC,QACA,kYAEFJ,SAAU,CACRV,EAAKe,kBACLf,EAAKgB,qBACLhB,EAAKiB,QACH,IACA,IACA,CACEC,UAAW,IAGf,CACEhB,UAAW,WACXiB,cAAe,uCAAwCV,IAAK,KAE9DF,EA/DW,CAEbL,UAAW,UACXC,MAAO,6RA8DLF,EACAG,EACAE,EAzEa,CAEfJ,UAAW,SACXC,MAAO,qpBAeK,CAEZD,UAAW,QACXC,MAAO,cAuDLH,EAAKoB","file":"highlight/nsis.js?v=ff1f31092556ed517fc8","sourcesContent":["module.exports = function(hljs) {\n var CONSTANTS = {\n className: 'variable',\n begin: /\\$(ADMINTOOLS|APPDATA|CDBURN_AREA|CMDLINE|COMMONFILES32|COMMONFILES64|COMMONFILES|COOKIES|DESKTOP|DOCUMENTS|EXEDIR|EXEFILE|EXEPATH|FAVORITES|FONTS|HISTORY|HWNDPARENT|INSTDIR|INTERNET_CACHE|LANGUAGE|LOCALAPPDATA|MUSIC|NETHOOD|OUTDIR|PICTURES|PLUGINSDIR|PRINTHOOD|PROFILE|PROGRAMFILES32|PROGRAMFILES64|PROGRAMFILES|QUICKLAUNCH|RECENT|RESOURCES_LOCALIZED|RESOURCES|SENDTO|SMPROGRAMS|SMSTARTUP|STARTMENU|SYSDIR|TEMP|TEMPLATES|VIDEOS|WINDIR)/\n };\n\n var DEFINES = {\n // ${defines}\n className: 'variable',\n begin: /\\$+{[\\w\\.:-]+}/\n };\n\n var VARIABLES = {\n // $variables\n className: 'variable',\n begin: /\\$+\\w+/,\n illegal: /\\(\\){}/\n };\n\n var LANGUAGES = {\n // $(language_strings)\n className: 'variable',\n begin: /\\$+\\([\\w\\^\\.:-]+\\)/\n };\n\n var PARAMETERS = {\n // command parameters\n className: 'params',\n begin: '(ARCHIVE|FILE_ATTRIBUTE_ARCHIVE|FILE_ATTRIBUTE_NORMAL|FILE_ATTRIBUTE_OFFLINE|FILE_ATTRIBUTE_READONLY|FILE_ATTRIBUTE_SYSTEM|FILE_ATTRIBUTE_TEMPORARY|HKCR|HKCU|HKDD|HKEY_CLASSES_ROOT|HKEY_CURRENT_CONFIG|HKEY_CURRENT_USER|HKEY_DYN_DATA|HKEY_LOCAL_MACHINE|HKEY_PERFORMANCE_DATA|HKEY_USERS|HKLM|HKPD|HKU|IDABORT|IDCANCEL|IDIGNORE|IDNO|IDOK|IDRETRY|IDYES|MB_ABORTRETRYIGNORE|MB_DEFBUTTON1|MB_DEFBUTTON2|MB_DEFBUTTON3|MB_DEFBUTTON4|MB_ICONEXCLAMATION|MB_ICONINFORMATION|MB_ICONQUESTION|MB_ICONSTOP|MB_OK|MB_OKCANCEL|MB_RETRYCANCEL|MB_RIGHT|MB_RTLREADING|MB_SETFOREGROUND|MB_TOPMOST|MB_USERICON|MB_YESNO|NORMAL|OFFLINE|READONLY|SHCTX|SHELL_CONTEXT|SYSTEM|TEMPORARY)'\n };\n\n var COMPILER = {\n // !compiler_flags\n className: 'keyword',\n begin: /\\!(addincludedir|addplugindir|appendfile|cd|define|delfile|echo|else|endif|error|execute|finalize|getdllversion|gettlbversion|if|ifdef|ifmacrodef|ifmacrondef|ifndef|include|insertmacro|macro|macroend|makensis|packhdr|searchparse|searchreplace|system|tempfile|undef|verbose|warning)/\n };\n\n var METACHARS = {\n // $\\n, $\\r, $\\t, $$\n className: 'meta',\n begin: /\\$(\\\\[nrt]|\\$)/\n };\n\n var PLUGINS = {\n // plug::ins\n className: 'class',\n begin: /\\w+\\:\\:\\w+/\n };\n\n var STRING = {\n className: 'string',\n variants: [\n {\n begin: '\"', end: '\"'\n },\n {\n begin: '\\'', end: '\\''\n },\n {\n begin: '`', end: '`'\n }\n ],\n illegal: /\\n/,\n contains: [\n METACHARS,\n CONSTANTS,\n DEFINES,\n VARIABLES,\n LANGUAGES\n ]\n };\n\n return {\n case_insensitive: false,\n keywords: {\n keyword:\n 'Abort AddBrandingImage AddSize AllowRootDirInstall AllowSkipFiles AutoCloseWindow BGFont BGGradient BrandingText BringToFront Call CallInstDLL Caption ChangeUI CheckBitmap ClearErrors CompletedText ComponentText CopyFiles CRCCheck CreateDirectory CreateFont CreateShortCut Delete DeleteINISec DeleteINIStr DeleteRegKey DeleteRegValue DetailPrint DetailsButtonText DirText DirVar DirVerify EnableWindow EnumRegKey EnumRegValue Exch Exec ExecShell ExecShellWait ExecWait ExpandEnvStrings File FileBufSize FileClose FileErrorText FileOpen FileRead FileReadByte FileReadUTF16LE FileReadWord FileSeek FileWrite FileWriteByte FileWriteUTF16LE FileWriteWord FindClose FindFirst FindNext FindWindow FlushINI FunctionEnd GetCurInstType GetCurrentAddress GetDlgItem GetDLLVersion GetDLLVersionLocal GetErrorLevel GetFileTime GetFileTimeLocal GetFullPathName GetFunctionAddress GetInstDirError GetLabelAddress GetTempFileName Goto HideWindow Icon IfAbort IfErrors IfFileExists IfRebootFlag IfSilent InitPluginsDir InstallButtonText InstallColors InstallDir InstallDirRegKey InstProgressFlags InstType InstTypeGetText InstTypeSetText Int64Cmp Int64CmpU Int64Fmt IntCmp IntCmpU IntFmt IntOp IntPtrCmp IntPtrCmpU IntPtrOp IsWindow LangString LicenseBkColor LicenseData LicenseForceSelection LicenseLangString LicenseText LoadLanguageFile LockWindow LogSet LogText ManifestDPIAware ManifestSupportedOS MessageBox MiscButtonText Name Nop OutFile Page PageCallbacks PageExEnd Pop Push Quit ReadEnvStr ReadINIStr ReadRegDWORD ReadRegStr Reboot RegDLL Rename RequestExecutionLevel ReserveFile Return RMDir SearchPath SectionEnd SectionGetFlags SectionGetInstTypes SectionGetSize SectionGetText SectionGroupEnd SectionIn SectionSetFlags SectionSetInstTypes SectionSetSize SectionSetText SendMessage SetAutoClose SetBrandingImage SetCompress SetCompressor SetCompressorDictSize SetCtlColors SetCurInstType SetDatablockOptimize SetDateSave SetDetailsPrint SetDetailsView SetErrorLevel SetErrors SetFileAttributes SetFont SetOutPath SetOverwrite SetRebootFlag SetRegView SetShellVarContext SetSilent ShowInstDetails ShowUninstDetails ShowWindow SilentInstall SilentUnInstall Sleep SpaceTexts StrCmp StrCmpS StrCpy StrLen SubCaption Unicode UninstallButtonText UninstallCaption UninstallIcon UninstallSubCaption UninstallText UninstPage UnRegDLL Var VIAddVersionKey VIFileVersion VIProductVersion WindowIcon WriteINIStr WriteRegBin WriteRegDWORD WriteRegExpandStr WriteRegMultiStr WriteRegNone WriteRegStr WriteUninstaller XPStyle',\n literal:\n 'admin all auto both bottom bzip2 colored components current custom directory false force hide highest ifdiff ifnewer instfiles lastused leave left license listonly lzma nevershow none normal notset off on open print right show silent silentlog smooth textonly top true try un.components un.custom un.directory un.instfiles un.license uninstConfirm user Win10 Win7 Win8 WinVista zlib'\n },\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n ),\n {\n className: 'function',\n beginKeywords: 'Function PageEx Section SectionGroup', end: '$'\n },\n STRING,\n COMPILER,\n DEFINES,\n VARIABLES,\n LANGUAGES,\n PARAMETERS,\n PLUGINS,\n hljs.NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/nsis.js"],"names":["module","exports","hljs","DEFINES","className","begin","VARIABLES","illegal","LANGUAGES","STRING","variants","end","contains","case_insensitive","keywords","keyword","literal","HASH_COMMENT_MODE","C_BLOCK_COMMENT_MODE","COMMENT","relevance","beginKeywords","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAKIC,EAAU,CAEZC,UAAW,WACXC,MAAO,kBAGLC,EAAY,CAEdF,UAAW,WACXC,MAAO,SACPE,QAAS,UAGPC,EAAY,CAEdJ,UAAW,WACXC,MAAO,sBA2BHI,EAAS,CACXL,UAAW,SACXM,SAAU,CACR,CACEL,MAAO,IAAKM,IAAK,KAEnB,CACEN,MAAO,IAAMM,IAAK,KAEpB,CACEN,MAAO,IAAKM,IAAK,MAGrBJ,QAAS,KACTK,SAAU,CA1BE,CAEdR,UAAW,OACXC,MAAO,kBAvCO,CACdD,UAAW,WACXC,MAAO,wbA+DHF,EACAG,EACAE,IAIN,MAAO,CACLK,kBAAkB,EAClBC,SAAU,CACRC,QACA,68EACAC,QACA,kYAEFJ,SAAU,CACRV,EAAKe,kBACLf,EAAKgB,qBACLhB,EAAKiB,QACH,IACA,IACA,CACEC,UAAW,IAGf,CACEhB,UAAW,WACXiB,cAAe,uCAAwCV,IAAK,KAE9DF,EA/DW,CAEbL,UAAW,UACXC,MAAO,6RA8DLF,EACAG,EACAE,EAzEa,CAEfJ,UAAW,SACXC,MAAO,qpBAeK,CAEZD,UAAW,QACXC,MAAO,cAuDLH,EAAKoB","file":"highlight/nsis.js?v=5399d10990ccbbed2bc7","sourcesContent":["module.exports = function(hljs) {\n var CONSTANTS = {\n className: 'variable',\n begin: /\\$(ADMINTOOLS|APPDATA|CDBURN_AREA|CMDLINE|COMMONFILES32|COMMONFILES64|COMMONFILES|COOKIES|DESKTOP|DOCUMENTS|EXEDIR|EXEFILE|EXEPATH|FAVORITES|FONTS|HISTORY|HWNDPARENT|INSTDIR|INTERNET_CACHE|LANGUAGE|LOCALAPPDATA|MUSIC|NETHOOD|OUTDIR|PICTURES|PLUGINSDIR|PRINTHOOD|PROFILE|PROGRAMFILES32|PROGRAMFILES64|PROGRAMFILES|QUICKLAUNCH|RECENT|RESOURCES_LOCALIZED|RESOURCES|SENDTO|SMPROGRAMS|SMSTARTUP|STARTMENU|SYSDIR|TEMP|TEMPLATES|VIDEOS|WINDIR)/\n };\n\n var DEFINES = {\n // ${defines}\n className: 'variable',\n begin: /\\$+{[\\w\\.:-]+}/\n };\n\n var VARIABLES = {\n // $variables\n className: 'variable',\n begin: /\\$+\\w+/,\n illegal: /\\(\\){}/\n };\n\n var LANGUAGES = {\n // $(language_strings)\n className: 'variable',\n begin: /\\$+\\([\\w\\^\\.:-]+\\)/\n };\n\n var PARAMETERS = {\n // command parameters\n className: 'params',\n begin: '(ARCHIVE|FILE_ATTRIBUTE_ARCHIVE|FILE_ATTRIBUTE_NORMAL|FILE_ATTRIBUTE_OFFLINE|FILE_ATTRIBUTE_READONLY|FILE_ATTRIBUTE_SYSTEM|FILE_ATTRIBUTE_TEMPORARY|HKCR|HKCU|HKDD|HKEY_CLASSES_ROOT|HKEY_CURRENT_CONFIG|HKEY_CURRENT_USER|HKEY_DYN_DATA|HKEY_LOCAL_MACHINE|HKEY_PERFORMANCE_DATA|HKEY_USERS|HKLM|HKPD|HKU|IDABORT|IDCANCEL|IDIGNORE|IDNO|IDOK|IDRETRY|IDYES|MB_ABORTRETRYIGNORE|MB_DEFBUTTON1|MB_DEFBUTTON2|MB_DEFBUTTON3|MB_DEFBUTTON4|MB_ICONEXCLAMATION|MB_ICONINFORMATION|MB_ICONQUESTION|MB_ICONSTOP|MB_OK|MB_OKCANCEL|MB_RETRYCANCEL|MB_RIGHT|MB_RTLREADING|MB_SETFOREGROUND|MB_TOPMOST|MB_USERICON|MB_YESNO|NORMAL|OFFLINE|READONLY|SHCTX|SHELL_CONTEXT|SYSTEM|TEMPORARY)'\n };\n\n var COMPILER = {\n // !compiler_flags\n className: 'keyword',\n begin: /\\!(addincludedir|addplugindir|appendfile|cd|define|delfile|echo|else|endif|error|execute|finalize|getdllversion|gettlbversion|if|ifdef|ifmacrodef|ifmacrondef|ifndef|include|insertmacro|macro|macroend|makensis|packhdr|searchparse|searchreplace|system|tempfile|undef|verbose|warning)/\n };\n\n var METACHARS = {\n // $\\n, $\\r, $\\t, $$\n className: 'meta',\n begin: /\\$(\\\\[nrt]|\\$)/\n };\n\n var PLUGINS = {\n // plug::ins\n className: 'class',\n begin: /\\w+\\:\\:\\w+/\n };\n\n var STRING = {\n className: 'string',\n variants: [\n {\n begin: '\"', end: '\"'\n },\n {\n begin: '\\'', end: '\\''\n },\n {\n begin: '`', end: '`'\n }\n ],\n illegal: /\\n/,\n contains: [\n METACHARS,\n CONSTANTS,\n DEFINES,\n VARIABLES,\n LANGUAGES\n ]\n };\n\n return {\n case_insensitive: false,\n keywords: {\n keyword:\n 'Abort AddBrandingImage AddSize AllowRootDirInstall AllowSkipFiles AutoCloseWindow BGFont BGGradient BrandingText BringToFront Call CallInstDLL Caption ChangeUI CheckBitmap ClearErrors CompletedText ComponentText CopyFiles CRCCheck CreateDirectory CreateFont CreateShortCut Delete DeleteINISec DeleteINIStr DeleteRegKey DeleteRegValue DetailPrint DetailsButtonText DirText DirVar DirVerify EnableWindow EnumRegKey EnumRegValue Exch Exec ExecShell ExecShellWait ExecWait ExpandEnvStrings File FileBufSize FileClose FileErrorText FileOpen FileRead FileReadByte FileReadUTF16LE FileReadWord FileSeek FileWrite FileWriteByte FileWriteUTF16LE FileWriteWord FindClose FindFirst FindNext FindWindow FlushINI FunctionEnd GetCurInstType GetCurrentAddress GetDlgItem GetDLLVersion GetDLLVersionLocal GetErrorLevel GetFileTime GetFileTimeLocal GetFullPathName GetFunctionAddress GetInstDirError GetLabelAddress GetTempFileName Goto HideWindow Icon IfAbort IfErrors IfFileExists IfRebootFlag IfSilent InitPluginsDir InstallButtonText InstallColors InstallDir InstallDirRegKey InstProgressFlags InstType InstTypeGetText InstTypeSetText Int64Cmp Int64CmpU Int64Fmt IntCmp IntCmpU IntFmt IntOp IntPtrCmp IntPtrCmpU IntPtrOp IsWindow LangString LicenseBkColor LicenseData LicenseForceSelection LicenseLangString LicenseText LoadLanguageFile LockWindow LogSet LogText ManifestDPIAware ManifestSupportedOS MessageBox MiscButtonText Name Nop OutFile Page PageCallbacks PageExEnd Pop Push Quit ReadEnvStr ReadINIStr ReadRegDWORD ReadRegStr Reboot RegDLL Rename RequestExecutionLevel ReserveFile Return RMDir SearchPath SectionEnd SectionGetFlags SectionGetInstTypes SectionGetSize SectionGetText SectionGroupEnd SectionIn SectionSetFlags SectionSetInstTypes SectionSetSize SectionSetText SendMessage SetAutoClose SetBrandingImage SetCompress SetCompressor SetCompressorDictSize SetCtlColors SetCurInstType SetDatablockOptimize SetDateSave SetDetailsPrint SetDetailsView SetErrorLevel SetErrors SetFileAttributes SetFont SetOutPath SetOverwrite SetRebootFlag SetRegView SetShellVarContext SetSilent ShowInstDetails ShowUninstDetails ShowWindow SilentInstall SilentUnInstall Sleep SpaceTexts StrCmp StrCmpS StrCpy StrLen SubCaption Unicode UninstallButtonText UninstallCaption UninstallIcon UninstallSubCaption UninstallText UninstPage UnRegDLL Var VIAddVersionKey VIFileVersion VIProductVersion WindowIcon WriteINIStr WriteRegBin WriteRegDWORD WriteRegExpandStr WriteRegMultiStr WriteRegNone WriteRegStr WriteUninstaller XPStyle',\n literal:\n 'admin all auto both bottom bzip2 colored components current custom directory false force hide highest ifdiff ifnewer instfiles lastused leave left license listonly lzma nevershow none normal notset off on open print right show silent silentlog smooth textonly top true try un.components un.custom un.directory un.instfiles un.license uninstConfirm user Win10 Win7 Win8 WinVista zlib'\n },\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n ),\n {\n className: 'function',\n beginKeywords: 'Function PageEx Section SectionGroup', end: '$'\n },\n STRING,\n COMPILER,\n DEFINES,\n VARIABLES,\n LANGUAGES,\n PARAMETERS,\n PLUGINS,\n hljs.NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/objectivec.js b/js/highlight/objectivec.js
index 615b63685..c5e411a47 100644
--- a/js/highlight/objectivec.js
+++ b/js/highlight/objectivec.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[118],{484:function(e,n){e.exports=function(e){var n=/[a-zA-Z@][a-zA-Z0-9_]*/,_="@interface @class @protocol @implementation";return{aliases:["mm","objc","obj-c"],keywords:{keyword:"int float while char export sizeof typedef const struct for union unsigned long volatile static bool mutable if do return goto void enum else break extern asm case short default double register explicit signed typename this switch continue wchar_t inline readonly assign readwrite self @synchronized id typeof nonatomic super unichar IBOutlet IBAction strong weak copy in out inout bycopy byref oneway __strong __weak __block __autoreleasing @private @protected @public @try @property @end @throw @catch @finally @autoreleasepool @synthesize @dynamic @selector @optional @required @encode @package @import @defs @compatibility_alias __bridge __bridge_transfer __bridge_retained __bridge_retain __covariant __contravariant __kindof _Nonnull _Nullable _Null_unspecified __FUNCTION__ __PRETTY_FUNCTION__ __attribute__ getter setter retain unsafe_unretained nonnull nullable null_unspecified null_resettable class instancetype NS_DESIGNATED_INITIALIZER NS_UNAVAILABLE NS_REQUIRES_SUPER NS_RETURNS_INNER_POINTER NS_INLINE NS_AVAILABLE NS_DEPRECATED NS_ENUM NS_OPTIONS NS_SWIFT_UNAVAILABLE NS_ASSUME_NONNULL_BEGIN NS_ASSUME_NONNULL_END NS_REFINED_FOR_SWIFT NS_SWIFT_NAME NS_SWIFT_NOTHROW NS_DURING NS_HANDLER NS_ENDHANDLER NS_VALUERETURN NS_VOIDRETURN",literal:"false true FALSE TRUE nil YES NO NULL",built_in:"BOOL dispatch_once_t dispatch_queue_t dispatch_sync dispatch_async dispatch_once"},lexemes:n,illegal:"</",contains:[{className:"built_in",begin:"\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\w+"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,e.QUOTE_STRING_MODE,{className:"string",variants:[{begin:'@"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:"'",end:"[^\\\\]'",illegal:"[^\\\\][^']"}]},{className:"meta",begin:"#",end:"$",contains:[{className:"meta-string",variants:[{begin:'"',end:'"'},{begin:"<",end:">"}]}]},{className:"class",begin:"("+_.split(" ").join("|")+")\\b",end:"({|$)",excludeEnd:!0,keywords:_,lexemes:n,contains:[e.UNDERSCORE_TITLE_MODE]},{begin:"\\."+e.UNDERSCORE_IDENT_RE,relevance:0}]}}}}]);
-//# sourceMappingURL=objectivec.js.map?v=11b6edb8bf4fc34b0bee \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[118],{485:function(e,n){e.exports=function(e){var n=/[a-zA-Z@][a-zA-Z0-9_]*/,_="@interface @class @protocol @implementation";return{aliases:["mm","objc","obj-c"],keywords:{keyword:"int float while char export sizeof typedef const struct for union unsigned long volatile static bool mutable if do return goto void enum else break extern asm case short default double register explicit signed typename this switch continue wchar_t inline readonly assign readwrite self @synchronized id typeof nonatomic super unichar IBOutlet IBAction strong weak copy in out inout bycopy byref oneway __strong __weak __block __autoreleasing @private @protected @public @try @property @end @throw @catch @finally @autoreleasepool @synthesize @dynamic @selector @optional @required @encode @package @import @defs @compatibility_alias __bridge __bridge_transfer __bridge_retained __bridge_retain __covariant __contravariant __kindof _Nonnull _Nullable _Null_unspecified __FUNCTION__ __PRETTY_FUNCTION__ __attribute__ getter setter retain unsafe_unretained nonnull nullable null_unspecified null_resettable class instancetype NS_DESIGNATED_INITIALIZER NS_UNAVAILABLE NS_REQUIRES_SUPER NS_RETURNS_INNER_POINTER NS_INLINE NS_AVAILABLE NS_DEPRECATED NS_ENUM NS_OPTIONS NS_SWIFT_UNAVAILABLE NS_ASSUME_NONNULL_BEGIN NS_ASSUME_NONNULL_END NS_REFINED_FOR_SWIFT NS_SWIFT_NAME NS_SWIFT_NOTHROW NS_DURING NS_HANDLER NS_ENDHANDLER NS_VALUERETURN NS_VOIDRETURN",literal:"false true FALSE TRUE nil YES NO NULL",built_in:"BOOL dispatch_once_t dispatch_queue_t dispatch_sync dispatch_async dispatch_once"},lexemes:n,illegal:"</",contains:[{className:"built_in",begin:"\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\w+"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:"string",variants:[{begin:'@"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]}]},{className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{"meta-keyword":"if else elif endif define undef warning error line pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(e.QUOTE_STRING_MODE,{className:"meta-string"}),{className:"meta-string",begin:/<.*?>/,end:/$/,illegal:"\\n"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"class",begin:"("+_.split(" ").join("|")+")\\b",end:"({|$)",excludeEnd:!0,keywords:_,lexemes:n,contains:[e.UNDERSCORE_TITLE_MODE]},{begin:"\\."+e.UNDERSCORE_IDENT_RE,relevance:0}]}}}}]);
+//# sourceMappingURL=objectivec.js.map?v=dc7961e08feeaf5cdc07 \ No newline at end of file
diff --git a/js/highlight/objectivec.js.map b/js/highlight/objectivec.js.map
index c0129aafa..8dabd4b0e 100644
--- a/js/highlight/objectivec.js.map
+++ b/js/highlight/objectivec.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/objectivec.js"],"names":["module","exports","hljs","LEXEMES","CLASS_KEYWORDS","aliases","keywords","keyword","literal","built_in","lexemes","illegal","contains","className","begin","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","C_NUMBER_MODE","QUOTE_STRING_MODE","variants","end","BACKSLASH_ESCAPE","split","join","excludeEnd","UNDERSCORE_TITLE_MODE","UNDERSCORE_IDENT_RE","relevance"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAiCIC,EAAU,yBACVC,EAAiB,8CACrB,MAAO,CACLC,QAAS,CAAC,KAAM,OAAQ,SACxBC,SAjCkB,CAClBC,QACE,guCAsBFC,QACE,wCACFC,SACE,oFAOFC,QAASP,EACTQ,QAAS,KACTC,SAAU,CAxCI,CACdC,UAAW,WACXC,MAAO,wEAwCLZ,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,cACLf,EAAKgB,kBACL,CACEL,UAAW,SACXM,SAAU,CACR,CACEL,MAAO,KAAMM,IAAK,IAClBT,QAAS,MACTC,SAAU,CAACV,EAAKmB,mBAElB,CACEP,MAAO,IAAMM,IAAK,WAClBT,QAAS,iBAIf,CACEE,UAAW,OACXC,MAAO,IACPM,IAAK,IACLR,SAAU,CACR,CACEC,UAAW,cACXM,SAAU,CACR,CAAEL,MAAO,IAAMM,IAAK,KACpB,CAAEN,MAAO,IAAKM,IAAK,SAK3B,CACEP,UAAW,QACXC,MAAO,IAAMV,EAAekB,MAAM,KAAKC,KAAK,KAAO,OAAQH,IAAK,QAASI,YAAY,EACrFlB,SAAUF,EAAgBM,QAASP,EACnCS,SAAU,CACRV,EAAKuB,wBAGT,CACEX,MAAO,MAAMZ,EAAKwB,oBAClBC,UAAW","file":"highlight/objectivec.js?v=11b6edb8bf4fc34b0bee","sourcesContent":["module.exports = function(hljs) {\n var API_CLASS = {\n className: 'built_in',\n begin: '\\\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\\\w+',\n };\n var OBJC_KEYWORDS = {\n keyword:\n 'int float while char export sizeof typedef const struct for union ' +\n 'unsigned long volatile static bool mutable if do return goto void ' +\n 'enum else break extern asm case short default double register explicit ' +\n 'signed typename this switch continue wchar_t inline readonly assign ' +\n 'readwrite self @synchronized id typeof ' +\n 'nonatomic super unichar IBOutlet IBAction strong weak copy ' +\n 'in out inout bycopy byref oneway __strong __weak __block __autoreleasing ' +\n '@private @protected @public @try @property @end @throw @catch @finally ' +\n '@autoreleasepool @synthesize @dynamic @selector @optional @required ' +\n '@encode @package @import @defs @compatibility_alias ' +\n '__bridge __bridge_transfer __bridge_retained __bridge_retain ' +\n '__covariant __contravariant __kindof ' +\n '_Nonnull _Nullable _Null_unspecified ' +\n '__FUNCTION__ __PRETTY_FUNCTION__ __attribute__ ' +\n 'getter setter retain unsafe_unretained ' +\n 'nonnull nullable null_unspecified null_resettable class instancetype ' +\n 'NS_DESIGNATED_INITIALIZER NS_UNAVAILABLE NS_REQUIRES_SUPER ' +\n 'NS_RETURNS_INNER_POINTER NS_INLINE NS_AVAILABLE NS_DEPRECATED ' +\n 'NS_ENUM NS_OPTIONS NS_SWIFT_UNAVAILABLE ' +\n 'NS_ASSUME_NONNULL_BEGIN NS_ASSUME_NONNULL_END ' +\n 'NS_REFINED_FOR_SWIFT NS_SWIFT_NAME NS_SWIFT_NOTHROW ' +\n 'NS_DURING NS_HANDLER NS_ENDHANDLER NS_VALUERETURN NS_VOIDRETURN',\n literal:\n 'false true FALSE TRUE nil YES NO NULL',\n built_in:\n 'BOOL dispatch_once_t dispatch_queue_t dispatch_sync dispatch_async dispatch_once'\n };\n var LEXEMES = /[a-zA-Z@][a-zA-Z0-9_]*/;\n var CLASS_KEYWORDS = '@interface @class @protocol @implementation';\n return {\n aliases: ['mm', 'objc', 'obj-c'],\n keywords: OBJC_KEYWORDS,\n lexemes: LEXEMES,\n illegal: '</',\n contains: [\n API_CLASS,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_NUMBER_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n variants: [\n {\n begin: '@\"', end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '\\'', end: '[^\\\\\\\\]\\'',\n illegal: '[^\\\\\\\\][^\\']'\n }\n ]\n },\n {\n className: 'meta',\n begin: '#',\n end: '$',\n contains: [\n {\n className: 'meta-string',\n variants: [\n { begin: '\\\"', end: '\\\"' },\n { begin: '<', end: '>' }\n ]\n }\n ]\n },\n {\n className: 'class',\n begin: '(' + CLASS_KEYWORDS.split(' ').join('|') + ')\\\\b', end: '({|$)', excludeEnd: true,\n keywords: CLASS_KEYWORDS, lexemes: LEXEMES,\n contains: [\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n begin: '\\\\.'+hljs.UNDERSCORE_IDENT_RE,\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/objectivec.js"],"names":["module","exports","hljs","LEXEMES","CLASS_KEYWORDS","aliases","keywords","keyword","literal","built_in","lexemes","illegal","contains","className","begin","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","C_NUMBER_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","variants","end","BACKSLASH_ESCAPE","relevance","inherit","split","join","excludeEnd","UNDERSCORE_TITLE_MODE","UNDERSCORE_IDENT_RE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAiCIC,EAAU,yBACVC,EAAiB,8CACrB,MAAO,CACLC,QAAS,CAAC,KAAM,OAAQ,SACxBC,SAjCkB,CAClBC,QACE,guCAsBFC,QACE,wCACFC,SACE,oFAOFC,QAASP,EACTQ,QAAS,KACTC,SAAU,CAxCI,CACdC,UAAW,WACXC,MAAO,wEAwCLZ,EAAKa,oBACLb,EAAKc,qBACLd,EAAKe,cACLf,EAAKgB,kBACLhB,EAAKiB,iBACL,CACEN,UAAW,SACXO,SAAU,CACR,CACEN,MAAO,KAAMO,IAAK,IAClBV,QAAS,MACTC,SAAU,CAACV,EAAKoB,qBAItB,CACET,UAAW,OACXC,MAAO,eAAgBO,IAAK,IAC5Bf,SAAU,CACR,eACE,kFAGJM,SAAU,CACR,CACEE,MAAO,OAAQS,UAAW,GAE5BrB,EAAKsB,QAAQtB,EAAKgB,kBAAmB,CAACL,UAAW,gBACjD,CACEA,UAAW,cACXC,MAAO,QAASO,IAAK,IACrBV,QAAS,OAEXT,EAAKa,oBACLb,EAAKc,uBAGT,CACEH,UAAW,QACXC,MAAO,IAAMV,EAAeqB,MAAM,KAAKC,KAAK,KAAO,OAAQL,IAAK,QAASM,YAAY,EACrFrB,SAAUF,EAAgBM,QAASP,EACnCS,SAAU,CACRV,EAAK0B,wBAGT,CACEd,MAAO,MAAMZ,EAAK2B,oBAClBN,UAAW","file":"highlight/objectivec.js?v=dc7961e08feeaf5cdc07","sourcesContent":["module.exports = function(hljs) {\n var API_CLASS = {\n className: 'built_in',\n begin: '\\\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\\\w+',\n };\n var OBJC_KEYWORDS = {\n keyword:\n 'int float while char export sizeof typedef const struct for union ' +\n 'unsigned long volatile static bool mutable if do return goto void ' +\n 'enum else break extern asm case short default double register explicit ' +\n 'signed typename this switch continue wchar_t inline readonly assign ' +\n 'readwrite self @synchronized id typeof ' +\n 'nonatomic super unichar IBOutlet IBAction strong weak copy ' +\n 'in out inout bycopy byref oneway __strong __weak __block __autoreleasing ' +\n '@private @protected @public @try @property @end @throw @catch @finally ' +\n '@autoreleasepool @synthesize @dynamic @selector @optional @required ' +\n '@encode @package @import @defs @compatibility_alias ' +\n '__bridge __bridge_transfer __bridge_retained __bridge_retain ' +\n '__covariant __contravariant __kindof ' +\n '_Nonnull _Nullable _Null_unspecified ' +\n '__FUNCTION__ __PRETTY_FUNCTION__ __attribute__ ' +\n 'getter setter retain unsafe_unretained ' +\n 'nonnull nullable null_unspecified null_resettable class instancetype ' +\n 'NS_DESIGNATED_INITIALIZER NS_UNAVAILABLE NS_REQUIRES_SUPER ' +\n 'NS_RETURNS_INNER_POINTER NS_INLINE NS_AVAILABLE NS_DEPRECATED ' +\n 'NS_ENUM NS_OPTIONS NS_SWIFT_UNAVAILABLE ' +\n 'NS_ASSUME_NONNULL_BEGIN NS_ASSUME_NONNULL_END ' +\n 'NS_REFINED_FOR_SWIFT NS_SWIFT_NAME NS_SWIFT_NOTHROW ' +\n 'NS_DURING NS_HANDLER NS_ENDHANDLER NS_VALUERETURN NS_VOIDRETURN',\n literal:\n 'false true FALSE TRUE nil YES NO NULL',\n built_in:\n 'BOOL dispatch_once_t dispatch_queue_t dispatch_sync dispatch_async dispatch_once'\n };\n var LEXEMES = /[a-zA-Z@][a-zA-Z0-9_]*/;\n var CLASS_KEYWORDS = '@interface @class @protocol @implementation';\n return {\n aliases: ['mm', 'objc', 'obj-c'],\n keywords: OBJC_KEYWORDS,\n lexemes: LEXEMES,\n illegal: '</',\n contains: [\n API_CLASS,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_NUMBER_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n {\n className: 'string',\n variants: [\n {\n begin: '@\"', end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE]\n }\n ]\n },\n {\n className: 'meta',\n begin: /#\\s*[a-z]+\\b/, end: /$/,\n keywords: {\n 'meta-keyword':\n 'if else elif endif define undef warning error line ' +\n 'pragma ifdef ifndef include'\n },\n contains: [\n {\n begin: /\\\\\\n/, relevance: 0\n },\n hljs.inherit(hljs.QUOTE_STRING_MODE, {className: 'meta-string'}),\n {\n className: 'meta-string',\n begin: /<.*?>/, end: /$/,\n illegal: '\\\\n',\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n },\n {\n className: 'class',\n begin: '(' + CLASS_KEYWORDS.split(' ').join('|') + ')\\\\b', end: '({|$)', excludeEnd: true,\n keywords: CLASS_KEYWORDS, lexemes: LEXEMES,\n contains: [\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n begin: '\\\\.'+hljs.UNDERSCORE_IDENT_RE,\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/ocaml.js b/js/highlight/ocaml.js
index bdbb5e604..3b6bcf03e 100644
--- a/js/highlight/ocaml.js
+++ b/js/highlight/ocaml.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[119],{485:function(e,n){e.exports=function(e){return{aliases:["ml"],keywords:{keyword:"and as assert asr begin class constraint do done downto else end exception external for fun function functor if in include inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method mod module mutable new object of open! open or private rec sig struct then to try type val! val virtual when while with parser value",built_in:"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit in_channel out_channel ref",literal:"true false"},illegal:/\/\/|>>/,lexemes:"[a-z_]\\w*!?",contains:[{className:"literal",begin:"\\[(\\|\\|)?\\]|\\(\\)",relevance:0},e.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*",relevance:0},e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/[-=]>/}]}}}}]);
-//# sourceMappingURL=ocaml.js.map?v=26257cd9b184fb1a5c03 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[119],{486:function(e,n){e.exports=function(e){return{aliases:["ml"],keywords:{keyword:"and as assert asr begin class constraint do done downto else end exception external for fun function functor if in include inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method mod module mutable new object of open! open or private rec sig struct then to try type val! val virtual when while with parser value",built_in:"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit in_channel out_channel ref",literal:"true false"},illegal:/\/\/|>>/,lexemes:"[a-z_]\\w*!?",contains:[{className:"literal",begin:"\\[(\\|\\|)?\\]|\\(\\)",relevance:0},e.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*",relevance:0},e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/[-=]>/}]}}}}]);
+//# sourceMappingURL=ocaml.js.map?v=a02034d17acf2dfff765 \ No newline at end of file
diff --git a/js/highlight/ocaml.js.map b/js/highlight/ocaml.js.map
index 0e897790d..07bcb18ae 100644
--- a/js/highlight/ocaml.js.map
+++ b/js/highlight/ocaml.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ocaml.js"],"names":["module","exports","hljs","aliases","keywords","keyword","built_in","literal","illegal","lexemes","contains","className","begin","relevance","COMMENT","inherit","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRC,QACE,sVAOFC,SAEE,qHAGFC,QACE,cAEJC,QAAS,UACTC,QAAS,eACTC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,yBACPC,UAAW,GAEbX,EAAKY,QACH,SACA,SACA,CACEJ,SAAU,CAAC,UAGf,CACEC,UAAW,SACXC,MAAO,0BAGT,CACED,UAAW,OACXC,MAAO,iBAET,CACED,UAAW,OACXC,MAAO,kBACPC,UAAW,GAEb,CACED,MAAO,qBAAwBC,UAAW,GAE5CX,EAAKa,QAAQb,EAAKc,iBAAkB,CAACL,UAAW,SAAUE,UAAW,IACrEX,EAAKa,QAAQb,EAAKe,kBAAmB,CAACT,QAAS,OAC/C,CACEG,UAAW,SACXC,MACE,2HAIFC,UAAW,GAEb,CACED,MAAO","file":"highlight/ocaml.js?v=26257cd9b184fb1a5c03","sourcesContent":["module.exports = function(hljs) {\n /* missing support for heredoc-like string (OCaml 4.0.2+) */\n return {\n aliases: ['ml'],\n keywords: {\n keyword:\n 'and as assert asr begin class constraint do done downto else end ' +\n 'exception external for fun function functor if in include ' +\n 'inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method ' +\n 'mod module mutable new object of open! open or private rec sig struct ' +\n 'then to try type val! val virtual when while with ' +\n /* camlp4 */\n 'parser value',\n built_in:\n /* built-in types */\n 'array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit ' +\n /* (some) types in Pervasives */\n 'in_channel out_channel ref',\n literal:\n 'true false'\n },\n illegal: /\\/\\/|>>/,\n lexemes: '[a-z_]\\\\w*!?',\n contains: [\n {\n className: 'literal',\n begin: '\\\\[(\\\\|\\\\|)?\\\\]|\\\\(\\\\)',\n relevance: 0\n },\n hljs.COMMENT(\n '\\\\(\\\\*',\n '\\\\*\\\\)',\n {\n contains: ['self']\n }\n ),\n { /* type variable */\n className: 'symbol',\n begin: '\\'[A-Za-z_](?!\\')[\\\\w\\']*'\n /* the grammar is ambiguous on how 'a'b should be interpreted but not the compiler */\n },\n { /* polymorphic variant */\n className: 'type',\n begin: '`[A-Z][\\\\w\\']*'\n },\n { /* module or constructor */\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\']*',\n relevance: 0\n },\n { /* don't color identifiers, but safely catch all identifiers with '*/\n begin: '[a-z_]\\\\w*\\'[\\\\w\\']*', relevance: 0\n },\n hljs.inherit(hljs.APOS_STRING_MODE, {className: 'string', relevance: 0}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n {\n className: 'number',\n begin:\n '\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|' +\n '0[oO][0-7_]+[Lln]?|' +\n '0[bB][01_]+[Lln]?|' +\n '[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)',\n relevance: 0\n },\n {\n begin: /[-=]>/ // relevance booster\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ocaml.js"],"names":["module","exports","hljs","aliases","keywords","keyword","built_in","literal","illegal","lexemes","contains","className","begin","relevance","COMMENT","inherit","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRC,QACE,sVAOFC,SAEE,qHAGFC,QACE,cAEJC,QAAS,UACTC,QAAS,eACTC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,yBACPC,UAAW,GAEbX,EAAKY,QACH,SACA,SACA,CACEJ,SAAU,CAAC,UAGf,CACEC,UAAW,SACXC,MAAO,0BAGT,CACED,UAAW,OACXC,MAAO,iBAET,CACED,UAAW,OACXC,MAAO,kBACPC,UAAW,GAEb,CACED,MAAO,qBAAwBC,UAAW,GAE5CX,EAAKa,QAAQb,EAAKc,iBAAkB,CAACL,UAAW,SAAUE,UAAW,IACrEX,EAAKa,QAAQb,EAAKe,kBAAmB,CAACT,QAAS,OAC/C,CACEG,UAAW,SACXC,MACE,2HAIFC,UAAW,GAEb,CACED,MAAO","file":"highlight/ocaml.js?v=a02034d17acf2dfff765","sourcesContent":["module.exports = function(hljs) {\n /* missing support for heredoc-like string (OCaml 4.0.2+) */\n return {\n aliases: ['ml'],\n keywords: {\n keyword:\n 'and as assert asr begin class constraint do done downto else end ' +\n 'exception external for fun function functor if in include ' +\n 'inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method ' +\n 'mod module mutable new object of open! open or private rec sig struct ' +\n 'then to try type val! val virtual when while with ' +\n /* camlp4 */\n 'parser value',\n built_in:\n /* built-in types */\n 'array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit ' +\n /* (some) types in Pervasives */\n 'in_channel out_channel ref',\n literal:\n 'true false'\n },\n illegal: /\\/\\/|>>/,\n lexemes: '[a-z_]\\\\w*!?',\n contains: [\n {\n className: 'literal',\n begin: '\\\\[(\\\\|\\\\|)?\\\\]|\\\\(\\\\)',\n relevance: 0\n },\n hljs.COMMENT(\n '\\\\(\\\\*',\n '\\\\*\\\\)',\n {\n contains: ['self']\n }\n ),\n { /* type variable */\n className: 'symbol',\n begin: '\\'[A-Za-z_](?!\\')[\\\\w\\']*'\n /* the grammar is ambiguous on how 'a'b should be interpreted but not the compiler */\n },\n { /* polymorphic variant */\n className: 'type',\n begin: '`[A-Z][\\\\w\\']*'\n },\n { /* module or constructor */\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\']*',\n relevance: 0\n },\n { /* don't color identifiers, but safely catch all identifiers with '*/\n begin: '[a-z_]\\\\w*\\'[\\\\w\\']*', relevance: 0\n },\n hljs.inherit(hljs.APOS_STRING_MODE, {className: 'string', relevance: 0}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n {\n className: 'number',\n begin:\n '\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|' +\n '0[oO][0-7_]+[Lln]?|' +\n '0[bB][01_]+[Lln]?|' +\n '[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)',\n relevance: 0\n },\n {\n begin: /[-=]>/ // relevance booster\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/openscad.js b/js/highlight/openscad.js
index 6c6b98abf..f901abd10 100644
--- a/js/highlight/openscad.js
+++ b/js/highlight/openscad.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[120],{486:function(e,n){e.exports=function(e){var n={className:"keyword",begin:"\\$(f[asn]|t|vp[rtd]|children)"},r={className:"number",begin:"\\b\\d+(\\.\\d+)?(e-?\\d+)?",relevance:0},s=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),i={className:"function",beginKeywords:"module function",end:"\\=|\\{",contains:[{className:"params",begin:"\\(",end:"\\)",contains:["self",r,s,n,{className:"literal",begin:"false|true|PI|undef"}]},e.UNDERSCORE_TITLE_MODE]};return{aliases:["scad"],keywords:{keyword:"function module include use for intersection_for if else \\%",literal:"false true PI undef",built_in:"circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,{className:"meta",keywords:{"meta-keyword":"include use"},begin:"include|use <",end:">"},s,n,{begin:"[*!#%]",relevance:0},i]}}}}]);
-//# sourceMappingURL=openscad.js.map?v=7acd43d1048beb6cd2a2 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[120],{487:function(e,n){e.exports=function(e){var n={className:"keyword",begin:"\\$(f[asn]|t|vp[rtd]|children)"},r={className:"number",begin:"\\b\\d+(\\.\\d+)?(e-?\\d+)?",relevance:0},s=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),i={className:"function",beginKeywords:"module function",end:"\\=|\\{",contains:[{className:"params",begin:"\\(",end:"\\)",contains:["self",r,s,n,{className:"literal",begin:"false|true|PI|undef"}]},e.UNDERSCORE_TITLE_MODE]};return{aliases:["scad"],keywords:{keyword:"function module include use for intersection_for if else \\%",literal:"false true PI undef",built_in:"circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,{className:"meta",keywords:{"meta-keyword":"include use"},begin:"include|use <",end:">"},s,n,{begin:"[*!#%]",relevance:0},i]}}}}]);
+//# sourceMappingURL=openscad.js.map?v=1a3f064db30c34258c09 \ No newline at end of file
diff --git a/js/highlight/openscad.js.map b/js/highlight/openscad.js.map
index b5921211f..833286951 100644
--- a/js/highlight/openscad.js.map
+++ b/js/highlight/openscad.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/openscad.js"],"names":["module","exports","hljs","SPECIAL_VARS","className","begin","NUMBERS","relevance","STRING","inherit","QUOTE_STRING_MODE","illegal","FUNCTIONS","beginKeywords","end","contains","UNDERSCORE_TITLE_MODE","aliases","keywords","keyword","literal","built_in","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACzB,IAAIC,EAAe,CAClBC,UAAW,UACXC,MAAO,kCAMRC,EAAU,CACTF,UAAW,SACXC,MAAO,8BACPE,UAAW,GAEZC,EAASN,EAAKO,QAAQP,EAAKQ,kBAAkB,CAACC,QAAS,OAgBvDC,EAAY,CACXR,UAAW,WACXS,cAAe,kBACfC,IAAK,UACLC,SAAU,CAbF,CACRX,UAAW,SACXC,MAAO,MAAOS,IAAK,MACnBC,SAAU,CAAC,OAAQT,EAASE,EAAQL,EAnB1B,CACVC,UAAW,UACXC,MAAO,yBA2BYH,EAAKc,wBAGzB,MAAO,CACNC,QAAS,CAAC,QACVC,SAAU,CACTC,QAAS,+DACTC,QAAS,sBACTC,SAAU,udAEXN,SAAU,CACTb,EAAKoB,oBACLpB,EAAKqB,qBACLjB,EAhCO,CACRF,UAAW,OACXc,SAAU,CAAC,eAAgB,eAC3Bb,MAAO,gBACPS,IAAK,KA8BJN,EACAL,EAxBU,CACXE,MAAO,SACPE,UAAW,GAwBVK","file":"highlight/openscad.js?v=7acd43d1048beb6cd2a2","sourcesContent":["module.exports = function(hljs) {\n\tvar SPECIAL_VARS = {\n\t\tclassName: 'keyword',\n\t\tbegin: '\\\\$(f[asn]|t|vp[rtd]|children)'\n\t},\n\tLITERALS = {\n\t\tclassName: 'literal',\n\t\tbegin: 'false|true|PI|undef'\n\t},\n\tNUMBERS = {\n\t\tclassName: 'number',\n\t\tbegin: '\\\\b\\\\d+(\\\\.\\\\d+)?(e-?\\\\d+)?', //adds 1e5, 1e-10\n\t\trelevance: 0\n\t},\n\tSTRING = hljs.inherit(hljs.QUOTE_STRING_MODE,{illegal: null}),\n\tPREPRO = {\n\t\tclassName: 'meta',\n\t\tkeywords: {'meta-keyword': 'include use'},\n\t\tbegin: 'include|use <',\n\t\tend: '>'\n\t},\n\tPARAMS = {\n\t\tclassName: 'params',\n\t\tbegin: '\\\\(', end: '\\\\)',\n\t\tcontains: ['self', NUMBERS, STRING, SPECIAL_VARS, LITERALS]\n\t},\n\tMODIFIERS = {\n\t\tbegin: '[*!#%]',\n\t\trelevance: 0\n\t},\n\tFUNCTIONS = {\n\t\tclassName: 'function',\n\t\tbeginKeywords: 'module function',\n\t\tend: '\\\\=|\\\\{',\n\t\tcontains: [PARAMS, hljs.UNDERSCORE_TITLE_MODE]\n\t};\n\n\treturn {\n\t\taliases: ['scad'],\n\t\tkeywords: {\n\t\t\tkeyword: 'function module include use for intersection_for if else \\\\%',\n\t\t\tliteral: 'false true PI undef',\n\t\t\tbuilt_in: 'circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign'\n\t\t},\n\t\tcontains: [\n\t\t\thljs.C_LINE_COMMENT_MODE,\n\t\t\thljs.C_BLOCK_COMMENT_MODE,\n\t\t\tNUMBERS,\n\t\t\tPREPRO,\n\t\t\tSTRING,\n\t\t\tSPECIAL_VARS,\n\t\t\tMODIFIERS,\n\t\t\tFUNCTIONS\n\t\t]\n\t}\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/openscad.js"],"names":["module","exports","hljs","SPECIAL_VARS","className","begin","NUMBERS","relevance","STRING","inherit","QUOTE_STRING_MODE","illegal","FUNCTIONS","beginKeywords","end","contains","UNDERSCORE_TITLE_MODE","aliases","keywords","keyword","literal","built_in","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACzB,IAAIC,EAAe,CAClBC,UAAW,UACXC,MAAO,kCAMRC,EAAU,CACTF,UAAW,SACXC,MAAO,8BACPE,UAAW,GAEZC,EAASN,EAAKO,QAAQP,EAAKQ,kBAAkB,CAACC,QAAS,OAgBvDC,EAAY,CACXR,UAAW,WACXS,cAAe,kBACfC,IAAK,UACLC,SAAU,CAbF,CACRX,UAAW,SACXC,MAAO,MAAOS,IAAK,MACnBC,SAAU,CAAC,OAAQT,EAASE,EAAQL,EAnB1B,CACVC,UAAW,UACXC,MAAO,yBA2BYH,EAAKc,wBAGzB,MAAO,CACNC,QAAS,CAAC,QACVC,SAAU,CACTC,QAAS,+DACTC,QAAS,sBACTC,SAAU,udAEXN,SAAU,CACTb,EAAKoB,oBACLpB,EAAKqB,qBACLjB,EAhCO,CACRF,UAAW,OACXc,SAAU,CAAC,eAAgB,eAC3Bb,MAAO,gBACPS,IAAK,KA8BJN,EACAL,EAxBU,CACXE,MAAO,SACPE,UAAW,GAwBVK","file":"highlight/openscad.js?v=1a3f064db30c34258c09","sourcesContent":["module.exports = function(hljs) {\n\tvar SPECIAL_VARS = {\n\t\tclassName: 'keyword',\n\t\tbegin: '\\\\$(f[asn]|t|vp[rtd]|children)'\n\t},\n\tLITERALS = {\n\t\tclassName: 'literal',\n\t\tbegin: 'false|true|PI|undef'\n\t},\n\tNUMBERS = {\n\t\tclassName: 'number',\n\t\tbegin: '\\\\b\\\\d+(\\\\.\\\\d+)?(e-?\\\\d+)?', //adds 1e5, 1e-10\n\t\trelevance: 0\n\t},\n\tSTRING = hljs.inherit(hljs.QUOTE_STRING_MODE,{illegal: null}),\n\tPREPRO = {\n\t\tclassName: 'meta',\n\t\tkeywords: {'meta-keyword': 'include use'},\n\t\tbegin: 'include|use <',\n\t\tend: '>'\n\t},\n\tPARAMS = {\n\t\tclassName: 'params',\n\t\tbegin: '\\\\(', end: '\\\\)',\n\t\tcontains: ['self', NUMBERS, STRING, SPECIAL_VARS, LITERALS]\n\t},\n\tMODIFIERS = {\n\t\tbegin: '[*!#%]',\n\t\trelevance: 0\n\t},\n\tFUNCTIONS = {\n\t\tclassName: 'function',\n\t\tbeginKeywords: 'module function',\n\t\tend: '\\\\=|\\\\{',\n\t\tcontains: [PARAMS, hljs.UNDERSCORE_TITLE_MODE]\n\t};\n\n\treturn {\n\t\taliases: ['scad'],\n\t\tkeywords: {\n\t\t\tkeyword: 'function module include use for intersection_for if else \\\\%',\n\t\t\tliteral: 'false true PI undef',\n\t\t\tbuilt_in: 'circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign'\n\t\t},\n\t\tcontains: [\n\t\t\thljs.C_LINE_COMMENT_MODE,\n\t\t\thljs.C_BLOCK_COMMENT_MODE,\n\t\t\tNUMBERS,\n\t\t\tPREPRO,\n\t\t\tSTRING,\n\t\t\tSPECIAL_VARS,\n\t\t\tMODIFIERS,\n\t\t\tFUNCTIONS\n\t\t]\n\t}\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/oxygene.js b/js/highlight/oxygene.js
index a58c695d9..1dad799f5 100644
--- a/js/highlight/oxygene.js
+++ b/js/highlight/oxygene.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[121],{487:function(e,n){e.exports=function(e){var n="abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained",t=e.COMMENT("{","}",{relevance:0}),a=e.COMMENT("\\(\\*","\\*\\)",{relevance:10}),r={className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},s={className:"string",begin:"(#\\d+)+"},i={className:"function",beginKeywords:"function constructor destructor procedure method",end:"[:;]",keywords:"function constructor|10 destructor|10 procedure|10 method|10",contains:[e.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",keywords:n,contains:[r,s]},t,a]};return{case_insensitive:!0,lexemes:/\.?\w+/,keywords:n,illegal:'("|\\$[G-Zg-z]|\\/\\*|</|=>|->)',contains:[t,a,e.C_LINE_COMMENT_MODE,r,s,e.NUMBER_MODE,i,{className:"class",begin:"=\\bclass\\b",end:"end;",keywords:n,contains:[r,s,t,a,e.C_LINE_COMMENT_MODE,i]}]}}}}]);
-//# sourceMappingURL=oxygene.js.map?v=078b873ba9e78fa502da \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[121],{488:function(e,n){e.exports=function(e){var n="abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained",t=e.COMMENT("{","}",{relevance:0}),a=e.COMMENT("\\(\\*","\\*\\)",{relevance:10}),r={className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},s={className:"string",begin:"(#\\d+)+"},i={className:"function",beginKeywords:"function constructor destructor procedure method",end:"[:;]",keywords:"function constructor|10 destructor|10 procedure|10 method|10",contains:[e.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",keywords:n,contains:[r,s]},t,a]};return{case_insensitive:!0,lexemes:/\.?\w+/,keywords:n,illegal:'("|\\$[G-Zg-z]|\\/\\*|</|=>|->)',contains:[t,a,e.C_LINE_COMMENT_MODE,r,s,e.NUMBER_MODE,i,{className:"class",begin:"=\\bclass\\b",end:"end;",keywords:n,contains:[r,s,t,a,e.C_LINE_COMMENT_MODE,i]}]}}}}]);
+//# sourceMappingURL=oxygene.js.map?v=ba5037471c571831e853 \ No newline at end of file
diff --git a/js/highlight/oxygene.js.map b/js/highlight/oxygene.js.map
index f16000754..8f51d0f3b 100644
--- a/js/highlight/oxygene.js.map
+++ b/js/highlight/oxygene.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/oxygene.js"],"names":["module","exports","hljs","OXYGENE_KEYWORDS","CURLY_COMMENT","COMMENT","relevance","PAREN_COMMENT","STRING","className","begin","end","contains","CHAR_STRING","FUNCTION","beginKeywords","keywords","TITLE_MODE","case_insensitive","lexemes","illegal","C_LINE_COMMENT_MODE","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAmB,8lCAQnBC,EAAiBF,EAAKG,QACxB,IACA,IACA,CACEC,UAAW,IAGXC,EAAgBL,EAAKG,QACvB,SACA,SACA,CACEC,UAAW,KAGXE,EAAS,CACXC,UAAW,SACXC,MAAO,IAAMC,IAAK,IAClBC,SAAU,CAAC,CAACF,MAAO,QAEjBG,EAAc,CAChBJ,UAAW,SAAUC,MAAO,YAE1BI,EAAW,CACbL,UAAW,WACXM,cAAe,mDAAoDJ,IAAK,OACxEK,SAAU,+DACVJ,SAAU,CACRV,EAAKe,WACL,CACER,UAAW,SACXC,MAAO,MAAOC,IAAK,MACnBK,SAAUb,EACVS,SAAU,CAACJ,EAAQK,IAErBT,EAAeG,IAGnB,MAAO,CACLW,kBAAkB,EAClBC,QAAS,SACTH,SAAUb,EACViB,QAAS,kCACTR,SAAU,CACRR,EAAeG,EAAeL,EAAKmB,oBACnCb,EAAQK,EACRX,EAAKoB,YACLR,EACA,CACEL,UAAW,QACXC,MAAO,eAAgBC,IAAK,OAC5BK,SAAUb,EACVS,SAAU,CACRJ,EAAQK,EACRT,EAAeG,EAAeL,EAAKmB,oBACnCP","file":"highlight/oxygene.js?v=078b873ba9e78fa502da","sourcesContent":["module.exports = function(hljs) {\n var OXYGENE_KEYWORDS = 'abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue '+\n 'create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false '+\n 'final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited '+\n 'inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of '+\n 'old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly '+\n 'record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple '+\n 'type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal '+\n 'register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained';\n var CURLY_COMMENT = hljs.COMMENT(\n '{',\n '}',\n {\n relevance: 0\n }\n );\n var PAREN_COMMENT = hljs.COMMENT(\n '\\\\(\\\\*',\n '\\\\*\\\\)',\n {\n relevance: 10\n }\n );\n var STRING = {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [{begin: '\\'\\''}]\n };\n var CHAR_STRING = {\n className: 'string', begin: '(#\\\\d+)+'\n };\n var FUNCTION = {\n className: 'function',\n beginKeywords: 'function constructor destructor procedure method', end: '[:;]',\n keywords: 'function constructor|10 destructor|10 procedure|10 method|10',\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n keywords: OXYGENE_KEYWORDS,\n contains: [STRING, CHAR_STRING]\n },\n CURLY_COMMENT, PAREN_COMMENT\n ]\n };\n return {\n case_insensitive: true,\n lexemes: /\\.?\\w+/,\n keywords: OXYGENE_KEYWORDS,\n illegal: '(\"|\\\\$[G-Zg-z]|\\\\/\\\\*|</|=>|->)',\n contains: [\n CURLY_COMMENT, PAREN_COMMENT, hljs.C_LINE_COMMENT_MODE,\n STRING, CHAR_STRING,\n hljs.NUMBER_MODE,\n FUNCTION,\n {\n className: 'class',\n begin: '=\\\\bclass\\\\b', end: 'end;',\n keywords: OXYGENE_KEYWORDS,\n contains: [\n STRING, CHAR_STRING,\n CURLY_COMMENT, PAREN_COMMENT, hljs.C_LINE_COMMENT_MODE,\n FUNCTION\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/oxygene.js"],"names":["module","exports","hljs","OXYGENE_KEYWORDS","CURLY_COMMENT","COMMENT","relevance","PAREN_COMMENT","STRING","className","begin","end","contains","CHAR_STRING","FUNCTION","beginKeywords","keywords","TITLE_MODE","case_insensitive","lexemes","illegal","C_LINE_COMMENT_MODE","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAmB,8lCAQnBC,EAAiBF,EAAKG,QACxB,IACA,IACA,CACEC,UAAW,IAGXC,EAAgBL,EAAKG,QACvB,SACA,SACA,CACEC,UAAW,KAGXE,EAAS,CACXC,UAAW,SACXC,MAAO,IAAMC,IAAK,IAClBC,SAAU,CAAC,CAACF,MAAO,QAEjBG,EAAc,CAChBJ,UAAW,SAAUC,MAAO,YAE1BI,EAAW,CACbL,UAAW,WACXM,cAAe,mDAAoDJ,IAAK,OACxEK,SAAU,+DACVJ,SAAU,CACRV,EAAKe,WACL,CACER,UAAW,SACXC,MAAO,MAAOC,IAAK,MACnBK,SAAUb,EACVS,SAAU,CAACJ,EAAQK,IAErBT,EAAeG,IAGnB,MAAO,CACLW,kBAAkB,EAClBC,QAAS,SACTH,SAAUb,EACViB,QAAS,kCACTR,SAAU,CACRR,EAAeG,EAAeL,EAAKmB,oBACnCb,EAAQK,EACRX,EAAKoB,YACLR,EACA,CACEL,UAAW,QACXC,MAAO,eAAgBC,IAAK,OAC5BK,SAAUb,EACVS,SAAU,CACRJ,EAAQK,EACRT,EAAeG,EAAeL,EAAKmB,oBACnCP","file":"highlight/oxygene.js?v=ba5037471c571831e853","sourcesContent":["module.exports = function(hljs) {\n var OXYGENE_KEYWORDS = 'abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue '+\n 'create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false '+\n 'final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited '+\n 'inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of '+\n 'old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly '+\n 'record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple '+\n 'type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal '+\n 'register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained';\n var CURLY_COMMENT = hljs.COMMENT(\n '{',\n '}',\n {\n relevance: 0\n }\n );\n var PAREN_COMMENT = hljs.COMMENT(\n '\\\\(\\\\*',\n '\\\\*\\\\)',\n {\n relevance: 10\n }\n );\n var STRING = {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [{begin: '\\'\\''}]\n };\n var CHAR_STRING = {\n className: 'string', begin: '(#\\\\d+)+'\n };\n var FUNCTION = {\n className: 'function',\n beginKeywords: 'function constructor destructor procedure method', end: '[:;]',\n keywords: 'function constructor|10 destructor|10 procedure|10 method|10',\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n keywords: OXYGENE_KEYWORDS,\n contains: [STRING, CHAR_STRING]\n },\n CURLY_COMMENT, PAREN_COMMENT\n ]\n };\n return {\n case_insensitive: true,\n lexemes: /\\.?\\w+/,\n keywords: OXYGENE_KEYWORDS,\n illegal: '(\"|\\\\$[G-Zg-z]|\\\\/\\\\*|</|=>|->)',\n contains: [\n CURLY_COMMENT, PAREN_COMMENT, hljs.C_LINE_COMMENT_MODE,\n STRING, CHAR_STRING,\n hljs.NUMBER_MODE,\n FUNCTION,\n {\n className: 'class',\n begin: '=\\\\bclass\\\\b', end: 'end;',\n keywords: OXYGENE_KEYWORDS,\n contains: [\n STRING, CHAR_STRING,\n CURLY_COMMENT, PAREN_COMMENT, hljs.C_LINE_COMMENT_MODE,\n FUNCTION\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/parser3.js b/js/highlight/parser3.js
index 808e20738..11836aa4e 100644
--- a/js/highlight/parser3.js
+++ b/js/highlight/parser3.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[122],{488:function(e,a){e.exports=function(e){var a=e.COMMENT("{","}",{contains:["self"]});return{subLanguage:"xml",relevance:0,contains:[e.COMMENT("^#","$"),e.COMMENT("\\^rem{","}",{relevance:10,contains:[a]}),{className:"meta",begin:"^@(?:BASE|USE|CLASS|OPTIONS)$",relevance:10},{className:"title",begin:"@[\\w\\-]+\\[[\\w^;\\-]*\\](?:\\[[\\w^;\\-]*\\])?(?:.*)$"},{className:"variable",begin:"\\$\\{?[\\w\\-\\.\\:]+\\}?"},{className:"keyword",begin:"\\^[\\w\\-\\.\\:]+"},{className:"number",begin:"\\^#[0-9a-fA-F]+"},e.C_NUMBER_MODE]}}}}]);
-//# sourceMappingURL=parser3.js.map?v=88c7a8cd25f6944801f9 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[122],{489:function(e,a){e.exports=function(e){var a=e.COMMENT("{","}",{contains:["self"]});return{subLanguage:"xml",relevance:0,contains:[e.COMMENT("^#","$"),e.COMMENT("\\^rem{","}",{relevance:10,contains:[a]}),{className:"meta",begin:"^@(?:BASE|USE|CLASS|OPTIONS)$",relevance:10},{className:"title",begin:"@[\\w\\-]+\\[[\\w^;\\-]*\\](?:\\[[\\w^;\\-]*\\])?(?:.*)$"},{className:"variable",begin:"\\$\\{?[\\w\\-\\.\\:]+\\}?"},{className:"keyword",begin:"\\^[\\w\\-\\.\\:]+"},{className:"number",begin:"\\^#[0-9a-fA-F]+"},e.C_NUMBER_MODE]}}}}]);
+//# sourceMappingURL=parser3.js.map?v=339b50117d4d287cf385 \ No newline at end of file
diff --git a/js/highlight/parser3.js.map b/js/highlight/parser3.js.map
index 6f4a2a221..e2455e9d1 100644
--- a/js/highlight/parser3.js.map
+++ b/js/highlight/parser3.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/parser3.js"],"names":["module","exports","hljs","CURLY_SUBCOMMENT","COMMENT","contains","subLanguage","relevance","className","begin","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAmBD,EAAKE,QAC1B,IACA,IACA,CACEC,SAAU,CAAC,UAGf,MAAO,CACLC,YAAa,MAAOC,UAAW,EAC/BF,SAAU,CACRH,EAAKE,QAAQ,KAAM,KACnBF,EAAKE,QACH,UACA,IACA,CACEG,UAAW,GACXF,SAAU,CACRF,KAIN,CACEK,UAAW,OACXC,MAAO,gCACPF,UAAW,IAEb,CACEC,UAAW,QACXC,MAAO,4DAET,CACED,UAAW,WACXC,MAAO,8BAET,CACED,UAAW,UACXC,MAAO,sBAET,CACED,UAAW,SACXC,MAAO,oBAETP,EAAKQ","file":"highlight/parser3.js?v=88c7a8cd25f6944801f9","sourcesContent":["module.exports = function(hljs) {\n var CURLY_SUBCOMMENT = hljs.COMMENT(\n '{',\n '}',\n {\n contains: ['self']\n }\n );\n return {\n subLanguage: 'xml', relevance: 0,\n contains: [\n hljs.COMMENT('^#', '$'),\n hljs.COMMENT(\n '\\\\^rem{',\n '}',\n {\n relevance: 10,\n contains: [\n CURLY_SUBCOMMENT\n ]\n }\n ),\n {\n className: 'meta',\n begin: '^@(?:BASE|USE|CLASS|OPTIONS)$',\n relevance: 10\n },\n {\n className: 'title',\n begin: '@[\\\\w\\\\-]+\\\\[[\\\\w^;\\\\-]*\\\\](?:\\\\[[\\\\w^;\\\\-]*\\\\])?(?:.*)$'\n },\n {\n className: 'variable',\n begin: '\\\\$\\\\{?[\\\\w\\\\-\\\\.\\\\:]+\\\\}?'\n },\n {\n className: 'keyword',\n begin: '\\\\^[\\\\w\\\\-\\\\.\\\\:]+'\n },\n {\n className: 'number',\n begin: '\\\\^#[0-9a-fA-F]+'\n },\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/parser3.js"],"names":["module","exports","hljs","CURLY_SUBCOMMENT","COMMENT","contains","subLanguage","relevance","className","begin","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAmBD,EAAKE,QAC1B,IACA,IACA,CACEC,SAAU,CAAC,UAGf,MAAO,CACLC,YAAa,MAAOC,UAAW,EAC/BF,SAAU,CACRH,EAAKE,QAAQ,KAAM,KACnBF,EAAKE,QACH,UACA,IACA,CACEG,UAAW,GACXF,SAAU,CACRF,KAIN,CACEK,UAAW,OACXC,MAAO,gCACPF,UAAW,IAEb,CACEC,UAAW,QACXC,MAAO,4DAET,CACED,UAAW,WACXC,MAAO,8BAET,CACED,UAAW,UACXC,MAAO,sBAET,CACED,UAAW,SACXC,MAAO,oBAETP,EAAKQ","file":"highlight/parser3.js?v=339b50117d4d287cf385","sourcesContent":["module.exports = function(hljs) {\n var CURLY_SUBCOMMENT = hljs.COMMENT(\n '{',\n '}',\n {\n contains: ['self']\n }\n );\n return {\n subLanguage: 'xml', relevance: 0,\n contains: [\n hljs.COMMENT('^#', '$'),\n hljs.COMMENT(\n '\\\\^rem{',\n '}',\n {\n relevance: 10,\n contains: [\n CURLY_SUBCOMMENT\n ]\n }\n ),\n {\n className: 'meta',\n begin: '^@(?:BASE|USE|CLASS|OPTIONS)$',\n relevance: 10\n },\n {\n className: 'title',\n begin: '@[\\\\w\\\\-]+\\\\[[\\\\w^;\\\\-]*\\\\](?:\\\\[[\\\\w^;\\\\-]*\\\\])?(?:.*)$'\n },\n {\n className: 'variable',\n begin: '\\\\$\\\\{?[\\\\w\\\\-\\\\.\\\\:]+\\\\}?'\n },\n {\n className: 'keyword',\n begin: '\\\\^[\\\\w\\\\-\\\\.\\\\:]+'\n },\n {\n className: 'number',\n begin: '\\\\^#[0-9a-fA-F]+'\n },\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/perl.js b/js/highlight/perl.js
index 446387bc3..2bca73eef 100644
--- a/js/highlight/perl.js
+++ b/js/highlight/perl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[123],{489:function(e,n){e.exports=function(e){var n="getpwent getservent quotemeta msgrcv scalar kill dbmclose undef lc ma syswrite tr send umask sysopen shmwrite vec qx utime local oct semctl localtime readpipe do return format read sprintf dbmopen pop getpgrp not getpwnam rewinddir qqfileno qw endprotoent wait sethostent bless s|0 opendir continue each sleep endgrent shutdown dump chomp connect getsockname die socketpair close flock exists index shmgetsub for endpwent redo lstat msgctl setpgrp abs exit select print ref gethostbyaddr unshift fcntl syscall goto getnetbyaddr join gmtime symlink semget splice x|0 getpeername recv log setsockopt cos last reverse gethostbyname getgrnam study formline endhostent times chop length gethostent getnetent pack getprotoent getservbyname rand mkdir pos chmod y|0 substr endnetent printf next open msgsnd readdir use unlink getsockopt getpriority rindex wantarray hex system getservbyport endservent int chr untie rmdir prototype tell listen fork shmread ucfirst setprotoent else sysseek link getgrgid shmctl waitpid unpack getnetbyname reset chdir grep split require caller lcfirst until warn while values shift telldir getpwuid my getprotobynumber delete and sort uc defined srand accept package seekdir getprotobyname semop our rename seek if q|0 chroot sysread setpwent no crypt getc chown sqrt write setnetent setpriority foreach tie sin msgget map stat getlogin unless elsif truncate exec keys glob tied closedirioctl socket readlink eval xor readline binmode setservent eof ord bind alarm pipe atan2 getgrent exp time push setgrent gt lt or ne m|0 break given say state when",t={className:"subst",begin:"[$@]\\{",end:"\\}",keywords:n},s={begin:"->{",end:"}"},r={variants:[{begin:/\$\d/},{begin:/[\$%@](\^\w\b|#\w+(::\w+)*|{\w+}|\w+(::\w*)*)/},{begin:/[\$%@][^\s\w{]/,relevance:0}]},i=[e.BACKSLASH_ESCAPE,t,r],a=[r,e.HASH_COMMENT_MODE,e.COMMENT("^\\=\\w","\\=cut",{endsWithParent:!0}),s,{className:"string",contains:i,variants:[{begin:"q[qwxr]?\\s*\\(",end:"\\)",relevance:5},{begin:"q[qwxr]?\\s*\\[",end:"\\]",relevance:5},{begin:"q[qwxr]?\\s*\\{",end:"\\}",relevance:5},{begin:"q[qwxr]?\\s*\\|",end:"\\|",relevance:5},{begin:"q[qwxr]?\\s*\\<",end:"\\>",relevance:5},{begin:"qw\\s+q",end:"q",relevance:5},{begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE]},{begin:'"',end:'"'},{begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},{begin:"{\\w+}",contains:[],relevance:0},{begin:"-?\\w+\\s*\\=\\>",contains:[],relevance:0}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},{begin:"(\\/\\/|"+e.RE_STARTERS_RE+"|\\b(split|return|print|reverse|grep)\\b)\\s*",keywords:"split return print reverse grep",relevance:0,contains:[e.HASH_COMMENT_MODE,{className:"regexp",begin:"(s|tr|y)/(\\\\.|[^/])*/(\\\\.|[^/])*/[a-z]*",relevance:10},{className:"regexp",begin:"(m|qr)?/",end:"/[a-z]*",contains:[e.BACKSLASH_ESCAPE],relevance:0}]},{className:"function",beginKeywords:"sub",end:"(\\s*\\(.*?\\))?[;{]",excludeEnd:!0,relevance:5,contains:[e.TITLE_MODE]},{begin:"-\\w\\b",relevance:0},{begin:"^__DATA__$",end:"^__END__$",subLanguage:"mojolicious",contains:[{begin:"^@@.*",end:"$",className:"comment"}]}];return t.contains=a,s.contains=a,{aliases:["pl","pm"],lexemes:/[\w\.]+/,keywords:n,contains:a}}}}]);
-//# sourceMappingURL=perl.js.map?v=f8bf6d3c46f3315f43ed \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[123],{490:function(e,n){e.exports=function(e){var n="getpwent getservent quotemeta msgrcv scalar kill dbmclose undef lc ma syswrite tr send umask sysopen shmwrite vec qx utime local oct semctl localtime readpipe do return format read sprintf dbmopen pop getpgrp not getpwnam rewinddir qqfileno qw endprotoent wait sethostent bless s|0 opendir continue each sleep endgrent shutdown dump chomp connect getsockname die socketpair close flock exists index shmgetsub for endpwent redo lstat msgctl setpgrp abs exit select print ref gethostbyaddr unshift fcntl syscall goto getnetbyaddr join gmtime symlink semget splice x|0 getpeername recv log setsockopt cos last reverse gethostbyname getgrnam study formline endhostent times chop length gethostent getnetent pack getprotoent getservbyname rand mkdir pos chmod y|0 substr endnetent printf next open msgsnd readdir use unlink getsockopt getpriority rindex wantarray hex system getservbyport endservent int chr untie rmdir prototype tell listen fork shmread ucfirst setprotoent else sysseek link getgrgid shmctl waitpid unpack getnetbyname reset chdir grep split require caller lcfirst until warn while values shift telldir getpwuid my getprotobynumber delete and sort uc defined srand accept package seekdir getprotobyname semop our rename seek if q|0 chroot sysread setpwent no crypt getc chown sqrt write setnetent setpriority foreach tie sin msgget map stat getlogin unless elsif truncate exec keys glob tied closedirioctl socket readlink eval xor readline binmode setservent eof ord bind alarm pipe atan2 getgrent exp time push setgrent gt lt or ne m|0 break given say state when",t={className:"subst",begin:"[$@]\\{",end:"\\}",keywords:n},s={begin:"->{",end:"}"},r={variants:[{begin:/\$\d/},{begin:/[\$%@](\^\w\b|#\w+(::\w+)*|{\w+}|\w+(::\w*)*)/},{begin:/[\$%@][^\s\w{]/,relevance:0}]},i=[e.BACKSLASH_ESCAPE,t,r],a=[r,e.HASH_COMMENT_MODE,e.COMMENT("^\\=\\w","\\=cut",{endsWithParent:!0}),s,{className:"string",contains:i,variants:[{begin:"q[qwxr]?\\s*\\(",end:"\\)",relevance:5},{begin:"q[qwxr]?\\s*\\[",end:"\\]",relevance:5},{begin:"q[qwxr]?\\s*\\{",end:"\\}",relevance:5},{begin:"q[qwxr]?\\s*\\|",end:"\\|",relevance:5},{begin:"q[qwxr]?\\s*\\<",end:"\\>",relevance:5},{begin:"qw\\s+q",end:"q",relevance:5},{begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE]},{begin:'"',end:'"'},{begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},{begin:"{\\w+}",contains:[],relevance:0},{begin:"-?\\w+\\s*\\=\\>",contains:[],relevance:0}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},{begin:"(\\/\\/|"+e.RE_STARTERS_RE+"|\\b(split|return|print|reverse|grep)\\b)\\s*",keywords:"split return print reverse grep",relevance:0,contains:[e.HASH_COMMENT_MODE,{className:"regexp",begin:"(s|tr|y)/(\\\\.|[^/])*/(\\\\.|[^/])*/[a-z]*",relevance:10},{className:"regexp",begin:"(m|qr)?/",end:"/[a-z]*",contains:[e.BACKSLASH_ESCAPE],relevance:0}]},{className:"function",beginKeywords:"sub",end:"(\\s*\\(.*?\\))?[;{]",excludeEnd:!0,relevance:5,contains:[e.TITLE_MODE]},{begin:"-\\w\\b",relevance:0},{begin:"^__DATA__$",end:"^__END__$",subLanguage:"mojolicious",contains:[{begin:"^@@.*",end:"$",className:"comment"}]}];return t.contains=a,s.contains=a,{aliases:["pl","pm"],lexemes:/[\w\.]+/,keywords:n,contains:a}}}}]);
+//# sourceMappingURL=perl.js.map?v=bd941caa5d2f53d1d4b0 \ No newline at end of file
diff --git a/js/highlight/perl.js.map b/js/highlight/perl.js.map
index 2a985c1e4..7cd15582a 100644
--- a/js/highlight/perl.js.map
+++ b/js/highlight/perl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/perl.js"],"names":["module","exports","hljs","PERL_KEYWORDS","SUBST","className","begin","end","keywords","METHOD","VAR","variants","relevance","STRING_CONTAINS","BACKSLASH_ESCAPE","PERL_DEFAULT_CONTAINS","HASH_COMMENT_MODE","COMMENT","endsWithParent","contains","RE_STARTERS_RE","beginKeywords","excludeEnd","TITLE_MODE","subLanguage","aliases","lexemes"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAgB,2iDAmBhBC,EAAQ,CACVC,UAAW,QACXC,MAAO,UAAWC,IAAK,MACvBC,SAAUL,GAERM,EAAS,CACXH,MAAO,MAAOC,IAAK,KAGjBG,EAAM,CACRC,SAAU,CACR,CAACL,MAAO,QACR,CAACA,MAAO,iDACR,CAACA,MAAO,iBAAkBM,UAAW,KAGrCC,EAAkB,CAACX,EAAKY,iBAAkBV,EAAOM,GACjDK,EAAwB,CAC1BL,EACAR,EAAKc,kBACLd,EAAKe,QACH,UACA,SACA,CACEC,gBAAgB,IAGpBT,EACA,CACEJ,UAAW,SACXc,SAAUN,EACVF,SAAU,CACR,CACEL,MAAO,kBAAmBC,IAAK,MAC/BK,UAAW,GAEb,CACEN,MAAO,kBAAmBC,IAAK,MAC/BK,UAAW,GAEb,CACEN,MAAO,kBAAmBC,IAAK,MAC/BK,UAAW,GAEb,CACEN,MAAO,kBAAmBC,IAAK,MAC/BK,UAAW,GAEb,CACEN,MAAO,kBAAmBC,IAAK,MAC/BK,UAAW,GAEb,CACEN,MAAO,UAAWC,IAAK,IACvBK,UAAW,GAEb,CACEN,MAAO,IAAMC,IAAK,IAClBY,SAAU,CAACjB,EAAKY,mBAElB,CACER,MAAO,IAAKC,IAAK,KAEnB,CACED,MAAO,IAAKC,IAAK,IACjBY,SAAU,CAACjB,EAAKY,mBAElB,CACER,MAAO,SACPa,SAAU,GACVP,UAAW,GAEb,CACEN,MAAO,mBACPa,SAAU,GACVP,UAAW,KAIjB,CACEP,UAAW,SACXC,MAAO,4EACPM,UAAW,GAEb,CACEN,MAAO,WAAaJ,EAAKkB,eAAiB,gDAC1CZ,SAAU,kCACVI,UAAW,EACXO,SAAU,CACRjB,EAAKc,kBACL,CACEX,UAAW,SACXC,MAAO,8CACPM,UAAW,IAEb,CACEP,UAAW,SACXC,MAAO,WAAYC,IAAK,UACxBY,SAAU,CAACjB,EAAKY,kBAChBF,UAAW,KAIjB,CACEP,UAAW,WACXgB,cAAe,MAAOd,IAAK,uBAAwBe,YAAY,EAC/DV,UAAW,EACXO,SAAU,CAACjB,EAAKqB,aAElB,CACEjB,MAAO,UACPM,UAAW,GAEb,CACEN,MAAO,aACPC,IAAK,YACLiB,YAAa,cACbL,SAAU,CACR,CACIb,MAAO,QACPC,IAAK,IACLF,UAAW,cAQrB,OAHAD,EAAMe,SAAWJ,EACjBN,EAAOU,SAAWJ,EAEX,CACLU,QAAS,CAAC,KAAM,MAChBC,QAAS,UACTlB,SAAUL,EACVgB,SAAUJ","file":"highlight/perl.js?v=f8bf6d3c46f3315f43ed","sourcesContent":["module.exports = function(hljs) {\n var PERL_KEYWORDS = 'getpwent getservent quotemeta msgrcv scalar kill dbmclose undef lc ' +\n 'ma syswrite tr send umask sysopen shmwrite vec qx utime local oct semctl localtime ' +\n 'readpipe do return format read sprintf dbmopen pop getpgrp not getpwnam rewinddir qq' +\n 'fileno qw endprotoent wait sethostent bless s|0 opendir continue each sleep endgrent ' +\n 'shutdown dump chomp connect getsockname die socketpair close flock exists index shmget' +\n 'sub for endpwent redo lstat msgctl setpgrp abs exit select print ref gethostbyaddr ' +\n 'unshift fcntl syscall goto getnetbyaddr join gmtime symlink semget splice x|0 ' +\n 'getpeername recv log setsockopt cos last reverse gethostbyname getgrnam study formline ' +\n 'endhostent times chop length gethostent getnetent pack getprotoent getservbyname rand ' +\n 'mkdir pos chmod y|0 substr endnetent printf next open msgsnd readdir use unlink ' +\n 'getsockopt getpriority rindex wantarray hex system getservbyport endservent int chr ' +\n 'untie rmdir prototype tell listen fork shmread ucfirst setprotoent else sysseek link ' +\n 'getgrgid shmctl waitpid unpack getnetbyname reset chdir grep split require caller ' +\n 'lcfirst until warn while values shift telldir getpwuid my getprotobynumber delete and ' +\n 'sort uc defined srand accept package seekdir getprotobyname semop our rename seek if q|0 ' +\n 'chroot sysread setpwent no crypt getc chown sqrt write setnetent setpriority foreach ' +\n 'tie sin msgget map stat getlogin unless elsif truncate exec keys glob tied closedir' +\n 'ioctl socket readlink eval xor readline binmode setservent eof ord bind alarm pipe ' +\n 'atan2 getgrent exp time push setgrent gt lt or ne m|0 break given say state when';\n var SUBST = {\n className: 'subst',\n begin: '[$@]\\\\{', end: '\\\\}',\n keywords: PERL_KEYWORDS\n };\n var METHOD = {\n begin: '->{', end: '}'\n // contains defined later\n };\n var VAR = {\n variants: [\n {begin: /\\$\\d/},\n {begin: /[\\$%@](\\^\\w\\b|#\\w+(::\\w+)*|{\\w+}|\\w+(::\\w*)*)/},\n {begin: /[\\$%@][^\\s\\w{]/, relevance: 0}\n ]\n };\n var STRING_CONTAINS = [hljs.BACKSLASH_ESCAPE, SUBST, VAR];\n var PERL_DEFAULT_CONTAINS = [\n VAR,\n hljs.HASH_COMMENT_MODE,\n hljs.COMMENT(\n '^\\\\=\\\\w',\n '\\\\=cut',\n {\n endsWithParent: true\n }\n ),\n METHOD,\n {\n className: 'string',\n contains: STRING_CONTAINS,\n variants: [\n {\n begin: 'q[qwxr]?\\\\s*\\\\(', end: '\\\\)',\n relevance: 5\n },\n {\n begin: 'q[qwxr]?\\\\s*\\\\[', end: '\\\\]',\n relevance: 5\n },\n {\n begin: 'q[qwxr]?\\\\s*\\\\{', end: '\\\\}',\n relevance: 5\n },\n {\n begin: 'q[qwxr]?\\\\s*\\\\|', end: '\\\\|',\n relevance: 5\n },\n {\n begin: 'q[qwxr]?\\\\s*\\\\<', end: '\\\\>',\n relevance: 5\n },\n {\n begin: 'qw\\\\s+q', end: 'q',\n relevance: 5\n },\n {\n begin: '\\'', end: '\\'',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '\"', end: '\"'\n },\n {\n begin: '`', end: '`',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '{\\\\w+}',\n contains: [],\n relevance: 0\n },\n {\n begin: '\\-?\\\\w+\\\\s*\\\\=\\\\>',\n contains: [],\n relevance: 0\n }\n ]\n },\n {\n className: 'number',\n begin: '(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b',\n relevance: 0\n },\n { // regexp container\n begin: '(\\\\/\\\\/|' + hljs.RE_STARTERS_RE + '|\\\\b(split|return|print|reverse|grep)\\\\b)\\\\s*',\n keywords: 'split return print reverse grep',\n relevance: 0,\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n className: 'regexp',\n begin: '(s|tr|y)/(\\\\\\\\.|[^/])*/(\\\\\\\\.|[^/])*/[a-z]*',\n relevance: 10\n },\n {\n className: 'regexp',\n begin: '(m|qr)?/', end: '/[a-z]*',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 0 // allows empty \"//\" which is a common comment delimiter in other languages\n }\n ]\n },\n {\n className: 'function',\n beginKeywords: 'sub', end: '(\\\\s*\\\\(.*?\\\\))?[;{]', excludeEnd: true,\n relevance: 5,\n contains: [hljs.TITLE_MODE]\n },\n {\n begin: '-\\\\w\\\\b',\n relevance: 0\n },\n {\n begin: \"^__DATA__$\",\n end: \"^__END__$\",\n subLanguage: 'mojolicious',\n contains: [\n {\n begin: \"^@@.*\",\n end: \"$\",\n className: \"comment\"\n }\n ]\n }\n ];\n SUBST.contains = PERL_DEFAULT_CONTAINS;\n METHOD.contains = PERL_DEFAULT_CONTAINS;\n\n return {\n aliases: ['pl', 'pm'],\n lexemes: /[\\w\\.]+/,\n keywords: PERL_KEYWORDS,\n contains: PERL_DEFAULT_CONTAINS\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/perl.js"],"names":["module","exports","hljs","PERL_KEYWORDS","SUBST","className","begin","end","keywords","METHOD","VAR","variants","relevance","STRING_CONTAINS","BACKSLASH_ESCAPE","PERL_DEFAULT_CONTAINS","HASH_COMMENT_MODE","COMMENT","endsWithParent","contains","RE_STARTERS_RE","beginKeywords","excludeEnd","TITLE_MODE","subLanguage","aliases","lexemes"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAgB,2iDAmBhBC,EAAQ,CACVC,UAAW,QACXC,MAAO,UAAWC,IAAK,MACvBC,SAAUL,GAERM,EAAS,CACXH,MAAO,MAAOC,IAAK,KAGjBG,EAAM,CACRC,SAAU,CACR,CAACL,MAAO,QACR,CAACA,MAAO,iDACR,CAACA,MAAO,iBAAkBM,UAAW,KAGrCC,EAAkB,CAACX,EAAKY,iBAAkBV,EAAOM,GACjDK,EAAwB,CAC1BL,EACAR,EAAKc,kBACLd,EAAKe,QACH,UACA,SACA,CACEC,gBAAgB,IAGpBT,EACA,CACEJ,UAAW,SACXc,SAAUN,EACVF,SAAU,CACR,CACEL,MAAO,kBAAmBC,IAAK,MAC/BK,UAAW,GAEb,CACEN,MAAO,kBAAmBC,IAAK,MAC/BK,UAAW,GAEb,CACEN,MAAO,kBAAmBC,IAAK,MAC/BK,UAAW,GAEb,CACEN,MAAO,kBAAmBC,IAAK,MAC/BK,UAAW,GAEb,CACEN,MAAO,kBAAmBC,IAAK,MAC/BK,UAAW,GAEb,CACEN,MAAO,UAAWC,IAAK,IACvBK,UAAW,GAEb,CACEN,MAAO,IAAMC,IAAK,IAClBY,SAAU,CAACjB,EAAKY,mBAElB,CACER,MAAO,IAAKC,IAAK,KAEnB,CACED,MAAO,IAAKC,IAAK,IACjBY,SAAU,CAACjB,EAAKY,mBAElB,CACER,MAAO,SACPa,SAAU,GACVP,UAAW,GAEb,CACEN,MAAO,mBACPa,SAAU,GACVP,UAAW,KAIjB,CACEP,UAAW,SACXC,MAAO,4EACPM,UAAW,GAEb,CACEN,MAAO,WAAaJ,EAAKkB,eAAiB,gDAC1CZ,SAAU,kCACVI,UAAW,EACXO,SAAU,CACRjB,EAAKc,kBACL,CACEX,UAAW,SACXC,MAAO,8CACPM,UAAW,IAEb,CACEP,UAAW,SACXC,MAAO,WAAYC,IAAK,UACxBY,SAAU,CAACjB,EAAKY,kBAChBF,UAAW,KAIjB,CACEP,UAAW,WACXgB,cAAe,MAAOd,IAAK,uBAAwBe,YAAY,EAC/DV,UAAW,EACXO,SAAU,CAACjB,EAAKqB,aAElB,CACEjB,MAAO,UACPM,UAAW,GAEb,CACEN,MAAO,aACPC,IAAK,YACLiB,YAAa,cACbL,SAAU,CACR,CACIb,MAAO,QACPC,IAAK,IACLF,UAAW,cAQrB,OAHAD,EAAMe,SAAWJ,EACjBN,EAAOU,SAAWJ,EAEX,CACLU,QAAS,CAAC,KAAM,MAChBC,QAAS,UACTlB,SAAUL,EACVgB,SAAUJ","file":"highlight/perl.js?v=bd941caa5d2f53d1d4b0","sourcesContent":["module.exports = function(hljs) {\n var PERL_KEYWORDS = 'getpwent getservent quotemeta msgrcv scalar kill dbmclose undef lc ' +\n 'ma syswrite tr send umask sysopen shmwrite vec qx utime local oct semctl localtime ' +\n 'readpipe do return format read sprintf dbmopen pop getpgrp not getpwnam rewinddir qq' +\n 'fileno qw endprotoent wait sethostent bless s|0 opendir continue each sleep endgrent ' +\n 'shutdown dump chomp connect getsockname die socketpair close flock exists index shmget' +\n 'sub for endpwent redo lstat msgctl setpgrp abs exit select print ref gethostbyaddr ' +\n 'unshift fcntl syscall goto getnetbyaddr join gmtime symlink semget splice x|0 ' +\n 'getpeername recv log setsockopt cos last reverse gethostbyname getgrnam study formline ' +\n 'endhostent times chop length gethostent getnetent pack getprotoent getservbyname rand ' +\n 'mkdir pos chmod y|0 substr endnetent printf next open msgsnd readdir use unlink ' +\n 'getsockopt getpriority rindex wantarray hex system getservbyport endservent int chr ' +\n 'untie rmdir prototype tell listen fork shmread ucfirst setprotoent else sysseek link ' +\n 'getgrgid shmctl waitpid unpack getnetbyname reset chdir grep split require caller ' +\n 'lcfirst until warn while values shift telldir getpwuid my getprotobynumber delete and ' +\n 'sort uc defined srand accept package seekdir getprotobyname semop our rename seek if q|0 ' +\n 'chroot sysread setpwent no crypt getc chown sqrt write setnetent setpriority foreach ' +\n 'tie sin msgget map stat getlogin unless elsif truncate exec keys glob tied closedir' +\n 'ioctl socket readlink eval xor readline binmode setservent eof ord bind alarm pipe ' +\n 'atan2 getgrent exp time push setgrent gt lt or ne m|0 break given say state when';\n var SUBST = {\n className: 'subst',\n begin: '[$@]\\\\{', end: '\\\\}',\n keywords: PERL_KEYWORDS\n };\n var METHOD = {\n begin: '->{', end: '}'\n // contains defined later\n };\n var VAR = {\n variants: [\n {begin: /\\$\\d/},\n {begin: /[\\$%@](\\^\\w\\b|#\\w+(::\\w+)*|{\\w+}|\\w+(::\\w*)*)/},\n {begin: /[\\$%@][^\\s\\w{]/, relevance: 0}\n ]\n };\n var STRING_CONTAINS = [hljs.BACKSLASH_ESCAPE, SUBST, VAR];\n var PERL_DEFAULT_CONTAINS = [\n VAR,\n hljs.HASH_COMMENT_MODE,\n hljs.COMMENT(\n '^\\\\=\\\\w',\n '\\\\=cut',\n {\n endsWithParent: true\n }\n ),\n METHOD,\n {\n className: 'string',\n contains: STRING_CONTAINS,\n variants: [\n {\n begin: 'q[qwxr]?\\\\s*\\\\(', end: '\\\\)',\n relevance: 5\n },\n {\n begin: 'q[qwxr]?\\\\s*\\\\[', end: '\\\\]',\n relevance: 5\n },\n {\n begin: 'q[qwxr]?\\\\s*\\\\{', end: '\\\\}',\n relevance: 5\n },\n {\n begin: 'q[qwxr]?\\\\s*\\\\|', end: '\\\\|',\n relevance: 5\n },\n {\n begin: 'q[qwxr]?\\\\s*\\\\<', end: '\\\\>',\n relevance: 5\n },\n {\n begin: 'qw\\\\s+q', end: 'q',\n relevance: 5\n },\n {\n begin: '\\'', end: '\\'',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '\"', end: '\"'\n },\n {\n begin: '`', end: '`',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '{\\\\w+}',\n contains: [],\n relevance: 0\n },\n {\n begin: '\\-?\\\\w+\\\\s*\\\\=\\\\>',\n contains: [],\n relevance: 0\n }\n ]\n },\n {\n className: 'number',\n begin: '(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b',\n relevance: 0\n },\n { // regexp container\n begin: '(\\\\/\\\\/|' + hljs.RE_STARTERS_RE + '|\\\\b(split|return|print|reverse|grep)\\\\b)\\\\s*',\n keywords: 'split return print reverse grep',\n relevance: 0,\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n className: 'regexp',\n begin: '(s|tr|y)/(\\\\\\\\.|[^/])*/(\\\\\\\\.|[^/])*/[a-z]*',\n relevance: 10\n },\n {\n className: 'regexp',\n begin: '(m|qr)?/', end: '/[a-z]*',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 0 // allows empty \"//\" which is a common comment delimiter in other languages\n }\n ]\n },\n {\n className: 'function',\n beginKeywords: 'sub', end: '(\\\\s*\\\\(.*?\\\\))?[;{]', excludeEnd: true,\n relevance: 5,\n contains: [hljs.TITLE_MODE]\n },\n {\n begin: '-\\\\w\\\\b',\n relevance: 0\n },\n {\n begin: \"^__DATA__$\",\n end: \"^__END__$\",\n subLanguage: 'mojolicious',\n contains: [\n {\n begin: \"^@@.*\",\n end: \"$\",\n className: \"comment\"\n }\n ]\n }\n ];\n SUBST.contains = PERL_DEFAULT_CONTAINS;\n METHOD.contains = PERL_DEFAULT_CONTAINS;\n\n return {\n aliases: ['pl', 'pm'],\n lexemes: /[\\w\\.]+/,\n keywords: PERL_KEYWORDS,\n contains: PERL_DEFAULT_CONTAINS\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/pf.js b/js/highlight/pf.js
index d3aa2a1e6..a87aff95d 100644
--- a/js/highlight/pf.js
+++ b/js/highlight/pf.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[124],{490:function(t,o){t.exports=function(t){return{aliases:["pf.conf"],lexemes:/[a-z0-9_<>-]+/,keywords:{built_in:"block match pass load anchor|5 antispoof|10 set table",keyword:"in out log quick on rdomain inet inet6 proto from port os to routeallow-opts divert-packet divert-reply divert-to flags group icmp-typeicmp6-type label once probability recieved-on rtable prio queuetos tag tagged user keep fragment for os dropaf-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robinsource-hash static-portdup-to reply-to route-toparent bandwidth default min max qlimitblock-policy debug fingerprints hostid limit loginterface optimizationreassemble ruleset-optimization basic none profile skip state-defaultsstate-policy timeoutconst counters persistno modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppysource-track global rule max-src-nodes max-src-states max-src-connmax-src-conn-rate overload flushscrub|5 max-mss min-ttl no-df|10 random-id",literal:"all any no-route self urpf-failed egress|5 unknown"},contains:[t.HASH_COMMENT_MODE,t.NUMBER_MODE,t.QUOTE_STRING_MODE,{className:"variable",begin:/\$[\w\d#@][\w\d_]*/},{className:"variable",begin:/<(?!\/)/,end:/>/}]}}}}]);
-//# sourceMappingURL=pf.js.map?v=d6fb6a80350420c0a6f9 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[124],{491:function(t,o){t.exports=function(t){return{aliases:["pf.conf"],lexemes:/[a-z0-9_<>-]+/,keywords:{built_in:"block match pass load anchor|5 antispoof|10 set table",keyword:"in out log quick on rdomain inet inet6 proto from port os to routeallow-opts divert-packet divert-reply divert-to flags group icmp-typeicmp6-type label once probability recieved-on rtable prio queuetos tag tagged user keep fragment for os dropaf-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robinsource-hash static-portdup-to reply-to route-toparent bandwidth default min max qlimitblock-policy debug fingerprints hostid limit loginterface optimizationreassemble ruleset-optimization basic none profile skip state-defaultsstate-policy timeoutconst counters persistno modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppysource-track global rule max-src-nodes max-src-states max-src-connmax-src-conn-rate overload flushscrub|5 max-mss min-ttl no-df|10 random-id",literal:"all any no-route self urpf-failed egress|5 unknown"},contains:[t.HASH_COMMENT_MODE,t.NUMBER_MODE,t.QUOTE_STRING_MODE,{className:"variable",begin:/\$[\w\d#@][\w\d_]*/},{className:"variable",begin:/<(?!\/)/,end:/>/}]}}}}]);
+//# sourceMappingURL=pf.js.map?v=07ab8fb74ff9bea845d5 \ No newline at end of file
diff --git a/js/highlight/pf.js.map b/js/highlight/pf.js.map
index 392bf41d1..80a6f51d7 100644
--- a/js/highlight/pf.js.map
+++ b/js/highlight/pf.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/pf.js"],"names":["module","exports","hljs","aliases","lexemes","keywords","built_in","keyword","literal","contains","HASH_COMMENT_MODE","NUMBER_MODE","QUOTE_STRING_MODE","className","begin","end"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAcxB,MAAO,CACLC,QAAS,CAAC,WACVC,QAAS,gBACTC,SAAU,CACRC,SAGE,wDACFC,QACE,myBAgBFC,QACE,sDAEJC,SAAU,CACRP,EAAKQ,kBACLR,EAAKS,YACLT,EAAKU,kBA5CG,CACVC,UAAW,WACXC,MAAO,sBAEG,CACVD,UAAW,WACXC,MAAO,UAAWC,IAAK","file":"highlight/pf.js?v=d6fb6a80350420c0a6f9","sourcesContent":["module.exports = function(hljs) {\n var MACRO = {\n className: 'variable',\n begin: /\\$[\\w\\d#@][\\w\\d_]*/\n };\n var TABLE = {\n className: 'variable',\n begin: /<(?!\\/)/, end: />/\n };\n var QUOTE_STRING = {\n className: 'string',\n begin: /\"/, end: /\"/\n };\n\n return {\n aliases: ['pf.conf'],\n lexemes: /[a-z0-9_<>-]+/,\n keywords: {\n built_in: /* block match pass are \"actions\" in pf.conf(5), the rest are\n * lexically similar top-level commands.\n */\n 'block match pass load anchor|5 antispoof|10 set table',\n keyword:\n 'in out log quick on rdomain inet inet6 proto from port os to route' +\n 'allow-opts divert-packet divert-reply divert-to flags group icmp-type' +\n 'icmp6-type label once probability recieved-on rtable prio queue' +\n 'tos tag tagged user keep fragment for os drop' +\n 'af-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robin' +\n 'source-hash static-port' +\n 'dup-to reply-to route-to' +\n 'parent bandwidth default min max qlimit' +\n 'block-policy debug fingerprints hostid limit loginterface optimization' +\n 'reassemble ruleset-optimization basic none profile skip state-defaults' +\n 'state-policy timeout' +\n 'const counters persist' +\n 'no modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppy' +\n 'source-track global rule max-src-nodes max-src-states max-src-conn' +\n 'max-src-conn-rate overload flush' +\n 'scrub|5 max-mss min-ttl no-df|10 random-id',\n literal:\n 'all any no-route self urpf-failed egress|5 unknown'\n },\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.NUMBER_MODE,\n hljs.QUOTE_STRING_MODE,\n MACRO,\n TABLE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/pf.js"],"names":["module","exports","hljs","aliases","lexemes","keywords","built_in","keyword","literal","contains","HASH_COMMENT_MODE","NUMBER_MODE","QUOTE_STRING_MODE","className","begin","end"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAcxB,MAAO,CACLC,QAAS,CAAC,WACVC,QAAS,gBACTC,SAAU,CACRC,SAGE,wDACFC,QACE,myBAgBFC,QACE,sDAEJC,SAAU,CACRP,EAAKQ,kBACLR,EAAKS,YACLT,EAAKU,kBA5CG,CACVC,UAAW,WACXC,MAAO,sBAEG,CACVD,UAAW,WACXC,MAAO,UAAWC,IAAK","file":"highlight/pf.js?v=07ab8fb74ff9bea845d5","sourcesContent":["module.exports = function(hljs) {\n var MACRO = {\n className: 'variable',\n begin: /\\$[\\w\\d#@][\\w\\d_]*/\n };\n var TABLE = {\n className: 'variable',\n begin: /<(?!\\/)/, end: />/\n };\n var QUOTE_STRING = {\n className: 'string',\n begin: /\"/, end: /\"/\n };\n\n return {\n aliases: ['pf.conf'],\n lexemes: /[a-z0-9_<>-]+/,\n keywords: {\n built_in: /* block match pass are \"actions\" in pf.conf(5), the rest are\n * lexically similar top-level commands.\n */\n 'block match pass load anchor|5 antispoof|10 set table',\n keyword:\n 'in out log quick on rdomain inet inet6 proto from port os to route' +\n 'allow-opts divert-packet divert-reply divert-to flags group icmp-type' +\n 'icmp6-type label once probability recieved-on rtable prio queue' +\n 'tos tag tagged user keep fragment for os drop' +\n 'af-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robin' +\n 'source-hash static-port' +\n 'dup-to reply-to route-to' +\n 'parent bandwidth default min max qlimit' +\n 'block-policy debug fingerprints hostid limit loginterface optimization' +\n 'reassemble ruleset-optimization basic none profile skip state-defaults' +\n 'state-policy timeout' +\n 'const counters persist' +\n 'no modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppy' +\n 'source-track global rule max-src-nodes max-src-states max-src-conn' +\n 'max-src-conn-rate overload flush' +\n 'scrub|5 max-mss min-ttl no-df|10 random-id',\n literal:\n 'all any no-route self urpf-failed egress|5 unknown'\n },\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.NUMBER_MODE,\n hljs.QUOTE_STRING_MODE,\n MACRO,\n TABLE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/pgsql.js b/js/highlight/pgsql.js
index 3ebc5c8ef..a4d23af21 100644
--- a/js/highlight/pgsql.js
+++ b/js/highlight/pgsql.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[125],{491:function(E,T){E.exports=function(E){var T=E.COMMENT("--","$"),N="BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR NAME OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 ",A=N.trim().split(" ").map((function(E){return E.split("|")[0]})).join("|"),R="ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP PERCENTILE_CONT PERCENTILE_DISC ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE NUM_NONNULLS NUM_NULLS ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT TRUNC WIDTH_BUCKET RANDOM SETSEED ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAPLEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR TO_ASCII TO_HEX TRANSLATE OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 TIMEOFDAY TRANSACTION_TIMESTAMP|10 ENUM_FIRST ENUM_LAST ENUM_RANGE AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILYINET_MERGE MACADDR8_SET7BIT ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA CURSOR_TO_XML CURSOR_TO_XMLSCHEMA SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA XMLATTRIBUTES TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY CURRVAL LASTVAL NEXTVAL SETVAL COALESCE NULLIF GREATEST LEAST ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY STRING_TO_ARRAY UNNEST ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE GENERATE_SERIES GENERATE_SUBSCRIPTS CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE GIN_CLEAN_PENDING_LIST SUPPRESS_REDUNDANT_UPDATES_TRIGGER LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE GROUPING CAST ".trim().split(" ").map((function(E){return E.split("|")[0]})).join("|");return{aliases:["postgres","postgresql"],case_insensitive:!0,keywords:{keyword:"ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION INDEX PROCEDURE ASSERTION ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS DEFERRABLE RANGE DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED OF NOTHING NONE EXCLUDE ATTRIBUTE USAGE ROUTINES TRUE FALSE NAN INFINITY ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT OPEN SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS ",built_in:"CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 SQLSTATE SQLERRM|10 SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED INDEX_CORRUPTED "},illegal:/:==|\W\s*\(\*|(^|\s)\$[a-z]|{{|[a-z]:\s*$|\.\.\.|TO:|DO:/,contains:[{className:"keyword",variants:[{begin:/\bTEXT\s*SEARCH\b/},{begin:/\b(PRIMARY|FOREIGN|FOR(\s+NO)?)\s+KEY\b/},{begin:/\bPARALLEL\s+(UNSAFE|RESTRICTED|SAFE)\b/},{begin:/\bSTORAGE\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\b/},{begin:/\bMATCH\s+(FULL|PARTIAL|SIMPLE)\b/},{begin:/\bNULLS\s+(FIRST|LAST)\b/},{begin:/\bEVENT\s+TRIGGER\b/},{begin:/\b(MAPPING|OR)\s+REPLACE\b/},{begin:/\b(FROM|TO)\s+(PROGRAM|STDIN|STDOUT)\b/},{begin:/\b(SHARE|EXCLUSIVE)\s+MODE\b/},{begin:/\b(LEFT|RIGHT)\s+(OUTER\s+)?JOIN\b/},{begin:/\b(FETCH|MOVE)\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\b/},{begin:/\bPRESERVE\s+ROWS\b/},{begin:/\bDISCARD\s+PLANS\b/},{begin:/\bREFERENCING\s+(OLD|NEW)\b/},{begin:/\bSKIP\s+LOCKED\b/},{begin:/\bGROUPING\s+SETS\b/},{begin:/\b(BINARY|INSENSITIVE|SCROLL|NO\s+SCROLL)\s+(CURSOR|FOR)\b/},{begin:/\b(WITH|WITHOUT)\s+HOLD\b/},{begin:/\bWITH\s+(CASCADED|LOCAL)\s+CHECK\s+OPTION\b/},{begin:/\bEXCLUDE\s+(TIES|NO\s+OTHERS)\b/},{begin:/\bFORMAT\s+(TEXT|XML|JSON|YAML)\b/},{begin:/\bSET\s+((SESSION|LOCAL)\s+)?NAMES\b/},{begin:/\bIS\s+(NOT\s+)?UNKNOWN\b/},{begin:/\bSECURITY\s+LABEL\b/},{begin:/\bSTANDALONE\s+(YES|NO|NO\s+VALUE)\b/},{begin:/\bWITH\s+(NO\s+)?DATA\b/},{begin:/\b(FOREIGN|SET)\s+DATA\b/},{begin:/\bSET\s+(CATALOG|CONSTRAINTS)\b/},{begin:/\b(WITH|FOR)\s+ORDINALITY\b/},{begin:/\bIS\s+(NOT\s+)?DOCUMENT\b/},{begin:/\bXML\s+OPTION\s+(DOCUMENT|CONTENT)\b/},{begin:/\b(STRIP|PRESERVE)\s+WHITESPACE\b/},{begin:/\bNO\s+(ACTION|MAXVALUE|MINVALUE)\b/},{begin:/\bPARTITION\s+BY\s+(RANGE|LIST|HASH)\b/},{begin:/\bAT\s+TIME\s+ZONE\b/},{begin:/\bGRANTED\s+BY\b/},{begin:/\bRETURN\s+(QUERY|NEXT)\b/},{begin:/\b(ATTACH|DETACH)\s+PARTITION\b/},{begin:/\bFORCE\s+ROW\s+LEVEL\s+SECURITY\b/},{begin:/\b(INCLUDING|EXCLUDING)\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\b/},{begin:/\bAS\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\b/}]},{begin:/\b(FORMAT|FAMILY|VERSION)\s*\(/},{begin:/\bINCLUDE\s*\(/,keywords:"INCLUDE"},{begin:/\bRANGE(?!\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/},{begin:/\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\s*=/},{begin:/\b(PG_\w+?|HAS_[A-Z_]+_PRIVILEGE)\b/,relevance:10},{begin:/\bEXTRACT\s*\(/,end:/\bFROM\b/,returnEnd:!0,keywords:{type:"CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR TIMEZONE_MINUTE WEEK YEAR"}},{begin:/\b(XMLELEMENT|XMLPI)\s*\(\s*NAME/,keywords:{keyword:"NAME"}},{begin:/\b(XMLPARSE|XMLSERIALIZE)\s*\(\s*(DOCUMENT|CONTENT)/,keywords:{keyword:"DOCUMENT CONTENT"}},{beginKeywords:"CACHE INCREMENT MAXVALUE MINVALUE",end:E.C_NUMBER_RE,returnEnd:!0,keywords:"BY CACHE INCREMENT MAXVALUE MINVALUE"},{className:"type",begin:/\b(WITH|WITHOUT)\s+TIME\s+ZONE\b/},{className:"type",begin:/\bINTERVAL\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\s+TO\s+(MONTH|HOUR|MINUTE|SECOND))?\b/},{begin:/\bRETURNS\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\b/,keywords:{keyword:"RETURNS",type:"LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER"}},{begin:"\\b("+R+")\\s*\\("},{begin:"\\.("+A+")\\b"},{begin:"\\b("+A+")\\s+PATH\\b",keywords:{keyword:"PATH",type:N.replace("PATH ","")}},{className:"type",begin:"\\b("+A+")\\b"},{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{className:"string",begin:"(e|E|u&|U&)'",end:"'",contains:[{begin:"\\\\."}],relevance:10},{begin:"\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\$",endSameAsBegin:!0,contains:[{subLanguage:["pgsql","perl","python","tcl","r","lua","java","php","ruby","bash","scheme","xml","json"],endsWithParent:!0}]},{begin:'"',end:'"',contains:[{begin:'""'}]},E.C_NUMBER_MODE,E.C_BLOCK_COMMENT_MODE,T,{className:"meta",variants:[{begin:"%(ROW)?TYPE",relevance:10},{begin:"\\$\\d+"},{begin:"^#\\w",end:"$"}]},{className:"symbol",begin:"<<\\s*[a-zA-Z_][a-zA-Z_0-9$]*\\s*>>",relevance:10}]}}}}]);
-//# sourceMappingURL=pgsql.js.map?v=cac13aff011c525bc9d7 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[125],{492:function(E,T){E.exports=function(E){var T=E.COMMENT("--","$"),N="BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR NAME OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 ",A=N.trim().split(" ").map((function(E){return E.split("|")[0]})).join("|"),R="ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP PERCENTILE_CONT PERCENTILE_DISC ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE NUM_NONNULLS NUM_NULLS ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT TRUNC WIDTH_BUCKET RANDOM SETSEED ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAPLEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR TO_ASCII TO_HEX TRANSLATE OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 TIMEOFDAY TRANSACTION_TIMESTAMP|10 ENUM_FIRST ENUM_LAST ENUM_RANGE AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILYINET_MERGE MACADDR8_SET7BIT ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA CURSOR_TO_XML CURSOR_TO_XMLSCHEMA SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA XMLATTRIBUTES TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY CURRVAL LASTVAL NEXTVAL SETVAL COALESCE NULLIF GREATEST LEAST ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY STRING_TO_ARRAY UNNEST ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE GENERATE_SERIES GENERATE_SUBSCRIPTS CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE GIN_CLEAN_PENDING_LIST SUPPRESS_REDUNDANT_UPDATES_TRIGGER LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE GROUPING CAST ".trim().split(" ").map((function(E){return E.split("|")[0]})).join("|");return{aliases:["postgres","postgresql"],case_insensitive:!0,keywords:{keyword:"ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION INDEX PROCEDURE ASSERTION ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS DEFERRABLE RANGE DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED OF NOTHING NONE EXCLUDE ATTRIBUTE USAGE ROUTINES TRUE FALSE NAN INFINITY ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT OPEN SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS ",built_in:"CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 SQLSTATE SQLERRM|10 SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED INDEX_CORRUPTED "},illegal:/:==|\W\s*\(\*|(^|\s)\$[a-z]|{{|[a-z]:\s*$|\.\.\.|TO:|DO:/,contains:[{className:"keyword",variants:[{begin:/\bTEXT\s*SEARCH\b/},{begin:/\b(PRIMARY|FOREIGN|FOR(\s+NO)?)\s+KEY\b/},{begin:/\bPARALLEL\s+(UNSAFE|RESTRICTED|SAFE)\b/},{begin:/\bSTORAGE\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\b/},{begin:/\bMATCH\s+(FULL|PARTIAL|SIMPLE)\b/},{begin:/\bNULLS\s+(FIRST|LAST)\b/},{begin:/\bEVENT\s+TRIGGER\b/},{begin:/\b(MAPPING|OR)\s+REPLACE\b/},{begin:/\b(FROM|TO)\s+(PROGRAM|STDIN|STDOUT)\b/},{begin:/\b(SHARE|EXCLUSIVE)\s+MODE\b/},{begin:/\b(LEFT|RIGHT)\s+(OUTER\s+)?JOIN\b/},{begin:/\b(FETCH|MOVE)\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\b/},{begin:/\bPRESERVE\s+ROWS\b/},{begin:/\bDISCARD\s+PLANS\b/},{begin:/\bREFERENCING\s+(OLD|NEW)\b/},{begin:/\bSKIP\s+LOCKED\b/},{begin:/\bGROUPING\s+SETS\b/},{begin:/\b(BINARY|INSENSITIVE|SCROLL|NO\s+SCROLL)\s+(CURSOR|FOR)\b/},{begin:/\b(WITH|WITHOUT)\s+HOLD\b/},{begin:/\bWITH\s+(CASCADED|LOCAL)\s+CHECK\s+OPTION\b/},{begin:/\bEXCLUDE\s+(TIES|NO\s+OTHERS)\b/},{begin:/\bFORMAT\s+(TEXT|XML|JSON|YAML)\b/},{begin:/\bSET\s+((SESSION|LOCAL)\s+)?NAMES\b/},{begin:/\bIS\s+(NOT\s+)?UNKNOWN\b/},{begin:/\bSECURITY\s+LABEL\b/},{begin:/\bSTANDALONE\s+(YES|NO|NO\s+VALUE)\b/},{begin:/\bWITH\s+(NO\s+)?DATA\b/},{begin:/\b(FOREIGN|SET)\s+DATA\b/},{begin:/\bSET\s+(CATALOG|CONSTRAINTS)\b/},{begin:/\b(WITH|FOR)\s+ORDINALITY\b/},{begin:/\bIS\s+(NOT\s+)?DOCUMENT\b/},{begin:/\bXML\s+OPTION\s+(DOCUMENT|CONTENT)\b/},{begin:/\b(STRIP|PRESERVE)\s+WHITESPACE\b/},{begin:/\bNO\s+(ACTION|MAXVALUE|MINVALUE)\b/},{begin:/\bPARTITION\s+BY\s+(RANGE|LIST|HASH)\b/},{begin:/\bAT\s+TIME\s+ZONE\b/},{begin:/\bGRANTED\s+BY\b/},{begin:/\bRETURN\s+(QUERY|NEXT)\b/},{begin:/\b(ATTACH|DETACH)\s+PARTITION\b/},{begin:/\bFORCE\s+ROW\s+LEVEL\s+SECURITY\b/},{begin:/\b(INCLUDING|EXCLUDING)\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\b/},{begin:/\bAS\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\b/}]},{begin:/\b(FORMAT|FAMILY|VERSION)\s*\(/},{begin:/\bINCLUDE\s*\(/,keywords:"INCLUDE"},{begin:/\bRANGE(?!\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/},{begin:/\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\s*=/},{begin:/\b(PG_\w+?|HAS_[A-Z_]+_PRIVILEGE)\b/,relevance:10},{begin:/\bEXTRACT\s*\(/,end:/\bFROM\b/,returnEnd:!0,keywords:{type:"CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR TIMEZONE_MINUTE WEEK YEAR"}},{begin:/\b(XMLELEMENT|XMLPI)\s*\(\s*NAME/,keywords:{keyword:"NAME"}},{begin:/\b(XMLPARSE|XMLSERIALIZE)\s*\(\s*(DOCUMENT|CONTENT)/,keywords:{keyword:"DOCUMENT CONTENT"}},{beginKeywords:"CACHE INCREMENT MAXVALUE MINVALUE",end:E.C_NUMBER_RE,returnEnd:!0,keywords:"BY CACHE INCREMENT MAXVALUE MINVALUE"},{className:"type",begin:/\b(WITH|WITHOUT)\s+TIME\s+ZONE\b/},{className:"type",begin:/\bINTERVAL\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\s+TO\s+(MONTH|HOUR|MINUTE|SECOND))?\b/},{begin:/\bRETURNS\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\b/,keywords:{keyword:"RETURNS",type:"LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER"}},{begin:"\\b("+R+")\\s*\\("},{begin:"\\.("+A+")\\b"},{begin:"\\b("+A+")\\s+PATH\\b",keywords:{keyword:"PATH",type:N.replace("PATH ","")}},{className:"type",begin:"\\b("+A+")\\b"},{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{className:"string",begin:"(e|E|u&|U&)'",end:"'",contains:[{begin:"\\\\."}],relevance:10},{begin:"\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\$",endSameAsBegin:!0,contains:[{subLanguage:["pgsql","perl","python","tcl","r","lua","java","php","ruby","bash","scheme","xml","json"],endsWithParent:!0}]},{begin:'"',end:'"',contains:[{begin:'""'}]},E.C_NUMBER_MODE,E.C_BLOCK_COMMENT_MODE,T,{className:"meta",variants:[{begin:"%(ROW)?TYPE",relevance:10},{begin:"\\$\\d+"},{begin:"^#\\w",end:"$"}]},{className:"symbol",begin:"<<\\s*[a-zA-Z_][a-zA-Z_0-9$]*\\s*>>",relevance:10}]}}}}]);
+//# sourceMappingURL=pgsql.js.map?v=d9951f80e532cb8787b6 \ No newline at end of file
diff --git a/js/highlight/pgsql.js.map b/js/highlight/pgsql.js.map
index ebec85e12..6561eaa21 100644
--- a/js/highlight/pgsql.js.map
+++ b/js/highlight/pgsql.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/pgsql.js"],"names":["module","exports","hljs","COMMENT_MODE","COMMENT","TYPES","TYPES_RE","trim","split","map","val","join","FUNCTIONS_RE","aliases","case_insensitive","keywords","keyword","SQL_KW","built_in","SQL_BI","illegal","contains","className","variants","begin","relevance","end","returnEnd","type","beginKeywords","C_NUMBER_RE","replace","endSameAsBegin","subLanguage","endsWithParent","C_NUMBER_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAeD,EAAKE,QAAQ,KAAM,KA4DlCC,EAEF,gxBAiBEC,EACFD,EAAME,OACAC,MAAM,KACNC,KAAK,SAASC,GAAO,OAAOA,EAAIF,MAAM,KAAK,MAC3CG,KAAK,KAuLPC,EAzFJ,muIA0FYL,OACAC,MAAM,KACNC,KAAK,SAASC,GAAO,OAAOA,EAAIF,MAAM,KAAK,MAC3CG,KAAK,KAEjB,MAAO,CACHE,QAAS,CAAC,WAAW,cACrBC,kBAAkB,EAClBC,SAAU,CACRC,QACEC,stFACFC,SACEC,i2MAIJC,QAAS,2DACTC,SAAU,CAER,CACEC,UAAW,UACXC,SAAU,CACR,CAAEC,MAAO,qBACT,CAAEA,MAAO,2CACT,CAAEA,MAAO,2CACT,CAAEA,MAAO,gDACT,CAAEA,MAAO,qCACT,CAAEA,MAAO,4BACT,CAAEA,MAAO,uBACT,CAAEA,MAAO,8BACT,CAAEA,MAAO,0CACT,CAAEA,MAAO,gCACT,CAAEA,MAAO,sCACT,CAAEA,MAAO,iFACT,CAAEA,MAAO,uBACT,CAAEA,MAAO,uBACT,CAAEA,MAAO,+BACT,CAAEA,MAAO,qBACT,CAAEA,MAAO,uBACT,CAAEA,MAAO,8DACT,CAAEA,MAAO,6BACT,CAAEA,MAAO,gDACT,CAAEA,MAAO,oCACT,CAAEA,MAAO,qCACT,CAAEA,MAAO,wCACT,CAAEA,MAAO,6BACT,CAAEA,MAAO,wBACT,CAAEA,MAAO,wCACT,CAAEA,MAAO,2BACT,CAAEA,MAAO,4BACT,CAAEA,MAAO,mCACT,CAAEA,MAAO,+BACT,CAAEA,MAAO,8BACT,CAAEA,MAAO,yCACT,CAAEA,MAAO,qCACT,CAAEA,MAAO,uCACT,CAAEA,MAAO,0CACT,CAAEA,MAAO,wBACT,CAAEA,MAAO,oBACT,CAAEA,MAAO,6BACT,CAAEA,MAAO,mCACT,CAAEA,MAAO,sCACT,CAAEA,MAAO,uGACT,CAAEA,MAAO,sEAIb,CACEA,MAAO,kCAIT,CACEA,MAAO,iBACPT,SAAU,WAGZ,CACES,MAAO,qDAIT,CACEA,MAAO,6MAGT,CAEEA,MAAO,sCACPC,UAAW,IAGb,CACED,MAAO,iBACPE,IAAK,WACLC,WAAW,EACXZ,SAAU,CAERa,KAAU,2KAMd,CACEJ,MAAO,mCACPT,SAAU,CAERC,QAAU,SAId,CACEQ,MAAO,sDACPT,SAAU,CAERC,QAAU,qBAMd,CACEa,cAAe,oCACfH,IAAKxB,EAAK4B,YACVH,WAAW,EACXZ,SAAU,wCAGZ,CACEO,UAAW,OACXE,MAAO,oCAGT,CACEF,UAAW,OACXE,MAAO,2FAGT,CACEA,MAAO,kGACPT,SAAU,CACRC,QAAS,UACTY,KAAM,oFAIV,CACEJ,MAAO,OAASZ,EAAe,YAIjC,CACEY,MAAO,OAASlB,EAAW,QAE7B,CACEkB,MAAO,OAASlB,EAAW,eAC3BS,SAAU,CACRC,QAAS,OACTY,KAAMvB,EAAM0B,QAAQ,QAAQ,MAGhC,CACET,UAAW,OACXE,MAAO,OAASlB,EAAW,QAG7B,CACEgB,UAAW,SACXE,MAAO,IAAME,IAAK,IAClBL,SAAU,CAAC,CAACG,MAAO,QAErB,CACEF,UAAW,SACXE,MAAO,eAAiBE,IAAK,IAC7BL,SAAU,CAAC,CAACG,MAAO,UACnBC,UAAW,IAEb,CACED,MA5bU,4CA6bVQ,gBAAgB,EAChBX,SAAU,CACR,CAGEY,YAAa,CAAC,QAAQ,OAAO,SAAS,MAAM,IAAI,MAAM,OAAO,MAAM,OAAO,OAAO,SAAS,MAAM,QAChGC,gBAAgB,KAKtB,CACEV,MAAO,IAAKE,IAAK,IACjBL,SAAU,CAAC,CAACG,MAAO,QAGrBtB,EAAKiC,cAELjC,EAAKkC,qBACLjC,EAGA,CACEmB,UAAW,OACXC,SAAU,CACR,CAACC,MAAO,cAAeC,UAAW,IAClC,CAACD,MAAO,WACR,CAACA,MAAO,QAASE,IAAK,OAI1B,CACEJ,UAAW,SACXE,MA7dE,sCA8dFC,UAAW","file":"highlight/pgsql.js?v=cac13aff011c525bc9d7","sourcesContent":["module.exports = function(hljs) {\n var COMMENT_MODE = hljs.COMMENT('--', '$');\n var UNQUOTED_IDENT = '[a-zA-Z_][a-zA-Z_0-9$]*';\n var DOLLAR_STRING = '\\\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\\\$';\n var LABEL = '<<\\\\s*' + UNQUOTED_IDENT + '\\\\s*>>';\n\n var SQL_KW =\n // https://www.postgresql.org/docs/11/static/sql-keywords-appendix.html\n // https://www.postgresql.org/docs/11/static/sql-commands.html\n // SQL commands (starting words)\n 'ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE ' +\n 'DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY ' +\n 'PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW ' +\n 'START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES ' +\n // SQL commands (others)\n 'AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN ' +\n 'WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS ' +\n 'FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM ' +\n 'TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS ' +\n 'METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION ' +\n 'INDEX PROCEDURE ASSERTION ' +\n // additional reserved key words\n 'ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK ' +\n 'COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS ' +\n 'DEFERRABLE RANGE ' +\n 'DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ' +\n 'ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT ' +\n 'NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY ' +\n 'REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN ' +\n 'TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH ' +\n // some of non-reserved (which are used in clauses or as PL/pgSQL keyword)\n 'BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN ' +\n 'BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT ' +\n 'TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN ' +\n 'EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH ' +\n 'REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ' +\n 'ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED ' +\n 'INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 ' +\n 'INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ' +\n 'ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES ' +\n 'RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS ' +\n 'UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF ' +\n // some parameters of VACUUM/ANALYZE/EXPLAIN\n 'FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING ' +\n //\n 'RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED ' +\n 'OF NOTHING NONE EXCLUDE ATTRIBUTE ' +\n // from GRANT (not keywords actually)\n 'USAGE ROUTINES ' +\n // actually literals, but look better this way (due to IS TRUE, IS FALSE, ISNULL etc)\n 'TRUE FALSE NAN INFINITY ';\n\n var ROLE_ATTRS = // only those not in keywrods already\n 'SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT ' +\n 'LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS ';\n\n var PLPGSQL_KW =\n 'ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS ' +\n 'STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT ' +\n 'OPEN ';\n\n var TYPES =\n // https://www.postgresql.org/docs/11/static/datatype.html\n 'BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR ' +\n 'CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 ' +\n 'MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 ' +\n 'SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 ' +\n 'TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR ' +\n 'INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ' +\n // pseudotypes\n 'ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL ' +\n 'RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR ' +\n // spec. type\n 'NAME ' +\n // OID-types\n 'OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 ' +\n 'REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 ';// +\n // some types from standard extensions\n 'HSTORE|10 LO LTREE|10 ';\n\n var TYPES_RE =\n TYPES.trim()\n .split(' ')\n .map( function(val) { return val.split('|')[0]; } )\n .join('|');\n\n var SQL_BI =\n 'CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP ' +\n 'CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC ';\n\n var PLPGSQL_BI =\n 'FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 ' +\n 'TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ' +\n // get diagnostics\n 'ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME ' +\n 'PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 ' +\n 'PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 ';\n\n var PLPGSQL_EXCEPTIONS =\n // exceptions https://www.postgresql.org/docs/current/static/errcodes-appendix.html\n 'SQLSTATE SQLERRM|10 ' +\n 'SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING ' +\n 'NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED ' +\n 'STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED ' +\n 'SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE ' +\n 'SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION ' +\n 'TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED ' +\n 'INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR ' +\n 'INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION ' +\n 'STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION ' +\n 'DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW ' +\n 'DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW ' +\n 'INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION ' +\n 'INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION ' +\n 'INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST ' +\n 'INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE ' +\n 'NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE ' +\n 'INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE ' +\n 'INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT ' +\n 'INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH ' +\n 'NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE ' +\n 'SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION ' +\n 'SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING ' +\n 'FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION ' +\n 'BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT ' +\n 'INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION ' +\n 'INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION ' +\n 'UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE ' +\n 'INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE ' +\n 'HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION ' +\n 'INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION ' +\n 'NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION ' +\n 'SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION ' +\n 'IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME ' +\n 'TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD ' +\n 'DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST ' +\n 'INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT ' +\n 'MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED ' +\n 'READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION ' +\n 'CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED ' +\n 'PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED ' +\n 'EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED ' +\n 'TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED ' +\n 'SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME ' +\n 'INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION ' +\n 'SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED ' +\n 'SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE ' +\n 'GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME ' +\n 'NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH ' +\n 'INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN ' +\n 'UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT ' +\n 'DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION ' +\n 'DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS ' +\n 'DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS ' +\n 'INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION ' +\n 'INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION ' +\n 'INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION ' +\n 'INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL ' +\n 'OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED ' +\n 'STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE ' +\n 'OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION ' +\n 'QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED ' +\n 'SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR ' +\n 'LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED ' +\n 'FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION ' +\n 'FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER ' +\n 'FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS ' +\n 'FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX ' +\n 'FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH ' +\n 'FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES ' +\n 'FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE ' +\n 'FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION ' +\n 'FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR ' +\n 'RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED ' +\n 'INDEX_CORRUPTED ';\n\n var FUNCTIONS =\n // https://www.postgresql.org/docs/11/static/functions-aggregate.html\n 'ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG ' +\n 'JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG ' +\n 'CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE ' +\n 'REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP ' +\n 'PERCENTILE_CONT PERCENTILE_DISC ' +\n // https://www.postgresql.org/docs/11/static/functions-window.html\n 'ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE ' +\n // https://www.postgresql.org/docs/11/static/functions-comparison.html\n 'NUM_NONNULLS NUM_NULLS ' +\n // https://www.postgresql.org/docs/11/static/functions-math.html\n 'ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT ' +\n 'TRUNC WIDTH_BUCKET ' +\n 'RANDOM SETSEED ' +\n 'ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND ' +\n // https://www.postgresql.org/docs/11/static/functions-string.html\n 'BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ' +\n 'ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAP' +\n 'LEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 ' +\n 'QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY ' +\n 'REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR ' +\n 'TO_ASCII TO_HEX TRANSLATE ' +\n // https://www.postgresql.org/docs/11/static/functions-binarystring.html\n 'OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE ' +\n // https://www.postgresql.org/docs/11/static/functions-formatting.html\n 'TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP ' +\n // https://www.postgresql.org/docs/11/static/functions-datetime.html\n 'AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL ' +\n 'MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 ' +\n 'TIMEOFDAY TRANSACTION_TIMESTAMP|10 ' +\n // https://www.postgresql.org/docs/11/static/functions-enum.html\n 'ENUM_FIRST ENUM_LAST ENUM_RANGE ' +\n // https://www.postgresql.org/docs/11/static/functions-geometry.html\n 'AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH ' +\n 'BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ' +\n // https://www.postgresql.org/docs/11/static/functions-net.html\n 'ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILY' +\n 'INET_MERGE MACADDR8_SET7BIT ' +\n // https://www.postgresql.org/docs/11/static/functions-textsearch.html\n 'ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY ' +\n 'QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE ' +\n 'TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY ' +\n 'TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN ' +\n // https://www.postgresql.org/docs/11/static/functions-xml.html\n 'XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT ' +\n 'XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT ' +\n 'XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES ' +\n 'TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA ' +\n 'QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA ' +\n 'CURSOR_TO_XML CURSOR_TO_XMLSCHEMA ' +\n 'SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA ' +\n 'DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA ' +\n 'XMLATTRIBUTES ' +\n // https://www.postgresql.org/docs/11/static/functions-json.html\n 'TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT ' +\n 'JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH ' +\n 'JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH ' +\n 'JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET ' +\n 'JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT ' +\n 'JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET ' +\n 'JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY ' +\n // https://www.postgresql.org/docs/11/static/functions-sequence.html\n 'CURRVAL LASTVAL NEXTVAL SETVAL ' +\n // https://www.postgresql.org/docs/11/static/functions-conditional.html\n 'COALESCE NULLIF GREATEST LEAST ' +\n // https://www.postgresql.org/docs/11/static/functions-array.html\n 'ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ' +\n 'ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY ' +\n 'STRING_TO_ARRAY UNNEST ' +\n // https://www.postgresql.org/docs/11/static/functions-range.html\n 'ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE ' +\n // https://www.postgresql.org/docs/11/static/functions-srf.html\n 'GENERATE_SERIES GENERATE_SUBSCRIPTS ' +\n // https://www.postgresql.org/docs/11/static/functions-info.html\n 'CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT ' +\n 'INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE ' +\n 'TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE ' +\n 'COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION ' +\n 'TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX ' +\n 'TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS ' +\n // https://www.postgresql.org/docs/11/static/functions-admin.html\n 'CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE ' +\n 'GIN_CLEAN_PENDING_LIST ' +\n // https://www.postgresql.org/docs/11/static/functions-trigger.html\n 'SUPPRESS_REDUNDANT_UPDATES_TRIGGER ' +\n // ihttps://www.postgresql.org/docs/devel/static/lo-funcs.html\n 'LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE ' +\n //\n 'GROUPING CAST ';\n\n var FUNCTIONS_RE =\n FUNCTIONS.trim()\n .split(' ')\n .map( function(val) { return val.split('|')[0]; } )\n .join('|');\n\n return {\n aliases: ['postgres','postgresql'],\n case_insensitive: true,\n keywords: {\n keyword:\n SQL_KW + PLPGSQL_KW + ROLE_ATTRS,\n built_in:\n SQL_BI + PLPGSQL_BI + PLPGSQL_EXCEPTIONS,\n },\n // Forbid some cunstructs from other languages to improve autodetect. In fact\n // \"[a-z]:\" is legal (as part of array slice), but improbabal.\n illegal: /:==|\\W\\s*\\(\\*|(^|\\s)\\$[a-z]|{{|[a-z]:\\s*$|\\.\\.\\.|TO:|DO:/,\n contains: [\n // special handling of some words, which are reserved only in some contexts\n {\n className: 'keyword',\n variants: [\n { begin: /\\bTEXT\\s*SEARCH\\b/ },\n { begin: /\\b(PRIMARY|FOREIGN|FOR(\\s+NO)?)\\s+KEY\\b/ },\n { begin: /\\bPARALLEL\\s+(UNSAFE|RESTRICTED|SAFE)\\b/ },\n { begin: /\\bSTORAGE\\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\\b/ },\n { begin: /\\bMATCH\\s+(FULL|PARTIAL|SIMPLE)\\b/ },\n { begin: /\\bNULLS\\s+(FIRST|LAST)\\b/ },\n { begin: /\\bEVENT\\s+TRIGGER\\b/ },\n { begin: /\\b(MAPPING|OR)\\s+REPLACE\\b/ },\n { begin: /\\b(FROM|TO)\\s+(PROGRAM|STDIN|STDOUT)\\b/ },\n { begin: /\\b(SHARE|EXCLUSIVE)\\s+MODE\\b/ },\n { begin: /\\b(LEFT|RIGHT)\\s+(OUTER\\s+)?JOIN\\b/ },\n { begin: /\\b(FETCH|MOVE)\\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\\b/ },\n { begin: /\\bPRESERVE\\s+ROWS\\b/ },\n { begin: /\\bDISCARD\\s+PLANS\\b/ },\n { begin: /\\bREFERENCING\\s+(OLD|NEW)\\b/ },\n { begin: /\\bSKIP\\s+LOCKED\\b/ },\n { begin: /\\bGROUPING\\s+SETS\\b/ },\n { begin: /\\b(BINARY|INSENSITIVE|SCROLL|NO\\s+SCROLL)\\s+(CURSOR|FOR)\\b/ },\n { begin: /\\b(WITH|WITHOUT)\\s+HOLD\\b/ },\n { begin: /\\bWITH\\s+(CASCADED|LOCAL)\\s+CHECK\\s+OPTION\\b/ },\n { begin: /\\bEXCLUDE\\s+(TIES|NO\\s+OTHERS)\\b/ },\n { begin: /\\bFORMAT\\s+(TEXT|XML|JSON|YAML)\\b/ },\n { begin: /\\bSET\\s+((SESSION|LOCAL)\\s+)?NAMES\\b/ },\n { begin: /\\bIS\\s+(NOT\\s+)?UNKNOWN\\b/ },\n { begin: /\\bSECURITY\\s+LABEL\\b/ },\n { begin: /\\bSTANDALONE\\s+(YES|NO|NO\\s+VALUE)\\b/ },\n { begin: /\\bWITH\\s+(NO\\s+)?DATA\\b/ },\n { begin: /\\b(FOREIGN|SET)\\s+DATA\\b/ },\n { begin: /\\bSET\\s+(CATALOG|CONSTRAINTS)\\b/ },\n { begin: /\\b(WITH|FOR)\\s+ORDINALITY\\b/ },\n { begin: /\\bIS\\s+(NOT\\s+)?DOCUMENT\\b/ },\n { begin: /\\bXML\\s+OPTION\\s+(DOCUMENT|CONTENT)\\b/ },\n { begin: /\\b(STRIP|PRESERVE)\\s+WHITESPACE\\b/ },\n { begin: /\\bNO\\s+(ACTION|MAXVALUE|MINVALUE)\\b/ },\n { begin: /\\bPARTITION\\s+BY\\s+(RANGE|LIST|HASH)\\b/ },\n { begin: /\\bAT\\s+TIME\\s+ZONE\\b/ },\n { begin: /\\bGRANTED\\s+BY\\b/ },\n { begin: /\\bRETURN\\s+(QUERY|NEXT)\\b/ },\n { begin: /\\b(ATTACH|DETACH)\\s+PARTITION\\b/ },\n { begin: /\\bFORCE\\s+ROW\\s+LEVEL\\s+SECURITY\\b/ },\n { begin: /\\b(INCLUDING|EXCLUDING)\\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\\b/ },\n { begin: /\\bAS\\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\\b/ }\n ]\n },\n // functions named as keywords, followed by '('\n {\n begin: /\\b(FORMAT|FAMILY|VERSION)\\s*\\(/,\n //keywords: { built_in: 'FORMAT FAMILY VERSION' }\n },\n // INCLUDE ( ... ) in index_parameters in CREATE TABLE\n {\n begin: /\\bINCLUDE\\s*\\(/,\n keywords: 'INCLUDE'\n },\n // not highlight RANGE if not in frame_clause (not 100% correct, but seems satisfactory)\n {\n begin: /\\bRANGE(?!\\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/\n },\n // disable highlighting in commands CREATE AGGREGATE/COLLATION/DATABASE/OPERTOR/TEXT SEARCH .../TYPE\n // and in PL/pgSQL RAISE ... USING\n {\n begin: /\\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\\s*=/\n },\n // PG_smth; HAS_some_PRIVILEGE\n {\n //className: 'built_in',\n begin: /\\b(PG_\\w+?|HAS_[A-Z_]+_PRIVILEGE)\\b/,\n relevance: 10\n },\n // extract\n {\n begin: /\\bEXTRACT\\s*\\(/,\n end: /\\bFROM\\b/,\n returnEnd: true,\n keywords: {\n //built_in: 'EXTRACT',\n type: 'CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS ' +\n 'MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR ' +\n 'TIMEZONE_MINUTE WEEK YEAR'\n }\n },\n // xmlelement, xmlpi - special NAME\n {\n begin: /\\b(XMLELEMENT|XMLPI)\\s*\\(\\s*NAME/,\n keywords: {\n //built_in: 'XMLELEMENT XMLPI',\n keyword: 'NAME'\n }\n },\n // xmlparse, xmlserialize\n {\n begin: /\\b(XMLPARSE|XMLSERIALIZE)\\s*\\(\\s*(DOCUMENT|CONTENT)/,\n keywords: {\n //built_in: 'XMLPARSE XMLSERIALIZE',\n keyword: 'DOCUMENT CONTENT'\n }\n },\n // Sequences. We actually skip everything between CACHE|INCREMENT|MAXVALUE|MINVALUE and\n // nearest following numeric constant. Without with trick we find a lot of \"keywords\"\n // in 'avrasm' autodetection test...\n {\n beginKeywords: 'CACHE INCREMENT MAXVALUE MINVALUE',\n end: hljs.C_NUMBER_RE,\n returnEnd: true,\n keywords: 'BY CACHE INCREMENT MAXVALUE MINVALUE'\n },\n // WITH|WITHOUT TIME ZONE as part of datatype\n {\n className: 'type',\n begin: /\\b(WITH|WITHOUT)\\s+TIME\\s+ZONE\\b/\n },\n // INTERVAL optional fields\n {\n className: 'type',\n begin: /\\bINTERVAL\\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\\s+TO\\s+(MONTH|HOUR|MINUTE|SECOND))?\\b/\n },\n // Pseudo-types which allowed only as return type\n {\n begin: /\\bRETURNS\\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\\b/,\n keywords: {\n keyword: 'RETURNS',\n type: 'LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER'\n }\n },\n // Known functions - only when followed by '('\n {\n begin: '\\\\b(' + FUNCTIONS_RE + ')\\\\s*\\\\('\n //keywords: { built_in: FUNCTIONS }\n },\n // Types\n {\n begin: '\\\\.(' + TYPES_RE + ')\\\\b' // prevent highlight as type, say, 'oid' in 'pgclass.oid'\n },\n {\n begin: '\\\\b(' + TYPES_RE + ')\\\\s+PATH\\\\b', // in XMLTABLE\n keywords: {\n keyword: 'PATH', // hopefully no one would use PATH type in XMLTABLE...\n type: TYPES.replace('PATH ','')\n }\n },\n {\n className: 'type',\n begin: '\\\\b(' + TYPES_RE + ')\\\\b'\n },\n // Strings, see https://www.postgresql.org/docs/11/static/sql-syntax-lexical.html#SQL-SYNTAX-CONSTANTS\n {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [{begin: '\\'\\''}]\n },\n {\n className: 'string',\n begin: '(e|E|u&|U&)\\'', end: '\\'',\n contains: [{begin: '\\\\\\\\.'}],\n relevance: 10\n },\n {\n begin: DOLLAR_STRING,\n endSameAsBegin: true,\n contains: [\n {\n // actually we want them all except SQL; listed are those with known implementations\n // and XML + JSON just in case\n subLanguage: ['pgsql','perl','python','tcl','r','lua','java','php','ruby','bash','scheme','xml','json'],\n endsWithParent: true\n }\n ]\n },\n // identifiers in quotes\n {\n begin: '\"', end: '\"',\n contains: [{begin: '\"\"'}]\n },\n // numbers\n hljs.C_NUMBER_MODE,\n // comments\n hljs.C_BLOCK_COMMENT_MODE,\n COMMENT_MODE,\n // PL/pgSQL staff\n // %ROWTYPE, %TYPE, $n\n {\n className: 'meta',\n variants: [\n {begin: '%(ROW)?TYPE', relevance: 10}, // %TYPE, %ROWTYPE\n {begin: '\\\\$\\\\d+'}, // $n\n {begin: '^#\\\\w', end: '$'} // #compiler option\n ]\n },\n // <<labeles>>\n {\n className: 'symbol',\n begin: LABEL,\n relevance: 10\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/pgsql.js"],"names":["module","exports","hljs","COMMENT_MODE","COMMENT","TYPES","TYPES_RE","trim","split","map","val","join","FUNCTIONS_RE","aliases","case_insensitive","keywords","keyword","SQL_KW","built_in","SQL_BI","illegal","contains","className","variants","begin","relevance","end","returnEnd","type","beginKeywords","C_NUMBER_RE","replace","endSameAsBegin","subLanguage","endsWithParent","C_NUMBER_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAeD,EAAKE,QAAQ,KAAM,KA4DlCC,EAEF,gxBAiBEC,EACFD,EAAME,OACAC,MAAM,KACNC,KAAK,SAASC,GAAO,OAAOA,EAAIF,MAAM,KAAK,MAC3CG,KAAK,KAuLPC,EAzFJ,muIA0FYL,OACAC,MAAM,KACNC,KAAK,SAASC,GAAO,OAAOA,EAAIF,MAAM,KAAK,MAC3CG,KAAK,KAEjB,MAAO,CACHE,QAAS,CAAC,WAAW,cACrBC,kBAAkB,EAClBC,SAAU,CACRC,QACEC,stFACFC,SACEC,i2MAIJC,QAAS,2DACTC,SAAU,CAER,CACEC,UAAW,UACXC,SAAU,CACR,CAAEC,MAAO,qBACT,CAAEA,MAAO,2CACT,CAAEA,MAAO,2CACT,CAAEA,MAAO,gDACT,CAAEA,MAAO,qCACT,CAAEA,MAAO,4BACT,CAAEA,MAAO,uBACT,CAAEA,MAAO,8BACT,CAAEA,MAAO,0CACT,CAAEA,MAAO,gCACT,CAAEA,MAAO,sCACT,CAAEA,MAAO,iFACT,CAAEA,MAAO,uBACT,CAAEA,MAAO,uBACT,CAAEA,MAAO,+BACT,CAAEA,MAAO,qBACT,CAAEA,MAAO,uBACT,CAAEA,MAAO,8DACT,CAAEA,MAAO,6BACT,CAAEA,MAAO,gDACT,CAAEA,MAAO,oCACT,CAAEA,MAAO,qCACT,CAAEA,MAAO,wCACT,CAAEA,MAAO,6BACT,CAAEA,MAAO,wBACT,CAAEA,MAAO,wCACT,CAAEA,MAAO,2BACT,CAAEA,MAAO,4BACT,CAAEA,MAAO,mCACT,CAAEA,MAAO,+BACT,CAAEA,MAAO,8BACT,CAAEA,MAAO,yCACT,CAAEA,MAAO,qCACT,CAAEA,MAAO,uCACT,CAAEA,MAAO,0CACT,CAAEA,MAAO,wBACT,CAAEA,MAAO,oBACT,CAAEA,MAAO,6BACT,CAAEA,MAAO,mCACT,CAAEA,MAAO,sCACT,CAAEA,MAAO,uGACT,CAAEA,MAAO,sEAIb,CACEA,MAAO,kCAIT,CACEA,MAAO,iBACPT,SAAU,WAGZ,CACES,MAAO,qDAIT,CACEA,MAAO,6MAGT,CAEEA,MAAO,sCACPC,UAAW,IAGb,CACED,MAAO,iBACPE,IAAK,WACLC,WAAW,EACXZ,SAAU,CAERa,KAAU,2KAMd,CACEJ,MAAO,mCACPT,SAAU,CAERC,QAAU,SAId,CACEQ,MAAO,sDACPT,SAAU,CAERC,QAAU,qBAMd,CACEa,cAAe,oCACfH,IAAKxB,EAAK4B,YACVH,WAAW,EACXZ,SAAU,wCAGZ,CACEO,UAAW,OACXE,MAAO,oCAGT,CACEF,UAAW,OACXE,MAAO,2FAGT,CACEA,MAAO,kGACPT,SAAU,CACRC,QAAS,UACTY,KAAM,oFAIV,CACEJ,MAAO,OAASZ,EAAe,YAIjC,CACEY,MAAO,OAASlB,EAAW,QAE7B,CACEkB,MAAO,OAASlB,EAAW,eAC3BS,SAAU,CACRC,QAAS,OACTY,KAAMvB,EAAM0B,QAAQ,QAAQ,MAGhC,CACET,UAAW,OACXE,MAAO,OAASlB,EAAW,QAG7B,CACEgB,UAAW,SACXE,MAAO,IAAME,IAAK,IAClBL,SAAU,CAAC,CAACG,MAAO,QAErB,CACEF,UAAW,SACXE,MAAO,eAAiBE,IAAK,IAC7BL,SAAU,CAAC,CAACG,MAAO,UACnBC,UAAW,IAEb,CACED,MA5bU,4CA6bVQ,gBAAgB,EAChBX,SAAU,CACR,CAGEY,YAAa,CAAC,QAAQ,OAAO,SAAS,MAAM,IAAI,MAAM,OAAO,MAAM,OAAO,OAAO,SAAS,MAAM,QAChGC,gBAAgB,KAKtB,CACEV,MAAO,IAAKE,IAAK,IACjBL,SAAU,CAAC,CAACG,MAAO,QAGrBtB,EAAKiC,cAELjC,EAAKkC,qBACLjC,EAGA,CACEmB,UAAW,OACXC,SAAU,CACR,CAACC,MAAO,cAAeC,UAAW,IAClC,CAACD,MAAO,WACR,CAACA,MAAO,QAASE,IAAK,OAI1B,CACEJ,UAAW,SACXE,MA7dE,sCA8dFC,UAAW","file":"highlight/pgsql.js?v=d9951f80e532cb8787b6","sourcesContent":["module.exports = function(hljs) {\n var COMMENT_MODE = hljs.COMMENT('--', '$');\n var UNQUOTED_IDENT = '[a-zA-Z_][a-zA-Z_0-9$]*';\n var DOLLAR_STRING = '\\\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\\\$';\n var LABEL = '<<\\\\s*' + UNQUOTED_IDENT + '\\\\s*>>';\n\n var SQL_KW =\n // https://www.postgresql.org/docs/11/static/sql-keywords-appendix.html\n // https://www.postgresql.org/docs/11/static/sql-commands.html\n // SQL commands (starting words)\n 'ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE ' +\n 'DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY ' +\n 'PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW ' +\n 'START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES ' +\n // SQL commands (others)\n 'AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN ' +\n 'WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS ' +\n 'FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM ' +\n 'TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS ' +\n 'METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION ' +\n 'INDEX PROCEDURE ASSERTION ' +\n // additional reserved key words\n 'ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK ' +\n 'COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS ' +\n 'DEFERRABLE RANGE ' +\n 'DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ' +\n 'ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT ' +\n 'NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY ' +\n 'REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN ' +\n 'TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH ' +\n // some of non-reserved (which are used in clauses or as PL/pgSQL keyword)\n 'BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN ' +\n 'BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT ' +\n 'TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN ' +\n 'EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH ' +\n 'REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ' +\n 'ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED ' +\n 'INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 ' +\n 'INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ' +\n 'ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES ' +\n 'RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS ' +\n 'UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF ' +\n // some parameters of VACUUM/ANALYZE/EXPLAIN\n 'FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING ' +\n //\n 'RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED ' +\n 'OF NOTHING NONE EXCLUDE ATTRIBUTE ' +\n // from GRANT (not keywords actually)\n 'USAGE ROUTINES ' +\n // actually literals, but look better this way (due to IS TRUE, IS FALSE, ISNULL etc)\n 'TRUE FALSE NAN INFINITY ';\n\n var ROLE_ATTRS = // only those not in keywrods already\n 'SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT ' +\n 'LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS ';\n\n var PLPGSQL_KW =\n 'ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS ' +\n 'STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT ' +\n 'OPEN ';\n\n var TYPES =\n // https://www.postgresql.org/docs/11/static/datatype.html\n 'BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR ' +\n 'CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 ' +\n 'MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 ' +\n 'SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 ' +\n 'TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR ' +\n 'INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ' +\n // pseudotypes\n 'ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL ' +\n 'RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR ' +\n // spec. type\n 'NAME ' +\n // OID-types\n 'OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 ' +\n 'REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 ';// +\n // some types from standard extensions\n 'HSTORE|10 LO LTREE|10 ';\n\n var TYPES_RE =\n TYPES.trim()\n .split(' ')\n .map( function(val) { return val.split('|')[0]; } )\n .join('|');\n\n var SQL_BI =\n 'CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP ' +\n 'CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC ';\n\n var PLPGSQL_BI =\n 'FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 ' +\n 'TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ' +\n // get diagnostics\n 'ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME ' +\n 'PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 ' +\n 'PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 ';\n\n var PLPGSQL_EXCEPTIONS =\n // exceptions https://www.postgresql.org/docs/current/static/errcodes-appendix.html\n 'SQLSTATE SQLERRM|10 ' +\n 'SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING ' +\n 'NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED ' +\n 'STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED ' +\n 'SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE ' +\n 'SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION ' +\n 'TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED ' +\n 'INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR ' +\n 'INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION ' +\n 'STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION ' +\n 'DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW ' +\n 'DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW ' +\n 'INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION ' +\n 'INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION ' +\n 'INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST ' +\n 'INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE ' +\n 'NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE ' +\n 'INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE ' +\n 'INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT ' +\n 'INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH ' +\n 'NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE ' +\n 'SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION ' +\n 'SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING ' +\n 'FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION ' +\n 'BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT ' +\n 'INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION ' +\n 'INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION ' +\n 'UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE ' +\n 'INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE ' +\n 'HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION ' +\n 'INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION ' +\n 'NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION ' +\n 'SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION ' +\n 'IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME ' +\n 'TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD ' +\n 'DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST ' +\n 'INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT ' +\n 'MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED ' +\n 'READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION ' +\n 'CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED ' +\n 'PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED ' +\n 'EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED ' +\n 'TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED ' +\n 'SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME ' +\n 'INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION ' +\n 'SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED ' +\n 'SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE ' +\n 'GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME ' +\n 'NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH ' +\n 'INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN ' +\n 'UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT ' +\n 'DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION ' +\n 'DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS ' +\n 'DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS ' +\n 'INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION ' +\n 'INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION ' +\n 'INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION ' +\n 'INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL ' +\n 'OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED ' +\n 'STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE ' +\n 'OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION ' +\n 'QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED ' +\n 'SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR ' +\n 'LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED ' +\n 'FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION ' +\n 'FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER ' +\n 'FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS ' +\n 'FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX ' +\n 'FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH ' +\n 'FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES ' +\n 'FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE ' +\n 'FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION ' +\n 'FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR ' +\n 'RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED ' +\n 'INDEX_CORRUPTED ';\n\n var FUNCTIONS =\n // https://www.postgresql.org/docs/11/static/functions-aggregate.html\n 'ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG ' +\n 'JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG ' +\n 'CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE ' +\n 'REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP ' +\n 'PERCENTILE_CONT PERCENTILE_DISC ' +\n // https://www.postgresql.org/docs/11/static/functions-window.html\n 'ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE ' +\n // https://www.postgresql.org/docs/11/static/functions-comparison.html\n 'NUM_NONNULLS NUM_NULLS ' +\n // https://www.postgresql.org/docs/11/static/functions-math.html\n 'ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT ' +\n 'TRUNC WIDTH_BUCKET ' +\n 'RANDOM SETSEED ' +\n 'ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND ' +\n // https://www.postgresql.org/docs/11/static/functions-string.html\n 'BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ' +\n 'ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAP' +\n 'LEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 ' +\n 'QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY ' +\n 'REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR ' +\n 'TO_ASCII TO_HEX TRANSLATE ' +\n // https://www.postgresql.org/docs/11/static/functions-binarystring.html\n 'OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE ' +\n // https://www.postgresql.org/docs/11/static/functions-formatting.html\n 'TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP ' +\n // https://www.postgresql.org/docs/11/static/functions-datetime.html\n 'AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL ' +\n 'MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 ' +\n 'TIMEOFDAY TRANSACTION_TIMESTAMP|10 ' +\n // https://www.postgresql.org/docs/11/static/functions-enum.html\n 'ENUM_FIRST ENUM_LAST ENUM_RANGE ' +\n // https://www.postgresql.org/docs/11/static/functions-geometry.html\n 'AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH ' +\n 'BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ' +\n // https://www.postgresql.org/docs/11/static/functions-net.html\n 'ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILY' +\n 'INET_MERGE MACADDR8_SET7BIT ' +\n // https://www.postgresql.org/docs/11/static/functions-textsearch.html\n 'ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY ' +\n 'QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE ' +\n 'TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY ' +\n 'TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN ' +\n // https://www.postgresql.org/docs/11/static/functions-xml.html\n 'XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT ' +\n 'XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT ' +\n 'XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES ' +\n 'TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA ' +\n 'QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA ' +\n 'CURSOR_TO_XML CURSOR_TO_XMLSCHEMA ' +\n 'SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA ' +\n 'DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA ' +\n 'XMLATTRIBUTES ' +\n // https://www.postgresql.org/docs/11/static/functions-json.html\n 'TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT ' +\n 'JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH ' +\n 'JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH ' +\n 'JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET ' +\n 'JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT ' +\n 'JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET ' +\n 'JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY ' +\n // https://www.postgresql.org/docs/11/static/functions-sequence.html\n 'CURRVAL LASTVAL NEXTVAL SETVAL ' +\n // https://www.postgresql.org/docs/11/static/functions-conditional.html\n 'COALESCE NULLIF GREATEST LEAST ' +\n // https://www.postgresql.org/docs/11/static/functions-array.html\n 'ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ' +\n 'ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY ' +\n 'STRING_TO_ARRAY UNNEST ' +\n // https://www.postgresql.org/docs/11/static/functions-range.html\n 'ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE ' +\n // https://www.postgresql.org/docs/11/static/functions-srf.html\n 'GENERATE_SERIES GENERATE_SUBSCRIPTS ' +\n // https://www.postgresql.org/docs/11/static/functions-info.html\n 'CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT ' +\n 'INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE ' +\n 'TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE ' +\n 'COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION ' +\n 'TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX ' +\n 'TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS ' +\n // https://www.postgresql.org/docs/11/static/functions-admin.html\n 'CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE ' +\n 'GIN_CLEAN_PENDING_LIST ' +\n // https://www.postgresql.org/docs/11/static/functions-trigger.html\n 'SUPPRESS_REDUNDANT_UPDATES_TRIGGER ' +\n // ihttps://www.postgresql.org/docs/devel/static/lo-funcs.html\n 'LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE ' +\n //\n 'GROUPING CAST ';\n\n var FUNCTIONS_RE =\n FUNCTIONS.trim()\n .split(' ')\n .map( function(val) { return val.split('|')[0]; } )\n .join('|');\n\n return {\n aliases: ['postgres','postgresql'],\n case_insensitive: true,\n keywords: {\n keyword:\n SQL_KW + PLPGSQL_KW + ROLE_ATTRS,\n built_in:\n SQL_BI + PLPGSQL_BI + PLPGSQL_EXCEPTIONS,\n },\n // Forbid some cunstructs from other languages to improve autodetect. In fact\n // \"[a-z]:\" is legal (as part of array slice), but improbabal.\n illegal: /:==|\\W\\s*\\(\\*|(^|\\s)\\$[a-z]|{{|[a-z]:\\s*$|\\.\\.\\.|TO:|DO:/,\n contains: [\n // special handling of some words, which are reserved only in some contexts\n {\n className: 'keyword',\n variants: [\n { begin: /\\bTEXT\\s*SEARCH\\b/ },\n { begin: /\\b(PRIMARY|FOREIGN|FOR(\\s+NO)?)\\s+KEY\\b/ },\n { begin: /\\bPARALLEL\\s+(UNSAFE|RESTRICTED|SAFE)\\b/ },\n { begin: /\\bSTORAGE\\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\\b/ },\n { begin: /\\bMATCH\\s+(FULL|PARTIAL|SIMPLE)\\b/ },\n { begin: /\\bNULLS\\s+(FIRST|LAST)\\b/ },\n { begin: /\\bEVENT\\s+TRIGGER\\b/ },\n { begin: /\\b(MAPPING|OR)\\s+REPLACE\\b/ },\n { begin: /\\b(FROM|TO)\\s+(PROGRAM|STDIN|STDOUT)\\b/ },\n { begin: /\\b(SHARE|EXCLUSIVE)\\s+MODE\\b/ },\n { begin: /\\b(LEFT|RIGHT)\\s+(OUTER\\s+)?JOIN\\b/ },\n { begin: /\\b(FETCH|MOVE)\\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\\b/ },\n { begin: /\\bPRESERVE\\s+ROWS\\b/ },\n { begin: /\\bDISCARD\\s+PLANS\\b/ },\n { begin: /\\bREFERENCING\\s+(OLD|NEW)\\b/ },\n { begin: /\\bSKIP\\s+LOCKED\\b/ },\n { begin: /\\bGROUPING\\s+SETS\\b/ },\n { begin: /\\b(BINARY|INSENSITIVE|SCROLL|NO\\s+SCROLL)\\s+(CURSOR|FOR)\\b/ },\n { begin: /\\b(WITH|WITHOUT)\\s+HOLD\\b/ },\n { begin: /\\bWITH\\s+(CASCADED|LOCAL)\\s+CHECK\\s+OPTION\\b/ },\n { begin: /\\bEXCLUDE\\s+(TIES|NO\\s+OTHERS)\\b/ },\n { begin: /\\bFORMAT\\s+(TEXT|XML|JSON|YAML)\\b/ },\n { begin: /\\bSET\\s+((SESSION|LOCAL)\\s+)?NAMES\\b/ },\n { begin: /\\bIS\\s+(NOT\\s+)?UNKNOWN\\b/ },\n { begin: /\\bSECURITY\\s+LABEL\\b/ },\n { begin: /\\bSTANDALONE\\s+(YES|NO|NO\\s+VALUE)\\b/ },\n { begin: /\\bWITH\\s+(NO\\s+)?DATA\\b/ },\n { begin: /\\b(FOREIGN|SET)\\s+DATA\\b/ },\n { begin: /\\bSET\\s+(CATALOG|CONSTRAINTS)\\b/ },\n { begin: /\\b(WITH|FOR)\\s+ORDINALITY\\b/ },\n { begin: /\\bIS\\s+(NOT\\s+)?DOCUMENT\\b/ },\n { begin: /\\bXML\\s+OPTION\\s+(DOCUMENT|CONTENT)\\b/ },\n { begin: /\\b(STRIP|PRESERVE)\\s+WHITESPACE\\b/ },\n { begin: /\\bNO\\s+(ACTION|MAXVALUE|MINVALUE)\\b/ },\n { begin: /\\bPARTITION\\s+BY\\s+(RANGE|LIST|HASH)\\b/ },\n { begin: /\\bAT\\s+TIME\\s+ZONE\\b/ },\n { begin: /\\bGRANTED\\s+BY\\b/ },\n { begin: /\\bRETURN\\s+(QUERY|NEXT)\\b/ },\n { begin: /\\b(ATTACH|DETACH)\\s+PARTITION\\b/ },\n { begin: /\\bFORCE\\s+ROW\\s+LEVEL\\s+SECURITY\\b/ },\n { begin: /\\b(INCLUDING|EXCLUDING)\\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\\b/ },\n { begin: /\\bAS\\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\\b/ }\n ]\n },\n // functions named as keywords, followed by '('\n {\n begin: /\\b(FORMAT|FAMILY|VERSION)\\s*\\(/,\n //keywords: { built_in: 'FORMAT FAMILY VERSION' }\n },\n // INCLUDE ( ... ) in index_parameters in CREATE TABLE\n {\n begin: /\\bINCLUDE\\s*\\(/,\n keywords: 'INCLUDE'\n },\n // not highlight RANGE if not in frame_clause (not 100% correct, but seems satisfactory)\n {\n begin: /\\bRANGE(?!\\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/\n },\n // disable highlighting in commands CREATE AGGREGATE/COLLATION/DATABASE/OPERTOR/TEXT SEARCH .../TYPE\n // and in PL/pgSQL RAISE ... USING\n {\n begin: /\\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\\s*=/\n },\n // PG_smth; HAS_some_PRIVILEGE\n {\n //className: 'built_in',\n begin: /\\b(PG_\\w+?|HAS_[A-Z_]+_PRIVILEGE)\\b/,\n relevance: 10\n },\n // extract\n {\n begin: /\\bEXTRACT\\s*\\(/,\n end: /\\bFROM\\b/,\n returnEnd: true,\n keywords: {\n //built_in: 'EXTRACT',\n type: 'CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS ' +\n 'MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR ' +\n 'TIMEZONE_MINUTE WEEK YEAR'\n }\n },\n // xmlelement, xmlpi - special NAME\n {\n begin: /\\b(XMLELEMENT|XMLPI)\\s*\\(\\s*NAME/,\n keywords: {\n //built_in: 'XMLELEMENT XMLPI',\n keyword: 'NAME'\n }\n },\n // xmlparse, xmlserialize\n {\n begin: /\\b(XMLPARSE|XMLSERIALIZE)\\s*\\(\\s*(DOCUMENT|CONTENT)/,\n keywords: {\n //built_in: 'XMLPARSE XMLSERIALIZE',\n keyword: 'DOCUMENT CONTENT'\n }\n },\n // Sequences. We actually skip everything between CACHE|INCREMENT|MAXVALUE|MINVALUE and\n // nearest following numeric constant. Without with trick we find a lot of \"keywords\"\n // in 'avrasm' autodetection test...\n {\n beginKeywords: 'CACHE INCREMENT MAXVALUE MINVALUE',\n end: hljs.C_NUMBER_RE,\n returnEnd: true,\n keywords: 'BY CACHE INCREMENT MAXVALUE MINVALUE'\n },\n // WITH|WITHOUT TIME ZONE as part of datatype\n {\n className: 'type',\n begin: /\\b(WITH|WITHOUT)\\s+TIME\\s+ZONE\\b/\n },\n // INTERVAL optional fields\n {\n className: 'type',\n begin: /\\bINTERVAL\\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\\s+TO\\s+(MONTH|HOUR|MINUTE|SECOND))?\\b/\n },\n // Pseudo-types which allowed only as return type\n {\n begin: /\\bRETURNS\\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\\b/,\n keywords: {\n keyword: 'RETURNS',\n type: 'LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER'\n }\n },\n // Known functions - only when followed by '('\n {\n begin: '\\\\b(' + FUNCTIONS_RE + ')\\\\s*\\\\('\n //keywords: { built_in: FUNCTIONS }\n },\n // Types\n {\n begin: '\\\\.(' + TYPES_RE + ')\\\\b' // prevent highlight as type, say, 'oid' in 'pgclass.oid'\n },\n {\n begin: '\\\\b(' + TYPES_RE + ')\\\\s+PATH\\\\b', // in XMLTABLE\n keywords: {\n keyword: 'PATH', // hopefully no one would use PATH type in XMLTABLE...\n type: TYPES.replace('PATH ','')\n }\n },\n {\n className: 'type',\n begin: '\\\\b(' + TYPES_RE + ')\\\\b'\n },\n // Strings, see https://www.postgresql.org/docs/11/static/sql-syntax-lexical.html#SQL-SYNTAX-CONSTANTS\n {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [{begin: '\\'\\''}]\n },\n {\n className: 'string',\n begin: '(e|E|u&|U&)\\'', end: '\\'',\n contains: [{begin: '\\\\\\\\.'}],\n relevance: 10\n },\n {\n begin: DOLLAR_STRING,\n endSameAsBegin: true,\n contains: [\n {\n // actually we want them all except SQL; listed are those with known implementations\n // and XML + JSON just in case\n subLanguage: ['pgsql','perl','python','tcl','r','lua','java','php','ruby','bash','scheme','xml','json'],\n endsWithParent: true\n }\n ]\n },\n // identifiers in quotes\n {\n begin: '\"', end: '\"',\n contains: [{begin: '\"\"'}]\n },\n // numbers\n hljs.C_NUMBER_MODE,\n // comments\n hljs.C_BLOCK_COMMENT_MODE,\n COMMENT_MODE,\n // PL/pgSQL staff\n // %ROWTYPE, %TYPE, $n\n {\n className: 'meta',\n variants: [\n {begin: '%(ROW)?TYPE', relevance: 10}, // %TYPE, %ROWTYPE\n {begin: '\\\\$\\\\d+'}, // $n\n {begin: '^#\\\\w', end: '$'} // #compiler option\n ]\n },\n // <<labeles>>\n {\n className: 'symbol',\n begin: LABEL,\n relevance: 10\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/php.js b/js/highlight/php.js
index 90dc5a32f..07fe32d34 100644
--- a/js/highlight/php.js
+++ b/js/highlight/php.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[126],{492:function(e,n){e.exports=function(e){var n={begin:"\\$+[a-zA-Z_-ÿ][a-zA-Z0-9_-ÿ]*"},a={className:"meta",begin:/<\?(php)?|\?>/},i={className:"string",contains:[e.BACKSLASH_ESCAPE,a],variants:[{begin:'b"',end:'"'},{begin:"b'",end:"'"},e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},s={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]};return{aliases:["php","php3","php4","php5","php6","php7"],case_insensitive:!0,keywords:"and include_once list abstract global private echo interface as static endswitch array null if endwhile or const for endforeach self var while isset public protected exit foreach throw elseif include __FILE__ empty require_once do xor return parent clone use __CLASS__ __LINE__ else break print eval new catch __METHOD__ case exception default die require __FUNCTION__ enddeclare final try switch continue endfor endif declare unset true false trait goto instanceof insteadof __DIR__ __NAMESPACE__ yield finally",contains:[e.HASH_COMMENT_MODE,e.COMMENT("//","$",{contains:[a]}),e.COMMENT("/\\*","\\*/",{contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),e.COMMENT("__halt_compiler.+?;",!1,{endsWithParent:!0,keywords:"__halt_compiler",lexemes:e.UNDERSCORE_IDENT_RE}),{className:"string",begin:/<<<['"]?\w+['"]?$/,end:/^\w+;?$/,contains:[e.BACKSLASH_ESCAPE,{className:"subst",variants:[{begin:/\$\w+/},{begin:/\{\$/,end:/\}/}]}]},a,{className:"keyword",begin:/\$this\b/},n,{begin:/(::|->)+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/},{className:"function",beginKeywords:"function",end:/[;{]/,excludeEnd:!0,illegal:"\\$|\\[|%",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:["self",n,e.C_BLOCK_COMMENT_MODE,i,s]}]},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,illegal:/[:\(\$"]/,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"namespace",end:";",illegal:/[\.']/,contains:[e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"use",end:";",contains:[e.UNDERSCORE_TITLE_MODE]},{begin:"=>"},i,s]}}}}]);
-//# sourceMappingURL=php.js.map?v=0eb2c247aae16b02f68d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[126],{493:function(e,n){e.exports=function(e){var n={begin:"\\$+[a-zA-Z_-ÿ][a-zA-Z0-9_-ÿ]*"},a={className:"meta",begin:/<\?(php)?|\?>/},i={className:"string",contains:[e.BACKSLASH_ESCAPE,a],variants:[{begin:'b"',end:'"'},{begin:"b'",end:"'"},e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},s={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]};return{aliases:["php","php3","php4","php5","php6","php7"],case_insensitive:!0,keywords:"and include_once list abstract global private echo interface as static endswitch array null if endwhile or const for endforeach self var while isset public protected exit foreach throw elseif include __FILE__ empty require_once do xor return parent clone use __CLASS__ __LINE__ else break print eval new catch __METHOD__ case exception default die require __FUNCTION__ enddeclare final try switch continue endfor endif declare unset true false trait goto instanceof insteadof __DIR__ __NAMESPACE__ yield finally",contains:[e.HASH_COMMENT_MODE,e.COMMENT("//","$",{contains:[a]}),e.COMMENT("/\\*","\\*/",{contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),e.COMMENT("__halt_compiler.+?;",!1,{endsWithParent:!0,keywords:"__halt_compiler",lexemes:e.UNDERSCORE_IDENT_RE}),{className:"string",begin:/<<<['"]?\w+['"]?$/,end:/^\w+;?$/,contains:[e.BACKSLASH_ESCAPE,{className:"subst",variants:[{begin:/\$\w+/},{begin:/\{\$/,end:/\}/}]}]},a,{className:"keyword",begin:/\$this\b/},n,{begin:/(::|->)+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/},{className:"function",beginKeywords:"function",end:/[;{]/,excludeEnd:!0,illegal:"\\$|\\[|%",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:["self",n,e.C_BLOCK_COMMENT_MODE,i,s]}]},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,illegal:/[:\(\$"]/,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"namespace",end:";",illegal:/[\.']/,contains:[e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"use",end:";",contains:[e.UNDERSCORE_TITLE_MODE]},{begin:"=>"},i,s]}}}}]);
+//# sourceMappingURL=php.js.map?v=8e97e0f2a5e2dbf035c8 \ No newline at end of file
diff --git a/js/highlight/php.js.map b/js/highlight/php.js.map
index 8e58047cc..5449cf359 100644
--- a/js/highlight/php.js.map
+++ b/js/highlight/php.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/php.js"],"names":["module","exports","hljs","VARIABLE","begin","PREPROCESSOR","className","STRING","contains","BACKSLASH_ESCAPE","variants","end","inherit","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","NUMBER","BINARY_NUMBER_MODE","C_NUMBER_MODE","aliases","case_insensitive","keywords","HASH_COMMENT_MODE","COMMENT","endsWithParent","lexemes","UNDERSCORE_IDENT_RE","beginKeywords","excludeEnd","UNDERSCORE_TITLE_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,MAAO,oCAELC,EAAe,CACjBC,UAAW,OAAQF,MAAO,iBAExBG,EAAS,CACXD,UAAW,SACXE,SAAU,CAACN,EAAKO,iBAAkBJ,GAClCK,SAAU,CACR,CACEN,MAAO,KAAMO,IAAK,KAEpB,CACEP,MAAO,KAAOO,IAAK,KAErBT,EAAKU,QAAQV,EAAKW,iBAAkB,CAACC,QAAS,OAC9CZ,EAAKU,QAAQV,EAAKa,kBAAmB,CAACD,QAAS,SAG/CE,EAAS,CAACN,SAAU,CAACR,EAAKe,mBAAoBf,EAAKgB,gBACvD,MAAO,CACLC,QAAS,CAAC,MAAO,OAAQ,OAAQ,OAAQ,OAAQ,QACjDC,kBAAkB,EAClBC,SACE,kgBAQFb,SAAU,CACRN,EAAKoB,kBACLpB,EAAKqB,QAAQ,KAAM,IAAK,CAACf,SAAU,CAACH,KACpCH,EAAKqB,QACH,OACA,OACA,CACEf,SAAU,CACR,CACEF,UAAW,SACXF,MAAO,iBAKfF,EAAKqB,QACH,uBACA,EACA,CACEC,gBAAgB,EAChBH,SAAU,kBACVI,QAASvB,EAAKwB,sBAGlB,CACEpB,UAAW,SACXF,MAAO,oBAAqBO,IAAK,UACjCH,SAAU,CACRN,EAAKO,iBACL,CACEH,UAAW,QACXI,SAAU,CACR,CAACN,MAAO,SACR,CAACA,MAAO,OAAQO,IAAK,UAK7BN,EACA,CACEC,UAAW,UAAWF,MAAO,YAE/BD,EACA,CAEEC,MAAO,oDAET,CACEE,UAAW,WACXqB,cAAe,WAAYhB,IAAK,OAAQiB,YAAY,EACpDd,QAAS,YACTN,SAAU,CACRN,EAAK2B,sBACL,CACEvB,UAAW,SACXF,MAAO,MAAOO,IAAK,MACnBH,SAAU,CACR,OACAL,EACAD,EAAK4B,qBACLvB,EACAS,MAKR,CACEV,UAAW,QACXqB,cAAe,kBAAmBhB,IAAK,IAAKiB,YAAY,EACxDd,QAAS,WACTN,SAAU,CACR,CAACmB,cAAe,sBAChBzB,EAAK2B,wBAGT,CACEF,cAAe,YAAahB,IAAK,IACjCG,QAAS,QACTN,SAAU,CAACN,EAAK2B,wBAElB,CACEF,cAAe,MAAOhB,IAAK,IAC3BH,SAAU,CAACN,EAAK2B,wBAElB,CACEzB,MAAO,MAETG,EACAS","file":"highlight/php.js?v=0eb2c247aae16b02f68d","sourcesContent":["module.exports = function(hljs) {\n var VARIABLE = {\n begin: '\\\\$+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*'\n };\n var PREPROCESSOR = {\n className: 'meta', begin: /<\\?(php)?|\\?>/\n };\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, PREPROCESSOR],\n variants: [\n {\n begin: 'b\"', end: '\"'\n },\n {\n begin: 'b\\'', end: '\\''\n },\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null})\n ]\n };\n var NUMBER = {variants: [hljs.BINARY_NUMBER_MODE, hljs.C_NUMBER_MODE]};\n return {\n aliases: ['php', 'php3', 'php4', 'php5', 'php6', 'php7'],\n case_insensitive: true,\n keywords:\n 'and include_once list abstract global private echo interface as static endswitch ' +\n 'array null if endwhile or const for endforeach self var while isset public ' +\n 'protected exit foreach throw elseif include __FILE__ empty require_once do xor ' +\n 'return parent clone use __CLASS__ __LINE__ else break print eval new ' +\n 'catch __METHOD__ case exception default die require __FUNCTION__ ' +\n 'enddeclare final try switch continue endfor endif declare unset true false ' +\n 'trait goto instanceof insteadof __DIR__ __NAMESPACE__ ' +\n 'yield finally',\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.COMMENT('//', '$', {contains: [PREPROCESSOR]}),\n hljs.COMMENT(\n '/\\\\*',\n '\\\\*/',\n {\n contains: [\n {\n className: 'doctag',\n begin: '@[A-Za-z]+'\n }\n ]\n }\n ),\n hljs.COMMENT(\n '__halt_compiler.+?;',\n false,\n {\n endsWithParent: true,\n keywords: '__halt_compiler',\n lexemes: hljs.UNDERSCORE_IDENT_RE\n }\n ),\n {\n className: 'string',\n begin: /<<<['\"]?\\w+['\"]?$/, end: /^\\w+;?$/,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n {\n className: 'subst',\n variants: [\n {begin: /\\$\\w+/},\n {begin: /\\{\\$/, end: /\\}/}\n ]\n }\n ]\n },\n PREPROCESSOR,\n {\n className: 'keyword', begin: /\\$this\\b/\n },\n VARIABLE,\n {\n // swallow composed identifiers to avoid parsing them as keywords\n begin: /(::|->)+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*/\n },\n {\n className: 'function',\n beginKeywords: 'function', end: /[;{]/, excludeEnd: true,\n illegal: '\\\\$|\\\\[|%',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n contains: [\n 'self',\n VARIABLE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRING,\n NUMBER\n ]\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class interface', end: '{', excludeEnd: true,\n illegal: /[:\\(\\$\"]/,\n contains: [\n {beginKeywords: 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n beginKeywords: 'namespace', end: ';',\n illegal: /[\\.']/,\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n beginKeywords: 'use', end: ';',\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n begin: '=>' // No markup, just a relevance booster\n },\n STRING,\n NUMBER\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/php.js"],"names":["module","exports","hljs","VARIABLE","begin","PREPROCESSOR","className","STRING","contains","BACKSLASH_ESCAPE","variants","end","inherit","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","NUMBER","BINARY_NUMBER_MODE","C_NUMBER_MODE","aliases","case_insensitive","keywords","HASH_COMMENT_MODE","COMMENT","endsWithParent","lexemes","UNDERSCORE_IDENT_RE","beginKeywords","excludeEnd","UNDERSCORE_TITLE_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,MAAO,oCAELC,EAAe,CACjBC,UAAW,OAAQF,MAAO,iBAExBG,EAAS,CACXD,UAAW,SACXE,SAAU,CAACN,EAAKO,iBAAkBJ,GAClCK,SAAU,CACR,CACEN,MAAO,KAAMO,IAAK,KAEpB,CACEP,MAAO,KAAOO,IAAK,KAErBT,EAAKU,QAAQV,EAAKW,iBAAkB,CAACC,QAAS,OAC9CZ,EAAKU,QAAQV,EAAKa,kBAAmB,CAACD,QAAS,SAG/CE,EAAS,CAACN,SAAU,CAACR,EAAKe,mBAAoBf,EAAKgB,gBACvD,MAAO,CACLC,QAAS,CAAC,MAAO,OAAQ,OAAQ,OAAQ,OAAQ,QACjDC,kBAAkB,EAClBC,SACE,kgBAQFb,SAAU,CACRN,EAAKoB,kBACLpB,EAAKqB,QAAQ,KAAM,IAAK,CAACf,SAAU,CAACH,KACpCH,EAAKqB,QACH,OACA,OACA,CACEf,SAAU,CACR,CACEF,UAAW,SACXF,MAAO,iBAKfF,EAAKqB,QACH,uBACA,EACA,CACEC,gBAAgB,EAChBH,SAAU,kBACVI,QAASvB,EAAKwB,sBAGlB,CACEpB,UAAW,SACXF,MAAO,oBAAqBO,IAAK,UACjCH,SAAU,CACRN,EAAKO,iBACL,CACEH,UAAW,QACXI,SAAU,CACR,CAACN,MAAO,SACR,CAACA,MAAO,OAAQO,IAAK,UAK7BN,EACA,CACEC,UAAW,UAAWF,MAAO,YAE/BD,EACA,CAEEC,MAAO,oDAET,CACEE,UAAW,WACXqB,cAAe,WAAYhB,IAAK,OAAQiB,YAAY,EACpDd,QAAS,YACTN,SAAU,CACRN,EAAK2B,sBACL,CACEvB,UAAW,SACXF,MAAO,MAAOO,IAAK,MACnBH,SAAU,CACR,OACAL,EACAD,EAAK4B,qBACLvB,EACAS,MAKR,CACEV,UAAW,QACXqB,cAAe,kBAAmBhB,IAAK,IAAKiB,YAAY,EACxDd,QAAS,WACTN,SAAU,CACR,CAACmB,cAAe,sBAChBzB,EAAK2B,wBAGT,CACEF,cAAe,YAAahB,IAAK,IACjCG,QAAS,QACTN,SAAU,CAACN,EAAK2B,wBAElB,CACEF,cAAe,MAAOhB,IAAK,IAC3BH,SAAU,CAACN,EAAK2B,wBAElB,CACEzB,MAAO,MAETG,EACAS","file":"highlight/php.js?v=8e97e0f2a5e2dbf035c8","sourcesContent":["module.exports = function(hljs) {\n var VARIABLE = {\n begin: '\\\\$+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*'\n };\n var PREPROCESSOR = {\n className: 'meta', begin: /<\\?(php)?|\\?>/\n };\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, PREPROCESSOR],\n variants: [\n {\n begin: 'b\"', end: '\"'\n },\n {\n begin: 'b\\'', end: '\\''\n },\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null})\n ]\n };\n var NUMBER = {variants: [hljs.BINARY_NUMBER_MODE, hljs.C_NUMBER_MODE]};\n return {\n aliases: ['php', 'php3', 'php4', 'php5', 'php6', 'php7'],\n case_insensitive: true,\n keywords:\n 'and include_once list abstract global private echo interface as static endswitch ' +\n 'array null if endwhile or const for endforeach self var while isset public ' +\n 'protected exit foreach throw elseif include __FILE__ empty require_once do xor ' +\n 'return parent clone use __CLASS__ __LINE__ else break print eval new ' +\n 'catch __METHOD__ case exception default die require __FUNCTION__ ' +\n 'enddeclare final try switch continue endfor endif declare unset true false ' +\n 'trait goto instanceof insteadof __DIR__ __NAMESPACE__ ' +\n 'yield finally',\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.COMMENT('//', '$', {contains: [PREPROCESSOR]}),\n hljs.COMMENT(\n '/\\\\*',\n '\\\\*/',\n {\n contains: [\n {\n className: 'doctag',\n begin: '@[A-Za-z]+'\n }\n ]\n }\n ),\n hljs.COMMENT(\n '__halt_compiler.+?;',\n false,\n {\n endsWithParent: true,\n keywords: '__halt_compiler',\n lexemes: hljs.UNDERSCORE_IDENT_RE\n }\n ),\n {\n className: 'string',\n begin: /<<<['\"]?\\w+['\"]?$/, end: /^\\w+;?$/,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n {\n className: 'subst',\n variants: [\n {begin: /\\$\\w+/},\n {begin: /\\{\\$/, end: /\\}/}\n ]\n }\n ]\n },\n PREPROCESSOR,\n {\n className: 'keyword', begin: /\\$this\\b/\n },\n VARIABLE,\n {\n // swallow composed identifiers to avoid parsing them as keywords\n begin: /(::|->)+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*/\n },\n {\n className: 'function',\n beginKeywords: 'function', end: /[;{]/, excludeEnd: true,\n illegal: '\\\\$|\\\\[|%',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n contains: [\n 'self',\n VARIABLE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRING,\n NUMBER\n ]\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class interface', end: '{', excludeEnd: true,\n illegal: /[:\\(\\$\"]/,\n contains: [\n {beginKeywords: 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n beginKeywords: 'namespace', end: ';',\n illegal: /[\\.']/,\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n beginKeywords: 'use', end: ';',\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n begin: '=>' // No markup, just a relevance booster\n },\n STRING,\n NUMBER\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/plaintext.js b/js/highlight/plaintext.js
index f4de88318..c64934ab7 100644
--- a/js/highlight/plaintext.js
+++ b/js/highlight/plaintext.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[127],{493:function(t,e){t.exports=function(t){return{disableAutodetect:!0}}}}]);
-//# sourceMappingURL=plaintext.js.map?v=498081833cfcab05f826 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[127],{494:function(t,e){t.exports=function(t){return{disableAutodetect:!0}}}}]);
+//# sourceMappingURL=plaintext.js.map?v=fbdfa92af2a410a157a5 \ No newline at end of file
diff --git a/js/highlight/plaintext.js.map b/js/highlight/plaintext.js.map
index 5e68262ea..31473cc33 100644
--- a/js/highlight/plaintext.js.map
+++ b/js/highlight/plaintext.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/plaintext.js"],"names":["module","exports","hljs","disableAutodetect"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACtB,MAAO,CACHC,mBAAmB","file":"highlight/plaintext.js?v=498081833cfcab05f826","sourcesContent":["module.exports = function(hljs) {\n return {\n disableAutodetect: true\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/plaintext.js"],"names":["module","exports","hljs","disableAutodetect"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACtB,MAAO,CACHC,mBAAmB","file":"highlight/plaintext.js?v=fbdfa92af2a410a157a5","sourcesContent":["module.exports = function(hljs) {\n return {\n disableAutodetect: true\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/pony.js b/js/highlight/pony.js
index a0ce46c91..6a8f3593d 100644
--- a/js/highlight/pony.js
+++ b/js/highlight/pony.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[128],{494:function(e,n){e.exports=function(e){return{keywords:{keyword:"actor addressof and as be break class compile_error compile_intrinsic consume continue delegate digestof do else elseif embed end error for fun if ifdef in interface is isnt lambda let match new not object or primitive recover repeat return struct then trait try type until use var where while with xor",meta:"iso val tag trn box ref",literal:"this false true"},contains:[{className:"type",begin:"\\b_?[A-Z][\\w]*",relevance:0},{className:"string",begin:'"""',end:'"""',relevance:10},{className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE]},{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE],relevance:0},{begin:e.IDENT_RE+"'",relevance:0},{className:"number",begin:"(-?)(\\b0[xX][a-fA-F0-9]+|\\b0[bB][01]+|(\\b\\d+(_\\d+)?(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",relevance:0},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}}}]);
-//# sourceMappingURL=pony.js.map?v=e4396af24945aecbd6d6 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[128],{495:function(e,n){e.exports=function(e){return{keywords:{keyword:"actor addressof and as be break class compile_error compile_intrinsic consume continue delegate digestof do else elseif embed end error for fun if ifdef in interface is isnt lambda let match new not object or primitive recover repeat return struct then trait try type until use var where while with xor",meta:"iso val tag trn box ref",literal:"this false true"},contains:[{className:"type",begin:"\\b_?[A-Z][\\w]*",relevance:0},{className:"string",begin:'"""',end:'"""',relevance:10},{className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE]},{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE],relevance:0},{begin:e.IDENT_RE+"'",relevance:0},{className:"number",begin:"(-?)(\\b0[xX][a-fA-F0-9]+|\\b0[bB][01]+|(\\b\\d+(_\\d+)?(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",relevance:0},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}}}]);
+//# sourceMappingURL=pony.js.map?v=646d098d07d6d4da4fed \ No newline at end of file
diff --git a/js/highlight/pony.js.map b/js/highlight/pony.js.map
index 64b3c2f48..3cb327368 100644
--- a/js/highlight/pony.js.map
+++ b/js/highlight/pony.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/pony.js"],"names":["module","exports","hljs","keywords","keyword","meta","literal","contains","className","begin","relevance","end","BACKSLASH_ESCAPE","IDENT_RE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GA4DxB,MAAO,CACLC,SA5Da,CACbC,QACE,iTAKFC,KACE,0BACFC,QACE,mBAmDFC,SAAU,CA7BI,CACdC,UAAW,OACXC,MAAO,mBACPC,UAAW,GAtBkB,CAC7BF,UAAW,SACXC,MAAO,MAAOE,IAAK,MACnBD,UAAW,IAGW,CACtBF,UAAW,SACXC,MAAO,IAAKE,IAAK,IACjBJ,SAAU,CAACL,EAAKU,mBAGW,CAC3BJ,UAAW,SACXC,MAAO,IAAME,IAAK,IAClBJ,SAAU,CAACL,EAAKU,kBAChBF,UAAW,GASK,CAChBD,MAAOP,EAAKW,SAAW,IAAMH,UAAW,GAGxB,CAChBF,UAAW,SACXC,MAAO,+FACPC,UAAW,GAuBTR,EAAKY,oBACLZ,EAAKa","file":"highlight/pony.js?v=e4396af24945aecbd6d6","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n 'actor addressof and as be break class compile_error compile_intrinsic ' +\n 'consume continue delegate digestof do else elseif embed end error ' +\n 'for fun if ifdef in interface is isnt lambda let match new not object ' +\n 'or primitive recover repeat return struct then trait try type until ' +\n 'use var where while with xor',\n meta:\n 'iso val tag trn box ref',\n literal:\n 'this false true'\n };\n\n var TRIPLE_QUOTE_STRING_MODE = {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"',\n relevance: 10\n };\n\n var QUOTE_STRING_MODE = {\n className: 'string',\n begin: '\"', end: '\"',\n contains: [hljs.BACKSLASH_ESCAPE]\n };\n\n var SINGLE_QUOTE_CHAR_MODE = {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 0\n };\n\n var TYPE_NAME = {\n className: 'type',\n begin: '\\\\b_?[A-Z][\\\\w]*',\n relevance: 0\n };\n\n var PRIMED_NAME = {\n begin: hljs.IDENT_RE + '\\'', relevance: 0\n };\n\n var NUMBER_MODE = {\n className: 'number',\n begin: '(-?)(\\\\b0[xX][a-fA-F0-9]+|\\\\b0[bB][01]+|(\\\\b\\\\d+(_\\\\d+)?(\\\\.\\\\d*)?|\\\\.\\\\d+)([eE][-+]?\\\\d+)?)',\n relevance: 0\n };\n\n /**\n * The `FUNCTION` and `CLASS` modes were intentionally removed to simplify\n * highlighting and fix cases like\n * ```\n * interface Iterator[A: A]\n * fun has_next(): Bool\n * fun next(): A?\n * ```\n * where it is valid to have a function head without a body\n */\n\n return {\n keywords: KEYWORDS,\n contains: [\n TYPE_NAME,\n TRIPLE_QUOTE_STRING_MODE,\n QUOTE_STRING_MODE,\n SINGLE_QUOTE_CHAR_MODE,\n PRIMED_NAME,\n NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/pony.js"],"names":["module","exports","hljs","keywords","keyword","meta","literal","contains","className","begin","relevance","end","BACKSLASH_ESCAPE","IDENT_RE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GA4DxB,MAAO,CACLC,SA5Da,CACbC,QACE,iTAKFC,KACE,0BACFC,QACE,mBAmDFC,SAAU,CA7BI,CACdC,UAAW,OACXC,MAAO,mBACPC,UAAW,GAtBkB,CAC7BF,UAAW,SACXC,MAAO,MAAOE,IAAK,MACnBD,UAAW,IAGW,CACtBF,UAAW,SACXC,MAAO,IAAKE,IAAK,IACjBJ,SAAU,CAACL,EAAKU,mBAGW,CAC3BJ,UAAW,SACXC,MAAO,IAAME,IAAK,IAClBJ,SAAU,CAACL,EAAKU,kBAChBF,UAAW,GASK,CAChBD,MAAOP,EAAKW,SAAW,IAAMH,UAAW,GAGxB,CAChBF,UAAW,SACXC,MAAO,+FACPC,UAAW,GAuBTR,EAAKY,oBACLZ,EAAKa","file":"highlight/pony.js?v=646d098d07d6d4da4fed","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n 'actor addressof and as be break class compile_error compile_intrinsic ' +\n 'consume continue delegate digestof do else elseif embed end error ' +\n 'for fun if ifdef in interface is isnt lambda let match new not object ' +\n 'or primitive recover repeat return struct then trait try type until ' +\n 'use var where while with xor',\n meta:\n 'iso val tag trn box ref',\n literal:\n 'this false true'\n };\n\n var TRIPLE_QUOTE_STRING_MODE = {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"',\n relevance: 10\n };\n\n var QUOTE_STRING_MODE = {\n className: 'string',\n begin: '\"', end: '\"',\n contains: [hljs.BACKSLASH_ESCAPE]\n };\n\n var SINGLE_QUOTE_CHAR_MODE = {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [hljs.BACKSLASH_ESCAPE],\n relevance: 0\n };\n\n var TYPE_NAME = {\n className: 'type',\n begin: '\\\\b_?[A-Z][\\\\w]*',\n relevance: 0\n };\n\n var PRIMED_NAME = {\n begin: hljs.IDENT_RE + '\\'', relevance: 0\n };\n\n var NUMBER_MODE = {\n className: 'number',\n begin: '(-?)(\\\\b0[xX][a-fA-F0-9]+|\\\\b0[bB][01]+|(\\\\b\\\\d+(_\\\\d+)?(\\\\.\\\\d*)?|\\\\.\\\\d+)([eE][-+]?\\\\d+)?)',\n relevance: 0\n };\n\n /**\n * The `FUNCTION` and `CLASS` modes were intentionally removed to simplify\n * highlighting and fix cases like\n * ```\n * interface Iterator[A: A]\n * fun has_next(): Bool\n * fun next(): A?\n * ```\n * where it is valid to have a function head without a body\n */\n\n return {\n keywords: KEYWORDS,\n contains: [\n TYPE_NAME,\n TRIPLE_QUOTE_STRING_MODE,\n QUOTE_STRING_MODE,\n SINGLE_QUOTE_CHAR_MODE,\n PRIMED_NAME,\n NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/powershell.js b/js/highlight/powershell.js
index caf16335d..f89cc3c2c 100644
--- a/js/highlight/powershell.js
+++ b/js/highlight/powershell.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[129],{495:function(e,t){e.exports=function(e){var t={begin:"`[\\s\\S]",relevance:0},o={className:"variable",variants:[{begin:/\$[\w\d][\w\d_:]*/}]},r={className:"string",variants:[{begin:/"/,end:/"/},{begin:/@"/,end:/^"@/}],contains:[t,o,{className:"variable",begin:/\$[A-z]/,end:/[^A-z]/}]},i=e.inherit(e.COMMENT(null,null),{variants:[{begin:/#/,end:/$/},{begin:/<#/,end:/#>/}],contains:[{className:"doctag",variants:[{begin:/\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/},{begin:/\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\s+\S+/}]}]});return{aliases:["ps","ps1"],lexemes:/-?[A-z\.\-]+/,case_insensitive:!0,keywords:{keyword:"if else foreach return function do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catchValidateNoCircleInNodeResources ValidateNodeExclusiveResources ValidateNodeManager ValidateNodeResources ValidateNodeResourceSource ValidateNoNameNodeResources ThrowError IsHiddenResourceIsPatternMatched ",built_in:"Add-Computer Add-Content Add-History Add-JobTrigger Add-Member Add-PSSnapin Add-Type Checkpoint-Computer Clear-Content Clear-EventLog Clear-History Clear-Host Clear-Item Clear-ItemProperty Clear-Variable Compare-Object Complete-Transaction Connect-PSSession Connect-WSMan Convert-Path ConvertFrom-Csv ConvertFrom-Json ConvertFrom-SecureString ConvertFrom-StringData ConvertTo-Csv ConvertTo-Html ConvertTo-Json ConvertTo-SecureString ConvertTo-Xml Copy-Item Copy-ItemProperty Debug-Process Disable-ComputerRestore Disable-JobTrigger Disable-PSBreakpoint Disable-PSRemoting Disable-PSSessionConfiguration Disable-WSManCredSSP Disconnect-PSSession Disconnect-WSMan Disable-ScheduledJob Enable-ComputerRestore Enable-JobTrigger Enable-PSBreakpoint Enable-PSRemoting Enable-PSSessionConfiguration Enable-ScheduledJob Enable-WSManCredSSP Enter-PSSession Exit-PSSession Export-Alias Export-Clixml Export-Console Export-Counter Export-Csv Export-FormatData Export-ModuleMember Export-PSSession ForEach-Object Format-Custom Format-List Format-Table Format-Wide Get-Acl Get-Alias Get-AuthenticodeSignature Get-ChildItem Get-Command Get-ComputerRestorePoint Get-Content Get-ControlPanelItem Get-Counter Get-Credential Get-Culture Get-Date Get-Event Get-EventLog Get-EventSubscriber Get-ExecutionPolicy Get-FormatData Get-Host Get-HotFix Get-Help Get-History Get-IseSnippet Get-Item Get-ItemProperty Get-Job Get-JobTrigger Get-Location Get-Member Get-Module Get-PfxCertificate Get-Process Get-PSBreakpoint Get-PSCallStack Get-PSDrive Get-PSProvider Get-PSSession Get-PSSessionConfiguration Get-PSSnapin Get-Random Get-ScheduledJob Get-ScheduledJobOption Get-Service Get-TraceSource Get-Transaction Get-TypeData Get-UICulture Get-Unique Get-Variable Get-Verb Get-WinEvent Get-WmiObject Get-WSManCredSSP Get-WSManInstance Group-Object Import-Alias Import-Clixml Import-Counter Import-Csv Import-IseSnippet Import-LocalizedData Import-PSSession Import-Module Invoke-AsWorkflow Invoke-Command Invoke-Expression Invoke-History Invoke-Item Invoke-RestMethod Invoke-WebRequest Invoke-WmiMethod Invoke-WSManAction Join-Path Limit-EventLog Measure-Command Measure-Object Move-Item Move-ItemProperty New-Alias New-Event New-EventLog New-IseSnippet New-Item New-ItemProperty New-JobTrigger New-Object New-Module New-ModuleManifest New-PSDrive New-PSSession New-PSSessionConfigurationFile New-PSSessionOption New-PSTransportOption New-PSWorkflowExecutionOption New-PSWorkflowSession New-ScheduledJobOption New-Service New-TimeSpan New-Variable New-WebServiceProxy New-WinEvent New-WSManInstance New-WSManSessionOption Out-Default Out-File Out-GridView Out-Host Out-Null Out-Printer Out-String Pop-Location Push-Location Read-Host Receive-Job Register-EngineEvent Register-ObjectEvent Register-PSSessionConfiguration Register-ScheduledJob Register-WmiEvent Remove-Computer Remove-Event Remove-EventLog Remove-Item Remove-ItemProperty Remove-Job Remove-JobTrigger Remove-Module Remove-PSBreakpoint Remove-PSDrive Remove-PSSession Remove-PSSnapin Remove-TypeData Remove-Variable Remove-WmiObject Remove-WSManInstance Rename-Computer Rename-Item Rename-ItemProperty Reset-ComputerMachinePassword Resolve-Path Restart-Computer Restart-Service Restore-Computer Resume-Job Resume-Service Save-Help Select-Object Select-String Select-Xml Send-MailMessage Set-Acl Set-Alias Set-AuthenticodeSignature Set-Content Set-Date Set-ExecutionPolicy Set-Item Set-ItemProperty Set-JobTrigger Set-Location Set-PSBreakpoint Set-PSDebug Set-PSSessionConfiguration Set-ScheduledJob Set-ScheduledJobOption Set-Service Set-StrictMode Set-TraceSource Set-Variable Set-WmiInstance Set-WSManInstance Set-WSManQuickConfig Show-Command Show-ControlPanelItem Show-EventLog Sort-Object Split-Path Start-Job Start-Process Start-Service Start-Sleep Start-Transaction Start-Transcript Stop-Computer Stop-Job Stop-Process Stop-Service Stop-Transcript Suspend-Job Suspend-Service Tee-Object Test-ComputerSecureChannel Test-Connection Test-ModuleManifest Test-Path Test-PSSessionConfigurationFile Trace-Command Unblock-File Undo-Transaction Unregister-Event Unregister-PSSessionConfiguration Unregister-ScheduledJob Update-FormatData Update-Help Update-List Update-TypeData Use-Transaction Wait-Event Wait-Job Wait-Process Where-Object Write-Debug Write-Error Write-EventLog Write-Host Write-Output Write-Progress Write-Verbose Write-Warning Add-MDTPersistentDrive Disable-MDTMonitorService Enable-MDTMonitorService Get-MDTDeploymentShareStatistics Get-MDTMonitorData Get-MDTOperatingSystemCatalog Get-MDTPersistentDrive Import-MDTApplication Import-MDTDriver Import-MDTOperatingSystem Import-MDTPackage Import-MDTTaskSequence New-MDTDatabase Remove-MDTMonitorData Remove-MDTPersistentDrive Restore-MDTPersistentDrive Set-MDTMonitorData Test-MDTDeploymentShare Test-MDTMonitorData Update-MDTDatabaseSchema Update-MDTDeploymentShare Update-MDTLinkedDS Update-MDTMedia Add-VamtProductKey Export-VamtData Find-VamtManagedMachine Get-VamtConfirmationId Get-VamtProduct Get-VamtProductKey Import-VamtData Initialize-VamtData Install-VamtConfirmationId Install-VamtProductActivation Install-VamtProductKey Update-VamtProduct Add-CIDatastore Add-KeyManagementServer Add-NodeKeys Add-NsxDynamicCriteria Add-NsxDynamicMemberSet Add-NsxEdgeInterfaceAddress Add-NsxFirewallExclusionListMember Add-NsxFirewallRuleMember Add-NsxIpSetMember Add-NsxLicense Add-NsxLoadBalancerPoolMember Add-NsxLoadBalancerVip Add-NsxSecondaryManager Add-NsxSecurityGroupMember Add-NsxSecurityPolicyRule Add-NsxSecurityPolicyRuleGroup Add-NsxSecurityPolicyRuleService Add-NsxServiceGroupMember Add-NsxTransportZoneMember Add-PassthroughDevice Add-VDSwitchPhysicalNetworkAdapter Add-VDSwitchVMHost Add-VMHost Add-VMHostNtpServer Add-VirtualSwitchPhysicalNetworkAdapter Add-XmlElement Add-vRACustomForm Add-vRAPrincipalToTenantRole Add-vRAReservationNetwork Add-vRAReservationStorage Clear-NsxEdgeInterface Clear-NsxManagerTimeSettings Compress-Archive Connect-CIServer Connect-CisServer Connect-HCXServer Connect-NIServer Connect-NsxLogicalSwitch Connect-NsxServer Connect-NsxtServer Connect-SrmServer Connect-VIServer Connect-Vmc Connect-vRAServer Connect-vRNIServer ConvertFrom-Markdown ConvertTo-MOFInstance Copy-DatastoreItem Copy-HardDisk Copy-NsxEdge Copy-VDisk Copy-VMGuestFile Debug-Runspace Disable-NsxEdgeSsh Disable-RunspaceDebug Disable-vRNIDataSource Disconnect-CIServer Disconnect-CisServer Disconnect-HCXServer Disconnect-NsxLogicalSwitch Disconnect-NsxServer Disconnect-NsxtServer Disconnect-SrmServer Disconnect-VIServer Disconnect-Vmc Disconnect-vRAServer Disconnect-vRNIServer Dismount-Tools Enable-NsxEdgeSsh Enable-RunspaceDebug Enable-vRNIDataSource Expand-Archive Export-NsxObject Export-SpbmStoragePolicy Export-VApp Export-VDPortGroup Export-VDSwitch Export-VMHostProfile Export-vRAIcon Export-vRAPackage Find-Command Find-DscResource Find-Module Find-NsxWhereVMUsed Find-Package Find-PackageProvider Find-RoleCapability Find-Script Format-Hex Format-VMHostDiskPartition Format-XML Generate-VersionInfo Get-AdvancedSetting Get-AlarmAction Get-AlarmActionTrigger Get-AlarmDefinition Get-Annotation Get-CDDrive Get-CIAccessControlRule Get-CIDatastore Get-CINetworkAdapter Get-CIRole Get-CIUser Get-CIVApp Get-CIVAppNetwork Get-CIVAppStartRule Get-CIVAppTemplate Get-CIVM Get-CIVMTemplate Get-CIView Get-Catalog Get-CisCommand Get-CisService Get-CloudCommand Get-Cluster Get-CompatibleVersionAddtionaPropertiesStr Get-ComplexResourceQualifier Get-ConfigurationErrorCount Get-ContentLibraryItem Get-CustomAttribute Get-DSCResourceModules Get-Datacenter Get-Datastore Get-DatastoreCluster Get-DrsClusterGroup Get-DrsRecommendation Get-DrsRule Get-DrsVMHostRule Get-DscResource Get-EdgeGateway Get-EncryptedPassword Get-ErrorReport Get-EsxCli Get-EsxTop Get-ExternalNetwork Get-FileHash Get-FloppyDrive Get-Folder Get-HAPrimaryVMHost Get-HCXAppliance Get-HCXApplianceCompute Get-HCXApplianceDVS Get-HCXApplianceDatastore Get-HCXApplianceNetwork Get-HCXContainer Get-HCXDatastore Get-HCXGateway Get-HCXInterconnectStatus Get-HCXJob Get-HCXMigration Get-HCXNetwork Get-HCXNetworkExtension Get-HCXReplication Get-HCXReplicationSnapshot Get-HCXService Get-HCXSite Get-HCXSitePairing Get-HCXVM Get-HardDisk Get-IScsiHbaTarget Get-InnerMostErrorRecord Get-InstallPath Get-InstalledModule Get-InstalledScript Get-Inventory Get-ItemPropertyValue Get-KeyManagementServer Get-KmipClientCertificate Get-KmsCluster Get-Log Get-LogType Get-MarkdownOption Get-Media Get-MofInstanceName Get-MofInstanceText Get-NetworkAdapter Get-NetworkPool Get-NfsUser Get-NicTeamingPolicy Get-NsxApplicableMember Get-NsxApplicableSecurityAction Get-NsxBackingDVSwitch Get-NsxBackingPortGroup Get-NsxCliDfwAddrSet Get-NsxCliDfwFilter Get-NsxCliDfwRule Get-NsxClusterStatus Get-NsxController Get-NsxDynamicCriteria Get-NsxDynamicMemberSet Get-NsxEdge Get-NsxEdgeBgp Get-NsxEdgeBgpNeighbour Get-NsxEdgeCertificate Get-NsxEdgeCsr Get-NsxEdgeFirewall Get-NsxEdgeFirewallRule Get-NsxEdgeInterface Get-NsxEdgeInterfaceAddress Get-NsxEdgeNat Get-NsxEdgeNatRule Get-NsxEdgeOspf Get-NsxEdgeOspfArea Get-NsxEdgeOspfInterface Get-NsxEdgePrefix Get-NsxEdgeRedistributionRule Get-NsxEdgeRouting Get-NsxEdgeStaticRoute Get-NsxEdgeSubInterface Get-NsxFirewallExclusionListMember Get-NsxFirewallGlobalConfiguration Get-NsxFirewallPublishStatus Get-NsxFirewallRule Get-NsxFirewallRuleMember Get-NsxFirewallSavedConfiguration Get-NsxFirewallSection Get-NsxFirewallThreshold Get-NsxIpPool Get-NsxIpSet Get-NsxLicense Get-NsxLoadBalancer Get-NsxLoadBalancerApplicationProfile Get-NsxLoadBalancerApplicationRule Get-NsxLoadBalancerMonitor Get-NsxLoadBalancerPool Get-NsxLoadBalancerPoolMember Get-NsxLoadBalancerStats Get-NsxLoadBalancerVip Get-NsxLogicalRouter Get-NsxLogicalRouterBgp Get-NsxLogicalRouterBgpNeighbour Get-NsxLogicalRouterBridge Get-NsxLogicalRouterBridging Get-NsxLogicalRouterInterface Get-NsxLogicalRouterOspf Get-NsxLogicalRouterOspfArea Get-NsxLogicalRouterOspfInterface Get-NsxLogicalRouterPrefix Get-NsxLogicalRouterRedistributionRule Get-NsxLogicalRouterRouting Get-NsxLogicalRouterStaticRoute Get-NsxLogicalSwitch Get-NsxMacSet Get-NsxManagerBackup Get-NsxManagerCertificate Get-NsxManagerComponentSummary Get-NsxManagerNetwork Get-NsxManagerRole Get-NsxManagerSsoConfig Get-NsxManagerSyncStatus Get-NsxManagerSyslogServer Get-NsxManagerSystemSummary Get-NsxManagerTimeSettings Get-NsxManagerVcenterConfig Get-NsxSecondaryManager Get-NsxSecurityGroup Get-NsxSecurityGroupEffectiveIpAddress Get-NsxSecurityGroupEffectiveMacAddress Get-NsxSecurityGroupEffectiveMember Get-NsxSecurityGroupEffectiveVirtualMachine Get-NsxSecurityGroupEffectiveVnic Get-NsxSecurityGroupMemberTypes Get-NsxSecurityPolicy Get-NsxSecurityPolicyHighestUsedPrecedence Get-NsxSecurityPolicyRule Get-NsxSecurityTag Get-NsxSecurityTagAssignment Get-NsxSegmentIdRange Get-NsxService Get-NsxServiceDefinition Get-NsxServiceGroup Get-NsxServiceGroupMember Get-NsxServiceProfile Get-NsxSpoofguardNic Get-NsxSpoofguardPolicy Get-NsxSslVpn Get-NsxSslVpnAuthServer Get-NsxSslVpnClientInstallationPackage Get-NsxSslVpnIpPool Get-NsxSslVpnPrivateNetwork Get-NsxSslVpnUser Get-NsxTransportZone Get-NsxUserRole Get-NsxVdsContext Get-NsxtPolicyService Get-NsxtService Get-OSCustomizationNicMapping Get-OSCustomizationSpec Get-Org Get-OrgNetwork Get-OrgVdc Get-OrgVdcNetwork Get-OvfConfiguration Get-PSCurrentConfigurationNode Get-PSDefaultConfigurationDocument Get-PSMetaConfigDocumentInstVersionInfo Get-PSMetaConfigurationProcessed Get-PSReadLineKeyHandler Get-PSReadLineOption Get-PSRepository Get-PSTopConfigurationName Get-PSVersion Get-Package Get-PackageProvider Get-PackageSource Get-PassthroughDevice Get-PositionInfo Get-PowerCLICommunity Get-PowerCLIConfiguration Get-PowerCLIHelp Get-PowerCLIVersion Get-PowerNsxVersion Get-ProviderVdc Get-PublicKeyFromFile Get-PublicKeyFromStore Get-ResourcePool Get-Runspace Get-RunspaceDebug Get-ScsiController Get-ScsiLun Get-ScsiLunPath Get-SecurityInfo Get-SecurityPolicy Get-Snapshot Get-SpbmCapability Get-SpbmCompatibleStorage Get-SpbmEntityConfiguration Get-SpbmFaultDomain Get-SpbmPointInTimeReplica Get-SpbmReplicationGroup Get-SpbmReplicationPair Get-SpbmStoragePolicy Get-Stat Get-StatInterval Get-StatType Get-Tag Get-TagAssignment Get-TagCategory Get-Task Get-Template Get-TimeZone Get-Uptime Get-UsbDevice Get-VAIOFilter Get-VApp Get-VDBlockedPolicy Get-VDPort Get-VDPortgroup Get-VDPortgroupOverridePolicy Get-VDSecurityPolicy Get-VDSwitch Get-VDSwitchPrivateVlan Get-VDTrafficShapingPolicy Get-VDUplinkLacpPolicy Get-VDUplinkTeamingPolicy Get-VDisk Get-VIAccount Get-VICommand Get-VICredentialStoreItem Get-VIEvent Get-VIObjectByVIView Get-VIPermission Get-VIPrivilege Get-VIProperty Get-VIRole Get-VM Get-VMGuest Get-VMHost Get-VMHostAccount Get-VMHostAdvancedConfiguration Get-VMHostAuthentication Get-VMHostAvailableTimeZone Get-VMHostDiagnosticPartition Get-VMHostDisk Get-VMHostDiskPartition Get-VMHostFirewallDefaultPolicy Get-VMHostFirewallException Get-VMHostFirmware Get-VMHostHardware Get-VMHostHba Get-VMHostModule Get-VMHostNetwork Get-VMHostNetworkAdapter Get-VMHostNtpServer Get-VMHostPatch Get-VMHostPciDevice Get-VMHostProfile Get-VMHostProfileImageCacheConfiguration Get-VMHostProfileRequiredInput Get-VMHostProfileStorageDeviceConfiguration Get-VMHostProfileUserConfiguration Get-VMHostProfileVmPortGroupConfiguration Get-VMHostRoute Get-VMHostService Get-VMHostSnmp Get-VMHostStartPolicy Get-VMHostStorage Get-VMHostSysLogServer Get-VMQuestion Get-VMResourceConfiguration Get-VMStartPolicy Get-VTpm Get-VTpmCSR Get-VTpmCertificate Get-VasaProvider Get-VasaStorageArray Get-View Get-VirtualPortGroup Get-VirtualSwitch Get-VmcSddcNetworkService Get-VmcService Get-VsanClusterConfiguration Get-VsanComponent Get-VsanDisk Get-VsanDiskGroup Get-VsanEvacuationPlan Get-VsanFaultDomain Get-VsanIscsiInitiatorGroup Get-VsanIscsiInitiatorGroupTargetAssociation Get-VsanIscsiLun Get-VsanIscsiTarget Get-VsanObject Get-VsanResyncingComponent Get-VsanRuntimeInfo Get-VsanSpaceUsage Get-VsanStat Get-VsanView Get-vRAApplianceServiceStatus Get-vRAAuthorizationRole Get-vRABlueprint Get-vRABusinessGroup Get-vRACatalogItem Get-vRACatalogItemRequestTemplate Get-vRACatalogPrincipal Get-vRAComponentRegistryService Get-vRAComponentRegistryServiceEndpoint Get-vRAComponentRegistryServiceStatus Get-vRAContent Get-vRAContentData Get-vRAContentType Get-vRACustomForm Get-vRAEntitledCatalogItem Get-vRAEntitledService Get-vRAEntitlement Get-vRAExternalNetworkProfile Get-vRAGroupPrincipal Get-vRAIcon Get-vRANATNetworkProfile Get-vRANetworkProfileIPAddressList Get-vRANetworkProfileIPRangeSummary Get-vRAPackage Get-vRAPackageContent Get-vRAPropertyDefinition Get-vRAPropertyGroup Get-vRARequest Get-vRARequestDetail Get-vRAReservation Get-vRAReservationComputeResource Get-vRAReservationComputeResourceMemory Get-vRAReservationComputeResourceNetwork Get-vRAReservationComputeResourceResourcePool Get-vRAReservationComputeResourceStorage Get-vRAReservationPolicy Get-vRAReservationTemplate Get-vRAReservationType Get-vRAResource Get-vRAResourceAction Get-vRAResourceActionRequestTemplate Get-vRAResourceMetric Get-vRAResourceOperation Get-vRAResourceType Get-vRARoutedNetworkProfile Get-vRAService Get-vRAServiceBlueprint Get-vRASourceMachine Get-vRAStorageReservationPolicy Get-vRATenant Get-vRATenantDirectory Get-vRATenantDirectoryStatus Get-vRATenantRole Get-vRAUserPrincipal Get-vRAUserPrincipalGroupMembership Get-vRAVersion Get-vRNIAPIVersion Get-vRNIApplication Get-vRNIApplicationTier Get-vRNIDataSource Get-vRNIDataSourceSNMPConfig Get-vRNIDatastore Get-vRNIDistributedSwitch Get-vRNIDistributedSwitchPortGroup Get-vRNIEntity Get-vRNIEntityName Get-vRNIFirewallRule Get-vRNIFlow Get-vRNIHost Get-vRNIHostVMKNic Get-vRNIIPSet Get-vRNIL2Network Get-vRNINSXManager Get-vRNINodes Get-vRNIProblem Get-vRNIRecommendedRules Get-vRNIRecommendedRulesNsxBundle Get-vRNISecurityGroup Get-vRNISecurityTag Get-vRNIService Get-vRNIServiceGroup Get-vRNIVM Get-vRNIVMvNIC Get-vRNIvCenter Get-vRNIvCenterCluster Get-vRNIvCenterDatacenter Get-vRNIvCenterFolder Grant-NsxSpoofguardNicApproval Import-CIVApp Import-CIVAppTemplate Import-NsxObject Import-PackageProvider Import-PowerShellDataFile Import-SpbmStoragePolicy Import-VApp Import-VMHostProfile Import-vRAContentData Import-vRAIcon Import-vRAPackage Initialize-ConfigurationRuntimeState Install-Module Install-NsxCluster Install-Package Install-PackageProvider Install-Script Install-VMHostPatch Invoke-DrsRecommendation Invoke-NsxCli Invoke-NsxClusterResolveAll Invoke-NsxManagerSync Invoke-NsxRestMethod Invoke-NsxWebRequest Invoke-VMHostProfile Invoke-VMScript Invoke-XpathQuery Invoke-vRADataCollection Invoke-vRARestMethod Invoke-vRATenantDirectorySync Invoke-vRNIRestMethod Join-String Mount-Tools Move-Cluster Move-Datacenter Move-Datastore Move-Folder Move-HardDisk Move-Inventory Move-NsxSecurityPolicyRule Move-ResourcePool Move-Template Move-VApp Move-VDisk Move-VM Move-VMHost New-AdvancedSetting New-AlarmAction New-AlarmActionTrigger New-CDDrive New-CIAccessControlRule New-CIVApp New-CIVAppNetwork New-CIVAppTemplate New-CIVM New-Cluster New-CustomAttribute New-Datacenter New-Datastore New-DatastoreCluster New-DatastoreDrive New-DrsClusterGroup New-DrsRule New-DrsVMHostRule New-DscChecksum New-FloppyDrive New-Folder New-Guid New-HCXAppliance New-HCXMigration New-HCXNetworkExtension New-HCXNetworkMapping New-HCXReplication New-HCXSitePairing New-HCXStaticRoute New-HardDisk New-IScsiHbaTarget New-KmipClientCertificate New-NetworkAdapter New-NfsUser New-NsxAddressSpec New-NsxClusterVxlanConfig New-NsxController New-NsxDynamicCriteriaSpec New-NsxEdge New-NsxEdgeBgpNeighbour New-NsxEdgeCsr New-NsxEdgeFirewallRule New-NsxEdgeInterfaceSpec New-NsxEdgeNatRule New-NsxEdgeOspfArea New-NsxEdgeOspfInterface New-NsxEdgePrefix New-NsxEdgeRedistributionRule New-NsxEdgeSelfSignedCertificate New-NsxEdgeStaticRoute New-NsxEdgeSubInterface New-NsxEdgeSubInterfaceSpec New-NsxFirewallRule New-NsxFirewallSavedConfiguration New-NsxFirewallSection New-NsxIpPool New-NsxIpSet New-NsxLoadBalancerApplicationProfile New-NsxLoadBalancerApplicationRule New-NsxLoadBalancerMemberSpec New-NsxLoadBalancerMonitor New-NsxLoadBalancerPool New-NsxLogicalRouter New-NsxLogicalRouterBgpNeighbour New-NsxLogicalRouterBridge New-NsxLogicalRouterInterface New-NsxLogicalRouterInterfaceSpec New-NsxLogicalRouterOspfArea New-NsxLogicalRouterOspfInterface New-NsxLogicalRouterPrefix New-NsxLogicalRouterRedistributionRule New-NsxLogicalRouterStaticRoute New-NsxLogicalSwitch New-NsxMacSet New-NsxManager New-NsxSecurityGroup New-NsxSecurityPolicy New-NsxSecurityPolicyAssignment New-NsxSecurityPolicyFirewallRuleSpec New-NsxSecurityPolicyGuestIntrospectionSpec New-NsxSecurityPolicyNetworkIntrospectionSpec New-NsxSecurityTag New-NsxSecurityTagAssignment New-NsxSegmentIdRange New-NsxService New-NsxServiceGroup New-NsxSpoofguardPolicy New-NsxSslVpnAuthServer New-NsxSslVpnClientInstallationPackage New-NsxSslVpnIpPool New-NsxSslVpnPrivateNetwork New-NsxSslVpnUser New-NsxTransportZone New-NsxVdsContext New-OSCustomizationNicMapping New-OSCustomizationSpec New-Org New-OrgNetwork New-OrgVdc New-OrgVdcNetwork New-ResourcePool New-ScriptFileInfo New-ScsiController New-Snapshot New-SpbmRule New-SpbmRuleSet New-SpbmStoragePolicy New-StatInterval New-Tag New-TagAssignment New-TagCategory New-Template New-TemporaryFile New-VAIOFilter New-VApp New-VDPortgroup New-VDSwitch New-VDSwitchPrivateVlan New-VDisk New-VICredentialStoreItem New-VIInventoryDrive New-VIPermission New-VIProperty New-VIRole New-VISamlSecurityContext New-VM New-VMHostAccount New-VMHostNetworkAdapter New-VMHostProfile New-VMHostProfileVmPortGroupConfiguration New-VMHostRoute New-VTpm New-VasaProvider New-VcsOAuthSecurityContext New-VirtualPortGroup New-VirtualSwitch New-VsanDisk New-VsanDiskGroup New-VsanFaultDomain New-VsanIscsiInitiatorGroup New-VsanIscsiInitiatorGroupTargetAssociation New-VsanIscsiLun New-VsanIscsiTarget New-vRABusinessGroup New-vRAEntitlement New-vRAExternalNetworkProfile New-vRAGroupPrincipal New-vRANATNetworkProfile New-vRANetworkProfileIPRangeDefinition New-vRAPackage New-vRAPropertyDefinition New-vRAPropertyGroup New-vRAReservation New-vRAReservationNetworkDefinition New-vRAReservationPolicy New-vRAReservationStorageDefinition New-vRARoutedNetworkProfile New-vRAService New-vRAStorageReservationPolicy New-vRATenant New-vRATenantDirectory New-vRAUserPrincipal New-vRNIApplication New-vRNIApplicationTier New-vRNIDataSource Open-VMConsoleWindow Publish-Module Publish-NsxSpoofguardPolicy Publish-Script Register-PSRepository Register-PackageSource Remove-AdvancedSetting Remove-AlarmAction Remove-AlarmActionTrigger Remove-Alias Remove-CDDrive Remove-CIAccessControlRule Remove-CIVApp Remove-CIVAppNetwork Remove-CIVAppTemplate Remove-Cluster Remove-CustomAttribute Remove-Datacenter Remove-Datastore Remove-DatastoreCluster Remove-DrsClusterGroup Remove-DrsRule Remove-DrsVMHostRule Remove-FloppyDrive Remove-Folder Remove-HCXAppliance Remove-HCXNetworkExtension Remove-HCXReplication Remove-HCXSitePairing Remove-HardDisk Remove-IScsiHbaTarget Remove-Inventory Remove-KeyManagementServer Remove-NetworkAdapter Remove-NfsUser Remove-NsxCluster Remove-NsxClusterVxlanConfig Remove-NsxController Remove-NsxDynamicCriteria Remove-NsxDynamicMemberSet Remove-NsxEdge Remove-NsxEdgeBgpNeighbour Remove-NsxEdgeCertificate Remove-NsxEdgeCsr Remove-NsxEdgeFirewallRule Remove-NsxEdgeInterfaceAddress Remove-NsxEdgeNatRule Remove-NsxEdgeOspfArea Remove-NsxEdgeOspfInterface Remove-NsxEdgePrefix Remove-NsxEdgeRedistributionRule Remove-NsxEdgeStaticRoute Remove-NsxEdgeSubInterface Remove-NsxFirewallExclusionListMember Remove-NsxFirewallRule Remove-NsxFirewallRuleMember Remove-NsxFirewallSavedConfiguration Remove-NsxFirewallSection Remove-NsxIpPool Remove-NsxIpSet Remove-NsxIpSetMember Remove-NsxLoadBalancerApplicationProfile Remove-NsxLoadBalancerMonitor Remove-NsxLoadBalancerPool Remove-NsxLoadBalancerPoolMember Remove-NsxLoadBalancerVip Remove-NsxLogicalRouter Remove-NsxLogicalRouterBgpNeighbour Remove-NsxLogicalRouterBridge Remove-NsxLogicalRouterInterface Remove-NsxLogicalRouterOspfArea Remove-NsxLogicalRouterOspfInterface Remove-NsxLogicalRouterPrefix Remove-NsxLogicalRouterRedistributionRule Remove-NsxLogicalRouterStaticRoute Remove-NsxLogicalSwitch Remove-NsxMacSet Remove-NsxSecondaryManager Remove-NsxSecurityGroup Remove-NsxSecurityGroupMember Remove-NsxSecurityPolicy Remove-NsxSecurityPolicyAssignment Remove-NsxSecurityPolicyRule Remove-NsxSecurityPolicyRuleGroup Remove-NsxSecurityPolicyRuleService Remove-NsxSecurityTag Remove-NsxSecurityTagAssignment Remove-NsxSegmentIdRange Remove-NsxService Remove-NsxServiceGroup Remove-NsxSpoofguardPolicy Remove-NsxSslVpnClientInstallationPackage Remove-NsxSslVpnIpPool Remove-NsxSslVpnPrivateNetwork Remove-NsxSslVpnUser Remove-NsxTransportZone Remove-NsxTransportZoneMember Remove-NsxVdsContext Remove-OSCustomizationNicMapping Remove-OSCustomizationSpec Remove-Org Remove-OrgNetwork Remove-OrgVdc Remove-OrgVdcNetwork Remove-PSReadLineKeyHandler Remove-PassthroughDevice Remove-ResourcePool Remove-Snapshot Remove-SpbmStoragePolicy Remove-StatInterval Remove-Tag Remove-TagAssignment Remove-TagCategory Remove-Template Remove-UsbDevice Remove-VAIOFilter Remove-VApp Remove-VDPortGroup Remove-VDSwitch Remove-VDSwitchPhysicalNetworkAdapter Remove-VDSwitchPrivateVlan Remove-VDSwitchVMHost Remove-VDisk Remove-VICredentialStoreItem Remove-VIPermission Remove-VIProperty Remove-VIRole Remove-VM Remove-VMHost Remove-VMHostAccount Remove-VMHostNetworkAdapter Remove-VMHostNtpServer Remove-VMHostProfile Remove-VMHostProfileVmPortGroupConfiguration Remove-VMHostRoute Remove-VTpm Remove-VasaProvider Remove-VirtualPortGroup Remove-VirtualSwitch Remove-VirtualSwitchPhysicalNetworkAdapter Remove-VsanDisk Remove-VsanDiskGroup Remove-VsanFaultDomain Remove-VsanIscsiInitiatorGroup Remove-VsanIscsiInitiatorGroupTargetAssociation Remove-VsanIscsiLun Remove-VsanIscsiTarget Remove-vRABusinessGroup Remove-vRACustomForm Remove-vRAExternalNetworkProfile Remove-vRAGroupPrincipal Remove-vRAIcon Remove-vRANATNetworkProfile Remove-vRAPackage Remove-vRAPrincipalFromTenantRole Remove-vRAPropertyDefinition Remove-vRAPropertyGroup Remove-vRAReservation Remove-vRAReservationNetwork Remove-vRAReservationPolicy Remove-vRAReservationStorage Remove-vRARoutedNetworkProfile Remove-vRAService Remove-vRAStorageReservationPolicy Remove-vRATenant Remove-vRATenantDirectory Remove-vRAUserPrincipal Remove-vRNIApplication Remove-vRNIApplicationTier Remove-vRNIDataSource Repair-NsxEdge Repair-VsanObject Request-vRACatalogItem Request-vRAResourceAction Restart-CIVApp Restart-CIVAppGuest Restart-CIVM Restart-CIVMGuest Restart-VM Restart-VMGuest Restart-VMHost Restart-VMHostService Resume-HCXReplication Revoke-NsxSpoofguardNicApproval Save-Module Save-Package Save-Script Search-Cloud Set-AdvancedSetting Set-AlarmDefinition Set-Annotation Set-CDDrive Set-CIAccessControlRule Set-CINetworkAdapter Set-CIVApp Set-CIVAppNetwork Set-CIVAppStartRule Set-CIVAppTemplate Set-Cluster Set-CustomAttribute Set-Datacenter Set-Datastore Set-DatastoreCluster Set-DrsClusterGroup Set-DrsRule Set-DrsVMHostRule Set-FloppyDrive Set-Folder Set-HCXAppliance Set-HCXMigration Set-HCXReplication Set-HardDisk Set-IScsiHbaTarget Set-KeyManagementServer Set-KmsCluster Set-MarkdownOption Set-NetworkAdapter Set-NfsUser Set-NicTeamingPolicy Set-NodeExclusiveResources Set-NodeManager Set-NodeResourceSource Set-NodeResources Set-NsxEdge Set-NsxEdgeBgp Set-NsxEdgeFirewall Set-NsxEdgeInterface Set-NsxEdgeNat Set-NsxEdgeOspf Set-NsxEdgeRouting Set-NsxFirewallGlobalConfiguration Set-NsxFirewallRule Set-NsxFirewallSavedConfiguration Set-NsxFirewallThreshold Set-NsxLoadBalancer Set-NsxLoadBalancerPoolMember Set-NsxLogicalRouter Set-NsxLogicalRouterBgp Set-NsxLogicalRouterBridging Set-NsxLogicalRouterInterface Set-NsxLogicalRouterOspf Set-NsxLogicalRouterRouting Set-NsxManager Set-NsxManagerRole Set-NsxManagerTimeSettings Set-NsxSecurityPolicy Set-NsxSecurityPolicyFirewallRule Set-NsxSslVpn Set-OSCustomizationNicMapping Set-OSCustomizationSpec Set-Org Set-OrgNetwork Set-OrgVdc Set-OrgVdcNetwork Set-PSCurrentConfigurationNode Set-PSDefaultConfigurationDocument Set-PSMetaConfigDocInsProcessedBeforeMeta Set-PSMetaConfigVersionInfoV2 Set-PSReadLineKeyHandler Set-PSReadLineOption Set-PSRepository Set-PSTopConfigurationName Set-PackageSource Set-PowerCLIConfiguration Set-ResourcePool Set-ScsiController Set-ScsiLun Set-ScsiLunPath Set-SecurityPolicy Set-Snapshot Set-SpbmEntityConfiguration Set-SpbmStoragePolicy Set-StatInterval Set-Tag Set-TagCategory Set-Template Set-VAIOFilter Set-VApp Set-VDBlockedPolicy Set-VDPort Set-VDPortgroup Set-VDPortgroupOverridePolicy Set-VDSecurityPolicy Set-VDSwitch Set-VDTrafficShapingPolicy Set-VDUplinkLacpPolicy Set-VDUplinkTeamingPolicy Set-VDVlanConfiguration Set-VDisk Set-VIPermission Set-VIRole Set-VM Set-VMHost Set-VMHostAccount Set-VMHostAdvancedConfiguration Set-VMHostAuthentication Set-VMHostDiagnosticPartition Set-VMHostFirewallDefaultPolicy Set-VMHostFirewallException Set-VMHostFirmware Set-VMHostHba Set-VMHostModule Set-VMHostNetwork Set-VMHostNetworkAdapter Set-VMHostProfile Set-VMHostProfileImageCacheConfiguration Set-VMHostProfileStorageDeviceConfiguration Set-VMHostProfileUserConfiguration Set-VMHostProfileVmPortGroupConfiguration Set-VMHostRoute Set-VMHostService Set-VMHostSnmp Set-VMHostStartPolicy Set-VMHostStorage Set-VMHostSysLogServer Set-VMQuestion Set-VMResourceConfiguration Set-VMStartPolicy Set-VTpm Set-VirtualPortGroup Set-VirtualSwitch Set-VsanClusterConfiguration Set-VsanFaultDomain Set-VsanIscsiInitiatorGroup Set-VsanIscsiLun Set-VsanIscsiTarget Set-vRABusinessGroup Set-vRACatalogItem Set-vRACustomForm Set-vRAEntitlement Set-vRAExternalNetworkProfile Set-vRANATNetworkProfile Set-vRAReservation Set-vRAReservationNetwork Set-vRAReservationPolicy Set-vRAReservationStorage Set-vRARoutedNetworkProfile Set-vRAService Set-vRAStorageReservationPolicy Set-vRATenant Set-vRATenantDirectory Set-vRAUserPrincipal Set-vRNIDataSourceSNMPConfig Show-Markdown Start-CIVApp Start-CIVM Start-HCXMigration Start-HCXReplication Start-SpbmReplicationFailover Start-SpbmReplicationPrepareFailover Start-SpbmReplicationPromote Start-SpbmReplicationReverse Start-SpbmReplicationTestFailover Start-ThreadJob Start-VApp Start-VM Start-VMHost Start-VMHostService Start-VsanClusterDiskUpdate Start-VsanClusterRebalance Start-VsanEncryptionConfiguration Stop-CIVApp Stop-CIVAppGuest Stop-CIVM Stop-CIVMGuest Stop-SpbmReplicationTestFailover Stop-Task Stop-VApp Stop-VM Stop-VMGuest Stop-VMHost Stop-VMHostService Stop-VsanClusterRebalance Suspend-CIVApp Suspend-CIVM Suspend-HCXReplication Suspend-VM Suspend-VMGuest Suspend-VMHost Sync-SpbmReplicationGroup Test-ConflictingResources Test-HCXMigration Test-HCXReplication Test-Json Test-ModuleReloadRequired Test-MofInstanceText Test-NodeManager Test-NodeResourceSource Test-NodeResources Test-ScriptFileInfo Test-VMHostProfileCompliance Test-VMHostSnmp Test-VsanClusterHealth Test-VsanNetworkPerformance Test-VsanStoragePerformance Test-VsanVMCreation Test-vRAPackage Uninstall-Module Uninstall-Package Uninstall-Script Unlock-VM Unregister-PSRepository Unregister-PackageSource Update-ConfigurationDocumentRef Update-ConfigurationErrorCount Update-DependsOn Update-LocalConfigManager Update-Module Update-ModuleManifest Update-ModuleVersion Update-PowerNsx Update-Script Update-ScriptFileInfo Update-Tools Update-VsanHclDatabase ValidateUpdate-ConfigurationData Wait-Debugger Wait-NsxControllerJob Wait-NsxGenericJob Wait-NsxJob Wait-Task Wait-Tools Write-Information Write-Log Write-MetaConfigFile Write-NodeMOFFile",nomarkup:"-ne -eq -lt -gt -ge -le -not -like -notlike -match -notmatch -contains -notcontains -in -notin -replace"},contains:[t,e.NUMBER_MODE,r,{className:"string",variants:[{begin:/'/,end:/'/},{begin:/@'/,end:/^'@/}]},{className:"literal",begin:/\$(null|true|false)\b/},o,i]}}}}]);
-//# sourceMappingURL=powershell.js.map?v=0cf6db049788858d088d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[129],{496:function(e,n){e.exports=function(e){var n={keyword:"if else foreach return do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catch hidden static parameter"},a={begin:"`[\\s\\S]",relevance:0},i={className:"variable",variants:[{begin:/\$\B/},{className:"keyword",begin:/\$this/},{begin:/\$[\w\d][\w\d_:]*/}]},t={className:"string",variants:[{begin:/"/,end:/"/},{begin:/@"/,end:/^"@/}],contains:[a,i,{className:"variable",begin:/\$[A-z]/,end:/[^A-z]/}]},s={className:"string",variants:[{begin:/'/,end:/'/},{begin:/@'/,end:/^'@/}]},c=e.inherit(e.COMMENT(null,null),{variants:[{begin:/#/,end:/$/},{begin:/<#/,end:/#>/}],contains:[{className:"doctag",variants:[{begin:/\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/},{begin:/\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\s+\S+/}]}]}),o={className:"built_in",variants:[{begin:"(".concat("Add|Clear|Close|Copy|Enter|Exit|Find|Format|Get|Hide|Join|Lock|Move|New|Open|Optimize|Pop|Push|Redo|Remove|Rename|Reset|Resize|Search|Select|Set|Show|Skip|Split|Step|Switch|Undo|Unlock|Watch|Backup|Checkpoint|Compare|Compress|Convert|ConvertFrom|ConvertTo|Dismount|Edit|Expand|Export|Group|Import|Initialize|Limit|Merge|New|Out|Publish|Restore|Save|Sync|Unpublish|Update|Approve|Assert|Complete|Confirm|Deny|Disable|Enable|Install|Invoke|Register|Request|Restart|Resume|Start|Stop|Submit|Suspend|Uninstall|Unregister|Wait|Debug|Measure|Ping|Repair|Resolve|Test|Trace|Connect|Disconnect|Read|Receive|Send|Write|Block|Grant|Protect|Revoke|Unblock|Unprotect|Use|ForEach|Sort|Tee|Where",")+(-)[\\w\\d]+")}]},r={className:"class",beginKeywords:"class enum",end:/\s*[{]/,excludeEnd:!0,relevance:0,contains:[e.TITLE_MODE]},l={className:"function",begin:/function\s+/,end:/\s*\{|$/,excludeEnd:!0,returnBegin:!0,relevance:0,contains:[{begin:"function",relevance:0,className:"keyword"},{className:"title",begin:/\w[\w\d]*((-)[\w\d]+)*/,relevance:0},{begin:/\(/,end:/\)/,className:"params",relevance:0,contains:[i]}]},d={begin:/using\s/,end:/$/,returnBegin:!0,contains:[t,s,{className:"keyword",begin:/(using|assembly|command|module|namespace|type)/}]},m={variants:[{className:"operator",begin:"(".concat("-and|-as|-band|-bnot|-bor|-bxor|-casesensitive|-ccontains|-ceq|-cge|-cgt|-cle|-clike|-clt|-cmatch|-cne|-cnotcontains|-cnotlike|-cnotmatch|-contains|-creplace|-csplit|-eq|-exact|-f|-file|-ge|-gt|-icontains|-ieq|-ige|-igt|-ile|-ilike|-ilt|-imatch|-in|-ine|-inotcontains|-inotlike|-inotmatch|-ireplace|-is|-isnot|-isplit|-join|-le|-like|-lt|-match|-ne|-not|-notcontains|-notin|-notlike|-notmatch|-or|-regex|-replace|-shl|-shr|-split|-wildcard|-xor",")\\b")},{className:"literal",begin:/(-)[\w\d]+/,relevance:0}]},p={className:"function",begin:/\[.*\]\s*[\w]+[ ]??\(/,end:/$/,returnBegin:!0,relevance:0,contains:[{className:"keyword",begin:"(".concat(n.keyword.toString().replace(/\s/g,"|"),")\\b"),endsParent:!0,relevance:0},e.inherit(e.TITLE_MODE,{endsParent:!0})]},g=[p,c,a,e.NUMBER_MODE,t,s,o,i,{className:"literal",begin:/\$(null|true|false)\b/},{className:"selector-tag",begin:/\@\B/,relevance:0}],b={begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[].concat("self",g,{begin:"("+["string","char","byte","int","long","bool","decimal","single","double","DateTime","xml","array","hashtable","void"].join("|")+")",className:"built_in",relevance:0},{className:"type",begin:/[\.\w\d]+/,relevance:0})};return p.contains.unshift(b),{aliases:["ps","ps1"],lexemes:/-?[A-z\.\-]+/,case_insensitive:!0,keywords:n,contains:g.concat(r,l,d,m,b)}}}}]);
+//# sourceMappingURL=powershell.js.map?v=3b4d9a58526a7741dcc7 \ No newline at end of file
diff --git a/js/highlight/powershell.js.map b/js/highlight/powershell.js.map
index 44f4c51f9..ed1e588ce 100644
--- a/js/highlight/powershell.js.map
+++ b/js/highlight/powershell.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/powershell.js"],"names":["module","exports","hljs","BACKTICK_ESCAPE","begin","relevance","VAR","className","variants","QUOTE_STRING","end","contains","PS_COMMENT","inherit","COMMENT","aliases","lexemes","case_insensitive","keywords","keyword","built_in","nomarkup","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAkB,CACpBC,MAAO,YACPC,UAAW,GAETC,EAAM,CACRC,UAAW,WACXC,SAAU,CAAC,CAAEJ,MAAO,uBAMlBK,EAAe,CACjBF,UAAW,SACXC,SAAU,CAAC,CAAEJ,MAAO,IAAKM,IAAK,KAAO,CAAEN,MAAO,KAAMM,IAAK,QACzDC,SAAU,CACRR,EACAG,EACA,CACEC,UAAW,WACXH,MAAO,UACPM,IAAK,YAuBPE,EAAaV,EAAKW,QAAQX,EAAKY,QAAQ,KAAM,MAAO,CACtDN,SAAU,CAER,CAAEJ,MAAO,IAAKM,IAAK,KAEnB,CAAEN,MAAO,KAAMM,IAAK,OAEtBC,SAAU,CArBM,CAChBJ,UAAW,SACXC,SAAU,CAGR,CACEJ,MAAO,2FAGT,CACEA,MAAO,sGAcb,MAAO,CACLW,QAAS,CAAC,KAAM,OAChBC,QAAS,eACTC,kBAAkB,EAClBC,SAAU,CACRC,QACE,oXAGFC,SACE,4h6BAgMFC,SACE,2GAEJV,SAAU,CACRR,EACAD,EAAKoB,YACLb,EA7Oc,CAChBF,UAAW,SACXC,SAAU,CAAC,CAAEJ,MAAO,IAAKM,IAAK,KAAO,CAAEN,MAAO,KAAMM,IAAK,SAnB7C,CACZH,UAAW,UACXH,MAAO,yBA+PLE,EACAM","file":"highlight/powershell.js?v=0cf6db049788858d088d","sourcesContent":["module.exports = function(hljs){\n var BACKTICK_ESCAPE = {\n begin: \"`[\\\\s\\\\S]\",\n relevance: 0,\n };\n var VAR = {\n className: \"variable\",\n variants: [{ begin: /\\$[\\w\\d][\\w\\d_:]*/ }],\n };\n var LITERAL = {\n className: \"literal\",\n begin: /\\$(null|true|false)\\b/,\n };\n var QUOTE_STRING = {\n className: \"string\",\n variants: [{ begin: /\"/, end: /\"/ }, { begin: /@\"/, end: /^\"@/ }],\n contains: [\n BACKTICK_ESCAPE,\n VAR,\n {\n className: \"variable\",\n begin: /\\$[A-z]/,\n end: /[^A-z]/,\n },\n ],\n };\n var APOS_STRING = {\n className: \"string\",\n variants: [{ begin: /'/, end: /'/ }, { begin: /@'/, end: /^'@/ }],\n };\n\n var PS_HELPTAGS = {\n className: \"doctag\",\n variants: [\n /* no paramater help tags */\n\n {\n begin: /\\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/,\n },\n /* one parameter help tags */\n {\n begin: /\\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\\s+\\S+/,\n },\n ],\n };\n var PS_COMMENT = hljs.inherit(hljs.COMMENT(null, null), {\n variants: [\n /* single-line comment */\n { begin: /#/, end: /$/ },\n /* multi-line comment */\n { begin: /<#/, end: /#>/ },\n ],\n contains: [PS_HELPTAGS],\n });\n\n return {\n aliases: [\"ps\", \"ps1\"],\n lexemes: /-?[A-z\\.\\-]+/,\n case_insensitive: true,\n keywords: {\n keyword:\n \"if else foreach return function do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catch\" +\n \"ValidateNoCircleInNodeResources ValidateNodeExclusiveResources ValidateNodeManager ValidateNodeResources ValidateNodeResourceSource ValidateNoNameNodeResources ThrowError IsHiddenResource\" +\n \"IsPatternMatched \",\n built_in:\n \"Add-Computer Add-Content Add-History Add-JobTrigger Add-Member Add-PSSnapin Add-Type Checkpoint-Computer Clear-Content \" +\n \"Clear-EventLog Clear-History Clear-Host Clear-Item Clear-ItemProperty Clear-Variable Compare-Object Complete-Transaction Connect-PSSession \" +\n \"Connect-WSMan Convert-Path ConvertFrom-Csv ConvertFrom-Json ConvertFrom-SecureString ConvertFrom-StringData ConvertTo-Csv ConvertTo-Html \" +\n \"ConvertTo-Json ConvertTo-SecureString ConvertTo-Xml Copy-Item Copy-ItemProperty Debug-Process Disable-ComputerRestore Disable-JobTrigger \" +\n \"Disable-PSBreakpoint Disable-PSRemoting Disable-PSSessionConfiguration Disable-WSManCredSSP Disconnect-PSSession Disconnect-WSMan \" +\n \"Disable-ScheduledJob Enable-ComputerRestore Enable-JobTrigger Enable-PSBreakpoint Enable-PSRemoting Enable-PSSessionConfiguration \" +\n \"Enable-ScheduledJob Enable-WSManCredSSP Enter-PSSession Exit-PSSession Export-Alias Export-Clixml Export-Console Export-Counter Export-Csv \" +\n \"Export-FormatData Export-ModuleMember Export-PSSession ForEach-Object Format-Custom Format-List Format-Table Format-Wide Get-Acl Get-Alias \" +\n \"Get-AuthenticodeSignature Get-ChildItem Get-Command Get-ComputerRestorePoint Get-Content Get-ControlPanelItem Get-Counter Get-Credential \" +\n \"Get-Culture Get-Date Get-Event Get-EventLog Get-EventSubscriber Get-ExecutionPolicy Get-FormatData Get-Host Get-HotFix Get-Help Get-History \" +\n \"Get-IseSnippet Get-Item Get-ItemProperty Get-Job Get-JobTrigger Get-Location Get-Member Get-Module Get-PfxCertificate Get-Process \" +\n \"Get-PSBreakpoint Get-PSCallStack Get-PSDrive Get-PSProvider Get-PSSession Get-PSSessionConfiguration Get-PSSnapin Get-Random Get-ScheduledJob \" +\n \"Get-ScheduledJobOption Get-Service Get-TraceSource Get-Transaction Get-TypeData Get-UICulture Get-Unique Get-Variable Get-Verb Get-WinEvent \" +\n \"Get-WmiObject Get-WSManCredSSP Get-WSManInstance Group-Object Import-Alias Import-Clixml Import-Counter Import-Csv Import-IseSnippet \" +\n \"Import-LocalizedData Import-PSSession Import-Module Invoke-AsWorkflow Invoke-Command Invoke-Expression Invoke-History Invoke-Item \" +\n \"Invoke-RestMethod Invoke-WebRequest Invoke-WmiMethod Invoke-WSManAction Join-Path Limit-EventLog Measure-Command Measure-Object Move-Item \" +\n \"Move-ItemProperty New-Alias New-Event New-EventLog New-IseSnippet New-Item New-ItemProperty New-JobTrigger New-Object New-Module \" +\n \"New-ModuleManifest New-PSDrive New-PSSession New-PSSessionConfigurationFile New-PSSessionOption New-PSTransportOption \" +\n \"New-PSWorkflowExecutionOption New-PSWorkflowSession New-ScheduledJobOption New-Service New-TimeSpan New-Variable New-WebServiceProxy \" +\n \"New-WinEvent New-WSManInstance New-WSManSessionOption Out-Default Out-File Out-GridView Out-Host Out-Null Out-Printer Out-String Pop-Location \" +\n \"Push-Location Read-Host Receive-Job Register-EngineEvent Register-ObjectEvent Register-PSSessionConfiguration Register-ScheduledJob \" +\n \"Register-WmiEvent Remove-Computer Remove-Event Remove-EventLog Remove-Item Remove-ItemProperty Remove-Job Remove-JobTrigger Remove-Module \" +\n \"Remove-PSBreakpoint Remove-PSDrive Remove-PSSession Remove-PSSnapin Remove-TypeData Remove-Variable Remove-WmiObject Remove-WSManInstance \" +\n \"Rename-Computer Rename-Item Rename-ItemProperty Reset-ComputerMachinePassword Resolve-Path Restart-Computer Restart-Service Restore-Computer \" +\n \"Resume-Job Resume-Service Save-Help Select-Object Select-String Select-Xml Send-MailMessage Set-Acl Set-Alias Set-AuthenticodeSignature \" +\n \"Set-Content Set-Date Set-ExecutionPolicy Set-Item Set-ItemProperty Set-JobTrigger Set-Location Set-PSBreakpoint Set-PSDebug \" +\n \"Set-PSSessionConfiguration Set-ScheduledJob Set-ScheduledJobOption Set-Service Set-StrictMode Set-TraceSource Set-Variable Set-WmiInstance \" +\n \"Set-WSManInstance Set-WSManQuickConfig Show-Command Show-ControlPanelItem Show-EventLog Sort-Object Split-Path Start-Job Start-Process \" +\n \"Start-Service Start-Sleep Start-Transaction Start-Transcript Stop-Computer Stop-Job Stop-Process Stop-Service Stop-Transcript Suspend-Job \" +\n \"Suspend-Service Tee-Object Test-ComputerSecureChannel Test-Connection Test-ModuleManifest Test-Path Test-PSSessionConfigurationFile \" +\n \"Trace-Command Unblock-File Undo-Transaction Unregister-Event Unregister-PSSessionConfiguration Unregister-ScheduledJob Update-FormatData \" +\n \"Update-Help Update-List Update-TypeData Use-Transaction Wait-Event Wait-Job Wait-Process Where-Object Write-Debug Write-Error Write-EventLog \" +\n \"Write-Host Write-Output Write-Progress Write-Verbose Write-Warning Add-MDTPersistentDrive Disable-MDTMonitorService Enable-MDTMonitorService \" +\n \"Get-MDTDeploymentShareStatistics Get-MDTMonitorData Get-MDTOperatingSystemCatalog Get-MDTPersistentDrive Import-MDTApplication \" +\n \"Import-MDTDriver Import-MDTOperatingSystem Import-MDTPackage Import-MDTTaskSequence New-MDTDatabase Remove-MDTMonitorData \" +\n \"Remove-MDTPersistentDrive Restore-MDTPersistentDrive Set-MDTMonitorData Test-MDTDeploymentShare Test-MDTMonitorData Update-MDTDatabaseSchema \" +\n \"Update-MDTDeploymentShare Update-MDTLinkedDS Update-MDTMedia Add-VamtProductKey Export-VamtData Find-VamtManagedMachine \" +\n \"Get-VamtConfirmationId Get-VamtProduct Get-VamtProductKey Import-VamtData Initialize-VamtData Install-VamtConfirmationId \" +\n \"Install-VamtProductActivation Install-VamtProductKey Update-VamtProduct Add-CIDatastore Add-KeyManagementServer Add-NodeKeys \" +\n \"Add-NsxDynamicCriteria Add-NsxDynamicMemberSet Add-NsxEdgeInterfaceAddress Add-NsxFirewallExclusionListMember Add-NsxFirewallRuleMember \" +\n \"Add-NsxIpSetMember Add-NsxLicense Add-NsxLoadBalancerPoolMember Add-NsxLoadBalancerVip Add-NsxSecondaryManager Add-NsxSecurityGroupMember \" +\n \"Add-NsxSecurityPolicyRule Add-NsxSecurityPolicyRuleGroup Add-NsxSecurityPolicyRuleService Add-NsxServiceGroupMember \" +\n \"Add-NsxTransportZoneMember Add-PassthroughDevice Add-VDSwitchPhysicalNetworkAdapter Add-VDSwitchVMHost Add-VMHost Add-VMHostNtpServer \" +\n \"Add-VirtualSwitchPhysicalNetworkAdapter Add-XmlElement Add-vRACustomForm Add-vRAPrincipalToTenantRole Add-vRAReservationNetwork \" +\n \"Add-vRAReservationStorage Clear-NsxEdgeInterface Clear-NsxManagerTimeSettings Compress-Archive Connect-CIServer Connect-CisServer \" +\n \"Connect-HCXServer Connect-NIServer Connect-NsxLogicalSwitch Connect-NsxServer Connect-NsxtServer Connect-SrmServer Connect-VIServer \" +\n \"Connect-Vmc Connect-vRAServer Connect-vRNIServer ConvertFrom-Markdown ConvertTo-MOFInstance Copy-DatastoreItem Copy-HardDisk Copy-NsxEdge \" +\n \"Copy-VDisk Copy-VMGuestFile Debug-Runspace Disable-NsxEdgeSsh Disable-RunspaceDebug Disable-vRNIDataSource Disconnect-CIServer \" +\n \"Disconnect-CisServer Disconnect-HCXServer Disconnect-NsxLogicalSwitch Disconnect-NsxServer Disconnect-NsxtServer Disconnect-SrmServer \" +\n \"Disconnect-VIServer Disconnect-Vmc Disconnect-vRAServer Disconnect-vRNIServer Dismount-Tools Enable-NsxEdgeSsh Enable-RunspaceDebug \" +\n \"Enable-vRNIDataSource Expand-Archive Export-NsxObject Export-SpbmStoragePolicy Export-VApp Export-VDPortGroup Export-VDSwitch \" +\n \"Export-VMHostProfile Export-vRAIcon Export-vRAPackage Find-Command Find-DscResource Find-Module Find-NsxWhereVMUsed Find-Package \" +\n \"Find-PackageProvider Find-RoleCapability Find-Script Format-Hex Format-VMHostDiskPartition Format-XML Generate-VersionInfo \" +\n \"Get-AdvancedSetting Get-AlarmAction Get-AlarmActionTrigger Get-AlarmDefinition Get-Annotation Get-CDDrive Get-CIAccessControlRule \" +\n \"Get-CIDatastore Get-CINetworkAdapter Get-CIRole Get-CIUser Get-CIVApp Get-CIVAppNetwork Get-CIVAppStartRule Get-CIVAppTemplate Get-CIVM \" +\n \"Get-CIVMTemplate Get-CIView Get-Catalog Get-CisCommand Get-CisService Get-CloudCommand Get-Cluster Get-CompatibleVersionAddtionaPropertiesStr \" +\n \"Get-ComplexResourceQualifier Get-ConfigurationErrorCount Get-ContentLibraryItem Get-CustomAttribute Get-DSCResourceModules Get-Datacenter \" +\n \"Get-Datastore Get-DatastoreCluster Get-DrsClusterGroup Get-DrsRecommendation Get-DrsRule Get-DrsVMHostRule Get-DscResource Get-EdgeGateway \" +\n \"Get-EncryptedPassword Get-ErrorReport Get-EsxCli Get-EsxTop Get-ExternalNetwork Get-FileHash Get-FloppyDrive Get-Folder Get-HAPrimaryVMHost \" +\n \"Get-HCXAppliance Get-HCXApplianceCompute Get-HCXApplianceDVS Get-HCXApplianceDatastore Get-HCXApplianceNetwork Get-HCXContainer \" +\n \"Get-HCXDatastore Get-HCXGateway Get-HCXInterconnectStatus Get-HCXJob Get-HCXMigration Get-HCXNetwork Get-HCXNetworkExtension \" +\n \"Get-HCXReplication Get-HCXReplicationSnapshot Get-HCXService Get-HCXSite Get-HCXSitePairing Get-HCXVM Get-HardDisk Get-IScsiHbaTarget \" +\n \"Get-InnerMostErrorRecord Get-InstallPath Get-InstalledModule Get-InstalledScript Get-Inventory Get-ItemPropertyValue Get-KeyManagementServer \" +\n \"Get-KmipClientCertificate Get-KmsCluster Get-Log Get-LogType Get-MarkdownOption Get-Media Get-MofInstanceName Get-MofInstanceText Get-NetworkAdapter Get-NetworkPool \" +\n \"Get-NfsUser Get-NicTeamingPolicy Get-NsxApplicableMember Get-NsxApplicableSecurityAction Get-NsxBackingDVSwitch Get-NsxBackingPortGroup Get-NsxCliDfwAddrSet \" +\n \"Get-NsxCliDfwFilter Get-NsxCliDfwRule Get-NsxClusterStatus Get-NsxController Get-NsxDynamicCriteria Get-NsxDynamicMemberSet Get-NsxEdge Get-NsxEdgeBgp \" +\n \"Get-NsxEdgeBgpNeighbour Get-NsxEdgeCertificate Get-NsxEdgeCsr Get-NsxEdgeFirewall Get-NsxEdgeFirewallRule Get-NsxEdgeInterface Get-NsxEdgeInterfaceAddress \" +\n \"Get-NsxEdgeNat Get-NsxEdgeNatRule Get-NsxEdgeOspf Get-NsxEdgeOspfArea Get-NsxEdgeOspfInterface Get-NsxEdgePrefix Get-NsxEdgeRedistributionRule Get-NsxEdgeRouting \" +\n \"Get-NsxEdgeStaticRoute Get-NsxEdgeSubInterface Get-NsxFirewallExclusionListMember Get-NsxFirewallGlobalConfiguration Get-NsxFirewallPublishStatus Get-NsxFirewallRule \" +\n \"Get-NsxFirewallRuleMember Get-NsxFirewallSavedConfiguration Get-NsxFirewallSection Get-NsxFirewallThreshold Get-NsxIpPool Get-NsxIpSet Get-NsxLicense Get-NsxLoadBalancer \" +\n \"Get-NsxLoadBalancerApplicationProfile Get-NsxLoadBalancerApplicationRule Get-NsxLoadBalancerMonitor Get-NsxLoadBalancerPool Get-NsxLoadBalancerPoolMember Get-NsxLoadBalancerStats \" +\n \"Get-NsxLoadBalancerVip Get-NsxLogicalRouter Get-NsxLogicalRouterBgp Get-NsxLogicalRouterBgpNeighbour Get-NsxLogicalRouterBridge Get-NsxLogicalRouterBridging \" +\n \"Get-NsxLogicalRouterInterface Get-NsxLogicalRouterOspf Get-NsxLogicalRouterOspfArea Get-NsxLogicalRouterOspfInterface Get-NsxLogicalRouterPrefix \" +\n \"Get-NsxLogicalRouterRedistributionRule Get-NsxLogicalRouterRouting Get-NsxLogicalRouterStaticRoute Get-NsxLogicalSwitch Get-NsxMacSet Get-NsxManagerBackup \" +\n \"Get-NsxManagerCertificate Get-NsxManagerComponentSummary Get-NsxManagerNetwork Get-NsxManagerRole Get-NsxManagerSsoConfig Get-NsxManagerSyncStatus Get-NsxManagerSyslogServer \" +\n \"Get-NsxManagerSystemSummary Get-NsxManagerTimeSettings Get-NsxManagerVcenterConfig Get-NsxSecondaryManager Get-NsxSecurityGroup Get-NsxSecurityGroupEffectiveIpAddress \" +\n \"Get-NsxSecurityGroupEffectiveMacAddress Get-NsxSecurityGroupEffectiveMember Get-NsxSecurityGroupEffectiveVirtualMachine Get-NsxSecurityGroupEffectiveVnic \" +\n \"Get-NsxSecurityGroupMemberTypes Get-NsxSecurityPolicy Get-NsxSecurityPolicyHighestUsedPrecedence Get-NsxSecurityPolicyRule Get-NsxSecurityTag Get-NsxSecurityTagAssignment \" +\n \"Get-NsxSegmentIdRange Get-NsxService Get-NsxServiceDefinition Get-NsxServiceGroup Get-NsxServiceGroupMember Get-NsxServiceProfile Get-NsxSpoofguardNic Get-NsxSpoofguardPolicy \" +\n \"Get-NsxSslVpn Get-NsxSslVpnAuthServer Get-NsxSslVpnClientInstallationPackage Get-NsxSslVpnIpPool Get-NsxSslVpnPrivateNetwork Get-NsxSslVpnUser Get-NsxTransportZone \" +\n \"Get-NsxUserRole Get-NsxVdsContext Get-NsxtPolicyService Get-NsxtService Get-OSCustomizationNicMapping Get-OSCustomizationSpec Get-Org Get-OrgNetwork Get-OrgVdc \" +\n \"Get-OrgVdcNetwork Get-OvfConfiguration Get-PSCurrentConfigurationNode Get-PSDefaultConfigurationDocument Get-PSMetaConfigDocumentInstVersionInfo Get-PSMetaConfigurationProcessed \" +\n \"Get-PSReadLineKeyHandler Get-PSReadLineOption Get-PSRepository Get-PSTopConfigurationName Get-PSVersion Get-Package Get-PackageProvider Get-PackageSource Get-PassthroughDevice \" +\n \"Get-PositionInfo Get-PowerCLICommunity Get-PowerCLIConfiguration Get-PowerCLIHelp Get-PowerCLIVersion Get-PowerNsxVersion Get-ProviderVdc Get-PublicKeyFromFile \" +\n \"Get-PublicKeyFromStore Get-ResourcePool Get-Runspace Get-RunspaceDebug Get-ScsiController Get-ScsiLun Get-ScsiLunPath Get-SecurityInfo Get-SecurityPolicy Get-Snapshot \" +\n \"Get-SpbmCapability Get-SpbmCompatibleStorage Get-SpbmEntityConfiguration Get-SpbmFaultDomain Get-SpbmPointInTimeReplica Get-SpbmReplicationGroup Get-SpbmReplicationPair \" +\n \"Get-SpbmStoragePolicy Get-Stat Get-StatInterval Get-StatType Get-Tag Get-TagAssignment Get-TagCategory Get-Task Get-Template Get-TimeZone Get-Uptime Get-UsbDevice Get-VAIOFilter \" +\n \"Get-VApp Get-VDBlockedPolicy Get-VDPort Get-VDPortgroup Get-VDPortgroupOverridePolicy Get-VDSecurityPolicy Get-VDSwitch Get-VDSwitchPrivateVlan Get-VDTrafficShapingPolicy \" +\n \"Get-VDUplinkLacpPolicy Get-VDUplinkTeamingPolicy Get-VDisk Get-VIAccount Get-VICommand Get-VICredentialStoreItem Get-VIEvent Get-VIObjectByVIView Get-VIPermission Get-VIPrivilege \" +\n \"Get-VIProperty Get-VIRole Get-VM Get-VMGuest Get-VMHost Get-VMHostAccount Get-VMHostAdvancedConfiguration Get-VMHostAuthentication Get-VMHostAvailableTimeZone \" +\n \"Get-VMHostDiagnosticPartition Get-VMHostDisk Get-VMHostDiskPartition Get-VMHostFirewallDefaultPolicy Get-VMHostFirewallException Get-VMHostFirmware Get-VMHostHardware \" +\n \"Get-VMHostHba Get-VMHostModule Get-VMHostNetwork Get-VMHostNetworkAdapter Get-VMHostNtpServer Get-VMHostPatch Get-VMHostPciDevice Get-VMHostProfile \" +\n \"Get-VMHostProfileImageCacheConfiguration Get-VMHostProfileRequiredInput Get-VMHostProfileStorageDeviceConfiguration Get-VMHostProfileUserConfiguration \" +\n \"Get-VMHostProfileVmPortGroupConfiguration Get-VMHostRoute Get-VMHostService Get-VMHostSnmp Get-VMHostStartPolicy Get-VMHostStorage Get-VMHostSysLogServer Get-VMQuestion \" +\n \"Get-VMResourceConfiguration Get-VMStartPolicy Get-VTpm Get-VTpmCSR Get-VTpmCertificate Get-VasaProvider Get-VasaStorageArray Get-View Get-VirtualPortGroup Get-VirtualSwitch \" +\n \"Get-VmcSddcNetworkService Get-VmcService Get-VsanClusterConfiguration Get-VsanComponent Get-VsanDisk Get-VsanDiskGroup Get-VsanEvacuationPlan Get-VsanFaultDomain \" +\n \"Get-VsanIscsiInitiatorGroup Get-VsanIscsiInitiatorGroupTargetAssociation Get-VsanIscsiLun Get-VsanIscsiTarget Get-VsanObject Get-VsanResyncingComponent Get-VsanRuntimeInfo \" +\n \"Get-VsanSpaceUsage Get-VsanStat Get-VsanView Get-vRAApplianceServiceStatus Get-vRAAuthorizationRole Get-vRABlueprint Get-vRABusinessGroup Get-vRACatalogItem \" +\n \"Get-vRACatalogItemRequestTemplate Get-vRACatalogPrincipal Get-vRAComponentRegistryService Get-vRAComponentRegistryServiceEndpoint Get-vRAComponentRegistryServiceStatus \" +\n \"Get-vRAContent Get-vRAContentData Get-vRAContentType Get-vRACustomForm Get-vRAEntitledCatalogItem Get-vRAEntitledService Get-vRAEntitlement Get-vRAExternalNetworkProfile \" +\n \"Get-vRAGroupPrincipal Get-vRAIcon Get-vRANATNetworkProfile Get-vRANetworkProfileIPAddressList Get-vRANetworkProfileIPRangeSummary Get-vRAPackage Get-vRAPackageContent \" +\n \"Get-vRAPropertyDefinition Get-vRAPropertyGroup Get-vRARequest Get-vRARequestDetail Get-vRAReservation Get-vRAReservationComputeResource Get-vRAReservationComputeResourceMemory \" +\n \"Get-vRAReservationComputeResourceNetwork Get-vRAReservationComputeResourceResourcePool Get-vRAReservationComputeResourceStorage Get-vRAReservationPolicy \" +\n \"Get-vRAReservationTemplate Get-vRAReservationType Get-vRAResource Get-vRAResourceAction Get-vRAResourceActionRequestTemplate Get-vRAResourceMetric Get-vRAResourceOperation \" +\n \"Get-vRAResourceType Get-vRARoutedNetworkProfile Get-vRAService Get-vRAServiceBlueprint Get-vRASourceMachine Get-vRAStorageReservationPolicy Get-vRATenant Get-vRATenantDirectory \" +\n \"Get-vRATenantDirectoryStatus Get-vRATenantRole Get-vRAUserPrincipal Get-vRAUserPrincipalGroupMembership Get-vRAVersion Get-vRNIAPIVersion Get-vRNIApplication \" +\n \"Get-vRNIApplicationTier Get-vRNIDataSource Get-vRNIDataSourceSNMPConfig Get-vRNIDatastore Get-vRNIDistributedSwitch Get-vRNIDistributedSwitchPortGroup Get-vRNIEntity \" +\n \"Get-vRNIEntityName Get-vRNIFirewallRule Get-vRNIFlow Get-vRNIHost Get-vRNIHostVMKNic Get-vRNIIPSet Get-vRNIL2Network Get-vRNINSXManager Get-vRNINodes Get-vRNIProblem \" +\n \"Get-vRNIRecommendedRules Get-vRNIRecommendedRulesNsxBundle Get-vRNISecurityGroup Get-vRNISecurityTag Get-vRNIService Get-vRNIServiceGroup Get-vRNIVM Get-vRNIVMvNIC \" +\n \"Get-vRNIvCenter Get-vRNIvCenterCluster Get-vRNIvCenterDatacenter Get-vRNIvCenterFolder Grant-NsxSpoofguardNicApproval Import-CIVApp Import-CIVAppTemplate Import-NsxObject \" +\n \"Import-PackageProvider Import-PowerShellDataFile Import-SpbmStoragePolicy Import-VApp Import-VMHostProfile Import-vRAContentData Import-vRAIcon Import-vRAPackage \" +\n \"Initialize-ConfigurationRuntimeState Install-Module Install-NsxCluster Install-Package Install-PackageProvider Install-Script Install-VMHostPatch Invoke-DrsRecommendation \" +\n \"Invoke-NsxCli Invoke-NsxClusterResolveAll Invoke-NsxManagerSync Invoke-NsxRestMethod Invoke-NsxWebRequest Invoke-VMHostProfile Invoke-VMScript Invoke-XpathQuery \" +\n \"Invoke-vRADataCollection Invoke-vRARestMethod Invoke-vRATenantDirectorySync Invoke-vRNIRestMethod Join-String Mount-Tools Move-Cluster Move-Datacenter Move-Datastore Move-Folder \" +\n \"Move-HardDisk Move-Inventory Move-NsxSecurityPolicyRule Move-ResourcePool Move-Template Move-VApp Move-VDisk Move-VM Move-VMHost New-AdvancedSetting New-AlarmAction \" +\n \"New-AlarmActionTrigger New-CDDrive New-CIAccessControlRule New-CIVApp New-CIVAppNetwork New-CIVAppTemplate New-CIVM New-Cluster New-CustomAttribute New-Datacenter New-Datastore \" +\n \"New-DatastoreCluster New-DatastoreDrive New-DrsClusterGroup New-DrsRule New-DrsVMHostRule New-DscChecksum New-FloppyDrive New-Folder New-Guid New-HCXAppliance New-HCXMigration \" +\n \"New-HCXNetworkExtension New-HCXNetworkMapping New-HCXReplication New-HCXSitePairing New-HCXStaticRoute New-HardDisk New-IScsiHbaTarget New-KmipClientCertificate \" +\n \"New-NetworkAdapter New-NfsUser New-NsxAddressSpec New-NsxClusterVxlanConfig New-NsxController New-NsxDynamicCriteriaSpec New-NsxEdge New-NsxEdgeBgpNeighbour New-NsxEdgeCsr \" +\n \"New-NsxEdgeFirewallRule New-NsxEdgeInterfaceSpec New-NsxEdgeNatRule New-NsxEdgeOspfArea New-NsxEdgeOspfInterface New-NsxEdgePrefix New-NsxEdgeRedistributionRule \" +\n \"New-NsxEdgeSelfSignedCertificate New-NsxEdgeStaticRoute New-NsxEdgeSubInterface New-NsxEdgeSubInterfaceSpec New-NsxFirewallRule New-NsxFirewallSavedConfiguration \" +\n \"New-NsxFirewallSection New-NsxIpPool New-NsxIpSet New-NsxLoadBalancerApplicationProfile New-NsxLoadBalancerApplicationRule New-NsxLoadBalancerMemberSpec \" +\n \"New-NsxLoadBalancerMonitor New-NsxLoadBalancerPool New-NsxLogicalRouter New-NsxLogicalRouterBgpNeighbour New-NsxLogicalRouterBridge New-NsxLogicalRouterInterface \" +\n \"New-NsxLogicalRouterInterfaceSpec New-NsxLogicalRouterOspfArea New-NsxLogicalRouterOspfInterface New-NsxLogicalRouterPrefix New-NsxLogicalRouterRedistributionRule \" +\n \"New-NsxLogicalRouterStaticRoute New-NsxLogicalSwitch New-NsxMacSet New-NsxManager New-NsxSecurityGroup New-NsxSecurityPolicy New-NsxSecurityPolicyAssignment \" +\n \"New-NsxSecurityPolicyFirewallRuleSpec New-NsxSecurityPolicyGuestIntrospectionSpec New-NsxSecurityPolicyNetworkIntrospectionSpec New-NsxSecurityTag New-NsxSecurityTagAssignment \" +\n \"New-NsxSegmentIdRange New-NsxService New-NsxServiceGroup New-NsxSpoofguardPolicy New-NsxSslVpnAuthServer New-NsxSslVpnClientInstallationPackage New-NsxSslVpnIpPool \" +\n \"New-NsxSslVpnPrivateNetwork New-NsxSslVpnUser New-NsxTransportZone New-NsxVdsContext New-OSCustomizationNicMapping New-OSCustomizationSpec New-Org New-OrgNetwork New-OrgVdc \" +\n \"New-OrgVdcNetwork New-ResourcePool New-ScriptFileInfo New-ScsiController New-Snapshot New-SpbmRule New-SpbmRuleSet New-SpbmStoragePolicy New-StatInterval New-Tag \" +\n \"New-TagAssignment New-TagCategory New-Template New-TemporaryFile New-VAIOFilter New-VApp New-VDPortgroup New-VDSwitch New-VDSwitchPrivateVlan New-VDisk \" +\n \"New-VICredentialStoreItem New-VIInventoryDrive New-VIPermission New-VIProperty New-VIRole New-VISamlSecurityContext New-VM New-VMHostAccount New-VMHostNetworkAdapter \" +\n \"New-VMHostProfile New-VMHostProfileVmPortGroupConfiguration New-VMHostRoute New-VTpm New-VasaProvider New-VcsOAuthSecurityContext New-VirtualPortGroup New-VirtualSwitch \" +\n \"New-VsanDisk New-VsanDiskGroup New-VsanFaultDomain New-VsanIscsiInitiatorGroup New-VsanIscsiInitiatorGroupTargetAssociation New-VsanIscsiLun New-VsanIscsiTarget \" +\n \"New-vRABusinessGroup New-vRAEntitlement New-vRAExternalNetworkProfile New-vRAGroupPrincipal New-vRANATNetworkProfile New-vRANetworkProfileIPRangeDefinition New-vRAPackage \" +\n \"New-vRAPropertyDefinition New-vRAPropertyGroup New-vRAReservation New-vRAReservationNetworkDefinition New-vRAReservationPolicy New-vRAReservationStorageDefinition \" +\n \"New-vRARoutedNetworkProfile New-vRAService New-vRAStorageReservationPolicy New-vRATenant New-vRATenantDirectory New-vRAUserPrincipal New-vRNIApplication New-vRNIApplicationTier \" +\n \"New-vRNIDataSource Open-VMConsoleWindow Publish-Module Publish-NsxSpoofguardPolicy Publish-Script Register-PSRepository Register-PackageSource Remove-AdvancedSetting \" +\n \"Remove-AlarmAction Remove-AlarmActionTrigger Remove-Alias Remove-CDDrive Remove-CIAccessControlRule Remove-CIVApp Remove-CIVAppNetwork Remove-CIVAppTemplate Remove-Cluster \" +\n \"Remove-CustomAttribute Remove-Datacenter Remove-Datastore Remove-DatastoreCluster Remove-DrsClusterGroup Remove-DrsRule Remove-DrsVMHostRule Remove-FloppyDrive Remove-Folder \" +\n \"Remove-HCXAppliance Remove-HCXNetworkExtension Remove-HCXReplication Remove-HCXSitePairing Remove-HardDisk Remove-IScsiHbaTarget Remove-Inventory Remove-KeyManagementServer \" +\n \"Remove-NetworkAdapter Remove-NfsUser Remove-NsxCluster Remove-NsxClusterVxlanConfig Remove-NsxController Remove-NsxDynamicCriteria Remove-NsxDynamicMemberSet Remove-NsxEdge \" +\n \"Remove-NsxEdgeBgpNeighbour Remove-NsxEdgeCertificate Remove-NsxEdgeCsr Remove-NsxEdgeFirewallRule Remove-NsxEdgeInterfaceAddress Remove-NsxEdgeNatRule Remove-NsxEdgeOspfArea \" +\n \"Remove-NsxEdgeOspfInterface Remove-NsxEdgePrefix Remove-NsxEdgeRedistributionRule Remove-NsxEdgeStaticRoute Remove-NsxEdgeSubInterface Remove-NsxFirewallExclusionListMember \" +\n \"Remove-NsxFirewallRule Remove-NsxFirewallRuleMember Remove-NsxFirewallSavedConfiguration Remove-NsxFirewallSection Remove-NsxIpPool Remove-NsxIpSet Remove-NsxIpSetMember \" +\n \"Remove-NsxLoadBalancerApplicationProfile Remove-NsxLoadBalancerMonitor Remove-NsxLoadBalancerPool Remove-NsxLoadBalancerPoolMember Remove-NsxLoadBalancerVip \" +\n \"Remove-NsxLogicalRouter Remove-NsxLogicalRouterBgpNeighbour Remove-NsxLogicalRouterBridge Remove-NsxLogicalRouterInterface Remove-NsxLogicalRouterOspfArea \" +\n \"Remove-NsxLogicalRouterOspfInterface Remove-NsxLogicalRouterPrefix Remove-NsxLogicalRouterRedistributionRule Remove-NsxLogicalRouterStaticRoute Remove-NsxLogicalSwitch \" +\n \"Remove-NsxMacSet Remove-NsxSecondaryManager Remove-NsxSecurityGroup Remove-NsxSecurityGroupMember Remove-NsxSecurityPolicy Remove-NsxSecurityPolicyAssignment \" +\n \"Remove-NsxSecurityPolicyRule Remove-NsxSecurityPolicyRuleGroup Remove-NsxSecurityPolicyRuleService Remove-NsxSecurityTag Remove-NsxSecurityTagAssignment \" +\n \"Remove-NsxSegmentIdRange Remove-NsxService Remove-NsxServiceGroup Remove-NsxSpoofguardPolicy Remove-NsxSslVpnClientInstallationPackage Remove-NsxSslVpnIpPool \" +\n \"Remove-NsxSslVpnPrivateNetwork Remove-NsxSslVpnUser Remove-NsxTransportZone Remove-NsxTransportZoneMember Remove-NsxVdsContext Remove-OSCustomizationNicMapping \" +\n \"Remove-OSCustomizationSpec Remove-Org Remove-OrgNetwork Remove-OrgVdc Remove-OrgVdcNetwork Remove-PSReadLineKeyHandler Remove-PassthroughDevice Remove-ResourcePool \" +\n \"Remove-Snapshot Remove-SpbmStoragePolicy Remove-StatInterval Remove-Tag Remove-TagAssignment Remove-TagCategory Remove-Template Remove-UsbDevice Remove-VAIOFilter Remove-VApp \" +\n \"Remove-VDPortGroup Remove-VDSwitch Remove-VDSwitchPhysicalNetworkAdapter Remove-VDSwitchPrivateVlan Remove-VDSwitchVMHost Remove-VDisk Remove-VICredentialStoreItem \" +\n \"Remove-VIPermission Remove-VIProperty Remove-VIRole Remove-VM Remove-VMHost Remove-VMHostAccount Remove-VMHostNetworkAdapter Remove-VMHostNtpServer Remove-VMHostProfile \" +\n \"Remove-VMHostProfileVmPortGroupConfiguration Remove-VMHostRoute Remove-VTpm Remove-VasaProvider Remove-VirtualPortGroup Remove-VirtualSwitch \" +\n \"Remove-VirtualSwitchPhysicalNetworkAdapter Remove-VsanDisk Remove-VsanDiskGroup Remove-VsanFaultDomain Remove-VsanIscsiInitiatorGroup \" +\n \"Remove-VsanIscsiInitiatorGroupTargetAssociation Remove-VsanIscsiLun Remove-VsanIscsiTarget Remove-vRABusinessGroup Remove-vRACustomForm Remove-vRAExternalNetworkProfile \" +\n \"Remove-vRAGroupPrincipal Remove-vRAIcon Remove-vRANATNetworkProfile Remove-vRAPackage Remove-vRAPrincipalFromTenantRole Remove-vRAPropertyDefinition Remove-vRAPropertyGroup \" +\n \"Remove-vRAReservation Remove-vRAReservationNetwork Remove-vRAReservationPolicy Remove-vRAReservationStorage Remove-vRARoutedNetworkProfile Remove-vRAService \" +\n \"Remove-vRAStorageReservationPolicy Remove-vRATenant Remove-vRATenantDirectory Remove-vRAUserPrincipal Remove-vRNIApplication Remove-vRNIApplicationTier Remove-vRNIDataSource \" +\n \"Repair-NsxEdge Repair-VsanObject Request-vRACatalogItem Request-vRAResourceAction Restart-CIVApp Restart-CIVAppGuest Restart-CIVM Restart-CIVMGuest Restart-VM Restart-VMGuest \" +\n \"Restart-VMHost Restart-VMHostService Resume-HCXReplication Revoke-NsxSpoofguardNicApproval Save-Module Save-Package Save-Script Search-Cloud Set-AdvancedSetting \" +\n \"Set-AlarmDefinition Set-Annotation Set-CDDrive Set-CIAccessControlRule Set-CINetworkAdapter Set-CIVApp Set-CIVAppNetwork Set-CIVAppStartRule Set-CIVAppTemplate Set-Cluster \" +\n \"Set-CustomAttribute Set-Datacenter Set-Datastore Set-DatastoreCluster Set-DrsClusterGroup Set-DrsRule Set-DrsVMHostRule Set-FloppyDrive Set-Folder Set-HCXAppliance \" +\n \"Set-HCXMigration Set-HCXReplication Set-HardDisk Set-IScsiHbaTarget Set-KeyManagementServer Set-KmsCluster Set-MarkdownOption Set-NetworkAdapter Set-NfsUser Set-NicTeamingPolicy \" +\n \"Set-NodeExclusiveResources Set-NodeManager Set-NodeResourceSource Set-NodeResources Set-NsxEdge Set-NsxEdgeBgp Set-NsxEdgeFirewall Set-NsxEdgeInterface Set-NsxEdgeNat \" +\n \"Set-NsxEdgeOspf Set-NsxEdgeRouting Set-NsxFirewallGlobalConfiguration Set-NsxFirewallRule Set-NsxFirewallSavedConfiguration Set-NsxFirewallThreshold Set-NsxLoadBalancer \" +\n \"Set-NsxLoadBalancerPoolMember Set-NsxLogicalRouter Set-NsxLogicalRouterBgp Set-NsxLogicalRouterBridging Set-NsxLogicalRouterInterface Set-NsxLogicalRouterOspf \" +\n \"Set-NsxLogicalRouterRouting Set-NsxManager Set-NsxManagerRole Set-NsxManagerTimeSettings Set-NsxSecurityPolicy Set-NsxSecurityPolicyFirewallRule Set-NsxSslVpn \" +\n \"Set-OSCustomizationNicMapping Set-OSCustomizationSpec Set-Org Set-OrgNetwork Set-OrgVdc Set-OrgVdcNetwork Set-PSCurrentConfigurationNode Set-PSDefaultConfigurationDocument \" +\n \"Set-PSMetaConfigDocInsProcessedBeforeMeta Set-PSMetaConfigVersionInfoV2 Set-PSReadLineKeyHandler Set-PSReadLineOption Set-PSRepository Set-PSTopConfigurationName \" +\n \"Set-PackageSource Set-PowerCLIConfiguration Set-ResourcePool Set-ScsiController Set-ScsiLun Set-ScsiLunPath Set-SecurityPolicy Set-Snapshot Set-SpbmEntityConfiguration \" +\n \"Set-SpbmStoragePolicy Set-StatInterval Set-Tag Set-TagCategory Set-Template Set-VAIOFilter Set-VApp Set-VDBlockedPolicy Set-VDPort Set-VDPortgroup Set-VDPortgroupOverridePolicy \" +\n \"Set-VDSecurityPolicy Set-VDSwitch Set-VDTrafficShapingPolicy Set-VDUplinkLacpPolicy Set-VDUplinkTeamingPolicy Set-VDVlanConfiguration Set-VDisk Set-VIPermission Set-VIRole Set-VM \" +\n \"Set-VMHost Set-VMHostAccount Set-VMHostAdvancedConfiguration Set-VMHostAuthentication Set-VMHostDiagnosticPartition Set-VMHostFirewallDefaultPolicy Set-VMHostFirewallException \" +\n \"Set-VMHostFirmware Set-VMHostHba Set-VMHostModule Set-VMHostNetwork Set-VMHostNetworkAdapter Set-VMHostProfile Set-VMHostProfileImageCacheConfiguration \" +\n \"Set-VMHostProfileStorageDeviceConfiguration Set-VMHostProfileUserConfiguration Set-VMHostProfileVmPortGroupConfiguration Set-VMHostRoute Set-VMHostService Set-VMHostSnmp \" +\n \"Set-VMHostStartPolicy Set-VMHostStorage Set-VMHostSysLogServer Set-VMQuestion Set-VMResourceConfiguration Set-VMStartPolicy Set-VTpm Set-VirtualPortGroup Set-VirtualSwitch \" +\n \"Set-VsanClusterConfiguration Set-VsanFaultDomain Set-VsanIscsiInitiatorGroup Set-VsanIscsiLun Set-VsanIscsiTarget Set-vRABusinessGroup Set-vRACatalogItem Set-vRACustomForm \" +\n \"Set-vRAEntitlement Set-vRAExternalNetworkProfile Set-vRANATNetworkProfile Set-vRAReservation Set-vRAReservationNetwork Set-vRAReservationPolicy Set-vRAReservationStorage \" +\n \"Set-vRARoutedNetworkProfile Set-vRAService Set-vRAStorageReservationPolicy Set-vRATenant Set-vRATenantDirectory Set-vRAUserPrincipal Set-vRNIDataSourceSNMPConfig Show-Markdown \" +\n \"Start-CIVApp Start-CIVM Start-HCXMigration Start-HCXReplication Start-SpbmReplicationFailover Start-SpbmReplicationPrepareFailover Start-SpbmReplicationPromote \" +\n \"Start-SpbmReplicationReverse Start-SpbmReplicationTestFailover Start-ThreadJob Start-VApp Start-VM Start-VMHost Start-VMHostService Start-VsanClusterDiskUpdate \" +\n \"Start-VsanClusterRebalance Start-VsanEncryptionConfiguration Stop-CIVApp Stop-CIVAppGuest Stop-CIVM Stop-CIVMGuest Stop-SpbmReplicationTestFailover Stop-Task Stop-VApp Stop-VM \" +\n \"Stop-VMGuest Stop-VMHost Stop-VMHostService Stop-VsanClusterRebalance Suspend-CIVApp Suspend-CIVM Suspend-HCXReplication Suspend-VM Suspend-VMGuest Suspend-VMHost \" +\n \"Sync-SpbmReplicationGroup Test-ConflictingResources Test-HCXMigration Test-HCXReplication Test-Json Test-ModuleReloadRequired Test-MofInstanceText Test-NodeManager \" +\n \"Test-NodeResourceSource Test-NodeResources Test-ScriptFileInfo Test-VMHostProfileCompliance Test-VMHostSnmp Test-VsanClusterHealth Test-VsanNetworkPerformance \" +\n \"Test-VsanStoragePerformance Test-VsanVMCreation Test-vRAPackage Uninstall-Module Uninstall-Package Uninstall-Script Unlock-VM Unregister-PSRepository Unregister-PackageSource \" +\n \"Update-ConfigurationDocumentRef Update-ConfigurationErrorCount Update-DependsOn Update-LocalConfigManager Update-Module Update-ModuleManifest Update-ModuleVersion Update-PowerNsx \" +\n \"Update-Script Update-ScriptFileInfo Update-Tools Update-VsanHclDatabase ValidateUpdate-ConfigurationData Wait-Debugger Wait-NsxControllerJob Wait-NsxGenericJob Wait-NsxJob \" +\n \"Wait-Task Wait-Tools Write-Information Write-Log Write-MetaConfigFile Write-NodeMOFFile\",\n nomarkup:\n \"-ne -eq -lt -gt -ge -le -not -like -notlike -match -notmatch -contains -notcontains -in -notin -replace\",\n },\n contains: [\n BACKTICK_ESCAPE,\n hljs.NUMBER_MODE,\n QUOTE_STRING,\n APOS_STRING,\n LITERAL,\n VAR,\n PS_COMMENT,\n ],\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/powershell.js"],"names":["module","exports","hljs","KEYWORDS","keyword","BACKTICK_ESCAPE","begin","relevance","VAR","className","variants","QUOTE_STRING","end","contains","APOS_STRING","PS_COMMENT","inherit","COMMENT","CMDLETS","concat","PS_CLASS","beginKeywords","excludeEnd","TITLE_MODE","PS_FUNCTION","returnBegin","PS_USING","PS_ARGUMENTS","PS_METHODS","toString","replace","endsParent","GENTLEMANS_SET","NUMBER_MODE","PS_TYPE","excludeBegin","join","unshift","aliases","lexemes","case_insensitive","keywords"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IA2BIC,EAAW,CACbC,QAAS,wLAQPC,EAAkB,CACpBC,MAAO,YACPC,UAAW,GAGTC,EAAM,CACRC,UAAW,WACXC,SAAU,CACR,CAAEJ,MAAO,QACT,CAAEG,UAAW,UAAWH,MAAO,UAC/B,CAAEA,MAAO,uBASTK,EAAe,CACjBF,UAAW,SACXC,SAAU,CAAC,CAAEJ,MAAO,IAAKM,IAAK,KAAO,CAAEN,MAAO,KAAMM,IAAK,QACzDC,SAAU,CACRR,EACAG,EACA,CACEC,UAAW,WACXH,MAAO,UAAWM,IAAK,YAKzBE,EAAc,CAChBL,UAAW,SACXC,SAAU,CACR,CAAEJ,MAAO,IAAKM,IAAK,KACnB,CAAEN,MAAO,KAAMM,IAAK,SAgBpBG,EAAab,EAAKc,QACpBd,EAAKe,QAAQ,KAAM,MACnB,CACEP,SAAU,CAER,CAAEJ,MAAO,IAAKM,IAAK,KAEnB,CAAEN,MAAO,KAAMM,IAAK,OAEtBC,SAAU,CArBI,CAChBJ,UAAW,SACXC,SAAU,CAER,CACEJ,MAAO,2FAGT,CAAEA,MAAO,sGAiBTY,EAAU,CACZT,UAAW,WACXC,SAAU,CACR,CAAEJ,MAAO,IAAIa,OAlGf,4qBAkGmC,qBAIjCC,EAAW,CACbX,UAAW,QACXY,cAAe,aAAcT,IAAK,SAAUU,YAAY,EACxDf,UAAW,EACXM,SAAU,CAACX,EAAKqB,aAGdC,EAAc,CAChBf,UAAW,WACXH,MAAO,cAAeM,IAAK,UAC3BU,YAAY,EACZG,aAAa,EACblB,UAAW,EACXM,SAAU,CACR,CAAEP,MAAO,WAAYC,UAAW,EAAGE,UAAW,WAC9C,CAAEA,UAAW,QACXH,MA1Fc,yBA0FQC,UAAU,GAClC,CAAED,MAAO,KAAMM,IAAK,KAAMH,UAAW,SACnCF,UAAW,EACXM,SAAU,CAACL,MAMbkB,EAAW,CACbpB,MAAO,UAAWM,IAAK,IACvBa,aAAa,EACbZ,SAAU,CACRF,EACAG,EACA,CAAEL,UAAW,UAAWH,MAAO,oDAK/BqB,EAAe,CACjBjB,SAAU,CAER,CAAED,UAAW,WAAYH,MAAO,IAAIa,OAhItC,+bAgImE,SACjE,CAAEV,UAAW,UAAWH,MAAO,aAAcC,UAAU,KA+BvDqB,EAAa,CACfnB,UAAW,WACXH,MAAO,wBAAyBM,IAAK,IACrCa,aAAa,EACblB,UAAW,EACXM,SAAU,CACR,CACEJ,UAAW,UAAWH,MAAO,IAAIa,OACjChB,EAASC,QAAQyB,WAAWC,QAAQ,MAAO,KACxC,QACHC,YAAY,EACZxB,UAAW,GAEbL,EAAKc,QAAQd,EAAKqB,WAAY,CAAEQ,YAAY,MAI5CC,EAAiB,CAEnBJ,EACAb,EACAV,EACAH,EAAK+B,YACLtB,EACAG,EAEAI,EACAV,EA5JY,CACZC,UAAW,UACXH,MAAO,yBA6GQ,CACfG,UAAW,eACXH,MAAO,OACPC,UAAW,IA+CT2B,EAAU,CACZ5B,MAAO,KAAMM,IAAK,KAClBuB,cAAc,EACdb,YAAY,EACZf,UAAW,EACXM,SAAU,GAAGM,OACX,OACAa,EACA,CAAE1B,MAAO,IA1NX,CAAC,SAAU,OAAQ,OAAQ,MAAO,OAAQ,OAAS,UAAY,SAC9D,SAAU,WAAY,MAAO,QAAS,YAAa,QAyN7B8B,KAAK,KAAO,IAAK3B,UAAW,WAAYF,UAAU,GACvE,CAAEE,UAAW,OAAQH,MAAO,YAAaC,UAAW,KAMxD,OAFAqB,EAAWf,SAASwB,QAAQH,GAErB,CACLI,QAAS,CAAC,KAAM,OAChBC,QAAS,eACTC,kBAAkB,EAClBC,SAAUtC,EACVU,SAAUmB,EAAeb,OACvBC,EACAI,EACAE,EACAC,EACAO","file":"highlight/powershell.js?v=3b4d9a58526a7741dcc7","sourcesContent":["module.exports = function(hljs){\n\n var TYPES =\n [\"string\", \"char\", \"byte\", \"int\", \"long\", \"bool\", \"decimal\", \"single\",\n \"double\", \"DateTime\", \"xml\", \"array\", \"hashtable\", \"void\"];\n\n // https://msdn.microsoft.com/en-us/library/ms714428(v=vs.85).aspx\n var VALID_VERBS =\n 'Add|Clear|Close|Copy|Enter|Exit|Find|Format|Get|Hide|Join|Lock|' +\n 'Move|New|Open|Optimize|Pop|Push|Redo|Remove|Rename|Reset|Resize|' +\n 'Search|Select|Set|Show|Skip|Split|Step|Switch|Undo|Unlock|' +\n 'Watch|Backup|Checkpoint|Compare|Compress|Convert|ConvertFrom|' +\n 'ConvertTo|Dismount|Edit|Expand|Export|Group|Import|Initialize|' +\n 'Limit|Merge|New|Out|Publish|Restore|Save|Sync|Unpublish|Update|' +\n 'Approve|Assert|Complete|Confirm|Deny|Disable|Enable|Install|Invoke|Register|' +\n 'Request|Restart|Resume|Start|Stop|Submit|Suspend|Uninstall|' +\n 'Unregister|Wait|Debug|Measure|Ping|Repair|Resolve|Test|Trace|Connect|' +\n 'Disconnect|Read|Receive|Send|Write|Block|Grant|Protect|Revoke|Unblock|' +\n 'Unprotect|Use|ForEach|Sort|Tee|Where';\n\n var COMPARISON_OPERATORS =\n '-and|-as|-band|-bnot|-bor|-bxor|-casesensitive|-ccontains|-ceq|-cge|-cgt|' +\n '-cle|-clike|-clt|-cmatch|-cne|-cnotcontains|-cnotlike|-cnotmatch|-contains|' +\n '-creplace|-csplit|-eq|-exact|-f|-file|-ge|-gt|-icontains|-ieq|-ige|-igt|' +\n '-ile|-ilike|-ilt|-imatch|-in|-ine|-inotcontains|-inotlike|-inotmatch|' +\n '-ireplace|-is|-isnot|-isplit|-join|-le|-like|-lt|-match|-ne|-not|' +\n '-notcontains|-notin|-notlike|-notmatch|-or|-regex|-replace|-shl|-shr|' +\n '-split|-wildcard|-xor';\n\n var KEYWORDS = {\n keyword: 'if else foreach return do while until elseif begin for trap data dynamicparam ' +\n 'end break throw param continue finally in switch exit filter try process catch ' +\n 'hidden static parameter'\n // TODO: 'validate[A-Z]+' can't work in keywords\n };\n\n var TITLE_NAME_RE = /\\w[\\w\\d]*((-)[\\w\\d]+)*/;\n\n var BACKTICK_ESCAPE = {\n begin: '`[\\\\s\\\\S]',\n relevance: 0\n };\n\n var VAR = {\n className: 'variable',\n variants: [\n { begin: /\\$\\B/ },\n { className: 'keyword', begin: /\\$this/ },\n { begin: /\\$[\\w\\d][\\w\\d_:]*/ }\n ]\n };\n\n var LITERAL = {\n className: 'literal',\n begin: /\\$(null|true|false)\\b/\n };\n\n var QUOTE_STRING = {\n className: \"string\",\n variants: [{ begin: /\"/, end: /\"/ }, { begin: /@\"/, end: /^\"@/ }],\n contains: [\n BACKTICK_ESCAPE,\n VAR,\n {\n className: 'variable',\n begin: /\\$[A-z]/, end: /[^A-z]/\n }\n ]\n };\n\n var APOS_STRING = {\n className: 'string',\n variants: [\n { begin: /'/, end: /'/ },\n { begin: /@'/, end: /^'@/ }\n ]\n };\n\n var PS_HELPTAGS = {\n className: \"doctag\",\n variants: [\n /* no paramater help tags */\n {\n begin: /\\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/\n },\n /* one parameter help tags */\n { begin: /\\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\\s+\\S+/ }\n ]\n };\n\n var PS_COMMENT = hljs.inherit(\n hljs.COMMENT(null, null),\n {\n variants: [\n /* single-line comment */\n { begin: /#/, end: /$/ },\n /* multi-line comment */\n { begin: /<#/, end: /#>/ }\n ],\n contains: [PS_HELPTAGS]\n }\n );\n\n var CMDLETS = {\n className: 'built_in',\n variants: [\n { begin: '('.concat(VALID_VERBS, ')+(-)[\\\\w\\\\d]+') }\n ]\n };\n\n var PS_CLASS = {\n className: 'class',\n beginKeywords: 'class enum', end: /\\s*[{]/, excludeEnd: true,\n relevance: 0,\n contains: [hljs.TITLE_MODE]\n };\n\n var PS_FUNCTION = {\n className: 'function',\n begin: /function\\s+/, end: /\\s*\\{|$/,\n excludeEnd: true,\n returnBegin: true,\n relevance: 0,\n contains: [\n { begin: \"function\", relevance: 0, className: \"keyword\" },\n { className: \"title\",\n begin: TITLE_NAME_RE, relevance:0 },\n { begin: /\\(/, end: /\\)/, className: \"params\",\n relevance: 0,\n contains: [VAR] }\n // CMDLETS\n ]\n };\n\n // Using statment, plus type, plus assembly name.\n var PS_USING = {\n begin: /using\\s/, end: /$/,\n returnBegin: true,\n contains: [\n QUOTE_STRING,\n APOS_STRING,\n { className: 'keyword', begin: /(using|assembly|command|module|namespace|type)/ }\n ]\n };\n\n // Comperison operators & function named parameters.\n var PS_ARGUMENTS = {\n variants: [\n // PS literals are pretty verbose so it's a good idea to accent them a bit.\n { className: 'operator', begin: '('.concat(COMPARISON_OPERATORS, ')\\\\b') },\n { className: 'literal', begin: /(-)[\\w\\d]+/, relevance:0 }\n ]\n };\n\n var STATIC_MEMBER = {\n className: 'selector-tag',\n begin: /::\\w+\\b/, end: /$/,\n returnBegin: true,\n contains: [\n { className: 'attribute', begin: /\\w+/, endsParent: true }\n ]\n };\n\n var HASH_SIGNS = {\n className: 'selector-tag',\n begin: /\\@\\B/,\n relevance: 0\n };\n\n var PS_NEW_OBJECT_TYPE = {\n className: 'built_in',\n begin: /New-Object\\s+\\w/, end: /$/,\n returnBegin: true,\n contains: [\n { begin: /New-Object\\s+/, relevance: 0 },\n { className: 'meta', begin: /([\\w\\.])+/, endsParent: true }\n ]\n };\n\n // It's a very general rule so I'll narrow it a bit with some strict boundaries\n // to avoid any possible false-positive collisions!\n var PS_METHODS = {\n className: 'function',\n begin: /\\[.*\\]\\s*[\\w]+[ ]??\\(/, end: /$/,\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'keyword', begin: '('.concat(\n KEYWORDS.keyword.toString().replace(/\\s/g, '|'\n ), ')\\\\b'),\n endsParent: true,\n relevance: 0\n },\n hljs.inherit(hljs.TITLE_MODE, { endsParent: true })\n ]\n };\n\n var GENTLEMANS_SET = [\n // STATIC_MEMBER,\n PS_METHODS,\n PS_COMMENT,\n BACKTICK_ESCAPE,\n hljs.NUMBER_MODE,\n QUOTE_STRING,\n APOS_STRING,\n // PS_NEW_OBJECT_TYPE,\n CMDLETS,\n VAR,\n LITERAL,\n HASH_SIGNS\n ];\n\n var PS_TYPE = {\n begin: /\\[/, end: /\\]/,\n excludeBegin: true,\n excludeEnd: true,\n relevance: 0,\n contains: [].concat(\n 'self',\n GENTLEMANS_SET,\n { begin: \"(\" + TYPES.join(\"|\") + \")\", className: \"built_in\", relevance:0 },\n { className: 'type', begin: /[\\.\\w\\d]+/, relevance: 0 }\n )\n };\n\n PS_METHODS.contains.unshift(PS_TYPE)\n\n return {\n aliases: [\"ps\", \"ps1\"],\n lexemes: /-?[A-z\\.\\-]+/,\n case_insensitive: true,\n keywords: KEYWORDS,\n contains: GENTLEMANS_SET.concat(\n PS_CLASS,\n PS_FUNCTION,\n PS_USING,\n PS_ARGUMENTS,\n PS_TYPE\n )\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/processing.js b/js/highlight/processing.js
index 9c32f1579..dd400b7c4 100644
--- a/js/highlight/processing.js
+++ b/js/highlight/processing.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[130],{496:function(e,t){e.exports=function(e){return{keywords:{keyword:"BufferedReader PVector PFont PImage PGraphics HashMap boolean byte char color double float int long String Array FloatDict FloatList IntDict IntList JSONArray JSONObject Object StringDict StringList Table TableRow XML false synchronized int abstract float private char boolean static null if const for true while long throw strictfp finally protected import native final return void enum else break transient new catch instanceof byte super volatile case assert short package default double public try this switch continue throws protected public private",literal:"P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI",title:"setup draw",built_in:"displayHeight displayWidth mouseY mouseX mousePressed pmouseX pmouseY key keyCode pixels focused frameCount frameRate height width size createGraphics beginDraw createShape loadShape PShape arc ellipse line point quad rect triangle bezier bezierDetail bezierPoint bezierTangent curve curveDetail curvePoint curveTangent curveTightness shape shapeMode beginContour beginShape bezierVertex curveVertex endContour endShape quadraticVertex vertex ellipseMode noSmooth rectMode smooth strokeCap strokeJoin strokeWeight mouseClicked mouseDragged mouseMoved mousePressed mouseReleased mouseWheel keyPressed keyPressedkeyReleased keyTyped print println save saveFrame day hour millis minute month second year background clear colorMode fill noFill noStroke stroke alpha blue brightness color green hue lerpColor red saturation modelX modelY modelZ screenX screenY screenZ ambient emissive shininess specular add createImage beginCamera camera endCamera frustum ortho perspective printCamera printProjection cursor frameRate noCursor exit loop noLoop popStyle pushStyle redraw binary boolean byte char float hex int str unbinary unhex join match matchAll nf nfc nfp nfs split splitTokens trim append arrayCopy concat expand reverse shorten sort splice subset box sphere sphereDetail createInput createReader loadBytes loadJSONArray loadJSONObject loadStrings loadTable loadXML open parseXML saveTable selectFolder selectInput beginRaw beginRecord createOutput createWriter endRaw endRecord PrintWritersaveBytes saveJSONArray saveJSONObject saveStream saveStrings saveXML selectOutput popMatrix printMatrix pushMatrix resetMatrix rotate rotateX rotateY rotateZ scale shearX shearY translate ambientLight directionalLight lightFalloff lights lightSpecular noLights normal pointLight spotLight image imageMode loadImage noTint requestImage tint texture textureMode textureWrap blend copy filter get loadPixels set updatePixels blendMode loadShader PShaderresetShader shader createFont loadFont text textFont textAlign textLeading textMode textSize textWidth textAscent textDescent abs ceil constrain dist exp floor lerp log mag map max min norm pow round sq sqrt acos asin atan atan2 cos degrees radians sin tan noise noiseDetail noiseSeed random randomGaussian randomSeed"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}}}]);
-//# sourceMappingURL=processing.js.map?v=ffb6de30b7f89545e60c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[130],{497:function(e,t){e.exports=function(e){return{keywords:{keyword:"BufferedReader PVector PFont PImage PGraphics HashMap boolean byte char color double float int long String Array FloatDict FloatList IntDict IntList JSONArray JSONObject Object StringDict StringList Table TableRow XML false synchronized int abstract float private char boolean static null if const for true while long throw strictfp finally protected import native final return void enum else break transient new catch instanceof byte super volatile case assert short package default double public try this switch continue throws protected public private",literal:"P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI",title:"setup draw",built_in:"displayHeight displayWidth mouseY mouseX mousePressed pmouseX pmouseY key keyCode pixels focused frameCount frameRate height width size createGraphics beginDraw createShape loadShape PShape arc ellipse line point quad rect triangle bezier bezierDetail bezierPoint bezierTangent curve curveDetail curvePoint curveTangent curveTightness shape shapeMode beginContour beginShape bezierVertex curveVertex endContour endShape quadraticVertex vertex ellipseMode noSmooth rectMode smooth strokeCap strokeJoin strokeWeight mouseClicked mouseDragged mouseMoved mousePressed mouseReleased mouseWheel keyPressed keyPressedkeyReleased keyTyped print println save saveFrame day hour millis minute month second year background clear colorMode fill noFill noStroke stroke alpha blue brightness color green hue lerpColor red saturation modelX modelY modelZ screenX screenY screenZ ambient emissive shininess specular add createImage beginCamera camera endCamera frustum ortho perspective printCamera printProjection cursor frameRate noCursor exit loop noLoop popStyle pushStyle redraw binary boolean byte char float hex int str unbinary unhex join match matchAll nf nfc nfp nfs split splitTokens trim append arrayCopy concat expand reverse shorten sort splice subset box sphere sphereDetail createInput createReader loadBytes loadJSONArray loadJSONObject loadStrings loadTable loadXML open parseXML saveTable selectFolder selectInput beginRaw beginRecord createOutput createWriter endRaw endRecord PrintWritersaveBytes saveJSONArray saveJSONObject saveStream saveStrings saveXML selectOutput popMatrix printMatrix pushMatrix resetMatrix rotate rotateX rotateY rotateZ scale shearX shearY translate ambientLight directionalLight lightFalloff lights lightSpecular noLights normal pointLight spotLight image imageMode loadImage noTint requestImage tint texture textureMode textureWrap blend copy filter get loadPixels set updatePixels blendMode loadShader PShaderresetShader shader createFont loadFont text textFont textAlign textLeading textMode textSize textWidth textAscent textDescent abs ceil constrain dist exp floor lerp log mag map max min norm pow round sq sqrt acos asin atan atan2 cos degrees radians sin tan noise noiseDetail noiseSeed random randomGaussian randomSeed"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}}}]);
+//# sourceMappingURL=processing.js.map?v=7948820b23eb0c62404f \ No newline at end of file
diff --git a/js/highlight/processing.js.map b/js/highlight/processing.js.map
index 56ce23222..d92d62bcc 100644
--- a/js/highlight/processing.js.map
+++ b/js/highlight/processing.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/processing.js"],"names":["module","exports","hljs","keywords","keyword","literal","title","built_in","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QAAS,6iBAQTC,QAAS,2CACTC,MAAO,aACPC,SAAU,wtEAyBZC,SAAU,CACRN,EAAKO,oBACLP,EAAKQ,qBACLR,EAAKS,iBACLT,EAAKU,kBACLV,EAAKW","file":"highlight/processing.js?v=ffb6de30b7f89545e60c","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword: 'BufferedReader PVector PFont PImage PGraphics HashMap boolean byte char color ' +\n 'double float int long String Array FloatDict FloatList IntDict IntList JSONArray JSONObject ' +\n 'Object StringDict StringList Table TableRow XML ' +\n // Java keywords\n 'false synchronized int abstract float private char boolean static null if const ' +\n 'for true while long throw strictfp finally protected import native final return void ' +\n 'enum else break transient new catch instanceof byte super volatile case assert short ' +\n 'package default double public try this switch continue throws protected public private',\n literal: 'P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI',\n title: 'setup draw',\n built_in: 'displayHeight displayWidth mouseY mouseX mousePressed pmouseX pmouseY key ' +\n 'keyCode pixels focused frameCount frameRate height width ' +\n 'size createGraphics beginDraw createShape loadShape PShape arc ellipse line point ' +\n 'quad rect triangle bezier bezierDetail bezierPoint bezierTangent curve curveDetail curvePoint ' +\n 'curveTangent curveTightness shape shapeMode beginContour beginShape bezierVertex curveVertex ' +\n 'endContour endShape quadraticVertex vertex ellipseMode noSmooth rectMode smooth strokeCap ' +\n 'strokeJoin strokeWeight mouseClicked mouseDragged mouseMoved mousePressed mouseReleased ' +\n 'mouseWheel keyPressed keyPressedkeyReleased keyTyped print println save saveFrame day hour ' +\n 'millis minute month second year background clear colorMode fill noFill noStroke stroke alpha ' +\n 'blue brightness color green hue lerpColor red saturation modelX modelY modelZ screenX screenY ' +\n 'screenZ ambient emissive shininess specular add createImage beginCamera camera endCamera frustum ' +\n 'ortho perspective printCamera printProjection cursor frameRate noCursor exit loop noLoop popStyle ' +\n 'pushStyle redraw binary boolean byte char float hex int str unbinary unhex join match matchAll nf ' +\n 'nfc nfp nfs split splitTokens trim append arrayCopy concat expand reverse shorten sort splice subset ' +\n 'box sphere sphereDetail createInput createReader loadBytes loadJSONArray loadJSONObject loadStrings ' +\n 'loadTable loadXML open parseXML saveTable selectFolder selectInput beginRaw beginRecord createOutput ' +\n 'createWriter endRaw endRecord PrintWritersaveBytes saveJSONArray saveJSONObject saveStream saveStrings ' +\n 'saveXML selectOutput popMatrix printMatrix pushMatrix resetMatrix rotate rotateX rotateY rotateZ scale ' +\n 'shearX shearY translate ambientLight directionalLight lightFalloff lights lightSpecular noLights normal ' +\n 'pointLight spotLight image imageMode loadImage noTint requestImage tint texture textureMode textureWrap ' +\n 'blend copy filter get loadPixels set updatePixels blendMode loadShader PShaderresetShader shader createFont ' +\n 'loadFont text textFont textAlign textLeading textMode textSize textWidth textAscent textDescent abs ceil ' +\n 'constrain dist exp floor lerp log mag map max min norm pow round sq sqrt acos asin atan atan2 cos degrees ' +\n 'radians sin tan noise noiseDetail noiseSeed random randomGaussian randomSeed'\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/processing.js"],"names":["module","exports","hljs","keywords","keyword","literal","title","built_in","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QAAS,6iBAQTC,QAAS,2CACTC,MAAO,aACPC,SAAU,wtEAyBZC,SAAU,CACRN,EAAKO,oBACLP,EAAKQ,qBACLR,EAAKS,iBACLT,EAAKU,kBACLV,EAAKW","file":"highlight/processing.js?v=7948820b23eb0c62404f","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword: 'BufferedReader PVector PFont PImage PGraphics HashMap boolean byte char color ' +\n 'double float int long String Array FloatDict FloatList IntDict IntList JSONArray JSONObject ' +\n 'Object StringDict StringList Table TableRow XML ' +\n // Java keywords\n 'false synchronized int abstract float private char boolean static null if const ' +\n 'for true while long throw strictfp finally protected import native final return void ' +\n 'enum else break transient new catch instanceof byte super volatile case assert short ' +\n 'package default double public try this switch continue throws protected public private',\n literal: 'P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI',\n title: 'setup draw',\n built_in: 'displayHeight displayWidth mouseY mouseX mousePressed pmouseX pmouseY key ' +\n 'keyCode pixels focused frameCount frameRate height width ' +\n 'size createGraphics beginDraw createShape loadShape PShape arc ellipse line point ' +\n 'quad rect triangle bezier bezierDetail bezierPoint bezierTangent curve curveDetail curvePoint ' +\n 'curveTangent curveTightness shape shapeMode beginContour beginShape bezierVertex curveVertex ' +\n 'endContour endShape quadraticVertex vertex ellipseMode noSmooth rectMode smooth strokeCap ' +\n 'strokeJoin strokeWeight mouseClicked mouseDragged mouseMoved mousePressed mouseReleased ' +\n 'mouseWheel keyPressed keyPressedkeyReleased keyTyped print println save saveFrame day hour ' +\n 'millis minute month second year background clear colorMode fill noFill noStroke stroke alpha ' +\n 'blue brightness color green hue lerpColor red saturation modelX modelY modelZ screenX screenY ' +\n 'screenZ ambient emissive shininess specular add createImage beginCamera camera endCamera frustum ' +\n 'ortho perspective printCamera printProjection cursor frameRate noCursor exit loop noLoop popStyle ' +\n 'pushStyle redraw binary boolean byte char float hex int str unbinary unhex join match matchAll nf ' +\n 'nfc nfp nfs split splitTokens trim append arrayCopy concat expand reverse shorten sort splice subset ' +\n 'box sphere sphereDetail createInput createReader loadBytes loadJSONArray loadJSONObject loadStrings ' +\n 'loadTable loadXML open parseXML saveTable selectFolder selectInput beginRaw beginRecord createOutput ' +\n 'createWriter endRaw endRecord PrintWritersaveBytes saveJSONArray saveJSONObject saveStream saveStrings ' +\n 'saveXML selectOutput popMatrix printMatrix pushMatrix resetMatrix rotate rotateX rotateY rotateZ scale ' +\n 'shearX shearY translate ambientLight directionalLight lightFalloff lights lightSpecular noLights normal ' +\n 'pointLight spotLight image imageMode loadImage noTint requestImage tint texture textureMode textureWrap ' +\n 'blend copy filter get loadPixels set updatePixels blendMode loadShader PShaderresetShader shader createFont ' +\n 'loadFont text textFont textAlign textLeading textMode textSize textWidth textAscent textDescent abs ceil ' +\n 'constrain dist exp floor lerp log mag map max min norm pow round sq sqrt acos asin atan atan2 cos degrees ' +\n 'radians sin tan noise noiseDetail noiseSeed random randomGaussian randomSeed'\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/profile.js b/js/highlight/profile.js
index 3e4f28e43..05fb8a844 100644
--- a/js/highlight/profile.js
+++ b/js/highlight/profile.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[131],{497:function(e,n){e.exports=function(e){return{contains:[e.C_NUMBER_MODE,{begin:"[a-zA-Z_][\\da-zA-Z_]+\\.[\\da-zA-Z_]{1,3}",end:":",excludeEnd:!0},{begin:"(ncalls|tottime|cumtime)",end:"$",keywords:"ncalls tottime|10 cumtime|10 filename",relevance:10},{begin:"function calls",end:"$",contains:[e.C_NUMBER_MODE],relevance:10},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"\\(",end:"\\)$",excludeBegin:!0,excludeEnd:!0,relevance:0}]}}}}]);
-//# sourceMappingURL=profile.js.map?v=7cbb5b2fb0da3a51e144 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[131],{498:function(e,n){e.exports=function(e){return{contains:[e.C_NUMBER_MODE,{begin:"[a-zA-Z_][\\da-zA-Z_]+\\.[\\da-zA-Z_]{1,3}",end:":",excludeEnd:!0},{begin:"(ncalls|tottime|cumtime)",end:"$",keywords:"ncalls tottime|10 cumtime|10 filename",relevance:10},{begin:"function calls",end:"$",contains:[e.C_NUMBER_MODE],relevance:10},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"\\(",end:"\\)$",excludeBegin:!0,excludeEnd:!0,relevance:0}]}}}}]);
+//# sourceMappingURL=profile.js.map?v=fdf3ed035e0369367eeb \ No newline at end of file
diff --git a/js/highlight/profile.js.map b/js/highlight/profile.js.map
index ef02b26e8..5f0488adb 100644
--- a/js/highlight/profile.js.map
+++ b/js/highlight/profile.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/profile.js"],"names":["module","exports","hljs","contains","C_NUMBER_MODE","begin","end","excludeEnd","keywords","relevance","APOS_STRING_MODE","QUOTE_STRING_MODE","className","excludeBegin"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRD,EAAKE,cACL,CACEC,MAAO,6CAA8CC,IAAK,IAC1DC,YAAY,GAEd,CACEF,MAAO,2BAA4BC,IAAK,IACxCE,SAAU,wCACVC,UAAW,IAEb,CACEJ,MAAO,iBAAkBC,IAAK,IAC9BH,SAAU,CAACD,EAAKE,eAChBK,UAAW,IAEbP,EAAKQ,iBACLR,EAAKS,kBACL,CACEC,UAAW,SACXP,MAAO,MAAOC,IAAK,OACnBO,cAAc,EAAMN,YAAY,EAChCE,UAAW","file":"highlight/profile.js?v=7cbb5b2fb0da3a51e144","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n hljs.C_NUMBER_MODE,\n {\n begin: '[a-zA-Z_][\\\\da-zA-Z_]+\\\\.[\\\\da-zA-Z_]{1,3}', end: ':',\n excludeEnd: true\n },\n {\n begin: '(ncalls|tottime|cumtime)', end: '$',\n keywords: 'ncalls tottime|10 cumtime|10 filename',\n relevance: 10\n },\n {\n begin: 'function calls', end: '$',\n contains: [hljs.C_NUMBER_MODE],\n relevance: 10\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '\\\\(', end: '\\\\)$',\n excludeBegin: true, excludeEnd: true,\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/profile.js"],"names":["module","exports","hljs","contains","C_NUMBER_MODE","begin","end","excludeEnd","keywords","relevance","APOS_STRING_MODE","QUOTE_STRING_MODE","className","excludeBegin"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRD,EAAKE,cACL,CACEC,MAAO,6CAA8CC,IAAK,IAC1DC,YAAY,GAEd,CACEF,MAAO,2BAA4BC,IAAK,IACxCE,SAAU,wCACVC,UAAW,IAEb,CACEJ,MAAO,iBAAkBC,IAAK,IAC9BH,SAAU,CAACD,EAAKE,eAChBK,UAAW,IAEbP,EAAKQ,iBACLR,EAAKS,kBACL,CACEC,UAAW,SACXP,MAAO,MAAOC,IAAK,OACnBO,cAAc,EAAMN,YAAY,EAChCE,UAAW","file":"highlight/profile.js?v=fdf3ed035e0369367eeb","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n hljs.C_NUMBER_MODE,\n {\n begin: '[a-zA-Z_][\\\\da-zA-Z_]+\\\\.[\\\\da-zA-Z_]{1,3}', end: ':',\n excludeEnd: true\n },\n {\n begin: '(ncalls|tottime|cumtime)', end: '$',\n keywords: 'ncalls tottime|10 cumtime|10 filename',\n relevance: 10\n },\n {\n begin: 'function calls', end: '$',\n contains: [hljs.C_NUMBER_MODE],\n relevance: 10\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '\\\\(', end: '\\\\)$',\n excludeBegin: true, excludeEnd: true,\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/prolog.js b/js/highlight/prolog.js
index 038081993..f4fb7d3e3 100644
--- a/js/highlight/prolog.js
+++ b/js/highlight/prolog.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[132],{498:function(n,e){n.exports=function(n){var e={begin:/\(/,end:/\)/,relevance:0},a={begin:/\[/,end:/\]/},s={className:"comment",begin:/%/,end:/$/,contains:[n.PHRASAL_WORDS_MODE]},i={className:"string",begin:/`/,end:/`/,contains:[n.BACKSLASH_ESCAPE]},c=[{begin:/[a-z][A-Za-z0-9_]*/,relevance:0},{className:"symbol",variants:[{begin:/[A-Z][a-zA-Z0-9_]*/},{begin:/_[A-Za-z0-9_]*/}],relevance:0},e,{begin:/:-/},a,s,n.C_BLOCK_COMMENT_MODE,n.QUOTE_STRING_MODE,n.APOS_STRING_MODE,i,{className:"string",begin:/0\'(\\\'|.)/},{className:"string",begin:/0\'\\s/},n.C_NUMBER_MODE];return e.contains=c,a.contains=c,{contains:c.concat([{begin:/\.$/}])}}}}]);
-//# sourceMappingURL=prolog.js.map?v=8108bc3f700a95263091 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[132],{499:function(n,e){n.exports=function(n){var e={begin:/\(/,end:/\)/,relevance:0},a={begin:/\[/,end:/\]/},s={className:"comment",begin:/%/,end:/$/,contains:[n.PHRASAL_WORDS_MODE]},i={className:"string",begin:/`/,end:/`/,contains:[n.BACKSLASH_ESCAPE]},c=[{begin:/[a-z][A-Za-z0-9_]*/,relevance:0},{className:"symbol",variants:[{begin:/[A-Z][a-zA-Z0-9_]*/},{begin:/_[A-Za-z0-9_]*/}],relevance:0},e,{begin:/:-/},a,s,n.C_BLOCK_COMMENT_MODE,n.QUOTE_STRING_MODE,n.APOS_STRING_MODE,i,{className:"string",begin:/0\'(\\\'|.)/},{className:"string",begin:/0\'\\s/},n.C_NUMBER_MODE];return e.contains=c,a.contains=c,{contains:c.concat([{begin:/\.$/}])}}}}]);
+//# sourceMappingURL=prolog.js.map?v=cdd8ccb02fffc1349738 \ No newline at end of file
diff --git a/js/highlight/prolog.js.map b/js/highlight/prolog.js.map
index 5455ca9df..92994ec66 100644
--- a/js/highlight/prolog.js.map
+++ b/js/highlight/prolog.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/prolog.js"],"names":["module","exports","hljs","PARENTED","begin","end","relevance","LIST","LINE_COMMENT","className","contains","PHRASAL_WORDS_MODE","BACKTICK_STRING","BACKSLASH_ESCAPE","inner","variants","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","C_NUMBER_MODE","concat"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAgBIC,EAAW,CAEbC,MAAO,KACPC,IAAK,KACLC,UAAW,GAGTC,EAAO,CAETH,MAAO,KACPC,IAAK,MAGHG,EAAe,CAEjBC,UAAW,UACXL,MAAO,IAAKC,IAAK,IACjBK,SAAU,CAACR,EAAKS,qBAGdC,EAAkB,CAEpBH,UAAW,SACXL,MAAO,IAAKC,IAAK,IACjBK,SAAU,CAACR,EAAKW,mBAmBdC,EAAQ,CA3DD,CAETV,MAAO,qBACPE,UAAW,GAGH,CAERG,UAAW,SACXM,SAAU,CACR,CAACX,MAAO,sBACR,CAACA,MAAO,mBAEVE,UAAW,GAkDXH,EARY,CACZC,MAAO,MASPG,EACAC,EACAN,EAAKc,qBACLd,EAAKe,kBACLf,EAAKgB,iBACLN,EA3Bc,CAEdH,UAAW,SACXL,MAAO,eAGQ,CAEfK,UAAW,SACXL,MAAO,UAqBPF,EAAKiB,eAMP,OAHAhB,EAASO,SAAWI,EACpBP,EAAKG,SAAWI,EAET,CACLJ,SAAUI,EAAMM,OAAO,CACrB,CAAChB,MAAO","file":"highlight/prolog.js?v=8108bc3f700a95263091","sourcesContent":["module.exports = function(hljs) {\n\n var ATOM = {\n\n begin: /[a-z][A-Za-z0-9_]*/,\n relevance: 0\n };\n\n var VAR = {\n\n className: 'symbol',\n variants: [\n {begin: /[A-Z][a-zA-Z0-9_]*/},\n {begin: /_[A-Za-z0-9_]*/},\n ],\n relevance: 0\n };\n\n var PARENTED = {\n\n begin: /\\(/,\n end: /\\)/,\n relevance: 0\n };\n\n var LIST = {\n\n begin: /\\[/,\n end: /\\]/\n };\n\n var LINE_COMMENT = {\n\n className: 'comment',\n begin: /%/, end: /$/,\n contains: [hljs.PHRASAL_WORDS_MODE]\n };\n\n var BACKTICK_STRING = {\n\n className: 'string',\n begin: /`/, end: /`/,\n contains: [hljs.BACKSLASH_ESCAPE]\n };\n\n var CHAR_CODE = {\n\n className: 'string', // 0'a etc.\n begin: /0\\'(\\\\\\'|.)/\n };\n\n var SPACE_CODE = {\n\n className: 'string',\n begin: /0\\'\\\\s/ // 0'\\s\n };\n\n var PRED_OP = { // relevance booster\n begin: /:-/\n };\n\n var inner = [\n\n ATOM,\n VAR,\n PARENTED,\n PRED_OP,\n LIST,\n LINE_COMMENT,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n BACKTICK_STRING,\n CHAR_CODE,\n SPACE_CODE,\n hljs.C_NUMBER_MODE\n ];\n\n PARENTED.contains = inner;\n LIST.contains = inner;\n\n return {\n contains: inner.concat([\n {begin: /\\.$/} // relevance booster\n ])\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/prolog.js"],"names":["module","exports","hljs","PARENTED","begin","end","relevance","LIST","LINE_COMMENT","className","contains","PHRASAL_WORDS_MODE","BACKTICK_STRING","BACKSLASH_ESCAPE","inner","variants","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","C_NUMBER_MODE","concat"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAgBIC,EAAW,CAEbC,MAAO,KACPC,IAAK,KACLC,UAAW,GAGTC,EAAO,CAETH,MAAO,KACPC,IAAK,MAGHG,EAAe,CAEjBC,UAAW,UACXL,MAAO,IAAKC,IAAK,IACjBK,SAAU,CAACR,EAAKS,qBAGdC,EAAkB,CAEpBH,UAAW,SACXL,MAAO,IAAKC,IAAK,IACjBK,SAAU,CAACR,EAAKW,mBAmBdC,EAAQ,CA3DD,CAETV,MAAO,qBACPE,UAAW,GAGH,CAERG,UAAW,SACXM,SAAU,CACR,CAACX,MAAO,sBACR,CAACA,MAAO,mBAEVE,UAAW,GAkDXH,EARY,CACZC,MAAO,MASPG,EACAC,EACAN,EAAKc,qBACLd,EAAKe,kBACLf,EAAKgB,iBACLN,EA3Bc,CAEdH,UAAW,SACXL,MAAO,eAGQ,CAEfK,UAAW,SACXL,MAAO,UAqBPF,EAAKiB,eAMP,OAHAhB,EAASO,SAAWI,EACpBP,EAAKG,SAAWI,EAET,CACLJ,SAAUI,EAAMM,OAAO,CACrB,CAAChB,MAAO","file":"highlight/prolog.js?v=cdd8ccb02fffc1349738","sourcesContent":["module.exports = function(hljs) {\n\n var ATOM = {\n\n begin: /[a-z][A-Za-z0-9_]*/,\n relevance: 0\n };\n\n var VAR = {\n\n className: 'symbol',\n variants: [\n {begin: /[A-Z][a-zA-Z0-9_]*/},\n {begin: /_[A-Za-z0-9_]*/},\n ],\n relevance: 0\n };\n\n var PARENTED = {\n\n begin: /\\(/,\n end: /\\)/,\n relevance: 0\n };\n\n var LIST = {\n\n begin: /\\[/,\n end: /\\]/\n };\n\n var LINE_COMMENT = {\n\n className: 'comment',\n begin: /%/, end: /$/,\n contains: [hljs.PHRASAL_WORDS_MODE]\n };\n\n var BACKTICK_STRING = {\n\n className: 'string',\n begin: /`/, end: /`/,\n contains: [hljs.BACKSLASH_ESCAPE]\n };\n\n var CHAR_CODE = {\n\n className: 'string', // 0'a etc.\n begin: /0\\'(\\\\\\'|.)/\n };\n\n var SPACE_CODE = {\n\n className: 'string',\n begin: /0\\'\\\\s/ // 0'\\s\n };\n\n var PRED_OP = { // relevance booster\n begin: /:-/\n };\n\n var inner = [\n\n ATOM,\n VAR,\n PARENTED,\n PRED_OP,\n LIST,\n LINE_COMMENT,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n BACKTICK_STRING,\n CHAR_CODE,\n SPACE_CODE,\n hljs.C_NUMBER_MODE\n ];\n\n PARENTED.contains = inner;\n LIST.contains = inner;\n\n return {\n contains: inner.concat([\n {begin: /\\.$/} // relevance booster\n ])\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/properties.js b/js/highlight/properties.js
index 490a9ca39..48aceb967 100644
--- a/js/highlight/properties.js
+++ b/js/highlight/properties.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[133],{499:function(e,n){e.exports=function(e){var n="[ \\t\\f]*",t="("+n+"[:=]"+n+"|[ \\t\\f]+)",a="([^\\\\:= \\t\\f\\n]|\\\\.)+",s={end:t,relevance:0,starts:{className:"string",end:/$/,relevance:0,contains:[{begin:"\\\\\\n"}]}};return{case_insensitive:!0,illegal:/\S/,contains:[e.COMMENT("^\\s*[!#]","$"),{begin:"([^\\\\\\W:= \\t\\f\\n]|\\\\.)+"+t,returnBegin:!0,contains:[{className:"attr",begin:"([^\\\\\\W:= \\t\\f\\n]|\\\\.)+",endsParent:!0,relevance:0}],starts:s},{begin:a+t,returnBegin:!0,relevance:0,contains:[{className:"meta",begin:a,endsParent:!0,relevance:0}],starts:s},{className:"attr",relevance:0,begin:a+n+"$"}]}}}}]);
-//# sourceMappingURL=properties.js.map?v=a559582eda72a2e1f541 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[133],{500:function(e,n){e.exports=function(e){var n="[ \\t\\f]*",t="("+n+"[:=]"+n+"|[ \\t\\f]+)",a="([^\\\\:= \\t\\f\\n]|\\\\.)+",s={end:t,relevance:0,starts:{className:"string",end:/$/,relevance:0,contains:[{begin:"\\\\\\n"}]}};return{case_insensitive:!0,illegal:/\S/,contains:[e.COMMENT("^\\s*[!#]","$"),{begin:"([^\\\\\\W:= \\t\\f\\n]|\\\\.)+"+t,returnBegin:!0,contains:[{className:"attr",begin:"([^\\\\\\W:= \\t\\f\\n]|\\\\.)+",endsParent:!0,relevance:0}],starts:s},{begin:a+t,returnBegin:!0,relevance:0,contains:[{className:"meta",begin:a,endsParent:!0,relevance:0}],starts:s},{className:"attr",relevance:0,begin:a+n+"$"}]}}}}]);
+//# sourceMappingURL=properties.js.map?v=233db3ec5ccf7b2f5678 \ No newline at end of file
diff --git a/js/highlight/properties.js.map b/js/highlight/properties.js.map
index 5ded30ba5..838049bfd 100644
--- a/js/highlight/properties.js.map
+++ b/js/highlight/properties.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/properties.js"],"names":["module","exports","hljs","WS0","DELIM","KEY_OTHER","DELIM_AND_VALUE","end","relevance","starts","className","contains","begin","case_insensitive","illegal","COMMENT","returnBegin","endsParent"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAGxB,IAAIC,EAAM,aAGNC,EAAQ,IAAMD,EAAI,OAAOA,EAAjB,eAERE,EAAY,+BAEZC,EAAkB,CAEdC,IAAKH,EACLI,UAAW,EACXC,OAAQ,CAENC,UAAW,SACXH,IAAK,IACLC,UAAW,EACXG,SAAU,CACR,CAAEC,MAAO,cAKrB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,KACTH,SAAU,CACRT,EAAKa,QAAQ,YAAa,KAG1B,CACEH,MA1Ba,kCA0BSR,EACtBY,aAAa,EACbL,SAAU,CACR,CACED,UAAW,OACXE,MA/BS,kCAgCTK,YAAY,EACZT,UAAW,IAGfC,OAAQH,GAGV,CACEM,MAAOP,EAAYD,EACnBY,aAAa,EACbR,UAAW,EACXG,SAAU,CACR,CACED,UAAW,OACXE,MAAOP,EACPY,YAAY,EACZT,UAAW,IAGfC,OAAQH,GAGV,CACEI,UAAW,OACXF,UAAW,EACXI,MAAOP,EAAYF,EAAM","file":"highlight/properties.js?v=a559582eda72a2e1f541","sourcesContent":["module.exports = function(hljs) {\n\n // whitespaces: space, tab, formfeed\n var WS0 = '[ \\\\t\\\\f]*';\n var WS1 = '[ \\\\t\\\\f]+';\n // delimiter\n var DELIM = '(' + WS0+'[:=]'+WS0+ '|' + WS1 + ')';\n var KEY_ALPHANUM = '([^\\\\\\\\\\\\W:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+';\n var KEY_OTHER = '([^\\\\\\\\:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+';\n\n var DELIM_AND_VALUE = {\n // skip DELIM\n end: DELIM,\n relevance: 0,\n starts: {\n // value: everything until end of line (again, taking into account backslashes)\n className: 'string',\n end: /$/,\n relevance: 0,\n contains: [\n { begin: '\\\\\\\\\\\\n' }\n ]\n }\n };\n\n return {\n case_insensitive: true,\n illegal: /\\S/,\n contains: [\n hljs.COMMENT('^\\\\s*[!#]', '$'),\n // key: everything until whitespace or = or : (taking into account backslashes)\n // case of a \"normal\" key\n {\n begin: KEY_ALPHANUM + DELIM,\n returnBegin: true,\n contains: [\n {\n className: 'attr',\n begin: KEY_ALPHANUM,\n endsParent: true,\n relevance: 0\n }\n ],\n starts: DELIM_AND_VALUE\n },\n // case of key containing non-alphanumeric chars => relevance = 0\n {\n begin: KEY_OTHER + DELIM,\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'meta',\n begin: KEY_OTHER,\n endsParent: true,\n relevance: 0\n }\n ],\n starts: DELIM_AND_VALUE\n },\n // case of an empty key\n {\n className: 'attr',\n relevance: 0,\n begin: KEY_OTHER + WS0 + '$'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/properties.js"],"names":["module","exports","hljs","WS0","DELIM","KEY_OTHER","DELIM_AND_VALUE","end","relevance","starts","className","contains","begin","case_insensitive","illegal","COMMENT","returnBegin","endsParent"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAGxB,IAAIC,EAAM,aAGNC,EAAQ,IAAMD,EAAI,OAAOA,EAAjB,eAERE,EAAY,+BAEZC,EAAkB,CAEdC,IAAKH,EACLI,UAAW,EACXC,OAAQ,CAENC,UAAW,SACXH,IAAK,IACLC,UAAW,EACXG,SAAU,CACR,CAAEC,MAAO,cAKrB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,KACTH,SAAU,CACRT,EAAKa,QAAQ,YAAa,KAG1B,CACEH,MA1Ba,kCA0BSR,EACtBY,aAAa,EACbL,SAAU,CACR,CACED,UAAW,OACXE,MA/BS,kCAgCTK,YAAY,EACZT,UAAW,IAGfC,OAAQH,GAGV,CACEM,MAAOP,EAAYD,EACnBY,aAAa,EACbR,UAAW,EACXG,SAAU,CACR,CACED,UAAW,OACXE,MAAOP,EACPY,YAAY,EACZT,UAAW,IAGfC,OAAQH,GAGV,CACEI,UAAW,OACXF,UAAW,EACXI,MAAOP,EAAYF,EAAM","file":"highlight/properties.js?v=233db3ec5ccf7b2f5678","sourcesContent":["module.exports = function(hljs) {\n\n // whitespaces: space, tab, formfeed\n var WS0 = '[ \\\\t\\\\f]*';\n var WS1 = '[ \\\\t\\\\f]+';\n // delimiter\n var DELIM = '(' + WS0+'[:=]'+WS0+ '|' + WS1 + ')';\n var KEY_ALPHANUM = '([^\\\\\\\\\\\\W:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+';\n var KEY_OTHER = '([^\\\\\\\\:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+';\n\n var DELIM_AND_VALUE = {\n // skip DELIM\n end: DELIM,\n relevance: 0,\n starts: {\n // value: everything until end of line (again, taking into account backslashes)\n className: 'string',\n end: /$/,\n relevance: 0,\n contains: [\n { begin: '\\\\\\\\\\\\n' }\n ]\n }\n };\n\n return {\n case_insensitive: true,\n illegal: /\\S/,\n contains: [\n hljs.COMMENT('^\\\\s*[!#]', '$'),\n // key: everything until whitespace or = or : (taking into account backslashes)\n // case of a \"normal\" key\n {\n begin: KEY_ALPHANUM + DELIM,\n returnBegin: true,\n contains: [\n {\n className: 'attr',\n begin: KEY_ALPHANUM,\n endsParent: true,\n relevance: 0\n }\n ],\n starts: DELIM_AND_VALUE\n },\n // case of key containing non-alphanumeric chars => relevance = 0\n {\n begin: KEY_OTHER + DELIM,\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'meta',\n begin: KEY_OTHER,\n endsParent: true,\n relevance: 0\n }\n ],\n starts: DELIM_AND_VALUE\n },\n // case of an empty key\n {\n className: 'attr',\n relevance: 0,\n begin: KEY_OTHER + WS0 + '$'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/protobuf.js b/js/highlight/protobuf.js
index 3c9855b4c..de05e8b20 100644
--- a/js/highlight/protobuf.js
+++ b/js/highlight/protobuf.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[134],{500:function(e,n){e.exports=function(e){return{keywords:{keyword:"package import option optional required repeated group oneof",built_in:"double float int32 int64 uint32 uint64 sint32 sint64 fixed32 fixed64 sfixed32 sfixed64 bool string bytes",literal:"true false"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,{className:"class",beginKeywords:"message enum service",end:/\{/,illegal:/\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{className:"function",beginKeywords:"rpc",end:/;/,excludeEnd:!0,keywords:"rpc returns"},{begin:/^\s*[A-Z_]+/,end:/\s*=/,excludeEnd:!0}]}}}}]);
-//# sourceMappingURL=protobuf.js.map?v=88f6a3bcc077da83c858 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[134],{501:function(e,n){e.exports=function(e){return{keywords:{keyword:"package import option optional required repeated group oneof",built_in:"double float int32 int64 uint32 uint64 sint32 sint64 fixed32 fixed64 sfixed32 sfixed64 bool string bytes",literal:"true false"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,{className:"class",beginKeywords:"message enum service",end:/\{/,illegal:/\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{className:"function",beginKeywords:"rpc",end:/;/,excludeEnd:!0,keywords:"rpc returns"},{begin:/^\s*[A-Z_]+/,end:/\s*=/,excludeEnd:!0}]}}}}]);
+//# sourceMappingURL=protobuf.js.map?v=3bf8fd57b29d88129b8f \ No newline at end of file
diff --git a/js/highlight/protobuf.js.map b/js/highlight/protobuf.js.map
index dc6210a9b..9e44d3c0a 100644
--- a/js/highlight/protobuf.js.map
+++ b/js/highlight/protobuf.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/protobuf.js"],"names":["module","exports","hljs","keywords","keyword","built_in","literal","contains","QUOTE_STRING_MODE","NUMBER_MODE","C_LINE_COMMENT_MODE","className","beginKeywords","end","illegal","inherit","TITLE_MODE","starts","endsWithParent","excludeEnd","begin"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QAAS,+DACTC,SAAU,2GAEVC,QAAS,cAEXC,SAAU,CACRL,EAAKM,kBACLN,EAAKO,YACLP,EAAKQ,oBACL,CACEC,UAAW,QACXC,cAAe,uBAAwBC,IAAK,KAC5CC,QAAS,KACTP,SAAU,CACRL,EAAKa,QAAQb,EAAKc,WAAY,CAC5BC,OAAQ,CAACC,gBAAgB,EAAMC,YAAY,OAIjD,CACER,UAAW,WACXC,cAAe,MACfC,IAAK,IAAKM,YAAY,EACtBhB,SAAU,eAEZ,CACEiB,MAAO,cACPP,IAAK,OAAQM,YAAY","file":"highlight/protobuf.js?v=88f6a3bcc077da83c858","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword: 'package import option optional required repeated group oneof',\n built_in: 'double float int32 int64 uint32 uint64 sint32 sint64 ' +\n 'fixed32 fixed64 sfixed32 sfixed64 bool string bytes',\n literal: 'true false'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n {\n className: 'class',\n beginKeywords: 'message enum service', end: /\\{/,\n illegal: /\\n/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n starts: {endsWithParent: true, excludeEnd: true} // hack: eating everything after the first title\n })\n ]\n },\n {\n className: 'function',\n beginKeywords: 'rpc',\n end: /;/, excludeEnd: true,\n keywords: 'rpc returns'\n },\n {\n begin: /^\\s*[A-Z_]+/,\n end: /\\s*=/, excludeEnd: true\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/protobuf.js"],"names":["module","exports","hljs","keywords","keyword","built_in","literal","contains","QUOTE_STRING_MODE","NUMBER_MODE","C_LINE_COMMENT_MODE","className","beginKeywords","end","illegal","inherit","TITLE_MODE","starts","endsWithParent","excludeEnd","begin"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QAAS,+DACTC,SAAU,2GAEVC,QAAS,cAEXC,SAAU,CACRL,EAAKM,kBACLN,EAAKO,YACLP,EAAKQ,oBACL,CACEC,UAAW,QACXC,cAAe,uBAAwBC,IAAK,KAC5CC,QAAS,KACTP,SAAU,CACRL,EAAKa,QAAQb,EAAKc,WAAY,CAC5BC,OAAQ,CAACC,gBAAgB,EAAMC,YAAY,OAIjD,CACER,UAAW,WACXC,cAAe,MACfC,IAAK,IAAKM,YAAY,EACtBhB,SAAU,eAEZ,CACEiB,MAAO,cACPP,IAAK,OAAQM,YAAY","file":"highlight/protobuf.js?v=3bf8fd57b29d88129b8f","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword: 'package import option optional required repeated group oneof',\n built_in: 'double float int32 int64 uint32 uint64 sint32 sint64 ' +\n 'fixed32 fixed64 sfixed32 sfixed64 bool string bytes',\n literal: 'true false'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n {\n className: 'class',\n beginKeywords: 'message enum service', end: /\\{/,\n illegal: /\\n/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n starts: {endsWithParent: true, excludeEnd: true} // hack: eating everything after the first title\n })\n ]\n },\n {\n className: 'function',\n beginKeywords: 'rpc',\n end: /;/, excludeEnd: true,\n keywords: 'rpc returns'\n },\n {\n begin: /^\\s*[A-Z_]+/,\n end: /\\s*=/, excludeEnd: true\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/puppet.js b/js/highlight/puppet.js
index 18f5994cd..af3ce82a4 100644
--- a/js/highlight/puppet.js
+++ b/js/highlight/puppet.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[135],{501:function(e,s){e.exports=function(e){var s=e.COMMENT("#","$"),r=e.inherit(e.TITLE_MODE,{begin:"([A-Za-z_]|::)(\\w|::)*"}),a={className:"variable",begin:"\\$([A-Za-z_]|::)(\\w|::)*"},n={className:"string",contains:[e.BACKSLASH_ESCAPE,a],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]};return{aliases:["pp"],contains:[s,a,n,{beginKeywords:"class",end:"\\{|;",illegal:/=/,contains:[r,s]},{beginKeywords:"define",end:/\{/,contains:[{className:"section",begin:e.IDENT_RE,endsParent:!0}]},{begin:e.IDENT_RE+"\\s+\\{",returnBegin:!0,end:/\S/,contains:[{className:"keyword",begin:e.IDENT_RE},{begin:/\{/,end:/\}/,keywords:{keyword:"and case default else elsif false if in import enherits node or true undef unless main settings $string ",literal:"alias audit before loglevel noop require subscribe tag owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check en_address ip_address realname command environment hour monute month monthday special target weekday creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey sslverify mounted",built_in:"architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version"},relevance:0,contains:[n,s,{begin:"[a-zA-Z_]+\\s*=>",returnBegin:!0,end:"=>",contains:[{className:"attr",begin:e.IDENT_RE}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},a]}],relevance:0}]}}}}]);
-//# sourceMappingURL=puppet.js.map?v=99676849ca57736cf88c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[135],{502:function(e,s){e.exports=function(e){var s=e.COMMENT("#","$"),r=e.inherit(e.TITLE_MODE,{begin:"([A-Za-z_]|::)(\\w|::)*"}),a={className:"variable",begin:"\\$([A-Za-z_]|::)(\\w|::)*"},n={className:"string",contains:[e.BACKSLASH_ESCAPE,a],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]};return{aliases:["pp"],contains:[s,a,n,{beginKeywords:"class",end:"\\{|;",illegal:/=/,contains:[r,s]},{beginKeywords:"define",end:/\{/,contains:[{className:"section",begin:e.IDENT_RE,endsParent:!0}]},{begin:e.IDENT_RE+"\\s+\\{",returnBegin:!0,end:/\S/,contains:[{className:"keyword",begin:e.IDENT_RE},{begin:/\{/,end:/\}/,keywords:{keyword:"and case default else elsif false if in import enherits node or true undef unless main settings $string ",literal:"alias audit before loglevel noop require subscribe tag owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check en_address ip_address realname command environment hour monute month monthday special target weekday creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey sslverify mounted",built_in:"architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version"},relevance:0,contains:[n,s,{begin:"[a-zA-Z_]+\\s*=>",returnBegin:!0,end:"=>",contains:[{className:"attr",begin:e.IDENT_RE}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},a]}],relevance:0}]}}}}]);
+//# sourceMappingURL=puppet.js.map?v=c492283b4dd2f97fcc07 \ No newline at end of file
diff --git a/js/highlight/puppet.js.map b/js/highlight/puppet.js.map
index f95bda258..0affd29c3 100644
--- a/js/highlight/puppet.js.map
+++ b/js/highlight/puppet.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/puppet.js"],"names":["module","exports","hljs","COMMENT","TITLE","inherit","TITLE_MODE","begin","VARIABLE","className","STRING","contains","BACKSLASH_ESCAPE","variants","end","aliases","beginKeywords","illegal","IDENT_RE","endsParent","returnBegin","keywords","keyword","literal","built_in","relevance"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAqCIC,EAAUD,EAAKC,QAAQ,IAAK,KAI5BC,EAAQF,EAAKG,QAAQH,EAAKI,WAAY,CAACC,MAF5B,4BAIXC,EAAW,CAACC,UAAW,WAAYF,MAAO,8BAE1CG,EAAS,CACXD,UAAW,SACXE,SAAU,CAACT,EAAKU,iBAAkBJ,GAClCK,SAAU,CACR,CAACN,MAAO,IAAKO,IAAK,KAClB,CAACP,MAAO,IAAKO,IAAK,OAItB,MAAO,CACLC,QAAS,CAAC,MACVJ,SAAU,CACRR,EACAK,EACAE,EACA,CACEM,cAAe,QAASF,IAAK,QAC7BG,QAAS,IACTN,SAAU,CAACP,EAAOD,IAEpB,CACEa,cAAe,SAAUF,IAAK,KAC9BH,SAAU,CACR,CACEF,UAAW,UAAWF,MAAOL,EAAKgB,SAAUC,YAAY,KAI9D,CACEZ,MAAOL,EAAKgB,SAAW,UAAWE,aAAa,EAC/CN,IAAK,KACLH,SAAU,CACR,CACEF,UAAW,UACXF,MAAOL,EAAKgB,UAEd,CACEX,MAAO,KAAMO,IAAK,KAClBO,SAnFY,CACpBC,QAEE,2GACFC,QAEE,0xDAiBFC,SAEE,+sCA2DMC,UAAW,EACXd,SAAU,CACRD,EACAP,EACA,CACEI,MAAM,mBACNa,aAAa,EAAMN,IAAK,KACxBH,SAAU,CACR,CACEF,UAAW,OACXF,MAAOL,EAAKgB,YAIlB,CACET,UAAW,SACXF,MAAO,4EACPkB,UAAW,GAEbjB,KAINiB,UAAW","file":"highlight/puppet.js?v=99676849ca57736cf88c","sourcesContent":["module.exports = function(hljs) {\n\n var PUPPET_KEYWORDS = {\n keyword:\n /* language keywords */\n 'and case default else elsif false if in import enherits node or true undef unless main settings $string ',\n literal:\n /* metaparameters */\n 'alias audit before loglevel noop require subscribe tag ' +\n /* normal attributes */\n 'owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check ' +\n 'en_address ip_address realname command environment hour monute month monthday special target weekday '+\n 'creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore ' +\n 'links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source ' +\n 'souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid '+\n 'ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel ' +\n 'native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options ' +\n 'device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use ' +\n 'message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform ' +\n 'responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running ' +\n 'start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age ' +\n 'password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled ' +\n 'enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist ' +\n 'priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey ' +\n 'sslverify mounted',\n built_in:\n /* core facts */\n 'architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers ' +\n 'domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces '+\n 'ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion ' +\n 'kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease ' +\n 'lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major ' +\n 'macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease '+\n 'operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion '+\n 'rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced '+\n 'selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime '+\n 'uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version'\n };\n\n var COMMENT = hljs.COMMENT('#', '$');\n\n var IDENT_RE = '([A-Za-z_]|::)(\\\\w|::)*';\n\n var TITLE = hljs.inherit(hljs.TITLE_MODE, {begin: IDENT_RE});\n\n var VARIABLE = {className: 'variable', begin: '\\\\$' + IDENT_RE};\n\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, VARIABLE],\n variants: [\n {begin: /'/, end: /'/},\n {begin: /\"/, end: /\"/}\n ]\n };\n\n return {\n aliases: ['pp'],\n contains: [\n COMMENT,\n VARIABLE,\n STRING,\n {\n beginKeywords: 'class', end: '\\\\{|;',\n illegal: /=/,\n contains: [TITLE, COMMENT]\n },\n {\n beginKeywords: 'define', end: /\\{/,\n contains: [\n {\n className: 'section', begin: hljs.IDENT_RE, endsParent: true\n }\n ]\n },\n {\n begin: hljs.IDENT_RE + '\\\\s+\\\\{', returnBegin: true,\n end: /\\S/,\n contains: [\n {\n className: 'keyword',\n begin: hljs.IDENT_RE\n },\n {\n begin: /\\{/, end: /\\}/,\n keywords: PUPPET_KEYWORDS,\n relevance: 0,\n contains: [\n STRING,\n COMMENT,\n {\n begin:'[a-zA-Z_]+\\\\s*=>',\n returnBegin: true, end: '=>',\n contains: [\n {\n className: 'attr',\n begin: hljs.IDENT_RE,\n }\n ]\n },\n {\n className: 'number',\n begin: '(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b',\n relevance: 0\n },\n VARIABLE\n ]\n }\n ],\n relevance: 0\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/puppet.js"],"names":["module","exports","hljs","COMMENT","TITLE","inherit","TITLE_MODE","begin","VARIABLE","className","STRING","contains","BACKSLASH_ESCAPE","variants","end","aliases","beginKeywords","illegal","IDENT_RE","endsParent","returnBegin","keywords","keyword","literal","built_in","relevance"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAqCIC,EAAUD,EAAKC,QAAQ,IAAK,KAI5BC,EAAQF,EAAKG,QAAQH,EAAKI,WAAY,CAACC,MAF5B,4BAIXC,EAAW,CAACC,UAAW,WAAYF,MAAO,8BAE1CG,EAAS,CACXD,UAAW,SACXE,SAAU,CAACT,EAAKU,iBAAkBJ,GAClCK,SAAU,CACR,CAACN,MAAO,IAAKO,IAAK,KAClB,CAACP,MAAO,IAAKO,IAAK,OAItB,MAAO,CACLC,QAAS,CAAC,MACVJ,SAAU,CACRR,EACAK,EACAE,EACA,CACEM,cAAe,QAASF,IAAK,QAC7BG,QAAS,IACTN,SAAU,CAACP,EAAOD,IAEpB,CACEa,cAAe,SAAUF,IAAK,KAC9BH,SAAU,CACR,CACEF,UAAW,UAAWF,MAAOL,EAAKgB,SAAUC,YAAY,KAI9D,CACEZ,MAAOL,EAAKgB,SAAW,UAAWE,aAAa,EAC/CN,IAAK,KACLH,SAAU,CACR,CACEF,UAAW,UACXF,MAAOL,EAAKgB,UAEd,CACEX,MAAO,KAAMO,IAAK,KAClBO,SAnFY,CACpBC,QAEE,2GACFC,QAEE,0xDAiBFC,SAEE,+sCA2DMC,UAAW,EACXd,SAAU,CACRD,EACAP,EACA,CACEI,MAAM,mBACNa,aAAa,EAAMN,IAAK,KACxBH,SAAU,CACR,CACEF,UAAW,OACXF,MAAOL,EAAKgB,YAIlB,CACET,UAAW,SACXF,MAAO,4EACPkB,UAAW,GAEbjB,KAINiB,UAAW","file":"highlight/puppet.js?v=c492283b4dd2f97fcc07","sourcesContent":["module.exports = function(hljs) {\n\n var PUPPET_KEYWORDS = {\n keyword:\n /* language keywords */\n 'and case default else elsif false if in import enherits node or true undef unless main settings $string ',\n literal:\n /* metaparameters */\n 'alias audit before loglevel noop require subscribe tag ' +\n /* normal attributes */\n 'owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check ' +\n 'en_address ip_address realname command environment hour monute month monthday special target weekday '+\n 'creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore ' +\n 'links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source ' +\n 'souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid '+\n 'ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel ' +\n 'native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options ' +\n 'device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use ' +\n 'message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform ' +\n 'responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running ' +\n 'start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age ' +\n 'password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled ' +\n 'enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist ' +\n 'priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey ' +\n 'sslverify mounted',\n built_in:\n /* core facts */\n 'architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers ' +\n 'domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces '+\n 'ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion ' +\n 'kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease ' +\n 'lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major ' +\n 'macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease '+\n 'operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion '+\n 'rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced '+\n 'selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime '+\n 'uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version'\n };\n\n var COMMENT = hljs.COMMENT('#', '$');\n\n var IDENT_RE = '([A-Za-z_]|::)(\\\\w|::)*';\n\n var TITLE = hljs.inherit(hljs.TITLE_MODE, {begin: IDENT_RE});\n\n var VARIABLE = {className: 'variable', begin: '\\\\$' + IDENT_RE};\n\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, VARIABLE],\n variants: [\n {begin: /'/, end: /'/},\n {begin: /\"/, end: /\"/}\n ]\n };\n\n return {\n aliases: ['pp'],\n contains: [\n COMMENT,\n VARIABLE,\n STRING,\n {\n beginKeywords: 'class', end: '\\\\{|;',\n illegal: /=/,\n contains: [TITLE, COMMENT]\n },\n {\n beginKeywords: 'define', end: /\\{/,\n contains: [\n {\n className: 'section', begin: hljs.IDENT_RE, endsParent: true\n }\n ]\n },\n {\n begin: hljs.IDENT_RE + '\\\\s+\\\\{', returnBegin: true,\n end: /\\S/,\n contains: [\n {\n className: 'keyword',\n begin: hljs.IDENT_RE\n },\n {\n begin: /\\{/, end: /\\}/,\n keywords: PUPPET_KEYWORDS,\n relevance: 0,\n contains: [\n STRING,\n COMMENT,\n {\n begin:'[a-zA-Z_]+\\\\s*=>',\n returnBegin: true, end: '=>',\n contains: [\n {\n className: 'attr',\n begin: hljs.IDENT_RE,\n }\n ]\n },\n {\n className: 'number',\n begin: '(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b',\n relevance: 0\n },\n VARIABLE\n ]\n }\n ],\n relevance: 0\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/purebasic.js b/js/highlight/purebasic.js
index 3f63e8ce6..89424fa75 100644
--- a/js/highlight/purebasic.js
+++ b/js/highlight/purebasic.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[136],{502:function(e,r){e.exports=function(e){return{aliases:["pb","pbi"],keywords:"Align And Array As Break CallDebugger Case CompilerCase CompilerDefault CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount Map Module NewList NewMap Next Not Or Procedure ProcedureC ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim Read Repeat Restore Return Runtime Select Shared Static Step Structure StructureUnion Swap Threaded To UndefineMacro Until Until UnuseModule UseModule Wend While With XIncludeFile XOr",contains:[e.COMMENT(";","$",{relevance:0}),{className:"function",begin:"\\b(Procedure|Declare)(C|CDLL|DLL)?\\b",end:"\\(",excludeEnd:!0,returnBegin:!0,contains:[{className:"keyword",begin:"(Procedure|Declare)(C|CDLL|DLL)?",excludeEnd:!0},{className:"type",begin:"\\.\\w*"},e.UNDERSCORE_TITLE_MODE]},{className:"string",begin:'(~)?"',end:'"',illegal:"\\n"},{className:"symbol",begin:"#[a-zA-Z_]\\w*\\$?"}]}}}}]);
-//# sourceMappingURL=purebasic.js.map?v=21fd409ca5694de6309f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[136],{503:function(e,r){e.exports=function(e){return{aliases:["pb","pbi"],keywords:"Align And Array As Break CallDebugger Case CompilerCase CompilerDefault CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount Map Module NewList NewMap Next Not Or Procedure ProcedureC ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim Read Repeat Restore Return Runtime Select Shared Static Step Structure StructureUnion Swap Threaded To UndefineMacro Until Until UnuseModule UseModule Wend While With XIncludeFile XOr",contains:[e.COMMENT(";","$",{relevance:0}),{className:"function",begin:"\\b(Procedure|Declare)(C|CDLL|DLL)?\\b",end:"\\(",excludeEnd:!0,returnBegin:!0,contains:[{className:"keyword",begin:"(Procedure|Declare)(C|CDLL|DLL)?",excludeEnd:!0},{className:"type",begin:"\\.\\w*"},e.UNDERSCORE_TITLE_MODE]},{className:"string",begin:'(~)?"',end:'"',illegal:"\\n"},{className:"symbol",begin:"#[a-zA-Z_]\\w*\\$?"}]}}}}]);
+//# sourceMappingURL=purebasic.js.map?v=6c054c58d6a66b30d59f \ No newline at end of file
diff --git a/js/highlight/purebasic.js.map b/js/highlight/purebasic.js.map
index eaa57420c..e2acdc289 100644
--- a/js/highlight/purebasic.js.map
+++ b/js/highlight/purebasic.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/purebasic.js"],"names":["module","exports","hljs","aliases","keywords","contains","COMMENT","relevance","className","begin","end","excludeEnd","returnBegin","UNDERSCORE_TITLE_MODE","illegal"],"mappings":"qFAAAA,EAAOC,QAEP,SAASC,GAYP,MAAO,CACLC,QAAS,CAAC,KAAM,OAChBC,SAEE,6iCAeFC,SAAU,CAERH,EAAKI,QAAQ,IAAK,IAAK,CAACC,UAAW,IAEnC,CACEC,UAAW,WACXC,MAAO,yCACPC,IAAK,MACLC,YAAY,EACZC,aAAa,EACbP,SAAU,CACR,CACEG,UAAW,UACXC,MAAO,mCACPE,YAAY,GAEd,CACEH,UAAW,OACXC,MAAO,WAGTP,EAAKW,wBAnDC,CACZL,UAAW,SACXC,MAAO,QAASC,IAAK,IACrBI,QAAS,OAEK,CAEdN,UAAW,SACXC,MAAO","file":"highlight/purebasic.js?v=21fd409ca5694de6309f","sourcesContent":["module.exports = // Base deafult colors in PB IDE: background: #FFFFDF; foreground: #000000;\n\nfunction(hljs) {\n var STRINGS = { // PB IDE color: #0080FF (Azure Radiance)\n className: 'string',\n begin: '(~)?\"', end: '\"',\n illegal: '\\\\n'\n };\n var CONSTANTS = { // PB IDE color: #924B72 (Cannon Pink)\n // \"#\" + a letter or underscore + letters, digits or underscores + (optional) \"$\"\n className: 'symbol',\n begin: '#[a-zA-Z_]\\\\w*\\\\$?'\n };\n\n return {\n aliases: ['pb', 'pbi'],\n keywords: // PB IDE color: #006666 (Blue Stone) + Bold\n // Keywords from all version of PureBASIC 5.00 upward ...\n 'Align And Array As Break CallDebugger Case CompilerCase CompilerDefault ' +\n 'CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError ' +\n 'CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug ' +\n 'DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default ' +\n 'Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM ' +\n 'EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration ' +\n 'EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect ' +\n 'EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends ' +\n 'FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC ' +\n 'IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount ' +\n 'Map Module NewList NewMap Next Not Or Procedure ProcedureC ' +\n 'ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim ' +\n 'Read Repeat Restore Return Runtime Select Shared Static Step Structure ' +\n 'StructureUnion Swap Threaded To UndefineMacro Until Until UnuseModule ' +\n 'UseModule Wend While With XIncludeFile XOr',\n contains: [\n // COMMENTS | PB IDE color: #00AAAA (Persian Green)\n hljs.COMMENT(';', '$', {relevance: 0}),\n\n { // PROCEDURES DEFINITIONS\n className: 'function',\n begin: '\\\\b(Procedure|Declare)(C|CDLL|DLL)?\\\\b',\n end: '\\\\(',\n excludeEnd: true,\n returnBegin: true,\n contains: [\n { // PROCEDURE KEYWORDS | PB IDE color: #006666 (Blue Stone) + Bold\n className: 'keyword',\n begin: '(Procedure|Declare)(C|CDLL|DLL)?',\n excludeEnd: true\n },\n { // PROCEDURE RETURN TYPE SETTING | PB IDE color: #000000 (Black)\n className: 'type',\n begin: '\\\\.\\\\w*'\n // end: ' ',\n },\n hljs.UNDERSCORE_TITLE_MODE // PROCEDURE NAME | PB IDE color: #006666 (Blue Stone)\n ]\n },\n STRINGS,\n CONSTANTS\n ]\n };\n}\n\n/* ==============================================================================\n CHANGELOG\n ==============================================================================\n - v.1.2 (2017-05-12)\n -- BUG-FIX: Some keywords were accidentally joyned together. Now fixed.\n - v.1.1 (2017-04-30)\n -- Updated to PureBASIC 5.60.\n -- Keywords list now built by extracting them from the PureBASIC SDK's\n \"SyntaxHilighting.dll\" (from each PureBASIC version). Tokens from each\n version are added to the list, and renamed or removed tokens are kept\n for the sake of covering all versions of the language from PureBASIC\n v5.00 upward. (NOTE: currently, there are no renamed or deprecated\n tokens in the keywords list). For more info, see:\n -- http://www.purebasic.fr/english/viewtopic.php?&p=506269\n -- https://github.com/tajmone/purebasic-archives/tree/master/syntax-highlighting/guidelines\n - v.1.0 (April 2016)\n -- First release\n -- Keywords list taken and adapted from GuShH's (Gustavo Julio Fiorenza)\n PureBasic language file for GeSHi:\n -- https://github.com/easybook/geshi/blob/master/geshi/purebasic.php\n*/;"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/purebasic.js"],"names":["module","exports","hljs","aliases","keywords","contains","COMMENT","relevance","className","begin","end","excludeEnd","returnBegin","UNDERSCORE_TITLE_MODE","illegal"],"mappings":"qFAAAA,EAAOC,QAEP,SAASC,GAYP,MAAO,CACLC,QAAS,CAAC,KAAM,OAChBC,SAEE,6iCAeFC,SAAU,CAERH,EAAKI,QAAQ,IAAK,IAAK,CAACC,UAAW,IAEnC,CACEC,UAAW,WACXC,MAAO,yCACPC,IAAK,MACLC,YAAY,EACZC,aAAa,EACbP,SAAU,CACR,CACEG,UAAW,UACXC,MAAO,mCACPE,YAAY,GAEd,CACEH,UAAW,OACXC,MAAO,WAGTP,EAAKW,wBAnDC,CACZL,UAAW,SACXC,MAAO,QAASC,IAAK,IACrBI,QAAS,OAEK,CAEdN,UAAW,SACXC,MAAO","file":"highlight/purebasic.js?v=6c054c58d6a66b30d59f","sourcesContent":["module.exports = // Base deafult colors in PB IDE: background: #FFFFDF; foreground: #000000;\n\nfunction(hljs) {\n var STRINGS = { // PB IDE color: #0080FF (Azure Radiance)\n className: 'string',\n begin: '(~)?\"', end: '\"',\n illegal: '\\\\n'\n };\n var CONSTANTS = { // PB IDE color: #924B72 (Cannon Pink)\n // \"#\" + a letter or underscore + letters, digits or underscores + (optional) \"$\"\n className: 'symbol',\n begin: '#[a-zA-Z_]\\\\w*\\\\$?'\n };\n\n return {\n aliases: ['pb', 'pbi'],\n keywords: // PB IDE color: #006666 (Blue Stone) + Bold\n // Keywords from all version of PureBASIC 5.00 upward ...\n 'Align And Array As Break CallDebugger Case CompilerCase CompilerDefault ' +\n 'CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError ' +\n 'CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug ' +\n 'DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default ' +\n 'Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM ' +\n 'EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration ' +\n 'EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect ' +\n 'EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends ' +\n 'FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC ' +\n 'IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount ' +\n 'Map Module NewList NewMap Next Not Or Procedure ProcedureC ' +\n 'ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim ' +\n 'Read Repeat Restore Return Runtime Select Shared Static Step Structure ' +\n 'StructureUnion Swap Threaded To UndefineMacro Until Until UnuseModule ' +\n 'UseModule Wend While With XIncludeFile XOr',\n contains: [\n // COMMENTS | PB IDE color: #00AAAA (Persian Green)\n hljs.COMMENT(';', '$', {relevance: 0}),\n\n { // PROCEDURES DEFINITIONS\n className: 'function',\n begin: '\\\\b(Procedure|Declare)(C|CDLL|DLL)?\\\\b',\n end: '\\\\(',\n excludeEnd: true,\n returnBegin: true,\n contains: [\n { // PROCEDURE KEYWORDS | PB IDE color: #006666 (Blue Stone) + Bold\n className: 'keyword',\n begin: '(Procedure|Declare)(C|CDLL|DLL)?',\n excludeEnd: true\n },\n { // PROCEDURE RETURN TYPE SETTING | PB IDE color: #000000 (Black)\n className: 'type',\n begin: '\\\\.\\\\w*'\n // end: ' ',\n },\n hljs.UNDERSCORE_TITLE_MODE // PROCEDURE NAME | PB IDE color: #006666 (Blue Stone)\n ]\n },\n STRINGS,\n CONSTANTS\n ]\n };\n}\n\n/* ==============================================================================\n CHANGELOG\n ==============================================================================\n - v.1.2 (2017-05-12)\n -- BUG-FIX: Some keywords were accidentally joyned together. Now fixed.\n - v.1.1 (2017-04-30)\n -- Updated to PureBASIC 5.60.\n -- Keywords list now built by extracting them from the PureBASIC SDK's\n \"SyntaxHilighting.dll\" (from each PureBASIC version). Tokens from each\n version are added to the list, and renamed or removed tokens are kept\n for the sake of covering all versions of the language from PureBASIC\n v5.00 upward. (NOTE: currently, there are no renamed or deprecated\n tokens in the keywords list). For more info, see:\n -- http://www.purebasic.fr/english/viewtopic.php?&p=506269\n -- https://github.com/tajmone/purebasic-archives/tree/master/syntax-highlighting/guidelines\n - v.1.0 (April 2016)\n -- First release\n -- Keywords list taken and adapted from GuShH's (Gustavo Julio Fiorenza)\n PureBasic language file for GeSHi:\n -- https://github.com/easybook/geshi/blob/master/geshi/purebasic.php\n*/;"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/python.js b/js/highlight/python.js
index 3868f2b63..c7cb6e8b2 100644
--- a/js/highlight/python.js
+++ b/js/highlight/python.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[137],{503:function(e,n){e.exports=function(e){var n={keyword:"and elif is global as in if from raise for except finally print import pass return exec else break not with class assert yield try while continue del or def lambda async await nonlocal|10",built_in:"Ellipsis NotImplemented",literal:"False None True"},a={className:"meta",begin:/^(>>>|\.\.\.) /},i={className:"subst",begin:/\{/,end:/\}/,keywords:n,illegal:/#/},s={begin:/\{\{/,relevance:0},r={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE,a],relevance:10},{begin:/(u|b)?r?"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,a],relevance:10},{begin:/(fr|rf|f)'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE,a,s,i]},{begin:/(fr|rf|f)"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,a,s,i]},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)"/,end:/"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)"/,end:/"/},{begin:/(fr|rf|f)'/,end:/'/,contains:[e.BACKSLASH_ESCAPE,s,i]},{begin:/(fr|rf|f)"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,s,i]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},l={className:"number",relevance:0,variants:[{begin:e.BINARY_NUMBER_RE+"[lLjJ]?"},{begin:"\\b(0o[0-7]+)[lLjJ]?"},{begin:e.C_NUMBER_RE+"[lLjJ]?"}]},t={className:"params",begin:/\(/,end:/\)/,contains:["self",a,l,r,e.HASH_COMMENT_MODE]};return i.contains=[r,l,a],{aliases:["py","gyp","ipython"],keywords:n,illegal:/(<\/|->|\?)|=>/,contains:[a,l,r,e.HASH_COMMENT_MODE,{variants:[{className:"function",beginKeywords:"def"},{className:"class",beginKeywords:"class"}],end:/:/,illegal:/[${=;\n,]/,contains:[e.UNDERSCORE_TITLE_MODE,t,{begin:/->/,endsWithParent:!0,keywords:"None"}]},{className:"meta",begin:/^[\t ]*@/,end:/$/},{begin:/\b(print|exec)\(/}]}}}}]);
-//# sourceMappingURL=python.js.map?v=297b9577b7cfff307bf0 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[137],{504:function(e,n){e.exports=function(e){var n={keyword:"and elif is global as in if from raise for except finally print import pass return exec else break not with class assert yield try while continue del or def lambda async await nonlocal|10",built_in:"Ellipsis NotImplemented",literal:"False None True"},a={className:"meta",begin:/^(>>>|\.\.\.) /},i={className:"subst",begin:/\{/,end:/\}/,keywords:n,illegal:/#/},s={begin:/\{\{/,relevance:0},r={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE,a],relevance:10},{begin:/(u|b)?r?"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,a],relevance:10},{begin:/(fr|rf|f)'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE,a,s,i]},{begin:/(fr|rf|f)"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,a,s,i]},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)"/,end:/"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)"/,end:/"/},{begin:/(fr|rf|f)'/,end:/'/,contains:[e.BACKSLASH_ESCAPE,s,i]},{begin:/(fr|rf|f)"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,s,i]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},l={className:"number",relevance:0,variants:[{begin:e.BINARY_NUMBER_RE+"[lLjJ]?"},{begin:"\\b(0o[0-7]+)[lLjJ]?"},{begin:e.C_NUMBER_RE+"[lLjJ]?"}]},t={className:"params",begin:/\(/,end:/\)/,contains:["self",a,l,r,e.HASH_COMMENT_MODE]};return i.contains=[r,l,a],{aliases:["py","gyp","ipython"],keywords:n,illegal:/(<\/|->|\?)|=>/,contains:[a,l,{beginKeywords:"if",relevance:0},r,e.HASH_COMMENT_MODE,{variants:[{className:"function",beginKeywords:"def"},{className:"class",beginKeywords:"class"}],end:/:/,illegal:/[${=;\n,]/,contains:[e.UNDERSCORE_TITLE_MODE,t,{begin:/->/,endsWithParent:!0,keywords:"None"}]},{className:"meta",begin:/^[\t ]*@/,end:/$/},{begin:/\b(print|exec)\(/}]}}}}]);
+//# sourceMappingURL=python.js.map?v=84414e9cc771f4cd88cf \ No newline at end of file
diff --git a/js/highlight/python.js.map b/js/highlight/python.js.map
index f38499b73..5d5bd9235 100644
--- a/js/highlight/python.js.map
+++ b/js/highlight/python.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/python.js"],"names":["module","exports","hljs","KEYWORDS","keyword","built_in","literal","PROMPT","className","begin","SUBST","end","keywords","illegal","LITERAL_BRACKET","relevance","STRING","contains","BACKSLASH_ESCAPE","variants","APOS_STRING_MODE","QUOTE_STRING_MODE","NUMBER","BINARY_NUMBER_RE","C_NUMBER_RE","PARAMS","HASH_COMMENT_MODE","aliases","beginKeywords","UNDERSCORE_TITLE_MODE","endsWithParent"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QACE,8LAGFC,SACE,0BACFC,QAAS,mBAEPC,EAAS,CACXC,UAAW,OAASC,MAAO,kBAEzBC,EAAQ,CACVF,UAAW,QACXC,MAAO,KAAME,IAAK,KAClBC,SAAUT,EACVU,QAAS,KAEPC,EAAkB,CACpBL,MAAO,OACPM,UAAW,GAETC,EAAS,CACXR,UAAW,SACXS,SAAU,CAACf,EAAKgB,kBAChBC,SAAU,CACR,CACEV,MAAO,cAAeE,IAAK,MAC3BM,SAAU,CAACf,EAAKgB,iBAAkBX,GAClCQ,UAAW,IAEb,CACEN,MAAO,cAAeE,IAAK,MAC3BM,SAAU,CAACf,EAAKgB,iBAAkBX,GAClCQ,UAAW,IAEb,CACEN,MAAO,eAAgBE,IAAK,MAC5BM,SAAU,CAACf,EAAKgB,iBAAkBX,EAAQO,EAAiBJ,IAE7D,CACED,MAAO,eAAgBE,IAAK,MAC5BM,SAAU,CAACf,EAAKgB,iBAAkBX,EAAQO,EAAiBJ,IAE7D,CACED,MAAO,YAAaE,IAAK,IACzBI,UAAW,IAEb,CACEN,MAAO,YAAaE,IAAK,IACzBI,UAAW,IAEb,CACEN,MAAO,UAAWE,IAAK,KAEzB,CACEF,MAAO,UAAWE,IAAK,KAEzB,CACEF,MAAO,aAAcE,IAAK,IAC1BM,SAAU,CAACf,EAAKgB,iBAAkBJ,EAAiBJ,IAErD,CACED,MAAO,aAAcE,IAAK,IAC1BM,SAAU,CAACf,EAAKgB,iBAAkBJ,EAAiBJ,IAErDR,EAAKkB,iBACLlB,EAAKmB,oBAGLC,EAAS,CACXd,UAAW,SAAUO,UAAW,EAChCI,SAAU,CACR,CAACV,MAAOP,EAAKqB,iBAAmB,WAChC,CAACd,MAAO,wBACR,CAACA,MAAOP,EAAKsB,YAAc,aAG3BC,EAAS,CACXjB,UAAW,SACXC,MAAO,KAAME,IAAK,KAClBM,SAAU,CAAC,OAAQV,EAAQe,EAAQN,EAAQd,EAAKwB,oBAGlD,OADAhB,EAAMO,SAAW,CAACD,EAAQM,EAAQf,GAC3B,CACLoB,QAAS,CAAC,KAAM,MAAO,WACvBf,SAAUT,EACVU,QAAS,iBACTI,SAAU,CACRV,EACAe,EACAN,EACAd,EAAKwB,kBACL,CACEP,SAAU,CACR,CAACX,UAAW,WAAYoB,cAAe,OACvC,CAACpB,UAAW,QAASoB,cAAe,UAEtCjB,IAAK,IACLE,QAAS,YACTI,SAAU,CACRf,EAAK2B,sBACLJ,EACA,CACEhB,MAAO,KAAMqB,gBAAgB,EAC7BlB,SAAU,UAIhB,CACEJ,UAAW,OACXC,MAAO,WAAYE,IAAK,KAE1B,CACEF,MAAO","file":"highlight/python.js?v=297b9577b7cfff307bf0","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n 'and elif is global as in if from raise for except finally print import pass return ' +\n 'exec else break not with class assert yield try while continue del or def lambda ' +\n 'async await nonlocal|10',\n built_in:\n 'Ellipsis NotImplemented',\n literal: 'False None True'\n };\n var PROMPT = {\n className: 'meta', begin: /^(>>>|\\.\\.\\.) /\n };\n var SUBST = {\n className: 'subst',\n begin: /\\{/, end: /\\}/,\n keywords: KEYWORDS,\n illegal: /#/\n };\n var LITERAL_BRACKET = {\n begin: /\\{\\{/,\n relevance: 0\n };\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {\n begin: /(u|b)?r?'''/, end: /'''/,\n contains: [hljs.BACKSLASH_ESCAPE, PROMPT],\n relevance: 10\n },\n {\n begin: /(u|b)?r?\"\"\"/, end: /\"\"\"/,\n contains: [hljs.BACKSLASH_ESCAPE, PROMPT],\n relevance: 10\n },\n {\n begin: /(fr|rf|f)'''/, end: /'''/,\n contains: [hljs.BACKSLASH_ESCAPE, PROMPT, LITERAL_BRACKET, SUBST]\n },\n {\n begin: /(fr|rf|f)\"\"\"/, end: /\"\"\"/,\n contains: [hljs.BACKSLASH_ESCAPE, PROMPT, LITERAL_BRACKET, SUBST]\n },\n {\n begin: /(u|r|ur)'/, end: /'/,\n relevance: 10\n },\n {\n begin: /(u|r|ur)\"/, end: /\"/,\n relevance: 10\n },\n {\n begin: /(b|br)'/, end: /'/\n },\n {\n begin: /(b|br)\"/, end: /\"/\n },\n {\n begin: /(fr|rf|f)'/, end: /'/,\n contains: [hljs.BACKSLASH_ESCAPE, LITERAL_BRACKET, SUBST]\n },\n {\n begin: /(fr|rf|f)\"/, end: /\"/,\n contains: [hljs.BACKSLASH_ESCAPE, LITERAL_BRACKET, SUBST]\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n };\n var NUMBER = {\n className: 'number', relevance: 0,\n variants: [\n {begin: hljs.BINARY_NUMBER_RE + '[lLjJ]?'},\n {begin: '\\\\b(0o[0-7]+)[lLjJ]?'},\n {begin: hljs.C_NUMBER_RE + '[lLjJ]?'}\n ]\n };\n var PARAMS = {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n contains: ['self', PROMPT, NUMBER, STRING, hljs.HASH_COMMENT_MODE]\n };\n SUBST.contains = [STRING, NUMBER, PROMPT];\n return {\n aliases: ['py', 'gyp', 'ipython'],\n keywords: KEYWORDS,\n illegal: /(<\\/|->|\\?)|=>/,\n contains: [\n PROMPT,\n NUMBER,\n STRING,\n hljs.HASH_COMMENT_MODE,\n {\n variants: [\n {className: 'function', beginKeywords: 'def'},\n {className: 'class', beginKeywords: 'class'}\n ],\n end: /:/,\n illegal: /[${=;\\n,]/,\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n PARAMS,\n {\n begin: /->/, endsWithParent: true,\n keywords: 'None'\n }\n ]\n },\n {\n className: 'meta',\n begin: /^[\\t ]*@/, end: /$/\n },\n {\n begin: /\\b(print|exec)\\(/ // don’t highlight keywords-turned-functions in Python 3\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/python.js"],"names":["module","exports","hljs","KEYWORDS","keyword","built_in","literal","PROMPT","className","begin","SUBST","end","keywords","illegal","LITERAL_BRACKET","relevance","STRING","contains","BACKSLASH_ESCAPE","variants","APOS_STRING_MODE","QUOTE_STRING_MODE","NUMBER","BINARY_NUMBER_RE","C_NUMBER_RE","PARAMS","HASH_COMMENT_MODE","aliases","beginKeywords","UNDERSCORE_TITLE_MODE","endsWithParent"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,CACbC,QACE,8LAGFC,SACE,0BACFC,QAAS,mBAEPC,EAAS,CACXC,UAAW,OAASC,MAAO,kBAEzBC,EAAQ,CACVF,UAAW,QACXC,MAAO,KAAME,IAAK,KAClBC,SAAUT,EACVU,QAAS,KAEPC,EAAkB,CACpBL,MAAO,OACPM,UAAW,GAETC,EAAS,CACXR,UAAW,SACXS,SAAU,CAACf,EAAKgB,kBAChBC,SAAU,CACR,CACEV,MAAO,cAAeE,IAAK,MAC3BM,SAAU,CAACf,EAAKgB,iBAAkBX,GAClCQ,UAAW,IAEb,CACEN,MAAO,cAAeE,IAAK,MAC3BM,SAAU,CAACf,EAAKgB,iBAAkBX,GAClCQ,UAAW,IAEb,CACEN,MAAO,eAAgBE,IAAK,MAC5BM,SAAU,CAACf,EAAKgB,iBAAkBX,EAAQO,EAAiBJ,IAE7D,CACED,MAAO,eAAgBE,IAAK,MAC5BM,SAAU,CAACf,EAAKgB,iBAAkBX,EAAQO,EAAiBJ,IAE7D,CACED,MAAO,YAAaE,IAAK,IACzBI,UAAW,IAEb,CACEN,MAAO,YAAaE,IAAK,IACzBI,UAAW,IAEb,CACEN,MAAO,UAAWE,IAAK,KAEzB,CACEF,MAAO,UAAWE,IAAK,KAEzB,CACEF,MAAO,aAAcE,IAAK,IAC1BM,SAAU,CAACf,EAAKgB,iBAAkBJ,EAAiBJ,IAErD,CACED,MAAO,aAAcE,IAAK,IAC1BM,SAAU,CAACf,EAAKgB,iBAAkBJ,EAAiBJ,IAErDR,EAAKkB,iBACLlB,EAAKmB,oBAGLC,EAAS,CACXd,UAAW,SAAUO,UAAW,EAChCI,SAAU,CACR,CAACV,MAAOP,EAAKqB,iBAAmB,WAChC,CAACd,MAAO,wBACR,CAACA,MAAOP,EAAKsB,YAAc,aAG3BC,EAAS,CACXjB,UAAW,SACXC,MAAO,KAAME,IAAK,KAClBM,SAAU,CAAC,OAAQV,EAAQe,EAAQN,EAAQd,EAAKwB,oBAGlD,OADAhB,EAAMO,SAAW,CAACD,EAAQM,EAAQf,GAC3B,CACLoB,QAAS,CAAC,KAAM,MAAO,WACvBf,SAAUT,EACVU,QAAS,iBACTI,SAAU,CACRV,EACAe,EAGA,CAAEM,cAAe,KAAMb,UAAW,GAClCC,EACAd,EAAKwB,kBACL,CACEP,SAAU,CACR,CAACX,UAAW,WAAYoB,cAAe,OACvC,CAACpB,UAAW,QAASoB,cAAe,UAEtCjB,IAAK,IACLE,QAAS,YACTI,SAAU,CACRf,EAAK2B,sBACLJ,EACA,CACEhB,MAAO,KAAMqB,gBAAgB,EAC7BlB,SAAU,UAIhB,CACEJ,UAAW,OACXC,MAAO,WAAYE,IAAK,KAE1B,CACEF,MAAO","file":"highlight/python.js?v=84414e9cc771f4cd88cf","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n 'and elif is global as in if from raise for except finally print import pass return ' +\n 'exec else break not with class assert yield try while continue del or def lambda ' +\n 'async await nonlocal|10',\n built_in:\n 'Ellipsis NotImplemented',\n literal: 'False None True'\n };\n var PROMPT = {\n className: 'meta', begin: /^(>>>|\\.\\.\\.) /\n };\n var SUBST = {\n className: 'subst',\n begin: /\\{/, end: /\\}/,\n keywords: KEYWORDS,\n illegal: /#/\n };\n var LITERAL_BRACKET = {\n begin: /\\{\\{/,\n relevance: 0\n };\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {\n begin: /(u|b)?r?'''/, end: /'''/,\n contains: [hljs.BACKSLASH_ESCAPE, PROMPT],\n relevance: 10\n },\n {\n begin: /(u|b)?r?\"\"\"/, end: /\"\"\"/,\n contains: [hljs.BACKSLASH_ESCAPE, PROMPT],\n relevance: 10\n },\n {\n begin: /(fr|rf|f)'''/, end: /'''/,\n contains: [hljs.BACKSLASH_ESCAPE, PROMPT, LITERAL_BRACKET, SUBST]\n },\n {\n begin: /(fr|rf|f)\"\"\"/, end: /\"\"\"/,\n contains: [hljs.BACKSLASH_ESCAPE, PROMPT, LITERAL_BRACKET, SUBST]\n },\n {\n begin: /(u|r|ur)'/, end: /'/,\n relevance: 10\n },\n {\n begin: /(u|r|ur)\"/, end: /\"/,\n relevance: 10\n },\n {\n begin: /(b|br)'/, end: /'/\n },\n {\n begin: /(b|br)\"/, end: /\"/\n },\n {\n begin: /(fr|rf|f)'/, end: /'/,\n contains: [hljs.BACKSLASH_ESCAPE, LITERAL_BRACKET, SUBST]\n },\n {\n begin: /(fr|rf|f)\"/, end: /\"/,\n contains: [hljs.BACKSLASH_ESCAPE, LITERAL_BRACKET, SUBST]\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n };\n var NUMBER = {\n className: 'number', relevance: 0,\n variants: [\n {begin: hljs.BINARY_NUMBER_RE + '[lLjJ]?'},\n {begin: '\\\\b(0o[0-7]+)[lLjJ]?'},\n {begin: hljs.C_NUMBER_RE + '[lLjJ]?'}\n ]\n };\n var PARAMS = {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n contains: ['self', PROMPT, NUMBER, STRING, hljs.HASH_COMMENT_MODE]\n };\n SUBST.contains = [STRING, NUMBER, PROMPT];\n return {\n aliases: ['py', 'gyp', 'ipython'],\n keywords: KEYWORDS,\n illegal: /(<\\/|->|\\?)|=>/,\n contains: [\n PROMPT,\n NUMBER,\n // eat \"if\" prior to string so that it won't accidentally be\n // labeled as an f-string as in:\n { beginKeywords: \"if\", relevance: 0 },\n STRING,\n hljs.HASH_COMMENT_MODE,\n {\n variants: [\n {className: 'function', beginKeywords: 'def'},\n {className: 'class', beginKeywords: 'class'}\n ],\n end: /:/,\n illegal: /[${=;\\n,]/,\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n PARAMS,\n {\n begin: /->/, endsWithParent: true,\n keywords: 'None'\n }\n ]\n },\n {\n className: 'meta',\n begin: /^[\\t ]*@/, end: /$/\n },\n {\n begin: /\\b(print|exec)\\(/ // don’t highlight keywords-turned-functions in Python 3\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/q.js b/js/highlight/q.js
index 990a58341..6ba4f01fb 100644
--- a/js/highlight/q.js
+++ b/js/highlight/q.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[138],{504:function(e,s){e.exports=function(e){return{aliases:["k","kdb"],keywords:{keyword:"do while select delete by update from",literal:"0b 1b",built_in:"neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum",type:"`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid"},lexemes:/(`?)[A-Za-z0-9_]+\b/,contains:[e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}}}]);
-//# sourceMappingURL=q.js.map?v=72ed8b5fc8665e5f06a9 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[138],{505:function(e,s){e.exports=function(e){return{aliases:["k","kdb"],keywords:{keyword:"do while select delete by update from",literal:"0b 1b",built_in:"neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum",type:"`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid"},lexemes:/(`?)[A-Za-z0-9_]+\b/,contains:[e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}}}]);
+//# sourceMappingURL=q.js.map?v=cfc52fa64ad538a93956 \ No newline at end of file
diff --git a/js/highlight/q.js.map b/js/highlight/q.js.map
index 347e34420..40527a4fb 100644
--- a/js/highlight/q.js.map
+++ b/js/highlight/q.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/q.js"],"names":["module","exports","hljs","aliases","keywords","keyword","literal","built_in","type","lexemes","contains","C_LINE_COMMENT_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAWxB,MAAO,CACPC,QAAQ,CAAC,IAAK,OACdC,SAZiB,CACjBC,QACE,wCACFC,QACE,QACFC,SACE,qtBACFC,KACE,8IAKFC,QAAS,sBACTC,SAAU,CACVR,EAAKS,oBACHT,EAAKU,kBACLV,EAAKW","file":"highlight/q.js?v=72ed8b5fc8665e5f06a9","sourcesContent":["module.exports = function(hljs) {\n var Q_KEYWORDS = {\n keyword:\n 'do while select delete by update from',\n literal:\n '0b 1b',\n built_in:\n 'neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum',\n type:\n '`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid'\n };\n return {\n aliases:['k', 'kdb'],\n keywords: Q_KEYWORDS,\n lexemes: /(`?)[A-Za-z0-9_]+\\b/,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/q.js"],"names":["module","exports","hljs","aliases","keywords","keyword","literal","built_in","type","lexemes","contains","C_LINE_COMMENT_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAWxB,MAAO,CACPC,QAAQ,CAAC,IAAK,OACdC,SAZiB,CACjBC,QACE,wCACFC,QACE,QACFC,SACE,qtBACFC,KACE,8IAKFC,QAAS,sBACTC,SAAU,CACVR,EAAKS,oBACHT,EAAKU,kBACLV,EAAKW","file":"highlight/q.js?v=cfc52fa64ad538a93956","sourcesContent":["module.exports = function(hljs) {\n var Q_KEYWORDS = {\n keyword:\n 'do while select delete by update from',\n literal:\n '0b 1b',\n built_in:\n 'neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum',\n type:\n '`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid'\n };\n return {\n aliases:['k', 'kdb'],\n keywords: Q_KEYWORDS,\n lexemes: /(`?)[A-Za-z0-9_]+\\b/,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/qml.js b/js/highlight/qml.js
index c54490c96..1363c0db7 100644
--- a/js/highlight/qml.js
+++ b/js/highlight/qml.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[139],{505:function(e,n){e.exports=function(e){var n="[a-zA-Z_][a-zA-Z0-9\\._]*",r={className:"attribute",begin:"\\bid\\s*:",starts:{className:"string",end:n,returnEnd:!1}},a={begin:n+"\\s*:",returnBegin:!0,contains:[{className:"attribute",begin:n,end:"\\s*:",excludeEnd:!0,relevance:0}],relevance:0},t={begin:n+"\\s*{",end:"{",returnBegin:!0,relevance:0,contains:[e.inherit(e.TITLE_MODE,{begin:n})]};return{aliases:["qt"],case_insensitive:!1,keywords:{keyword:"in of on if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await import",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Behavior bool color coordinate date double enumeration font geocircle georectangle geoshape int list matrix4x4 parent point quaternion real rect size string url variant vector2d vector3d vector4dPromise"},contains:[{className:"meta",begin:/^\s*['"]use (strict|asm)['"]/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:e.C_NUMBER_RE}],relevance:0},{begin:"("+e.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{begin:/</,end:/>\s*[);\]]/,relevance:0,subLanguage:"xml"}],relevance:0},{className:"keyword",begin:"\\bsignal\\b",starts:{className:"string",end:"(\\(|:|=|;|,|//|/\\*|$)",returnEnd:!0}},{className:"keyword",begin:"\\bproperty\\b",starts:{className:"string",end:"(:|=|;|,|//|/\\*|$)",returnEnd:!0}},{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}],illegal:/\[|%/},{begin:"\\."+e.IDENT_RE,relevance:0},r,a,t],illegal:/#/}}}}]);
-//# sourceMappingURL=qml.js.map?v=c0c830adc1a56d1b859d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[139],{506:function(e,n){e.exports=function(e){var n="[a-zA-Z_][a-zA-Z0-9\\._]*",r={className:"attribute",begin:"\\bid\\s*:",starts:{className:"string",end:n,returnEnd:!1}},a={begin:n+"\\s*:",returnBegin:!0,contains:[{className:"attribute",begin:n,end:"\\s*:",excludeEnd:!0,relevance:0}],relevance:0},t={begin:n+"\\s*{",end:"{",returnBegin:!0,relevance:0,contains:[e.inherit(e.TITLE_MODE,{begin:n})]};return{aliases:["qt"],case_insensitive:!1,keywords:{keyword:"in of on if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await import",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Behavior bool color coordinate date double enumeration font geocircle georectangle geoshape int list matrix4x4 parent point quaternion real rect size string url variant vector2d vector3d vector4dPromise"},contains:[{className:"meta",begin:/^\s*['"]use (strict|asm)['"]/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:e.C_NUMBER_RE}],relevance:0},{begin:"("+e.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{begin:/</,end:/>\s*[);\]]/,relevance:0,subLanguage:"xml"}],relevance:0},{className:"keyword",begin:"\\bsignal\\b",starts:{className:"string",end:"(\\(|:|=|;|,|//|/\\*|$)",returnEnd:!0}},{className:"keyword",begin:"\\bproperty\\b",starts:{className:"string",end:"(:|=|;|,|//|/\\*|$)",returnEnd:!0}},{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}],illegal:/\[|%/},{begin:"\\."+e.IDENT_RE,relevance:0},r,a,t],illegal:/#/}}}}]);
+//# sourceMappingURL=qml.js.map?v=a084023e960fba8dd47a \ No newline at end of file
diff --git a/js/highlight/qml.js.map b/js/highlight/qml.js.map
index afb086937..31f5f8f7d 100644
--- a/js/highlight/qml.js.map
+++ b/js/highlight/qml.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/qml.js"],"names":["module","exports","hljs","QML_IDENT_RE","ID_ID","className","begin","starts","end","returnEnd","QML_ATTRIBUTE","returnBegin","contains","excludeEnd","relevance","QML_OBJECT","inherit","TITLE_MODE","aliases","case_insensitive","keywords","keyword","literal","built_in","APOS_STRING_MODE","QUOTE_STRING_MODE","BACKSLASH_ESCAPE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","variants","C_NUMBER_RE","RE_STARTERS_RE","REGEXP_MODE","subLanguage","beginKeywords","excludeBegin","illegal","IDENT_RE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAqBIC,EAAe,4BA4BfC,EAAQ,CACRC,UAAW,YACXC,MAAO,aACPC,OAAQ,CACNF,UAAW,SACXG,IAAKL,EACLM,WAAW,IAQbC,EAAgB,CAClBJ,MAAOH,EAAe,QACtBQ,aAAa,EACbC,SAAU,CACR,CACEP,UAAW,YACXC,MAAOH,EACPK,IAAK,QACLK,YAAY,EACZC,UAAW,IAGfA,UAAW,GAKTC,EAAa,CACfT,MAAOH,EAAe,QAASK,IAAK,IACpCG,aAAa,EACbG,UAAW,EACXF,SAAU,CACRV,EAAKc,QAAQd,EAAKe,WAAY,CAACX,MAAOH,MAI1C,MAAO,CACLe,QAAS,CAAC,MACVC,kBAAkB,EAClBC,SA5Fa,CACXC,QACE,uNAGFC,QACE,yCACFC,SACE,8sBAqFJX,SAAU,CACR,CACEP,UAAW,OACXC,MAAO,gCAETJ,EAAKsB,iBACLtB,EAAKuB,kBACL,CACEpB,UAAW,SACXC,MAAO,IAAKE,IAAK,IACjBI,SAAU,CACRV,EAAKwB,iBACL,CACErB,UAAW,QACXC,MAAO,SAAUE,IAAK,SAI5BN,EAAKyB,oBACLzB,EAAK0B,qBACL,CACEvB,UAAW,SACXwB,SAAU,CACR,CAAEvB,MAAO,mBACT,CAAEA,MAAO,oBACT,CAAEA,MAAOJ,EAAK4B,cAEhBhB,UAAW,GAEb,CACER,MAAO,IAAMJ,EAAK6B,eAAiB,kCACnCX,SAAU,oBACVR,SAAU,CACRV,EAAKyB,oBACLzB,EAAK0B,qBACL1B,EAAK8B,YACL,CACE1B,MAAO,IAAKE,IAAK,aACjBM,UAAW,EACXmB,YAAa,QAGjBnB,UAAW,GAlGJ,CACTT,UAAW,UACXC,MAAO,eACPC,OAAQ,CACNF,UAAW,SACXG,IAAK,0BACLC,WAAW,IAlBF,CACXJ,UAAW,UACXC,MAAO,iBACPC,OAAQ,CACNF,UAAW,SACXG,IAAK,sBACLC,WAAW,IA4Gb,CACEJ,UAAW,WACX6B,cAAe,WAAY1B,IAAK,KAAMK,YAAY,EAClDD,SAAU,CACRV,EAAKc,QAAQd,EAAKe,WAAY,CAACX,MAAO,6BACtC,CACED,UAAW,SACXC,MAAO,KAAME,IAAK,KAClB2B,cAAc,EACdtB,YAAY,EACZD,SAAU,CACRV,EAAKyB,oBACLzB,EAAK0B,wBAIXQ,QAAS,QAEX,CACE9B,MAAO,MAAQJ,EAAKmC,SAAUvB,UAAW,GAE3CV,EACAM,EACAK,GAEFqB,QAAS","file":"highlight/qml.js?v=c0c830adc1a56d1b859d","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n 'in of on if for while finally var new function do return void else break catch ' +\n 'instanceof with throw case default try this switch continue typeof delete ' +\n 'let yield const export super debugger as async await import',\n literal:\n 'true false null undefined NaN Infinity',\n built_in:\n 'eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent ' +\n 'encodeURI encodeURIComponent escape unescape Object Function Boolean Error ' +\n 'EvalError InternalError RangeError ReferenceError StopIteration SyntaxError ' +\n 'TypeError URIError Number Math Date String RegExp Array Float32Array ' +\n 'Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array ' +\n 'Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require ' +\n 'module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect ' +\n 'Behavior bool color coordinate date double enumeration font geocircle georectangle ' +\n 'geoshape int list matrix4x4 parent point quaternion real rect ' +\n 'size string url variant vector2d vector3d vector4d' +\n 'Promise'\n };\n\n var QML_IDENT_RE = '[a-zA-Z_][a-zA-Z0-9\\\\._]*';\n\n // Isolate property statements. Ends at a :, =, ;, ,, a comment or end of line.\n // Use property class.\n var PROPERTY = {\n className: 'keyword',\n begin: '\\\\bproperty\\\\b',\n starts: {\n className: 'string',\n end: '(:|=|;|,|//|/\\\\*|$)',\n returnEnd: true\n }\n };\n\n // Isolate signal statements. Ends at a ) a comment or end of line.\n // Use property class.\n var SIGNAL = {\n className: 'keyword',\n begin: '\\\\bsignal\\\\b',\n starts: {\n className: 'string',\n end: '(\\\\(|:|=|;|,|//|/\\\\*|$)',\n returnEnd: true\n }\n };\n\n // id: is special in QML. When we see id: we want to mark the id: as attribute and\n // emphasize the token following.\n var ID_ID = {\n className: 'attribute',\n begin: '\\\\bid\\\\s*:',\n starts: {\n className: 'string',\n end: QML_IDENT_RE,\n returnEnd: false\n }\n };\n\n // Find QML object attribute. An attribute is a QML identifier followed by :.\n // Unfortunately it's hard to know where it ends, as it may contain scalars,\n // objects, object definitions, or javascript. The true end is either when the parent\n // ends or the next attribute is detected.\n var QML_ATTRIBUTE = {\n begin: QML_IDENT_RE + '\\\\s*:',\n returnBegin: true,\n contains: [\n {\n className: 'attribute',\n begin: QML_IDENT_RE,\n end: '\\\\s*:',\n excludeEnd: true,\n relevance: 0\n }\n ],\n relevance: 0\n };\n\n // Find QML object. A QML object is a QML identifier followed by { and ends at the matching }.\n // All we really care about is finding IDENT followed by { and just mark up the IDENT and ignore the {.\n var QML_OBJECT = {\n begin: QML_IDENT_RE + '\\\\s*{', end: '{',\n returnBegin: true,\n relevance: 0,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: QML_IDENT_RE})\n ]\n };\n\n return {\n aliases: ['qt'],\n case_insensitive: false,\n keywords: KEYWORDS,\n contains: [\n {\n className: 'meta',\n begin: /^\\s*['\"]use (strict|asm)['\"]/\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n { // template string\n className: 'string',\n begin: '`', end: '`',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n {\n className: 'subst',\n begin: '\\\\$\\\\{', end: '\\\\}'\n }\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'number',\n variants: [\n { begin: '\\\\b(0[bB][01]+)' },\n { begin: '\\\\b(0[oO][0-7]+)' },\n { begin: hljs.C_NUMBER_RE }\n ],\n relevance: 0\n },\n { // \"value\" container\n begin: '(' + hljs.RE_STARTERS_RE + '|\\\\b(case|return|throw)\\\\b)\\\\s*',\n keywords: 'return throw case',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.REGEXP_MODE,\n { // E4X / JSX\n begin: /</, end: />\\s*[);\\]]/,\n relevance: 0,\n subLanguage: 'xml'\n }\n ],\n relevance: 0\n },\n SIGNAL,\n PROPERTY,\n {\n className: 'function',\n beginKeywords: 'function', end: /\\{/, excludeEnd: true,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: /[A-Za-z$_][0-9A-Za-z$_]*/}),\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n }\n ],\n illegal: /\\[|%/\n },\n {\n begin: '\\\\.' + hljs.IDENT_RE, relevance: 0 // hack: prevents detection of keywords after dots\n },\n ID_ID,\n QML_ATTRIBUTE,\n QML_OBJECT\n ],\n illegal: /#/\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/qml.js"],"names":["module","exports","hljs","QML_IDENT_RE","ID_ID","className","begin","starts","end","returnEnd","QML_ATTRIBUTE","returnBegin","contains","excludeEnd","relevance","QML_OBJECT","inherit","TITLE_MODE","aliases","case_insensitive","keywords","keyword","literal","built_in","APOS_STRING_MODE","QUOTE_STRING_MODE","BACKSLASH_ESCAPE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","variants","C_NUMBER_RE","RE_STARTERS_RE","REGEXP_MODE","subLanguage","beginKeywords","excludeBegin","illegal","IDENT_RE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAqBIC,EAAe,4BA4BfC,EAAQ,CACRC,UAAW,YACXC,MAAO,aACPC,OAAQ,CACNF,UAAW,SACXG,IAAKL,EACLM,WAAW,IAQbC,EAAgB,CAClBJ,MAAOH,EAAe,QACtBQ,aAAa,EACbC,SAAU,CACR,CACEP,UAAW,YACXC,MAAOH,EACPK,IAAK,QACLK,YAAY,EACZC,UAAW,IAGfA,UAAW,GAKTC,EAAa,CACfT,MAAOH,EAAe,QAASK,IAAK,IACpCG,aAAa,EACbG,UAAW,EACXF,SAAU,CACRV,EAAKc,QAAQd,EAAKe,WAAY,CAACX,MAAOH,MAI1C,MAAO,CACLe,QAAS,CAAC,MACVC,kBAAkB,EAClBC,SA5Fa,CACXC,QACE,uNAGFC,QACE,yCACFC,SACE,8sBAqFJX,SAAU,CACR,CACEP,UAAW,OACXC,MAAO,gCAETJ,EAAKsB,iBACLtB,EAAKuB,kBACL,CACEpB,UAAW,SACXC,MAAO,IAAKE,IAAK,IACjBI,SAAU,CACRV,EAAKwB,iBACL,CACErB,UAAW,QACXC,MAAO,SAAUE,IAAK,SAI5BN,EAAKyB,oBACLzB,EAAK0B,qBACL,CACEvB,UAAW,SACXwB,SAAU,CACR,CAAEvB,MAAO,mBACT,CAAEA,MAAO,oBACT,CAAEA,MAAOJ,EAAK4B,cAEhBhB,UAAW,GAEb,CACER,MAAO,IAAMJ,EAAK6B,eAAiB,kCACnCX,SAAU,oBACVR,SAAU,CACRV,EAAKyB,oBACLzB,EAAK0B,qBACL1B,EAAK8B,YACL,CACE1B,MAAO,IAAKE,IAAK,aACjBM,UAAW,EACXmB,YAAa,QAGjBnB,UAAW,GAlGJ,CACTT,UAAW,UACXC,MAAO,eACPC,OAAQ,CACNF,UAAW,SACXG,IAAK,0BACLC,WAAW,IAlBF,CACXJ,UAAW,UACXC,MAAO,iBACPC,OAAQ,CACNF,UAAW,SACXG,IAAK,sBACLC,WAAW,IA4Gb,CACEJ,UAAW,WACX6B,cAAe,WAAY1B,IAAK,KAAMK,YAAY,EAClDD,SAAU,CACRV,EAAKc,QAAQd,EAAKe,WAAY,CAACX,MAAO,6BACtC,CACED,UAAW,SACXC,MAAO,KAAME,IAAK,KAClB2B,cAAc,EACdtB,YAAY,EACZD,SAAU,CACRV,EAAKyB,oBACLzB,EAAK0B,wBAIXQ,QAAS,QAEX,CACE9B,MAAO,MAAQJ,EAAKmC,SAAUvB,UAAW,GAE3CV,EACAM,EACAK,GAEFqB,QAAS","file":"highlight/qml.js?v=a084023e960fba8dd47a","sourcesContent":["module.exports = function(hljs) {\n var KEYWORDS = {\n keyword:\n 'in of on if for while finally var new function do return void else break catch ' +\n 'instanceof with throw case default try this switch continue typeof delete ' +\n 'let yield const export super debugger as async await import',\n literal:\n 'true false null undefined NaN Infinity',\n built_in:\n 'eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent ' +\n 'encodeURI encodeURIComponent escape unescape Object Function Boolean Error ' +\n 'EvalError InternalError RangeError ReferenceError StopIteration SyntaxError ' +\n 'TypeError URIError Number Math Date String RegExp Array Float32Array ' +\n 'Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array ' +\n 'Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require ' +\n 'module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect ' +\n 'Behavior bool color coordinate date double enumeration font geocircle georectangle ' +\n 'geoshape int list matrix4x4 parent point quaternion real rect ' +\n 'size string url variant vector2d vector3d vector4d' +\n 'Promise'\n };\n\n var QML_IDENT_RE = '[a-zA-Z_][a-zA-Z0-9\\\\._]*';\n\n // Isolate property statements. Ends at a :, =, ;, ,, a comment or end of line.\n // Use property class.\n var PROPERTY = {\n className: 'keyword',\n begin: '\\\\bproperty\\\\b',\n starts: {\n className: 'string',\n end: '(:|=|;|,|//|/\\\\*|$)',\n returnEnd: true\n }\n };\n\n // Isolate signal statements. Ends at a ) a comment or end of line.\n // Use property class.\n var SIGNAL = {\n className: 'keyword',\n begin: '\\\\bsignal\\\\b',\n starts: {\n className: 'string',\n end: '(\\\\(|:|=|;|,|//|/\\\\*|$)',\n returnEnd: true\n }\n };\n\n // id: is special in QML. When we see id: we want to mark the id: as attribute and\n // emphasize the token following.\n var ID_ID = {\n className: 'attribute',\n begin: '\\\\bid\\\\s*:',\n starts: {\n className: 'string',\n end: QML_IDENT_RE,\n returnEnd: false\n }\n };\n\n // Find QML object attribute. An attribute is a QML identifier followed by :.\n // Unfortunately it's hard to know where it ends, as it may contain scalars,\n // objects, object definitions, or javascript. The true end is either when the parent\n // ends or the next attribute is detected.\n var QML_ATTRIBUTE = {\n begin: QML_IDENT_RE + '\\\\s*:',\n returnBegin: true,\n contains: [\n {\n className: 'attribute',\n begin: QML_IDENT_RE,\n end: '\\\\s*:',\n excludeEnd: true,\n relevance: 0\n }\n ],\n relevance: 0\n };\n\n // Find QML object. A QML object is a QML identifier followed by { and ends at the matching }.\n // All we really care about is finding IDENT followed by { and just mark up the IDENT and ignore the {.\n var QML_OBJECT = {\n begin: QML_IDENT_RE + '\\\\s*{', end: '{',\n returnBegin: true,\n relevance: 0,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: QML_IDENT_RE})\n ]\n };\n\n return {\n aliases: ['qt'],\n case_insensitive: false,\n keywords: KEYWORDS,\n contains: [\n {\n className: 'meta',\n begin: /^\\s*['\"]use (strict|asm)['\"]/\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n { // template string\n className: 'string',\n begin: '`', end: '`',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n {\n className: 'subst',\n begin: '\\\\$\\\\{', end: '\\\\}'\n }\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'number',\n variants: [\n { begin: '\\\\b(0[bB][01]+)' },\n { begin: '\\\\b(0[oO][0-7]+)' },\n { begin: hljs.C_NUMBER_RE }\n ],\n relevance: 0\n },\n { // \"value\" container\n begin: '(' + hljs.RE_STARTERS_RE + '|\\\\b(case|return|throw)\\\\b)\\\\s*',\n keywords: 'return throw case',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.REGEXP_MODE,\n { // E4X / JSX\n begin: /</, end: />\\s*[);\\]]/,\n relevance: 0,\n subLanguage: 'xml'\n }\n ],\n relevance: 0\n },\n SIGNAL,\n PROPERTY,\n {\n className: 'function',\n beginKeywords: 'function', end: /\\{/, excludeEnd: true,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: /[A-Za-z$_][0-9A-Za-z$_]*/}),\n {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n }\n ],\n illegal: /\\[|%/\n },\n {\n begin: '\\\\.' + hljs.IDENT_RE, relevance: 0 // hack: prevents detection of keywords after dots\n },\n ID_ID,\n QML_ATTRIBUTE,\n QML_OBJECT\n ],\n illegal: /#/\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/r.js b/js/highlight/r.js
index 24b4853f0..7fee0bfc6 100644
--- a/js/highlight/r.js
+++ b/js/highlight/r.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[140],{506:function(e,n){e.exports=function(e){var n="([a-zA-Z]|\\.[a-zA-Z.])[a-zA-Z0-9._]*";return{contains:[e.HASH_COMMENT_MODE,{begin:n,lexemes:n,keywords:{keyword:"function if in break next repeat else for return switch while try tryCatch stop warning require library attach detach source setMethod setGeneric setGroupGeneric setClass ...",literal:"NULL NA TRUE FALSE T F Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 NA_complex_|10"},relevance:0},{className:"number",begin:"0[xX][0-9a-fA-F]+[Li]?\\b",relevance:0},{className:"number",begin:"\\d+(?:[eE][+\\-]?\\d*)?L\\b",relevance:0},{className:"number",begin:"\\d+\\.(?!\\d)(?:i\\b)?",relevance:0},{className:"number",begin:"\\d+(?:\\.\\d*)?(?:[eE][+\\-]?\\d*)?i?\\b",relevance:0},{className:"number",begin:"\\.\\d+(?:[eE][+\\-]?\\d*)?i?\\b",relevance:0},{begin:"`",end:"`",relevance:0},{className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:'"',end:'"'},{begin:"'",end:"'"}]}]}}}}]);
-//# sourceMappingURL=r.js.map?v=6c3dc37fcd75ccd3ec9b \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[140],{507:function(e,n){e.exports=function(e){var n="([a-zA-Z]|\\.[a-zA-Z.])[a-zA-Z0-9._]*";return{contains:[e.HASH_COMMENT_MODE,{begin:n,lexemes:n,keywords:{keyword:"function if in break next repeat else for return switch while try tryCatch stop warning require library attach detach source setMethod setGeneric setGroupGeneric setClass ...",literal:"NULL NA TRUE FALSE T F Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 NA_complex_|10"},relevance:0},{className:"number",begin:"0[xX][0-9a-fA-F]+[Li]?\\b",relevance:0},{className:"number",begin:"\\d+(?:[eE][+\\-]?\\d*)?L\\b",relevance:0},{className:"number",begin:"\\d+\\.(?!\\d)(?:i\\b)?",relevance:0},{className:"number",begin:"\\d+(?:\\.\\d*)?(?:[eE][+\\-]?\\d*)?i?\\b",relevance:0},{className:"number",begin:"\\.\\d+(?:[eE][+\\-]?\\d*)?i?\\b",relevance:0},{begin:"`",end:"`",relevance:0},{className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:'"',end:'"'},{begin:"'",end:"'"}]}]}}}}]);
+//# sourceMappingURL=r.js.map?v=10eec06a86dfeed3f165 \ No newline at end of file
diff --git a/js/highlight/r.js.map b/js/highlight/r.js.map
index b7bbc3002..dc4961195 100644
--- a/js/highlight/r.js.map
+++ b/js/highlight/r.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/r.js"],"names":["module","exports","hljs","IDENT_RE","contains","HASH_COMMENT_MODE","begin","lexemes","keywords","keyword","literal","relevance","className","end","BACKSLASH_ESCAPE","variants"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,wCAEf,MAAO,CACLC,SAAU,CACRF,EAAKG,kBACL,CACEC,MAAOH,EACPI,QAASJ,EACTK,SAAU,CACRC,QACE,iLAGFC,QACE,6FAGJC,UAAW,GAEb,CAEEC,UAAW,SACXN,MAAO,4BACPK,UAAW,GAEb,CAEEC,UAAW,SACXN,MAAO,+BACPK,UAAW,GAEb,CAEEC,UAAW,SACXN,MAAO,0BACPK,UAAW,GAEb,CAEEC,UAAW,SACXN,MAAO,4CACPK,UAAW,GAEb,CAEEC,UAAW,SACXN,MAAO,mCACPK,UAAW,GAGb,CAEEL,MAAO,IACPO,IAAK,IACLF,UAAW,GAGb,CACEC,UAAW,SACXR,SAAU,CAACF,EAAKY,kBAChBC,SAAU,CACR,CAACT,MAAO,IAAKO,IAAK,KAClB,CAACP,MAAO,IAAKO,IAAK","file":"highlight/r.js?v=6c3dc37fcd75ccd3ec9b","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '([a-zA-Z]|\\\\.[a-zA-Z.])[a-zA-Z0-9._]*';\n\n return {\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n begin: IDENT_RE,\n lexemes: IDENT_RE,\n keywords: {\n keyword:\n 'function if in break next repeat else for return switch while try tryCatch ' +\n 'stop warning require library attach detach source setMethod setGeneric ' +\n 'setGroupGeneric setClass ...',\n literal:\n 'NULL NA TRUE FALSE T F Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 ' +\n 'NA_complex_|10'\n },\n relevance: 0\n },\n {\n // hex value\n className: 'number',\n begin: \"0[xX][0-9a-fA-F]+[Li]?\\\\b\",\n relevance: 0\n },\n {\n // explicit integer\n className: 'number',\n begin: \"\\\\d+(?:[eE][+\\\\-]?\\\\d*)?L\\\\b\",\n relevance: 0\n },\n {\n // number with trailing decimal\n className: 'number',\n begin: \"\\\\d+\\\\.(?!\\\\d)(?:i\\\\b)?\",\n relevance: 0\n },\n {\n // number\n className: 'number',\n begin: \"\\\\d+(?:\\\\.\\\\d*)?(?:[eE][+\\\\-]?\\\\d*)?i?\\\\b\",\n relevance: 0\n },\n {\n // number with leading decimal\n className: 'number',\n begin: \"\\\\.\\\\d+(?:[eE][+\\\\-]?\\\\d*)?i?\\\\b\",\n relevance: 0\n },\n\n {\n // escaped identifier\n begin: '`',\n end: '`',\n relevance: 0\n },\n\n {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {begin: '\"', end: '\"'},\n {begin: \"'\", end: \"'\"}\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/r.js"],"names":["module","exports","hljs","IDENT_RE","contains","HASH_COMMENT_MODE","begin","lexemes","keywords","keyword","literal","relevance","className","end","BACKSLASH_ESCAPE","variants"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAW,wCAEf,MAAO,CACLC,SAAU,CACRF,EAAKG,kBACL,CACEC,MAAOH,EACPI,QAASJ,EACTK,SAAU,CACRC,QACE,iLAGFC,QACE,6FAGJC,UAAW,GAEb,CAEEC,UAAW,SACXN,MAAO,4BACPK,UAAW,GAEb,CAEEC,UAAW,SACXN,MAAO,+BACPK,UAAW,GAEb,CAEEC,UAAW,SACXN,MAAO,0BACPK,UAAW,GAEb,CAEEC,UAAW,SACXN,MAAO,4CACPK,UAAW,GAEb,CAEEC,UAAW,SACXN,MAAO,mCACPK,UAAW,GAGb,CAEEL,MAAO,IACPO,IAAK,IACLF,UAAW,GAGb,CACEC,UAAW,SACXR,SAAU,CAACF,EAAKY,kBAChBC,SAAU,CACR,CAACT,MAAO,IAAKO,IAAK,KAClB,CAACP,MAAO,IAAKO,IAAK","file":"highlight/r.js?v=10eec06a86dfeed3f165","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '([a-zA-Z]|\\\\.[a-zA-Z.])[a-zA-Z0-9._]*';\n\n return {\n contains: [\n hljs.HASH_COMMENT_MODE,\n {\n begin: IDENT_RE,\n lexemes: IDENT_RE,\n keywords: {\n keyword:\n 'function if in break next repeat else for return switch while try tryCatch ' +\n 'stop warning require library attach detach source setMethod setGeneric ' +\n 'setGroupGeneric setClass ...',\n literal:\n 'NULL NA TRUE FALSE T F Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 ' +\n 'NA_complex_|10'\n },\n relevance: 0\n },\n {\n // hex value\n className: 'number',\n begin: \"0[xX][0-9a-fA-F]+[Li]?\\\\b\",\n relevance: 0\n },\n {\n // explicit integer\n className: 'number',\n begin: \"\\\\d+(?:[eE][+\\\\-]?\\\\d*)?L\\\\b\",\n relevance: 0\n },\n {\n // number with trailing decimal\n className: 'number',\n begin: \"\\\\d+\\\\.(?!\\\\d)(?:i\\\\b)?\",\n relevance: 0\n },\n {\n // number\n className: 'number',\n begin: \"\\\\d+(?:\\\\.\\\\d*)?(?:[eE][+\\\\-]?\\\\d*)?i?\\\\b\",\n relevance: 0\n },\n {\n // number with leading decimal\n className: 'number',\n begin: \"\\\\.\\\\d+(?:[eE][+\\\\-]?\\\\d*)?i?\\\\b\",\n relevance: 0\n },\n\n {\n // escaped identifier\n begin: '`',\n end: '`',\n relevance: 0\n },\n\n {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {begin: '\"', end: '\"'},\n {begin: \"'\", end: \"'\"}\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/reasonml.js b/js/highlight/reasonml.js
index e17ca57dd..eeb22b64b 100644
--- a/js/highlight/reasonml.js
+++ b/js/highlight/reasonml.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[141],{507:function(e,n){e.exports=function(e){var n="~?[a-z$_][0-9a-zA-Z$_]*",a="`?[A-Z$_][0-9a-zA-Z$_]*",s="("+(["||","&&","++","**","+.","*","/","*.","/.","...","|>"].map((function(e){return e.split("").map((function(e){return"\\"+e})).join("")})).join("|")+"|==|===)"),i="\\s+"+s+"\\s+",r={keyword:"and as asr assert begin class constraint do done downto else end exception externalfor fun function functor if in include inherit initializerland lazy let lor lsl lsr lxor match method mod module mutable new nonrecobject of open or private rec sig struct then to try type val virtual when while with",built_in:"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 ref string unit ",literal:"true false"},l="\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",t={className:"number",relevance:0,variants:[{begin:l},{begin:"\\(\\-"+l+"\\)"}]},c={className:"operator",relevance:0,begin:s},o=[{className:"identifier",relevance:0,begin:n},c,t],b=[e.QUOTE_STRING_MODE,c,{className:"module",begin:"\\b"+a,returnBegin:!0,end:".",contains:[{className:"identifier",begin:a,relevance:0}]}],g=[{className:"module",begin:"\\b"+a,returnBegin:!0,end:".",relevance:0,contains:[{className:"identifier",begin:a,relevance:0}]}],d={className:"function",relevance:0,keywords:r,variants:[{begin:"\\s(\\(\\.?.*?\\)|"+n+")\\s*=>",end:"\\s*=>",returnBegin:!0,relevance:0,contains:[{className:"params",variants:[{begin:n},{begin:"~?[a-z$_][0-9a-zA-Z$_]*(s*:s*[a-z$_][0-9a-z$_]*((s*('?[a-z$_][0-9a-z$_]*s*(,'?[a-z$_][0-9a-z$_]*)*)?s*))?)?(s*:s*[a-z$_][0-9a-z$_]*((s*('?[a-z$_][0-9a-z$_]*s*(,'?[a-z$_][0-9a-z$_]*)*)?s*))?)?"},{begin:/\(\s*\)/}]}]},{begin:"\\s\\(\\.?[^;\\|]*\\)\\s*=>",end:"\\s=>",returnBegin:!0,relevance:0,contains:[{className:"params",relevance:0,variants:[{begin:n,end:"(,|\\n|\\))",relevance:0,contains:[c,{className:"typing",begin:":",end:"(,|\\n)",returnBegin:!0,relevance:0,contains:g}]}]}]},{begin:"\\(\\.\\s"+n+"\\)\\s*=>"}]};b.push(d);var m={className:"constructor",begin:a+"\\(",end:"\\)",illegal:"\\n",keywords:r,contains:[e.QUOTE_STRING_MODE,c,{className:"params",begin:"\\b"+n}]},u={className:"pattern-match",begin:"\\|",returnBegin:!0,keywords:r,end:"=>",relevance:0,contains:[m,c,{relevance:0,className:"constructor",begin:a}]},_={className:"module-access",keywords:r,returnBegin:!0,variants:[{begin:"\\b("+a+"\\.)+"+n},{begin:"\\b("+a+"\\.)+\\(",end:"\\)",returnBegin:!0,contains:[d,{begin:"\\(",end:"\\)",skip:!0}].concat(b)},{begin:"\\b("+a+"\\.)+{",end:"}"}],contains:b};return g.push(_),{aliases:["re"],keywords:r,illegal:"(:\\-|:=|\\${|\\+=)",contains:[e.COMMENT("/\\*","\\*/",{illegal:"^(\\#,\\/\\/)"}),{className:"character",begin:"'(\\\\[^']+|[^'])'",illegal:"\\n",relevance:0},e.QUOTE_STRING_MODE,{className:"literal",begin:"\\(\\)",relevance:0},{className:"literal",begin:"\\[\\|",end:"\\|\\]",relevance:0,contains:o},{className:"literal",begin:"\\[",end:"\\]",relevance:0,contains:o},m,{className:"operator",begin:i,illegal:"\\-\\->",relevance:0},t,e.C_LINE_COMMENT_MODE,u,d,{className:"module-def",begin:"\\bmodule\\s+"+n+"\\s+"+a+"\\s+=\\s+{",end:"}",returnBegin:!0,keywords:r,relevance:0,contains:[{className:"module",relevance:0,begin:a},{begin:"{",end:"}",skip:!0}].concat(b)},_]}}}}]);
-//# sourceMappingURL=reasonml.js.map?v=696ba59a793c33686d03 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[141],{508:function(e,n){e.exports=function(e){var n="~?[a-z$_][0-9a-zA-Z$_]*",a="`?[A-Z$_][0-9a-zA-Z$_]*",s="("+(["||","&&","++","**","+.","*","/","*.","/.","...","|>"].map((function(e){return e.split("").map((function(e){return"\\"+e})).join("")})).join("|")+"|==|===)"),i="\\s+"+s+"\\s+",r={keyword:"and as asr assert begin class constraint do done downto else end exception externalfor fun function functor if in include inherit initializerland lazy let lor lsl lsr lxor match method mod module mutable new nonrecobject of open or private rec sig struct then to try type val virtual when while with",built_in:"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 ref string unit ",literal:"true false"},l="\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",t={className:"number",relevance:0,variants:[{begin:l},{begin:"\\(\\-"+l+"\\)"}]},c={className:"operator",relevance:0,begin:s},o=[{className:"identifier",relevance:0,begin:n},c,t],b=[e.QUOTE_STRING_MODE,c,{className:"module",begin:"\\b"+a,returnBegin:!0,end:".",contains:[{className:"identifier",begin:a,relevance:0}]}],g=[{className:"module",begin:"\\b"+a,returnBegin:!0,end:".",relevance:0,contains:[{className:"identifier",begin:a,relevance:0}]}],d={className:"function",relevance:0,keywords:r,variants:[{begin:"\\s(\\(\\.?.*?\\)|"+n+")\\s*=>",end:"\\s*=>",returnBegin:!0,relevance:0,contains:[{className:"params",variants:[{begin:n},{begin:"~?[a-z$_][0-9a-zA-Z$_]*(s*:s*[a-z$_][0-9a-z$_]*((s*('?[a-z$_][0-9a-z$_]*s*(,'?[a-z$_][0-9a-z$_]*)*)?s*))?)?(s*:s*[a-z$_][0-9a-z$_]*((s*('?[a-z$_][0-9a-z$_]*s*(,'?[a-z$_][0-9a-z$_]*)*)?s*))?)?"},{begin:/\(\s*\)/}]}]},{begin:"\\s\\(\\.?[^;\\|]*\\)\\s*=>",end:"\\s=>",returnBegin:!0,relevance:0,contains:[{className:"params",relevance:0,variants:[{begin:n,end:"(,|\\n|\\))",relevance:0,contains:[c,{className:"typing",begin:":",end:"(,|\\n)",returnBegin:!0,relevance:0,contains:g}]}]}]},{begin:"\\(\\.\\s"+n+"\\)\\s*=>"}]};b.push(d);var m={className:"constructor",begin:a+"\\(",end:"\\)",illegal:"\\n",keywords:r,contains:[e.QUOTE_STRING_MODE,c,{className:"params",begin:"\\b"+n}]},u={className:"pattern-match",begin:"\\|",returnBegin:!0,keywords:r,end:"=>",relevance:0,contains:[m,c,{relevance:0,className:"constructor",begin:a}]},_={className:"module-access",keywords:r,returnBegin:!0,variants:[{begin:"\\b("+a+"\\.)+"+n},{begin:"\\b("+a+"\\.)+\\(",end:"\\)",returnBegin:!0,contains:[d,{begin:"\\(",end:"\\)",skip:!0}].concat(b)},{begin:"\\b("+a+"\\.)+{",end:"}"}],contains:b};return g.push(_),{aliases:["re"],keywords:r,illegal:"(:\\-|:=|\\${|\\+=)",contains:[e.COMMENT("/\\*","\\*/",{illegal:"^(\\#,\\/\\/)"}),{className:"character",begin:"'(\\\\[^']+|[^'])'",illegal:"\\n",relevance:0},e.QUOTE_STRING_MODE,{className:"literal",begin:"\\(\\)",relevance:0},{className:"literal",begin:"\\[\\|",end:"\\|\\]",relevance:0,contains:o},{className:"literal",begin:"\\[",end:"\\]",relevance:0,contains:o},m,{className:"operator",begin:i,illegal:"\\-\\->",relevance:0},t,e.C_LINE_COMMENT_MODE,u,d,{className:"module-def",begin:"\\bmodule\\s+"+n+"\\s+"+a+"\\s+=\\s+{",end:"}",returnBegin:!0,keywords:r,relevance:0,contains:[{className:"module",relevance:0,begin:a},{begin:"{",end:"}",skip:!0}].concat(b)},_]}}}}]);
+//# sourceMappingURL=reasonml.js.map?v=fe58cb5669ed32875a14 \ No newline at end of file
diff --git a/js/highlight/reasonml.js.map b/js/highlight/reasonml.js.map
index e01ad4518..716b79e80 100644
--- a/js/highlight/reasonml.js.map
+++ b/js/highlight/reasonml.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/reasonml.js"],"names":["module","exports","hljs","RE_IDENT","RE_MODULE_IDENT","RE_OPERATOR","map","op","split","char","join","RE_OPERATOR_SPACED","KEYWORDS","keyword","built_in","literal","RE_NUMBER","NUMBER_MODE","className","relevance","variants","begin","OPERATOR_MODE","LIST_CONTENTS_MODES","MODULE_ACCESS_CONTENTS","QUOTE_STRING_MODE","returnBegin","end","contains","PARAMS_CONTENTS","FUNCTION_BLOCK_MODE","keywords","push","CONSTRUCTOR_MODE","illegal","PATTERN_MATCH_BLOCK_MODE","MODULE_ACCESS_MODE","skip","concat","aliases","COMMENT","C_LINE_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAcxB,IAAIC,EAAW,0BACXC,EAAkB,0BAKlBC,EAAc,KAAiB,CAAC,KAAM,KAAM,KAAM,KAAM,KAAM,IAAK,IAAK,KAAM,KAAM,MAAO,MAjB5FC,KAAI,SAASC,GACZ,OAAOA,EACJC,MAAM,IACNF,KAAI,SAASG,GACZ,MAAO,KAAOA,KAEfC,KAAK,OAETA,KAAK,KASgG,YACpGC,EAAqB,OAASN,EAAc,OAE5CO,EAAW,CACbC,QACE,8SAIFC,SACE,+FACFC,QACE,cAGAC,EAAY,2HAKZC,EAAc,CAChBC,UAAW,SACXC,UAAW,EACXC,SAAU,CACR,CACEC,MAAOL,GAET,CACEK,MAAO,SAAWL,EAAY,SAKhCM,EAAgB,CAClBJ,UAAW,WACXC,UAAW,EACXE,MAAOhB,GAELkB,EAAsB,CACxB,CACEL,UAAW,aACXC,UAAW,EACXE,MAAOlB,GAETmB,EACAL,GAGEO,EAAyB,CAC3BtB,EAAKuB,kBACLH,EACA,CACEJ,UAAW,SACXG,MAAO,MAAQjB,EAAiBsB,aAAa,EAC7CC,IAAK,IACLC,SAAU,CACR,CACEV,UAAW,aACXG,MAAOjB,EACPe,UAAW,MAMfU,EAAkB,CACpB,CACEX,UAAW,SACXG,MAAO,MAAQjB,EAAiBsB,aAAa,EAC7CC,IAAK,IACLR,UAAW,EACXS,SAAU,CACR,CACEV,UAAW,aACXG,MAAOjB,EACPe,UAAW,MAuBfW,EAAsB,CACxBZ,UAAW,WACXC,UAAW,EACXY,SAAUnB,EACVQ,SAAU,CACR,CACEC,MAAO,qBAAuBlB,EAAW,UACzCwB,IAAK,SACLD,aAAa,EACbP,UAAW,EACXS,SAAU,CACR,CACEV,UAAW,SACXE,SAAU,CACR,CACEC,MAAOlB,GAET,CACEkB,MArHClB,mMAuHH,CACEkB,MAAO,eAMjB,CACEA,MAAO,8BACPM,IAAK,QACLD,aAAa,EACbP,UAAW,EACXS,SAAU,CACR,CACEV,UAAW,SACXC,UAAW,EACXC,SAAU,CArDF,CAChBC,MAAOlB,EACPwB,IAAK,cACLR,UAAW,EACXS,SAAU,CACRN,EACA,CACEJ,UAAW,SACXG,MAAO,IACPM,IAAK,UACLD,aAAa,EACbP,UAAW,EACXS,SAAUC,SA+CZ,CACER,MAAO,YAAclB,EAAW,eAItCqB,EAAuBQ,KAAKF,GAE5B,IAAIG,EAAmB,CACrBf,UAAW,cACXG,MAAOjB,EAAkB,MACzBuB,IAAK,MACLO,QAAS,MACTH,SAAUnB,EACVgB,SAAU,CACR1B,EAAKuB,kBACLH,EACA,CACEJ,UAAW,SACXG,MAAO,MAAQlB,KAKjBgC,EAA2B,CAC7BjB,UAAW,gBACXG,MAAO,MACPK,aAAa,EACbK,SAAUnB,EACVe,IAAK,KACLR,UAAW,EACXS,SAAU,CACRK,EACAX,EACA,CACEH,UAAW,EACXD,UAAW,cACXG,MAAOjB,KAKTgC,EAAqB,CACvBlB,UAAW,gBACXa,SAAUnB,EACVc,aAAa,EACbN,SAAU,CACR,CACEC,MAAO,OAASjB,EAAkB,QAAUD,GAE9C,CACEkB,MAAO,OAASjB,EAAkB,WAClCuB,IAAK,MACLD,aAAa,EACbE,SAAU,CACRE,EACA,CACET,MAAO,MACPM,IAAK,MACLU,MAAM,IAERC,OAAOd,IAEX,CACEH,MAAO,OAASjB,EAAkB,SAClCuB,IAAK,MAGTC,SAAUJ,GAKZ,OAFAK,EAAgBG,KAAKI,GAEd,CACLG,QAAS,CAAC,MACVR,SAAUnB,EACVsB,QAAS,sBACTN,SAAU,CACR1B,EAAKsC,QAAQ,OAAQ,OAAQ,CAAEN,QAAS,kBACxC,CACEhB,UAAW,YACXG,MAAO,qBACPa,QAAS,MACTf,UAAW,GAEbjB,EAAKuB,kBACL,CACEP,UAAW,UACXG,MAAO,SACPF,UAAW,GAEb,CACED,UAAW,UACXG,MAAO,SACPM,IAAK,SACLR,UAAY,EACZS,SAAUL,GAEZ,CACEL,UAAW,UACXG,MAAO,MACPM,IAAK,MACLR,UAAW,EACXS,SAAUL,GAEZU,EACA,CACEf,UAAW,WACXG,MAAOV,EACPuB,QAAS,UACTf,UAAW,GAEbF,EACAf,EAAKuC,oBACLN,EACAL,EACA,CACEZ,UAAW,aACXG,MAAO,gBAAkBlB,EAAW,OAASC,EAAkB,aAC/DuB,IAAK,IACLD,aAAa,EACbK,SAAUnB,EACVO,UAAW,EACXS,SAAU,CACR,CACEV,UAAW,SACXC,UAAW,EACXE,MAAOjB,GAET,CACEiB,MAAO,IACPM,IAAK,IACLU,MAAM,IAERC,OAAOd,IAEXY","file":"highlight/reasonml.js?v=696ba59a793c33686d03","sourcesContent":["module.exports = function(hljs) {\n function orReValues(ops){\n return ops\n .map(function(op) {\n return op\n .split('')\n .map(function(char) {\n return '\\\\' + char;\n })\n .join('');\n })\n .join('|');\n }\n\n var RE_IDENT = '~?[a-z$_][0-9a-zA-Z$_]*';\n var RE_MODULE_IDENT = '`?[A-Z$_][0-9a-zA-Z$_]*';\n\n var RE_PARAM_TYPEPARAM = '\\'?[a-z$_][0-9a-z$_]*';\n var RE_PARAM_TYPE = '\\s*:\\s*[a-z$_][0-9a-z$_]*(\\(\\s*(' + RE_PARAM_TYPEPARAM + '\\s*(,' + RE_PARAM_TYPEPARAM + ')*)?\\s*\\))?';\n var RE_PARAM = RE_IDENT + '(' + RE_PARAM_TYPE + ')?(' + RE_PARAM_TYPE + ')?';\n var RE_OPERATOR = \"(\" + orReValues(['||', '&&', '++', '**', '+.', '*', '/', '*.', '/.', '...', '|>']) + \"|==|===)\";\n var RE_OPERATOR_SPACED = \"\\\\s+\" + RE_OPERATOR + \"\\\\s+\";\n\n var KEYWORDS = {\n keyword:\n 'and as asr assert begin class constraint do done downto else end exception external' +\n 'for fun function functor if in include inherit initializer' +\n 'land lazy let lor lsl lsr lxor match method mod module mutable new nonrec' +\n 'object of open or private rec sig struct then to try type val virtual when while with',\n built_in:\n 'array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 ref string unit ',\n literal:\n 'true false'\n };\n\n var RE_NUMBER = '\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|' +\n '0[oO][0-7_]+[Lln]?|' +\n '0[bB][01_]+[Lln]?|' +\n '[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)';\n\n var NUMBER_MODE = {\n className: 'number',\n relevance: 0,\n variants: [\n {\n begin: RE_NUMBER\n },\n {\n begin: '\\\\(\\\\-' + RE_NUMBER + '\\\\)'\n }\n ]\n };\n\n var OPERATOR_MODE = {\n className: 'operator',\n relevance: 0,\n begin: RE_OPERATOR\n };\n var LIST_CONTENTS_MODES = [\n {\n className: 'identifier',\n relevance: 0,\n begin: RE_IDENT\n },\n OPERATOR_MODE,\n NUMBER_MODE\n ];\n\n var MODULE_ACCESS_CONTENTS = [\n hljs.QUOTE_STRING_MODE,\n OPERATOR_MODE,\n {\n className: 'module',\n begin: \"\\\\b\" + RE_MODULE_IDENT, returnBegin: true,\n end: \"\\.\",\n contains: [\n {\n className: 'identifier',\n begin: RE_MODULE_IDENT,\n relevance: 0\n }\n ]\n }\n ];\n\n var PARAMS_CONTENTS = [\n {\n className: 'module',\n begin: \"\\\\b\" + RE_MODULE_IDENT, returnBegin: true,\n end: \"\\.\",\n relevance: 0,\n contains: [\n {\n className: 'identifier',\n begin: RE_MODULE_IDENT,\n relevance: 0\n }\n ]\n }\n ];\n\n var PARAMS_MODE = {\n begin: RE_IDENT,\n end: '(,|\\\\n|\\\\))',\n relevance: 0,\n contains: [\n OPERATOR_MODE,\n {\n className: 'typing',\n begin: ':',\n end: '(,|\\\\n)',\n returnBegin: true,\n relevance: 0,\n contains: PARAMS_CONTENTS\n }\n ]\n };\n\n var FUNCTION_BLOCK_MODE = {\n className: 'function',\n relevance: 0,\n keywords: KEYWORDS,\n variants: [\n {\n begin: '\\\\s(\\\\(\\\\.?.*?\\\\)|' + RE_IDENT + ')\\\\s*=>',\n end: '\\\\s*=>',\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'params',\n variants: [\n {\n begin: RE_IDENT\n },\n {\n begin: RE_PARAM\n },\n {\n begin: /\\(\\s*\\)/,\n }\n ]\n }\n ]\n },\n {\n begin: '\\\\s\\\\(\\\\.?[^;\\\\|]*\\\\)\\\\s*=>',\n end: '\\\\s=>',\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'params',\n relevance: 0,\n variants: [\n PARAMS_MODE\n ]\n }\n ]\n },\n {\n begin: '\\\\(\\\\.\\\\s' + RE_IDENT + '\\\\)\\\\s*=>'\n }\n ]\n };\n MODULE_ACCESS_CONTENTS.push(FUNCTION_BLOCK_MODE);\n\n var CONSTRUCTOR_MODE = {\n className: 'constructor',\n begin: RE_MODULE_IDENT + '\\\\(',\n end: '\\\\)',\n illegal: '\\\\n',\n keywords: KEYWORDS,\n contains: [\n hljs.QUOTE_STRING_MODE,\n OPERATOR_MODE,\n {\n className: 'params',\n begin: '\\\\b' + RE_IDENT\n }\n ]\n };\n\n var PATTERN_MATCH_BLOCK_MODE = {\n className: 'pattern-match',\n begin: '\\\\|',\n returnBegin: true,\n keywords: KEYWORDS,\n end: '=>',\n relevance: 0,\n contains: [\n CONSTRUCTOR_MODE,\n OPERATOR_MODE,\n {\n relevance: 0,\n className: 'constructor',\n begin: RE_MODULE_IDENT\n }\n ]\n };\n\n var MODULE_ACCESS_MODE = {\n className: 'module-access',\n keywords: KEYWORDS,\n returnBegin: true,\n variants: [\n {\n begin: \"\\\\b(\" + RE_MODULE_IDENT + \"\\\\.)+\" + RE_IDENT\n },\n {\n begin: \"\\\\b(\" + RE_MODULE_IDENT + \"\\\\.)+\\\\(\",\n end: \"\\\\)\",\n returnBegin: true,\n contains: [\n FUNCTION_BLOCK_MODE,\n {\n begin: '\\\\(',\n end: '\\\\)',\n skip: true\n }\n ].concat(MODULE_ACCESS_CONTENTS)\n },\n {\n begin: \"\\\\b(\" + RE_MODULE_IDENT + \"\\\\.)+{\",\n end: \"}\"\n }\n ],\n contains: MODULE_ACCESS_CONTENTS\n };\n\n PARAMS_CONTENTS.push(MODULE_ACCESS_MODE);\n\n return {\n aliases: ['re'],\n keywords: KEYWORDS,\n illegal: '(:\\\\-|:=|\\\\${|\\\\+=)',\n contains: [\n hljs.COMMENT('/\\\\*', '\\\\*/', { illegal: '^(\\\\#,\\\\/\\\\/)' }),\n {\n className: 'character',\n begin: '\\'(\\\\\\\\[^\\']+|[^\\'])\\'',\n illegal: '\\\\n',\n relevance: 0\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'literal',\n begin: '\\\\(\\\\)',\n relevance: 0\n },\n {\n className: 'literal',\n begin: '\\\\[\\\\|',\n end: '\\\\|\\\\]',\n relevance: 0,\n contains: LIST_CONTENTS_MODES\n },\n {\n className: 'literal',\n begin: '\\\\[',\n end: '\\\\]',\n relevance: 0,\n contains: LIST_CONTENTS_MODES\n },\n CONSTRUCTOR_MODE,\n {\n className: 'operator',\n begin: RE_OPERATOR_SPACED,\n illegal: '\\\\-\\\\->',\n relevance: 0\n },\n NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n PATTERN_MATCH_BLOCK_MODE,\n FUNCTION_BLOCK_MODE,\n {\n className: 'module-def',\n begin: \"\\\\bmodule\\\\s+\" + RE_IDENT + \"\\\\s+\" + RE_MODULE_IDENT + \"\\\\s+=\\\\s+{\",\n end: \"}\",\n returnBegin: true,\n keywords: KEYWORDS,\n relevance: 0,\n contains: [\n {\n className: 'module',\n relevance: 0,\n begin: RE_MODULE_IDENT\n },\n {\n begin: '{',\n end: '}',\n skip: true\n }\n ].concat(MODULE_ACCESS_CONTENTS)\n },\n MODULE_ACCESS_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/reasonml.js"],"names":["module","exports","hljs","RE_IDENT","RE_MODULE_IDENT","RE_OPERATOR","map","op","split","char","join","RE_OPERATOR_SPACED","KEYWORDS","keyword","built_in","literal","RE_NUMBER","NUMBER_MODE","className","relevance","variants","begin","OPERATOR_MODE","LIST_CONTENTS_MODES","MODULE_ACCESS_CONTENTS","QUOTE_STRING_MODE","returnBegin","end","contains","PARAMS_CONTENTS","FUNCTION_BLOCK_MODE","keywords","push","CONSTRUCTOR_MODE","illegal","PATTERN_MATCH_BLOCK_MODE","MODULE_ACCESS_MODE","skip","concat","aliases","COMMENT","C_LINE_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAcxB,IAAIC,EAAW,0BACXC,EAAkB,0BAKlBC,EAAc,KAAiB,CAAC,KAAM,KAAM,KAAM,KAAM,KAAM,IAAK,IAAK,KAAM,KAAM,MAAO,MAjB5FC,KAAI,SAASC,GACZ,OAAOA,EACJC,MAAM,IACNF,KAAI,SAASG,GACZ,MAAO,KAAOA,KAEfC,KAAK,OAETA,KAAK,KASgG,YACpGC,EAAqB,OAASN,EAAc,OAE5CO,EAAW,CACbC,QACE,8SAIFC,SACE,+FACFC,QACE,cAGAC,EAAY,2HAKZC,EAAc,CAChBC,UAAW,SACXC,UAAW,EACXC,SAAU,CACR,CACEC,MAAOL,GAET,CACEK,MAAO,SAAWL,EAAY,SAKhCM,EAAgB,CAClBJ,UAAW,WACXC,UAAW,EACXE,MAAOhB,GAELkB,EAAsB,CACxB,CACEL,UAAW,aACXC,UAAW,EACXE,MAAOlB,GAETmB,EACAL,GAGEO,EAAyB,CAC3BtB,EAAKuB,kBACLH,EACA,CACEJ,UAAW,SACXG,MAAO,MAAQjB,EAAiBsB,aAAa,EAC7CC,IAAK,IACLC,SAAU,CACR,CACEV,UAAW,aACXG,MAAOjB,EACPe,UAAW,MAMfU,EAAkB,CACpB,CACEX,UAAW,SACXG,MAAO,MAAQjB,EAAiBsB,aAAa,EAC7CC,IAAK,IACLR,UAAW,EACXS,SAAU,CACR,CACEV,UAAW,aACXG,MAAOjB,EACPe,UAAW,MAuBfW,EAAsB,CACxBZ,UAAW,WACXC,UAAW,EACXY,SAAUnB,EACVQ,SAAU,CACR,CACEC,MAAO,qBAAuBlB,EAAW,UACzCwB,IAAK,SACLD,aAAa,EACbP,UAAW,EACXS,SAAU,CACR,CACEV,UAAW,SACXE,SAAU,CACR,CACEC,MAAOlB,GAET,CACEkB,MArHClB,mMAuHH,CACEkB,MAAO,eAMjB,CACEA,MAAO,8BACPM,IAAK,QACLD,aAAa,EACbP,UAAW,EACXS,SAAU,CACR,CACEV,UAAW,SACXC,UAAW,EACXC,SAAU,CArDF,CAChBC,MAAOlB,EACPwB,IAAK,cACLR,UAAW,EACXS,SAAU,CACRN,EACA,CACEJ,UAAW,SACXG,MAAO,IACPM,IAAK,UACLD,aAAa,EACbP,UAAW,EACXS,SAAUC,SA+CZ,CACER,MAAO,YAAclB,EAAW,eAItCqB,EAAuBQ,KAAKF,GAE5B,IAAIG,EAAmB,CACrBf,UAAW,cACXG,MAAOjB,EAAkB,MACzBuB,IAAK,MACLO,QAAS,MACTH,SAAUnB,EACVgB,SAAU,CACR1B,EAAKuB,kBACLH,EACA,CACEJ,UAAW,SACXG,MAAO,MAAQlB,KAKjBgC,EAA2B,CAC7BjB,UAAW,gBACXG,MAAO,MACPK,aAAa,EACbK,SAAUnB,EACVe,IAAK,KACLR,UAAW,EACXS,SAAU,CACRK,EACAX,EACA,CACEH,UAAW,EACXD,UAAW,cACXG,MAAOjB,KAKTgC,EAAqB,CACvBlB,UAAW,gBACXa,SAAUnB,EACVc,aAAa,EACbN,SAAU,CACR,CACEC,MAAO,OAASjB,EAAkB,QAAUD,GAE9C,CACEkB,MAAO,OAASjB,EAAkB,WAClCuB,IAAK,MACLD,aAAa,EACbE,SAAU,CACRE,EACA,CACET,MAAO,MACPM,IAAK,MACLU,MAAM,IAERC,OAAOd,IAEX,CACEH,MAAO,OAASjB,EAAkB,SAClCuB,IAAK,MAGTC,SAAUJ,GAKZ,OAFAK,EAAgBG,KAAKI,GAEd,CACLG,QAAS,CAAC,MACVR,SAAUnB,EACVsB,QAAS,sBACTN,SAAU,CACR1B,EAAKsC,QAAQ,OAAQ,OAAQ,CAAEN,QAAS,kBACxC,CACEhB,UAAW,YACXG,MAAO,qBACPa,QAAS,MACTf,UAAW,GAEbjB,EAAKuB,kBACL,CACEP,UAAW,UACXG,MAAO,SACPF,UAAW,GAEb,CACED,UAAW,UACXG,MAAO,SACPM,IAAK,SACLR,UAAY,EACZS,SAAUL,GAEZ,CACEL,UAAW,UACXG,MAAO,MACPM,IAAK,MACLR,UAAW,EACXS,SAAUL,GAEZU,EACA,CACEf,UAAW,WACXG,MAAOV,EACPuB,QAAS,UACTf,UAAW,GAEbF,EACAf,EAAKuC,oBACLN,EACAL,EACA,CACEZ,UAAW,aACXG,MAAO,gBAAkBlB,EAAW,OAASC,EAAkB,aAC/DuB,IAAK,IACLD,aAAa,EACbK,SAAUnB,EACVO,UAAW,EACXS,SAAU,CACR,CACEV,UAAW,SACXC,UAAW,EACXE,MAAOjB,GAET,CACEiB,MAAO,IACPM,IAAK,IACLU,MAAM,IAERC,OAAOd,IAEXY","file":"highlight/reasonml.js?v=fe58cb5669ed32875a14","sourcesContent":["module.exports = function(hljs) {\n function orReValues(ops){\n return ops\n .map(function(op) {\n return op\n .split('')\n .map(function(char) {\n return '\\\\' + char;\n })\n .join('');\n })\n .join('|');\n }\n\n var RE_IDENT = '~?[a-z$_][0-9a-zA-Z$_]*';\n var RE_MODULE_IDENT = '`?[A-Z$_][0-9a-zA-Z$_]*';\n\n var RE_PARAM_TYPEPARAM = '\\'?[a-z$_][0-9a-z$_]*';\n var RE_PARAM_TYPE = '\\s*:\\s*[a-z$_][0-9a-z$_]*(\\(\\s*(' + RE_PARAM_TYPEPARAM + '\\s*(,' + RE_PARAM_TYPEPARAM + ')*)?\\s*\\))?';\n var RE_PARAM = RE_IDENT + '(' + RE_PARAM_TYPE + ')?(' + RE_PARAM_TYPE + ')?';\n var RE_OPERATOR = \"(\" + orReValues(['||', '&&', '++', '**', '+.', '*', '/', '*.', '/.', '...', '|>']) + \"|==|===)\";\n var RE_OPERATOR_SPACED = \"\\\\s+\" + RE_OPERATOR + \"\\\\s+\";\n\n var KEYWORDS = {\n keyword:\n 'and as asr assert begin class constraint do done downto else end exception external' +\n 'for fun function functor if in include inherit initializer' +\n 'land lazy let lor lsl lsr lxor match method mod module mutable new nonrec' +\n 'object of open or private rec sig struct then to try type val virtual when while with',\n built_in:\n 'array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 ref string unit ',\n literal:\n 'true false'\n };\n\n var RE_NUMBER = '\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|' +\n '0[oO][0-7_]+[Lln]?|' +\n '0[bB][01_]+[Lln]?|' +\n '[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)';\n\n var NUMBER_MODE = {\n className: 'number',\n relevance: 0,\n variants: [\n {\n begin: RE_NUMBER\n },\n {\n begin: '\\\\(\\\\-' + RE_NUMBER + '\\\\)'\n }\n ]\n };\n\n var OPERATOR_MODE = {\n className: 'operator',\n relevance: 0,\n begin: RE_OPERATOR\n };\n var LIST_CONTENTS_MODES = [\n {\n className: 'identifier',\n relevance: 0,\n begin: RE_IDENT\n },\n OPERATOR_MODE,\n NUMBER_MODE\n ];\n\n var MODULE_ACCESS_CONTENTS = [\n hljs.QUOTE_STRING_MODE,\n OPERATOR_MODE,\n {\n className: 'module',\n begin: \"\\\\b\" + RE_MODULE_IDENT, returnBegin: true,\n end: \"\\.\",\n contains: [\n {\n className: 'identifier',\n begin: RE_MODULE_IDENT,\n relevance: 0\n }\n ]\n }\n ];\n\n var PARAMS_CONTENTS = [\n {\n className: 'module',\n begin: \"\\\\b\" + RE_MODULE_IDENT, returnBegin: true,\n end: \"\\.\",\n relevance: 0,\n contains: [\n {\n className: 'identifier',\n begin: RE_MODULE_IDENT,\n relevance: 0\n }\n ]\n }\n ];\n\n var PARAMS_MODE = {\n begin: RE_IDENT,\n end: '(,|\\\\n|\\\\))',\n relevance: 0,\n contains: [\n OPERATOR_MODE,\n {\n className: 'typing',\n begin: ':',\n end: '(,|\\\\n)',\n returnBegin: true,\n relevance: 0,\n contains: PARAMS_CONTENTS\n }\n ]\n };\n\n var FUNCTION_BLOCK_MODE = {\n className: 'function',\n relevance: 0,\n keywords: KEYWORDS,\n variants: [\n {\n begin: '\\\\s(\\\\(\\\\.?.*?\\\\)|' + RE_IDENT + ')\\\\s*=>',\n end: '\\\\s*=>',\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'params',\n variants: [\n {\n begin: RE_IDENT\n },\n {\n begin: RE_PARAM\n },\n {\n begin: /\\(\\s*\\)/,\n }\n ]\n }\n ]\n },\n {\n begin: '\\\\s\\\\(\\\\.?[^;\\\\|]*\\\\)\\\\s*=>',\n end: '\\\\s=>',\n returnBegin: true,\n relevance: 0,\n contains: [\n {\n className: 'params',\n relevance: 0,\n variants: [\n PARAMS_MODE\n ]\n }\n ]\n },\n {\n begin: '\\\\(\\\\.\\\\s' + RE_IDENT + '\\\\)\\\\s*=>'\n }\n ]\n };\n MODULE_ACCESS_CONTENTS.push(FUNCTION_BLOCK_MODE);\n\n var CONSTRUCTOR_MODE = {\n className: 'constructor',\n begin: RE_MODULE_IDENT + '\\\\(',\n end: '\\\\)',\n illegal: '\\\\n',\n keywords: KEYWORDS,\n contains: [\n hljs.QUOTE_STRING_MODE,\n OPERATOR_MODE,\n {\n className: 'params',\n begin: '\\\\b' + RE_IDENT\n }\n ]\n };\n\n var PATTERN_MATCH_BLOCK_MODE = {\n className: 'pattern-match',\n begin: '\\\\|',\n returnBegin: true,\n keywords: KEYWORDS,\n end: '=>',\n relevance: 0,\n contains: [\n CONSTRUCTOR_MODE,\n OPERATOR_MODE,\n {\n relevance: 0,\n className: 'constructor',\n begin: RE_MODULE_IDENT\n }\n ]\n };\n\n var MODULE_ACCESS_MODE = {\n className: 'module-access',\n keywords: KEYWORDS,\n returnBegin: true,\n variants: [\n {\n begin: \"\\\\b(\" + RE_MODULE_IDENT + \"\\\\.)+\" + RE_IDENT\n },\n {\n begin: \"\\\\b(\" + RE_MODULE_IDENT + \"\\\\.)+\\\\(\",\n end: \"\\\\)\",\n returnBegin: true,\n contains: [\n FUNCTION_BLOCK_MODE,\n {\n begin: '\\\\(',\n end: '\\\\)',\n skip: true\n }\n ].concat(MODULE_ACCESS_CONTENTS)\n },\n {\n begin: \"\\\\b(\" + RE_MODULE_IDENT + \"\\\\.)+{\",\n end: \"}\"\n }\n ],\n contains: MODULE_ACCESS_CONTENTS\n };\n\n PARAMS_CONTENTS.push(MODULE_ACCESS_MODE);\n\n return {\n aliases: ['re'],\n keywords: KEYWORDS,\n illegal: '(:\\\\-|:=|\\\\${|\\\\+=)',\n contains: [\n hljs.COMMENT('/\\\\*', '\\\\*/', { illegal: '^(\\\\#,\\\\/\\\\/)' }),\n {\n className: 'character',\n begin: '\\'(\\\\\\\\[^\\']+|[^\\'])\\'',\n illegal: '\\\\n',\n relevance: 0\n },\n hljs.QUOTE_STRING_MODE,\n {\n className: 'literal',\n begin: '\\\\(\\\\)',\n relevance: 0\n },\n {\n className: 'literal',\n begin: '\\\\[\\\\|',\n end: '\\\\|\\\\]',\n relevance: 0,\n contains: LIST_CONTENTS_MODES\n },\n {\n className: 'literal',\n begin: '\\\\[',\n end: '\\\\]',\n relevance: 0,\n contains: LIST_CONTENTS_MODES\n },\n CONSTRUCTOR_MODE,\n {\n className: 'operator',\n begin: RE_OPERATOR_SPACED,\n illegal: '\\\\-\\\\->',\n relevance: 0\n },\n NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n PATTERN_MATCH_BLOCK_MODE,\n FUNCTION_BLOCK_MODE,\n {\n className: 'module-def',\n begin: \"\\\\bmodule\\\\s+\" + RE_IDENT + \"\\\\s+\" + RE_MODULE_IDENT + \"\\\\s+=\\\\s+{\",\n end: \"}\",\n returnBegin: true,\n keywords: KEYWORDS,\n relevance: 0,\n contains: [\n {\n className: 'module',\n relevance: 0,\n begin: RE_MODULE_IDENT\n },\n {\n begin: '{',\n end: '}',\n skip: true\n }\n ].concat(MODULE_ACCESS_CONTENTS)\n },\n MODULE_ACCESS_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/rib.js b/js/highlight/rib.js
index 019a7a690..2be10a1c4 100644
--- a/js/highlight/rib.js
+++ b/js/highlight/rib.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[142],{508:function(e,n){e.exports=function(e){return{keywords:"ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry Hider Hyperboloid Identity Illuminate Imager Interior LightSource MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd TransformPoints Translate TrimCurve WorldBegin WorldEnd",illegal:"</",contains:[e.HASH_COMMENT_MODE,e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]}}}}]);
-//# sourceMappingURL=rib.js.map?v=16409453f26eabc69e8e \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[142],{509:function(e,n){e.exports=function(e){return{keywords:"ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry Hider Hyperboloid Identity Illuminate Imager Interior LightSource MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd TransformPoints Translate TrimCurve WorldBegin WorldEnd",illegal:"</",contains:[e.HASH_COMMENT_MODE,e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]}}}}]);
+//# sourceMappingURL=rib.js.map?v=58da31919b9b8a36647c \ No newline at end of file
diff --git a/js/highlight/rib.js.map b/js/highlight/rib.js.map
index aefb014dd..d12497c1a 100644
--- a/js/highlight/rib.js.map
+++ b/js/highlight/rib.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/rib.js"],"names":["module","exports","hljs","keywords","illegal","contains","HASH_COMMENT_MODE","C_NUMBER_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SACE,klCAcFC,QAAS,KACTC,SAAU,CACRH,EAAKI,kBACLJ,EAAKK,cACLL,EAAKM,iBACLN,EAAKO","file":"highlight/rib.js?v=16409453f26eabc69e8e","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords:\n 'ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis ' +\n 'Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone ' +\n 'CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail ' +\n 'DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format ' +\n 'FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry ' +\n 'Hider Hyperboloid Identity Illuminate Imager Interior LightSource ' +\n 'MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte ' +\n 'MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option ' +\n 'Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples ' +\n 'PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection ' +\n 'Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ' +\n 'ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere ' +\n 'SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd ' +\n 'TransformPoints Translate TrimCurve WorldBegin WorldEnd',\n illegal: '</',\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.C_NUMBER_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/rib.js"],"names":["module","exports","hljs","keywords","illegal","contains","HASH_COMMENT_MODE","C_NUMBER_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SACE,klCAcFC,QAAS,KACTC,SAAU,CACRH,EAAKI,kBACLJ,EAAKK,cACLL,EAAKM,iBACLN,EAAKO","file":"highlight/rib.js?v=58da31919b9b8a36647c","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords:\n 'ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis ' +\n 'Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone ' +\n 'CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail ' +\n 'DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format ' +\n 'FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry ' +\n 'Hider Hyperboloid Identity Illuminate Imager Interior LightSource ' +\n 'MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte ' +\n 'MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option ' +\n 'Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples ' +\n 'PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection ' +\n 'Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ' +\n 'ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere ' +\n 'SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd ' +\n 'TransformPoints Translate TrimCurve WorldBegin WorldEnd',\n illegal: '</',\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.C_NUMBER_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/roboconf.js b/js/highlight/roboconf.js
index 03849dcfe..b1e6f686c 100644
--- a/js/highlight/roboconf.js
+++ b/js/highlight/roboconf.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[143],{509:function(n,e){n.exports=function(n){var e={className:"attribute",begin:/[a-zA-Z-_]+/,end:/\s*:/,excludeEnd:!0,starts:{end:";",relevance:0,contains:[{className:"variable",begin:/\.[a-zA-Z-_]+/},{className:"keyword",begin:/\(optional\)/}]}};return{aliases:["graph","instances"],case_insensitive:!0,keywords:"import",contains:[{begin:"^facet [a-zA-Z-_][^\\n{]+\\{",end:"}",keywords:"facet",contains:[e,n.HASH_COMMENT_MODE]},{begin:"^\\s*instance of [a-zA-Z-_][^\\n{]+\\{",end:"}",keywords:"name count channels instance-data instance-state instance of",illegal:/\S/,contains:["self",e,n.HASH_COMMENT_MODE]},{begin:"^[a-zA-Z-_][^\\n{]+\\{",end:"}",contains:[e,n.HASH_COMMENT_MODE]},n.HASH_COMMENT_MODE]}}}}]);
-//# sourceMappingURL=roboconf.js.map?v=597706970806bd69104f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[143],{510:function(n,e){n.exports=function(n){var e={className:"attribute",begin:/[a-zA-Z-_]+/,end:/\s*:/,excludeEnd:!0,starts:{end:";",relevance:0,contains:[{className:"variable",begin:/\.[a-zA-Z-_]+/},{className:"keyword",begin:/\(optional\)/}]}};return{aliases:["graph","instances"],case_insensitive:!0,keywords:"import",contains:[{begin:"^facet [a-zA-Z-_][^\\n{]+\\{",end:"}",keywords:"facet",contains:[e,n.HASH_COMMENT_MODE]},{begin:"^\\s*instance of [a-zA-Z-_][^\\n{]+\\{",end:"}",keywords:"name count channels instance-data instance-state instance of",illegal:/\S/,contains:["self",e,n.HASH_COMMENT_MODE]},{begin:"^[a-zA-Z-_][^\\n{]+\\{",end:"}",contains:[e,n.HASH_COMMENT_MODE]},n.HASH_COMMENT_MODE]}}}}]);
+//# sourceMappingURL=roboconf.js.map?v=6f6f8504ef26f304d74a \ No newline at end of file
diff --git a/js/highlight/roboconf.js.map b/js/highlight/roboconf.js.map
index 9ca82320a..6903b143a 100644
--- a/js/highlight/roboconf.js.map
+++ b/js/highlight/roboconf.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/roboconf.js"],"names":["module","exports","hljs","PROPERTY","className","begin","end","excludeEnd","starts","relevance","contains","aliases","case_insensitive","keywords","HASH_COMMENT_MODE","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAEIC,EAAW,CACbC,UAAW,YACXC,MAAO,cAAeC,IAAK,OAAQC,YAAY,EAC/CC,OAAQ,CACNF,IAAK,IACLG,UAAW,EACXC,SAAU,CACR,CACEN,UAAW,WACXC,MAAO,iBAET,CACED,UAAW,UACXC,MAAO,mBAMf,MAAO,CACLM,QAAS,CAAC,QAAS,aACnBC,kBAAkB,EAClBC,SAAU,SACVH,SAAU,CAER,CACEL,MAAO,+BACPC,IAAK,IACLO,SAAU,QACVH,SAAU,CACRP,EACAD,EAAKY,oBAKT,CACET,MAAO,yCACPC,IAAK,IACLO,SAAU,+DACVE,QAAS,KACTL,SAAU,CACR,OACAP,EACAD,EAAKY,oBAKT,CACET,MAAO,yBACPC,IAAK,IACLI,SAAU,CACRP,EACAD,EAAKY,oBAKTZ,EAAKY","file":"highlight/roboconf.js?v=597706970806bd69104f","sourcesContent":["module.exports = function(hljs) {\n var IDENTIFIER = '[a-zA-Z-_][^\\\\n{]+\\\\{';\n\n var PROPERTY = {\n className: 'attribute',\n begin: /[a-zA-Z-_]+/, end: /\\s*:/, excludeEnd: true,\n starts: {\n end: ';',\n relevance: 0,\n contains: [\n {\n className: 'variable',\n begin: /\\.[a-zA-Z-_]+/\n },\n {\n className: 'keyword',\n begin: /\\(optional\\)/\n }\n ]\n }\n };\n\n return {\n aliases: ['graph', 'instances'],\n case_insensitive: true,\n keywords: 'import',\n contains: [\n // Facet sections\n {\n begin: '^facet ' + IDENTIFIER,\n end: '}',\n keywords: 'facet',\n contains: [\n PROPERTY,\n hljs.HASH_COMMENT_MODE\n ]\n },\n\n // Instance sections\n {\n begin: '^\\\\s*instance of ' + IDENTIFIER,\n end: '}',\n keywords: 'name count channels instance-data instance-state instance of',\n illegal: /\\S/,\n contains: [\n 'self',\n PROPERTY,\n hljs.HASH_COMMENT_MODE\n ]\n },\n\n // Component sections\n {\n begin: '^' + IDENTIFIER,\n end: '}',\n contains: [\n PROPERTY,\n hljs.HASH_COMMENT_MODE\n ]\n },\n\n // Comments\n hljs.HASH_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/roboconf.js"],"names":["module","exports","hljs","PROPERTY","className","begin","end","excludeEnd","starts","relevance","contains","aliases","case_insensitive","keywords","HASH_COMMENT_MODE","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAEIC,EAAW,CACbC,UAAW,YACXC,MAAO,cAAeC,IAAK,OAAQC,YAAY,EAC/CC,OAAQ,CACNF,IAAK,IACLG,UAAW,EACXC,SAAU,CACR,CACEN,UAAW,WACXC,MAAO,iBAET,CACED,UAAW,UACXC,MAAO,mBAMf,MAAO,CACLM,QAAS,CAAC,QAAS,aACnBC,kBAAkB,EAClBC,SAAU,SACVH,SAAU,CAER,CACEL,MAAO,+BACPC,IAAK,IACLO,SAAU,QACVH,SAAU,CACRP,EACAD,EAAKY,oBAKT,CACET,MAAO,yCACPC,IAAK,IACLO,SAAU,+DACVE,QAAS,KACTL,SAAU,CACR,OACAP,EACAD,EAAKY,oBAKT,CACET,MAAO,yBACPC,IAAK,IACLI,SAAU,CACRP,EACAD,EAAKY,oBAKTZ,EAAKY","file":"highlight/roboconf.js?v=6f6f8504ef26f304d74a","sourcesContent":["module.exports = function(hljs) {\n var IDENTIFIER = '[a-zA-Z-_][^\\\\n{]+\\\\{';\n\n var PROPERTY = {\n className: 'attribute',\n begin: /[a-zA-Z-_]+/, end: /\\s*:/, excludeEnd: true,\n starts: {\n end: ';',\n relevance: 0,\n contains: [\n {\n className: 'variable',\n begin: /\\.[a-zA-Z-_]+/\n },\n {\n className: 'keyword',\n begin: /\\(optional\\)/\n }\n ]\n }\n };\n\n return {\n aliases: ['graph', 'instances'],\n case_insensitive: true,\n keywords: 'import',\n contains: [\n // Facet sections\n {\n begin: '^facet ' + IDENTIFIER,\n end: '}',\n keywords: 'facet',\n contains: [\n PROPERTY,\n hljs.HASH_COMMENT_MODE\n ]\n },\n\n // Instance sections\n {\n begin: '^\\\\s*instance of ' + IDENTIFIER,\n end: '}',\n keywords: 'name count channels instance-data instance-state instance of',\n illegal: /\\S/,\n contains: [\n 'self',\n PROPERTY,\n hljs.HASH_COMMENT_MODE\n ]\n },\n\n // Component sections\n {\n begin: '^' + IDENTIFIER,\n end: '}',\n contains: [\n PROPERTY,\n hljs.HASH_COMMENT_MODE\n ]\n },\n\n // Comments\n hljs.HASH_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/routeros.js b/js/highlight/routeros.js
index d32c3b36e..62f75795a 100644
--- a/js/highlight/routeros.js
+++ b/js/highlight/routeros.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[144],{510:function(e,n){e.exports=function(e){var n="foreach do while for if from to step else on-error and or not in",i="true false yes no nothing nil null",r={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)}/}]},s={className:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,r,{className:"variable",begin:/\$\(/,end:/\)/,contains:[e.BACKSLASH_ESCAPE]}]},t={className:"string",begin:/'/,end:/'/};return{aliases:["routeros","mikrotik"],case_insensitive:!0,lexemes:/:?[\w-]+/,keywords:{literal:i,keyword:n+" :"+n.split(" ").join(" :")+" :"+"global local beep delay put len typeof pick log time set find environment terminal error execute parse resolve toarray tobool toid toip toip6 tonum tostr totime".split(" ").join(" :")},contains:[{variants:[{begin:/^@/,end:/$/},{begin:/\/\*/,end:/\*\//},{begin:/%%/,end:/$/},{begin:/^'/,end:/$/},{begin:/^\s*\/[\w-]+=/,end:/$/},{begin:/\/\//,end:/$/},{begin:/^\[\</,end:/\>\]$/},{begin:/<\//,end:/>/},{begin:/^facet /,end:/\}/},{begin:"^1\\.\\.(\\d+)$",end:/$/}],illegal:/./},e.COMMENT("^#","$"),s,t,r,{begin:/[\w-]+\=([^\s\{\}\[\]\(\)]+)/,relevance:0,returnBegin:!0,contains:[{className:"attribute",begin:/[^=]+/},{begin:/=/,endsWithParent:!0,relevance:0,contains:[s,t,r,{className:"literal",begin:"\\b("+i.split(" ").join("|")+")\\b"},{begin:/("[^"]*"|[^\s\{\}\[\]]+)/}]}]},{className:"number",begin:/\*[0-9a-fA-F]+/},{begin:"\\b("+"add remove enable disable set get print export edit find run debug error info warning".split(" ").join("|")+")([\\s[(]|])",returnBegin:!0,contains:[{className:"builtin-name",begin:/\w+/}]},{className:"built_in",variants:[{begin:"(\\.\\./|/|\\s)(("+"traffic-flow traffic-generator firewall scheduler aaa accounting address-list address align area bandwidth-server bfd bgp bridge client clock community config connection console customer default dhcp-client dhcp-server discovery dns e-mail ethernet filter firewall firmware gps graphing group hardware health hotspot identity igmp-proxy incoming instance interface ip ipsec ipv6 irq l2tp-server lcd ldp logging mac-server mac-winbox mangle manual mirror mme mpls nat nd neighbor network note ntp ospf ospf-v3 ovpn-server page peer pim ping policy pool port ppp pppoe-client pptp-server prefix profile proposal proxy queue radius resource rip ripng route routing screen script security-profiles server service service-port settings shares smb sms sniffer snmp snooper socks sstp-server system tool tracking type upgrade upnp user-manager users user vlan secret vrrp watchdog web-access wireless pptp pppoe lan wan layer7-protocol lease simple raw".split(" ").join("|")+");?\\s)+",relevance:10},{begin:/\.\./}]}]}}}}]);
-//# sourceMappingURL=routeros.js.map?v=c16c9e14a4fae991856e \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[144],{511:function(e,n){e.exports=function(e){var n="foreach do while for if from to step else on-error and or not in",i="true false yes no nothing nil null",r={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)}/}]},s={className:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,r,{className:"variable",begin:/\$\(/,end:/\)/,contains:[e.BACKSLASH_ESCAPE]}]},t={className:"string",begin:/'/,end:/'/};return{aliases:["routeros","mikrotik"],case_insensitive:!0,lexemes:/:?[\w-]+/,keywords:{literal:i,keyword:n+" :"+n.split(" ").join(" :")+" :"+"global local beep delay put len typeof pick log time set find environment terminal error execute parse resolve toarray tobool toid toip toip6 tonum tostr totime".split(" ").join(" :")},contains:[{variants:[{begin:/^@/,end:/$/},{begin:/\/\*/,end:/\*\//},{begin:/%%/,end:/$/},{begin:/^'/,end:/$/},{begin:/^\s*\/[\w-]+=/,end:/$/},{begin:/\/\//,end:/$/},{begin:/^\[\</,end:/\>\]$/},{begin:/<\//,end:/>/},{begin:/^facet /,end:/\}/},{begin:"^1\\.\\.(\\d+)$",end:/$/}],illegal:/./},e.COMMENT("^#","$"),s,t,r,{begin:/[\w-]+\=([^\s\{\}\[\]\(\)]+)/,relevance:0,returnBegin:!0,contains:[{className:"attribute",begin:/[^=]+/},{begin:/=/,endsWithParent:!0,relevance:0,contains:[s,t,r,{className:"literal",begin:"\\b("+i.split(" ").join("|")+")\\b"},{begin:/("[^"]*"|[^\s\{\}\[\]]+)/}]}]},{className:"number",begin:/\*[0-9a-fA-F]+/},{begin:"\\b("+"add remove enable disable set get print export edit find run debug error info warning".split(" ").join("|")+")([\\s[(]|])",returnBegin:!0,contains:[{className:"builtin-name",begin:/\w+/}]},{className:"built_in",variants:[{begin:"(\\.\\./|/|\\s)(("+"traffic-flow traffic-generator firewall scheduler aaa accounting address-list address align area bandwidth-server bfd bgp bridge client clock community config connection console customer default dhcp-client dhcp-server discovery dns e-mail ethernet filter firewall firmware gps graphing group hardware health hotspot identity igmp-proxy incoming instance interface ip ipsec ipv6 irq l2tp-server lcd ldp logging mac-server mac-winbox mangle manual mirror mme mpls nat nd neighbor network note ntp ospf ospf-v3 ovpn-server page peer pim ping policy pool port ppp pppoe-client pptp-server prefix profile proposal proxy queue radius resource rip ripng route routing screen script security-profiles server service service-port settings shares smb sms sniffer snmp snooper socks sstp-server system tool tracking type upgrade upnp user-manager users user vlan secret vrrp watchdog web-access wireless pptp pppoe lan wan layer7-protocol lease simple raw".split(" ").join("|")+");?\\s)+",relevance:10},{begin:/\.\./}]}]}}}}]);
+//# sourceMappingURL=routeros.js.map?v=98591744cbe1686b2e09 \ No newline at end of file
diff --git a/js/highlight/routeros.js.map b/js/highlight/routeros.js.map
index 467e876d6..c3e17d5f9 100644
--- a/js/highlight/routeros.js.map
+++ b/js/highlight/routeros.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/routeros.js"],"names":["module","exports","hljs","STATEMENTS","LITERALS","VAR","className","variants","begin","QUOTE_STRING","end","contains","BACKSLASH_ESCAPE","APOS_STRING","aliases","case_insensitive","lexemes","keywords","literal","keyword","split","join","illegal","COMMENT","relevance","returnBegin","endsWithParent"],"mappings":"qFAAAA,EAAOC,QAMP,SAASC,GAEP,IAAIC,EAAa,mEAQbC,EAAW,qCAaXC,EAAM,CACRC,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,sBACR,CAACA,MAAO,gBAIRC,EAAe,CACjBH,UAAW,SACXE,MAAO,IAAKE,IAAK,IACjBC,SAAU,CACRT,EAAKU,iBACLP,EACA,CACEC,UAAW,WACXE,MAAO,OAAQE,IAAK,KACpBC,SAAU,CAACT,EAAKU,qBAKlBC,EAAc,CAChBP,UAAW,SACXE,MAAO,IAAKE,IAAK,KAMnB,MAAO,CACLI,QAAS,CAAC,WAAY,YACtBC,kBAAkB,EAClBC,QAAS,WACTC,SAAU,CACRC,QAASd,EACTe,QAAShB,EAAa,KAAOA,EAAWiB,MAAM,KAAKC,KAAK,MAAQ,KAtD9C,mKAsDqED,MAAM,KAAKC,KAAK,OAEzGV,SAAU,CACR,CACEJ,SAAU,CACR,CAAEC,MAAO,KAAME,IAAK,KACpB,CAAEF,MAAO,OAAQE,IAAK,QACtB,CAAEF,MAAO,KAAME,IAAK,KACpB,CAAEF,MAAO,KAAME,IAAK,KACpB,CAAEF,MAAO,gBAAiBE,IAAK,KAC/B,CAAEF,MAAO,OAAQE,IAAK,KACtB,CAAEF,MAAO,QAASE,IAAK,SACvB,CAAEF,MAAO,MAAOE,IAAK,KACrB,CAAEF,MAAO,UAAWE,IAAK,MACzB,CAAEF,MAAO,kBAAmBE,IAAK,MAEnCY,QAAS,KAEXpB,EAAKqB,QAAQ,KAAM,KACnBd,EACAI,EACAR,EACA,CACEG,MAAO,+BACPgB,UAAW,EACXC,aAAa,EACbd,SAAU,CACR,CACEL,UAAW,YACXE,MAAO,SAET,CACEA,MAAO,IACPkB,gBAAiB,EACjBF,UAAW,EACXb,SAAU,CACRF,EACAI,EACAR,EACA,CACEC,UAAW,UACXE,MAAO,OAASJ,EAASgB,MAAM,KAAKC,KAAK,KAAO,QAgBlD,CAGEb,MAAO,gCAMjB,CAEEF,UAAW,SACXE,MAAO,kBAGT,CACEA,MAAO,OA5HS,wFA4HgBY,MAAM,KAAKC,KAAK,KAAO,eACvDI,aAAa,EACbd,SAAU,CACR,CACEL,UAAW,eACXE,MAAO,SAKb,CACEF,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,oBArIF,o7BAqIgCY,MAAM,KAAKC,KAAK,KAAO,WAAWG,UAAW,IACnF,CAAChB,MAAO","file":"highlight/routeros.js?v=c16c9e14a4fae991856e","sourcesContent":["module.exports = // Colors from RouterOS terminal:\n// green - #0E9A00\n// teal - #0C9A9A\n// purple - #99069A\n// light-brown - #9A9900\n\nfunction(hljs) {\n\n var STATEMENTS = 'foreach do while for if from to step else on-error and or not in';\n\n // Global commands: Every global command should start with \":\" token, otherwise it will be treated as variable.\n var GLOBAL_COMMANDS = 'global local beep delay put len typeof pick log time set find environment terminal error execute parse resolve toarray tobool toid toip toip6 tonum tostr totime';\n\n // Common commands: Following commands available from most sub-menus:\n var COMMON_COMMANDS = 'add remove enable disable set get print export edit find run debug error info warning';\n\n var LITERALS = 'true false yes no nothing nil null';\n\n var OBJECTS = 'traffic-flow traffic-generator firewall scheduler aaa accounting address-list address align area bandwidth-server bfd bgp bridge client clock community config connection console customer default dhcp-client dhcp-server discovery dns e-mail ethernet filter firewall firmware gps graphing group hardware health hotspot identity igmp-proxy incoming instance interface ip ipsec ipv6 irq l2tp-server lcd ldp logging mac-server mac-winbox mangle manual mirror mme mpls nat nd neighbor network note ntp ospf ospf-v3 ovpn-server page peer pim ping policy pool port ppp pppoe-client pptp-server prefix profile proposal proxy queue radius resource rip ripng route routing screen script security-profiles server service service-port settings shares smb sms sniffer snmp snooper socks sstp-server system tool tracking type upgrade upnp user-manager users user vlan secret vrrp watchdog web-access wireless pptp pppoe lan wan layer7-protocol lease simple raw';\n\n // print parameters\n // Several parameters are available for print command:\n // ToDo: var PARAMETERS_PRINT = 'append as-value brief detail count-only file follow follow-only from interval terse value-list without-paging where info';\n // ToDo: var OPERATORS = '&& and ! not || or in ~ ^ & << >> + - * /';\n // ToDo: var TYPES = 'num number bool boolean str string ip ip6-prefix id time array';\n // ToDo: The following tokens serve as delimiters in the grammar: () [] {} : ; $ /\n\n var VAR_PREFIX = 'global local set for foreach';\n\n var VAR = {\n className: 'variable',\n variants: [\n {begin: /\\$[\\w\\d#@][\\w\\d_]*/},\n {begin: /\\$\\{(.*?)}/}\n ]\n };\n\n var QUOTE_STRING = {\n className: 'string',\n begin: /\"/, end: /\"/,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n VAR,\n {\n className: 'variable',\n begin: /\\$\\(/, end: /\\)/,\n contains: [hljs.BACKSLASH_ESCAPE]\n }\n ]\n };\n\n var APOS_STRING = {\n className: 'string',\n begin: /'/, end: /'/\n };\n\n var IPADDR = '((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\.){3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\\\b';\n var IPADDR_wBITMASK = IPADDR+'/(3[0-2]|[1-2][0-9]|\\\\d)';\n //////////////////////////////////////////////////////////////////////\n return {\n aliases: ['routeros', 'mikrotik'],\n case_insensitive: true,\n lexemes: /:?[\\w-]+/,\n keywords: {\n literal: LITERALS,\n keyword: STATEMENTS + ' :' + STATEMENTS.split(' ').join(' :') + ' :' + GLOBAL_COMMANDS.split(' ').join(' :'),\n },\n contains: [\n { // недопустимые конструкции\n variants: [\n { begin: /^@/, end: /$/, }, // dns\n { begin: /\\/\\*/, end: /\\*\\//, }, // -- comment\n { begin: /%%/, end: /$/, }, // -- comment\n { begin: /^'/, end: /$/, }, // Monkey one line comment\n { begin: /^\\s*\\/[\\w-]+=/, end: /$/, }, // jboss-cli\n { begin: /\\/\\//, end: /$/, }, // Stan comment\n { begin: /^\\[\\</, end: /\\>\\]$/, }, // F# class declaration?\n { begin: /<\\//, end: />/, }, // HTML tags\n { begin: /^facet /, end: /\\}/, }, // roboconf - лютый костыль )))\n { begin: '^1\\\\.\\\\.(\\\\d+)$', end: /$/, }, // tap\n ],\n illegal: /./,\n },\n hljs.COMMENT('^#', '$'),\n QUOTE_STRING,\n APOS_STRING,\n VAR,\n { // attribute=value\n begin: /[\\w-]+\\=([^\\s\\{\\}\\[\\]\\(\\)]+)/,\n relevance: 0,\n returnBegin: true,\n contains: [\n {\n className: 'attribute',\n begin: /[^=]+/\n },\n {\n begin: /=/,\n endsWithParent: true,\n relevance: 0,\n contains: [\n QUOTE_STRING,\n APOS_STRING,\n VAR,\n {\n className: 'literal',\n begin: '\\\\b(' + LITERALS.split(' ').join('|') + ')\\\\b',\n },\n /*{\n // IPv4 addresses and subnets\n className: 'number',\n variants: [\n {begin: IPADDR_wBITMASK+'(,'+IPADDR_wBITMASK+')*'}, //192.168.0.0/24,1.2.3.0/24\n {begin: IPADDR+'-'+IPADDR}, // 192.168.0.1-192.168.0.3\n {begin: IPADDR+'(,'+IPADDR+')*'}, // 192.168.0.1,192.168.0.34,192.168.24.1,192.168.0.1\n ]\n }, // */\n /*{\n // MAC addresses and DHCP Client IDs\n className: 'number',\n begin: /\\b(1:)?([0-9A-Fa-f]{1,2}[:-]){5}([0-9A-Fa-f]){1,2}\\b/,\n }, //*/\n {\n // Не форматировать не классифицированные значения. Необходимо для исключения подсветки значений как built_in.\n // className: 'number',\n begin: /(\"[^\"]*\"|[^\\s\\{\\}\\[\\]]+)/,\n }, //*/\n ]\n } //*/\n ]\n },//*/\n {\n // HEX values\n className: 'number',\n begin: /\\*[0-9a-fA-F]+/,\n }, //*/\n\n {\n begin: '\\\\b(' + COMMON_COMMANDS.split(' ').join('|') + ')([\\\\s\\[\\(]|\\])',\n returnBegin: true,\n contains: [\n {\n className: 'builtin-name', //'function',\n begin: /\\w+/,\n },\n ],\n },\n\n {\n className: 'built_in',\n variants: [\n {begin: '(\\\\.\\\\./|/|\\\\s)((' + OBJECTS.split(' ').join('|') + ');?\\\\s)+',relevance: 10,},\n {begin: /\\.\\./,},\n ],\n },//*/\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/routeros.js"],"names":["module","exports","hljs","STATEMENTS","LITERALS","VAR","className","variants","begin","QUOTE_STRING","end","contains","BACKSLASH_ESCAPE","APOS_STRING","aliases","case_insensitive","lexemes","keywords","literal","keyword","split","join","illegal","COMMENT","relevance","returnBegin","endsWithParent"],"mappings":"qFAAAA,EAAOC,QAMP,SAASC,GAEP,IAAIC,EAAa,mEAQbC,EAAW,qCAaXC,EAAM,CACRC,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,sBACR,CAACA,MAAO,gBAIRC,EAAe,CACjBH,UAAW,SACXE,MAAO,IAAKE,IAAK,IACjBC,SAAU,CACRT,EAAKU,iBACLP,EACA,CACEC,UAAW,WACXE,MAAO,OAAQE,IAAK,KACpBC,SAAU,CAACT,EAAKU,qBAKlBC,EAAc,CAChBP,UAAW,SACXE,MAAO,IAAKE,IAAK,KAMnB,MAAO,CACLI,QAAS,CAAC,WAAY,YACtBC,kBAAkB,EAClBC,QAAS,WACTC,SAAU,CACRC,QAASd,EACTe,QAAShB,EAAa,KAAOA,EAAWiB,MAAM,KAAKC,KAAK,MAAQ,KAtD9C,mKAsDqED,MAAM,KAAKC,KAAK,OAEzGV,SAAU,CACR,CACEJ,SAAU,CACR,CAAEC,MAAO,KAAME,IAAK,KACpB,CAAEF,MAAO,OAAQE,IAAK,QACtB,CAAEF,MAAO,KAAME,IAAK,KACpB,CAAEF,MAAO,KAAME,IAAK,KACpB,CAAEF,MAAO,gBAAiBE,IAAK,KAC/B,CAAEF,MAAO,OAAQE,IAAK,KACtB,CAAEF,MAAO,QAASE,IAAK,SACvB,CAAEF,MAAO,MAAOE,IAAK,KACrB,CAAEF,MAAO,UAAWE,IAAK,MACzB,CAAEF,MAAO,kBAAmBE,IAAK,MAEnCY,QAAS,KAEXpB,EAAKqB,QAAQ,KAAM,KACnBd,EACAI,EACAR,EACA,CACEG,MAAO,+BACPgB,UAAW,EACXC,aAAa,EACbd,SAAU,CACR,CACEL,UAAW,YACXE,MAAO,SAET,CACEA,MAAO,IACPkB,gBAAiB,EACjBF,UAAW,EACXb,SAAU,CACRF,EACAI,EACAR,EACA,CACEC,UAAW,UACXE,MAAO,OAASJ,EAASgB,MAAM,KAAKC,KAAK,KAAO,QAgBlD,CAGEb,MAAO,gCAMjB,CAEEF,UAAW,SACXE,MAAO,kBAGT,CACEA,MAAO,OA5HS,wFA4HgBY,MAAM,KAAKC,KAAK,KAAO,eACvDI,aAAa,EACbd,SAAU,CACR,CACEL,UAAW,eACXE,MAAO,SAKb,CACEF,UAAW,WACXC,SAAU,CACR,CAACC,MAAO,oBArIF,o7BAqIgCY,MAAM,KAAKC,KAAK,KAAO,WAAWG,UAAW,IACnF,CAAChB,MAAO","file":"highlight/routeros.js?v=98591744cbe1686b2e09","sourcesContent":["module.exports = // Colors from RouterOS terminal:\n// green - #0E9A00\n// teal - #0C9A9A\n// purple - #99069A\n// light-brown - #9A9900\n\nfunction(hljs) {\n\n var STATEMENTS = 'foreach do while for if from to step else on-error and or not in';\n\n // Global commands: Every global command should start with \":\" token, otherwise it will be treated as variable.\n var GLOBAL_COMMANDS = 'global local beep delay put len typeof pick log time set find environment terminal error execute parse resolve toarray tobool toid toip toip6 tonum tostr totime';\n\n // Common commands: Following commands available from most sub-menus:\n var COMMON_COMMANDS = 'add remove enable disable set get print export edit find run debug error info warning';\n\n var LITERALS = 'true false yes no nothing nil null';\n\n var OBJECTS = 'traffic-flow traffic-generator firewall scheduler aaa accounting address-list address align area bandwidth-server bfd bgp bridge client clock community config connection console customer default dhcp-client dhcp-server discovery dns e-mail ethernet filter firewall firmware gps graphing group hardware health hotspot identity igmp-proxy incoming instance interface ip ipsec ipv6 irq l2tp-server lcd ldp logging mac-server mac-winbox mangle manual mirror mme mpls nat nd neighbor network note ntp ospf ospf-v3 ovpn-server page peer pim ping policy pool port ppp pppoe-client pptp-server prefix profile proposal proxy queue radius resource rip ripng route routing screen script security-profiles server service service-port settings shares smb sms sniffer snmp snooper socks sstp-server system tool tracking type upgrade upnp user-manager users user vlan secret vrrp watchdog web-access wireless pptp pppoe lan wan layer7-protocol lease simple raw';\n\n // print parameters\n // Several parameters are available for print command:\n // ToDo: var PARAMETERS_PRINT = 'append as-value brief detail count-only file follow follow-only from interval terse value-list without-paging where info';\n // ToDo: var OPERATORS = '&& and ! not || or in ~ ^ & << >> + - * /';\n // ToDo: var TYPES = 'num number bool boolean str string ip ip6-prefix id time array';\n // ToDo: The following tokens serve as delimiters in the grammar: () [] {} : ; $ /\n\n var VAR_PREFIX = 'global local set for foreach';\n\n var VAR = {\n className: 'variable',\n variants: [\n {begin: /\\$[\\w\\d#@][\\w\\d_]*/},\n {begin: /\\$\\{(.*?)}/}\n ]\n };\n\n var QUOTE_STRING = {\n className: 'string',\n begin: /\"/, end: /\"/,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n VAR,\n {\n className: 'variable',\n begin: /\\$\\(/, end: /\\)/,\n contains: [hljs.BACKSLASH_ESCAPE]\n }\n ]\n };\n\n var APOS_STRING = {\n className: 'string',\n begin: /'/, end: /'/\n };\n\n var IPADDR = '((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\.){3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\\\b';\n var IPADDR_wBITMASK = IPADDR+'/(3[0-2]|[1-2][0-9]|\\\\d)';\n //////////////////////////////////////////////////////////////////////\n return {\n aliases: ['routeros', 'mikrotik'],\n case_insensitive: true,\n lexemes: /:?[\\w-]+/,\n keywords: {\n literal: LITERALS,\n keyword: STATEMENTS + ' :' + STATEMENTS.split(' ').join(' :') + ' :' + GLOBAL_COMMANDS.split(' ').join(' :'),\n },\n contains: [\n { // недопустимые конструкции\n variants: [\n { begin: /^@/, end: /$/, }, // dns\n { begin: /\\/\\*/, end: /\\*\\//, }, // -- comment\n { begin: /%%/, end: /$/, }, // -- comment\n { begin: /^'/, end: /$/, }, // Monkey one line comment\n { begin: /^\\s*\\/[\\w-]+=/, end: /$/, }, // jboss-cli\n { begin: /\\/\\//, end: /$/, }, // Stan comment\n { begin: /^\\[\\</, end: /\\>\\]$/, }, // F# class declaration?\n { begin: /<\\//, end: />/, }, // HTML tags\n { begin: /^facet /, end: /\\}/, }, // roboconf - лютый костыль )))\n { begin: '^1\\\\.\\\\.(\\\\d+)$', end: /$/, }, // tap\n ],\n illegal: /./,\n },\n hljs.COMMENT('^#', '$'),\n QUOTE_STRING,\n APOS_STRING,\n VAR,\n { // attribute=value\n begin: /[\\w-]+\\=([^\\s\\{\\}\\[\\]\\(\\)]+)/,\n relevance: 0,\n returnBegin: true,\n contains: [\n {\n className: 'attribute',\n begin: /[^=]+/\n },\n {\n begin: /=/,\n endsWithParent: true,\n relevance: 0,\n contains: [\n QUOTE_STRING,\n APOS_STRING,\n VAR,\n {\n className: 'literal',\n begin: '\\\\b(' + LITERALS.split(' ').join('|') + ')\\\\b',\n },\n /*{\n // IPv4 addresses and subnets\n className: 'number',\n variants: [\n {begin: IPADDR_wBITMASK+'(,'+IPADDR_wBITMASK+')*'}, //192.168.0.0/24,1.2.3.0/24\n {begin: IPADDR+'-'+IPADDR}, // 192.168.0.1-192.168.0.3\n {begin: IPADDR+'(,'+IPADDR+')*'}, // 192.168.0.1,192.168.0.34,192.168.24.1,192.168.0.1\n ]\n }, // */\n /*{\n // MAC addresses and DHCP Client IDs\n className: 'number',\n begin: /\\b(1:)?([0-9A-Fa-f]{1,2}[:-]){5}([0-9A-Fa-f]){1,2}\\b/,\n }, //*/\n {\n // Не форматировать не классифицированные значения. Необходимо для исключения подсветки значений как built_in.\n // className: 'number',\n begin: /(\"[^\"]*\"|[^\\s\\{\\}\\[\\]]+)/,\n }, //*/\n ]\n } //*/\n ]\n },//*/\n {\n // HEX values\n className: 'number',\n begin: /\\*[0-9a-fA-F]+/,\n }, //*/\n\n {\n begin: '\\\\b(' + COMMON_COMMANDS.split(' ').join('|') + ')([\\\\s\\[\\(]|\\])',\n returnBegin: true,\n contains: [\n {\n className: 'builtin-name', //'function',\n begin: /\\w+/,\n },\n ],\n },\n\n {\n className: 'built_in',\n variants: [\n {begin: '(\\\\.\\\\./|/|\\\\s)((' + OBJECTS.split(' ').join('|') + ');?\\\\s)+',relevance: 10,},\n {begin: /\\.\\./,},\n ],\n },//*/\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/rsl.js b/js/highlight/rsl.js
index 4aa49bfa5..6016f882b 100644
--- a/js/highlight/rsl.js
+++ b/js/highlight/rsl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[145],{511:function(e,n){e.exports=function(e){return{keywords:{keyword:"float color point normal vector matrix while for if do return else break extern continue",built_in:"abs acos ambient area asin atan atmosphere attribute calculatenormal ceil cellnoise clamp comp concat cos degrees depth Deriv diffuse distance Du Dv environment exp faceforward filterstep floor format fresnel incident length lightsource log match max min mod noise normalize ntransform opposite option phong pnoise pow printf ptlined radians random reflect refract renderinfo round setcomp setxcomp setycomp setzcomp shadow sign sin smoothstep specular specularbrdf spline sqrt step tan texture textureinfo trace transform vtransform xcomp ycomp zcomp"},illegal:"</",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},{className:"class",beginKeywords:"surface displacement light volume imager",end:"\\("},{beginKeywords:"illuminate illuminance gather",end:"\\("}]}}}}]);
-//# sourceMappingURL=rsl.js.map?v=0fb08bc1cfc2e430eaff \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[145],{512:function(e,n){e.exports=function(e){return{keywords:{keyword:"float color point normal vector matrix while for if do return else break extern continue",built_in:"abs acos ambient area asin atan atmosphere attribute calculatenormal ceil cellnoise clamp comp concat cos degrees depth Deriv diffuse distance Du Dv environment exp faceforward filterstep floor format fresnel incident length lightsource log match max min mod noise normalize ntransform opposite option phong pnoise pow printf ptlined radians random reflect refract renderinfo round setcomp setxcomp setycomp setzcomp shadow sign sin smoothstep specular specularbrdf spline sqrt step tan texture textureinfo trace transform vtransform xcomp ycomp zcomp"},illegal:"</",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},{className:"class",beginKeywords:"surface displacement light volume imager",end:"\\("},{beginKeywords:"illuminate illuminance gather",end:"\\("}]}}}}]);
+//# sourceMappingURL=rsl.js.map?v=54ebb876ef10f26f55f1 \ No newline at end of file
diff --git a/js/highlight/rsl.js.map b/js/highlight/rsl.js.map
index f12b80358..69d6a6a2c 100644
--- a/js/highlight/rsl.js.map
+++ b/js/highlight/rsl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/rsl.js"],"names":["module","exports","hljs","keywords","keyword","built_in","illegal","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","C_NUMBER_MODE","className","begin","end","beginKeywords"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QACE,2FACFC,SACE,2iBAQJC,QAAS,KACTC,SAAU,CACRL,EAAKM,oBACLN,EAAKO,qBACLP,EAAKQ,kBACLR,EAAKS,iBACLT,EAAKU,cACL,CACEC,UAAW,OACXC,MAAO,IAAKC,IAAK,KAEnB,CACEF,UAAW,QACXG,cAAe,2CAA4CD,IAAK,OAElE,CACEC,cAAe,gCAAiCD,IAAK","file":"highlight/rsl.js?v=0fb08bc1cfc2e430eaff","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword:\n 'float color point normal vector matrix while for if do return else break extern continue',\n built_in:\n 'abs acos ambient area asin atan atmosphere attribute calculatenormal ceil cellnoise ' +\n 'clamp comp concat cos degrees depth Deriv diffuse distance Du Dv environment exp ' +\n 'faceforward filterstep floor format fresnel incident length lightsource log match ' +\n 'max min mod noise normalize ntransform opposite option phong pnoise pow printf ' +\n 'ptlined radians random reflect refract renderinfo round setcomp setxcomp setycomp ' +\n 'setzcomp shadow sign sin smoothstep specular specularbrdf spline sqrt step tan ' +\n 'texture textureinfo trace transform vtransform xcomp ycomp zcomp'\n },\n illegal: '</',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '#', end: '$'\n },\n {\n className: 'class',\n beginKeywords: 'surface displacement light volume imager', end: '\\\\('\n },\n {\n beginKeywords: 'illuminate illuminance gather', end: '\\\\('\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/rsl.js"],"names":["module","exports","hljs","keywords","keyword","built_in","illegal","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","C_NUMBER_MODE","className","begin","end","beginKeywords"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QACE,2FACFC,SACE,2iBAQJC,QAAS,KACTC,SAAU,CACRL,EAAKM,oBACLN,EAAKO,qBACLP,EAAKQ,kBACLR,EAAKS,iBACLT,EAAKU,cACL,CACEC,UAAW,OACXC,MAAO,IAAKC,IAAK,KAEnB,CACEF,UAAW,QACXG,cAAe,2CAA4CD,IAAK,OAElE,CACEC,cAAe,gCAAiCD,IAAK","file":"highlight/rsl.js?v=54ebb876ef10f26f55f1","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword:\n 'float color point normal vector matrix while for if do return else break extern continue',\n built_in:\n 'abs acos ambient area asin atan atmosphere attribute calculatenormal ceil cellnoise ' +\n 'clamp comp concat cos degrees depth Deriv diffuse distance Du Dv environment exp ' +\n 'faceforward filterstep floor format fresnel incident length lightsource log match ' +\n 'max min mod noise normalize ntransform opposite option phong pnoise pow printf ' +\n 'ptlined radians random reflect refract renderinfo round setcomp setxcomp setycomp ' +\n 'setzcomp shadow sign sin smoothstep specular specularbrdf spline sqrt step tan ' +\n 'texture textureinfo trace transform vtransform xcomp ycomp zcomp'\n },\n illegal: '</',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '#', end: '$'\n },\n {\n className: 'class',\n beginKeywords: 'surface displacement light volume imager', end: '\\\\('\n },\n {\n beginKeywords: 'illuminate illuminance gather', end: '\\\\('\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/ruby.js b/js/highlight/ruby.js
index 401efc657..387ebc864 100644
--- a/js/highlight/ruby.js
+++ b/js/highlight/ruby.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[146],{512:function(e,n){e.exports=function(e){var n="[a-zA-Z_]\\w*[!?=]?|[-+~]\\@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?",a={keyword:"and then defined module in return redo if BEGIN retry end for self when next until do begin unless END rescue else break undef not super class case require yield alias while ensure elsif or include attr_reader attr_writer attr_accessor",literal:"true false nil"},s={className:"doctag",begin:"@[A-Za-z]+"},i={begin:"#<",end:">"},r=[e.COMMENT("#","$",{contains:[s]}),e.COMMENT("^\\=begin","^\\=end",{contains:[s],relevance:10}),e.COMMENT("^__END__","\\n$")],c={className:"subst",begin:"#\\{",end:"}",keywords:a},t={className:"string",contains:[e.BACKSLASH_ESCAPE,c],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:"%[qQwWx]?\\(",end:"\\)"},{begin:"%[qQwWx]?\\[",end:"\\]"},{begin:"%[qQwWx]?{",end:"}"},{begin:"%[qQwWx]?<",end:">"},{begin:"%[qQwWx]?/",end:"/"},{begin:"%[qQwWx]?%",end:"%"},{begin:"%[qQwWx]?-",end:"-"},{begin:"%[qQwWx]?\\|",end:"\\|"},{begin:/\B\?(\\\d{1,3}|\\x[A-Fa-f0-9]{1,2}|\\u[A-Fa-f0-9]{4}|\\?\S)\b/},{begin:/<<[-~]?'?(\w+)(?:.|\n)*?\n\s*\1\b/,returnBegin:!0,contains:[{begin:/<<[-~]?'?/},{begin:/\w+/,endSameAsBegin:!0,contains:[e.BACKSLASH_ESCAPE,c]}]}]},d={className:"params",begin:"\\(",end:"\\)",endsParent:!0,keywords:a},b=[t,i,{className:"class",beginKeywords:"class module",end:"$|;",illegal:/=/,contains:[e.inherit(e.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"}),{begin:"<\\s*",contains:[{begin:"("+e.IDENT_RE+"::)?"+e.IDENT_RE}]}].concat(r)},{className:"function",beginKeywords:"def",end:"$|;",contains:[e.inherit(e.TITLE_MODE,{begin:n}),d].concat(r)},{begin:e.IDENT_RE+"::"},{className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"(\\!|\\?)?:",relevance:0},{className:"symbol",begin:":(?!\\s)",contains:[t,{begin:n}],relevance:0},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},{begin:"(\\$\\W)|((\\$|\\@\\@?)(\\w+))"},{className:"params",begin:/\|/,end:/\|/,keywords:a},{begin:"("+e.RE_STARTERS_RE+"|unless)\\s*",keywords:"unless",contains:[i,{className:"regexp",contains:[e.BACKSLASH_ESCAPE,c],illegal:/\n/,variants:[{begin:"/",end:"/[a-z]*"},{begin:"%r{",end:"}[a-z]*"},{begin:"%r\\(",end:"\\)[a-z]*"},{begin:"%r!",end:"![a-z]*"},{begin:"%r\\[",end:"\\][a-z]*"}]}].concat(r),relevance:0}].concat(r);c.contains=b,d.contains=b;var g=[{begin:/^\s*=>/,starts:{end:"$",contains:b}},{className:"meta",begin:"^([>?]>|[\\w#]+\\(\\w+\\):\\d+:\\d+>|(\\w+-)?\\d+\\.\\d+\\.\\d(p\\d+)?[^>]+>)",starts:{end:"$",contains:b}}];return{aliases:["rb","gemspec","podspec","thor","irb"],keywords:a,illegal:/\/\*/,contains:r.concat(g).concat(b)}}}}]);
-//# sourceMappingURL=ruby.js.map?v=e121f914ab1ce1f732ae \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[146],{513:function(e,n){e.exports=function(e){var n="[a-zA-Z_]\\w*[!?=]?|[-+~]\\@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?",a={keyword:"and then defined module in return redo if BEGIN retry end for self when next until do begin unless END rescue else break undef not super class case require yield alias while ensure elsif or include attr_reader attr_writer attr_accessor",literal:"true false nil"},s={className:"doctag",begin:"@[A-Za-z]+"},i={begin:"#<",end:">"},r=[e.COMMENT("#","$",{contains:[s]}),e.COMMENT("^\\=begin","^\\=end",{contains:[s],relevance:10}),e.COMMENT("^__END__","\\n$")],c={className:"subst",begin:"#\\{",end:"}",keywords:a},t={className:"string",contains:[e.BACKSLASH_ESCAPE,c],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:"%[qQwWx]?\\(",end:"\\)"},{begin:"%[qQwWx]?\\[",end:"\\]"},{begin:"%[qQwWx]?{",end:"}"},{begin:"%[qQwWx]?<",end:">"},{begin:"%[qQwWx]?/",end:"/"},{begin:"%[qQwWx]?%",end:"%"},{begin:"%[qQwWx]?-",end:"-"},{begin:"%[qQwWx]?\\|",end:"\\|"},{begin:/\B\?(\\\d{1,3}|\\x[A-Fa-f0-9]{1,2}|\\u[A-Fa-f0-9]{4}|\\?\S)\b/},{begin:/<<[-~]?'?(\w+)(?:.|\n)*?\n\s*\1\b/,returnBegin:!0,contains:[{begin:/<<[-~]?'?/},{begin:/\w+/,endSameAsBegin:!0,contains:[e.BACKSLASH_ESCAPE,c]}]}]},d={className:"params",begin:"\\(",end:"\\)",endsParent:!0,keywords:a},b=[t,i,{className:"class",beginKeywords:"class module",end:"$|;",illegal:/=/,contains:[e.inherit(e.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"}),{begin:"<\\s*",contains:[{begin:"("+e.IDENT_RE+"::)?"+e.IDENT_RE}]}].concat(r)},{className:"function",beginKeywords:"def",end:"$|;",contains:[e.inherit(e.TITLE_MODE,{begin:n}),d].concat(r)},{begin:e.IDENT_RE+"::"},{className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"(\\!|\\?)?:",relevance:0},{className:"symbol",begin:":(?!\\s)",contains:[t,{begin:n}],relevance:0},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},{begin:"(\\$\\W)|((\\$|\\@\\@?)(\\w+))"},{className:"params",begin:/\|/,end:/\|/,keywords:a},{begin:"("+e.RE_STARTERS_RE+"|unless)\\s*",keywords:"unless",contains:[i,{className:"regexp",contains:[e.BACKSLASH_ESCAPE,c],illegal:/\n/,variants:[{begin:"/",end:"/[a-z]*"},{begin:"%r{",end:"}[a-z]*"},{begin:"%r\\(",end:"\\)[a-z]*"},{begin:"%r!",end:"![a-z]*"},{begin:"%r\\[",end:"\\][a-z]*"}]}].concat(r),relevance:0}].concat(r);c.contains=b,d.contains=b;var g=[{begin:/^\s*=>/,starts:{end:"$",contains:b}},{className:"meta",begin:"^([>?]>|[\\w#]+\\(\\w+\\):\\d+:\\d+>|(\\w+-)?\\d+\\.\\d+\\.\\d(p\\d+)?[^>]+>)",starts:{end:"$",contains:b}}];return{aliases:["rb","gemspec","podspec","thor","irb"],keywords:a,illegal:/\/\*/,contains:r.concat(g).concat(b)}}}}]);
+//# sourceMappingURL=ruby.js.map?v=cd038323b8dc2746f9ff \ No newline at end of file
diff --git a/js/highlight/ruby.js.map b/js/highlight/ruby.js.map
index 2dc24b65a..589f56324 100644
--- a/js/highlight/ruby.js.map
+++ b/js/highlight/ruby.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ruby.js"],"names":["module","exports","hljs","RUBY_METHOD_RE","RUBY_KEYWORDS","keyword","literal","YARDOCTAG","className","begin","IRB_OBJECT","end","COMMENT_MODES","COMMENT","contains","relevance","SUBST","keywords","STRING","BACKSLASH_ESCAPE","variants","returnBegin","endSameAsBegin","PARAMS","endsParent","RUBY_DEFAULT_CONTAINS","beginKeywords","illegal","inherit","TITLE_MODE","IDENT_RE","concat","UNDERSCORE_IDENT_RE","RE_STARTERS_RE","IRB_DEFAULT","starts","aliases"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAiB,qFACjBC,EAAgB,CAClBC,QACE,8OAGFC,QACE,kBAEAC,EAAY,CACdC,UAAW,SACXC,MAAO,cAELC,EAAa,CACfD,MAAO,KAAME,IAAK,KAEhBC,EAAgB,CAClBV,EAAKW,QACH,IACA,IACA,CACEC,SAAU,CAACP,KAGfL,EAAKW,QACH,YACA,UACA,CACEC,SAAU,CAACP,GACXQ,UAAW,KAGfb,EAAKW,QAAQ,WAAY,SAEvBG,EAAQ,CACVR,UAAW,QACXC,MAAO,OAAQE,IAAK,IACpBM,SAAUb,GAERc,EAAS,CACXV,UAAW,SACXM,SAAU,CAACZ,EAAKiB,iBAAkBH,GAClCI,SAAU,CACR,CAACX,MAAO,IAAKE,IAAK,KAClB,CAACF,MAAO,IAAKE,IAAK,KAClB,CAACF,MAAO,IAAKE,IAAK,KAClB,CAACF,MAAO,eAAgBE,IAAK,OAC7B,CAACF,MAAO,eAAgBE,IAAK,OAC7B,CAACF,MAAO,aAAcE,IAAK,KAC3B,CAACF,MAAO,aAAcE,IAAK,KAC3B,CAACF,MAAO,aAAcE,IAAK,KAC3B,CAACF,MAAO,aAAcE,IAAK,KAC3B,CAACF,MAAO,aAAcE,IAAK,KAC3B,CAACF,MAAO,eAAgBE,IAAK,OAC7B,CAGEF,MAAO,iEAET,CACEA,MAAO,oCACPY,aAAa,EACbP,SAAU,CACR,CAAEL,MAAO,aACT,CAAEA,MAAO,MACPa,gBAAgB,EAChBR,SAAU,CAACZ,EAAKiB,iBAAkBH,QAMxCO,EAAS,CACXf,UAAW,SACXC,MAAO,MAAOE,IAAK,MAAOa,YAAY,EACtCP,SAAUb,GAGRqB,EAAwB,CAC1BP,EACAR,EACA,CACEF,UAAW,QACXkB,cAAe,eAAgBf,IAAK,MACpCgB,QAAS,IACTb,SAAU,CACRZ,EAAK0B,QAAQ1B,EAAK2B,WAAY,CAACpB,MAAO,qCACtC,CACEA,MAAO,QACPK,SAAU,CAAC,CACTL,MAAO,IAAMP,EAAK4B,SAAW,OAAS5B,EAAK4B,aAG/CC,OAAOnB,IAEX,CACEJ,UAAW,WACXkB,cAAe,MAAOf,IAAK,MAC3BG,SAAU,CACRZ,EAAK0B,QAAQ1B,EAAK2B,WAAY,CAACpB,MAAON,IACtCoB,GACAQ,OAAOnB,IAEX,CAEEH,MAAOP,EAAK4B,SAAW,MAEzB,CACEtB,UAAW,SACXC,MAAOP,EAAK8B,oBAAsB,cAClCjB,UAAW,GAEb,CACEP,UAAW,SACXC,MAAO,WACPK,SAAU,CAACI,EAAQ,CAACT,MAAON,IAC3BY,UAAW,GAEb,CACEP,UAAW,SACXC,MAAO,4EACPM,UAAW,GAEb,CACEN,MAAO,kCAET,CACED,UAAW,SACXC,MAAO,KAAME,IAAK,KAClBM,SAAUb,GAEZ,CACEK,MAAO,IAAMP,EAAK+B,eAAiB,eACnChB,SAAU,SACVH,SAAU,CACRJ,EACA,CACEF,UAAW,SACXM,SAAU,CAACZ,EAAKiB,iBAAkBH,GAClCW,QAAS,KACTP,SAAU,CACR,CAACX,MAAO,IAAKE,IAAK,WAClB,CAACF,MAAO,MAAOE,IAAK,WACpB,CAACF,MAAO,QAASE,IAAK,aACtB,CAACF,MAAO,MAAOE,IAAK,WACpB,CAACF,MAAO,QAASE,IAAK,gBAG1BoB,OAAOnB,GACTG,UAAW,IAEbgB,OAAOnB,GAETI,EAAMF,SAAWW,EACjBF,EAAOT,SAAWW,EAElB,IAIIS,EAAc,CAChB,CACEzB,MAAO,SACP0B,OAAQ,CACNxB,IAAK,IAAKG,SAAUW,IAGxB,CACEjB,UAAW,OACXC,MAAO,gFACP0B,OAAQ,CACNxB,IAAK,IAAKG,SAAUW,KAK1B,MAAO,CACLW,QAAS,CAAC,KAAM,UAAW,UAAW,OAAQ,OAC9CnB,SAAUb,EACVuB,QAAS,OACTb,SAAUF,EAAcmB,OAAOG,GAAaH,OAAON","file":"highlight/ruby.js?v=e121f914ab1ce1f732ae","sourcesContent":["module.exports = function(hljs) {\n var RUBY_METHOD_RE = '[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|=~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~`|]|\\\\[\\\\]=?';\n var RUBY_KEYWORDS = {\n keyword:\n 'and then defined module in return redo if BEGIN retry end for self when ' +\n 'next until do begin unless END rescue else break undef not super class case ' +\n 'require yield alias while ensure elsif or include attr_reader attr_writer attr_accessor',\n literal:\n 'true false nil'\n };\n var YARDOCTAG = {\n className: 'doctag',\n begin: '@[A-Za-z]+'\n };\n var IRB_OBJECT = {\n begin: '#<', end: '>'\n };\n var COMMENT_MODES = [\n hljs.COMMENT(\n '#',\n '$',\n {\n contains: [YARDOCTAG]\n }\n ),\n hljs.COMMENT(\n '^\\\\=begin',\n '^\\\\=end',\n {\n contains: [YARDOCTAG],\n relevance: 10\n }\n ),\n hljs.COMMENT('^__END__', '\\\\n$')\n ];\n var SUBST = {\n className: 'subst',\n begin: '#\\\\{', end: '}',\n keywords: RUBY_KEYWORDS\n };\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {begin: /'/, end: /'/},\n {begin: /\"/, end: /\"/},\n {begin: /`/, end: /`/},\n {begin: '%[qQwWx]?\\\\(', end: '\\\\)'},\n {begin: '%[qQwWx]?\\\\[', end: '\\\\]'},\n {begin: '%[qQwWx]?{', end: '}'},\n {begin: '%[qQwWx]?<', end: '>'},\n {begin: '%[qQwWx]?/', end: '/'},\n {begin: '%[qQwWx]?%', end: '%'},\n {begin: '%[qQwWx]?-', end: '-'},\n {begin: '%[qQwWx]?\\\\|', end: '\\\\|'},\n {\n // \\B in the beginning suppresses recognition of ?-sequences where ?\n // is the last character of a preceding identifier, as in: `func?4`\n begin: /\\B\\?(\\\\\\d{1,3}|\\\\x[A-Fa-f0-9]{1,2}|\\\\u[A-Fa-f0-9]{4}|\\\\?\\S)\\b/\n },\n { // heredocs\n begin: /<<[-~]?'?(\\w+)(?:.|\\n)*?\\n\\s*\\1\\b/,\n returnBegin: true,\n contains: [\n { begin: /<<[-~]?'?/ },\n { begin: /\\w+/,\n endSameAsBegin: true,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n }\n ]\n }\n ]\n };\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)', endsParent: true,\n keywords: RUBY_KEYWORDS\n };\n\n var RUBY_DEFAULT_CONTAINS = [\n STRING,\n IRB_OBJECT,\n {\n className: 'class',\n beginKeywords: 'class module', end: '$|;',\n illegal: /=/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: '[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|\\\\!)?'}),\n {\n begin: '<\\\\s*',\n contains: [{\n begin: '(' + hljs.IDENT_RE + '::)?' + hljs.IDENT_RE\n }]\n }\n ].concat(COMMENT_MODES)\n },\n {\n className: 'function',\n beginKeywords: 'def', end: '$|;',\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: RUBY_METHOD_RE}),\n PARAMS\n ].concat(COMMENT_MODES)\n },\n {\n // swallow namespace qualifiers before symbols\n begin: hljs.IDENT_RE + '::'\n },\n {\n className: 'symbol',\n begin: hljs.UNDERSCORE_IDENT_RE + '(\\\\!|\\\\?)?:',\n relevance: 0\n },\n {\n className: 'symbol',\n begin: ':(?!\\\\s)',\n contains: [STRING, {begin: RUBY_METHOD_RE}],\n relevance: 0\n },\n {\n className: 'number',\n begin: '(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b',\n relevance: 0\n },\n {\n begin: '(\\\\$\\\\W)|((\\\\$|\\\\@\\\\@?)(\\\\w+))' // variables\n },\n {\n className: 'params',\n begin: /\\|/, end: /\\|/,\n keywords: RUBY_KEYWORDS\n },\n { // regexp container\n begin: '(' + hljs.RE_STARTERS_RE + '|unless)\\\\s*',\n keywords: 'unless',\n contains: [\n IRB_OBJECT,\n {\n className: 'regexp',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n illegal: /\\n/,\n variants: [\n {begin: '/', end: '/[a-z]*'},\n {begin: '%r{', end: '}[a-z]*'},\n {begin: '%r\\\\(', end: '\\\\)[a-z]*'},\n {begin: '%r!', end: '![a-z]*'},\n {begin: '%r\\\\[', end: '\\\\][a-z]*'}\n ]\n }\n ].concat(COMMENT_MODES),\n relevance: 0\n }\n ].concat(COMMENT_MODES);\n\n SUBST.contains = RUBY_DEFAULT_CONTAINS;\n PARAMS.contains = RUBY_DEFAULT_CONTAINS;\n\n var SIMPLE_PROMPT = \"[>?]>\";\n var DEFAULT_PROMPT = \"[\\\\w#]+\\\\(\\\\w+\\\\):\\\\d+:\\\\d+>\";\n var RVM_PROMPT = \"(\\\\w+-)?\\\\d+\\\\.\\\\d+\\\\.\\\\d(p\\\\d+)?[^>]+>\";\n\n var IRB_DEFAULT = [\n {\n begin: /^\\s*=>/,\n starts: {\n end: '$', contains: RUBY_DEFAULT_CONTAINS\n }\n },\n {\n className: 'meta',\n begin: '^('+SIMPLE_PROMPT+\"|\"+DEFAULT_PROMPT+'|'+RVM_PROMPT+')',\n starts: {\n end: '$', contains: RUBY_DEFAULT_CONTAINS\n }\n }\n ];\n\n return {\n aliases: ['rb', 'gemspec', 'podspec', 'thor', 'irb'],\n keywords: RUBY_KEYWORDS,\n illegal: /\\/\\*/,\n contains: COMMENT_MODES.concat(IRB_DEFAULT).concat(RUBY_DEFAULT_CONTAINS)\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ruby.js"],"names":["module","exports","hljs","RUBY_METHOD_RE","RUBY_KEYWORDS","keyword","literal","YARDOCTAG","className","begin","IRB_OBJECT","end","COMMENT_MODES","COMMENT","contains","relevance","SUBST","keywords","STRING","BACKSLASH_ESCAPE","variants","returnBegin","endSameAsBegin","PARAMS","endsParent","RUBY_DEFAULT_CONTAINS","beginKeywords","illegal","inherit","TITLE_MODE","IDENT_RE","concat","UNDERSCORE_IDENT_RE","RE_STARTERS_RE","IRB_DEFAULT","starts","aliases"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAiB,qFACjBC,EAAgB,CAClBC,QACE,8OAGFC,QACE,kBAEAC,EAAY,CACdC,UAAW,SACXC,MAAO,cAELC,EAAa,CACfD,MAAO,KAAME,IAAK,KAEhBC,EAAgB,CAClBV,EAAKW,QACH,IACA,IACA,CACEC,SAAU,CAACP,KAGfL,EAAKW,QACH,YACA,UACA,CACEC,SAAU,CAACP,GACXQ,UAAW,KAGfb,EAAKW,QAAQ,WAAY,SAEvBG,EAAQ,CACVR,UAAW,QACXC,MAAO,OAAQE,IAAK,IACpBM,SAAUb,GAERc,EAAS,CACXV,UAAW,SACXM,SAAU,CAACZ,EAAKiB,iBAAkBH,GAClCI,SAAU,CACR,CAACX,MAAO,IAAKE,IAAK,KAClB,CAACF,MAAO,IAAKE,IAAK,KAClB,CAACF,MAAO,IAAKE,IAAK,KAClB,CAACF,MAAO,eAAgBE,IAAK,OAC7B,CAACF,MAAO,eAAgBE,IAAK,OAC7B,CAACF,MAAO,aAAcE,IAAK,KAC3B,CAACF,MAAO,aAAcE,IAAK,KAC3B,CAACF,MAAO,aAAcE,IAAK,KAC3B,CAACF,MAAO,aAAcE,IAAK,KAC3B,CAACF,MAAO,aAAcE,IAAK,KAC3B,CAACF,MAAO,eAAgBE,IAAK,OAC7B,CAGEF,MAAO,iEAET,CACEA,MAAO,oCACPY,aAAa,EACbP,SAAU,CACR,CAAEL,MAAO,aACT,CAAEA,MAAO,MACPa,gBAAgB,EAChBR,SAAU,CAACZ,EAAKiB,iBAAkBH,QAMxCO,EAAS,CACXf,UAAW,SACXC,MAAO,MAAOE,IAAK,MAAOa,YAAY,EACtCP,SAAUb,GAGRqB,EAAwB,CAC1BP,EACAR,EACA,CACEF,UAAW,QACXkB,cAAe,eAAgBf,IAAK,MACpCgB,QAAS,IACTb,SAAU,CACRZ,EAAK0B,QAAQ1B,EAAK2B,WAAY,CAACpB,MAAO,qCACtC,CACEA,MAAO,QACPK,SAAU,CAAC,CACTL,MAAO,IAAMP,EAAK4B,SAAW,OAAS5B,EAAK4B,aAG/CC,OAAOnB,IAEX,CACEJ,UAAW,WACXkB,cAAe,MAAOf,IAAK,MAC3BG,SAAU,CACRZ,EAAK0B,QAAQ1B,EAAK2B,WAAY,CAACpB,MAAON,IACtCoB,GACAQ,OAAOnB,IAEX,CAEEH,MAAOP,EAAK4B,SAAW,MAEzB,CACEtB,UAAW,SACXC,MAAOP,EAAK8B,oBAAsB,cAClCjB,UAAW,GAEb,CACEP,UAAW,SACXC,MAAO,WACPK,SAAU,CAACI,EAAQ,CAACT,MAAON,IAC3BY,UAAW,GAEb,CACEP,UAAW,SACXC,MAAO,4EACPM,UAAW,GAEb,CACEN,MAAO,kCAET,CACED,UAAW,SACXC,MAAO,KAAME,IAAK,KAClBM,SAAUb,GAEZ,CACEK,MAAO,IAAMP,EAAK+B,eAAiB,eACnChB,SAAU,SACVH,SAAU,CACRJ,EACA,CACEF,UAAW,SACXM,SAAU,CAACZ,EAAKiB,iBAAkBH,GAClCW,QAAS,KACTP,SAAU,CACR,CAACX,MAAO,IAAKE,IAAK,WAClB,CAACF,MAAO,MAAOE,IAAK,WACpB,CAACF,MAAO,QAASE,IAAK,aACtB,CAACF,MAAO,MAAOE,IAAK,WACpB,CAACF,MAAO,QAASE,IAAK,gBAG1BoB,OAAOnB,GACTG,UAAW,IAEbgB,OAAOnB,GAETI,EAAMF,SAAWW,EACjBF,EAAOT,SAAWW,EAElB,IAIIS,EAAc,CAChB,CACEzB,MAAO,SACP0B,OAAQ,CACNxB,IAAK,IAAKG,SAAUW,IAGxB,CACEjB,UAAW,OACXC,MAAO,gFACP0B,OAAQ,CACNxB,IAAK,IAAKG,SAAUW,KAK1B,MAAO,CACLW,QAAS,CAAC,KAAM,UAAW,UAAW,OAAQ,OAC9CnB,SAAUb,EACVuB,QAAS,OACTb,SAAUF,EAAcmB,OAAOG,GAAaH,OAAON","file":"highlight/ruby.js?v=cd038323b8dc2746f9ff","sourcesContent":["module.exports = function(hljs) {\n var RUBY_METHOD_RE = '[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|=~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~`|]|\\\\[\\\\]=?';\n var RUBY_KEYWORDS = {\n keyword:\n 'and then defined module in return redo if BEGIN retry end for self when ' +\n 'next until do begin unless END rescue else break undef not super class case ' +\n 'require yield alias while ensure elsif or include attr_reader attr_writer attr_accessor',\n literal:\n 'true false nil'\n };\n var YARDOCTAG = {\n className: 'doctag',\n begin: '@[A-Za-z]+'\n };\n var IRB_OBJECT = {\n begin: '#<', end: '>'\n };\n var COMMENT_MODES = [\n hljs.COMMENT(\n '#',\n '$',\n {\n contains: [YARDOCTAG]\n }\n ),\n hljs.COMMENT(\n '^\\\\=begin',\n '^\\\\=end',\n {\n contains: [YARDOCTAG],\n relevance: 10\n }\n ),\n hljs.COMMENT('^__END__', '\\\\n$')\n ];\n var SUBST = {\n className: 'subst',\n begin: '#\\\\{', end: '}',\n keywords: RUBY_KEYWORDS\n };\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {begin: /'/, end: /'/},\n {begin: /\"/, end: /\"/},\n {begin: /`/, end: /`/},\n {begin: '%[qQwWx]?\\\\(', end: '\\\\)'},\n {begin: '%[qQwWx]?\\\\[', end: '\\\\]'},\n {begin: '%[qQwWx]?{', end: '}'},\n {begin: '%[qQwWx]?<', end: '>'},\n {begin: '%[qQwWx]?/', end: '/'},\n {begin: '%[qQwWx]?%', end: '%'},\n {begin: '%[qQwWx]?-', end: '-'},\n {begin: '%[qQwWx]?\\\\|', end: '\\\\|'},\n {\n // \\B in the beginning suppresses recognition of ?-sequences where ?\n // is the last character of a preceding identifier, as in: `func?4`\n begin: /\\B\\?(\\\\\\d{1,3}|\\\\x[A-Fa-f0-9]{1,2}|\\\\u[A-Fa-f0-9]{4}|\\\\?\\S)\\b/\n },\n { // heredocs\n begin: /<<[-~]?'?(\\w+)(?:.|\\n)*?\\n\\s*\\1\\b/,\n returnBegin: true,\n contains: [\n { begin: /<<[-~]?'?/ },\n { begin: /\\w+/,\n endSameAsBegin: true,\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n }\n ]\n }\n ]\n };\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)', endsParent: true,\n keywords: RUBY_KEYWORDS\n };\n\n var RUBY_DEFAULT_CONTAINS = [\n STRING,\n IRB_OBJECT,\n {\n className: 'class',\n beginKeywords: 'class module', end: '$|;',\n illegal: /=/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: '[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|\\\\!)?'}),\n {\n begin: '<\\\\s*',\n contains: [{\n begin: '(' + hljs.IDENT_RE + '::)?' + hljs.IDENT_RE\n }]\n }\n ].concat(COMMENT_MODES)\n },\n {\n className: 'function',\n beginKeywords: 'def', end: '$|;',\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: RUBY_METHOD_RE}),\n PARAMS\n ].concat(COMMENT_MODES)\n },\n {\n // swallow namespace qualifiers before symbols\n begin: hljs.IDENT_RE + '::'\n },\n {\n className: 'symbol',\n begin: hljs.UNDERSCORE_IDENT_RE + '(\\\\!|\\\\?)?:',\n relevance: 0\n },\n {\n className: 'symbol',\n begin: ':(?!\\\\s)',\n contains: [STRING, {begin: RUBY_METHOD_RE}],\n relevance: 0\n },\n {\n className: 'number',\n begin: '(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b',\n relevance: 0\n },\n {\n begin: '(\\\\$\\\\W)|((\\\\$|\\\\@\\\\@?)(\\\\w+))' // variables\n },\n {\n className: 'params',\n begin: /\\|/, end: /\\|/,\n keywords: RUBY_KEYWORDS\n },\n { // regexp container\n begin: '(' + hljs.RE_STARTERS_RE + '|unless)\\\\s*',\n keywords: 'unless',\n contains: [\n IRB_OBJECT,\n {\n className: 'regexp',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n illegal: /\\n/,\n variants: [\n {begin: '/', end: '/[a-z]*'},\n {begin: '%r{', end: '}[a-z]*'},\n {begin: '%r\\\\(', end: '\\\\)[a-z]*'},\n {begin: '%r!', end: '![a-z]*'},\n {begin: '%r\\\\[', end: '\\\\][a-z]*'}\n ]\n }\n ].concat(COMMENT_MODES),\n relevance: 0\n }\n ].concat(COMMENT_MODES);\n\n SUBST.contains = RUBY_DEFAULT_CONTAINS;\n PARAMS.contains = RUBY_DEFAULT_CONTAINS;\n\n var SIMPLE_PROMPT = \"[>?]>\";\n var DEFAULT_PROMPT = \"[\\\\w#]+\\\\(\\\\w+\\\\):\\\\d+:\\\\d+>\";\n var RVM_PROMPT = \"(\\\\w+-)?\\\\d+\\\\.\\\\d+\\\\.\\\\d(p\\\\d+)?[^>]+>\";\n\n var IRB_DEFAULT = [\n {\n begin: /^\\s*=>/,\n starts: {\n end: '$', contains: RUBY_DEFAULT_CONTAINS\n }\n },\n {\n className: 'meta',\n begin: '^('+SIMPLE_PROMPT+\"|\"+DEFAULT_PROMPT+'|'+RVM_PROMPT+')',\n starts: {\n end: '$', contains: RUBY_DEFAULT_CONTAINS\n }\n }\n ];\n\n return {\n aliases: ['rb', 'gemspec', 'podspec', 'thor', 'irb'],\n keywords: RUBY_KEYWORDS,\n illegal: /\\/\\*/,\n contains: COMMENT_MODES.concat(IRB_DEFAULT).concat(RUBY_DEFAULT_CONTAINS)\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/ruleslanguage.js b/js/highlight/ruleslanguage.js
index 7beb25e4c..b790787d6 100644
--- a/js/highlight/ruleslanguage.js
+++ b/js/highlight/ruleslanguage.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[147],{513:function(T,E){T.exports=function(T){return{keywords:{keyword:"BILL_PERIOD BILL_START BILL_STOP RS_EFFECTIVE_START RS_EFFECTIVE_STOP RS_JURIS_CODE RS_OPCO_CODE INTDADDATTRIBUTE|5 INTDADDVMSG|5 INTDBLOCKOP|5 INTDBLOCKOPNA|5 INTDCLOSE|5 INTDCOUNT|5 INTDCOUNTSTATUSCODE|5 INTDCREATEMASK|5 INTDCREATEDAYMASK|5 INTDCREATEFACTORMASK|5 INTDCREATEHANDLE|5 INTDCREATEOVERRIDEDAYMASK|5 INTDCREATEOVERRIDEMASK|5 INTDCREATESTATUSCODEMASK|5 INTDCREATETOUPERIOD|5 INTDDELETE|5 INTDDIPTEST|5 INTDEXPORT|5 INTDGETERRORCODE|5 INTDGETERRORMESSAGE|5 INTDISEQUAL|5 INTDJOIN|5 INTDLOAD|5 INTDLOADACTUALCUT|5 INTDLOADDATES|5 INTDLOADHIST|5 INTDLOADLIST|5 INTDLOADLISTDATES|5 INTDLOADLISTENERGY|5 INTDLOADLISTHIST|5 INTDLOADRELATEDCHANNEL|5 INTDLOADSP|5 INTDLOADSTAGING|5 INTDLOADUOM|5 INTDLOADUOMDATES|5 INTDLOADUOMHIST|5 INTDLOADVERSION|5 INTDOPEN|5 INTDREADFIRST|5 INTDREADNEXT|5 INTDRECCOUNT|5 INTDRELEASE|5 INTDREPLACE|5 INTDROLLAVG|5 INTDROLLPEAK|5 INTDSCALAROP|5 INTDSCALE|5 INTDSETATTRIBUTE|5 INTDSETDSTPARTICIPANT|5 INTDSETSTRING|5 INTDSETVALUE|5 INTDSETVALUESTATUS|5 INTDSHIFTSTARTTIME|5 INTDSMOOTH|5 INTDSORT|5 INTDSPIKETEST|5 INTDSUBSET|5 INTDTOU|5 INTDTOURELEASE|5 INTDTOUVALUE|5 INTDUPDATESTATS|5 INTDVALUE|5 STDEV INTDDELETEEX|5 INTDLOADEXACTUAL|5 INTDLOADEXCUT|5 INTDLOADEXDATES|5 INTDLOADEX|5 INTDLOADEXRELATEDCHANNEL|5 INTDSAVEEX|5 MVLOAD|5 MVLOADACCT|5 MVLOADACCTDATES|5 MVLOADACCTHIST|5 MVLOADDATES|5 MVLOADHIST|5 MVLOADLIST|5 MVLOADLISTDATES|5 MVLOADLISTHIST|5 IF FOR NEXT DONE SELECT END CALL ABORT CLEAR CHANNEL FACTOR LIST NUMBER OVERRIDE SET WEEK DISTRIBUTIONNODE ELSE WHEN THEN OTHERWISE IENUM CSV INCLUDE LEAVE RIDER SAVE DELETE NOVALUE SECTION WARN SAVE_UPDATE DETERMINANT LABEL REPORT REVENUE EACH IN FROM TOTAL CHARGE BLOCK AND OR CSV_FILE RATE_CODE AUXILIARY_DEMAND UIDACCOUNT RS BILL_PERIOD_SELECT HOURS_PER_MONTH INTD_ERROR_STOP SEASON_SCHEDULE_NAME ACCOUNTFACTOR ARRAYUPPERBOUND CALLSTOREDPROC GETADOCONNECTION GETCONNECT GETDATASOURCE GETQUALIFIER GETUSERID HASVALUE LISTCOUNT LISTOP LISTUPDATE LISTVALUE PRORATEFACTOR RSPRORATE SETBINPATH SETDBMONITOR WQ_OPEN BILLINGHOURS DATE DATEFROMFLOAT DATETIMEFROMSTRING DATETIMETOSTRING DATETOFLOAT DAY DAYDIFF DAYNAME DBDATETIME HOUR MINUTE MONTH MONTHDIFF MONTHHOURS MONTHNAME ROUNDDATE SAMEWEEKDAYLASTYEAR SECOND WEEKDAY WEEKDIFF YEAR YEARDAY YEARSTR COMPSUM HISTCOUNT HISTMAX HISTMIN HISTMINNZ HISTVALUE MAXNRANGE MAXRANGE MINRANGE COMPIKVA COMPKVA COMPKVARFROMKQKW COMPLF IDATTR FLAG LF2KW LF2KWH MAXKW POWERFACTOR READING2USAGE AVGSEASON MAXSEASON MONTHLYMERGE SEASONVALUE SUMSEASON ACCTREADDATES ACCTTABLELOAD CONFIGADD CONFIGGET CREATEOBJECT CREATEREPORT EMAILCLIENT EXPBLKMDMUSAGE EXPMDMUSAGE EXPORT_USAGE FACTORINEFFECT GETUSERSPECIFIEDSTOP INEFFECT ISHOLIDAY RUNRATE SAVE_PROFILE SETREPORTTITLE USEREXIT WATFORRUNRATE TO TABLE ACOS ASIN ATAN ATAN2 BITAND CEIL COS COSECANT COSH COTANGENT DIVQUOT DIVREM EXP FABS FLOOR FMOD FREPM FREXPN LOG LOG10 MAX MAXN MIN MINNZ MODF POW ROUND ROUND2VALUE ROUNDINT SECANT SIN SINH SQROOT TAN TANH FLOAT2STRING FLOAT2STRINGNC INSTR LEFT LEN LTRIM MID RIGHT RTRIM STRING STRINGNC TOLOWER TOUPPER TRIM NUMDAYS READ_DATE STAGING",built_in:"IDENTIFIER OPTIONS XML_ELEMENT XML_OP XML_ELEMENT_OF DOMDOCCREATE DOMDOCLOADFILE DOMDOCLOADXML DOMDOCSAVEFILE DOMDOCGETROOT DOMDOCADDPI DOMNODEGETNAME DOMNODEGETTYPE DOMNODEGETVALUE DOMNODEGETCHILDCT DOMNODEGETFIRSTCHILD DOMNODEGETSIBLING DOMNODECREATECHILDELEMENT DOMNODESETATTRIBUTE DOMNODEGETCHILDELEMENTCT DOMNODEGETFIRSTCHILDELEMENT DOMNODEGETSIBLINGELEMENT DOMNODEGETATTRIBUTECT DOMNODEGETATTRIBUTEI DOMNODEGETATTRIBUTEBYNAME DOMNODEGETBYNAME"},contains:[T.C_LINE_COMMENT_MODE,T.C_BLOCK_COMMENT_MODE,T.APOS_STRING_MODE,T.QUOTE_STRING_MODE,T.C_NUMBER_MODE,{className:"literal",variants:[{begin:"#\\s+[a-zA-Z\\ \\.]*",relevance:0},{begin:"#[a-zA-Z\\ \\.]+"}]}]}}}}]);
-//# sourceMappingURL=ruleslanguage.js.map?v=84abdf1e13c43bb56fce \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[147],{514:function(T,E){T.exports=function(T){return{keywords:{keyword:"BILL_PERIOD BILL_START BILL_STOP RS_EFFECTIVE_START RS_EFFECTIVE_STOP RS_JURIS_CODE RS_OPCO_CODE INTDADDATTRIBUTE|5 INTDADDVMSG|5 INTDBLOCKOP|5 INTDBLOCKOPNA|5 INTDCLOSE|5 INTDCOUNT|5 INTDCOUNTSTATUSCODE|5 INTDCREATEMASK|5 INTDCREATEDAYMASK|5 INTDCREATEFACTORMASK|5 INTDCREATEHANDLE|5 INTDCREATEOVERRIDEDAYMASK|5 INTDCREATEOVERRIDEMASK|5 INTDCREATESTATUSCODEMASK|5 INTDCREATETOUPERIOD|5 INTDDELETE|5 INTDDIPTEST|5 INTDEXPORT|5 INTDGETERRORCODE|5 INTDGETERRORMESSAGE|5 INTDISEQUAL|5 INTDJOIN|5 INTDLOAD|5 INTDLOADACTUALCUT|5 INTDLOADDATES|5 INTDLOADHIST|5 INTDLOADLIST|5 INTDLOADLISTDATES|5 INTDLOADLISTENERGY|5 INTDLOADLISTHIST|5 INTDLOADRELATEDCHANNEL|5 INTDLOADSP|5 INTDLOADSTAGING|5 INTDLOADUOM|5 INTDLOADUOMDATES|5 INTDLOADUOMHIST|5 INTDLOADVERSION|5 INTDOPEN|5 INTDREADFIRST|5 INTDREADNEXT|5 INTDRECCOUNT|5 INTDRELEASE|5 INTDREPLACE|5 INTDROLLAVG|5 INTDROLLPEAK|5 INTDSCALAROP|5 INTDSCALE|5 INTDSETATTRIBUTE|5 INTDSETDSTPARTICIPANT|5 INTDSETSTRING|5 INTDSETVALUE|5 INTDSETVALUESTATUS|5 INTDSHIFTSTARTTIME|5 INTDSMOOTH|5 INTDSORT|5 INTDSPIKETEST|5 INTDSUBSET|5 INTDTOU|5 INTDTOURELEASE|5 INTDTOUVALUE|5 INTDUPDATESTATS|5 INTDVALUE|5 STDEV INTDDELETEEX|5 INTDLOADEXACTUAL|5 INTDLOADEXCUT|5 INTDLOADEXDATES|5 INTDLOADEX|5 INTDLOADEXRELATEDCHANNEL|5 INTDSAVEEX|5 MVLOAD|5 MVLOADACCT|5 MVLOADACCTDATES|5 MVLOADACCTHIST|5 MVLOADDATES|5 MVLOADHIST|5 MVLOADLIST|5 MVLOADLISTDATES|5 MVLOADLISTHIST|5 IF FOR NEXT DONE SELECT END CALL ABORT CLEAR CHANNEL FACTOR LIST NUMBER OVERRIDE SET WEEK DISTRIBUTIONNODE ELSE WHEN THEN OTHERWISE IENUM CSV INCLUDE LEAVE RIDER SAVE DELETE NOVALUE SECTION WARN SAVE_UPDATE DETERMINANT LABEL REPORT REVENUE EACH IN FROM TOTAL CHARGE BLOCK AND OR CSV_FILE RATE_CODE AUXILIARY_DEMAND UIDACCOUNT RS BILL_PERIOD_SELECT HOURS_PER_MONTH INTD_ERROR_STOP SEASON_SCHEDULE_NAME ACCOUNTFACTOR ARRAYUPPERBOUND CALLSTOREDPROC GETADOCONNECTION GETCONNECT GETDATASOURCE GETQUALIFIER GETUSERID HASVALUE LISTCOUNT LISTOP LISTUPDATE LISTVALUE PRORATEFACTOR RSPRORATE SETBINPATH SETDBMONITOR WQ_OPEN BILLINGHOURS DATE DATEFROMFLOAT DATETIMEFROMSTRING DATETIMETOSTRING DATETOFLOAT DAY DAYDIFF DAYNAME DBDATETIME HOUR MINUTE MONTH MONTHDIFF MONTHHOURS MONTHNAME ROUNDDATE SAMEWEEKDAYLASTYEAR SECOND WEEKDAY WEEKDIFF YEAR YEARDAY YEARSTR COMPSUM HISTCOUNT HISTMAX HISTMIN HISTMINNZ HISTVALUE MAXNRANGE MAXRANGE MINRANGE COMPIKVA COMPKVA COMPKVARFROMKQKW COMPLF IDATTR FLAG LF2KW LF2KWH MAXKW POWERFACTOR READING2USAGE AVGSEASON MAXSEASON MONTHLYMERGE SEASONVALUE SUMSEASON ACCTREADDATES ACCTTABLELOAD CONFIGADD CONFIGGET CREATEOBJECT CREATEREPORT EMAILCLIENT EXPBLKMDMUSAGE EXPMDMUSAGE EXPORT_USAGE FACTORINEFFECT GETUSERSPECIFIEDSTOP INEFFECT ISHOLIDAY RUNRATE SAVE_PROFILE SETREPORTTITLE USEREXIT WATFORRUNRATE TO TABLE ACOS ASIN ATAN ATAN2 BITAND CEIL COS COSECANT COSH COTANGENT DIVQUOT DIVREM EXP FABS FLOOR FMOD FREPM FREXPN LOG LOG10 MAX MAXN MIN MINNZ MODF POW ROUND ROUND2VALUE ROUNDINT SECANT SIN SINH SQROOT TAN TANH FLOAT2STRING FLOAT2STRINGNC INSTR LEFT LEN LTRIM MID RIGHT RTRIM STRING STRINGNC TOLOWER TOUPPER TRIM NUMDAYS READ_DATE STAGING",built_in:"IDENTIFIER OPTIONS XML_ELEMENT XML_OP XML_ELEMENT_OF DOMDOCCREATE DOMDOCLOADFILE DOMDOCLOADXML DOMDOCSAVEFILE DOMDOCGETROOT DOMDOCADDPI DOMNODEGETNAME DOMNODEGETTYPE DOMNODEGETVALUE DOMNODEGETCHILDCT DOMNODEGETFIRSTCHILD DOMNODEGETSIBLING DOMNODECREATECHILDELEMENT DOMNODESETATTRIBUTE DOMNODEGETCHILDELEMENTCT DOMNODEGETFIRSTCHILDELEMENT DOMNODEGETSIBLINGELEMENT DOMNODEGETATTRIBUTECT DOMNODEGETATTRIBUTEI DOMNODEGETATTRIBUTEBYNAME DOMNODEGETBYNAME"},contains:[T.C_LINE_COMMENT_MODE,T.C_BLOCK_COMMENT_MODE,T.APOS_STRING_MODE,T.QUOTE_STRING_MODE,T.C_NUMBER_MODE,{className:"literal",variants:[{begin:"#\\s+[a-zA-Z\\ \\.]*",relevance:0},{begin:"#[a-zA-Z\\ \\.]+"}]}]}}}}]);
+//# sourceMappingURL=ruleslanguage.js.map?v=ed71a0b324668c95b36d \ No newline at end of file
diff --git a/js/highlight/ruleslanguage.js.map b/js/highlight/ruleslanguage.js.map
index 058712079..c4eb658bc 100644
--- a/js/highlight/ruleslanguage.js.map
+++ b/js/highlight/ruleslanguage.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ruleslanguage.js"],"names":["module","exports","hljs","keywords","keyword","built_in","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE","className","variants","begin","relevance"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACPC,QAAS,y/FAmCTC,SAAU,ocAMbC,SAAU,CACRJ,EAAKK,oBACLL,EAAKM,qBACLN,EAAKO,iBACLP,EAAKQ,kBACLR,EAAKS,cACL,CACEC,UAAW,UACXC,SAAU,CACR,CAACC,MAAO,uBAAwBC,UAAW,GAC3C,CAACD,MAAO","file":"highlight/ruleslanguage.js?v=84abdf1e13c43bb56fce","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword: 'BILL_PERIOD BILL_START BILL_STOP RS_EFFECTIVE_START RS_EFFECTIVE_STOP RS_JURIS_CODE RS_OPCO_CODE ' +\n 'INTDADDATTRIBUTE|5 INTDADDVMSG|5 INTDBLOCKOP|5 INTDBLOCKOPNA|5 INTDCLOSE|5 INTDCOUNT|5 ' +\n 'INTDCOUNTSTATUSCODE|5 INTDCREATEMASK|5 INTDCREATEDAYMASK|5 INTDCREATEFACTORMASK|5 ' +\n 'INTDCREATEHANDLE|5 INTDCREATEOVERRIDEDAYMASK|5 INTDCREATEOVERRIDEMASK|5 ' +\n 'INTDCREATESTATUSCODEMASK|5 INTDCREATETOUPERIOD|5 INTDDELETE|5 INTDDIPTEST|5 INTDEXPORT|5 ' +\n 'INTDGETERRORCODE|5 INTDGETERRORMESSAGE|5 INTDISEQUAL|5 INTDJOIN|5 INTDLOAD|5 INTDLOADACTUALCUT|5 ' +\n 'INTDLOADDATES|5 INTDLOADHIST|5 INTDLOADLIST|5 INTDLOADLISTDATES|5 INTDLOADLISTENERGY|5 ' +\n 'INTDLOADLISTHIST|5 INTDLOADRELATEDCHANNEL|5 INTDLOADSP|5 INTDLOADSTAGING|5 INTDLOADUOM|5 ' +\n 'INTDLOADUOMDATES|5 INTDLOADUOMHIST|5 INTDLOADVERSION|5 INTDOPEN|5 INTDREADFIRST|5 INTDREADNEXT|5 ' +\n 'INTDRECCOUNT|5 INTDRELEASE|5 INTDREPLACE|5 INTDROLLAVG|5 INTDROLLPEAK|5 INTDSCALAROP|5 INTDSCALE|5 ' +\n 'INTDSETATTRIBUTE|5 INTDSETDSTPARTICIPANT|5 INTDSETSTRING|5 INTDSETVALUE|5 INTDSETVALUESTATUS|5 ' +\n 'INTDSHIFTSTARTTIME|5 INTDSMOOTH|5 INTDSORT|5 INTDSPIKETEST|5 INTDSUBSET|5 INTDTOU|5 ' +\n 'INTDTOURELEASE|5 INTDTOUVALUE|5 INTDUPDATESTATS|5 INTDVALUE|5 STDEV INTDDELETEEX|5 ' +\n 'INTDLOADEXACTUAL|5 INTDLOADEXCUT|5 INTDLOADEXDATES|5 INTDLOADEX|5 INTDLOADEXRELATEDCHANNEL|5 ' +\n 'INTDSAVEEX|5 MVLOAD|5 MVLOADACCT|5 MVLOADACCTDATES|5 MVLOADACCTHIST|5 MVLOADDATES|5 MVLOADHIST|5 ' +\n 'MVLOADLIST|5 MVLOADLISTDATES|5 MVLOADLISTHIST|5 IF FOR NEXT DONE SELECT END CALL ABORT CLEAR CHANNEL FACTOR LIST NUMBER ' +\n 'OVERRIDE SET WEEK DISTRIBUTIONNODE ELSE WHEN THEN OTHERWISE IENUM CSV INCLUDE LEAVE RIDER SAVE DELETE ' +\n 'NOVALUE SECTION WARN SAVE_UPDATE DETERMINANT LABEL REPORT REVENUE EACH ' +\n 'IN FROM TOTAL CHARGE BLOCK AND OR CSV_FILE RATE_CODE AUXILIARY_DEMAND ' +\n 'UIDACCOUNT RS BILL_PERIOD_SELECT HOURS_PER_MONTH INTD_ERROR_STOP SEASON_SCHEDULE_NAME ' +\n 'ACCOUNTFACTOR ARRAYUPPERBOUND CALLSTOREDPROC GETADOCONNECTION GETCONNECT GETDATASOURCE ' +\n 'GETQUALIFIER GETUSERID HASVALUE LISTCOUNT LISTOP LISTUPDATE LISTVALUE PRORATEFACTOR RSPRORATE ' +\n 'SETBINPATH SETDBMONITOR WQ_OPEN BILLINGHOURS DATE DATEFROMFLOAT DATETIMEFROMSTRING ' +\n 'DATETIMETOSTRING DATETOFLOAT DAY DAYDIFF DAYNAME DBDATETIME HOUR MINUTE MONTH MONTHDIFF ' +\n 'MONTHHOURS MONTHNAME ROUNDDATE SAMEWEEKDAYLASTYEAR SECOND WEEKDAY WEEKDIFF YEAR YEARDAY ' +\n 'YEARSTR COMPSUM HISTCOUNT HISTMAX HISTMIN HISTMINNZ HISTVALUE MAXNRANGE MAXRANGE MINRANGE ' +\n 'COMPIKVA COMPKVA COMPKVARFROMKQKW COMPLF IDATTR FLAG LF2KW LF2KWH MAXKW POWERFACTOR ' +\n 'READING2USAGE AVGSEASON MAXSEASON MONTHLYMERGE SEASONVALUE SUMSEASON ACCTREADDATES ' +\n 'ACCTTABLELOAD CONFIGADD CONFIGGET CREATEOBJECT CREATEREPORT EMAILCLIENT EXPBLKMDMUSAGE ' +\n 'EXPMDMUSAGE EXPORT_USAGE FACTORINEFFECT GETUSERSPECIFIEDSTOP INEFFECT ISHOLIDAY RUNRATE ' +\n 'SAVE_PROFILE SETREPORTTITLE USEREXIT WATFORRUNRATE TO TABLE ACOS ASIN ATAN ATAN2 BITAND CEIL ' +\n 'COS COSECANT COSH COTANGENT DIVQUOT DIVREM EXP FABS FLOOR FMOD FREPM FREXPN LOG LOG10 MAX MAXN ' +\n 'MIN MINNZ MODF POW ROUND ROUND2VALUE ROUNDINT SECANT SIN SINH SQROOT TAN TANH FLOAT2STRING ' +\n 'FLOAT2STRINGNC INSTR LEFT LEN LTRIM MID RIGHT RTRIM STRING STRINGNC TOLOWER TOUPPER TRIM ' +\n 'NUMDAYS READ_DATE STAGING',\n built_in: 'IDENTIFIER OPTIONS XML_ELEMENT XML_OP XML_ELEMENT_OF DOMDOCCREATE DOMDOCLOADFILE DOMDOCLOADXML ' +\n 'DOMDOCSAVEFILE DOMDOCGETROOT DOMDOCADDPI DOMNODEGETNAME DOMNODEGETTYPE DOMNODEGETVALUE DOMNODEGETCHILDCT ' +\n 'DOMNODEGETFIRSTCHILD DOMNODEGETSIBLING DOMNODECREATECHILDELEMENT DOMNODESETATTRIBUTE ' +\n 'DOMNODEGETCHILDELEMENTCT DOMNODEGETFIRSTCHILDELEMENT DOMNODEGETSIBLINGELEMENT DOMNODEGETATTRIBUTECT ' +\n 'DOMNODEGETATTRIBUTEI DOMNODEGETATTRIBUTEBYNAME DOMNODEGETBYNAME'\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'literal',\n variants: [\n {begin: '#\\\\s+[a-zA-Z\\\\ \\\\.]*', relevance: 0}, // looks like #-comment\n {begin: '#[a-zA-Z\\\\ \\\\.]+'}\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/ruleslanguage.js"],"names":["module","exports","hljs","keywords","keyword","built_in","contains","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE","className","variants","begin","relevance"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACPC,QAAS,y/FAmCTC,SAAU,ocAMbC,SAAU,CACRJ,EAAKK,oBACLL,EAAKM,qBACLN,EAAKO,iBACLP,EAAKQ,kBACLR,EAAKS,cACL,CACEC,UAAW,UACXC,SAAU,CACR,CAACC,MAAO,uBAAwBC,UAAW,GAC3C,CAACD,MAAO","file":"highlight/ruleslanguage.js?v=ed71a0b324668c95b36d","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword: 'BILL_PERIOD BILL_START BILL_STOP RS_EFFECTIVE_START RS_EFFECTIVE_STOP RS_JURIS_CODE RS_OPCO_CODE ' +\n 'INTDADDATTRIBUTE|5 INTDADDVMSG|5 INTDBLOCKOP|5 INTDBLOCKOPNA|5 INTDCLOSE|5 INTDCOUNT|5 ' +\n 'INTDCOUNTSTATUSCODE|5 INTDCREATEMASK|5 INTDCREATEDAYMASK|5 INTDCREATEFACTORMASK|5 ' +\n 'INTDCREATEHANDLE|5 INTDCREATEOVERRIDEDAYMASK|5 INTDCREATEOVERRIDEMASK|5 ' +\n 'INTDCREATESTATUSCODEMASK|5 INTDCREATETOUPERIOD|5 INTDDELETE|5 INTDDIPTEST|5 INTDEXPORT|5 ' +\n 'INTDGETERRORCODE|5 INTDGETERRORMESSAGE|5 INTDISEQUAL|5 INTDJOIN|5 INTDLOAD|5 INTDLOADACTUALCUT|5 ' +\n 'INTDLOADDATES|5 INTDLOADHIST|5 INTDLOADLIST|5 INTDLOADLISTDATES|5 INTDLOADLISTENERGY|5 ' +\n 'INTDLOADLISTHIST|5 INTDLOADRELATEDCHANNEL|5 INTDLOADSP|5 INTDLOADSTAGING|5 INTDLOADUOM|5 ' +\n 'INTDLOADUOMDATES|5 INTDLOADUOMHIST|5 INTDLOADVERSION|5 INTDOPEN|5 INTDREADFIRST|5 INTDREADNEXT|5 ' +\n 'INTDRECCOUNT|5 INTDRELEASE|5 INTDREPLACE|5 INTDROLLAVG|5 INTDROLLPEAK|5 INTDSCALAROP|5 INTDSCALE|5 ' +\n 'INTDSETATTRIBUTE|5 INTDSETDSTPARTICIPANT|5 INTDSETSTRING|5 INTDSETVALUE|5 INTDSETVALUESTATUS|5 ' +\n 'INTDSHIFTSTARTTIME|5 INTDSMOOTH|5 INTDSORT|5 INTDSPIKETEST|5 INTDSUBSET|5 INTDTOU|5 ' +\n 'INTDTOURELEASE|5 INTDTOUVALUE|5 INTDUPDATESTATS|5 INTDVALUE|5 STDEV INTDDELETEEX|5 ' +\n 'INTDLOADEXACTUAL|5 INTDLOADEXCUT|5 INTDLOADEXDATES|5 INTDLOADEX|5 INTDLOADEXRELATEDCHANNEL|5 ' +\n 'INTDSAVEEX|5 MVLOAD|5 MVLOADACCT|5 MVLOADACCTDATES|5 MVLOADACCTHIST|5 MVLOADDATES|5 MVLOADHIST|5 ' +\n 'MVLOADLIST|5 MVLOADLISTDATES|5 MVLOADLISTHIST|5 IF FOR NEXT DONE SELECT END CALL ABORT CLEAR CHANNEL FACTOR LIST NUMBER ' +\n 'OVERRIDE SET WEEK DISTRIBUTIONNODE ELSE WHEN THEN OTHERWISE IENUM CSV INCLUDE LEAVE RIDER SAVE DELETE ' +\n 'NOVALUE SECTION WARN SAVE_UPDATE DETERMINANT LABEL REPORT REVENUE EACH ' +\n 'IN FROM TOTAL CHARGE BLOCK AND OR CSV_FILE RATE_CODE AUXILIARY_DEMAND ' +\n 'UIDACCOUNT RS BILL_PERIOD_SELECT HOURS_PER_MONTH INTD_ERROR_STOP SEASON_SCHEDULE_NAME ' +\n 'ACCOUNTFACTOR ARRAYUPPERBOUND CALLSTOREDPROC GETADOCONNECTION GETCONNECT GETDATASOURCE ' +\n 'GETQUALIFIER GETUSERID HASVALUE LISTCOUNT LISTOP LISTUPDATE LISTVALUE PRORATEFACTOR RSPRORATE ' +\n 'SETBINPATH SETDBMONITOR WQ_OPEN BILLINGHOURS DATE DATEFROMFLOAT DATETIMEFROMSTRING ' +\n 'DATETIMETOSTRING DATETOFLOAT DAY DAYDIFF DAYNAME DBDATETIME HOUR MINUTE MONTH MONTHDIFF ' +\n 'MONTHHOURS MONTHNAME ROUNDDATE SAMEWEEKDAYLASTYEAR SECOND WEEKDAY WEEKDIFF YEAR YEARDAY ' +\n 'YEARSTR COMPSUM HISTCOUNT HISTMAX HISTMIN HISTMINNZ HISTVALUE MAXNRANGE MAXRANGE MINRANGE ' +\n 'COMPIKVA COMPKVA COMPKVARFROMKQKW COMPLF IDATTR FLAG LF2KW LF2KWH MAXKW POWERFACTOR ' +\n 'READING2USAGE AVGSEASON MAXSEASON MONTHLYMERGE SEASONVALUE SUMSEASON ACCTREADDATES ' +\n 'ACCTTABLELOAD CONFIGADD CONFIGGET CREATEOBJECT CREATEREPORT EMAILCLIENT EXPBLKMDMUSAGE ' +\n 'EXPMDMUSAGE EXPORT_USAGE FACTORINEFFECT GETUSERSPECIFIEDSTOP INEFFECT ISHOLIDAY RUNRATE ' +\n 'SAVE_PROFILE SETREPORTTITLE USEREXIT WATFORRUNRATE TO TABLE ACOS ASIN ATAN ATAN2 BITAND CEIL ' +\n 'COS COSECANT COSH COTANGENT DIVQUOT DIVREM EXP FABS FLOOR FMOD FREPM FREXPN LOG LOG10 MAX MAXN ' +\n 'MIN MINNZ MODF POW ROUND ROUND2VALUE ROUNDINT SECANT SIN SINH SQROOT TAN TANH FLOAT2STRING ' +\n 'FLOAT2STRINGNC INSTR LEFT LEN LTRIM MID RIGHT RTRIM STRING STRINGNC TOLOWER TOUPPER TRIM ' +\n 'NUMDAYS READ_DATE STAGING',\n built_in: 'IDENTIFIER OPTIONS XML_ELEMENT XML_OP XML_ELEMENT_OF DOMDOCCREATE DOMDOCLOADFILE DOMDOCLOADXML ' +\n 'DOMDOCSAVEFILE DOMDOCGETROOT DOMDOCADDPI DOMNODEGETNAME DOMNODEGETTYPE DOMNODEGETVALUE DOMNODEGETCHILDCT ' +\n 'DOMNODEGETFIRSTCHILD DOMNODEGETSIBLING DOMNODECREATECHILDELEMENT DOMNODESETATTRIBUTE ' +\n 'DOMNODEGETCHILDELEMENTCT DOMNODEGETFIRSTCHILDELEMENT DOMNODEGETSIBLINGELEMENT DOMNODEGETATTRIBUTECT ' +\n 'DOMNODEGETATTRIBUTEI DOMNODEGETATTRIBUTEBYNAME DOMNODEGETBYNAME'\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'literal',\n variants: [\n {begin: '#\\\\s+[a-zA-Z\\\\ \\\\.]*', relevance: 0}, // looks like #-comment\n {begin: '#[a-zA-Z\\\\ \\\\.]+'}\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/rust.js b/js/highlight/rust.js
index d7389a1a4..139da9d98 100644
--- a/js/highlight/rust.js
+++ b/js/highlight/rust.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[148],{514:function(e,n){e.exports=function(e){var n="([ui](8|16|32|64|128|size)|f(32|64))?",t="drop i8 i16 i32 i64 i128 isize u8 u16 u32 u64 u128 usize f32 f64 str char bool Box Option Result String Vec Copy Send Sized Sync Drop Fn FnMut FnOnce ToOwned Clone Debug PartialEq PartialOrd Eq Ord AsRef AsMut Into From Default Iterator Extend IntoIterator DoubleEndedIterator ExactSizeIterator SliceConcatExt ToString assert! assert_eq! bitflags! bytes! cfg! col! concat! concat_idents! debug_assert! debug_assert_eq! env! panic! file! format! format_args! include_bin! include_str! line! local_data_key! module_path! option_env! print! println! select! stringify! try! unimplemented! unreachable! vec! write! writeln! macro_rules! assert_ne! debug_assert_ne!";return{aliases:["rs"],keywords:{keyword:"abstract as async await become box break const continue crate do dyn else enum extern false final fn for if impl in let loop macro match mod move mut override priv pub ref return self Self static struct super trait true try type typeof unsafe unsized use virtual where while yield",literal:"true false Some None Ok Err",built_in:t},lexemes:e.IDENT_RE+"!?",illegal:"</",contains:[e.C_LINE_COMMENT_MODE,e.COMMENT("/\\*","\\*/",{contains:["self"]}),e.inherit(e.QUOTE_STRING_MODE,{begin:/b?"/,illegal:null}),{className:"string",variants:[{begin:/r(#*)"(.|\n)*?"\1(?!#)/},{begin:/b?'\\?(x\w{2}|u\w{4}|U\w{8}|.)'/}]},{className:"symbol",begin:/'[a-zA-Z_][a-zA-Z0-9_]*/},{className:"number",variants:[{begin:"\\b0b([01_]+)"+n},{begin:"\\b0o([0-7_]+)"+n},{begin:"\\b0x([A-Fa-f0-9_]+)"+n},{begin:"\\b(\\d[\\d_]*(\\.[0-9_]+)?([eE][+-]?[0-9_]+)?)"+n}],relevance:0},{className:"function",beginKeywords:"fn",end:"(\\(|<)",excludeEnd:!0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"meta",begin:"#\\!?\\[",end:"\\]",contains:[{className:"meta-string",begin:/"/,end:/"/}]},{className:"class",beginKeywords:"type",end:";",contains:[e.inherit(e.UNDERSCORE_TITLE_MODE,{endsParent:!0})],illegal:"\\S"},{className:"class",beginKeywords:"trait enum struct union",end:"{",contains:[e.inherit(e.UNDERSCORE_TITLE_MODE,{endsParent:!0})],illegal:"[\\w\\d]"},{begin:e.IDENT_RE+"::",keywords:{built_in:t}},{begin:"->"}]}}}}]);
-//# sourceMappingURL=rust.js.map?v=daf2a80f2e0a56bedf82 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[148],{515:function(e,n){e.exports=function(e){var n="([ui](8|16|32|64|128|size)|f(32|64))?",t="drop i8 i16 i32 i64 i128 isize u8 u16 u32 u64 u128 usize f32 f64 str char bool Box Option Result String Vec Copy Send Sized Sync Drop Fn FnMut FnOnce ToOwned Clone Debug PartialEq PartialOrd Eq Ord AsRef AsMut Into From Default Iterator Extend IntoIterator DoubleEndedIterator ExactSizeIterator SliceConcatExt ToString assert! assert_eq! bitflags! bytes! cfg! col! concat! concat_idents! debug_assert! debug_assert_eq! env! panic! file! format! format_args! include_bin! include_str! line! local_data_key! module_path! option_env! print! println! select! stringify! try! unimplemented! unreachable! vec! write! writeln! macro_rules! assert_ne! debug_assert_ne!";return{aliases:["rs"],keywords:{keyword:"abstract as async await become box break const continue crate do dyn else enum extern false final fn for if impl in let loop macro match mod move mut override priv pub ref return self Self static struct super trait true try type typeof unsafe unsized use virtual where while yield",literal:"true false Some None Ok Err",built_in:t},lexemes:e.IDENT_RE+"!?",illegal:"</",contains:[e.C_LINE_COMMENT_MODE,e.COMMENT("/\\*","\\*/",{contains:["self"]}),e.inherit(e.QUOTE_STRING_MODE,{begin:/b?"/,illegal:null}),{className:"string",variants:[{begin:/r(#*)"(.|\n)*?"\1(?!#)/},{begin:/b?'\\?(x\w{2}|u\w{4}|U\w{8}|.)'/}]},{className:"symbol",begin:/'[a-zA-Z_][a-zA-Z0-9_]*/},{className:"number",variants:[{begin:"\\b0b([01_]+)"+n},{begin:"\\b0o([0-7_]+)"+n},{begin:"\\b0x([A-Fa-f0-9_]+)"+n},{begin:"\\b(\\d[\\d_]*(\\.[0-9_]+)?([eE][+-]?[0-9_]+)?)"+n}],relevance:0},{className:"function",beginKeywords:"fn",end:"(\\(|<)",excludeEnd:!0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"meta",begin:"#\\!?\\[",end:"\\]",contains:[{className:"meta-string",begin:/"/,end:/"/}]},{className:"class",beginKeywords:"type",end:";",contains:[e.inherit(e.UNDERSCORE_TITLE_MODE,{endsParent:!0})],illegal:"\\S"},{className:"class",beginKeywords:"trait enum struct union",end:"{",contains:[e.inherit(e.UNDERSCORE_TITLE_MODE,{endsParent:!0})],illegal:"[\\w\\d]"},{begin:e.IDENT_RE+"::",keywords:{built_in:t}},{begin:"->"}]}}}}]);
+//# sourceMappingURL=rust.js.map?v=a3b4445f438d4ad1a303 \ No newline at end of file
diff --git a/js/highlight/rust.js.map b/js/highlight/rust.js.map
index efe836791..6c42b50cb 100644
--- a/js/highlight/rust.js.map
+++ b/js/highlight/rust.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/rust.js"],"names":["module","exports","hljs","NUM_SUFFIX","BUILTINS","aliases","keywords","keyword","literal","built_in","lexemes","IDENT_RE","illegal","contains","C_LINE_COMMENT_MODE","COMMENT","inherit","QUOTE_STRING_MODE","begin","className","variants","relevance","beginKeywords","end","excludeEnd","UNDERSCORE_TITLE_MODE","endsParent"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAa,wCAMbC,EAEF,upBAkBF,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRC,QA3BF,2RA6BEC,QACE,8BACFC,SACEL,GAEJM,QAASR,EAAKS,SAAW,KACzBC,QAAS,KACTC,SAAU,CACRX,EAAKY,oBACLZ,EAAKa,QAAQ,OAAQ,OAAQ,CAACF,SAAU,CAAC,UACzCX,EAAKc,QAAQd,EAAKe,kBAAmB,CAACC,MAAO,MAAON,QAAS,OAC7D,CACEO,UAAW,SACXC,SAAU,CACP,CAAEF,MAAO,0BACT,CAAEA,MAAO,qCAGd,CACEC,UAAW,SACXD,MAAO,2BAET,CACEC,UAAW,SACXC,SAAU,CACR,CAAEF,MAAO,gBAAkBf,GAC3B,CAAEe,MAAO,iBAAmBf,GAC5B,CAAEe,MAAO,uBAAyBf,GAClC,CAAEe,MAAO,kDACAf,IAGXkB,UAAW,GAEb,CACEF,UAAW,WACXG,cAAe,KAAMC,IAAK,UAAWC,YAAY,EACjDX,SAAU,CAACX,EAAKuB,wBAElB,CACEN,UAAW,OACXD,MAAO,WAAYK,IAAK,MACxBV,SAAU,CACR,CACEM,UAAW,cACXD,MAAO,IAAKK,IAAK,OAIvB,CACEJ,UAAW,QACXG,cAAe,OAAQC,IAAK,IAC5BV,SAAU,CACRX,EAAKc,QAAQd,EAAKuB,sBAAuB,CAACC,YAAY,KAExDd,QAAS,OAEX,CACEO,UAAW,QACXG,cAAe,0BAA2BC,IAAK,IAC/CV,SAAU,CACRX,EAAKc,QAAQd,EAAKuB,sBAAuB,CAACC,YAAY,KAExDd,QAAS,YAEX,CACEM,MAAOhB,EAAKS,SAAW,KACvBL,SAAU,CAACG,SAAUL,IAEvB,CACEc,MAAO","file":"highlight/rust.js?v=daf2a80f2e0a56bedf82","sourcesContent":["module.exports = function(hljs) {\n var NUM_SUFFIX = '([ui](8|16|32|64|128|size)|f(32|64))\\?';\n var KEYWORDS =\n 'abstract as async await become box break const continue crate do dyn ' +\n 'else enum extern false final fn for if impl in let loop macro match mod ' +\n 'move mut override priv pub ref return self Self static struct super ' +\n 'trait true try type typeof unsafe unsized use virtual where while yield';\n var BUILTINS =\n // functions\n 'drop ' +\n // types\n 'i8 i16 i32 i64 i128 isize ' +\n 'u8 u16 u32 u64 u128 usize ' +\n 'f32 f64 ' +\n 'str char bool ' +\n 'Box Option Result String Vec ' +\n // traits\n 'Copy Send Sized Sync Drop Fn FnMut FnOnce ToOwned Clone Debug ' +\n 'PartialEq PartialOrd Eq Ord AsRef AsMut Into From Default Iterator ' +\n 'Extend IntoIterator DoubleEndedIterator ExactSizeIterator ' +\n 'SliceConcatExt ToString ' +\n // macros\n 'assert! assert_eq! bitflags! bytes! cfg! col! concat! concat_idents! ' +\n 'debug_assert! debug_assert_eq! env! panic! file! format! format_args! ' +\n 'include_bin! include_str! line! local_data_key! module_path! ' +\n 'option_env! print! println! select! stringify! try! unimplemented! ' +\n 'unreachable! vec! write! writeln! macro_rules! assert_ne! debug_assert_ne!';\n return {\n aliases: ['rs'],\n keywords: {\n keyword:\n KEYWORDS,\n literal:\n 'true false Some None Ok Err',\n built_in:\n BUILTINS\n },\n lexemes: hljs.IDENT_RE + '!?',\n illegal: '</',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.COMMENT('/\\\\*', '\\\\*/', {contains: ['self']}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {begin: /b?\"/, illegal: null}),\n {\n className: 'string',\n variants: [\n { begin: /r(#*)\"(.|\\n)*?\"\\1(?!#)/ },\n { begin: /b?'\\\\?(x\\w{2}|u\\w{4}|U\\w{8}|.)'/ }\n ]\n },\n {\n className: 'symbol',\n begin: /'[a-zA-Z_][a-zA-Z0-9_]*/\n },\n {\n className: 'number',\n variants: [\n { begin: '\\\\b0b([01_]+)' + NUM_SUFFIX },\n { begin: '\\\\b0o([0-7_]+)' + NUM_SUFFIX },\n { begin: '\\\\b0x([A-Fa-f0-9_]+)' + NUM_SUFFIX },\n { begin: '\\\\b(\\\\d[\\\\d_]*(\\\\.[0-9_]+)?([eE][+-]?[0-9_]+)?)' +\n NUM_SUFFIX\n }\n ],\n relevance: 0\n },\n {\n className: 'function',\n beginKeywords: 'fn', end: '(\\\\(|<)', excludeEnd: true,\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n className: 'meta',\n begin: '#\\\\!?\\\\[', end: '\\\\]',\n contains: [\n {\n className: 'meta-string',\n begin: /\"/, end: /\"/\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'type', end: ';',\n contains: [\n hljs.inherit(hljs.UNDERSCORE_TITLE_MODE, {endsParent: true})\n ],\n illegal: '\\\\S'\n },\n {\n className: 'class',\n beginKeywords: 'trait enum struct union', end: '{',\n contains: [\n hljs.inherit(hljs.UNDERSCORE_TITLE_MODE, {endsParent: true})\n ],\n illegal: '[\\\\w\\\\d]'\n },\n {\n begin: hljs.IDENT_RE + '::',\n keywords: {built_in: BUILTINS}\n },\n {\n begin: '->'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/rust.js"],"names":["module","exports","hljs","NUM_SUFFIX","BUILTINS","aliases","keywords","keyword","literal","built_in","lexemes","IDENT_RE","illegal","contains","C_LINE_COMMENT_MODE","COMMENT","inherit","QUOTE_STRING_MODE","begin","className","variants","relevance","beginKeywords","end","excludeEnd","UNDERSCORE_TITLE_MODE","endsParent"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAa,wCAMbC,EAEF,upBAkBF,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRC,QA3BF,2RA6BEC,QACE,8BACFC,SACEL,GAEJM,QAASR,EAAKS,SAAW,KACzBC,QAAS,KACTC,SAAU,CACRX,EAAKY,oBACLZ,EAAKa,QAAQ,OAAQ,OAAQ,CAACF,SAAU,CAAC,UACzCX,EAAKc,QAAQd,EAAKe,kBAAmB,CAACC,MAAO,MAAON,QAAS,OAC7D,CACEO,UAAW,SACXC,SAAU,CACP,CAAEF,MAAO,0BACT,CAAEA,MAAO,qCAGd,CACEC,UAAW,SACXD,MAAO,2BAET,CACEC,UAAW,SACXC,SAAU,CACR,CAAEF,MAAO,gBAAkBf,GAC3B,CAAEe,MAAO,iBAAmBf,GAC5B,CAAEe,MAAO,uBAAyBf,GAClC,CAAEe,MAAO,kDACAf,IAGXkB,UAAW,GAEb,CACEF,UAAW,WACXG,cAAe,KAAMC,IAAK,UAAWC,YAAY,EACjDX,SAAU,CAACX,EAAKuB,wBAElB,CACEN,UAAW,OACXD,MAAO,WAAYK,IAAK,MACxBV,SAAU,CACR,CACEM,UAAW,cACXD,MAAO,IAAKK,IAAK,OAIvB,CACEJ,UAAW,QACXG,cAAe,OAAQC,IAAK,IAC5BV,SAAU,CACRX,EAAKc,QAAQd,EAAKuB,sBAAuB,CAACC,YAAY,KAExDd,QAAS,OAEX,CACEO,UAAW,QACXG,cAAe,0BAA2BC,IAAK,IAC/CV,SAAU,CACRX,EAAKc,QAAQd,EAAKuB,sBAAuB,CAACC,YAAY,KAExDd,QAAS,YAEX,CACEM,MAAOhB,EAAKS,SAAW,KACvBL,SAAU,CAACG,SAAUL,IAEvB,CACEc,MAAO","file":"highlight/rust.js?v=a3b4445f438d4ad1a303","sourcesContent":["module.exports = function(hljs) {\n var NUM_SUFFIX = '([ui](8|16|32|64|128|size)|f(32|64))\\?';\n var KEYWORDS =\n 'abstract as async await become box break const continue crate do dyn ' +\n 'else enum extern false final fn for if impl in let loop macro match mod ' +\n 'move mut override priv pub ref return self Self static struct super ' +\n 'trait true try type typeof unsafe unsized use virtual where while yield';\n var BUILTINS =\n // functions\n 'drop ' +\n // types\n 'i8 i16 i32 i64 i128 isize ' +\n 'u8 u16 u32 u64 u128 usize ' +\n 'f32 f64 ' +\n 'str char bool ' +\n 'Box Option Result String Vec ' +\n // traits\n 'Copy Send Sized Sync Drop Fn FnMut FnOnce ToOwned Clone Debug ' +\n 'PartialEq PartialOrd Eq Ord AsRef AsMut Into From Default Iterator ' +\n 'Extend IntoIterator DoubleEndedIterator ExactSizeIterator ' +\n 'SliceConcatExt ToString ' +\n // macros\n 'assert! assert_eq! bitflags! bytes! cfg! col! concat! concat_idents! ' +\n 'debug_assert! debug_assert_eq! env! panic! file! format! format_args! ' +\n 'include_bin! include_str! line! local_data_key! module_path! ' +\n 'option_env! print! println! select! stringify! try! unimplemented! ' +\n 'unreachable! vec! write! writeln! macro_rules! assert_ne! debug_assert_ne!';\n return {\n aliases: ['rs'],\n keywords: {\n keyword:\n KEYWORDS,\n literal:\n 'true false Some None Ok Err',\n built_in:\n BUILTINS\n },\n lexemes: hljs.IDENT_RE + '!?',\n illegal: '</',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.COMMENT('/\\\\*', '\\\\*/', {contains: ['self']}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {begin: /b?\"/, illegal: null}),\n {\n className: 'string',\n variants: [\n { begin: /r(#*)\"(.|\\n)*?\"\\1(?!#)/ },\n { begin: /b?'\\\\?(x\\w{2}|u\\w{4}|U\\w{8}|.)'/ }\n ]\n },\n {\n className: 'symbol',\n begin: /'[a-zA-Z_][a-zA-Z0-9_]*/\n },\n {\n className: 'number',\n variants: [\n { begin: '\\\\b0b([01_]+)' + NUM_SUFFIX },\n { begin: '\\\\b0o([0-7_]+)' + NUM_SUFFIX },\n { begin: '\\\\b0x([A-Fa-f0-9_]+)' + NUM_SUFFIX },\n { begin: '\\\\b(\\\\d[\\\\d_]*(\\\\.[0-9_]+)?([eE][+-]?[0-9_]+)?)' +\n NUM_SUFFIX\n }\n ],\n relevance: 0\n },\n {\n className: 'function',\n beginKeywords: 'fn', end: '(\\\\(|<)', excludeEnd: true,\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n className: 'meta',\n begin: '#\\\\!?\\\\[', end: '\\\\]',\n contains: [\n {\n className: 'meta-string',\n begin: /\"/, end: /\"/\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'type', end: ';',\n contains: [\n hljs.inherit(hljs.UNDERSCORE_TITLE_MODE, {endsParent: true})\n ],\n illegal: '\\\\S'\n },\n {\n className: 'class',\n beginKeywords: 'trait enum struct union', end: '{',\n contains: [\n hljs.inherit(hljs.UNDERSCORE_TITLE_MODE, {endsParent: true})\n ],\n illegal: '[\\\\w\\\\d]'\n },\n {\n begin: hljs.IDENT_RE + '::',\n keywords: {built_in: BUILTINS}\n },\n {\n begin: '->'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/sas.js b/js/highlight/sas.js
index b928ff4ca..c3446b9e8 100644
--- a/js/highlight/sas.js
+++ b/js/highlight/sas.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[149],{515:function(e,a){e.exports=function(e){return{aliases:["sas","SAS"],case_insensitive:!0,keywords:{literal:"null missing _all_ _automatic_ _character_ _infile_ _n_ _name_ _null_ _numeric_ _user_ _webout_",meta:"do if then else end until while abort array attrib by call cards cards4 catname continue datalines datalines4 delete delim delimiter display dm drop endsas error file filename footnote format goto in infile informat input keep label leave length libname link list lostcard merge missing modify options output out page put redirect remove rename replace retain return select set skip startsas stop title update waitsas where window x systask add and alter as cascade check create delete describe distinct drop foreign from group having index insert into in key like message modify msgtype not null on or order primary references reset restrict select set table unique update validate view where"},contains:[{className:"keyword",begin:/^\s*(proc [\w\d_]+|data|run|quit)[\s\;]/},{className:"variable",begin:/\&[a-zA-Z_\&][a-zA-Z0-9_]*\.?/},{className:"emphasis",begin:/^\s*datalines|cards.*;/,end:/^\s*;\s*$/},{className:"built_in",begin:"%(bquote|nrbquote|cmpres|qcmpres|compstor|datatyp|display|do|else|end|eval|global|goto|if|index|input|keydef|label|left|length|let|local|lowcase|macro|mend|nrbquote|nrquote|nrstr|put|qcmpres|qleft|qlowcase|qscan|qsubstr|qsysfunc|qtrim|quote|qupcase|scan|str|substr|superq|syscall|sysevalf|sysexec|sysfunc|sysget|syslput|sysprod|sysrc|sysrput|then|to|trim|unquote|until|upcase|verify|while|window)"},{className:"name",begin:/%[a-zA-Z_][a-zA-Z_0-9]*/},{className:"meta",begin:"[^%](abs|addr|airy|arcos|arsin|atan|attrc|attrn|band|betainv|blshift|bnot|bor|brshift|bxor|byte|cdf|ceil|cexist|cinv|close|cnonct|collate|compbl|compound|compress|cos|cosh|css|curobs|cv|daccdb|daccdbsl|daccsl|daccsyd|dacctab|dairy|date|datejul|datepart|datetime|day|dclose|depdb|depdbsl|depdbsl|depsl|depsl|depsyd|depsyd|deptab|deptab|dequote|dhms|dif|digamma|dim|dinfo|dnum|dopen|doptname|doptnum|dread|dropnote|dsname|erf|erfc|exist|exp|fappend|fclose|fcol|fdelete|fetch|fetchobs|fexist|fget|fileexist|filename|fileref|finfo|finv|fipname|fipnamel|fipstate|floor|fnonct|fnote|fopen|foptname|foptnum|fpoint|fpos|fput|fread|frewind|frlen|fsep|fuzz|fwrite|gaminv|gamma|getoption|getvarc|getvarn|hbound|hms|hosthelp|hour|ibessel|index|indexc|indexw|input|inputc|inputn|int|intck|intnx|intrr|irr|jbessel|juldate|kurtosis|lag|lbound|left|length|lgamma|libname|libref|log|log10|log2|logpdf|logpmf|logsdf|lowcase|max|mdy|mean|min|minute|mod|month|mopen|mort|n|netpv|nmiss|normal|note|npv|open|ordinal|pathname|pdf|peek|peekc|pmf|point|poisson|poke|probbeta|probbnml|probchi|probf|probgam|probhypr|probit|probnegb|probnorm|probt|put|putc|putn|qtr|quote|ranbin|rancau|ranexp|rangam|range|rank|rannor|ranpoi|rantbl|rantri|ranuni|repeat|resolve|reverse|rewind|right|round|saving|scan|sdf|second|sign|sin|sinh|skewness|soundex|spedis|sqrt|std|stderr|stfips|stname|stnamel|substr|sum|symget|sysget|sysmsg|sysprod|sysrc|system|tan|tanh|time|timepart|tinv|tnonct|today|translate|tranwrd|trigamma|trim|trimn|trunc|uniform|upcase|uss|var|varfmt|varinfmt|varlabel|varlen|varname|varnum|varray|varrayx|vartype|verify|vformat|vformatd|vformatdx|vformatn|vformatnx|vformatw|vformatwx|vformatx|vinarray|vinarrayx|vinformat|vinformatd|vinformatdx|vinformatn|vinformatnx|vinformatw|vinformatwx|vinformatx|vlabel|vlabelx|vlength|vlengthx|vname|vnamex|vtype|vtypex|weekday|year|yyq|zipfips|zipname|zipnamel|zipstate)[(]"},{className:"string",variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},e.COMMENT("\\*",";"),e.C_BLOCK_COMMENT_MODE]}}}}]);
-//# sourceMappingURL=sas.js.map?v=ba794444b6b6c17f490b \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[149],{516:function(e,a){e.exports=function(e){return{aliases:["sas","SAS"],case_insensitive:!0,keywords:{literal:"null missing _all_ _automatic_ _character_ _infile_ _n_ _name_ _null_ _numeric_ _user_ _webout_",meta:"do if then else end until while abort array attrib by call cards cards4 catname continue datalines datalines4 delete delim delimiter display dm drop endsas error file filename footnote format goto in infile informat input keep label leave length libname link list lostcard merge missing modify options output out page put redirect remove rename replace retain return select set skip startsas stop title update waitsas where window x systask add and alter as cascade check create delete describe distinct drop foreign from group having index insert into in key like message modify msgtype not null on or order primary references reset restrict select set table unique update validate view where"},contains:[{className:"keyword",begin:/^\s*(proc [\w\d_]+|data|run|quit)[\s\;]/},{className:"variable",begin:/\&[a-zA-Z_\&][a-zA-Z0-9_]*\.?/},{className:"emphasis",begin:/^\s*datalines|cards.*;/,end:/^\s*;\s*$/},{className:"built_in",begin:"%(bquote|nrbquote|cmpres|qcmpres|compstor|datatyp|display|do|else|end|eval|global|goto|if|index|input|keydef|label|left|length|let|local|lowcase|macro|mend|nrbquote|nrquote|nrstr|put|qcmpres|qleft|qlowcase|qscan|qsubstr|qsysfunc|qtrim|quote|qupcase|scan|str|substr|superq|syscall|sysevalf|sysexec|sysfunc|sysget|syslput|sysprod|sysrc|sysrput|then|to|trim|unquote|until|upcase|verify|while|window)"},{className:"name",begin:/%[a-zA-Z_][a-zA-Z_0-9]*/},{className:"meta",begin:"[^%](abs|addr|airy|arcos|arsin|atan|attrc|attrn|band|betainv|blshift|bnot|bor|brshift|bxor|byte|cdf|ceil|cexist|cinv|close|cnonct|collate|compbl|compound|compress|cos|cosh|css|curobs|cv|daccdb|daccdbsl|daccsl|daccsyd|dacctab|dairy|date|datejul|datepart|datetime|day|dclose|depdb|depdbsl|depdbsl|depsl|depsl|depsyd|depsyd|deptab|deptab|dequote|dhms|dif|digamma|dim|dinfo|dnum|dopen|doptname|doptnum|dread|dropnote|dsname|erf|erfc|exist|exp|fappend|fclose|fcol|fdelete|fetch|fetchobs|fexist|fget|fileexist|filename|fileref|finfo|finv|fipname|fipnamel|fipstate|floor|fnonct|fnote|fopen|foptname|foptnum|fpoint|fpos|fput|fread|frewind|frlen|fsep|fuzz|fwrite|gaminv|gamma|getoption|getvarc|getvarn|hbound|hms|hosthelp|hour|ibessel|index|indexc|indexw|input|inputc|inputn|int|intck|intnx|intrr|irr|jbessel|juldate|kurtosis|lag|lbound|left|length|lgamma|libname|libref|log|log10|log2|logpdf|logpmf|logsdf|lowcase|max|mdy|mean|min|minute|mod|month|mopen|mort|n|netpv|nmiss|normal|note|npv|open|ordinal|pathname|pdf|peek|peekc|pmf|point|poisson|poke|probbeta|probbnml|probchi|probf|probgam|probhypr|probit|probnegb|probnorm|probt|put|putc|putn|qtr|quote|ranbin|rancau|ranexp|rangam|range|rank|rannor|ranpoi|rantbl|rantri|ranuni|repeat|resolve|reverse|rewind|right|round|saving|scan|sdf|second|sign|sin|sinh|skewness|soundex|spedis|sqrt|std|stderr|stfips|stname|stnamel|substr|sum|symget|sysget|sysmsg|sysprod|sysrc|system|tan|tanh|time|timepart|tinv|tnonct|today|translate|tranwrd|trigamma|trim|trimn|trunc|uniform|upcase|uss|var|varfmt|varinfmt|varlabel|varlen|varname|varnum|varray|varrayx|vartype|verify|vformat|vformatd|vformatdx|vformatn|vformatnx|vformatw|vformatwx|vformatx|vinarray|vinarrayx|vinformat|vinformatd|vinformatdx|vinformatn|vinformatnx|vinformatw|vinformatwx|vinformatx|vlabel|vlabelx|vlength|vlengthx|vname|vnamex|vtype|vtypex|weekday|year|yyq|zipfips|zipname|zipnamel|zipstate)[(]"},{className:"string",variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},e.COMMENT("\\*",";"),e.C_BLOCK_COMMENT_MODE]}}}}]);
+//# sourceMappingURL=sas.js.map?v=e42f265b747fb02b2df0 \ No newline at end of file
diff --git a/js/highlight/sas.js.map b/js/highlight/sas.js.map
index 8bd4dd4ea..741c88cc5 100644
--- a/js/highlight/sas.js.map
+++ b/js/highlight/sas.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/sas.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","literal","meta","contains","className","begin","end","variants","APOS_STRING_MODE","QUOTE_STRING_MODE","COMMENT","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAyEtB,MAAO,CACHC,QAAS,CAAC,MAAO,OACjBC,kBAAkB,EAClBC,SAAU,CACNC,QACI,kGAEJC,KA7EW,yrBAgFfC,SAAU,CACN,CAEIC,UAAW,UACXC,MAAO,2CAEX,CAEID,UAAW,WACXC,MAAO,iCAEX,CAEID,UAAW,WACXC,MAAO,yBACPC,IAAK,aAET,CACIF,UAAW,WACXC,MAAO,gZAEX,CAEID,UAAW,OACXC,MAAO,2BAEX,CACID,UAAW,OACXC,MAAO,y2DAEX,CACID,UAAW,SACXG,SAAU,CACNV,EAAKW,iBACLX,EAAKY,oBAGbZ,EAAKa,QAAQ,MAAO,KACpBb,EAAKc","file":"highlight/sas.js?v=ba794444b6b6c17f490b","sourcesContent":["module.exports = function(hljs) {\n\n // Data step and PROC SQL statements\n var SAS_KEYWORDS = ''+\n 'do if then else end until while '+\n ''+\n 'abort array attrib by call cards cards4 catname continue '+\n 'datalines datalines4 delete delim delimiter display dm drop '+\n 'endsas error file filename footnote format goto in infile '+\n 'informat input keep label leave length libname link list '+\n 'lostcard merge missing modify options output out page put '+\n 'redirect remove rename replace retain return select set skip '+\n 'startsas stop title update waitsas where window x systask '+\n ''+\n 'add and alter as cascade check create delete describe '+\n 'distinct drop foreign from group having index insert into in '+\n 'key like message modify msgtype not null on or order primary '+\n 'references reset restrict select set table unique update '+\n 'validate view where';\n\n // Built-in SAS functions\n var SAS_FUN = ''+\n 'abs|addr|airy|arcos|arsin|atan|attrc|attrn|band|'+\n 'betainv|blshift|bnot|bor|brshift|bxor|byte|cdf|ceil|'+\n 'cexist|cinv|close|cnonct|collate|compbl|compound|'+\n 'compress|cos|cosh|css|curobs|cv|daccdb|daccdbsl|'+\n 'daccsl|daccsyd|dacctab|dairy|date|datejul|datepart|'+\n 'datetime|day|dclose|depdb|depdbsl|depdbsl|depsl|'+\n 'depsl|depsyd|depsyd|deptab|deptab|dequote|dhms|dif|'+\n 'digamma|dim|dinfo|dnum|dopen|doptname|doptnum|dread|'+\n 'dropnote|dsname|erf|erfc|exist|exp|fappend|fclose|'+\n 'fcol|fdelete|fetch|fetchobs|fexist|fget|fileexist|'+\n 'filename|fileref|finfo|finv|fipname|fipnamel|'+\n 'fipstate|floor|fnonct|fnote|fopen|foptname|foptnum|'+\n 'fpoint|fpos|fput|fread|frewind|frlen|fsep|fuzz|'+\n 'fwrite|gaminv|gamma|getoption|getvarc|getvarn|hbound|'+\n 'hms|hosthelp|hour|ibessel|index|indexc|indexw|input|'+\n 'inputc|inputn|int|intck|intnx|intrr|irr|jbessel|'+\n 'juldate|kurtosis|lag|lbound|left|length|lgamma|'+\n 'libname|libref|log|log10|log2|logpdf|logpmf|logsdf|'+\n 'lowcase|max|mdy|mean|min|minute|mod|month|mopen|'+\n 'mort|n|netpv|nmiss|normal|note|npv|open|ordinal|'+\n 'pathname|pdf|peek|peekc|pmf|point|poisson|poke|'+\n 'probbeta|probbnml|probchi|probf|probgam|probhypr|'+\n 'probit|probnegb|probnorm|probt|put|putc|putn|qtr|'+\n 'quote|ranbin|rancau|ranexp|rangam|range|rank|rannor|'+\n 'ranpoi|rantbl|rantri|ranuni|repeat|resolve|reverse|'+\n 'rewind|right|round|saving|scan|sdf|second|sign|'+\n 'sin|sinh|skewness|soundex|spedis|sqrt|std|stderr|'+\n 'stfips|stname|stnamel|substr|sum|symget|sysget|'+\n 'sysmsg|sysprod|sysrc|system|tan|tanh|time|timepart|'+\n 'tinv|tnonct|today|translate|tranwrd|trigamma|'+\n 'trim|trimn|trunc|uniform|upcase|uss|var|varfmt|'+\n 'varinfmt|varlabel|varlen|varname|varnum|varray|'+\n 'varrayx|vartype|verify|vformat|vformatd|vformatdx|'+\n 'vformatn|vformatnx|vformatw|vformatwx|vformatx|'+\n 'vinarray|vinarrayx|vinformat|vinformatd|vinformatdx|'+\n 'vinformatn|vinformatnx|vinformatw|vinformatwx|'+\n 'vinformatx|vlabel|vlabelx|vlength|vlengthx|vname|'+\n 'vnamex|vtype|vtypex|weekday|year|yyq|zipfips|zipname|'+\n 'zipnamel|zipstate';\n\n // Built-in macro functions\n var SAS_MACRO_FUN = 'bquote|nrbquote|cmpres|qcmpres|compstor|'+\n 'datatyp|display|do|else|end|eval|global|goto|'+\n 'if|index|input|keydef|label|left|length|let|'+\n 'local|lowcase|macro|mend|nrbquote|nrquote|'+\n 'nrstr|put|qcmpres|qleft|qlowcase|qscan|'+\n 'qsubstr|qsysfunc|qtrim|quote|qupcase|scan|str|'+\n 'substr|superq|syscall|sysevalf|sysexec|sysfunc|'+\n 'sysget|syslput|sysprod|sysrc|sysrput|then|to|'+\n 'trim|unquote|until|upcase|verify|while|window';\n\n return {\n aliases: ['sas', 'SAS'],\n case_insensitive: true, // SAS is case-insensitive\n keywords: {\n literal:\n 'null missing _all_ _automatic_ _character_ _infile_ '+\n '_n_ _name_ _null_ _numeric_ _user_ _webout_',\n meta:\n SAS_KEYWORDS\n },\n contains: [\n {\n // Distinct highlight for proc <proc>, data, run, quit\n className: 'keyword',\n begin: /^\\s*(proc [\\w\\d_]+|data|run|quit)[\\s\\;]/\n },\n {\n // Macro variables\n className: 'variable',\n begin: /\\&[a-zA-Z_\\&][a-zA-Z0-9_]*\\.?/\n },\n {\n // Special emphasis for datalines|cards\n className: 'emphasis',\n begin: /^\\s*datalines|cards.*;/,\n end: /^\\s*;\\s*$/\n },\n { // Built-in macro variables take precedence\n className: 'built_in',\n begin: '%(' + SAS_MACRO_FUN + ')'\n },\n {\n // User-defined macro functions highlighted after\n className: 'name',\n begin: /%[a-zA-Z_][a-zA-Z_0-9]*/\n },\n {\n className: 'meta',\n begin: '[^%](' + SAS_FUN + ')[\\(]'\n },\n {\n className: 'string',\n variants: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n },\n hljs.COMMENT('\\\\*', ';'),\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/sas.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","literal","meta","contains","className","begin","end","variants","APOS_STRING_MODE","QUOTE_STRING_MODE","COMMENT","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAyEtB,MAAO,CACHC,QAAS,CAAC,MAAO,OACjBC,kBAAkB,EAClBC,SAAU,CACNC,QACI,kGAEJC,KA7EW,yrBAgFfC,SAAU,CACN,CAEIC,UAAW,UACXC,MAAO,2CAEX,CAEID,UAAW,WACXC,MAAO,iCAEX,CAEID,UAAW,WACXC,MAAO,yBACPC,IAAK,aAET,CACIF,UAAW,WACXC,MAAO,gZAEX,CAEID,UAAW,OACXC,MAAO,2BAEX,CACID,UAAW,OACXC,MAAO,y2DAEX,CACID,UAAW,SACXG,SAAU,CACNV,EAAKW,iBACLX,EAAKY,oBAGbZ,EAAKa,QAAQ,MAAO,KACpBb,EAAKc","file":"highlight/sas.js?v=e42f265b747fb02b2df0","sourcesContent":["module.exports = function(hljs) {\n\n // Data step and PROC SQL statements\n var SAS_KEYWORDS = ''+\n 'do if then else end until while '+\n ''+\n 'abort array attrib by call cards cards4 catname continue '+\n 'datalines datalines4 delete delim delimiter display dm drop '+\n 'endsas error file filename footnote format goto in infile '+\n 'informat input keep label leave length libname link list '+\n 'lostcard merge missing modify options output out page put '+\n 'redirect remove rename replace retain return select set skip '+\n 'startsas stop title update waitsas where window x systask '+\n ''+\n 'add and alter as cascade check create delete describe '+\n 'distinct drop foreign from group having index insert into in '+\n 'key like message modify msgtype not null on or order primary '+\n 'references reset restrict select set table unique update '+\n 'validate view where';\n\n // Built-in SAS functions\n var SAS_FUN = ''+\n 'abs|addr|airy|arcos|arsin|atan|attrc|attrn|band|'+\n 'betainv|blshift|bnot|bor|brshift|bxor|byte|cdf|ceil|'+\n 'cexist|cinv|close|cnonct|collate|compbl|compound|'+\n 'compress|cos|cosh|css|curobs|cv|daccdb|daccdbsl|'+\n 'daccsl|daccsyd|dacctab|dairy|date|datejul|datepart|'+\n 'datetime|day|dclose|depdb|depdbsl|depdbsl|depsl|'+\n 'depsl|depsyd|depsyd|deptab|deptab|dequote|dhms|dif|'+\n 'digamma|dim|dinfo|dnum|dopen|doptname|doptnum|dread|'+\n 'dropnote|dsname|erf|erfc|exist|exp|fappend|fclose|'+\n 'fcol|fdelete|fetch|fetchobs|fexist|fget|fileexist|'+\n 'filename|fileref|finfo|finv|fipname|fipnamel|'+\n 'fipstate|floor|fnonct|fnote|fopen|foptname|foptnum|'+\n 'fpoint|fpos|fput|fread|frewind|frlen|fsep|fuzz|'+\n 'fwrite|gaminv|gamma|getoption|getvarc|getvarn|hbound|'+\n 'hms|hosthelp|hour|ibessel|index|indexc|indexw|input|'+\n 'inputc|inputn|int|intck|intnx|intrr|irr|jbessel|'+\n 'juldate|kurtosis|lag|lbound|left|length|lgamma|'+\n 'libname|libref|log|log10|log2|logpdf|logpmf|logsdf|'+\n 'lowcase|max|mdy|mean|min|minute|mod|month|mopen|'+\n 'mort|n|netpv|nmiss|normal|note|npv|open|ordinal|'+\n 'pathname|pdf|peek|peekc|pmf|point|poisson|poke|'+\n 'probbeta|probbnml|probchi|probf|probgam|probhypr|'+\n 'probit|probnegb|probnorm|probt|put|putc|putn|qtr|'+\n 'quote|ranbin|rancau|ranexp|rangam|range|rank|rannor|'+\n 'ranpoi|rantbl|rantri|ranuni|repeat|resolve|reverse|'+\n 'rewind|right|round|saving|scan|sdf|second|sign|'+\n 'sin|sinh|skewness|soundex|spedis|sqrt|std|stderr|'+\n 'stfips|stname|stnamel|substr|sum|symget|sysget|'+\n 'sysmsg|sysprod|sysrc|system|tan|tanh|time|timepart|'+\n 'tinv|tnonct|today|translate|tranwrd|trigamma|'+\n 'trim|trimn|trunc|uniform|upcase|uss|var|varfmt|'+\n 'varinfmt|varlabel|varlen|varname|varnum|varray|'+\n 'varrayx|vartype|verify|vformat|vformatd|vformatdx|'+\n 'vformatn|vformatnx|vformatw|vformatwx|vformatx|'+\n 'vinarray|vinarrayx|vinformat|vinformatd|vinformatdx|'+\n 'vinformatn|vinformatnx|vinformatw|vinformatwx|'+\n 'vinformatx|vlabel|vlabelx|vlength|vlengthx|vname|'+\n 'vnamex|vtype|vtypex|weekday|year|yyq|zipfips|zipname|'+\n 'zipnamel|zipstate';\n\n // Built-in macro functions\n var SAS_MACRO_FUN = 'bquote|nrbquote|cmpres|qcmpres|compstor|'+\n 'datatyp|display|do|else|end|eval|global|goto|'+\n 'if|index|input|keydef|label|left|length|let|'+\n 'local|lowcase|macro|mend|nrbquote|nrquote|'+\n 'nrstr|put|qcmpres|qleft|qlowcase|qscan|'+\n 'qsubstr|qsysfunc|qtrim|quote|qupcase|scan|str|'+\n 'substr|superq|syscall|sysevalf|sysexec|sysfunc|'+\n 'sysget|syslput|sysprod|sysrc|sysrput|then|to|'+\n 'trim|unquote|until|upcase|verify|while|window';\n\n return {\n aliases: ['sas', 'SAS'],\n case_insensitive: true, // SAS is case-insensitive\n keywords: {\n literal:\n 'null missing _all_ _automatic_ _character_ _infile_ '+\n '_n_ _name_ _null_ _numeric_ _user_ _webout_',\n meta:\n SAS_KEYWORDS\n },\n contains: [\n {\n // Distinct highlight for proc <proc>, data, run, quit\n className: 'keyword',\n begin: /^\\s*(proc [\\w\\d_]+|data|run|quit)[\\s\\;]/\n },\n {\n // Macro variables\n className: 'variable',\n begin: /\\&[a-zA-Z_\\&][a-zA-Z0-9_]*\\.?/\n },\n {\n // Special emphasis for datalines|cards\n className: 'emphasis',\n begin: /^\\s*datalines|cards.*;/,\n end: /^\\s*;\\s*$/\n },\n { // Built-in macro variables take precedence\n className: 'built_in',\n begin: '%(' + SAS_MACRO_FUN + ')'\n },\n {\n // User-defined macro functions highlighted after\n className: 'name',\n begin: /%[a-zA-Z_][a-zA-Z_0-9]*/\n },\n {\n className: 'meta',\n begin: '[^%](' + SAS_FUN + ')[\\(]'\n },\n {\n className: 'string',\n variants: [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n },\n hljs.COMMENT('\\\\*', ';'),\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/scala.js b/js/highlight/scala.js
index aa3b7b31a..4a053d79f 100644
--- a/js/highlight/scala.js
+++ b/js/highlight/scala.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[150],{516:function(e,n){e.exports=function(e){var n={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"},{begin:"\\${",end:"}"}]},a={className:"string",variants:[{begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:'"""',end:'"""',relevance:10},{begin:'[a-z]+"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,n]},{className:"string",begin:'[a-z]+"""',end:'"""',contains:[n],relevance:10}]},s={className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},t={className:"title",begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/,relevance:0},i={className:"class",beginKeywords:"class object trait type",end:/[:={\[\n;]/,excludeEnd:!0,contains:[{beginKeywords:"extends with",relevance:10},{begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[s]},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[s]},t]},c={className:"function",beginKeywords:"def",end:/[:={\[(\n;]/,excludeEnd:!0,contains:[t]};return{keywords:{literal:"true false null",keyword:"type yield lazy override def with val var sealed abstract private trait object if forSome for while throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,{className:"symbol",begin:"'\\w[\\w\\d_]*(?!')"},s,c,i,e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"}]}}}}]);
-//# sourceMappingURL=scala.js.map?v=cddb8e9bdb96da263c4f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[150],{517:function(e,n){e.exports=function(e){var n={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"},{begin:"\\${",end:"}"}]},a={className:"string",variants:[{begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:'"""',end:'"""',relevance:10},{begin:'[a-z]+"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,n]},{className:"string",begin:'[a-z]+"""',end:'"""',contains:[n],relevance:10}]},s={className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},t={className:"title",begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/,relevance:0},i={className:"class",beginKeywords:"class object trait type",end:/[:={\[\n;]/,excludeEnd:!0,contains:[{beginKeywords:"extends with",relevance:10},{begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[s]},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[s]},t]},c={className:"function",beginKeywords:"def",end:/[:={\[(\n;]/,excludeEnd:!0,contains:[t]};return{keywords:{literal:"true false null",keyword:"type yield lazy override def with val var sealed abstract private trait object if forSome for while throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,{className:"symbol",begin:"'\\w[\\w\\d_]*(?!')"},s,c,i,e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"}]}}}}]);
+//# sourceMappingURL=scala.js.map?v=fdb9f0a690d8cedb78c0 \ No newline at end of file
diff --git a/js/highlight/scala.js.map b/js/highlight/scala.js.map
index 4d5e9f832..c0f874a2c 100644
--- a/js/highlight/scala.js.map
+++ b/js/highlight/scala.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/scala.js"],"names":["module","exports","hljs","SUBST","className","variants","begin","end","STRING","illegal","contains","BACKSLASH_ESCAPE","relevance","TYPE","NAME","CLASS","beginKeywords","excludeEnd","excludeBegin","METHOD","keywords","literal","keyword","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAGIC,EAAQ,CACVC,UAAW,QACXC,SAAU,CACR,CAACC,MAAO,oBACR,CAACA,MAAO,OAAQC,IAAK,OAIrBC,EAAS,CACXJ,UAAW,SACXC,SAAU,CACR,CACEC,MAAO,IAAKC,IAAK,IACjBE,QAAS,MACTC,SAAU,CAACR,EAAKS,mBAElB,CACEL,MAAO,MAAOC,IAAK,MACnBK,UAAW,IAEb,CACEN,MAAO,UAAWC,IAAK,IACvBE,QAAS,MACTC,SAAU,CAACR,EAAKS,iBAAkBR,IAEpC,CACEC,UAAW,SACXE,MAAO,YAAaC,IAAK,MACzBG,SAAU,CAACP,GACXS,UAAW,MAWbC,EAAO,CACTT,UAAW,OACXE,MAAO,wBACPM,UAAW,GAGTE,EAAO,CACTV,UAAW,QACXE,MAAO,iFACPM,UAAW,GAGTG,EAAQ,CACVX,UAAW,QACXY,cAAe,0BACfT,IAAK,aACLU,YAAY,EACZP,SAAU,CACR,CACEM,cAAe,eACfJ,UAAW,IAEb,CACEN,MAAO,KACPC,IAAK,KACLW,cAAc,EACdD,YAAY,EACZL,UAAW,EACXF,SAAU,CAACG,IAEb,CACET,UAAW,SACXE,MAAO,KACPC,IAAK,KACLW,cAAc,EACdD,YAAY,EACZL,UAAW,EACXF,SAAU,CAACG,IAEbC,IAIAK,EAAS,CACXf,UAAW,WACXY,cAAe,MACfT,IAAK,cACLU,YAAY,EACZP,SAAU,CAACI,IAGb,MAAO,CACLM,SAAU,CACRC,QAAS,kBACTC,QAAS,yPAEXZ,SAAU,CACRR,EAAKqB,oBACLrB,EAAKsB,qBACLhB,EAhES,CACXJ,UAAW,SACXE,MAAO,uBAgELO,EACAM,EACAJ,EACAb,EAAKuB,cA3GQ,CAAErB,UAAW,OAAQE,MAAO","file":"highlight/scala.js?v=cddb8e9bdb96da263c4f","sourcesContent":["module.exports = function(hljs) {\n\n var ANNOTATION = { className: 'meta', begin: '@[A-Za-z]+' };\n\n // used in strings for escaping/interpolation/substitution\n var SUBST = {\n className: 'subst',\n variants: [\n {begin: '\\\\$[A-Za-z0-9_]+'},\n {begin: '\\\\${', end: '}'}\n ]\n };\n\n var STRING = {\n className: 'string',\n variants: [\n {\n begin: '\"', end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '\"\"\"', end: '\"\"\"',\n relevance: 10\n },\n {\n begin: '[a-z]+\"', end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST]\n },\n {\n className: 'string',\n begin: '[a-z]+\"\"\"', end: '\"\"\"',\n contains: [SUBST],\n relevance: 10\n }\n ]\n\n };\n\n var SYMBOL = {\n className: 'symbol',\n begin: '\\'\\\\w[\\\\w\\\\d_]*(?!\\')'\n };\n\n var TYPE = {\n className: 'type',\n begin: '\\\\b[A-Z][A-Za-z0-9_]*',\n relevance: 0\n };\n\n var NAME = {\n className: 'title',\n begin: /[^0-9\\n\\t \"'(),.`{}\\[\\]:;][^\\n\\t \"'(),.`{}\\[\\]:;]+|[^0-9\\n\\t \"'(),.`{}\\[\\]:;=]/,\n relevance: 0\n };\n\n var CLASS = {\n className: 'class',\n beginKeywords: 'class object trait type',\n end: /[:={\\[\\n;]/,\n excludeEnd: true,\n contains: [\n {\n beginKeywords: 'extends with',\n relevance: 10\n },\n {\n begin: /\\[/,\n end: /\\]/,\n excludeBegin: true,\n excludeEnd: true,\n relevance: 0,\n contains: [TYPE]\n },\n {\n className: 'params',\n begin: /\\(/,\n end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n relevance: 0,\n contains: [TYPE]\n },\n NAME\n ]\n };\n\n var METHOD = {\n className: 'function',\n beginKeywords: 'def',\n end: /[:={\\[(\\n;]/,\n excludeEnd: true,\n contains: [NAME]\n };\n\n return {\n keywords: {\n literal: 'true false null',\n keyword: 'type yield lazy override def with val var sealed abstract private trait object if forSome for while throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit'\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRING,\n SYMBOL,\n TYPE,\n METHOD,\n CLASS,\n hljs.C_NUMBER_MODE,\n ANNOTATION\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/scala.js"],"names":["module","exports","hljs","SUBST","className","variants","begin","end","STRING","illegal","contains","BACKSLASH_ESCAPE","relevance","TYPE","NAME","CLASS","beginKeywords","excludeEnd","excludeBegin","METHOD","keywords","literal","keyword","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAGIC,EAAQ,CACVC,UAAW,QACXC,SAAU,CACR,CAACC,MAAO,oBACR,CAACA,MAAO,OAAQC,IAAK,OAIrBC,EAAS,CACXJ,UAAW,SACXC,SAAU,CACR,CACEC,MAAO,IAAKC,IAAK,IACjBE,QAAS,MACTC,SAAU,CAACR,EAAKS,mBAElB,CACEL,MAAO,MAAOC,IAAK,MACnBK,UAAW,IAEb,CACEN,MAAO,UAAWC,IAAK,IACvBE,QAAS,MACTC,SAAU,CAACR,EAAKS,iBAAkBR,IAEpC,CACEC,UAAW,SACXE,MAAO,YAAaC,IAAK,MACzBG,SAAU,CAACP,GACXS,UAAW,MAWbC,EAAO,CACTT,UAAW,OACXE,MAAO,wBACPM,UAAW,GAGTE,EAAO,CACTV,UAAW,QACXE,MAAO,iFACPM,UAAW,GAGTG,EAAQ,CACVX,UAAW,QACXY,cAAe,0BACfT,IAAK,aACLU,YAAY,EACZP,SAAU,CACR,CACEM,cAAe,eACfJ,UAAW,IAEb,CACEN,MAAO,KACPC,IAAK,KACLW,cAAc,EACdD,YAAY,EACZL,UAAW,EACXF,SAAU,CAACG,IAEb,CACET,UAAW,SACXE,MAAO,KACPC,IAAK,KACLW,cAAc,EACdD,YAAY,EACZL,UAAW,EACXF,SAAU,CAACG,IAEbC,IAIAK,EAAS,CACXf,UAAW,WACXY,cAAe,MACfT,IAAK,cACLU,YAAY,EACZP,SAAU,CAACI,IAGb,MAAO,CACLM,SAAU,CACRC,QAAS,kBACTC,QAAS,yPAEXZ,SAAU,CACRR,EAAKqB,oBACLrB,EAAKsB,qBACLhB,EAhES,CACXJ,UAAW,SACXE,MAAO,uBAgELO,EACAM,EACAJ,EACAb,EAAKuB,cA3GQ,CAAErB,UAAW,OAAQE,MAAO","file":"highlight/scala.js?v=fdb9f0a690d8cedb78c0","sourcesContent":["module.exports = function(hljs) {\n\n var ANNOTATION = { className: 'meta', begin: '@[A-Za-z]+' };\n\n // used in strings for escaping/interpolation/substitution\n var SUBST = {\n className: 'subst',\n variants: [\n {begin: '\\\\$[A-Za-z0-9_]+'},\n {begin: '\\\\${', end: '}'}\n ]\n };\n\n var STRING = {\n className: 'string',\n variants: [\n {\n begin: '\"', end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n begin: '\"\"\"', end: '\"\"\"',\n relevance: 10\n },\n {\n begin: '[a-z]+\"', end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST]\n },\n {\n className: 'string',\n begin: '[a-z]+\"\"\"', end: '\"\"\"',\n contains: [SUBST],\n relevance: 10\n }\n ]\n\n };\n\n var SYMBOL = {\n className: 'symbol',\n begin: '\\'\\\\w[\\\\w\\\\d_]*(?!\\')'\n };\n\n var TYPE = {\n className: 'type',\n begin: '\\\\b[A-Z][A-Za-z0-9_]*',\n relevance: 0\n };\n\n var NAME = {\n className: 'title',\n begin: /[^0-9\\n\\t \"'(),.`{}\\[\\]:;][^\\n\\t \"'(),.`{}\\[\\]:;]+|[^0-9\\n\\t \"'(),.`{}\\[\\]:;=]/,\n relevance: 0\n };\n\n var CLASS = {\n className: 'class',\n beginKeywords: 'class object trait type',\n end: /[:={\\[\\n;]/,\n excludeEnd: true,\n contains: [\n {\n beginKeywords: 'extends with',\n relevance: 10\n },\n {\n begin: /\\[/,\n end: /\\]/,\n excludeBegin: true,\n excludeEnd: true,\n relevance: 0,\n contains: [TYPE]\n },\n {\n className: 'params',\n begin: /\\(/,\n end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n relevance: 0,\n contains: [TYPE]\n },\n NAME\n ]\n };\n\n var METHOD = {\n className: 'function',\n beginKeywords: 'def',\n end: /[:={\\[(\\n;]/,\n excludeEnd: true,\n contains: [NAME]\n };\n\n return {\n keywords: {\n literal: 'true false null',\n keyword: 'type yield lazy override def with val var sealed abstract private trait object if forSome for while throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit'\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n STRING,\n SYMBOL,\n TYPE,\n METHOD,\n CLASS,\n hljs.C_NUMBER_MODE,\n ANNOTATION\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/scheme.js b/js/highlight/scheme.js
index d8d5260cc..519d2fced 100644
--- a/js/highlight/scheme.js
+++ b/js/highlight/scheme.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[151],{517:function(e,t){e.exports=function(e){var t="[^\\(\\)\\[\\]\\{\\}\",'`;#|\\\\\\s]+",n={className:"literal",begin:"(#t|#f|#\\\\"+t+"|#\\\\.)"},a={className:"number",variants:[{begin:"(\\-|\\+)?\\d+([./]\\d+)?",relevance:0},{begin:"(\\-|\\+)?\\d+([./]\\d+)?[+\\-](\\-|\\+)?\\d+([./]\\d+)?i",relevance:0},{begin:"#b[0-1]+(/[0-1]+)?"},{begin:"#o[0-7]+(/[0-7]+)?"},{begin:"#x[0-9a-f]+(/[0-9a-f]+)?"}]},i=e.QUOTE_STRING_MODE,r=[e.COMMENT(";","$",{relevance:0}),e.COMMENT("#\\|","\\|#")],c={begin:t,relevance:0},s={className:"symbol",begin:"'"+t},l={endsWithParent:!0,relevance:0},o={variants:[{begin:/'/},{begin:"`"}],contains:[{begin:"\\(",end:"\\)",contains:["self",n,i,a,c,s]}]},u={className:"name",begin:t,lexemes:t,keywords:{"builtin-name":"case-lambda call/cc class define-class exit-handler field import inherit init-field interface let*-values let-values let/ec mixin opt-lambda override protect provide public rename require require-for-syntax syntax syntax-case syntax-error unit/sig unless when with-syntax and begin call-with-current-continuation call-with-input-file call-with-output-file case cond define define-syntax delay do dynamic-wind else for-each if lambda let let* let-syntax letrec letrec-syntax map or syntax-rules ' * + , ,@ - ... / ; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan boolean? caar cadr call-with-input-file call-with-output-file call-with-values car cdddar cddddr cdr ceiling char->integer char-alphabetic? char-ci<=? char-ci<? char-ci=? char-ci>=? char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase char-upper-case? char-whitespace? char<=? char<? char=? char>=? char>? char? close-input-port close-output-port complex? cons cos current-input-port current-output-port denominator display eof-object? eq? equal? eqv? eval even? exact->inexact exact? exp expt floor force gcd imag-part inexact->exact inexact? input-port? integer->char integer? interaction-environment lcm length list list->string list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular make-string make-vector max member memq memv min modulo negative? newline not null-environment null? number->string number? numerator odd? open-input-file open-output-file output-port? pair? peek-char port? positive? procedure? quasiquote quote quotient rational? rationalize read read-char real-part real? remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt string string->list string->number string->symbol string-append string-ci<=? string-ci<? string-ci=? string-ci>=? string-ci>? string-copy string-fill! string-length string-ref string-set! string<=? string<? string=? string>=? string>? string? substring symbol->string symbol? tan transcript-off transcript-on truncate values vector vector->list vector-fill! vector-length vector-ref vector-set! with-input-from-file with-output-to-file write write-char zero?"}},d={variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}],contains:[{begin:/lambda/,endsWithParent:!0,returnBegin:!0,contains:[u,{begin:/\(/,end:/\)/,endsParent:!0,contains:[c]}]},u,l]};return l.contains=[n,a,i,c,s,o,d].concat(r),{illegal:/\S/,contains:[{className:"meta",begin:"^#!",end:"$"},a,i,s,o,d].concat(r)}}}}]);
-//# sourceMappingURL=scheme.js.map?v=4ac4a448fb305c6aa2d1 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[151],{518:function(e,t){e.exports=function(e){var t="[^\\(\\)\\[\\]\\{\\}\",'`;#|\\\\\\s]+",n={className:"literal",begin:"(#t|#f|#\\\\"+t+"|#\\\\.)"},a={className:"number",variants:[{begin:"(\\-|\\+)?\\d+([./]\\d+)?",relevance:0},{begin:"(\\-|\\+)?\\d+([./]\\d+)?[+\\-](\\-|\\+)?\\d+([./]\\d+)?i",relevance:0},{begin:"#b[0-1]+(/[0-1]+)?"},{begin:"#o[0-7]+(/[0-7]+)?"},{begin:"#x[0-9a-f]+(/[0-9a-f]+)?"}]},i=e.QUOTE_STRING_MODE,r=[e.COMMENT(";","$",{relevance:0}),e.COMMENT("#\\|","\\|#")],c={begin:t,relevance:0},s={className:"symbol",begin:"'"+t},l={endsWithParent:!0,relevance:0},o={variants:[{begin:/'/},{begin:"`"}],contains:[{begin:"\\(",end:"\\)",contains:["self",n,i,a,c,s]}]},u={className:"name",begin:t,lexemes:t,keywords:{"builtin-name":"case-lambda call/cc class define-class exit-handler field import inherit init-field interface let*-values let-values let/ec mixin opt-lambda override protect provide public rename require require-for-syntax syntax syntax-case syntax-error unit/sig unless when with-syntax and begin call-with-current-continuation call-with-input-file call-with-output-file case cond define define-syntax delay do dynamic-wind else for-each if lambda let let* let-syntax letrec letrec-syntax map or syntax-rules ' * + , ,@ - ... / ; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan boolean? caar cadr call-with-input-file call-with-output-file call-with-values car cdddar cddddr cdr ceiling char->integer char-alphabetic? char-ci<=? char-ci<? char-ci=? char-ci>=? char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase char-upper-case? char-whitespace? char<=? char<? char=? char>=? char>? char? close-input-port close-output-port complex? cons cos current-input-port current-output-port denominator display eof-object? eq? equal? eqv? eval even? exact->inexact exact? exp expt floor force gcd imag-part inexact->exact inexact? input-port? integer->char integer? interaction-environment lcm length list list->string list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular make-string make-vector max member memq memv min modulo negative? newline not null-environment null? number->string number? numerator odd? open-input-file open-output-file output-port? pair? peek-char port? positive? procedure? quasiquote quote quotient rational? rationalize read read-char real-part real? remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt string string->list string->number string->symbol string-append string-ci<=? string-ci<? string-ci=? string-ci>=? string-ci>? string-copy string-fill! string-length string-ref string-set! string<=? string<? string=? string>=? string>? string? substring symbol->string symbol? tan transcript-off transcript-on truncate values vector vector->list vector-fill! vector-length vector-ref vector-set! with-input-from-file with-output-to-file write write-char zero?"}},d={variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}],contains:[{begin:/lambda/,endsWithParent:!0,returnBegin:!0,contains:[u,{begin:/\(/,end:/\)/,endsParent:!0,contains:[c]}]},u,l]};return l.contains=[n,a,i,c,s,o,d].concat(r),{illegal:/\S/,contains:[{className:"meta",begin:"^#!",end:"$"},a,i,s,o,d].concat(r)}}}}]);
+//# sourceMappingURL=scheme.js.map?v=4b3f9672baef038f2fbb \ No newline at end of file
diff --git a/js/highlight/scheme.js.map b/js/highlight/scheme.js.map
index 8128eba99..e69b3d7a4 100644
--- a/js/highlight/scheme.js.map
+++ b/js/highlight/scheme.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/scheme.js"],"names":["module","exports","hljs","SCHEME_IDENT_RE","LITERAL","className","begin","NUMBER","variants","relevance","SCHEME_SIMPLE_NUMBER_RE","STRING","QUOTE_STRING_MODE","COMMENT_MODES","COMMENT","IDENT","QUOTED_IDENT","BODY","endsWithParent","QUOTED_LIST","contains","end","NAME","lexemes","keywords","LIST","returnBegin","endsParent","concat","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAkB,wCA8ClBC,EAAU,CACZC,UAAW,UACXC,MAAO,eAAiBH,EAAkB,YAGxCI,EAAS,CACXF,UAAW,SACXG,SAAU,CACR,CAAEF,MArDwB,4BAqDQG,UAAW,GAC7C,CAAEH,MArDyBI,4DAqDQD,UAAW,GAC9C,CAAEH,MAAO,sBACT,CAAEA,MAAO,sBACT,CAAEA,MAAO,8BAITK,EAAST,EAAKU,kBAQdC,EAAgB,CAClBX,EAAKY,QACH,IACA,IACA,CACEL,UAAW,IAGfP,EAAKY,QAAQ,OAAQ,SAGnBC,EAAQ,CACVT,MAAOH,EACPM,UAAW,GAGTO,EAAe,CACjBX,UAAW,SACXC,MAAO,IAAOH,GAGZc,EAAO,CACTC,gBAAgB,EAChBT,UAAW,GAGTU,EAAc,CAChBX,SAAU,CACR,CAAEF,MAAO,KACT,CAAEA,MAAO,MAEXc,SAAU,CACR,CACEd,MAAO,MAAOe,IAAK,MACnBD,SAAU,CAAC,OAAQhB,EAASO,EAAQJ,EAAQQ,EAAOC,MAKrDM,EAAO,CACTjB,UAAW,OACXC,MAAOH,EACPoB,QAASpB,EACTqB,SA9Ga,CACb,eACE,qoEA0HAC,EAAO,CACTjB,SAAU,CACR,CAAEF,MAAO,MAAOe,IAAK,OACrB,CAAEf,MAAO,MAAOe,IAAK,QAEvBD,SAAU,CAhBC,CACXd,MAAO,SAAUY,gBAAgB,EAAMQ,aAAa,EACpDN,SAAU,CACRE,EACA,CACEhB,MAAO,KAAMe,IAAK,KAAMM,YAAY,EACpCP,SAAU,CAACL,MAUIO,EAAML,IAK3B,OAFAA,EAAKG,SAAW,CAAChB,EAASG,EAAQI,EAAQI,EAAOC,EAAcG,EAAaM,GAAMG,OAAOf,GAElF,CACLgB,QAAS,KACTT,SAAU,CAnGE,CACZf,UAAW,OACXC,MAAO,MACPe,IAAK,KAgGed,EAAQI,EAAQK,EAAcG,EAAaM,GAAMG,OAAOf","file":"highlight/scheme.js?v=4ac4a448fb305c6aa2d1","sourcesContent":["module.exports = function(hljs) {\n var SCHEME_IDENT_RE = '[^\\\\(\\\\)\\\\[\\\\]\\\\{\\\\}\",\\'`;#|\\\\\\\\\\\\s]+';\n var SCHEME_SIMPLE_NUMBER_RE = '(\\\\-|\\\\+)?\\\\d+([./]\\\\d+)?';\n var SCHEME_COMPLEX_NUMBER_RE = SCHEME_SIMPLE_NUMBER_RE + '[+\\\\-]' + SCHEME_SIMPLE_NUMBER_RE + 'i';\n var BUILTINS = {\n 'builtin-name':\n 'case-lambda call/cc class define-class exit-handler field import ' +\n 'inherit init-field interface let*-values let-values let/ec mixin ' +\n 'opt-lambda override protect provide public rename require ' +\n 'require-for-syntax syntax syntax-case syntax-error unit/sig unless ' +\n 'when with-syntax and begin call-with-current-continuation ' +\n 'call-with-input-file call-with-output-file case cond define ' +\n 'define-syntax delay do dynamic-wind else for-each if lambda let let* ' +\n 'let-syntax letrec letrec-syntax map or syntax-rules \\' * + , ,@ - ... / ' +\n '; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan ' +\n 'boolean? caar cadr call-with-input-file call-with-output-file ' +\n 'call-with-values car cdddar cddddr cdr ceiling char->integer ' +\n 'char-alphabetic? char-ci<=? char-ci<? char-ci=? char-ci>=? char-ci>? ' +\n 'char-downcase char-lower-case? char-numeric? char-ready? char-upcase ' +\n 'char-upper-case? char-whitespace? char<=? char<? char=? char>=? char>? ' +\n 'char? close-input-port close-output-port complex? cons cos ' +\n 'current-input-port current-output-port denominator display eof-object? ' +\n 'eq? equal? eqv? eval even? exact->inexact exact? exp expt floor ' +\n 'force gcd imag-part inexact->exact inexact? input-port? integer->char ' +\n 'integer? interaction-environment lcm length list list->string ' +\n 'list->vector list-ref list-tail list? load log magnitude make-polar ' +\n 'make-rectangular make-string make-vector max member memq memv min ' +\n 'modulo negative? newline not null-environment null? number->string ' +\n 'number? numerator odd? open-input-file open-output-file output-port? ' +\n 'pair? peek-char port? positive? procedure? quasiquote quote quotient ' +\n 'rational? rationalize read read-char real-part real? remainder reverse ' +\n 'round scheme-report-environment set! set-car! set-cdr! sin sqrt string ' +\n 'string->list string->number string->symbol string-append string-ci<=? ' +\n 'string-ci<? string-ci=? string-ci>=? string-ci>? string-copy ' +\n 'string-fill! string-length string-ref string-set! string<=? string<? ' +\n 'string=? string>=? string>? string? substring symbol->string symbol? ' +\n 'tan transcript-off transcript-on truncate values vector ' +\n 'vector->list vector-fill! vector-length vector-ref vector-set! ' +\n 'with-input-from-file with-output-to-file write write-char zero?'\n };\n\n var SHEBANG = {\n className: 'meta',\n begin: '^#!',\n end: '$'\n };\n\n var LITERAL = {\n className: 'literal',\n begin: '(#t|#f|#\\\\\\\\' + SCHEME_IDENT_RE + '|#\\\\\\\\.)'\n };\n\n var NUMBER = {\n className: 'number',\n variants: [\n { begin: SCHEME_SIMPLE_NUMBER_RE, relevance: 0 },\n { begin: SCHEME_COMPLEX_NUMBER_RE, relevance: 0 },\n { begin: '#b[0-1]+(/[0-1]+)?' },\n { begin: '#o[0-7]+(/[0-7]+)?' },\n { begin: '#x[0-9a-f]+(/[0-9a-f]+)?' }\n ]\n };\n\n var STRING = hljs.QUOTE_STRING_MODE;\n\n var REGULAR_EXPRESSION = {\n className: 'regexp',\n begin: '#[pr]x\"',\n end: '[^\\\\\\\\]\"'\n };\n\n var COMMENT_MODES = [\n hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n ),\n hljs.COMMENT('#\\\\|', '\\\\|#')\n ];\n\n var IDENT = {\n begin: SCHEME_IDENT_RE,\n relevance: 0\n };\n\n var QUOTED_IDENT = {\n className: 'symbol',\n begin: '\\'' + SCHEME_IDENT_RE\n };\n\n var BODY = {\n endsWithParent: true,\n relevance: 0\n };\n\n var QUOTED_LIST = {\n variants: [\n { begin: /'/ },\n { begin: '`' }\n ],\n contains: [\n {\n begin: '\\\\(', end: '\\\\)',\n contains: ['self', LITERAL, STRING, NUMBER, IDENT, QUOTED_IDENT]\n }\n ]\n };\n\n var NAME = {\n className: 'name',\n begin: SCHEME_IDENT_RE,\n lexemes: SCHEME_IDENT_RE,\n keywords: BUILTINS\n };\n\n var LAMBDA = {\n begin: /lambda/, endsWithParent: true, returnBegin: true,\n contains: [\n NAME,\n {\n begin: /\\(/, end: /\\)/, endsParent: true,\n contains: [IDENT],\n }\n ]\n };\n\n var LIST = {\n variants: [\n { begin: '\\\\(', end: '\\\\)' },\n { begin: '\\\\[', end: '\\\\]' }\n ],\n contains: [LAMBDA, NAME, BODY]\n };\n\n BODY.contains = [LITERAL, NUMBER, STRING, IDENT, QUOTED_IDENT, QUOTED_LIST, LIST].concat(COMMENT_MODES);\n\n return {\n illegal: /\\S/,\n contains: [SHEBANG, NUMBER, STRING, QUOTED_IDENT, QUOTED_LIST, LIST].concat(COMMENT_MODES)\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/scheme.js"],"names":["module","exports","hljs","SCHEME_IDENT_RE","LITERAL","className","begin","NUMBER","variants","relevance","SCHEME_SIMPLE_NUMBER_RE","STRING","QUOTE_STRING_MODE","COMMENT_MODES","COMMENT","IDENT","QUOTED_IDENT","BODY","endsWithParent","QUOTED_LIST","contains","end","NAME","lexemes","keywords","LIST","returnBegin","endsParent","concat","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAkB,wCA8ClBC,EAAU,CACZC,UAAW,UACXC,MAAO,eAAiBH,EAAkB,YAGxCI,EAAS,CACXF,UAAW,SACXG,SAAU,CACR,CAAEF,MArDwB,4BAqDQG,UAAW,GAC7C,CAAEH,MArDyBI,4DAqDQD,UAAW,GAC9C,CAAEH,MAAO,sBACT,CAAEA,MAAO,sBACT,CAAEA,MAAO,8BAITK,EAAST,EAAKU,kBAQdC,EAAgB,CAClBX,EAAKY,QACH,IACA,IACA,CACEL,UAAW,IAGfP,EAAKY,QAAQ,OAAQ,SAGnBC,EAAQ,CACVT,MAAOH,EACPM,UAAW,GAGTO,EAAe,CACjBX,UAAW,SACXC,MAAO,IAAOH,GAGZc,EAAO,CACTC,gBAAgB,EAChBT,UAAW,GAGTU,EAAc,CAChBX,SAAU,CACR,CAAEF,MAAO,KACT,CAAEA,MAAO,MAEXc,SAAU,CACR,CACEd,MAAO,MAAOe,IAAK,MACnBD,SAAU,CAAC,OAAQhB,EAASO,EAAQJ,EAAQQ,EAAOC,MAKrDM,EAAO,CACTjB,UAAW,OACXC,MAAOH,EACPoB,QAASpB,EACTqB,SA9Ga,CACb,eACE,qoEA0HAC,EAAO,CACTjB,SAAU,CACR,CAAEF,MAAO,MAAOe,IAAK,OACrB,CAAEf,MAAO,MAAOe,IAAK,QAEvBD,SAAU,CAhBC,CACXd,MAAO,SAAUY,gBAAgB,EAAMQ,aAAa,EACpDN,SAAU,CACRE,EACA,CACEhB,MAAO,KAAMe,IAAK,KAAMM,YAAY,EACpCP,SAAU,CAACL,MAUIO,EAAML,IAK3B,OAFAA,EAAKG,SAAW,CAAChB,EAASG,EAAQI,EAAQI,EAAOC,EAAcG,EAAaM,GAAMG,OAAOf,GAElF,CACLgB,QAAS,KACTT,SAAU,CAnGE,CACZf,UAAW,OACXC,MAAO,MACPe,IAAK,KAgGed,EAAQI,EAAQK,EAAcG,EAAaM,GAAMG,OAAOf","file":"highlight/scheme.js?v=4b3f9672baef038f2fbb","sourcesContent":["module.exports = function(hljs) {\n var SCHEME_IDENT_RE = '[^\\\\(\\\\)\\\\[\\\\]\\\\{\\\\}\",\\'`;#|\\\\\\\\\\\\s]+';\n var SCHEME_SIMPLE_NUMBER_RE = '(\\\\-|\\\\+)?\\\\d+([./]\\\\d+)?';\n var SCHEME_COMPLEX_NUMBER_RE = SCHEME_SIMPLE_NUMBER_RE + '[+\\\\-]' + SCHEME_SIMPLE_NUMBER_RE + 'i';\n var BUILTINS = {\n 'builtin-name':\n 'case-lambda call/cc class define-class exit-handler field import ' +\n 'inherit init-field interface let*-values let-values let/ec mixin ' +\n 'opt-lambda override protect provide public rename require ' +\n 'require-for-syntax syntax syntax-case syntax-error unit/sig unless ' +\n 'when with-syntax and begin call-with-current-continuation ' +\n 'call-with-input-file call-with-output-file case cond define ' +\n 'define-syntax delay do dynamic-wind else for-each if lambda let let* ' +\n 'let-syntax letrec letrec-syntax map or syntax-rules \\' * + , ,@ - ... / ' +\n '; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan ' +\n 'boolean? caar cadr call-with-input-file call-with-output-file ' +\n 'call-with-values car cdddar cddddr cdr ceiling char->integer ' +\n 'char-alphabetic? char-ci<=? char-ci<? char-ci=? char-ci>=? char-ci>? ' +\n 'char-downcase char-lower-case? char-numeric? char-ready? char-upcase ' +\n 'char-upper-case? char-whitespace? char<=? char<? char=? char>=? char>? ' +\n 'char? close-input-port close-output-port complex? cons cos ' +\n 'current-input-port current-output-port denominator display eof-object? ' +\n 'eq? equal? eqv? eval even? exact->inexact exact? exp expt floor ' +\n 'force gcd imag-part inexact->exact inexact? input-port? integer->char ' +\n 'integer? interaction-environment lcm length list list->string ' +\n 'list->vector list-ref list-tail list? load log magnitude make-polar ' +\n 'make-rectangular make-string make-vector max member memq memv min ' +\n 'modulo negative? newline not null-environment null? number->string ' +\n 'number? numerator odd? open-input-file open-output-file output-port? ' +\n 'pair? peek-char port? positive? procedure? quasiquote quote quotient ' +\n 'rational? rationalize read read-char real-part real? remainder reverse ' +\n 'round scheme-report-environment set! set-car! set-cdr! sin sqrt string ' +\n 'string->list string->number string->symbol string-append string-ci<=? ' +\n 'string-ci<? string-ci=? string-ci>=? string-ci>? string-copy ' +\n 'string-fill! string-length string-ref string-set! string<=? string<? ' +\n 'string=? string>=? string>? string? substring symbol->string symbol? ' +\n 'tan transcript-off transcript-on truncate values vector ' +\n 'vector->list vector-fill! vector-length vector-ref vector-set! ' +\n 'with-input-from-file with-output-to-file write write-char zero?'\n };\n\n var SHEBANG = {\n className: 'meta',\n begin: '^#!',\n end: '$'\n };\n\n var LITERAL = {\n className: 'literal',\n begin: '(#t|#f|#\\\\\\\\' + SCHEME_IDENT_RE + '|#\\\\\\\\.)'\n };\n\n var NUMBER = {\n className: 'number',\n variants: [\n { begin: SCHEME_SIMPLE_NUMBER_RE, relevance: 0 },\n { begin: SCHEME_COMPLEX_NUMBER_RE, relevance: 0 },\n { begin: '#b[0-1]+(/[0-1]+)?' },\n { begin: '#o[0-7]+(/[0-7]+)?' },\n { begin: '#x[0-9a-f]+(/[0-9a-f]+)?' }\n ]\n };\n\n var STRING = hljs.QUOTE_STRING_MODE;\n\n var REGULAR_EXPRESSION = {\n className: 'regexp',\n begin: '#[pr]x\"',\n end: '[^\\\\\\\\]\"'\n };\n\n var COMMENT_MODES = [\n hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n ),\n hljs.COMMENT('#\\\\|', '\\\\|#')\n ];\n\n var IDENT = {\n begin: SCHEME_IDENT_RE,\n relevance: 0\n };\n\n var QUOTED_IDENT = {\n className: 'symbol',\n begin: '\\'' + SCHEME_IDENT_RE\n };\n\n var BODY = {\n endsWithParent: true,\n relevance: 0\n };\n\n var QUOTED_LIST = {\n variants: [\n { begin: /'/ },\n { begin: '`' }\n ],\n contains: [\n {\n begin: '\\\\(', end: '\\\\)',\n contains: ['self', LITERAL, STRING, NUMBER, IDENT, QUOTED_IDENT]\n }\n ]\n };\n\n var NAME = {\n className: 'name',\n begin: SCHEME_IDENT_RE,\n lexemes: SCHEME_IDENT_RE,\n keywords: BUILTINS\n };\n\n var LAMBDA = {\n begin: /lambda/, endsWithParent: true, returnBegin: true,\n contains: [\n NAME,\n {\n begin: /\\(/, end: /\\)/, endsParent: true,\n contains: [IDENT],\n }\n ]\n };\n\n var LIST = {\n variants: [\n { begin: '\\\\(', end: '\\\\)' },\n { begin: '\\\\[', end: '\\\\]' }\n ],\n contains: [LAMBDA, NAME, BODY]\n };\n\n BODY.contains = [LITERAL, NUMBER, STRING, IDENT, QUOTED_IDENT, QUOTED_LIST, LIST].concat(COMMENT_MODES);\n\n return {\n illegal: /\\S/,\n contains: [SHEBANG, NUMBER, STRING, QUOTED_IDENT, QUOTED_LIST, LIST].concat(COMMENT_MODES)\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/scilab.js b/js/highlight/scilab.js
index 5c71d49fd..81b666ce7 100644
--- a/js/highlight/scilab.js
+++ b/js/highlight/scilab.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[152],{518:function(e,n){e.exports=function(e){var n=[e.C_NUMBER_MODE,{className:"string",begin:"'|\"",end:"'|\"",contains:[e.BACKSLASH_ESCAPE,{begin:"''"}]}];return{aliases:["sci"],lexemes:/%?\w+/,keywords:{keyword:"abort break case clear catch continue do elseif else endfunction end for function global if pause return resume select try then while",literal:"%f %F %t %T %pi %eps %inf %nan %e %i %z %s",built_in:"abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan type typename warning zeros matrix"},illegal:'("|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},{begin:"[a-zA-Z_][a-zA-Z_0-9]*('+[\\.']*|[\\.']+)",end:"",relevance:0},{begin:"\\[",end:"\\]'*[\\.']*",relevance:0,contains:n},e.COMMENT("//","$")].concat(n)}}}}]);
-//# sourceMappingURL=scilab.js.map?v=430c663b16533ace64db \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[152],{519:function(e,n){e.exports=function(e){var n=[e.C_NUMBER_MODE,{className:"string",begin:"'|\"",end:"'|\"",contains:[e.BACKSLASH_ESCAPE,{begin:"''"}]}];return{aliases:["sci"],lexemes:/%?\w+/,keywords:{keyword:"abort break case clear catch continue do elseif else endfunction end for function global if pause return resume select try then while",literal:"%f %F %t %T %pi %eps %inf %nan %e %i %z %s",built_in:"abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan type typename warning zeros matrix"},illegal:'("|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},{begin:"[a-zA-Z_][a-zA-Z_0-9]*('+[\\.']*|[\\.']+)",end:"",relevance:0},{begin:"\\[",end:"\\]'*[\\.']*",relevance:0,contains:n},e.COMMENT("//","$")].concat(n)}}}}]);
+//# sourceMappingURL=scilab.js.map?v=4ed66f30d02c3d664950 \ No newline at end of file
diff --git a/js/highlight/scilab.js.map b/js/highlight/scilab.js.map
index 5e970df1c..9ee0339ab 100644
--- a/js/highlight/scilab.js.map
+++ b/js/highlight/scilab.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/scilab.js"],"names":["module","exports","hljs","COMMON_CONTAINS","C_NUMBER_MODE","className","begin","end","contains","BACKSLASH_ESCAPE","aliases","lexemes","keywords","keyword","literal","built_in","illegal","beginKeywords","UNDERSCORE_TITLE_MODE","relevance","COMMENT","concat"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EAAkB,CACpBD,EAAKE,cACL,CACEC,UAAW,SACXC,MAAO,OAASC,IAAK,OACrBC,SAAU,CAACN,EAAKO,iBAAkB,CAACH,MAAO,SAI9C,MAAO,CACLI,QAAS,CAAC,OACVC,QAAS,QACTC,SAAU,CACRC,QAAS,wIAETC,QACE,6CACFC,SACC,4bAOHC,QAAS,uBACTR,SAAU,CACR,CACEH,UAAW,WACXY,cAAe,WAAYV,IAAK,IAChCC,SAAU,CACRN,EAAKgB,sBACL,CACEb,UAAW,SACXC,MAAO,MAAOC,IAAK,SAIzB,CACED,MAAO,4CAAgDC,IAAK,GAC5DY,UAAW,GAEb,CACEb,MAAO,MAAOC,IAAK,eACnBY,UAAW,EACXX,SAAUL,GAEZD,EAAKkB,QAAQ,KAAM,MACnBC,OAAOlB","file":"highlight/scilab.js?v=430c663b16533ace64db","sourcesContent":["module.exports = function(hljs) {\n\n var COMMON_CONTAINS = [\n hljs.C_NUMBER_MODE,\n {\n className: 'string',\n begin: '\\'|\\\"', end: '\\'|\\\"',\n contains: [hljs.BACKSLASH_ESCAPE, {begin: '\\'\\''}]\n }\n ];\n\n return {\n aliases: ['sci'],\n lexemes: /%?\\w+/,\n keywords: {\n keyword: 'abort break case clear catch continue do elseif else endfunction end for function '+\n 'global if pause return resume select try then while',\n literal:\n '%f %F %t %T %pi %eps %inf %nan %e %i %z %s',\n built_in: // Scilab has more than 2000 functions. Just list the most commons\n 'abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error '+\n 'exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty '+\n 'isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log '+\n 'max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real '+\n 'round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan '+\n 'type typename warning zeros matrix'\n },\n illegal: '(\"|#|/\\\\*|\\\\s+/\\\\w+)',\n contains: [\n {\n className: 'function',\n beginKeywords: 'function', end: '$',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)'\n }\n ]\n },\n {\n begin: '[a-zA-Z_][a-zA-Z_0-9]*(\\'+[\\\\.\\']*|[\\\\.\\']+)', end: '',\n relevance: 0\n },\n {\n begin: '\\\\[', end: '\\\\]\\'*[\\\\.\\']*',\n relevance: 0,\n contains: COMMON_CONTAINS\n },\n hljs.COMMENT('//', '$')\n ].concat(COMMON_CONTAINS)\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/scilab.js"],"names":["module","exports","hljs","COMMON_CONTAINS","C_NUMBER_MODE","className","begin","end","contains","BACKSLASH_ESCAPE","aliases","lexemes","keywords","keyword","literal","built_in","illegal","beginKeywords","UNDERSCORE_TITLE_MODE","relevance","COMMENT","concat"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EAAkB,CACpBD,EAAKE,cACL,CACEC,UAAW,SACXC,MAAO,OAASC,IAAK,OACrBC,SAAU,CAACN,EAAKO,iBAAkB,CAACH,MAAO,SAI9C,MAAO,CACLI,QAAS,CAAC,OACVC,QAAS,QACTC,SAAU,CACRC,QAAS,wIAETC,QACE,6CACFC,SACC,4bAOHC,QAAS,uBACTR,SAAU,CACR,CACEH,UAAW,WACXY,cAAe,WAAYV,IAAK,IAChCC,SAAU,CACRN,EAAKgB,sBACL,CACEb,UAAW,SACXC,MAAO,MAAOC,IAAK,SAIzB,CACED,MAAO,4CAAgDC,IAAK,GAC5DY,UAAW,GAEb,CACEb,MAAO,MAAOC,IAAK,eACnBY,UAAW,EACXX,SAAUL,GAEZD,EAAKkB,QAAQ,KAAM,MACnBC,OAAOlB","file":"highlight/scilab.js?v=4ed66f30d02c3d664950","sourcesContent":["module.exports = function(hljs) {\n\n var COMMON_CONTAINS = [\n hljs.C_NUMBER_MODE,\n {\n className: 'string',\n begin: '\\'|\\\"', end: '\\'|\\\"',\n contains: [hljs.BACKSLASH_ESCAPE, {begin: '\\'\\''}]\n }\n ];\n\n return {\n aliases: ['sci'],\n lexemes: /%?\\w+/,\n keywords: {\n keyword: 'abort break case clear catch continue do elseif else endfunction end for function '+\n 'global if pause return resume select try then while',\n literal:\n '%f %F %t %T %pi %eps %inf %nan %e %i %z %s',\n built_in: // Scilab has more than 2000 functions. Just list the most commons\n 'abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error '+\n 'exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty '+\n 'isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log '+\n 'max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real '+\n 'round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan '+\n 'type typename warning zeros matrix'\n },\n illegal: '(\"|#|/\\\\*|\\\\s+/\\\\w+)',\n contains: [\n {\n className: 'function',\n beginKeywords: 'function', end: '$',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)'\n }\n ]\n },\n {\n begin: '[a-zA-Z_][a-zA-Z_0-9]*(\\'+[\\\\.\\']*|[\\\\.\\']+)', end: '',\n relevance: 0\n },\n {\n begin: '\\\\[', end: '\\\\]\\'*[\\\\.\\']*',\n relevance: 0,\n contains: COMMON_CONTAINS\n },\n hljs.COMMENT('//', '$')\n ].concat(COMMON_CONTAINS)\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/scss.js b/js/highlight/scss.js
index d92833e27..dee715a6d 100644
--- a/js/highlight/scss.js
+++ b/js/highlight/scss.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[153],{519:function(e,t){e.exports=function(e){var t={className:"variable",begin:"(\\$[a-zA-Z-][a-zA-Z0-9_-]*)\\b"},i={className:"number",begin:"#[0-9A-Fa-f]+"};e.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_BLOCK_COMMENT_MODE;return{case_insensitive:!0,illegal:"[=/|']",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"selector-id",begin:"\\#[A-Za-z0-9_-]+",relevance:0},{className:"selector-class",begin:"\\.[A-Za-z0-9_-]+",relevance:0},{className:"selector-attr",begin:"\\[",end:"\\]",illegal:"$"},{className:"selector-tag",begin:"\\b(a|abbr|acronym|address|area|article|aside|audio|b|base|big|blockquote|body|br|button|canvas|caption|cite|code|col|colgroup|command|datalist|dd|del|details|dfn|div|dl|dt|em|embed|fieldset|figcaption|figure|footer|form|frame|frameset|(h[1-6])|head|header|hgroup|hr|html|i|iframe|img|input|ins|kbd|keygen|label|legend|li|link|map|mark|meta|meter|nav|noframes|noscript|object|ol|optgroup|option|output|p|param|pre|progress|q|rp|rt|ruby|samp|script|section|select|small|span|strike|strong|style|sub|sup|table|tbody|td|textarea|tfoot|th|thead|time|title|tr|tt|ul|var|video)\\b",relevance:0},{begin:":(visited|valid|root|right|required|read-write|read-only|out-range|optional|only-of-type|only-child|nth-of-type|nth-last-of-type|nth-last-child|nth-child|not|link|left|last-of-type|last-child|lang|invalid|indeterminate|in-range|hover|focus|first-of-type|first-line|first-letter|first-child|first|enabled|empty|disabled|default|checked|before|after|active)"},{begin:"::(after|before|choices|first-letter|first-line|repeat-index|repeat-item|selection|value)"},t,{className:"attribute",begin:"\\b(z-index|word-wrap|word-spacing|word-break|width|widows|white-space|visibility|vertical-align|unicode-bidi|transition-timing-function|transition-property|transition-duration|transition-delay|transition|transform-style|transform-origin|transform|top|text-underline-position|text-transform|text-shadow|text-rendering|text-overflow|text-indent|text-decoration-style|text-decoration-line|text-decoration-color|text-decoration|text-align-last|text-align|tab-size|table-layout|right|resize|quotes|position|pointer-events|perspective-origin|perspective|page-break-inside|page-break-before|page-break-after|padding-top|padding-right|padding-left|padding-bottom|padding|overflow-y|overflow-x|overflow-wrap|overflow|outline-width|outline-style|outline-offset|outline-color|outline|orphans|order|opacity|object-position|object-fit|normal|none|nav-up|nav-right|nav-left|nav-index|nav-down|min-width|min-height|max-width|max-height|mask|marks|margin-top|margin-right|margin-left|margin-bottom|margin|list-style-type|list-style-position|list-style-image|list-style|line-height|letter-spacing|left|justify-content|initial|inherit|ime-mode|image-orientation|image-resolution|image-rendering|icon|hyphens|height|font-weight|font-variant-ligatures|font-variant|font-style|font-stretch|font-size-adjust|font-size|font-language-override|font-kerning|font-feature-settings|font-family|font|float|flex-wrap|flex-shrink|flex-grow|flex-flow|flex-direction|flex-basis|flex|filter|empty-cells|display|direction|cursor|counter-reset|counter-increment|content|column-width|column-span|column-rule-width|column-rule-style|column-rule-color|column-rule|column-gap|column-fill|column-count|columns|color|clip-path|clip|clear|caption-side|break-inside|break-before|break-after|box-sizing|box-shadow|box-decoration-break|bottom|border-width|border-top-width|border-top-style|border-top-right-radius|border-top-left-radius|border-top-color|border-top|border-style|border-spacing|border-right-width|border-right-style|border-right-color|border-right|border-radius|border-left-width|border-left-style|border-left-color|border-left|border-image-width|border-image-source|border-image-slice|border-image-repeat|border-image-outset|border-image|border-color|border-collapse|border-bottom-width|border-bottom-style|border-bottom-right-radius|border-bottom-left-radius|border-bottom-color|border-bottom|border|background-size|background-repeat|background-position|background-origin|background-image|background-color|background-clip|background-attachment|background-blend-mode|background|backface-visibility|auto|animation-timing-function|animation-play-state|animation-name|animation-iteration-count|animation-fill-mode|animation-duration|animation-direction|animation-delay|animation|align-self|align-items|align-content)\\b",illegal:"[^\\s]"},{begin:"\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\b"},{begin:":",end:";",contains:[t,i,e.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:"meta",begin:"!important"}]},{begin:"@",end:"[{;]",keywords:"mixin include extend for if else each while charset import debug media page content font-face namespace warn",contains:[t,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,i,e.CSS_NUMBER_MODE,{begin:"\\s[A-Za-z0-9_.-]+",relevance:0}]}]}}}}]);
-//# sourceMappingURL=scss.js.map?v=6e2c220181580676a4c1 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[153],{520:function(e,t){e.exports=function(e){var t={className:"variable",begin:"(\\$[a-zA-Z-][a-zA-Z0-9_-]*)\\b"},i={className:"number",begin:"#[0-9A-Fa-f]+"};e.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_BLOCK_COMMENT_MODE;return{case_insensitive:!0,illegal:"[=/|']",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"selector-id",begin:"\\#[A-Za-z0-9_-]+",relevance:0},{className:"selector-class",begin:"\\.[A-Za-z0-9_-]+",relevance:0},{className:"selector-attr",begin:"\\[",end:"\\]",illegal:"$"},{className:"selector-tag",begin:"\\b(a|abbr|acronym|address|area|article|aside|audio|b|base|big|blockquote|body|br|button|canvas|caption|cite|code|col|colgroup|command|datalist|dd|del|details|dfn|div|dl|dt|em|embed|fieldset|figcaption|figure|footer|form|frame|frameset|(h[1-6])|head|header|hgroup|hr|html|i|iframe|img|input|ins|kbd|keygen|label|legend|li|link|map|mark|meta|meter|nav|noframes|noscript|object|ol|optgroup|option|output|p|param|pre|progress|q|rp|rt|ruby|samp|script|section|select|small|span|strike|strong|style|sub|sup|table|tbody|td|textarea|tfoot|th|thead|time|title|tr|tt|ul|var|video)\\b",relevance:0},{className:"selector-pseudo",begin:":(visited|valid|root|right|required|read-write|read-only|out-range|optional|only-of-type|only-child|nth-of-type|nth-last-of-type|nth-last-child|nth-child|not|link|left|last-of-type|last-child|lang|invalid|indeterminate|in-range|hover|focus|first-of-type|first-line|first-letter|first-child|first|enabled|empty|disabled|default|checked|before|after|active)"},{className:"selector-pseudo",begin:"::(after|before|choices|first-letter|first-line|repeat-index|repeat-item|selection|value)"},t,{className:"attribute",begin:"\\b(src|z-index|word-wrap|word-spacing|word-break|width|widows|white-space|visibility|vertical-align|unicode-bidi|transition-timing-function|transition-property|transition-duration|transition-delay|transition|transform-style|transform-origin|transform|top|text-underline-position|text-transform|text-shadow|text-rendering|text-overflow|text-indent|text-decoration-style|text-decoration-line|text-decoration-color|text-decoration|text-align-last|text-align|tab-size|table-layout|right|resize|quotes|position|pointer-events|perspective-origin|perspective|page-break-inside|page-break-before|page-break-after|padding-top|padding-right|padding-left|padding-bottom|padding|overflow-y|overflow-x|overflow-wrap|overflow|outline-width|outline-style|outline-offset|outline-color|outline|orphans|order|opacity|object-position|object-fit|normal|none|nav-up|nav-right|nav-left|nav-index|nav-down|min-width|min-height|max-width|max-height|mask|marks|margin-top|margin-right|margin-left|margin-bottom|margin|list-style-type|list-style-position|list-style-image|list-style|line-height|letter-spacing|left|justify-content|initial|inherit|ime-mode|image-orientation|image-resolution|image-rendering|icon|hyphens|height|font-weight|font-variant-ligatures|font-variant|font-style|font-stretch|font-size-adjust|font-size|font-language-override|font-kerning|font-feature-settings|font-family|font|float|flex-wrap|flex-shrink|flex-grow|flex-flow|flex-direction|flex-basis|flex|filter|empty-cells|display|direction|cursor|counter-reset|counter-increment|content|column-width|column-span|column-rule-width|column-rule-style|column-rule-color|column-rule|column-gap|column-fill|column-count|columns|color|clip-path|clip|clear|caption-side|break-inside|break-before|break-after|box-sizing|box-shadow|box-decoration-break|bottom|border-width|border-top-width|border-top-style|border-top-right-radius|border-top-left-radius|border-top-color|border-top|border-style|border-spacing|border-right-width|border-right-style|border-right-color|border-right|border-radius|border-left-width|border-left-style|border-left-color|border-left|border-image-width|border-image-source|border-image-slice|border-image-repeat|border-image-outset|border-image|border-color|border-collapse|border-bottom-width|border-bottom-style|border-bottom-right-radius|border-bottom-left-radius|border-bottom-color|border-bottom|border|background-size|background-repeat|background-position|background-origin|background-image|background-color|background-clip|background-attachment|background-blend-mode|background|backface-visibility|auto|animation-timing-function|animation-play-state|animation-name|animation-iteration-count|animation-fill-mode|animation-duration|animation-direction|animation-delay|animation|align-self|align-items|align-content)\\b",illegal:"[^\\s]"},{begin:"\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\b"},{begin:":",end:";",contains:[t,i,e.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:"meta",begin:"!important"}]},{begin:"@(page|font-face)",lexemes:"@[a-z-]+",keywords:"@page @font-face"},{begin:"@",end:"[{;]",returnBegin:!0,keywords:"and or not only",contains:[{begin:"@[a-z-]+",className:"keyword"},t,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,i,e.CSS_NUMBER_MODE]}]}}}}]);
+//# sourceMappingURL=scss.js.map?v=96dd81098350c7a5d92f \ No newline at end of file
diff --git a/js/highlight/scss.js.map b/js/highlight/scss.js.map
index f3e21f9b6..addd2f444 100644
--- a/js/highlight/scss.js.map
+++ b/js/highlight/scss.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/scss.js"],"names":["module","exports","hljs","VARIABLE","className","begin","HEXCOLOR","CSS_NUMBER_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","C_BLOCK_COMMENT_MODE","case_insensitive","illegal","contains","C_LINE_COMMENT_MODE","relevance","end","keywords"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IACIC,EAAW,CACbC,UAAW,WACXC,MAAO,mCAELC,EAAW,CACbF,UAAW,SAAUC,MAAO,iBAWxBH,EAAKK,gBACLL,EAAKM,kBACLN,EAAKO,iBACLP,EAAKQ,qBAOX,MAAO,CACLC,kBAAkB,EAClBC,QAAS,SACTC,SAAU,CACRX,EAAKY,oBACLZ,EAAKQ,qBACL,CACEN,UAAW,cAAeC,MAAO,oBACjCU,UAAW,GAEb,CACEX,UAAW,iBAAkBC,MAAO,oBACpCU,UAAW,GAEb,CACEX,UAAW,gBAAiBC,MAAO,MAAOW,IAAK,MAC/CJ,QAAS,KAEX,CACER,UAAW,eACXC,MAAO,ikBACPU,UAAW,GAEb,CACEV,MAAO,uWAET,CACEA,MAAO,6FAETF,EACA,CACEC,UAAW,YACXC,MAAO,suFACPO,QAAS,UAEX,CACEP,MAAO,8oCAET,CACEA,MAAO,IAAKW,IAAK,IACjBH,SAAU,CACRV,EACAG,EACAJ,EAAKK,gBACLL,EAAKM,kBACLN,EAAKO,iBACL,CACEL,UAAW,OAAQC,MAAO,gBAIhC,CACEA,MAAO,IAAKW,IAAK,OACjBC,SAAU,+GACVJ,SAAU,CACRV,EACAD,EAAKM,kBACLN,EAAKO,iBACLH,EACAJ,EAAKK,gBACL,CACEF,MAAO,qBACPU,UAAW","file":"highlight/scss.js?v=6e2c220181580676a4c1","sourcesContent":["module.exports = function(hljs) {\n var IDENT_RE = '[a-zA-Z-][a-zA-Z0-9_-]*';\n var VARIABLE = {\n className: 'variable',\n begin: '(\\\\$' + IDENT_RE + ')\\\\b'\n };\n var HEXCOLOR = {\n className: 'number', begin: '#[0-9A-Fa-f]+'\n };\n var DEF_INTERNALS = {\n className: 'attribute',\n begin: '[A-Z\\\\_\\\\.\\\\-]+', end: ':',\n excludeEnd: true,\n illegal: '[^\\\\s]',\n starts: {\n endsWithParent: true, excludeEnd: true,\n contains: [\n HEXCOLOR,\n hljs.CSS_NUMBER_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'meta', begin: '!important'\n }\n ]\n }\n };\n return {\n case_insensitive: true,\n illegal: '[=/|\\']',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'selector-id', begin: '\\\\#[A-Za-z0-9_-]+',\n relevance: 0\n },\n {\n className: 'selector-class', begin: '\\\\.[A-Za-z0-9_-]+',\n relevance: 0\n },\n {\n className: 'selector-attr', begin: '\\\\[', end: '\\\\]',\n illegal: '$'\n },\n {\n className: 'selector-tag', // begin: IDENT_RE, end: '[,|\\\\s]'\n begin: '\\\\b(a|abbr|acronym|address|area|article|aside|audio|b|base|big|blockquote|body|br|button|canvas|caption|cite|code|col|colgroup|command|datalist|dd|del|details|dfn|div|dl|dt|em|embed|fieldset|figcaption|figure|footer|form|frame|frameset|(h[1-6])|head|header|hgroup|hr|html|i|iframe|img|input|ins|kbd|keygen|label|legend|li|link|map|mark|meta|meter|nav|noframes|noscript|object|ol|optgroup|option|output|p|param|pre|progress|q|rp|rt|ruby|samp|script|section|select|small|span|strike|strong|style|sub|sup|table|tbody|td|textarea|tfoot|th|thead|time|title|tr|tt|ul|var|video)\\\\b',\n relevance: 0\n },\n {\n begin: ':(visited|valid|root|right|required|read-write|read-only|out-range|optional|only-of-type|only-child|nth-of-type|nth-last-of-type|nth-last-child|nth-child|not|link|left|last-of-type|last-child|lang|invalid|indeterminate|in-range|hover|focus|first-of-type|first-line|first-letter|first-child|first|enabled|empty|disabled|default|checked|before|after|active)'\n },\n {\n begin: '::(after|before|choices|first-letter|first-line|repeat-index|repeat-item|selection|value)'\n },\n VARIABLE,\n {\n className: 'attribute',\n begin: '\\\\b(z-index|word-wrap|word-spacing|word-break|width|widows|white-space|visibility|vertical-align|unicode-bidi|transition-timing-function|transition-property|transition-duration|transition-delay|transition|transform-style|transform-origin|transform|top|text-underline-position|text-transform|text-shadow|text-rendering|text-overflow|text-indent|text-decoration-style|text-decoration-line|text-decoration-color|text-decoration|text-align-last|text-align|tab-size|table-layout|right|resize|quotes|position|pointer-events|perspective-origin|perspective|page-break-inside|page-break-before|page-break-after|padding-top|padding-right|padding-left|padding-bottom|padding|overflow-y|overflow-x|overflow-wrap|overflow|outline-width|outline-style|outline-offset|outline-color|outline|orphans|order|opacity|object-position|object-fit|normal|none|nav-up|nav-right|nav-left|nav-index|nav-down|min-width|min-height|max-width|max-height|mask|marks|margin-top|margin-right|margin-left|margin-bottom|margin|list-style-type|list-style-position|list-style-image|list-style|line-height|letter-spacing|left|justify-content|initial|inherit|ime-mode|image-orientation|image-resolution|image-rendering|icon|hyphens|height|font-weight|font-variant-ligatures|font-variant|font-style|font-stretch|font-size-adjust|font-size|font-language-override|font-kerning|font-feature-settings|font-family|font|float|flex-wrap|flex-shrink|flex-grow|flex-flow|flex-direction|flex-basis|flex|filter|empty-cells|display|direction|cursor|counter-reset|counter-increment|content|column-width|column-span|column-rule-width|column-rule-style|column-rule-color|column-rule|column-gap|column-fill|column-count|columns|color|clip-path|clip|clear|caption-side|break-inside|break-before|break-after|box-sizing|box-shadow|box-decoration-break|bottom|border-width|border-top-width|border-top-style|border-top-right-radius|border-top-left-radius|border-top-color|border-top|border-style|border-spacing|border-right-width|border-right-style|border-right-color|border-right|border-radius|border-left-width|border-left-style|border-left-color|border-left|border-image-width|border-image-source|border-image-slice|border-image-repeat|border-image-outset|border-image|border-color|border-collapse|border-bottom-width|border-bottom-style|border-bottom-right-radius|border-bottom-left-radius|border-bottom-color|border-bottom|border|background-size|background-repeat|background-position|background-origin|background-image|background-color|background-clip|background-attachment|background-blend-mode|background|backface-visibility|auto|animation-timing-function|animation-play-state|animation-name|animation-iteration-count|animation-fill-mode|animation-duration|animation-direction|animation-delay|animation|align-self|align-items|align-content)\\\\b',\n illegal: '[^\\\\s]'\n },\n {\n begin: '\\\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\\\b'\n },\n {\n begin: ':', end: ';',\n contains: [\n VARIABLE,\n HEXCOLOR,\n hljs.CSS_NUMBER_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n {\n className: 'meta', begin: '!important'\n }\n ]\n },\n {\n begin: '@', end: '[{;]',\n keywords: 'mixin include extend for if else each while charset import debug media page content font-face namespace warn',\n contains: [\n VARIABLE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n HEXCOLOR,\n hljs.CSS_NUMBER_MODE,\n {\n begin: '\\\\s[A-Za-z0-9_.-]+',\n relevance: 0\n }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/scss.js"],"names":["module","exports","hljs","VARIABLE","className","begin","HEXCOLOR","CSS_NUMBER_MODE","QUOTE_STRING_MODE","APOS_STRING_MODE","C_BLOCK_COMMENT_MODE","case_insensitive","illegal","contains","C_LINE_COMMENT_MODE","relevance","end","lexemes","keywords","returnBegin"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAGIC,EAAW,CACbC,UAAW,WACXC,MAAO,mCAELC,EAAW,CACbF,UAAW,SAAUC,MAAO,iBAWxBH,EAAKK,gBACLL,EAAKM,kBACLN,EAAKO,iBACLP,EAAKQ,qBAOX,MAAO,CACLC,kBAAkB,EAClBC,QAAS,SACTC,SAAU,CACRX,EAAKY,oBACLZ,EAAKQ,qBACL,CACEN,UAAW,cAAeC,MAAO,oBACjCU,UAAW,GAEb,CACEX,UAAW,iBAAkBC,MAAO,oBACpCU,UAAW,GAEb,CACEX,UAAW,gBAAiBC,MAAO,MAAOW,IAAK,MAC/CJ,QAAS,KAEX,CACER,UAAW,eACXC,MAAO,ikBACPU,UAAW,GAEb,CACEX,UAAW,kBACXC,MAAO,uWAET,CACED,UAAW,kBACXC,MAAO,6FAETF,EACA,CACEC,UAAW,YACXC,MAAO,0uFACPO,QAAS,UAEX,CACEP,MAAO,8oCAET,CACEA,MAAO,IAAKW,IAAK,IACjBH,SAAU,CACRV,EACAG,EACAJ,EAAKK,gBACLL,EAAKM,kBACLN,EAAKO,iBACL,CACEL,UAAW,OAAQC,MAAO,gBAOhC,CACEA,MAAO,oBACPY,QAvFc,WAwFdC,SAAU,oBAEZ,CACEb,MAAO,IAAKW,IAAK,OACjBG,aAAa,EACbD,SA5Fa,kBA6FbL,SAAU,CACR,CACER,MAhGU,WAiGVD,UAAW,WAEbD,EACAD,EAAKM,kBACLN,EAAKO,iBACLH,EACAJ,EAAKK","file":"highlight/scss.js?v=96dd81098350c7a5d92f","sourcesContent":["module.exports = function(hljs) {\n var AT_IDENTIFIER = '@[a-z-]+' // @font-face\n var AT_MODIFIERS = \"and or not only\"\n var IDENT_RE = '[a-zA-Z-][a-zA-Z0-9_-]*';\n var VARIABLE = {\n className: 'variable',\n begin: '(\\\\$' + IDENT_RE + ')\\\\b'\n };\n var HEXCOLOR = {\n className: 'number', begin: '#[0-9A-Fa-f]+'\n };\n var DEF_INTERNALS = {\n className: 'attribute',\n begin: '[A-Z\\\\_\\\\.\\\\-]+', end: ':',\n excludeEnd: true,\n illegal: '[^\\\\s]',\n starts: {\n endsWithParent: true, excludeEnd: true,\n contains: [\n HEXCOLOR,\n hljs.CSS_NUMBER_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'meta', begin: '!important'\n }\n ]\n }\n };\n return {\n case_insensitive: true,\n illegal: '[=/|\\']',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'selector-id', begin: '\\\\#[A-Za-z0-9_-]+',\n relevance: 0\n },\n {\n className: 'selector-class', begin: '\\\\.[A-Za-z0-9_-]+',\n relevance: 0\n },\n {\n className: 'selector-attr', begin: '\\\\[', end: '\\\\]',\n illegal: '$'\n },\n {\n className: 'selector-tag', // begin: IDENT_RE, end: '[,|\\\\s]'\n begin: '\\\\b(a|abbr|acronym|address|area|article|aside|audio|b|base|big|blockquote|body|br|button|canvas|caption|cite|code|col|colgroup|command|datalist|dd|del|details|dfn|div|dl|dt|em|embed|fieldset|figcaption|figure|footer|form|frame|frameset|(h[1-6])|head|header|hgroup|hr|html|i|iframe|img|input|ins|kbd|keygen|label|legend|li|link|map|mark|meta|meter|nav|noframes|noscript|object|ol|optgroup|option|output|p|param|pre|progress|q|rp|rt|ruby|samp|script|section|select|small|span|strike|strong|style|sub|sup|table|tbody|td|textarea|tfoot|th|thead|time|title|tr|tt|ul|var|video)\\\\b',\n relevance: 0\n },\n {\n className: 'selector-pseudo',\n begin: ':(visited|valid|root|right|required|read-write|read-only|out-range|optional|only-of-type|only-child|nth-of-type|nth-last-of-type|nth-last-child|nth-child|not|link|left|last-of-type|last-child|lang|invalid|indeterminate|in-range|hover|focus|first-of-type|first-line|first-letter|first-child|first|enabled|empty|disabled|default|checked|before|after|active)'\n },\n {\n className: 'selector-pseudo',\n begin: '::(after|before|choices|first-letter|first-line|repeat-index|repeat-item|selection|value)'\n },\n VARIABLE,\n {\n className: 'attribute',\n begin: '\\\\b(src|z-index|word-wrap|word-spacing|word-break|width|widows|white-space|visibility|vertical-align|unicode-bidi|transition-timing-function|transition-property|transition-duration|transition-delay|transition|transform-style|transform-origin|transform|top|text-underline-position|text-transform|text-shadow|text-rendering|text-overflow|text-indent|text-decoration-style|text-decoration-line|text-decoration-color|text-decoration|text-align-last|text-align|tab-size|table-layout|right|resize|quotes|position|pointer-events|perspective-origin|perspective|page-break-inside|page-break-before|page-break-after|padding-top|padding-right|padding-left|padding-bottom|padding|overflow-y|overflow-x|overflow-wrap|overflow|outline-width|outline-style|outline-offset|outline-color|outline|orphans|order|opacity|object-position|object-fit|normal|none|nav-up|nav-right|nav-left|nav-index|nav-down|min-width|min-height|max-width|max-height|mask|marks|margin-top|margin-right|margin-left|margin-bottom|margin|list-style-type|list-style-position|list-style-image|list-style|line-height|letter-spacing|left|justify-content|initial|inherit|ime-mode|image-orientation|image-resolution|image-rendering|icon|hyphens|height|font-weight|font-variant-ligatures|font-variant|font-style|font-stretch|font-size-adjust|font-size|font-language-override|font-kerning|font-feature-settings|font-family|font|float|flex-wrap|flex-shrink|flex-grow|flex-flow|flex-direction|flex-basis|flex|filter|empty-cells|display|direction|cursor|counter-reset|counter-increment|content|column-width|column-span|column-rule-width|column-rule-style|column-rule-color|column-rule|column-gap|column-fill|column-count|columns|color|clip-path|clip|clear|caption-side|break-inside|break-before|break-after|box-sizing|box-shadow|box-decoration-break|bottom|border-width|border-top-width|border-top-style|border-top-right-radius|border-top-left-radius|border-top-color|border-top|border-style|border-spacing|border-right-width|border-right-style|border-right-color|border-right|border-radius|border-left-width|border-left-style|border-left-color|border-left|border-image-width|border-image-source|border-image-slice|border-image-repeat|border-image-outset|border-image|border-color|border-collapse|border-bottom-width|border-bottom-style|border-bottom-right-radius|border-bottom-left-radius|border-bottom-color|border-bottom|border|background-size|background-repeat|background-position|background-origin|background-image|background-color|background-clip|background-attachment|background-blend-mode|background|backface-visibility|auto|animation-timing-function|animation-play-state|animation-name|animation-iteration-count|animation-fill-mode|animation-duration|animation-direction|animation-delay|animation|align-self|align-items|align-content)\\\\b',\n illegal: '[^\\\\s]'\n },\n {\n begin: '\\\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\\\b'\n },\n {\n begin: ':', end: ';',\n contains: [\n VARIABLE,\n HEXCOLOR,\n hljs.CSS_NUMBER_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n {\n className: 'meta', begin: '!important'\n }\n ]\n },\n // matching these here allows us to treat them more like regular CSS\n // rules so everything between the {} gets regular rule highlighting,\n // which is what we want for page and font-face\n {\n begin: '@(page|font-face)',\n lexemes: AT_IDENTIFIER,\n keywords: '@page @font-face'\n },\n {\n begin: '@', end: '[{;]',\n returnBegin: true,\n keywords: AT_MODIFIERS,\n contains: [\n {\n begin: AT_IDENTIFIER,\n className: \"keyword\"\n },\n VARIABLE,\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n HEXCOLOR,\n hljs.CSS_NUMBER_MODE,\n // {\n // begin: '\\\\s[A-Za-z0-9_.-]+',\n // relevance: 0\n // }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/shell.js b/js/highlight/shell.js
index 440bd76d9..ea347e110 100644
--- a/js/highlight/shell.js
+++ b/js/highlight/shell.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[154],{520:function(n,s){n.exports=function(n){return{aliases:["console"],contains:[{className:"meta",begin:"^\\s{0,3}[/\\w\\d\\[\\]()@-]*[>%$#]",starts:{end:"$",subLanguage:"bash"}}]}}}}]);
-//# sourceMappingURL=shell.js.map?v=1b3adb8f912ffbac3be6 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[154],{521:function(n,s){n.exports=function(n){return{aliases:["console"],contains:[{className:"meta",begin:"^\\s{0,3}[/\\w\\d\\[\\]()@-]*[>%$#]",starts:{end:"$",subLanguage:"bash"}}]}}}}]);
+//# sourceMappingURL=shell.js.map?v=1f4a8a17e05fbb11d688 \ No newline at end of file
diff --git a/js/highlight/shell.js.map b/js/highlight/shell.js.map
index 24f58f7cb..761f10170 100644
--- a/js/highlight/shell.js.map
+++ b/js/highlight/shell.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/shell.js"],"names":["module","exports","hljs","aliases","contains","className","begin","starts","end","subLanguage"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,WACVC,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,sCACPC,OAAQ,CACNC,IAAK,IAAKC,YAAa","file":"highlight/shell.js?v=1b3adb8f912ffbac3be6","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['console'],\n contains: [\n {\n className: 'meta',\n begin: '^\\\\s{0,3}[/\\\\w\\\\d\\\\[\\\\]()@-]*[>%$#]',\n starts: {\n end: '$', subLanguage: 'bash'\n }\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/shell.js"],"names":["module","exports","hljs","aliases","contains","className","begin","starts","end","subLanguage"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,WACVC,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,sCACPC,OAAQ,CACNC,IAAK,IAAKC,YAAa","file":"highlight/shell.js?v=1f4a8a17e05fbb11d688","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['console'],\n contains: [\n {\n className: 'meta',\n begin: '^\\\\s{0,3}[/\\\\w\\\\d\\\\[\\\\]()@-]*[>%$#]',\n starts: {\n end: '$', subLanguage: 'bash'\n }\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/smali.js b/js/highlight/smali.js
index aa2e35444..0a08792e8 100644
--- a/js/highlight/smali.js
+++ b/js/highlight/smali.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[155],{521:function(e,n){e.exports=function(e){var n=["add","and","cmp","cmpg","cmpl","const","div","double","float","goto","if","int","long","move","mul","neg","new","nop","not","or","rem","return","shl","shr","sput","sub","throw","ushr","xor"];return{aliases:["smali"],contains:[{className:"string",begin:'"',end:'"',relevance:0},e.COMMENT("#","$",{relevance:0}),{className:"keyword",variants:[{begin:"\\s*\\.end\\s[a-zA-Z0-9]*"},{begin:"^[ ]*\\.[a-zA-Z]*",relevance:0},{begin:"\\s:[a-zA-Z_0-9]*",relevance:0},{begin:"\\s("+["transient","constructor","abstract","final","synthetic","public","private","protected","static","bridge","system"].join("|")+")"}]},{className:"built_in",variants:[{begin:"\\s("+n.join("|")+")\\s"},{begin:"\\s("+n.join("|")+")((\\-|/)[a-zA-Z0-9]+)+\\s",relevance:10},{begin:"\\s("+["aget","aput","array","check","execute","fill","filled","goto/16","goto/32","iget","instance","invoke","iput","monitor","packed","sget","sparse"].join("|")+")((\\-|/)[a-zA-Z0-9]+)*\\s",relevance:10}]},{className:"class",begin:"L[^(;:\n]*;",relevance:0},{begin:"[vp][0-9]+"}]}}}}]);
-//# sourceMappingURL=smali.js.map?v=8f1c1ff1344ff05a9a6d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[155],{522:function(e,n){e.exports=function(e){var n=["add","and","cmp","cmpg","cmpl","const","div","double","float","goto","if","int","long","move","mul","neg","new","nop","not","or","rem","return","shl","shr","sput","sub","throw","ushr","xor"];return{aliases:["smali"],contains:[{className:"string",begin:'"',end:'"',relevance:0},e.COMMENT("#","$",{relevance:0}),{className:"keyword",variants:[{begin:"\\s*\\.end\\s[a-zA-Z0-9]*"},{begin:"^[ ]*\\.[a-zA-Z]*",relevance:0},{begin:"\\s:[a-zA-Z_0-9]*",relevance:0},{begin:"\\s("+["transient","constructor","abstract","final","synthetic","public","private","protected","static","bridge","system"].join("|")+")"}]},{className:"built_in",variants:[{begin:"\\s("+n.join("|")+")\\s"},{begin:"\\s("+n.join("|")+")((\\-|/)[a-zA-Z0-9]+)+\\s",relevance:10},{begin:"\\s("+["aget","aput","array","check","execute","fill","filled","goto/16","goto/32","iget","instance","invoke","iput","monitor","packed","sget","sparse"].join("|")+")((\\-|/)[a-zA-Z0-9]+)*\\s",relevance:10}]},{className:"class",begin:"L[^(;:\n]*;",relevance:0},{begin:"[vp][0-9]+"}]}}}}]);
+//# sourceMappingURL=smali.js.map?v=e3e6a82a8a5388a962b5 \ No newline at end of file
diff --git a/js/highlight/smali.js.map b/js/highlight/smali.js.map
index 94f0e4b7a..b55cf0f89 100644
--- a/js/highlight/smali.js.map
+++ b/js/highlight/smali.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/smali.js"],"names":["module","exports","hljs","smali_instr_low_prio","aliases","contains","className","begin","end","relevance","COMMENT","variants","join"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAuB,CAAC,MAAO,MAAO,MAAO,OAAQ,OAAQ,QAAS,MAAO,SAAU,QAAS,OAAQ,KAAM,MAAO,OAAQ,OAAQ,MAAO,MAAO,MAAO,MAAO,MAAO,KAAM,MAAO,SAAU,MAAO,MAAO,OAAQ,MAAO,QAAS,OAAQ,OAGjP,MAAO,CACLC,QAAS,CAAC,SACVC,SAAU,CACR,CACEC,UAAW,SACXC,MAAO,IAAKC,IAAK,IACjBC,UAAW,GAEbP,EAAKQ,QACH,IACA,IACA,CACED,UAAW,IAGf,CACEH,UAAW,UACXK,SAAU,CACR,CAACJ,MAAO,6BACR,CAACA,MAAO,oBAAqBE,UAAW,GACxC,CAACF,MAAO,oBAAqBE,UAAW,GACxC,CAACF,MAAO,OAtBK,CAAC,YAAa,cAAe,WAAY,QAAS,YAAa,SAAU,UAAW,YAAa,SAAU,SAAU,UAsBlGK,KAAK,KAAO,OAGhD,CACEN,UAAW,WACXK,SAAW,CACT,CACEJ,MAAO,OAAOJ,EAAqBS,KAAK,KAAK,QAE/C,CACEL,MAAO,OAAOJ,EAAqBS,KAAK,KAAK,6BAC7CH,UAAW,IAEb,CACEF,MAAO,OArCW,CAAC,OAAQ,OAAQ,QAAS,QAAS,UAAW,OAAQ,SAAU,UAAW,UAAW,OAAQ,WAAY,SAAU,OAAQ,UAAW,SAAU,OAAQ,UAqCvIK,KAAK,KAAK,6BAC9CH,UAAW,MAIjB,CACEH,UAAW,QACXC,MAAO,cACPE,UAAW,GAEb,CACEF,MAAO","file":"highlight/smali.js?v=8f1c1ff1344ff05a9a6d","sourcesContent":["module.exports = function(hljs) {\n var smali_instr_low_prio = ['add', 'and', 'cmp', 'cmpg', 'cmpl', 'const', 'div', 'double', 'float', 'goto', 'if', 'int', 'long', 'move', 'mul', 'neg', 'new', 'nop', 'not', 'or', 'rem', 'return', 'shl', 'shr', 'sput', 'sub', 'throw', 'ushr', 'xor'];\n var smali_instr_high_prio = ['aget', 'aput', 'array', 'check', 'execute', 'fill', 'filled', 'goto/16', 'goto/32', 'iget', 'instance', 'invoke', 'iput', 'monitor', 'packed', 'sget', 'sparse'];\n var smali_keywords = ['transient', 'constructor', 'abstract', 'final', 'synthetic', 'public', 'private', 'protected', 'static', 'bridge', 'system'];\n return {\n aliases: ['smali'],\n contains: [\n {\n className: 'string',\n begin: '\"', end: '\"',\n relevance: 0\n },\n hljs.COMMENT(\n '#',\n '$',\n {\n relevance: 0\n }\n ),\n {\n className: 'keyword',\n variants: [\n {begin: '\\\\s*\\\\.end\\\\s[a-zA-Z0-9]*'},\n {begin: '^[ ]*\\\\.[a-zA-Z]*', relevance: 0},\n {begin: '\\\\s:[a-zA-Z_0-9]*', relevance: 0},\n {begin: '\\\\s(' + smali_keywords.join('|') + ')'}\n ]\n },\n {\n className: 'built_in',\n variants : [\n {\n begin: '\\\\s('+smali_instr_low_prio.join('|')+')\\\\s'\n },\n {\n begin: '\\\\s('+smali_instr_low_prio.join('|')+')((\\\\-|/)[a-zA-Z0-9]+)+\\\\s',\n relevance: 10\n },\n {\n begin: '\\\\s('+smali_instr_high_prio.join('|')+')((\\\\-|/)[a-zA-Z0-9]+)*\\\\s',\n relevance: 10\n },\n ]\n },\n {\n className: 'class',\n begin: 'L[^\\(;:\\n]*;',\n relevance: 0\n },\n {\n begin: '[vp][0-9]+',\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/smali.js"],"names":["module","exports","hljs","smali_instr_low_prio","aliases","contains","className","begin","end","relevance","COMMENT","variants","join"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAuB,CAAC,MAAO,MAAO,MAAO,OAAQ,OAAQ,QAAS,MAAO,SAAU,QAAS,OAAQ,KAAM,MAAO,OAAQ,OAAQ,MAAO,MAAO,MAAO,MAAO,MAAO,KAAM,MAAO,SAAU,MAAO,MAAO,OAAQ,MAAO,QAAS,OAAQ,OAGjP,MAAO,CACLC,QAAS,CAAC,SACVC,SAAU,CACR,CACEC,UAAW,SACXC,MAAO,IAAKC,IAAK,IACjBC,UAAW,GAEbP,EAAKQ,QACH,IACA,IACA,CACED,UAAW,IAGf,CACEH,UAAW,UACXK,SAAU,CACR,CAACJ,MAAO,6BACR,CAACA,MAAO,oBAAqBE,UAAW,GACxC,CAACF,MAAO,oBAAqBE,UAAW,GACxC,CAACF,MAAO,OAtBK,CAAC,YAAa,cAAe,WAAY,QAAS,YAAa,SAAU,UAAW,YAAa,SAAU,SAAU,UAsBlGK,KAAK,KAAO,OAGhD,CACEN,UAAW,WACXK,SAAW,CACT,CACEJ,MAAO,OAAOJ,EAAqBS,KAAK,KAAK,QAE/C,CACEL,MAAO,OAAOJ,EAAqBS,KAAK,KAAK,6BAC7CH,UAAW,IAEb,CACEF,MAAO,OArCW,CAAC,OAAQ,OAAQ,QAAS,QAAS,UAAW,OAAQ,SAAU,UAAW,UAAW,OAAQ,WAAY,SAAU,OAAQ,UAAW,SAAU,OAAQ,UAqCvIK,KAAK,KAAK,6BAC9CH,UAAW,MAIjB,CACEH,UAAW,QACXC,MAAO,cACPE,UAAW,GAEb,CACEF,MAAO","file":"highlight/smali.js?v=e3e6a82a8a5388a962b5","sourcesContent":["module.exports = function(hljs) {\n var smali_instr_low_prio = ['add', 'and', 'cmp', 'cmpg', 'cmpl', 'const', 'div', 'double', 'float', 'goto', 'if', 'int', 'long', 'move', 'mul', 'neg', 'new', 'nop', 'not', 'or', 'rem', 'return', 'shl', 'shr', 'sput', 'sub', 'throw', 'ushr', 'xor'];\n var smali_instr_high_prio = ['aget', 'aput', 'array', 'check', 'execute', 'fill', 'filled', 'goto/16', 'goto/32', 'iget', 'instance', 'invoke', 'iput', 'monitor', 'packed', 'sget', 'sparse'];\n var smali_keywords = ['transient', 'constructor', 'abstract', 'final', 'synthetic', 'public', 'private', 'protected', 'static', 'bridge', 'system'];\n return {\n aliases: ['smali'],\n contains: [\n {\n className: 'string',\n begin: '\"', end: '\"',\n relevance: 0\n },\n hljs.COMMENT(\n '#',\n '$',\n {\n relevance: 0\n }\n ),\n {\n className: 'keyword',\n variants: [\n {begin: '\\\\s*\\\\.end\\\\s[a-zA-Z0-9]*'},\n {begin: '^[ ]*\\\\.[a-zA-Z]*', relevance: 0},\n {begin: '\\\\s:[a-zA-Z_0-9]*', relevance: 0},\n {begin: '\\\\s(' + smali_keywords.join('|') + ')'}\n ]\n },\n {\n className: 'built_in',\n variants : [\n {\n begin: '\\\\s('+smali_instr_low_prio.join('|')+')\\\\s'\n },\n {\n begin: '\\\\s('+smali_instr_low_prio.join('|')+')((\\\\-|/)[a-zA-Z0-9]+)+\\\\s',\n relevance: 10\n },\n {\n begin: '\\\\s('+smali_instr_high_prio.join('|')+')((\\\\-|/)[a-zA-Z0-9]+)*\\\\s',\n relevance: 10\n },\n ]\n },\n {\n className: 'class',\n begin: 'L[^\\(;:\\n]*;',\n relevance: 0\n },\n {\n begin: '[vp][0-9]+',\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/smalltalk.js b/js/highlight/smalltalk.js
index d12e8dcba..67bb79b31 100644
--- a/js/highlight/smalltalk.js
+++ b/js/highlight/smalltalk.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[156],{522:function(e,n){e.exports=function(e){var n={className:"string",begin:"\\$.{1}"},a={className:"symbol",begin:"#"+e.UNDERSCORE_IDENT_RE};return{aliases:["st"],keywords:"self super nil true false thisContext",contains:[e.COMMENT('"','"'),e.APOS_STRING_MODE,{className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},{begin:"[a-z][a-zA-Z0-9_]*:",relevance:0},e.C_NUMBER_MODE,a,n,{begin:"\\|[ ]*[a-z][a-zA-Z0-9_]*([ ]+[a-z][a-zA-Z0-9_]*)*[ ]*\\|",returnBegin:!0,end:/\|/,illegal:/\S/,contains:[{begin:"(\\|[ ]*)?[a-z][a-zA-Z0-9_]*"}]},{begin:"\\#\\(",end:"\\)",contains:[e.APOS_STRING_MODE,n,e.C_NUMBER_MODE,a]}]}}}}]);
-//# sourceMappingURL=smalltalk.js.map?v=0e1ca20397f17d390f66 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[156],{523:function(e,n){e.exports=function(e){var n={className:"string",begin:"\\$.{1}"},a={className:"symbol",begin:"#"+e.UNDERSCORE_IDENT_RE};return{aliases:["st"],keywords:"self super nil true false thisContext",contains:[e.COMMENT('"','"'),e.APOS_STRING_MODE,{className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},{begin:"[a-z][a-zA-Z0-9_]*:",relevance:0},e.C_NUMBER_MODE,a,n,{begin:"\\|[ ]*[a-z][a-zA-Z0-9_]*([ ]+[a-z][a-zA-Z0-9_]*)*[ ]*\\|",returnBegin:!0,end:/\|/,illegal:/\S/,contains:[{begin:"(\\|[ ]*)?[a-z][a-zA-Z0-9_]*"}]},{begin:"\\#\\(",end:"\\)",contains:[e.APOS_STRING_MODE,n,e.C_NUMBER_MODE,a]}]}}}}]);
+//# sourceMappingURL=smalltalk.js.map?v=1ca3a978cfdc9b49c6ec \ No newline at end of file
diff --git a/js/highlight/smalltalk.js.map b/js/highlight/smalltalk.js.map
index 1979d5b33..7e656b4d4 100644
--- a/js/highlight/smalltalk.js.map
+++ b/js/highlight/smalltalk.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/smalltalk.js"],"names":["module","exports","hljs","CHAR","className","begin","SYMBOL","UNDERSCORE_IDENT_RE","aliases","keywords","contains","COMMENT","APOS_STRING_MODE","relevance","VAR_IDENT_RE","C_NUMBER_MODE","returnBegin","end","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IACIC,EAAO,CACTC,UAAW,SACXC,MAAO,WAELC,EAAS,CACXF,UAAW,SACXC,MAAO,IAAMH,EAAKK,qBAEpB,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,wCACVC,SAAU,CACRR,EAAKS,QAAQ,IAAK,KAClBT,EAAKU,iBACL,CACER,UAAW,OACXC,MAAO,wBACPQ,UAAW,GAEb,CACER,MAAOS,sBACPD,UAAW,GAEbX,EAAKa,cACLT,EACAH,EACA,CAIEE,MAAO,4DACPW,aAAa,EAAMC,IAAK,KACxBC,QAAS,KACTR,SAAU,CAAC,CAACL,MAAO,kCAErB,CACEA,MAAO,SAAUY,IAAK,MACtBP,SAAU,CACRR,EAAKU,iBACLT,EACAD,EAAKa,cACLT","file":"highlight/smalltalk.js?v=0e1ca20397f17d390f66","sourcesContent":["module.exports = function(hljs) {\n var VAR_IDENT_RE = '[a-z][a-zA-Z0-9_]*';\n var CHAR = {\n className: 'string',\n begin: '\\\\$.{1}'\n };\n var SYMBOL = {\n className: 'symbol',\n begin: '#' + hljs.UNDERSCORE_IDENT_RE\n };\n return {\n aliases: ['st'],\n keywords: 'self super nil true false thisContext', // only 6\n contains: [\n hljs.COMMENT('\"', '\"'),\n hljs.APOS_STRING_MODE,\n {\n className: 'type',\n begin: '\\\\b[A-Z][A-Za-z0-9_]*',\n relevance: 0\n },\n {\n begin: VAR_IDENT_RE + ':',\n relevance: 0\n },\n hljs.C_NUMBER_MODE,\n SYMBOL,\n CHAR,\n {\n // This looks more complicated than needed to avoid combinatorial\n // explosion under V8. It effectively means `| var1 var2 ... |` with\n // whitespace adjacent to `|` being optional.\n begin: '\\\\|[ ]*' + VAR_IDENT_RE + '([ ]+' + VAR_IDENT_RE + ')*[ ]*\\\\|',\n returnBegin: true, end: /\\|/,\n illegal: /\\S/,\n contains: [{begin: '(\\\\|[ ]*)?' + VAR_IDENT_RE}]\n },\n {\n begin: '\\\\#\\\\(', end: '\\\\)',\n contains: [\n hljs.APOS_STRING_MODE,\n CHAR,\n hljs.C_NUMBER_MODE,\n SYMBOL\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/smalltalk.js"],"names":["module","exports","hljs","CHAR","className","begin","SYMBOL","UNDERSCORE_IDENT_RE","aliases","keywords","contains","COMMENT","APOS_STRING_MODE","relevance","VAR_IDENT_RE","C_NUMBER_MODE","returnBegin","end","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IACIC,EAAO,CACTC,UAAW,SACXC,MAAO,WAELC,EAAS,CACXF,UAAW,SACXC,MAAO,IAAMH,EAAKK,qBAEpB,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,wCACVC,SAAU,CACRR,EAAKS,QAAQ,IAAK,KAClBT,EAAKU,iBACL,CACER,UAAW,OACXC,MAAO,wBACPQ,UAAW,GAEb,CACER,MAAOS,sBACPD,UAAW,GAEbX,EAAKa,cACLT,EACAH,EACA,CAIEE,MAAO,4DACPW,aAAa,EAAMC,IAAK,KACxBC,QAAS,KACTR,SAAU,CAAC,CAACL,MAAO,kCAErB,CACEA,MAAO,SAAUY,IAAK,MACtBP,SAAU,CACRR,EAAKU,iBACLT,EACAD,EAAKa,cACLT","file":"highlight/smalltalk.js?v=1ca3a978cfdc9b49c6ec","sourcesContent":["module.exports = function(hljs) {\n var VAR_IDENT_RE = '[a-z][a-zA-Z0-9_]*';\n var CHAR = {\n className: 'string',\n begin: '\\\\$.{1}'\n };\n var SYMBOL = {\n className: 'symbol',\n begin: '#' + hljs.UNDERSCORE_IDENT_RE\n };\n return {\n aliases: ['st'],\n keywords: 'self super nil true false thisContext', // only 6\n contains: [\n hljs.COMMENT('\"', '\"'),\n hljs.APOS_STRING_MODE,\n {\n className: 'type',\n begin: '\\\\b[A-Z][A-Za-z0-9_]*',\n relevance: 0\n },\n {\n begin: VAR_IDENT_RE + ':',\n relevance: 0\n },\n hljs.C_NUMBER_MODE,\n SYMBOL,\n CHAR,\n {\n // This looks more complicated than needed to avoid combinatorial\n // explosion under V8. It effectively means `| var1 var2 ... |` with\n // whitespace adjacent to `|` being optional.\n begin: '\\\\|[ ]*' + VAR_IDENT_RE + '([ ]+' + VAR_IDENT_RE + ')*[ ]*\\\\|',\n returnBegin: true, end: /\\|/,\n illegal: /\\S/,\n contains: [{begin: '(\\\\|[ ]*)?' + VAR_IDENT_RE}]\n },\n {\n begin: '\\\\#\\\\(', end: '\\\\)',\n contains: [\n hljs.APOS_STRING_MODE,\n CHAR,\n hljs.C_NUMBER_MODE,\n SYMBOL\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/sml.js b/js/highlight/sml.js
index c07a39081..3360f9b3d 100644
--- a/js/highlight/sml.js
+++ b/js/highlight/sml.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[157],{523:function(e,n){e.exports=function(e){return{aliases:["ml"],keywords:{keyword:"abstype and andalso as case datatype do else end eqtype exception fn fun functor handle if in include infix infixr let local nonfix of op open orelse raise rec sharing sig signature struct structure then type val with withtype where while",built_in:"array bool char exn int list option order real ref string substring vector unit word",literal:"true false NONE SOME LESS EQUAL GREATER nil"},illegal:/\/\/|>>/,lexemes:"[a-z_]\\w*!?",contains:[{className:"literal",begin:/\[(\|\|)?\]|\(\)/,relevance:0},e.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*"},e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/[-=]>/}]}}}}]);
-//# sourceMappingURL=sml.js.map?v=9759864c5643a000f457 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[157],{524:function(e,n){e.exports=function(e){return{aliases:["ml"],keywords:{keyword:"abstype and andalso as case datatype do else end eqtype exception fn fun functor handle if in include infix infixr let local nonfix of op open orelse raise rec sharing sig signature struct structure then type val with withtype where while",built_in:"array bool char exn int list option order real ref string substring vector unit word",literal:"true false NONE SOME LESS EQUAL GREATER nil"},illegal:/\/\/|>>/,lexemes:"[a-z_]\\w*!?",contains:[{className:"literal",begin:/\[(\|\|)?\]|\(\)/,relevance:0},e.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*"},e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/[-=]>/}]}}}}]);
+//# sourceMappingURL=sml.js.map?v=5da35776878ca5d6695f \ No newline at end of file
diff --git a/js/highlight/sml.js.map b/js/highlight/sml.js.map
index 5c0ed67f6..554dcfdb9 100644
--- a/js/highlight/sml.js.map
+++ b/js/highlight/sml.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/sml.js"],"names":["module","exports","hljs","aliases","keywords","keyword","built_in","literal","illegal","lexemes","contains","className","begin","relevance","COMMENT","inherit","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRC,QAEE,iPAIFC,SAEE,uFACFC,QACE,+CAEJC,QAAS,UACTC,QAAS,eACTC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,mBACPC,UAAW,GAEbX,EAAKY,QACH,SACA,SACA,CACEJ,SAAU,CAAC,UAGf,CACEC,UAAW,SACXC,MAAO,0BAGT,CACED,UAAW,OACXC,MAAO,iBAET,CACED,UAAW,OACXC,MAAO,kBACPC,UAAW,GAEb,CACED,MAAO,sBAETV,EAAKa,QAAQb,EAAKc,iBAAkB,CAACL,UAAW,SAAUE,UAAW,IACrEX,EAAKa,QAAQb,EAAKe,kBAAmB,CAACT,QAAS,OAC/C,CACEG,UAAW,SACXC,MACE,2HAIFC,UAAW,GAEb,CACED,MAAO","file":"highlight/sml.js?v=9759864c5643a000f457","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['ml'],\n keywords: {\n keyword:\n /* according to Definition of Standard ML 97 */\n 'abstype and andalso as case datatype do else end eqtype ' +\n 'exception fn fun functor handle if in include infix infixr ' +\n 'let local nonfix of op open orelse raise rec sharing sig ' +\n 'signature struct structure then type val with withtype where while',\n built_in:\n /* built-in types according to basis library */\n 'array bool char exn int list option order real ref string substring vector unit word',\n literal:\n 'true false NONE SOME LESS EQUAL GREATER nil'\n },\n illegal: /\\/\\/|>>/,\n lexemes: '[a-z_]\\\\w*!?',\n contains: [\n {\n className: 'literal',\n begin: /\\[(\\|\\|)?\\]|\\(\\)/,\n relevance: 0\n },\n hljs.COMMENT(\n '\\\\(\\\\*',\n '\\\\*\\\\)',\n {\n contains: ['self']\n }\n ),\n { /* type variable */\n className: 'symbol',\n begin: '\\'[A-Za-z_](?!\\')[\\\\w\\']*'\n /* the grammar is ambiguous on how 'a'b should be interpreted but not the compiler */\n },\n { /* polymorphic variant */\n className: 'type',\n begin: '`[A-Z][\\\\w\\']*'\n },\n { /* module or constructor */\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\']*',\n relevance: 0\n },\n { /* don't color identifiers, but safely catch all identifiers with '*/\n begin: '[a-z_]\\\\w*\\'[\\\\w\\']*'\n },\n hljs.inherit(hljs.APOS_STRING_MODE, {className: 'string', relevance: 0}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n {\n className: 'number',\n begin:\n '\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|' +\n '0[oO][0-7_]+[Lln]?|' +\n '0[bB][01_]+[Lln]?|' +\n '[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)',\n relevance: 0\n },\n {\n begin: /[-=]>/ // relevance booster\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/sml.js"],"names":["module","exports","hljs","aliases","keywords","keyword","built_in","literal","illegal","lexemes","contains","className","begin","relevance","COMMENT","inherit","APOS_STRING_MODE","QUOTE_STRING_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,CACRC,QAEE,iPAIFC,SAEE,uFACFC,QACE,+CAEJC,QAAS,UACTC,QAAS,eACTC,SAAU,CACR,CACEC,UAAW,UACXC,MAAO,mBACPC,UAAW,GAEbX,EAAKY,QACH,SACA,SACA,CACEJ,SAAU,CAAC,UAGf,CACEC,UAAW,SACXC,MAAO,0BAGT,CACED,UAAW,OACXC,MAAO,iBAET,CACED,UAAW,OACXC,MAAO,kBACPC,UAAW,GAEb,CACED,MAAO,sBAETV,EAAKa,QAAQb,EAAKc,iBAAkB,CAACL,UAAW,SAAUE,UAAW,IACrEX,EAAKa,QAAQb,EAAKe,kBAAmB,CAACT,QAAS,OAC/C,CACEG,UAAW,SACXC,MACE,2HAIFC,UAAW,GAEb,CACED,MAAO","file":"highlight/sml.js?v=5da35776878ca5d6695f","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['ml'],\n keywords: {\n keyword:\n /* according to Definition of Standard ML 97 */\n 'abstype and andalso as case datatype do else end eqtype ' +\n 'exception fn fun functor handle if in include infix infixr ' +\n 'let local nonfix of op open orelse raise rec sharing sig ' +\n 'signature struct structure then type val with withtype where while',\n built_in:\n /* built-in types according to basis library */\n 'array bool char exn int list option order real ref string substring vector unit word',\n literal:\n 'true false NONE SOME LESS EQUAL GREATER nil'\n },\n illegal: /\\/\\/|>>/,\n lexemes: '[a-z_]\\\\w*!?',\n contains: [\n {\n className: 'literal',\n begin: /\\[(\\|\\|)?\\]|\\(\\)/,\n relevance: 0\n },\n hljs.COMMENT(\n '\\\\(\\\\*',\n '\\\\*\\\\)',\n {\n contains: ['self']\n }\n ),\n { /* type variable */\n className: 'symbol',\n begin: '\\'[A-Za-z_](?!\\')[\\\\w\\']*'\n /* the grammar is ambiguous on how 'a'b should be interpreted but not the compiler */\n },\n { /* polymorphic variant */\n className: 'type',\n begin: '`[A-Z][\\\\w\\']*'\n },\n { /* module or constructor */\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\']*',\n relevance: 0\n },\n { /* don't color identifiers, but safely catch all identifiers with '*/\n begin: '[a-z_]\\\\w*\\'[\\\\w\\']*'\n },\n hljs.inherit(hljs.APOS_STRING_MODE, {className: 'string', relevance: 0}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n {\n className: 'number',\n begin:\n '\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|' +\n '0[oO][0-7_]+[Lln]?|' +\n '0[bB][01_]+[Lln]?|' +\n '[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)',\n relevance: 0\n },\n {\n begin: /[-=]>/ // relevance booster\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/sqf.js b/js/highlight/sqf.js
index 4467bb9a3..525cc1d7a 100644
--- a/js/highlight/sqf.js
+++ b/js/highlight/sqf.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[158],{524:function(e,t){e.exports=function(e){var t={className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]},{begin:"'",end:"'",contains:[{begin:"''",relevance:0}]}]},a={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{"meta-keyword":"define undef ifdef ifndef else endif include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(t,{className:"meta-string"}),{className:"meta-string",begin:/<[^\n>]*>/,end:/$/,illegal:"\\n"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]};return{aliases:["sqf"],case_insensitive:!0,keywords:{keyword:"case catch default do else exit exitWith for forEach from if private switch then throw to try waitUntil while with",built_in:"abs accTime acos action actionIDs actionKeys actionKeysImages actionKeysNames actionKeysNamesArray actionName actionParams activateAddons activatedAddons activateKey add3DENConnection add3DENEventHandler add3DENLayer addAction addBackpack addBackpackCargo addBackpackCargoGlobal addBackpackGlobal addCamShake addCuratorAddons addCuratorCameraArea addCuratorEditableObjects addCuratorEditingArea addCuratorPoints addEditorObject addEventHandler addForce addGoggles addGroupIcon addHandgunItem addHeadgear addItem addItemCargo addItemCargoGlobal addItemPool addItemToBackpack addItemToUniform addItemToVest addLiveStats addMagazine addMagazineAmmoCargo addMagazineCargo addMagazineCargoGlobal addMagazineGlobal addMagazinePool addMagazines addMagazineTurret addMenu addMenuItem addMissionEventHandler addMPEventHandler addMusicEventHandler addOwnedMine addPlayerScores addPrimaryWeaponItem addPublicVariableEventHandler addRating addResources addScore addScoreSide addSecondaryWeaponItem addSwitchableUnit addTeamMember addToRemainsCollector addTorque addUniform addVehicle addVest addWaypoint addWeapon addWeaponCargo addWeaponCargoGlobal addWeaponGlobal addWeaponItem addWeaponPool addWeaponTurret admin agent agents AGLToASL aimedAtTarget aimPos airDensityRTD airplaneThrottle airportSide AISFinishHeal alive all3DENEntities allAirports allControls allCurators allCutLayers allDead allDeadMen allDisplays allGroups allMapMarkers allMines allMissionObjects allow3DMode allowCrewInImmobile allowCuratorLogicIgnoreAreas allowDamage allowDammage allowFileOperations allowFleeing allowGetIn allowSprint allPlayers allSimpleObjects allSites allTurrets allUnits allUnitsUAV allVariables ammo ammoOnPylon and animate animateBay animateDoor animatePylon animateSource animationNames animationPhase animationSourcePhase animationState append apply armoryPoints arrayIntersect asin ASLToAGL ASLToATL assert assignAsCargo assignAsCargoIndex assignAsCommander assignAsDriver assignAsGunner assignAsTurret assignCurator assignedCargo assignedCommander assignedDriver assignedGunner assignedItems assignedTarget assignedTeam assignedVehicle assignedVehicleRole assignItem assignTeam assignToAirport atan atan2 atg ATLToASL attachedObject attachedObjects attachedTo attachObject attachTo attackEnabled backpack backpackCargo backpackContainer backpackItems backpackMagazines backpackSpaceFor behaviour benchmark binocular boundingBox boundingBoxReal boundingCenter breakOut breakTo briefingName buildingExit buildingPos buttonAction buttonSetAction cadetMode call callExtension camCommand camCommit camCommitPrepared camCommitted camConstuctionSetParams camCreate camDestroy cameraEffect cameraEffectEnableHUD cameraInterest cameraOn cameraView campaignConfigFile camPreload camPreloaded camPrepareBank camPrepareDir camPrepareDive camPrepareFocus camPrepareFov camPrepareFovRange camPreparePos camPrepareRelPos camPrepareTarget camSetBank camSetDir camSetDive camSetFocus camSetFov camSetFovRange camSetPos camSetRelPos camSetTarget camTarget camUseNVG canAdd canAddItemToBackpack canAddItemToUniform canAddItemToVest cancelSimpleTaskDestination canFire canMove canSlingLoad canStand canSuspend canTriggerDynamicSimulation canUnloadInCombat canVehicleCargo captive captiveNum cbChecked cbSetChecked ceil channelEnabled cheatsEnabled checkAIFeature checkVisibility className clearAllItemsFromBackpack clearBackpackCargo clearBackpackCargoGlobal clearGroupIcons clearItemCargo clearItemCargoGlobal clearItemPool clearMagazineCargo clearMagazineCargoGlobal clearMagazinePool clearOverlay clearRadio clearWeaponCargo clearWeaponCargoGlobal clearWeaponPool clientOwner closeDialog closeDisplay closeOverlay collapseObjectTree collect3DENHistory collectiveRTD combatMode commandArtilleryFire commandChat commander commandFire commandFollow commandFSM commandGetOut commandingMenu commandMove commandRadio commandStop commandSuppressiveFire commandTarget commandWatch comment commitOverlay compile compileFinal completedFSM composeText configClasses configFile configHierarchy configName configProperties configSourceAddonList configSourceMod configSourceModList confirmSensorTarget connectTerminalToUAV controlsGroupCtrl copyFromClipboard copyToClipboard copyWaypoints cos count countEnemy countFriendly countSide countType countUnknown create3DENComposition create3DENEntity createAgent createCenter createDialog createDiaryLink createDiaryRecord createDiarySubject createDisplay createGearDialog createGroup createGuardedPoint createLocation createMarker createMarkerLocal createMenu createMine createMissionDisplay createMPCampaignDisplay createSimpleObject createSimpleTask createSite createSoundSource createTask createTeam createTrigger createUnit createVehicle createVehicleCrew createVehicleLocal crew ctAddHeader ctAddRow ctClear ctCurSel ctData ctFindHeaderRows ctFindRowHeader ctHeaderControls ctHeaderCount ctRemoveHeaders ctRemoveRows ctrlActivate ctrlAddEventHandler ctrlAngle ctrlAutoScrollDelay ctrlAutoScrollRewind ctrlAutoScrollSpeed ctrlChecked ctrlClassName ctrlCommit ctrlCommitted ctrlCreate ctrlDelete ctrlEnable ctrlEnabled ctrlFade ctrlHTMLLoaded ctrlIDC ctrlIDD ctrlMapAnimAdd ctrlMapAnimClear ctrlMapAnimCommit ctrlMapAnimDone ctrlMapCursor ctrlMapMouseOver ctrlMapScale ctrlMapScreenToWorld ctrlMapWorldToScreen ctrlModel ctrlModelDirAndUp ctrlModelScale ctrlParent ctrlParentControlsGroup ctrlPosition ctrlRemoveAllEventHandlers ctrlRemoveEventHandler ctrlScale ctrlSetActiveColor ctrlSetAngle ctrlSetAutoScrollDelay ctrlSetAutoScrollRewind ctrlSetAutoScrollSpeed ctrlSetBackgroundColor ctrlSetChecked ctrlSetEventHandler ctrlSetFade ctrlSetFocus ctrlSetFont ctrlSetFontH1 ctrlSetFontH1B ctrlSetFontH2 ctrlSetFontH2B ctrlSetFontH3 ctrlSetFontH3B ctrlSetFontH4 ctrlSetFontH4B ctrlSetFontH5 ctrlSetFontH5B ctrlSetFontH6 ctrlSetFontH6B ctrlSetFontHeight ctrlSetFontHeightH1 ctrlSetFontHeightH2 ctrlSetFontHeightH3 ctrlSetFontHeightH4 ctrlSetFontHeightH5 ctrlSetFontHeightH6 ctrlSetFontHeightSecondary ctrlSetFontP ctrlSetFontPB ctrlSetFontSecondary ctrlSetForegroundColor ctrlSetModel ctrlSetModelDirAndUp ctrlSetModelScale ctrlSetPixelPrecision ctrlSetPosition ctrlSetScale ctrlSetStructuredText ctrlSetText ctrlSetTextColor ctrlSetTooltip ctrlSetTooltipColorBox ctrlSetTooltipColorShade ctrlSetTooltipColorText ctrlShow ctrlShown ctrlText ctrlTextHeight ctrlTextWidth ctrlType ctrlVisible ctRowControls ctRowCount ctSetCurSel ctSetData ctSetHeaderTemplate ctSetRowTemplate ctSetValue ctValue curatorAddons curatorCamera curatorCameraArea curatorCameraAreaCeiling curatorCoef curatorEditableObjects curatorEditingArea curatorEditingAreaType curatorMouseOver curatorPoints curatorRegisteredObjects curatorSelected curatorWaypointCost current3DENOperation currentChannel currentCommand currentMagazine currentMagazineDetail currentMagazineDetailTurret currentMagazineTurret currentMuzzle currentNamespace currentTask currentTasks currentThrowable currentVisionMode currentWaypoint currentWeapon currentWeaponMode currentWeaponTurret currentZeroing cursorObject cursorTarget customChat customRadio cutFadeOut cutObj cutRsc cutText damage date dateToNumber daytime deActivateKey debriefingText debugFSM debugLog deg delete3DENEntities deleteAt deleteCenter deleteCollection deleteEditorObject deleteGroup deleteGroupWhenEmpty deleteIdentity deleteLocation deleteMarker deleteMarkerLocal deleteRange deleteResources deleteSite deleteStatus deleteTeam deleteVehicle deleteVehicleCrew deleteWaypoint detach detectedMines diag_activeMissionFSMs diag_activeScripts diag_activeSQFScripts diag_activeSQSScripts diag_captureFrame diag_captureFrameToFile diag_captureSlowFrame diag_codePerformance diag_drawMode diag_enable diag_enabled diag_fps diag_fpsMin diag_frameNo diag_lightNewLoad diag_list diag_log diag_logSlowFrame diag_mergeConfigFile diag_recordTurretLimits diag_setLightNew diag_tickTime diag_toggle dialog diarySubjectExists didJIP didJIPOwner difficulty difficultyEnabled difficultyEnabledRTD difficultyOption direction directSay disableAI disableCollisionWith disableConversation disableDebriefingStats disableMapIndicators disableNVGEquipment disableRemoteSensors disableSerialization disableTIEquipment disableUAVConnectability disableUserInput displayAddEventHandler displayCtrl displayParent displayRemoveAllEventHandlers displayRemoveEventHandler displaySetEventHandler dissolveTeam distance distance2D distanceSqr distributionRegion do3DENAction doArtilleryFire doFire doFollow doFSM doGetOut doMove doorPhase doStop doSuppressiveFire doTarget doWatch drawArrow drawEllipse drawIcon drawIcon3D drawLine drawLine3D drawLink drawLocation drawPolygon drawRectangle drawTriangle driver drop dynamicSimulationDistance dynamicSimulationDistanceCoef dynamicSimulationEnabled dynamicSimulationSystemEnabled echo edit3DENMissionAttributes editObject editorSetEventHandler effectiveCommander emptyPositions enableAI enableAIFeature enableAimPrecision enableAttack enableAudioFeature enableAutoStartUpRTD enableAutoTrimRTD enableCamShake enableCaustics enableChannel enableCollisionWith enableCopilot enableDebriefingStats enableDiagLegend enableDynamicSimulation enableDynamicSimulationSystem enableEndDialog enableEngineArtillery enableEnvironment enableFatigue enableGunLights enableInfoPanelComponent enableIRLasers enableMimics enablePersonTurret enableRadio enableReload enableRopeAttach enableSatNormalOnDetail enableSaving enableSentences enableSimulation enableSimulationGlobal enableStamina enableTeamSwitch enableTraffic enableUAVConnectability enableUAVWaypoints enableVehicleCargo enableVehicleSensor enableWeaponDisassembly endLoadingScreen endMission engineOn enginesIsOnRTD enginesRpmRTD enginesTorqueRTD entities environmentEnabled estimatedEndServerTime estimatedTimeLeft evalObjectArgument everyBackpack everyContainer exec execEditorScript execFSM execVM exp expectedDestination exportJIPMessages eyeDirection eyePos face faction fadeMusic fadeRadio fadeSound fadeSpeech failMission fillWeaponsFromPool find findCover findDisplay findEditorObject findEmptyPosition findEmptyPositionReady findIf findNearestEnemy finishMissionInit finite fire fireAtTarget firstBackpack flag flagAnimationPhase flagOwner flagSide flagTexture fleeing floor flyInHeight flyInHeightASL fog fogForecast fogParams forceAddUniform forcedMap forceEnd forceFlagTexture forceFollowRoad forceMap forceRespawn forceSpeed forceWalk forceWeaponFire forceWeatherChange forEachMember forEachMemberAgent forEachMemberTeam forgetTarget format formation formationDirection formationLeader formationMembers formationPosition formationTask formatText formLeader freeLook fromEditor fuel fullCrew gearIDCAmmoCount gearSlotAmmoCount gearSlotData get3DENActionState get3DENAttribute get3DENCamera get3DENConnections get3DENEntity get3DENEntityID get3DENGrid get3DENIconsVisible get3DENLayerEntities get3DENLinesVisible get3DENMissionAttribute get3DENMouseOver get3DENSelected getAimingCoef getAllEnvSoundControllers getAllHitPointsDamage getAllOwnedMines getAllSoundControllers getAmmoCargo getAnimAimPrecision getAnimSpeedCoef getArray getArtilleryAmmo getArtilleryComputerSettings getArtilleryETA getAssignedCuratorLogic getAssignedCuratorUnit getBackpackCargo getBleedingRemaining getBurningValue getCameraViewDirection getCargoIndex getCenterOfMass getClientState getClientStateNumber getCompatiblePylonMagazines getConnectedUAV getContainerMaxLoad getCursorObjectParams getCustomAimCoef getDammage getDescription getDir getDirVisual getDLCAssetsUsage getDLCAssetsUsageByName getDLCs getEditorCamera getEditorMode getEditorObjectScope getElevationOffset getEnvSoundController getFatigue getForcedFlagTexture getFriend getFSMVariable getFuelCargo getGroupIcon getGroupIconParams getGroupIcons getHideFrom getHit getHitIndex getHitPointDamage getItemCargo getMagazineCargo getMarkerColor getMarkerPos getMarkerSize getMarkerType getMass getMissionConfig getMissionConfigValue getMissionDLCs getMissionLayerEntities getModelInfo getMousePosition getMusicPlayedTime getNumber getObjectArgument getObjectChildren getObjectDLC getObjectMaterials getObjectProxy getObjectTextures getObjectType getObjectViewDistance getOxygenRemaining getPersonUsedDLCs getPilotCameraDirection getPilotCameraPosition getPilotCameraRotation getPilotCameraTarget getPlateNumber getPlayerChannel getPlayerScores getPlayerUID getPos getPosASL getPosASLVisual getPosASLW getPosATL getPosATLVisual getPosVisual getPosWorld getPylonMagazines getRelDir getRelPos getRemoteSensorsDisabled getRepairCargo getResolution getShadowDistance getShotParents getSlingLoad getSoundController getSoundControllerResult getSpeed getStamina getStatValue getSuppression getTerrainGrid getTerrainHeightASL getText getTotalDLCUsageTime getUnitLoadout getUnitTrait getUserMFDText getUserMFDvalue getVariable getVehicleCargo getWeaponCargo getWeaponSway getWingsOrientationRTD getWingsPositionRTD getWPPos glanceAt globalChat globalRadio goggles goto group groupChat groupFromNetId groupIconSelectable groupIconsVisible groupId groupOwner groupRadio groupSelectedUnits groupSelectUnit gunner gusts halt handgunItems handgunMagazine handgunWeapon handsHit hasInterface hasPilotCamera hasWeapon hcAllGroups hcGroupParams hcLeader hcRemoveAllGroups hcRemoveGroup hcSelected hcSelectGroup hcSetGroup hcShowBar hcShownBar headgear hideBody hideObject hideObjectGlobal hideSelection hint hintC hintCadet hintSilent hmd hostMission htmlLoad HUDMovementLevels humidity image importAllGroups importance in inArea inAreaArray incapacitatedState inflame inflamed infoPanel infoPanelComponentEnabled infoPanelComponents infoPanels inGameUISetEventHandler inheritsFrom initAmbientLife inPolygon inputAction inRangeOfArtillery insertEditorObject intersect is3DEN is3DENMultiplayer isAbleToBreathe isAgent isArray isAutoHoverOn isAutonomous isAutotest isBleeding isBurning isClass isCollisionLightOn isCopilotEnabled isDamageAllowed isDedicated isDLCAvailable isEngineOn isEqualTo isEqualType isEqualTypeAll isEqualTypeAny isEqualTypeArray isEqualTypeParams isFilePatchingEnabled isFlashlightOn isFlatEmpty isForcedWalk isFormationLeader isGroupDeletedWhenEmpty isHidden isInRemainsCollector isInstructorFigureEnabled isIRLaserOn isKeyActive isKindOf isLaserOn isLightOn isLocalized isManualFire isMarkedForCollection isMultiplayer isMultiplayerSolo isNil isNull isNumber isObjectHidden isObjectRTD isOnRoad isPipEnabled isPlayer isRealTime isRemoteExecuted isRemoteExecutedJIP isServer isShowing3DIcons isSimpleObject isSprintAllowed isStaminaEnabled isSteamMission isStreamFriendlyUIEnabled isText isTouchingGround isTurnedOut isTutHintsEnabled isUAVConnectable isUAVConnected isUIContext isUniformAllowed isVehicleCargo isVehicleRadarOn isVehicleSensorEnabled isWalking isWeaponDeployed isWeaponRested itemCargo items itemsWithMagazines join joinAs joinAsSilent joinSilent joinString kbAddDatabase kbAddDatabaseTargets kbAddTopic kbHasTopic kbReact kbRemoveTopic kbTell kbWasSaid keyImage keyName knowsAbout land landAt landResult language laserTarget lbAdd lbClear lbColor lbColorRight lbCurSel lbData lbDelete lbIsSelected lbPicture lbPictureRight lbSelection lbSetColor lbSetColorRight lbSetCurSel lbSetData lbSetPicture lbSetPictureColor lbSetPictureColorDisabled lbSetPictureColorSelected lbSetPictureRight lbSetPictureRightColor lbSetPictureRightColorDisabled lbSetPictureRightColorSelected lbSetSelectColor lbSetSelectColorRight lbSetSelected lbSetText lbSetTextRight lbSetTooltip lbSetValue lbSize lbSort lbSortByValue lbText lbTextRight lbValue leader leaderboardDeInit leaderboardGetRows leaderboardInit leaderboardRequestRowsFriends leaderboardsRequestUploadScore leaderboardsRequestUploadScoreKeepBest leaderboardState leaveVehicle libraryCredits libraryDisclaimers lifeState lightAttachObject lightDetachObject lightIsOn lightnings limitSpeed linearConversion lineIntersects lineIntersectsObjs lineIntersectsSurfaces lineIntersectsWith linkItem list listObjects listRemoteTargets listVehicleSensors ln lnbAddArray lnbAddColumn lnbAddRow lnbClear lnbColor lnbCurSelRow lnbData lnbDeleteColumn lnbDeleteRow lnbGetColumnsPosition lnbPicture lnbSetColor lnbSetColumnsPos lnbSetCurSelRow lnbSetData lnbSetPicture lnbSetText lnbSetValue lnbSize lnbSort lnbSortByValue lnbText lnbValue load loadAbs loadBackpack loadFile loadGame loadIdentity loadMagazine loadOverlay loadStatus loadUniform loadVest local localize locationPosition lock lockCameraTo lockCargo lockDriver locked lockedCargo lockedDriver lockedTurret lockIdentity lockTurret lockWP log logEntities logNetwork logNetworkTerminate lookAt lookAtPos magazineCargo magazines magazinesAllTurrets magazinesAmmo magazinesAmmoCargo magazinesAmmoFull magazinesDetail magazinesDetailBackpack magazinesDetailUniform magazinesDetailVest magazinesTurret magazineTurretAmmo mapAnimAdd mapAnimClear mapAnimCommit mapAnimDone mapCenterOnCamera mapGridPosition markAsFinishedOnSteam markerAlpha markerBrush markerColor markerDir markerPos markerShape markerSize markerText markerType max members menuAction menuAdd menuChecked menuClear menuCollapse menuData menuDelete menuEnable menuEnabled menuExpand menuHover menuPicture menuSetAction menuSetCheck menuSetData menuSetPicture menuSetValue menuShortcut menuShortcutText menuSize menuSort menuText menuURL menuValue min mineActive mineDetectedBy missionConfigFile missionDifficulty missionName missionNamespace missionStart missionVersion mod modelToWorld modelToWorldVisual modelToWorldVisualWorld modelToWorldWorld modParams moonIntensity moonPhase morale move move3DENCamera moveInAny moveInCargo moveInCommander moveInDriver moveInGunner moveInTurret moveObjectToEnd moveOut moveTime moveTo moveToCompleted moveToFailed musicVolume name nameSound nearEntities nearestBuilding nearestLocation nearestLocations nearestLocationWithDubbing nearestObject nearestObjects nearestTerrainObjects nearObjects nearObjectsReady nearRoads nearSupplies nearTargets needReload netId netObjNull newOverlay nextMenuItemIndex nextWeatherChange nMenuItems not numberOfEnginesRTD numberToDate objectCurators objectFromNetId objectParent objStatus onBriefingGroup onBriefingNotes onBriefingPlan onBriefingTeamSwitch onCommandModeChanged onDoubleClick onEachFrame onGroupIconClick onGroupIconOverEnter onGroupIconOverLeave onHCGroupSelectionChanged onMapSingleClick onPlayerConnected onPlayerDisconnected onPreloadFinished onPreloadStarted onShowNewObject onTeamSwitch openCuratorInterface openDLCPage openMap openSteamApp openYoutubeVideo or orderGetIn overcast overcastForecast owner param params parseNumber parseSimpleArray parseText parsingNamespace particlesQuality pickWeaponPool pitch pixelGrid pixelGridBase pixelGridNoUIScale pixelH pixelW playableSlotsNumber playableUnits playAction playActionNow player playerRespawnTime playerSide playersNumber playGesture playMission playMove playMoveNow playMusic playScriptedMission playSound playSound3D position positionCameraToWorld posScreenToWorld posWorldToScreen ppEffectAdjust ppEffectCommit ppEffectCommitted ppEffectCreate ppEffectDestroy ppEffectEnable ppEffectEnabled ppEffectForceInNVG precision preloadCamera preloadObject preloadSound preloadTitleObj preloadTitleRsc preprocessFile preprocessFileLineNumbers primaryWeapon primaryWeaponItems primaryWeaponMagazine priority processDiaryLink productVersion profileName profileNamespace profileNameSteam progressLoadingScreen progressPosition progressSetPosition publicVariable publicVariableClient publicVariableServer pushBack pushBackUnique putWeaponPool queryItemsPool queryMagazinePool queryWeaponPool rad radioChannelAdd radioChannelCreate radioChannelRemove radioChannelSetCallSign radioChannelSetLabel radioVolume rain rainbow random rank rankId rating rectangular registeredTasks registerTask reload reloadEnabled remoteControl remoteExec remoteExecCall remoteExecutedOwner remove3DENConnection remove3DENEventHandler remove3DENLayer removeAction removeAll3DENEventHandlers removeAllActions removeAllAssignedItems removeAllContainers removeAllCuratorAddons removeAllCuratorCameraAreas removeAllCuratorEditingAreas removeAllEventHandlers removeAllHandgunItems removeAllItems removeAllItemsWithMagazines removeAllMissionEventHandlers removeAllMPEventHandlers removeAllMusicEventHandlers removeAllOwnedMines removeAllPrimaryWeaponItems removeAllWeapons removeBackpack removeBackpackGlobal removeCuratorAddons removeCuratorCameraArea removeCuratorEditableObjects removeCuratorEditingArea removeDrawIcon removeDrawLinks removeEventHandler removeFromRemainsCollector removeGoggles removeGroupIcon removeHandgunItem removeHeadgear removeItem removeItemFromBackpack removeItemFromUniform removeItemFromVest removeItems removeMagazine removeMagazineGlobal removeMagazines removeMagazinesTurret removeMagazineTurret removeMenuItem removeMissionEventHandler removeMPEventHandler removeMusicEventHandler removeOwnedMine removePrimaryWeaponItem removeSecondaryWeaponItem removeSimpleTask removeSwitchableUnit removeTeamMember removeUniform removeVest removeWeapon removeWeaponAttachmentCargo removeWeaponCargo removeWeaponGlobal removeWeaponTurret reportRemoteTarget requiredVersion resetCamShake resetSubgroupDirection resize resources respawnVehicle restartEditorCamera reveal revealMine reverse reversedMouseY roadAt roadsConnectedTo roleDescription ropeAttachedObjects ropeAttachedTo ropeAttachEnabled ropeAttachTo ropeCreate ropeCut ropeDestroy ropeDetach ropeEndPosition ropeLength ropes ropeUnwind ropeUnwound rotorsForcesRTD rotorsRpmRTD round runInitScript safeZoneH safeZoneW safeZoneWAbs safeZoneX safeZoneXAbs safeZoneY save3DENInventory saveGame saveIdentity saveJoysticks saveOverlay saveProfileNamespace saveStatus saveVar savingEnabled say say2D say3D scopeName score scoreSide screenshot screenToWorld scriptDone scriptName scudState secondaryWeapon secondaryWeaponItems secondaryWeaponMagazine select selectBestPlaces selectDiarySubject selectedEditorObjects selectEditorObject selectionNames selectionPosition selectLeader selectMax selectMin selectNoPlayer selectPlayer selectRandom selectRandomWeighted selectWeapon selectWeaponTurret sendAUMessage sendSimpleCommand sendTask sendTaskResult sendUDPMessage serverCommand serverCommandAvailable serverCommandExecutable serverName serverTime set set3DENAttribute set3DENAttributes set3DENGrid set3DENIconsVisible set3DENLayer set3DENLinesVisible set3DENLogicType set3DENMissionAttribute set3DENMissionAttributes set3DENModelsVisible set3DENObjectType set3DENSelected setAccTime setActualCollectiveRTD setAirplaneThrottle setAirportSide setAmmo setAmmoCargo setAmmoOnPylon setAnimSpeedCoef setAperture setApertureNew setArmoryPoints setAttributes setAutonomous setBehaviour setBleedingRemaining setBrakesRTD setCameraInterest setCamShakeDefParams setCamShakeParams setCamUseTI setCaptive setCenterOfMass setCollisionLight setCombatMode setCompassOscillation setConvoySeparation setCuratorCameraAreaCeiling setCuratorCoef setCuratorEditingAreaType setCuratorWaypointCost setCurrentChannel setCurrentTask setCurrentWaypoint setCustomAimCoef setCustomWeightRTD setDamage setDammage setDate setDebriefingText setDefaultCamera setDestination setDetailMapBlendPars setDir setDirection setDrawIcon setDriveOnPath setDropInterval setDynamicSimulationDistance setDynamicSimulationDistanceCoef setEditorMode setEditorObjectScope setEffectCondition setEngineRPMRTD setFace setFaceAnimation setFatigue setFeatureType setFlagAnimationPhase setFlagOwner setFlagSide setFlagTexture setFog setFormation setFormationTask setFormDir setFriend setFromEditor setFSMVariable setFuel setFuelCargo setGroupIcon setGroupIconParams setGroupIconsSelectable setGroupIconsVisible setGroupId setGroupIdGlobal setGroupOwner setGusts setHideBehind setHit setHitIndex setHitPointDamage setHorizonParallaxCoef setHUDMovementLevels setIdentity setImportance setInfoPanel setLeader setLightAmbient setLightAttenuation setLightBrightness setLightColor setLightDayLight setLightFlareMaxDistance setLightFlareSize setLightIntensity setLightnings setLightUseFlare setLocalWindParams setMagazineTurretAmmo setMarkerAlpha setMarkerAlphaLocal setMarkerBrush setMarkerBrushLocal setMarkerColor setMarkerColorLocal setMarkerDir setMarkerDirLocal setMarkerPos setMarkerPosLocal setMarkerShape setMarkerShapeLocal setMarkerSize setMarkerSizeLocal setMarkerText setMarkerTextLocal setMarkerType setMarkerTypeLocal setMass setMimic setMousePosition setMusicEffect setMusicEventHandler setName setNameSound setObjectArguments setObjectMaterial setObjectMaterialGlobal setObjectProxy setObjectTexture setObjectTextureGlobal setObjectViewDistance setOvercast setOwner setOxygenRemaining setParticleCircle setParticleClass setParticleFire setParticleParams setParticleRandom setPilotCameraDirection setPilotCameraRotation setPilotCameraTarget setPilotLight setPiPEffect setPitch setPlateNumber setPlayable setPlayerRespawnTime setPos setPosASL setPosASL2 setPosASLW setPosATL setPosition setPosWorld setPylonLoadOut setPylonsPriority setRadioMsg setRain setRainbow setRandomLip setRank setRectangular setRepairCargo setRotorBrakeRTD setShadowDistance setShotParents setSide setSimpleTaskAlwaysVisible setSimpleTaskCustomData setSimpleTaskDescription setSimpleTaskDestination setSimpleTaskTarget setSimpleTaskType setSimulWeatherLayers setSize setSkill setSlingLoad setSoundEffect setSpeaker setSpeech setSpeedMode setStamina setStaminaScheme setStatValue setSuppression setSystemOfUnits setTargetAge setTaskMarkerOffset setTaskResult setTaskState setTerrainGrid setText setTimeMultiplier setTitleEffect setTrafficDensity setTrafficDistance setTrafficGap setTrafficSpeed setTriggerActivation setTriggerArea setTriggerStatements setTriggerText setTriggerTimeout setTriggerType setType setUnconscious setUnitAbility setUnitLoadout setUnitPos setUnitPosWeak setUnitRank setUnitRecoilCoefficient setUnitTrait setUnloadInCombat setUserActionText setUserMFDText setUserMFDvalue setVariable setVectorDir setVectorDirAndUp setVectorUp setVehicleAmmo setVehicleAmmoDef setVehicleArmor setVehicleCargo setVehicleId setVehicleLock setVehiclePosition setVehicleRadar setVehicleReceiveRemoteTargets setVehicleReportOwnPosition setVehicleReportRemoteTargets setVehicleTIPars setVehicleVarName setVelocity setVelocityModelSpace setVelocityTransformation setViewDistance setVisibleIfTreeCollapsed setWantedRPMRTD setWaves setWaypointBehaviour setWaypointCombatMode setWaypointCompletionRadius setWaypointDescription setWaypointForceBehaviour setWaypointFormation setWaypointHousePosition setWaypointLoiterRadius setWaypointLoiterType setWaypointName setWaypointPosition setWaypointScript setWaypointSpeed setWaypointStatements setWaypointTimeout setWaypointType setWaypointVisible setWeaponReloadingTime setWind setWindDir setWindForce setWindStr setWingForceScaleRTD setWPPos show3DIcons showChat showCinemaBorder showCommandingMenu showCompass showCuratorCompass showGPS showHUD showLegend showMap shownArtilleryComputer shownChat shownCompass shownCuratorCompass showNewEditorObject shownGPS shownHUD shownMap shownPad shownRadio shownScoretable shownUAVFeed shownWarrant shownWatch showPad showRadio showScoretable showSubtitles showUAVFeed showWarrant showWatch showWaypoint showWaypoints side sideChat sideEnemy sideFriendly sideRadio simpleTasks simulationEnabled simulCloudDensity simulCloudOcclusion simulInClouds simulWeatherSync sin size sizeOf skill skillFinal skipTime sleep sliderPosition sliderRange sliderSetPosition sliderSetRange sliderSetSpeed sliderSpeed slingLoadAssistantShown soldierMagazines someAmmo sort soundVolume spawn speaker speed speedMode splitString sqrt squadParams stance startLoadingScreen step stop stopEngineRTD stopped str sunOrMoon supportInfo suppressFor surfaceIsWater surfaceNormal surfaceType swimInDepth switchableUnits switchAction switchCamera switchGesture switchLight switchMove synchronizedObjects synchronizedTriggers synchronizedWaypoints synchronizeObjectsAdd synchronizeObjectsRemove synchronizeTrigger synchronizeWaypoint systemChat systemOfUnits tan targetKnowledge targets targetsAggregate targetsQuery taskAlwaysVisible taskChildren taskCompleted taskCustomData taskDescription taskDestination taskHint taskMarkerOffset taskParent taskResult taskState taskType teamMember teamName teams teamSwitch teamSwitchEnabled teamType terminate terrainIntersect terrainIntersectASL terrainIntersectAtASL text textLog textLogFormat tg time timeMultiplier titleCut titleFadeOut titleObj titleRsc titleText toArray toFixed toLower toString toUpper triggerActivated triggerActivation triggerArea triggerAttachedVehicle triggerAttachObject triggerAttachVehicle triggerDynamicSimulation triggerStatements triggerText triggerTimeout triggerTimeoutCurrent triggerType turretLocal turretOwner turretUnit tvAdd tvClear tvCollapse tvCollapseAll tvCount tvCurSel tvData tvDelete tvExpand tvExpandAll tvPicture tvSetColor tvSetCurSel tvSetData tvSetPicture tvSetPictureColor tvSetPictureColorDisabled tvSetPictureColorSelected tvSetPictureRight tvSetPictureRightColor tvSetPictureRightColorDisabled tvSetPictureRightColorSelected tvSetText tvSetTooltip tvSetValue tvSort tvSortByValue tvText tvTooltip tvValue type typeName typeOf UAVControl uiNamespace uiSleep unassignCurator unassignItem unassignTeam unassignVehicle underwater uniform uniformContainer uniformItems uniformMagazines unitAddons unitAimPosition unitAimPositionVisual unitBackpack unitIsUAV unitPos unitReady unitRecoilCoefficient units unitsBelowHeight unlinkItem unlockAchievement unregisterTask updateDrawIcon updateMenuItem updateObjectTree useAISteeringComponent useAudioTimeForMoves userInputDisabled vectorAdd vectorCos vectorCrossProduct vectorDiff vectorDir vectorDirVisual vectorDistance vectorDistanceSqr vectorDotProduct vectorFromTo vectorMagnitude vectorMagnitudeSqr vectorModelToWorld vectorModelToWorldVisual vectorMultiply vectorNormalized vectorUp vectorUpVisual vectorWorldToModel vectorWorldToModelVisual vehicle vehicleCargoEnabled vehicleChat vehicleRadio vehicleReceiveRemoteTargets vehicleReportOwnPosition vehicleReportRemoteTargets vehicles vehicleVarName velocity velocityModelSpace verifySignature vest vestContainer vestItems vestMagazines viewDistance visibleCompass visibleGPS visibleMap visiblePosition visiblePositionASL visibleScoretable visibleWatch waves waypointAttachedObject waypointAttachedVehicle waypointAttachObject waypointAttachVehicle waypointBehaviour waypointCombatMode waypointCompletionRadius waypointDescription waypointForceBehaviour waypointFormation waypointHousePosition waypointLoiterRadius waypointLoiterType waypointName waypointPosition waypoints waypointScript waypointsEnabledUAV waypointShow waypointSpeed waypointStatements waypointTimeout waypointTimeoutCurrent waypointType waypointVisible weaponAccessories weaponAccessoriesCargo weaponCargo weaponDirection weaponInertia weaponLowered weapons weaponsItems weaponsItemsCargo weaponState weaponsTurret weightRTD WFSideText wind ",literal:"blufor civilian configNull controlNull displayNull east endl false grpNull independent lineBreak locationNull nil objNull opfor pi resistance scriptNull sideAmbientLife sideEmpty sideLogic sideUnknown taskNull teamMemberNull true west"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.NUMBER_MODE,{className:"variable",begin:/\b_+[a-zA-Z_]\w*/},{className:"title",begin:/[a-zA-Z][a-zA-Z0-9]+_fnc_\w*/},t,a],illegal:/#|^\$ /}}}}]);
-//# sourceMappingURL=sqf.js.map?v=f5d83762546e331af60e \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[158],{525:function(e,t){e.exports=function(e){var t={className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]},{begin:"'",end:"'",contains:[{begin:"''",relevance:0}]}]},a={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{"meta-keyword":"define undef ifdef ifndef else endif include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(t,{className:"meta-string"}),{className:"meta-string",begin:/<[^\n>]*>/,end:/$/,illegal:"\\n"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]};return{aliases:["sqf"],case_insensitive:!0,keywords:{keyword:"case catch default do else exit exitWith for forEach from if private switch then throw to try waitUntil while with",built_in:"abs accTime acos action actionIDs actionKeys actionKeysImages actionKeysNames actionKeysNamesArray actionName actionParams activateAddons activatedAddons activateKey add3DENConnection add3DENEventHandler add3DENLayer addAction addBackpack addBackpackCargo addBackpackCargoGlobal addBackpackGlobal addCamShake addCuratorAddons addCuratorCameraArea addCuratorEditableObjects addCuratorEditingArea addCuratorPoints addEditorObject addEventHandler addForce addGoggles addGroupIcon addHandgunItem addHeadgear addItem addItemCargo addItemCargoGlobal addItemPool addItemToBackpack addItemToUniform addItemToVest addLiveStats addMagazine addMagazineAmmoCargo addMagazineCargo addMagazineCargoGlobal addMagazineGlobal addMagazinePool addMagazines addMagazineTurret addMenu addMenuItem addMissionEventHandler addMPEventHandler addMusicEventHandler addOwnedMine addPlayerScores addPrimaryWeaponItem addPublicVariableEventHandler addRating addResources addScore addScoreSide addSecondaryWeaponItem addSwitchableUnit addTeamMember addToRemainsCollector addTorque addUniform addVehicle addVest addWaypoint addWeapon addWeaponCargo addWeaponCargoGlobal addWeaponGlobal addWeaponItem addWeaponPool addWeaponTurret admin agent agents AGLToASL aimedAtTarget aimPos airDensityRTD airplaneThrottle airportSide AISFinishHeal alive all3DENEntities allAirports allControls allCurators allCutLayers allDead allDeadMen allDisplays allGroups allMapMarkers allMines allMissionObjects allow3DMode allowCrewInImmobile allowCuratorLogicIgnoreAreas allowDamage allowDammage allowFileOperations allowFleeing allowGetIn allowSprint allPlayers allSimpleObjects allSites allTurrets allUnits allUnitsUAV allVariables ammo ammoOnPylon and animate animateBay animateDoor animatePylon animateSource animationNames animationPhase animationSourcePhase animationState append apply armoryPoints arrayIntersect asin ASLToAGL ASLToATL assert assignAsCargo assignAsCargoIndex assignAsCommander assignAsDriver assignAsGunner assignAsTurret assignCurator assignedCargo assignedCommander assignedDriver assignedGunner assignedItems assignedTarget assignedTeam assignedVehicle assignedVehicleRole assignItem assignTeam assignToAirport atan atan2 atg ATLToASL attachedObject attachedObjects attachedTo attachObject attachTo attackEnabled backpack backpackCargo backpackContainer backpackItems backpackMagazines backpackSpaceFor behaviour benchmark binocular boundingBox boundingBoxReal boundingCenter breakOut breakTo briefingName buildingExit buildingPos buttonAction buttonSetAction cadetMode call callExtension camCommand camCommit camCommitPrepared camCommitted camConstuctionSetParams camCreate camDestroy cameraEffect cameraEffectEnableHUD cameraInterest cameraOn cameraView campaignConfigFile camPreload camPreloaded camPrepareBank camPrepareDir camPrepareDive camPrepareFocus camPrepareFov camPrepareFovRange camPreparePos camPrepareRelPos camPrepareTarget camSetBank camSetDir camSetDive camSetFocus camSetFov camSetFovRange camSetPos camSetRelPos camSetTarget camTarget camUseNVG canAdd canAddItemToBackpack canAddItemToUniform canAddItemToVest cancelSimpleTaskDestination canFire canMove canSlingLoad canStand canSuspend canTriggerDynamicSimulation canUnloadInCombat canVehicleCargo captive captiveNum cbChecked cbSetChecked ceil channelEnabled cheatsEnabled checkAIFeature checkVisibility className clearAllItemsFromBackpack clearBackpackCargo clearBackpackCargoGlobal clearGroupIcons clearItemCargo clearItemCargoGlobal clearItemPool clearMagazineCargo clearMagazineCargoGlobal clearMagazinePool clearOverlay clearRadio clearWeaponCargo clearWeaponCargoGlobal clearWeaponPool clientOwner closeDialog closeDisplay closeOverlay collapseObjectTree collect3DENHistory collectiveRTD combatMode commandArtilleryFire commandChat commander commandFire commandFollow commandFSM commandGetOut commandingMenu commandMove commandRadio commandStop commandSuppressiveFire commandTarget commandWatch comment commitOverlay compile compileFinal completedFSM composeText configClasses configFile configHierarchy configName configProperties configSourceAddonList configSourceMod configSourceModList confirmSensorTarget connectTerminalToUAV controlsGroupCtrl copyFromClipboard copyToClipboard copyWaypoints cos count countEnemy countFriendly countSide countType countUnknown create3DENComposition create3DENEntity createAgent createCenter createDialog createDiaryLink createDiaryRecord createDiarySubject createDisplay createGearDialog createGroup createGuardedPoint createLocation createMarker createMarkerLocal createMenu createMine createMissionDisplay createMPCampaignDisplay createSimpleObject createSimpleTask createSite createSoundSource createTask createTeam createTrigger createUnit createVehicle createVehicleCrew createVehicleLocal crew ctAddHeader ctAddRow ctClear ctCurSel ctData ctFindHeaderRows ctFindRowHeader ctHeaderControls ctHeaderCount ctRemoveHeaders ctRemoveRows ctrlActivate ctrlAddEventHandler ctrlAngle ctrlAutoScrollDelay ctrlAutoScrollRewind ctrlAutoScrollSpeed ctrlChecked ctrlClassName ctrlCommit ctrlCommitted ctrlCreate ctrlDelete ctrlEnable ctrlEnabled ctrlFade ctrlHTMLLoaded ctrlIDC ctrlIDD ctrlMapAnimAdd ctrlMapAnimClear ctrlMapAnimCommit ctrlMapAnimDone ctrlMapCursor ctrlMapMouseOver ctrlMapScale ctrlMapScreenToWorld ctrlMapWorldToScreen ctrlModel ctrlModelDirAndUp ctrlModelScale ctrlParent ctrlParentControlsGroup ctrlPosition ctrlRemoveAllEventHandlers ctrlRemoveEventHandler ctrlScale ctrlSetActiveColor ctrlSetAngle ctrlSetAutoScrollDelay ctrlSetAutoScrollRewind ctrlSetAutoScrollSpeed ctrlSetBackgroundColor ctrlSetChecked ctrlSetEventHandler ctrlSetFade ctrlSetFocus ctrlSetFont ctrlSetFontH1 ctrlSetFontH1B ctrlSetFontH2 ctrlSetFontH2B ctrlSetFontH3 ctrlSetFontH3B ctrlSetFontH4 ctrlSetFontH4B ctrlSetFontH5 ctrlSetFontH5B ctrlSetFontH6 ctrlSetFontH6B ctrlSetFontHeight ctrlSetFontHeightH1 ctrlSetFontHeightH2 ctrlSetFontHeightH3 ctrlSetFontHeightH4 ctrlSetFontHeightH5 ctrlSetFontHeightH6 ctrlSetFontHeightSecondary ctrlSetFontP ctrlSetFontPB ctrlSetFontSecondary ctrlSetForegroundColor ctrlSetModel ctrlSetModelDirAndUp ctrlSetModelScale ctrlSetPixelPrecision ctrlSetPosition ctrlSetScale ctrlSetStructuredText ctrlSetText ctrlSetTextColor ctrlSetTooltip ctrlSetTooltipColorBox ctrlSetTooltipColorShade ctrlSetTooltipColorText ctrlShow ctrlShown ctrlText ctrlTextHeight ctrlTextWidth ctrlType ctrlVisible ctRowControls ctRowCount ctSetCurSel ctSetData ctSetHeaderTemplate ctSetRowTemplate ctSetValue ctValue curatorAddons curatorCamera curatorCameraArea curatorCameraAreaCeiling curatorCoef curatorEditableObjects curatorEditingArea curatorEditingAreaType curatorMouseOver curatorPoints curatorRegisteredObjects curatorSelected curatorWaypointCost current3DENOperation currentChannel currentCommand currentMagazine currentMagazineDetail currentMagazineDetailTurret currentMagazineTurret currentMuzzle currentNamespace currentTask currentTasks currentThrowable currentVisionMode currentWaypoint currentWeapon currentWeaponMode currentWeaponTurret currentZeroing cursorObject cursorTarget customChat customRadio cutFadeOut cutObj cutRsc cutText damage date dateToNumber daytime deActivateKey debriefingText debugFSM debugLog deg delete3DENEntities deleteAt deleteCenter deleteCollection deleteEditorObject deleteGroup deleteGroupWhenEmpty deleteIdentity deleteLocation deleteMarker deleteMarkerLocal deleteRange deleteResources deleteSite deleteStatus deleteTeam deleteVehicle deleteVehicleCrew deleteWaypoint detach detectedMines diag_activeMissionFSMs diag_activeScripts diag_activeSQFScripts diag_activeSQSScripts diag_captureFrame diag_captureFrameToFile diag_captureSlowFrame diag_codePerformance diag_drawMode diag_enable diag_enabled diag_fps diag_fpsMin diag_frameNo diag_lightNewLoad diag_list diag_log diag_logSlowFrame diag_mergeConfigFile diag_recordTurretLimits diag_setLightNew diag_tickTime diag_toggle dialog diarySubjectExists didJIP didJIPOwner difficulty difficultyEnabled difficultyEnabledRTD difficultyOption direction directSay disableAI disableCollisionWith disableConversation disableDebriefingStats disableMapIndicators disableNVGEquipment disableRemoteSensors disableSerialization disableTIEquipment disableUAVConnectability disableUserInput displayAddEventHandler displayCtrl displayParent displayRemoveAllEventHandlers displayRemoveEventHandler displaySetEventHandler dissolveTeam distance distance2D distanceSqr distributionRegion do3DENAction doArtilleryFire doFire doFollow doFSM doGetOut doMove doorPhase doStop doSuppressiveFire doTarget doWatch drawArrow drawEllipse drawIcon drawIcon3D drawLine drawLine3D drawLink drawLocation drawPolygon drawRectangle drawTriangle driver drop dynamicSimulationDistance dynamicSimulationDistanceCoef dynamicSimulationEnabled dynamicSimulationSystemEnabled echo edit3DENMissionAttributes editObject editorSetEventHandler effectiveCommander emptyPositions enableAI enableAIFeature enableAimPrecision enableAttack enableAudioFeature enableAutoStartUpRTD enableAutoTrimRTD enableCamShake enableCaustics enableChannel enableCollisionWith enableCopilot enableDebriefingStats enableDiagLegend enableDynamicSimulation enableDynamicSimulationSystem enableEndDialog enableEngineArtillery enableEnvironment enableFatigue enableGunLights enableInfoPanelComponent enableIRLasers enableMimics enablePersonTurret enableRadio enableReload enableRopeAttach enableSatNormalOnDetail enableSaving enableSentences enableSimulation enableSimulationGlobal enableStamina enableTeamSwitch enableTraffic enableUAVConnectability enableUAVWaypoints enableVehicleCargo enableVehicleSensor enableWeaponDisassembly endLoadingScreen endMission engineOn enginesIsOnRTD enginesRpmRTD enginesTorqueRTD entities environmentEnabled estimatedEndServerTime estimatedTimeLeft evalObjectArgument everyBackpack everyContainer exec execEditorScript execFSM execVM exp expectedDestination exportJIPMessages eyeDirection eyePos face faction fadeMusic fadeRadio fadeSound fadeSpeech failMission fillWeaponsFromPool find findCover findDisplay findEditorObject findEmptyPosition findEmptyPositionReady findIf findNearestEnemy finishMissionInit finite fire fireAtTarget firstBackpack flag flagAnimationPhase flagOwner flagSide flagTexture fleeing floor flyInHeight flyInHeightASL fog fogForecast fogParams forceAddUniform forcedMap forceEnd forceFlagTexture forceFollowRoad forceMap forceRespawn forceSpeed forceWalk forceWeaponFire forceWeatherChange forEachMember forEachMemberAgent forEachMemberTeam forgetTarget format formation formationDirection formationLeader formationMembers formationPosition formationTask formatText formLeader freeLook fromEditor fuel fullCrew gearIDCAmmoCount gearSlotAmmoCount gearSlotData get3DENActionState get3DENAttribute get3DENCamera get3DENConnections get3DENEntity get3DENEntityID get3DENGrid get3DENIconsVisible get3DENLayerEntities get3DENLinesVisible get3DENMissionAttribute get3DENMouseOver get3DENSelected getAimingCoef getAllEnvSoundControllers getAllHitPointsDamage getAllOwnedMines getAllSoundControllers getAmmoCargo getAnimAimPrecision getAnimSpeedCoef getArray getArtilleryAmmo getArtilleryComputerSettings getArtilleryETA getAssignedCuratorLogic getAssignedCuratorUnit getBackpackCargo getBleedingRemaining getBurningValue getCameraViewDirection getCargoIndex getCenterOfMass getClientState getClientStateNumber getCompatiblePylonMagazines getConnectedUAV getContainerMaxLoad getCursorObjectParams getCustomAimCoef getDammage getDescription getDir getDirVisual getDLCAssetsUsage getDLCAssetsUsageByName getDLCs getEditorCamera getEditorMode getEditorObjectScope getElevationOffset getEnvSoundController getFatigue getForcedFlagTexture getFriend getFSMVariable getFuelCargo getGroupIcon getGroupIconParams getGroupIcons getHideFrom getHit getHitIndex getHitPointDamage getItemCargo getMagazineCargo getMarkerColor getMarkerPos getMarkerSize getMarkerType getMass getMissionConfig getMissionConfigValue getMissionDLCs getMissionLayerEntities getModelInfo getMousePosition getMusicPlayedTime getNumber getObjectArgument getObjectChildren getObjectDLC getObjectMaterials getObjectProxy getObjectTextures getObjectType getObjectViewDistance getOxygenRemaining getPersonUsedDLCs getPilotCameraDirection getPilotCameraPosition getPilotCameraRotation getPilotCameraTarget getPlateNumber getPlayerChannel getPlayerScores getPlayerUID getPos getPosASL getPosASLVisual getPosASLW getPosATL getPosATLVisual getPosVisual getPosWorld getPylonMagazines getRelDir getRelPos getRemoteSensorsDisabled getRepairCargo getResolution getShadowDistance getShotParents getSlingLoad getSoundController getSoundControllerResult getSpeed getStamina getStatValue getSuppression getTerrainGrid getTerrainHeightASL getText getTotalDLCUsageTime getUnitLoadout getUnitTrait getUserMFDText getUserMFDvalue getVariable getVehicleCargo getWeaponCargo getWeaponSway getWingsOrientationRTD getWingsPositionRTD getWPPos glanceAt globalChat globalRadio goggles goto group groupChat groupFromNetId groupIconSelectable groupIconsVisible groupId groupOwner groupRadio groupSelectedUnits groupSelectUnit gunner gusts halt handgunItems handgunMagazine handgunWeapon handsHit hasInterface hasPilotCamera hasWeapon hcAllGroups hcGroupParams hcLeader hcRemoveAllGroups hcRemoveGroup hcSelected hcSelectGroup hcSetGroup hcShowBar hcShownBar headgear hideBody hideObject hideObjectGlobal hideSelection hint hintC hintCadet hintSilent hmd hostMission htmlLoad HUDMovementLevels humidity image importAllGroups importance in inArea inAreaArray incapacitatedState inflame inflamed infoPanel infoPanelComponentEnabled infoPanelComponents infoPanels inGameUISetEventHandler inheritsFrom initAmbientLife inPolygon inputAction inRangeOfArtillery insertEditorObject intersect is3DEN is3DENMultiplayer isAbleToBreathe isAgent isArray isAutoHoverOn isAutonomous isAutotest isBleeding isBurning isClass isCollisionLightOn isCopilotEnabled isDamageAllowed isDedicated isDLCAvailable isEngineOn isEqualTo isEqualType isEqualTypeAll isEqualTypeAny isEqualTypeArray isEqualTypeParams isFilePatchingEnabled isFlashlightOn isFlatEmpty isForcedWalk isFormationLeader isGroupDeletedWhenEmpty isHidden isInRemainsCollector isInstructorFigureEnabled isIRLaserOn isKeyActive isKindOf isLaserOn isLightOn isLocalized isManualFire isMarkedForCollection isMultiplayer isMultiplayerSolo isNil isNull isNumber isObjectHidden isObjectRTD isOnRoad isPipEnabled isPlayer isRealTime isRemoteExecuted isRemoteExecutedJIP isServer isShowing3DIcons isSimpleObject isSprintAllowed isStaminaEnabled isSteamMission isStreamFriendlyUIEnabled isText isTouchingGround isTurnedOut isTutHintsEnabled isUAVConnectable isUAVConnected isUIContext isUniformAllowed isVehicleCargo isVehicleRadarOn isVehicleSensorEnabled isWalking isWeaponDeployed isWeaponRested itemCargo items itemsWithMagazines join joinAs joinAsSilent joinSilent joinString kbAddDatabase kbAddDatabaseTargets kbAddTopic kbHasTopic kbReact kbRemoveTopic kbTell kbWasSaid keyImage keyName knowsAbout land landAt landResult language laserTarget lbAdd lbClear lbColor lbColorRight lbCurSel lbData lbDelete lbIsSelected lbPicture lbPictureRight lbSelection lbSetColor lbSetColorRight lbSetCurSel lbSetData lbSetPicture lbSetPictureColor lbSetPictureColorDisabled lbSetPictureColorSelected lbSetPictureRight lbSetPictureRightColor lbSetPictureRightColorDisabled lbSetPictureRightColorSelected lbSetSelectColor lbSetSelectColorRight lbSetSelected lbSetText lbSetTextRight lbSetTooltip lbSetValue lbSize lbSort lbSortByValue lbText lbTextRight lbValue leader leaderboardDeInit leaderboardGetRows leaderboardInit leaderboardRequestRowsFriends leaderboardsRequestUploadScore leaderboardsRequestUploadScoreKeepBest leaderboardState leaveVehicle libraryCredits libraryDisclaimers lifeState lightAttachObject lightDetachObject lightIsOn lightnings limitSpeed linearConversion lineIntersects lineIntersectsObjs lineIntersectsSurfaces lineIntersectsWith linkItem list listObjects listRemoteTargets listVehicleSensors ln lnbAddArray lnbAddColumn lnbAddRow lnbClear lnbColor lnbCurSelRow lnbData lnbDeleteColumn lnbDeleteRow lnbGetColumnsPosition lnbPicture lnbSetColor lnbSetColumnsPos lnbSetCurSelRow lnbSetData lnbSetPicture lnbSetText lnbSetValue lnbSize lnbSort lnbSortByValue lnbText lnbValue load loadAbs loadBackpack loadFile loadGame loadIdentity loadMagazine loadOverlay loadStatus loadUniform loadVest local localize locationPosition lock lockCameraTo lockCargo lockDriver locked lockedCargo lockedDriver lockedTurret lockIdentity lockTurret lockWP log logEntities logNetwork logNetworkTerminate lookAt lookAtPos magazineCargo magazines magazinesAllTurrets magazinesAmmo magazinesAmmoCargo magazinesAmmoFull magazinesDetail magazinesDetailBackpack magazinesDetailUniform magazinesDetailVest magazinesTurret magazineTurretAmmo mapAnimAdd mapAnimClear mapAnimCommit mapAnimDone mapCenterOnCamera mapGridPosition markAsFinishedOnSteam markerAlpha markerBrush markerColor markerDir markerPos markerShape markerSize markerText markerType max members menuAction menuAdd menuChecked menuClear menuCollapse menuData menuDelete menuEnable menuEnabled menuExpand menuHover menuPicture menuSetAction menuSetCheck menuSetData menuSetPicture menuSetValue menuShortcut menuShortcutText menuSize menuSort menuText menuURL menuValue min mineActive mineDetectedBy missionConfigFile missionDifficulty missionName missionNamespace missionStart missionVersion mod modelToWorld modelToWorldVisual modelToWorldVisualWorld modelToWorldWorld modParams moonIntensity moonPhase morale move move3DENCamera moveInAny moveInCargo moveInCommander moveInDriver moveInGunner moveInTurret moveObjectToEnd moveOut moveTime moveTo moveToCompleted moveToFailed musicVolume name nameSound nearEntities nearestBuilding nearestLocation nearestLocations nearestLocationWithDubbing nearestObject nearestObjects nearestTerrainObjects nearObjects nearObjectsReady nearRoads nearSupplies nearTargets needReload netId netObjNull newOverlay nextMenuItemIndex nextWeatherChange nMenuItems not numberOfEnginesRTD numberToDate objectCurators objectFromNetId objectParent objStatus onBriefingGroup onBriefingNotes onBriefingPlan onBriefingTeamSwitch onCommandModeChanged onDoubleClick onEachFrame onGroupIconClick onGroupIconOverEnter onGroupIconOverLeave onHCGroupSelectionChanged onMapSingleClick onPlayerConnected onPlayerDisconnected onPreloadFinished onPreloadStarted onShowNewObject onTeamSwitch openCuratorInterface openDLCPage openMap openSteamApp openYoutubeVideo or orderGetIn overcast overcastForecast owner param params parseNumber parseSimpleArray parseText parsingNamespace particlesQuality pickWeaponPool pitch pixelGrid pixelGridBase pixelGridNoUIScale pixelH pixelW playableSlotsNumber playableUnits playAction playActionNow player playerRespawnTime playerSide playersNumber playGesture playMission playMove playMoveNow playMusic playScriptedMission playSound playSound3D position positionCameraToWorld posScreenToWorld posWorldToScreen ppEffectAdjust ppEffectCommit ppEffectCommitted ppEffectCreate ppEffectDestroy ppEffectEnable ppEffectEnabled ppEffectForceInNVG precision preloadCamera preloadObject preloadSound preloadTitleObj preloadTitleRsc preprocessFile preprocessFileLineNumbers primaryWeapon primaryWeaponItems primaryWeaponMagazine priority processDiaryLink productVersion profileName profileNamespace profileNameSteam progressLoadingScreen progressPosition progressSetPosition publicVariable publicVariableClient publicVariableServer pushBack pushBackUnique putWeaponPool queryItemsPool queryMagazinePool queryWeaponPool rad radioChannelAdd radioChannelCreate radioChannelRemove radioChannelSetCallSign radioChannelSetLabel radioVolume rain rainbow random rank rankId rating rectangular registeredTasks registerTask reload reloadEnabled remoteControl remoteExec remoteExecCall remoteExecutedOwner remove3DENConnection remove3DENEventHandler remove3DENLayer removeAction removeAll3DENEventHandlers removeAllActions removeAllAssignedItems removeAllContainers removeAllCuratorAddons removeAllCuratorCameraAreas removeAllCuratorEditingAreas removeAllEventHandlers removeAllHandgunItems removeAllItems removeAllItemsWithMagazines removeAllMissionEventHandlers removeAllMPEventHandlers removeAllMusicEventHandlers removeAllOwnedMines removeAllPrimaryWeaponItems removeAllWeapons removeBackpack removeBackpackGlobal removeCuratorAddons removeCuratorCameraArea removeCuratorEditableObjects removeCuratorEditingArea removeDrawIcon removeDrawLinks removeEventHandler removeFromRemainsCollector removeGoggles removeGroupIcon removeHandgunItem removeHeadgear removeItem removeItemFromBackpack removeItemFromUniform removeItemFromVest removeItems removeMagazine removeMagazineGlobal removeMagazines removeMagazinesTurret removeMagazineTurret removeMenuItem removeMissionEventHandler removeMPEventHandler removeMusicEventHandler removeOwnedMine removePrimaryWeaponItem removeSecondaryWeaponItem removeSimpleTask removeSwitchableUnit removeTeamMember removeUniform removeVest removeWeapon removeWeaponAttachmentCargo removeWeaponCargo removeWeaponGlobal removeWeaponTurret reportRemoteTarget requiredVersion resetCamShake resetSubgroupDirection resize resources respawnVehicle restartEditorCamera reveal revealMine reverse reversedMouseY roadAt roadsConnectedTo roleDescription ropeAttachedObjects ropeAttachedTo ropeAttachEnabled ropeAttachTo ropeCreate ropeCut ropeDestroy ropeDetach ropeEndPosition ropeLength ropes ropeUnwind ropeUnwound rotorsForcesRTD rotorsRpmRTD round runInitScript safeZoneH safeZoneW safeZoneWAbs safeZoneX safeZoneXAbs safeZoneY save3DENInventory saveGame saveIdentity saveJoysticks saveOverlay saveProfileNamespace saveStatus saveVar savingEnabled say say2D say3D scopeName score scoreSide screenshot screenToWorld scriptDone scriptName scudState secondaryWeapon secondaryWeaponItems secondaryWeaponMagazine select selectBestPlaces selectDiarySubject selectedEditorObjects selectEditorObject selectionNames selectionPosition selectLeader selectMax selectMin selectNoPlayer selectPlayer selectRandom selectRandomWeighted selectWeapon selectWeaponTurret sendAUMessage sendSimpleCommand sendTask sendTaskResult sendUDPMessage serverCommand serverCommandAvailable serverCommandExecutable serverName serverTime set set3DENAttribute set3DENAttributes set3DENGrid set3DENIconsVisible set3DENLayer set3DENLinesVisible set3DENLogicType set3DENMissionAttribute set3DENMissionAttributes set3DENModelsVisible set3DENObjectType set3DENSelected setAccTime setActualCollectiveRTD setAirplaneThrottle setAirportSide setAmmo setAmmoCargo setAmmoOnPylon setAnimSpeedCoef setAperture setApertureNew setArmoryPoints setAttributes setAutonomous setBehaviour setBleedingRemaining setBrakesRTD setCameraInterest setCamShakeDefParams setCamShakeParams setCamUseTI setCaptive setCenterOfMass setCollisionLight setCombatMode setCompassOscillation setConvoySeparation setCuratorCameraAreaCeiling setCuratorCoef setCuratorEditingAreaType setCuratorWaypointCost setCurrentChannel setCurrentTask setCurrentWaypoint setCustomAimCoef setCustomWeightRTD setDamage setDammage setDate setDebriefingText setDefaultCamera setDestination setDetailMapBlendPars setDir setDirection setDrawIcon setDriveOnPath setDropInterval setDynamicSimulationDistance setDynamicSimulationDistanceCoef setEditorMode setEditorObjectScope setEffectCondition setEngineRPMRTD setFace setFaceAnimation setFatigue setFeatureType setFlagAnimationPhase setFlagOwner setFlagSide setFlagTexture setFog setFormation setFormationTask setFormDir setFriend setFromEditor setFSMVariable setFuel setFuelCargo setGroupIcon setGroupIconParams setGroupIconsSelectable setGroupIconsVisible setGroupId setGroupIdGlobal setGroupOwner setGusts setHideBehind setHit setHitIndex setHitPointDamage setHorizonParallaxCoef setHUDMovementLevels setIdentity setImportance setInfoPanel setLeader setLightAmbient setLightAttenuation setLightBrightness setLightColor setLightDayLight setLightFlareMaxDistance setLightFlareSize setLightIntensity setLightnings setLightUseFlare setLocalWindParams setMagazineTurretAmmo setMarkerAlpha setMarkerAlphaLocal setMarkerBrush setMarkerBrushLocal setMarkerColor setMarkerColorLocal setMarkerDir setMarkerDirLocal setMarkerPos setMarkerPosLocal setMarkerShape setMarkerShapeLocal setMarkerSize setMarkerSizeLocal setMarkerText setMarkerTextLocal setMarkerType setMarkerTypeLocal setMass setMimic setMousePosition setMusicEffect setMusicEventHandler setName setNameSound setObjectArguments setObjectMaterial setObjectMaterialGlobal setObjectProxy setObjectTexture setObjectTextureGlobal setObjectViewDistance setOvercast setOwner setOxygenRemaining setParticleCircle setParticleClass setParticleFire setParticleParams setParticleRandom setPilotCameraDirection setPilotCameraRotation setPilotCameraTarget setPilotLight setPiPEffect setPitch setPlateNumber setPlayable setPlayerRespawnTime setPos setPosASL setPosASL2 setPosASLW setPosATL setPosition setPosWorld setPylonLoadOut setPylonsPriority setRadioMsg setRain setRainbow setRandomLip setRank setRectangular setRepairCargo setRotorBrakeRTD setShadowDistance setShotParents setSide setSimpleTaskAlwaysVisible setSimpleTaskCustomData setSimpleTaskDescription setSimpleTaskDestination setSimpleTaskTarget setSimpleTaskType setSimulWeatherLayers setSize setSkill setSlingLoad setSoundEffect setSpeaker setSpeech setSpeedMode setStamina setStaminaScheme setStatValue setSuppression setSystemOfUnits setTargetAge setTaskMarkerOffset setTaskResult setTaskState setTerrainGrid setText setTimeMultiplier setTitleEffect setTrafficDensity setTrafficDistance setTrafficGap setTrafficSpeed setTriggerActivation setTriggerArea setTriggerStatements setTriggerText setTriggerTimeout setTriggerType setType setUnconscious setUnitAbility setUnitLoadout setUnitPos setUnitPosWeak setUnitRank setUnitRecoilCoefficient setUnitTrait setUnloadInCombat setUserActionText setUserMFDText setUserMFDvalue setVariable setVectorDir setVectorDirAndUp setVectorUp setVehicleAmmo setVehicleAmmoDef setVehicleArmor setVehicleCargo setVehicleId setVehicleLock setVehiclePosition setVehicleRadar setVehicleReceiveRemoteTargets setVehicleReportOwnPosition setVehicleReportRemoteTargets setVehicleTIPars setVehicleVarName setVelocity setVelocityModelSpace setVelocityTransformation setViewDistance setVisibleIfTreeCollapsed setWantedRPMRTD setWaves setWaypointBehaviour setWaypointCombatMode setWaypointCompletionRadius setWaypointDescription setWaypointForceBehaviour setWaypointFormation setWaypointHousePosition setWaypointLoiterRadius setWaypointLoiterType setWaypointName setWaypointPosition setWaypointScript setWaypointSpeed setWaypointStatements setWaypointTimeout setWaypointType setWaypointVisible setWeaponReloadingTime setWind setWindDir setWindForce setWindStr setWingForceScaleRTD setWPPos show3DIcons showChat showCinemaBorder showCommandingMenu showCompass showCuratorCompass showGPS showHUD showLegend showMap shownArtilleryComputer shownChat shownCompass shownCuratorCompass showNewEditorObject shownGPS shownHUD shownMap shownPad shownRadio shownScoretable shownUAVFeed shownWarrant shownWatch showPad showRadio showScoretable showSubtitles showUAVFeed showWarrant showWatch showWaypoint showWaypoints side sideChat sideEnemy sideFriendly sideRadio simpleTasks simulationEnabled simulCloudDensity simulCloudOcclusion simulInClouds simulWeatherSync sin size sizeOf skill skillFinal skipTime sleep sliderPosition sliderRange sliderSetPosition sliderSetRange sliderSetSpeed sliderSpeed slingLoadAssistantShown soldierMagazines someAmmo sort soundVolume spawn speaker speed speedMode splitString sqrt squadParams stance startLoadingScreen step stop stopEngineRTD stopped str sunOrMoon supportInfo suppressFor surfaceIsWater surfaceNormal surfaceType swimInDepth switchableUnits switchAction switchCamera switchGesture switchLight switchMove synchronizedObjects synchronizedTriggers synchronizedWaypoints synchronizeObjectsAdd synchronizeObjectsRemove synchronizeTrigger synchronizeWaypoint systemChat systemOfUnits tan targetKnowledge targets targetsAggregate targetsQuery taskAlwaysVisible taskChildren taskCompleted taskCustomData taskDescription taskDestination taskHint taskMarkerOffset taskParent taskResult taskState taskType teamMember teamName teams teamSwitch teamSwitchEnabled teamType terminate terrainIntersect terrainIntersectASL terrainIntersectAtASL text textLog textLogFormat tg time timeMultiplier titleCut titleFadeOut titleObj titleRsc titleText toArray toFixed toLower toString toUpper triggerActivated triggerActivation triggerArea triggerAttachedVehicle triggerAttachObject triggerAttachVehicle triggerDynamicSimulation triggerStatements triggerText triggerTimeout triggerTimeoutCurrent triggerType turretLocal turretOwner turretUnit tvAdd tvClear tvCollapse tvCollapseAll tvCount tvCurSel tvData tvDelete tvExpand tvExpandAll tvPicture tvSetColor tvSetCurSel tvSetData tvSetPicture tvSetPictureColor tvSetPictureColorDisabled tvSetPictureColorSelected tvSetPictureRight tvSetPictureRightColor tvSetPictureRightColorDisabled tvSetPictureRightColorSelected tvSetText tvSetTooltip tvSetValue tvSort tvSortByValue tvText tvTooltip tvValue type typeName typeOf UAVControl uiNamespace uiSleep unassignCurator unassignItem unassignTeam unassignVehicle underwater uniform uniformContainer uniformItems uniformMagazines unitAddons unitAimPosition unitAimPositionVisual unitBackpack unitIsUAV unitPos unitReady unitRecoilCoefficient units unitsBelowHeight unlinkItem unlockAchievement unregisterTask updateDrawIcon updateMenuItem updateObjectTree useAISteeringComponent useAudioTimeForMoves userInputDisabled vectorAdd vectorCos vectorCrossProduct vectorDiff vectorDir vectorDirVisual vectorDistance vectorDistanceSqr vectorDotProduct vectorFromTo vectorMagnitude vectorMagnitudeSqr vectorModelToWorld vectorModelToWorldVisual vectorMultiply vectorNormalized vectorUp vectorUpVisual vectorWorldToModel vectorWorldToModelVisual vehicle vehicleCargoEnabled vehicleChat vehicleRadio vehicleReceiveRemoteTargets vehicleReportOwnPosition vehicleReportRemoteTargets vehicles vehicleVarName velocity velocityModelSpace verifySignature vest vestContainer vestItems vestMagazines viewDistance visibleCompass visibleGPS visibleMap visiblePosition visiblePositionASL visibleScoretable visibleWatch waves waypointAttachedObject waypointAttachedVehicle waypointAttachObject waypointAttachVehicle waypointBehaviour waypointCombatMode waypointCompletionRadius waypointDescription waypointForceBehaviour waypointFormation waypointHousePosition waypointLoiterRadius waypointLoiterType waypointName waypointPosition waypoints waypointScript waypointsEnabledUAV waypointShow waypointSpeed waypointStatements waypointTimeout waypointTimeoutCurrent waypointType waypointVisible weaponAccessories weaponAccessoriesCargo weaponCargo weaponDirection weaponInertia weaponLowered weapons weaponsItems weaponsItemsCargo weaponState weaponsTurret weightRTD WFSideText wind ",literal:"blufor civilian configNull controlNull displayNull east endl false grpNull independent lineBreak locationNull nil objNull opfor pi resistance scriptNull sideAmbientLife sideEmpty sideLogic sideUnknown taskNull teamMemberNull true west"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.NUMBER_MODE,{className:"variable",begin:/\b_+[a-zA-Z_]\w*/},{className:"title",begin:/[a-zA-Z][a-zA-Z0-9]+_fnc_\w*/},t,a],illegal:/#|^\$ /}}}}]);
+//# sourceMappingURL=sqf.js.map?v=c99e663a86f2f2812d8c \ No newline at end of file
diff --git a/js/highlight/sqf.js.map b/js/highlight/sqf.js.map
index d98e8f6fc..2467acfcd 100644
--- a/js/highlight/sqf.js.map
+++ b/js/highlight/sqf.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/sqf.js"],"names":["module","exports","hljs","STRINGS","className","variants","begin","end","contains","relevance","PREPROCESSOR","keywords","inherit","illegal","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","aliases","case_insensitive","keyword","built_in","literal","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAcIC,EAAU,CACZC,UAAW,SACXC,SAAU,CACR,CACEC,MAAO,IACPC,IAAK,IACLC,SAAU,CAAC,CAACF,MAAO,KAAMG,UAAW,KAEtC,CACEH,MAAO,IACPC,IAAK,IACLC,SAAU,CAAC,CAACF,MAAO,KAAQG,UAAW,OAOxCC,EAAe,CACjBN,UAAW,OACXE,MAAO,eAAgBC,IAAK,IAC5BI,SAAU,CACR,eACE,gDAEJH,SAAU,CACR,CACEF,MAAO,OAAQG,UAAW,GAE5BP,EAAKU,QAAQT,EAAS,CAACC,UAAW,gBAClC,CACEA,UAAW,cACXE,MAAO,YAAaC,IAAK,IACzBM,QAAS,OAEXX,EAAKY,oBACLZ,EAAKa,uBAIT,MAAO,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClBN,SAAU,CACRO,QACE,qHAEFC,SACE,418BAyVFC,QACE,8OAIJZ,SAAU,CACRN,EAAKY,oBACLZ,EAAKa,qBACLb,EAAKmB,YA/ZM,CACbjB,UAAW,WACXE,MAAO,oBAKM,CACbF,UAAW,QACXE,MAAO,gCAyZLH,EACAO,GAEFG,QAAS","file":"highlight/sqf.js?v=f5d83762546e331af60e","sourcesContent":["module.exports = function(hljs) {\n // In SQF, a variable start with _\n var VARIABLE = {\n className: 'variable',\n begin: /\\b_+[a-zA-Z_]\\w*/\n };\n\n // In SQF, a function should fit myTag_fnc_myFunction pattern\n // https://community.bistudio.com/wiki/Functions_Library_(Arma_3)#Adding_a_Function\n var FUNCTION = {\n className: 'title',\n begin: /[a-zA-Z][a-zA-Z0-9]+_fnc_\\w*/\n };\n\n // In SQF strings, quotes matching the start are escaped by adding a consecutive.\n // Example of single escaped quotes: \" \"\" \" and ' '' '.\n var STRINGS = {\n className: 'string',\n variants: [\n {\n begin: '\"',\n end: '\"',\n contains: [{begin: '\"\"', relevance: 0}]\n },\n {\n begin: '\\'',\n end: '\\'',\n contains: [{begin: '\\'\\'', relevance: 0}]\n }\n ]\n };\n\n // list of keywords from:\n // https://community.bistudio.com/wiki/PreProcessor_Commands\n var PREPROCESSOR = {\n className: 'meta',\n begin: /#\\s*[a-z]+\\b/, end: /$/,\n keywords: {\n 'meta-keyword':\n 'define undef ifdef ifndef else endif include'\n },\n contains: [\n {\n begin: /\\\\\\n/, relevance: 0\n },\n hljs.inherit(STRINGS, {className: 'meta-string'}),\n {\n className: 'meta-string',\n begin: /<[^\\n>]*>/, end: /$/,\n illegal: '\\\\n',\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n\n return {\n aliases: ['sqf'],\n case_insensitive: true,\n keywords: {\n keyword:\n 'case catch default do else exit exitWith for forEach from if ' +\n 'private switch then throw to try waitUntil while with',\n built_in:\n 'abs accTime acos action actionIDs actionKeys actionKeysImages actionKeysNames ' +\n 'actionKeysNamesArray actionName actionParams activateAddons activatedAddons activateKey ' +\n 'add3DENConnection add3DENEventHandler add3DENLayer addAction addBackpack addBackpackCargo ' +\n 'addBackpackCargoGlobal addBackpackGlobal addCamShake addCuratorAddons addCuratorCameraArea ' +\n 'addCuratorEditableObjects addCuratorEditingArea addCuratorPoints addEditorObject addEventHandler ' +\n 'addForce addGoggles addGroupIcon addHandgunItem addHeadgear addItem addItemCargo ' +\n 'addItemCargoGlobal addItemPool addItemToBackpack addItemToUniform addItemToVest addLiveStats ' +\n 'addMagazine addMagazineAmmoCargo addMagazineCargo addMagazineCargoGlobal addMagazineGlobal ' +\n 'addMagazinePool addMagazines addMagazineTurret addMenu addMenuItem addMissionEventHandler ' +\n 'addMPEventHandler addMusicEventHandler addOwnedMine addPlayerScores addPrimaryWeaponItem ' +\n 'addPublicVariableEventHandler addRating addResources addScore addScoreSide addSecondaryWeaponItem ' +\n 'addSwitchableUnit addTeamMember addToRemainsCollector addTorque addUniform addVehicle addVest ' +\n 'addWaypoint addWeapon addWeaponCargo addWeaponCargoGlobal addWeaponGlobal addWeaponItem ' +\n 'addWeaponPool addWeaponTurret admin agent agents AGLToASL aimedAtTarget aimPos airDensityRTD ' +\n 'airplaneThrottle airportSide AISFinishHeal alive all3DENEntities allAirports allControls ' +\n 'allCurators allCutLayers allDead allDeadMen allDisplays allGroups allMapMarkers allMines ' +\n 'allMissionObjects allow3DMode allowCrewInImmobile allowCuratorLogicIgnoreAreas allowDamage ' +\n 'allowDammage allowFileOperations allowFleeing allowGetIn allowSprint allPlayers allSimpleObjects ' +\n 'allSites allTurrets allUnits allUnitsUAV allVariables ammo ammoOnPylon and animate animateBay ' +\n 'animateDoor animatePylon animateSource animationNames animationPhase animationSourcePhase ' +\n 'animationState append apply armoryPoints arrayIntersect asin ASLToAGL ASLToATL assert ' +\n 'assignAsCargo assignAsCargoIndex assignAsCommander assignAsDriver assignAsGunner assignAsTurret ' +\n 'assignCurator assignedCargo assignedCommander assignedDriver assignedGunner assignedItems ' +\n 'assignedTarget assignedTeam assignedVehicle assignedVehicleRole assignItem assignTeam ' +\n 'assignToAirport atan atan2 atg ATLToASL attachedObject attachedObjects attachedTo attachObject ' +\n 'attachTo attackEnabled backpack backpackCargo backpackContainer backpackItems backpackMagazines ' +\n 'backpackSpaceFor behaviour benchmark binocular boundingBox boundingBoxReal boundingCenter ' +\n 'breakOut breakTo briefingName buildingExit buildingPos buttonAction buttonSetAction cadetMode ' +\n 'call callExtension camCommand camCommit camCommitPrepared camCommitted camConstuctionSetParams ' +\n 'camCreate camDestroy cameraEffect cameraEffectEnableHUD cameraInterest cameraOn cameraView ' +\n 'campaignConfigFile camPreload camPreloaded camPrepareBank camPrepareDir camPrepareDive ' +\n 'camPrepareFocus camPrepareFov camPrepareFovRange camPreparePos camPrepareRelPos camPrepareTarget ' +\n 'camSetBank camSetDir camSetDive camSetFocus camSetFov camSetFovRange camSetPos camSetRelPos ' +\n 'camSetTarget camTarget camUseNVG canAdd canAddItemToBackpack canAddItemToUniform canAddItemToVest ' +\n 'cancelSimpleTaskDestination canFire canMove canSlingLoad canStand canSuspend ' +\n 'canTriggerDynamicSimulation canUnloadInCombat canVehicleCargo captive captiveNum cbChecked ' +\n 'cbSetChecked ceil channelEnabled cheatsEnabled checkAIFeature checkVisibility className ' +\n 'clearAllItemsFromBackpack clearBackpackCargo clearBackpackCargoGlobal clearGroupIcons ' +\n 'clearItemCargo clearItemCargoGlobal clearItemPool clearMagazineCargo clearMagazineCargoGlobal ' +\n 'clearMagazinePool clearOverlay clearRadio clearWeaponCargo clearWeaponCargoGlobal clearWeaponPool ' +\n 'clientOwner closeDialog closeDisplay closeOverlay collapseObjectTree collect3DENHistory ' +\n 'collectiveRTD combatMode commandArtilleryFire commandChat commander commandFire commandFollow ' +\n 'commandFSM commandGetOut commandingMenu commandMove commandRadio commandStop ' +\n 'commandSuppressiveFire commandTarget commandWatch comment commitOverlay compile compileFinal ' +\n 'completedFSM composeText configClasses configFile configHierarchy configName configProperties ' +\n 'configSourceAddonList configSourceMod configSourceModList confirmSensorTarget ' +\n 'connectTerminalToUAV controlsGroupCtrl copyFromClipboard copyToClipboard copyWaypoints cos count ' +\n 'countEnemy countFriendly countSide countType countUnknown create3DENComposition create3DENEntity ' +\n 'createAgent createCenter createDialog createDiaryLink createDiaryRecord createDiarySubject ' +\n 'createDisplay createGearDialog createGroup createGuardedPoint createLocation createMarker ' +\n 'createMarkerLocal createMenu createMine createMissionDisplay createMPCampaignDisplay ' +\n 'createSimpleObject createSimpleTask createSite createSoundSource createTask createTeam ' +\n 'createTrigger createUnit createVehicle createVehicleCrew createVehicleLocal crew ctAddHeader ' +\n 'ctAddRow ctClear ctCurSel ctData ctFindHeaderRows ctFindRowHeader ctHeaderControls ctHeaderCount ' +\n 'ctRemoveHeaders ctRemoveRows ctrlActivate ctrlAddEventHandler ctrlAngle ctrlAutoScrollDelay ' +\n 'ctrlAutoScrollRewind ctrlAutoScrollSpeed ctrlChecked ctrlClassName ctrlCommit ctrlCommitted ' +\n 'ctrlCreate ctrlDelete ctrlEnable ctrlEnabled ctrlFade ctrlHTMLLoaded ctrlIDC ctrlIDD ' +\n 'ctrlMapAnimAdd ctrlMapAnimClear ctrlMapAnimCommit ctrlMapAnimDone ctrlMapCursor ctrlMapMouseOver ' +\n 'ctrlMapScale ctrlMapScreenToWorld ctrlMapWorldToScreen ctrlModel ctrlModelDirAndUp ctrlModelScale ' +\n 'ctrlParent ctrlParentControlsGroup ctrlPosition ctrlRemoveAllEventHandlers ctrlRemoveEventHandler ' +\n 'ctrlScale ctrlSetActiveColor ctrlSetAngle ctrlSetAutoScrollDelay ctrlSetAutoScrollRewind ' +\n 'ctrlSetAutoScrollSpeed ctrlSetBackgroundColor ctrlSetChecked ctrlSetEventHandler ctrlSetFade ' +\n 'ctrlSetFocus ctrlSetFont ctrlSetFontH1 ctrlSetFontH1B ctrlSetFontH2 ctrlSetFontH2B ctrlSetFontH3 ' +\n 'ctrlSetFontH3B ctrlSetFontH4 ctrlSetFontH4B ctrlSetFontH5 ctrlSetFontH5B ctrlSetFontH6 ' +\n 'ctrlSetFontH6B ctrlSetFontHeight ctrlSetFontHeightH1 ctrlSetFontHeightH2 ctrlSetFontHeightH3 ' +\n 'ctrlSetFontHeightH4 ctrlSetFontHeightH5 ctrlSetFontHeightH6 ctrlSetFontHeightSecondary ' +\n 'ctrlSetFontP ctrlSetFontPB ctrlSetFontSecondary ctrlSetForegroundColor ctrlSetModel ' +\n 'ctrlSetModelDirAndUp ctrlSetModelScale ctrlSetPixelPrecision ctrlSetPosition ctrlSetScale ' +\n 'ctrlSetStructuredText ctrlSetText ctrlSetTextColor ctrlSetTooltip ctrlSetTooltipColorBox ' +\n 'ctrlSetTooltipColorShade ctrlSetTooltipColorText ctrlShow ctrlShown ctrlText ctrlTextHeight ' +\n 'ctrlTextWidth ctrlType ctrlVisible ctRowControls ctRowCount ctSetCurSel ctSetData ' +\n 'ctSetHeaderTemplate ctSetRowTemplate ctSetValue ctValue curatorAddons curatorCamera ' +\n 'curatorCameraArea curatorCameraAreaCeiling curatorCoef curatorEditableObjects curatorEditingArea ' +\n 'curatorEditingAreaType curatorMouseOver curatorPoints curatorRegisteredObjects curatorSelected ' +\n 'curatorWaypointCost current3DENOperation currentChannel currentCommand currentMagazine ' +\n 'currentMagazineDetail currentMagazineDetailTurret currentMagazineTurret currentMuzzle ' +\n 'currentNamespace currentTask currentTasks currentThrowable currentVisionMode currentWaypoint ' +\n 'currentWeapon currentWeaponMode currentWeaponTurret currentZeroing cursorObject cursorTarget ' +\n 'customChat customRadio cutFadeOut cutObj cutRsc cutText damage date dateToNumber daytime ' +\n 'deActivateKey debriefingText debugFSM debugLog deg delete3DENEntities deleteAt deleteCenter ' +\n 'deleteCollection deleteEditorObject deleteGroup deleteGroupWhenEmpty deleteIdentity ' +\n 'deleteLocation deleteMarker deleteMarkerLocal deleteRange deleteResources deleteSite deleteStatus ' +\n 'deleteTeam deleteVehicle deleteVehicleCrew deleteWaypoint detach detectedMines ' +\n 'diag_activeMissionFSMs diag_activeScripts diag_activeSQFScripts diag_activeSQSScripts ' +\n 'diag_captureFrame diag_captureFrameToFile diag_captureSlowFrame diag_codePerformance ' +\n 'diag_drawMode diag_enable diag_enabled diag_fps diag_fpsMin diag_frameNo diag_lightNewLoad ' +\n 'diag_list diag_log diag_logSlowFrame diag_mergeConfigFile diag_recordTurretLimits ' +\n 'diag_setLightNew diag_tickTime diag_toggle dialog diarySubjectExists didJIP didJIPOwner ' +\n 'difficulty difficultyEnabled difficultyEnabledRTD difficultyOption direction directSay disableAI ' +\n 'disableCollisionWith disableConversation disableDebriefingStats disableMapIndicators ' +\n 'disableNVGEquipment disableRemoteSensors disableSerialization disableTIEquipment ' +\n 'disableUAVConnectability disableUserInput displayAddEventHandler displayCtrl displayParent ' +\n 'displayRemoveAllEventHandlers displayRemoveEventHandler displaySetEventHandler dissolveTeam ' +\n 'distance distance2D distanceSqr distributionRegion do3DENAction doArtilleryFire doFire doFollow ' +\n 'doFSM doGetOut doMove doorPhase doStop doSuppressiveFire doTarget doWatch drawArrow drawEllipse ' +\n 'drawIcon drawIcon3D drawLine drawLine3D drawLink drawLocation drawPolygon drawRectangle ' +\n 'drawTriangle driver drop dynamicSimulationDistance dynamicSimulationDistanceCoef ' +\n 'dynamicSimulationEnabled dynamicSimulationSystemEnabled echo edit3DENMissionAttributes editObject ' +\n 'editorSetEventHandler effectiveCommander emptyPositions enableAI enableAIFeature ' +\n 'enableAimPrecision enableAttack enableAudioFeature enableAutoStartUpRTD enableAutoTrimRTD ' +\n 'enableCamShake enableCaustics enableChannel enableCollisionWith enableCopilot ' +\n 'enableDebriefingStats enableDiagLegend enableDynamicSimulation enableDynamicSimulationSystem ' +\n 'enableEndDialog enableEngineArtillery enableEnvironment enableFatigue enableGunLights ' +\n 'enableInfoPanelComponent enableIRLasers enableMimics enablePersonTurret enableRadio enableReload ' +\n 'enableRopeAttach enableSatNormalOnDetail enableSaving enableSentences enableSimulation ' +\n 'enableSimulationGlobal enableStamina enableTeamSwitch enableTraffic enableUAVConnectability ' +\n 'enableUAVWaypoints enableVehicleCargo enableVehicleSensor enableWeaponDisassembly ' +\n 'endLoadingScreen endMission engineOn enginesIsOnRTD enginesRpmRTD enginesTorqueRTD entities ' +\n 'environmentEnabled estimatedEndServerTime estimatedTimeLeft evalObjectArgument everyBackpack ' +\n 'everyContainer exec execEditorScript execFSM execVM exp expectedDestination exportJIPMessages ' +\n 'eyeDirection eyePos face faction fadeMusic fadeRadio fadeSound fadeSpeech failMission ' +\n 'fillWeaponsFromPool find findCover findDisplay findEditorObject findEmptyPosition ' +\n 'findEmptyPositionReady findIf findNearestEnemy finishMissionInit finite fire fireAtTarget ' +\n 'firstBackpack flag flagAnimationPhase flagOwner flagSide flagTexture fleeing floor flyInHeight ' +\n 'flyInHeightASL fog fogForecast fogParams forceAddUniform forcedMap forceEnd forceFlagTexture ' +\n 'forceFollowRoad forceMap forceRespawn forceSpeed forceWalk forceWeaponFire forceWeatherChange ' +\n 'forEachMember forEachMemberAgent forEachMemberTeam forgetTarget format formation ' +\n 'formationDirection formationLeader formationMembers formationPosition formationTask formatText ' +\n 'formLeader freeLook fromEditor fuel fullCrew gearIDCAmmoCount gearSlotAmmoCount gearSlotData ' +\n 'get3DENActionState get3DENAttribute get3DENCamera get3DENConnections get3DENEntity ' +\n 'get3DENEntityID get3DENGrid get3DENIconsVisible get3DENLayerEntities get3DENLinesVisible ' +\n 'get3DENMissionAttribute get3DENMouseOver get3DENSelected getAimingCoef getAllEnvSoundControllers ' +\n 'getAllHitPointsDamage getAllOwnedMines getAllSoundControllers getAmmoCargo getAnimAimPrecision ' +\n 'getAnimSpeedCoef getArray getArtilleryAmmo getArtilleryComputerSettings getArtilleryETA ' +\n 'getAssignedCuratorLogic getAssignedCuratorUnit getBackpackCargo getBleedingRemaining ' +\n 'getBurningValue getCameraViewDirection getCargoIndex getCenterOfMass getClientState ' +\n 'getClientStateNumber getCompatiblePylonMagazines getConnectedUAV getContainerMaxLoad ' +\n 'getCursorObjectParams getCustomAimCoef getDammage getDescription getDir getDirVisual ' +\n 'getDLCAssetsUsage getDLCAssetsUsageByName getDLCs getEditorCamera getEditorMode ' +\n 'getEditorObjectScope getElevationOffset getEnvSoundController getFatigue getForcedFlagTexture ' +\n 'getFriend getFSMVariable getFuelCargo getGroupIcon getGroupIconParams getGroupIcons getHideFrom ' +\n 'getHit getHitIndex getHitPointDamage getItemCargo getMagazineCargo getMarkerColor getMarkerPos ' +\n 'getMarkerSize getMarkerType getMass getMissionConfig getMissionConfigValue getMissionDLCs ' +\n 'getMissionLayerEntities getModelInfo getMousePosition getMusicPlayedTime getNumber ' +\n 'getObjectArgument getObjectChildren getObjectDLC getObjectMaterials getObjectProxy ' +\n 'getObjectTextures getObjectType getObjectViewDistance getOxygenRemaining getPersonUsedDLCs ' +\n 'getPilotCameraDirection getPilotCameraPosition getPilotCameraRotation getPilotCameraTarget ' +\n 'getPlateNumber getPlayerChannel getPlayerScores getPlayerUID getPos getPosASL getPosASLVisual ' +\n 'getPosASLW getPosATL getPosATLVisual getPosVisual getPosWorld getPylonMagazines getRelDir ' +\n 'getRelPos getRemoteSensorsDisabled getRepairCargo getResolution getShadowDistance getShotParents ' +\n 'getSlingLoad getSoundController getSoundControllerResult getSpeed getStamina getStatValue ' +\n 'getSuppression getTerrainGrid getTerrainHeightASL getText getTotalDLCUsageTime getUnitLoadout ' +\n 'getUnitTrait getUserMFDText getUserMFDvalue getVariable getVehicleCargo getWeaponCargo ' +\n 'getWeaponSway getWingsOrientationRTD getWingsPositionRTD getWPPos glanceAt globalChat globalRadio ' +\n 'goggles goto group groupChat groupFromNetId groupIconSelectable groupIconsVisible groupId ' +\n 'groupOwner groupRadio groupSelectedUnits groupSelectUnit gunner gusts halt handgunItems ' +\n 'handgunMagazine handgunWeapon handsHit hasInterface hasPilotCamera hasWeapon hcAllGroups ' +\n 'hcGroupParams hcLeader hcRemoveAllGroups hcRemoveGroup hcSelected hcSelectGroup hcSetGroup ' +\n 'hcShowBar hcShownBar headgear hideBody hideObject hideObjectGlobal hideSelection hint hintC ' +\n 'hintCadet hintSilent hmd hostMission htmlLoad HUDMovementLevels humidity image importAllGroups ' +\n 'importance in inArea inAreaArray incapacitatedState inflame inflamed infoPanel ' +\n 'infoPanelComponentEnabled infoPanelComponents infoPanels inGameUISetEventHandler inheritsFrom ' +\n 'initAmbientLife inPolygon inputAction inRangeOfArtillery insertEditorObject intersect is3DEN ' +\n 'is3DENMultiplayer isAbleToBreathe isAgent isArray isAutoHoverOn isAutonomous isAutotest ' +\n 'isBleeding isBurning isClass isCollisionLightOn isCopilotEnabled isDamageAllowed isDedicated ' +\n 'isDLCAvailable isEngineOn isEqualTo isEqualType isEqualTypeAll isEqualTypeAny isEqualTypeArray ' +\n 'isEqualTypeParams isFilePatchingEnabled isFlashlightOn isFlatEmpty isForcedWalk isFormationLeader ' +\n 'isGroupDeletedWhenEmpty isHidden isInRemainsCollector isInstructorFigureEnabled isIRLaserOn ' +\n 'isKeyActive isKindOf isLaserOn isLightOn isLocalized isManualFire isMarkedForCollection ' +\n 'isMultiplayer isMultiplayerSolo isNil isNull isNumber isObjectHidden isObjectRTD isOnRoad ' +\n 'isPipEnabled isPlayer isRealTime isRemoteExecuted isRemoteExecutedJIP isServer isShowing3DIcons ' +\n 'isSimpleObject isSprintAllowed isStaminaEnabled isSteamMission isStreamFriendlyUIEnabled isText ' +\n 'isTouchingGround isTurnedOut isTutHintsEnabled isUAVConnectable isUAVConnected isUIContext ' +\n 'isUniformAllowed isVehicleCargo isVehicleRadarOn isVehicleSensorEnabled isWalking ' +\n 'isWeaponDeployed isWeaponRested itemCargo items itemsWithMagazines join joinAs joinAsSilent ' +\n 'joinSilent joinString kbAddDatabase kbAddDatabaseTargets kbAddTopic kbHasTopic kbReact ' +\n 'kbRemoveTopic kbTell kbWasSaid keyImage keyName knowsAbout land landAt landResult language ' +\n 'laserTarget lbAdd lbClear lbColor lbColorRight lbCurSel lbData lbDelete lbIsSelected lbPicture ' +\n 'lbPictureRight lbSelection lbSetColor lbSetColorRight lbSetCurSel lbSetData lbSetPicture ' +\n 'lbSetPictureColor lbSetPictureColorDisabled lbSetPictureColorSelected lbSetPictureRight ' +\n 'lbSetPictureRightColor lbSetPictureRightColorDisabled lbSetPictureRightColorSelected ' +\n 'lbSetSelectColor lbSetSelectColorRight lbSetSelected lbSetText lbSetTextRight lbSetTooltip ' +\n 'lbSetValue lbSize lbSort lbSortByValue lbText lbTextRight lbValue leader leaderboardDeInit ' +\n 'leaderboardGetRows leaderboardInit leaderboardRequestRowsFriends leaderboardsRequestUploadScore ' +\n 'leaderboardsRequestUploadScoreKeepBest leaderboardState leaveVehicle libraryCredits ' +\n 'libraryDisclaimers lifeState lightAttachObject lightDetachObject lightIsOn lightnings limitSpeed ' +\n 'linearConversion lineIntersects lineIntersectsObjs lineIntersectsSurfaces lineIntersectsWith ' +\n 'linkItem list listObjects listRemoteTargets listVehicleSensors ln lnbAddArray lnbAddColumn ' +\n 'lnbAddRow lnbClear lnbColor lnbCurSelRow lnbData lnbDeleteColumn lnbDeleteRow ' +\n 'lnbGetColumnsPosition lnbPicture lnbSetColor lnbSetColumnsPos lnbSetCurSelRow lnbSetData ' +\n 'lnbSetPicture lnbSetText lnbSetValue lnbSize lnbSort lnbSortByValue lnbText lnbValue load loadAbs ' +\n 'loadBackpack loadFile loadGame loadIdentity loadMagazine loadOverlay loadStatus loadUniform ' +\n 'loadVest local localize locationPosition lock lockCameraTo lockCargo lockDriver locked ' +\n 'lockedCargo lockedDriver lockedTurret lockIdentity lockTurret lockWP log logEntities logNetwork ' +\n 'logNetworkTerminate lookAt lookAtPos magazineCargo magazines magazinesAllTurrets magazinesAmmo ' +\n 'magazinesAmmoCargo magazinesAmmoFull magazinesDetail magazinesDetailBackpack ' +\n 'magazinesDetailUniform magazinesDetailVest magazinesTurret magazineTurretAmmo mapAnimAdd ' +\n 'mapAnimClear mapAnimCommit mapAnimDone mapCenterOnCamera mapGridPosition markAsFinishedOnSteam ' +\n 'markerAlpha markerBrush markerColor markerDir markerPos markerShape markerSize markerText ' +\n 'markerType max members menuAction menuAdd menuChecked menuClear menuCollapse menuData menuDelete ' +\n 'menuEnable menuEnabled menuExpand menuHover menuPicture menuSetAction menuSetCheck menuSetData ' +\n 'menuSetPicture menuSetValue menuShortcut menuShortcutText menuSize menuSort menuText menuURL ' +\n 'menuValue min mineActive mineDetectedBy missionConfigFile missionDifficulty missionName ' +\n 'missionNamespace missionStart missionVersion mod modelToWorld modelToWorldVisual ' +\n 'modelToWorldVisualWorld modelToWorldWorld modParams moonIntensity moonPhase morale move ' +\n 'move3DENCamera moveInAny moveInCargo moveInCommander moveInDriver moveInGunner moveInTurret ' +\n 'moveObjectToEnd moveOut moveTime moveTo moveToCompleted moveToFailed musicVolume name nameSound ' +\n 'nearEntities nearestBuilding nearestLocation nearestLocations nearestLocationWithDubbing ' +\n 'nearestObject nearestObjects nearestTerrainObjects nearObjects nearObjectsReady nearRoads ' +\n 'nearSupplies nearTargets needReload netId netObjNull newOverlay nextMenuItemIndex ' +\n 'nextWeatherChange nMenuItems not numberOfEnginesRTD numberToDate objectCurators objectFromNetId ' +\n 'objectParent objStatus onBriefingGroup onBriefingNotes onBriefingPlan onBriefingTeamSwitch ' +\n 'onCommandModeChanged onDoubleClick onEachFrame onGroupIconClick onGroupIconOverEnter ' +\n 'onGroupIconOverLeave onHCGroupSelectionChanged onMapSingleClick onPlayerConnected ' +\n 'onPlayerDisconnected onPreloadFinished onPreloadStarted onShowNewObject onTeamSwitch ' +\n 'openCuratorInterface openDLCPage openMap openSteamApp openYoutubeVideo or orderGetIn overcast ' +\n 'overcastForecast owner param params parseNumber parseSimpleArray parseText parsingNamespace ' +\n 'particlesQuality pickWeaponPool pitch pixelGrid pixelGridBase pixelGridNoUIScale pixelH pixelW ' +\n 'playableSlotsNumber playableUnits playAction playActionNow player playerRespawnTime playerSide ' +\n 'playersNumber playGesture playMission playMove playMoveNow playMusic playScriptedMission ' +\n 'playSound playSound3D position positionCameraToWorld posScreenToWorld posWorldToScreen ' +\n 'ppEffectAdjust ppEffectCommit ppEffectCommitted ppEffectCreate ppEffectDestroy ppEffectEnable ' +\n 'ppEffectEnabled ppEffectForceInNVG precision preloadCamera preloadObject preloadSound ' +\n 'preloadTitleObj preloadTitleRsc preprocessFile preprocessFileLineNumbers primaryWeapon ' +\n 'primaryWeaponItems primaryWeaponMagazine priority processDiaryLink productVersion profileName ' +\n 'profileNamespace profileNameSteam progressLoadingScreen progressPosition progressSetPosition ' +\n 'publicVariable publicVariableClient publicVariableServer pushBack pushBackUnique putWeaponPool ' +\n 'queryItemsPool queryMagazinePool queryWeaponPool rad radioChannelAdd radioChannelCreate ' +\n 'radioChannelRemove radioChannelSetCallSign radioChannelSetLabel radioVolume rain rainbow random ' +\n 'rank rankId rating rectangular registeredTasks registerTask reload reloadEnabled remoteControl ' +\n 'remoteExec remoteExecCall remoteExecutedOwner remove3DENConnection remove3DENEventHandler ' +\n 'remove3DENLayer removeAction removeAll3DENEventHandlers removeAllActions removeAllAssignedItems ' +\n 'removeAllContainers removeAllCuratorAddons removeAllCuratorCameraAreas ' +\n 'removeAllCuratorEditingAreas removeAllEventHandlers removeAllHandgunItems removeAllItems ' +\n 'removeAllItemsWithMagazines removeAllMissionEventHandlers removeAllMPEventHandlers ' +\n 'removeAllMusicEventHandlers removeAllOwnedMines removeAllPrimaryWeaponItems removeAllWeapons ' +\n 'removeBackpack removeBackpackGlobal removeCuratorAddons removeCuratorCameraArea ' +\n 'removeCuratorEditableObjects removeCuratorEditingArea removeDrawIcon removeDrawLinks ' +\n 'removeEventHandler removeFromRemainsCollector removeGoggles removeGroupIcon removeHandgunItem ' +\n 'removeHeadgear removeItem removeItemFromBackpack removeItemFromUniform removeItemFromVest ' +\n 'removeItems removeMagazine removeMagazineGlobal removeMagazines removeMagazinesTurret ' +\n 'removeMagazineTurret removeMenuItem removeMissionEventHandler removeMPEventHandler ' +\n 'removeMusicEventHandler removeOwnedMine removePrimaryWeaponItem removeSecondaryWeaponItem ' +\n 'removeSimpleTask removeSwitchableUnit removeTeamMember removeUniform removeVest removeWeapon ' +\n 'removeWeaponAttachmentCargo removeWeaponCargo removeWeaponGlobal removeWeaponTurret ' +\n 'reportRemoteTarget requiredVersion resetCamShake resetSubgroupDirection resize resources ' +\n 'respawnVehicle restartEditorCamera reveal revealMine reverse reversedMouseY roadAt ' +\n 'roadsConnectedTo roleDescription ropeAttachedObjects ropeAttachedTo ropeAttachEnabled ' +\n 'ropeAttachTo ropeCreate ropeCut ropeDestroy ropeDetach ropeEndPosition ropeLength ropes ' +\n 'ropeUnwind ropeUnwound rotorsForcesRTD rotorsRpmRTD round runInitScript safeZoneH safeZoneW ' +\n 'safeZoneWAbs safeZoneX safeZoneXAbs safeZoneY save3DENInventory saveGame saveIdentity ' +\n 'saveJoysticks saveOverlay saveProfileNamespace saveStatus saveVar savingEnabled say say2D say3D ' +\n 'scopeName score scoreSide screenshot screenToWorld scriptDone scriptName scudState ' +\n 'secondaryWeapon secondaryWeaponItems secondaryWeaponMagazine select selectBestPlaces ' +\n 'selectDiarySubject selectedEditorObjects selectEditorObject selectionNames selectionPosition ' +\n 'selectLeader selectMax selectMin selectNoPlayer selectPlayer selectRandom selectRandomWeighted ' +\n 'selectWeapon selectWeaponTurret sendAUMessage sendSimpleCommand sendTask sendTaskResult ' +\n 'sendUDPMessage serverCommand serverCommandAvailable serverCommandExecutable serverName serverTime ' +\n 'set set3DENAttribute set3DENAttributes set3DENGrid set3DENIconsVisible set3DENLayer ' +\n 'set3DENLinesVisible set3DENLogicType set3DENMissionAttribute set3DENMissionAttributes ' +\n 'set3DENModelsVisible set3DENObjectType set3DENSelected setAccTime setActualCollectiveRTD ' +\n 'setAirplaneThrottle setAirportSide setAmmo setAmmoCargo setAmmoOnPylon setAnimSpeedCoef ' +\n 'setAperture setApertureNew setArmoryPoints setAttributes setAutonomous setBehaviour ' +\n 'setBleedingRemaining setBrakesRTD setCameraInterest setCamShakeDefParams setCamShakeParams ' +\n 'setCamUseTI setCaptive setCenterOfMass setCollisionLight setCombatMode setCompassOscillation ' +\n 'setConvoySeparation setCuratorCameraAreaCeiling setCuratorCoef setCuratorEditingAreaType ' +\n 'setCuratorWaypointCost setCurrentChannel setCurrentTask setCurrentWaypoint setCustomAimCoef ' +\n 'setCustomWeightRTD setDamage setDammage setDate setDebriefingText setDefaultCamera setDestination ' +\n 'setDetailMapBlendPars setDir setDirection setDrawIcon setDriveOnPath setDropInterval ' +\n 'setDynamicSimulationDistance setDynamicSimulationDistanceCoef setEditorMode setEditorObjectScope ' +\n 'setEffectCondition setEngineRPMRTD setFace setFaceAnimation setFatigue setFeatureType ' +\n 'setFlagAnimationPhase setFlagOwner setFlagSide setFlagTexture setFog setFormation ' +\n 'setFormationTask setFormDir setFriend setFromEditor setFSMVariable setFuel setFuelCargo ' +\n 'setGroupIcon setGroupIconParams setGroupIconsSelectable setGroupIconsVisible setGroupId ' +\n 'setGroupIdGlobal setGroupOwner setGusts setHideBehind setHit setHitIndex setHitPointDamage ' +\n 'setHorizonParallaxCoef setHUDMovementLevels setIdentity setImportance setInfoPanel setLeader ' +\n 'setLightAmbient setLightAttenuation setLightBrightness setLightColor setLightDayLight ' +\n 'setLightFlareMaxDistance setLightFlareSize setLightIntensity setLightnings setLightUseFlare ' +\n 'setLocalWindParams setMagazineTurretAmmo setMarkerAlpha setMarkerAlphaLocal setMarkerBrush ' +\n 'setMarkerBrushLocal setMarkerColor setMarkerColorLocal setMarkerDir setMarkerDirLocal ' +\n 'setMarkerPos setMarkerPosLocal setMarkerShape setMarkerShapeLocal setMarkerSize ' +\n 'setMarkerSizeLocal setMarkerText setMarkerTextLocal setMarkerType setMarkerTypeLocal setMass ' +\n 'setMimic setMousePosition setMusicEffect setMusicEventHandler setName setNameSound ' +\n 'setObjectArguments setObjectMaterial setObjectMaterialGlobal setObjectProxy setObjectTexture ' +\n 'setObjectTextureGlobal setObjectViewDistance setOvercast setOwner setOxygenRemaining ' +\n 'setParticleCircle setParticleClass setParticleFire setParticleParams setParticleRandom ' +\n 'setPilotCameraDirection setPilotCameraRotation setPilotCameraTarget setPilotLight setPiPEffect ' +\n 'setPitch setPlateNumber setPlayable setPlayerRespawnTime setPos setPosASL setPosASL2 setPosASLW ' +\n 'setPosATL setPosition setPosWorld setPylonLoadOut setPylonsPriority setRadioMsg setRain ' +\n 'setRainbow setRandomLip setRank setRectangular setRepairCargo setRotorBrakeRTD setShadowDistance ' +\n 'setShotParents setSide setSimpleTaskAlwaysVisible setSimpleTaskCustomData ' +\n 'setSimpleTaskDescription setSimpleTaskDestination setSimpleTaskTarget setSimpleTaskType ' +\n 'setSimulWeatherLayers setSize setSkill setSlingLoad setSoundEffect setSpeaker setSpeech ' +\n 'setSpeedMode setStamina setStaminaScheme setStatValue setSuppression setSystemOfUnits ' +\n 'setTargetAge setTaskMarkerOffset setTaskResult setTaskState setTerrainGrid setText ' +\n 'setTimeMultiplier setTitleEffect setTrafficDensity setTrafficDistance setTrafficGap ' +\n 'setTrafficSpeed setTriggerActivation setTriggerArea setTriggerStatements setTriggerText ' +\n 'setTriggerTimeout setTriggerType setType setUnconscious setUnitAbility setUnitLoadout setUnitPos ' +\n 'setUnitPosWeak setUnitRank setUnitRecoilCoefficient setUnitTrait setUnloadInCombat ' +\n 'setUserActionText setUserMFDText setUserMFDvalue setVariable setVectorDir setVectorDirAndUp ' +\n 'setVectorUp setVehicleAmmo setVehicleAmmoDef setVehicleArmor setVehicleCargo setVehicleId ' +\n 'setVehicleLock setVehiclePosition setVehicleRadar setVehicleReceiveRemoteTargets ' +\n 'setVehicleReportOwnPosition setVehicleReportRemoteTargets setVehicleTIPars setVehicleVarName ' +\n 'setVelocity setVelocityModelSpace setVelocityTransformation setViewDistance ' +\n 'setVisibleIfTreeCollapsed setWantedRPMRTD setWaves setWaypointBehaviour setWaypointCombatMode ' +\n 'setWaypointCompletionRadius setWaypointDescription setWaypointForceBehaviour setWaypointFormation ' +\n 'setWaypointHousePosition setWaypointLoiterRadius setWaypointLoiterType setWaypointName ' +\n 'setWaypointPosition setWaypointScript setWaypointSpeed setWaypointStatements setWaypointTimeout ' +\n 'setWaypointType setWaypointVisible setWeaponReloadingTime setWind setWindDir setWindForce ' +\n 'setWindStr setWingForceScaleRTD setWPPos show3DIcons showChat showCinemaBorder showCommandingMenu ' +\n 'showCompass showCuratorCompass showGPS showHUD showLegend showMap shownArtilleryComputer ' +\n 'shownChat shownCompass shownCuratorCompass showNewEditorObject shownGPS shownHUD shownMap ' +\n 'shownPad shownRadio shownScoretable shownUAVFeed shownWarrant shownWatch showPad showRadio ' +\n 'showScoretable showSubtitles showUAVFeed showWarrant showWatch showWaypoint showWaypoints side ' +\n 'sideChat sideEnemy sideFriendly sideRadio simpleTasks simulationEnabled simulCloudDensity ' +\n 'simulCloudOcclusion simulInClouds simulWeatherSync sin size sizeOf skill skillFinal skipTime ' +\n 'sleep sliderPosition sliderRange sliderSetPosition sliderSetRange sliderSetSpeed sliderSpeed ' +\n 'slingLoadAssistantShown soldierMagazines someAmmo sort soundVolume spawn speaker speed speedMode ' +\n 'splitString sqrt squadParams stance startLoadingScreen step stop stopEngineRTD stopped str ' +\n 'sunOrMoon supportInfo suppressFor surfaceIsWater surfaceNormal surfaceType swimInDepth ' +\n 'switchableUnits switchAction switchCamera switchGesture switchLight switchMove ' +\n 'synchronizedObjects synchronizedTriggers synchronizedWaypoints synchronizeObjectsAdd ' +\n 'synchronizeObjectsRemove synchronizeTrigger synchronizeWaypoint systemChat systemOfUnits tan ' +\n 'targetKnowledge targets targetsAggregate targetsQuery taskAlwaysVisible taskChildren ' +\n 'taskCompleted taskCustomData taskDescription taskDestination taskHint taskMarkerOffset taskParent ' +\n 'taskResult taskState taskType teamMember teamName teams teamSwitch teamSwitchEnabled teamType ' +\n 'terminate terrainIntersect terrainIntersectASL terrainIntersectAtASL text textLog textLogFormat ' +\n 'tg time timeMultiplier titleCut titleFadeOut titleObj titleRsc titleText toArray toFixed toLower ' +\n 'toString toUpper triggerActivated triggerActivation triggerArea triggerAttachedVehicle ' +\n 'triggerAttachObject triggerAttachVehicle triggerDynamicSimulation triggerStatements triggerText ' +\n 'triggerTimeout triggerTimeoutCurrent triggerType turretLocal turretOwner turretUnit tvAdd tvClear ' +\n 'tvCollapse tvCollapseAll tvCount tvCurSel tvData tvDelete tvExpand tvExpandAll tvPicture ' +\n 'tvSetColor tvSetCurSel tvSetData tvSetPicture tvSetPictureColor tvSetPictureColorDisabled ' +\n 'tvSetPictureColorSelected tvSetPictureRight tvSetPictureRightColor tvSetPictureRightColorDisabled ' +\n 'tvSetPictureRightColorSelected tvSetText tvSetTooltip tvSetValue tvSort tvSortByValue tvText ' +\n 'tvTooltip tvValue type typeName typeOf UAVControl uiNamespace uiSleep unassignCurator ' +\n 'unassignItem unassignTeam unassignVehicle underwater uniform uniformContainer uniformItems ' +\n 'uniformMagazines unitAddons unitAimPosition unitAimPositionVisual unitBackpack unitIsUAV unitPos ' +\n 'unitReady unitRecoilCoefficient units unitsBelowHeight unlinkItem unlockAchievement ' +\n 'unregisterTask updateDrawIcon updateMenuItem updateObjectTree useAISteeringComponent ' +\n 'useAudioTimeForMoves userInputDisabled vectorAdd vectorCos vectorCrossProduct vectorDiff ' +\n 'vectorDir vectorDirVisual vectorDistance vectorDistanceSqr vectorDotProduct vectorFromTo ' +\n 'vectorMagnitude vectorMagnitudeSqr vectorModelToWorld vectorModelToWorldVisual vectorMultiply ' +\n 'vectorNormalized vectorUp vectorUpVisual vectorWorldToModel vectorWorldToModelVisual vehicle ' +\n 'vehicleCargoEnabled vehicleChat vehicleRadio vehicleReceiveRemoteTargets vehicleReportOwnPosition ' +\n 'vehicleReportRemoteTargets vehicles vehicleVarName velocity velocityModelSpace verifySignature ' +\n 'vest vestContainer vestItems vestMagazines viewDistance visibleCompass visibleGPS visibleMap ' +\n 'visiblePosition visiblePositionASL visibleScoretable visibleWatch waves waypointAttachedObject ' +\n 'waypointAttachedVehicle waypointAttachObject waypointAttachVehicle waypointBehaviour ' +\n 'waypointCombatMode waypointCompletionRadius waypointDescription waypointForceBehaviour ' +\n 'waypointFormation waypointHousePosition waypointLoiterRadius waypointLoiterType waypointName ' +\n 'waypointPosition waypoints waypointScript waypointsEnabledUAV waypointShow waypointSpeed ' +\n 'waypointStatements waypointTimeout waypointTimeoutCurrent waypointType waypointVisible ' +\n 'weaponAccessories weaponAccessoriesCargo weaponCargo weaponDirection weaponInertia weaponLowered ' +\n 'weapons weaponsItems weaponsItemsCargo weaponState weaponsTurret weightRTD WFSideText wind ',\n literal:\n 'blufor civilian configNull controlNull displayNull east endl false grpNull independent lineBreak ' +\n 'locationNull nil objNull opfor pi resistance scriptNull sideAmbientLife sideEmpty sideLogic ' +\n 'sideUnknown taskNull teamMemberNull true west',\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.NUMBER_MODE,\n VARIABLE,\n FUNCTION,\n STRINGS,\n PREPROCESSOR\n ],\n illegal: /#|^\\$ /\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/sqf.js"],"names":["module","exports","hljs","STRINGS","className","variants","begin","end","contains","relevance","PREPROCESSOR","keywords","inherit","illegal","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","aliases","case_insensitive","keyword","built_in","literal","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAcIC,EAAU,CACZC,UAAW,SACXC,SAAU,CACR,CACEC,MAAO,IACPC,IAAK,IACLC,SAAU,CAAC,CAACF,MAAO,KAAMG,UAAW,KAEtC,CACEH,MAAO,IACPC,IAAK,IACLC,SAAU,CAAC,CAACF,MAAO,KAAQG,UAAW,OAOxCC,EAAe,CACjBN,UAAW,OACXE,MAAO,eAAgBC,IAAK,IAC5BI,SAAU,CACR,eACE,gDAEJH,SAAU,CACR,CACEF,MAAO,OAAQG,UAAW,GAE5BP,EAAKU,QAAQT,EAAS,CAACC,UAAW,gBAClC,CACEA,UAAW,cACXE,MAAO,YAAaC,IAAK,IACzBM,QAAS,OAEXX,EAAKY,oBACLZ,EAAKa,uBAIT,MAAO,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClBN,SAAU,CACRO,QACE,qHAEFC,SACE,418BAyVFC,QACE,8OAIJZ,SAAU,CACRN,EAAKY,oBACLZ,EAAKa,qBACLb,EAAKmB,YA/ZM,CACbjB,UAAW,WACXE,MAAO,oBAKM,CACbF,UAAW,QACXE,MAAO,gCAyZLH,EACAO,GAEFG,QAAS","file":"highlight/sqf.js?v=c99e663a86f2f2812d8c","sourcesContent":["module.exports = function(hljs) {\n // In SQF, a variable start with _\n var VARIABLE = {\n className: 'variable',\n begin: /\\b_+[a-zA-Z_]\\w*/\n };\n\n // In SQF, a function should fit myTag_fnc_myFunction pattern\n // https://community.bistudio.com/wiki/Functions_Library_(Arma_3)#Adding_a_Function\n var FUNCTION = {\n className: 'title',\n begin: /[a-zA-Z][a-zA-Z0-9]+_fnc_\\w*/\n };\n\n // In SQF strings, quotes matching the start are escaped by adding a consecutive.\n // Example of single escaped quotes: \" \"\" \" and ' '' '.\n var STRINGS = {\n className: 'string',\n variants: [\n {\n begin: '\"',\n end: '\"',\n contains: [{begin: '\"\"', relevance: 0}]\n },\n {\n begin: '\\'',\n end: '\\'',\n contains: [{begin: '\\'\\'', relevance: 0}]\n }\n ]\n };\n\n // list of keywords from:\n // https://community.bistudio.com/wiki/PreProcessor_Commands\n var PREPROCESSOR = {\n className: 'meta',\n begin: /#\\s*[a-z]+\\b/, end: /$/,\n keywords: {\n 'meta-keyword':\n 'define undef ifdef ifndef else endif include'\n },\n contains: [\n {\n begin: /\\\\\\n/, relevance: 0\n },\n hljs.inherit(STRINGS, {className: 'meta-string'}),\n {\n className: 'meta-string',\n begin: /<[^\\n>]*>/, end: /$/,\n illegal: '\\\\n',\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n\n return {\n aliases: ['sqf'],\n case_insensitive: true,\n keywords: {\n keyword:\n 'case catch default do else exit exitWith for forEach from if ' +\n 'private switch then throw to try waitUntil while with',\n built_in:\n 'abs accTime acos action actionIDs actionKeys actionKeysImages actionKeysNames ' +\n 'actionKeysNamesArray actionName actionParams activateAddons activatedAddons activateKey ' +\n 'add3DENConnection add3DENEventHandler add3DENLayer addAction addBackpack addBackpackCargo ' +\n 'addBackpackCargoGlobal addBackpackGlobal addCamShake addCuratorAddons addCuratorCameraArea ' +\n 'addCuratorEditableObjects addCuratorEditingArea addCuratorPoints addEditorObject addEventHandler ' +\n 'addForce addGoggles addGroupIcon addHandgunItem addHeadgear addItem addItemCargo ' +\n 'addItemCargoGlobal addItemPool addItemToBackpack addItemToUniform addItemToVest addLiveStats ' +\n 'addMagazine addMagazineAmmoCargo addMagazineCargo addMagazineCargoGlobal addMagazineGlobal ' +\n 'addMagazinePool addMagazines addMagazineTurret addMenu addMenuItem addMissionEventHandler ' +\n 'addMPEventHandler addMusicEventHandler addOwnedMine addPlayerScores addPrimaryWeaponItem ' +\n 'addPublicVariableEventHandler addRating addResources addScore addScoreSide addSecondaryWeaponItem ' +\n 'addSwitchableUnit addTeamMember addToRemainsCollector addTorque addUniform addVehicle addVest ' +\n 'addWaypoint addWeapon addWeaponCargo addWeaponCargoGlobal addWeaponGlobal addWeaponItem ' +\n 'addWeaponPool addWeaponTurret admin agent agents AGLToASL aimedAtTarget aimPos airDensityRTD ' +\n 'airplaneThrottle airportSide AISFinishHeal alive all3DENEntities allAirports allControls ' +\n 'allCurators allCutLayers allDead allDeadMen allDisplays allGroups allMapMarkers allMines ' +\n 'allMissionObjects allow3DMode allowCrewInImmobile allowCuratorLogicIgnoreAreas allowDamage ' +\n 'allowDammage allowFileOperations allowFleeing allowGetIn allowSprint allPlayers allSimpleObjects ' +\n 'allSites allTurrets allUnits allUnitsUAV allVariables ammo ammoOnPylon and animate animateBay ' +\n 'animateDoor animatePylon animateSource animationNames animationPhase animationSourcePhase ' +\n 'animationState append apply armoryPoints arrayIntersect asin ASLToAGL ASLToATL assert ' +\n 'assignAsCargo assignAsCargoIndex assignAsCommander assignAsDriver assignAsGunner assignAsTurret ' +\n 'assignCurator assignedCargo assignedCommander assignedDriver assignedGunner assignedItems ' +\n 'assignedTarget assignedTeam assignedVehicle assignedVehicleRole assignItem assignTeam ' +\n 'assignToAirport atan atan2 atg ATLToASL attachedObject attachedObjects attachedTo attachObject ' +\n 'attachTo attackEnabled backpack backpackCargo backpackContainer backpackItems backpackMagazines ' +\n 'backpackSpaceFor behaviour benchmark binocular boundingBox boundingBoxReal boundingCenter ' +\n 'breakOut breakTo briefingName buildingExit buildingPos buttonAction buttonSetAction cadetMode ' +\n 'call callExtension camCommand camCommit camCommitPrepared camCommitted camConstuctionSetParams ' +\n 'camCreate camDestroy cameraEffect cameraEffectEnableHUD cameraInterest cameraOn cameraView ' +\n 'campaignConfigFile camPreload camPreloaded camPrepareBank camPrepareDir camPrepareDive ' +\n 'camPrepareFocus camPrepareFov camPrepareFovRange camPreparePos camPrepareRelPos camPrepareTarget ' +\n 'camSetBank camSetDir camSetDive camSetFocus camSetFov camSetFovRange camSetPos camSetRelPos ' +\n 'camSetTarget camTarget camUseNVG canAdd canAddItemToBackpack canAddItemToUniform canAddItemToVest ' +\n 'cancelSimpleTaskDestination canFire canMove canSlingLoad canStand canSuspend ' +\n 'canTriggerDynamicSimulation canUnloadInCombat canVehicleCargo captive captiveNum cbChecked ' +\n 'cbSetChecked ceil channelEnabled cheatsEnabled checkAIFeature checkVisibility className ' +\n 'clearAllItemsFromBackpack clearBackpackCargo clearBackpackCargoGlobal clearGroupIcons ' +\n 'clearItemCargo clearItemCargoGlobal clearItemPool clearMagazineCargo clearMagazineCargoGlobal ' +\n 'clearMagazinePool clearOverlay clearRadio clearWeaponCargo clearWeaponCargoGlobal clearWeaponPool ' +\n 'clientOwner closeDialog closeDisplay closeOverlay collapseObjectTree collect3DENHistory ' +\n 'collectiveRTD combatMode commandArtilleryFire commandChat commander commandFire commandFollow ' +\n 'commandFSM commandGetOut commandingMenu commandMove commandRadio commandStop ' +\n 'commandSuppressiveFire commandTarget commandWatch comment commitOverlay compile compileFinal ' +\n 'completedFSM composeText configClasses configFile configHierarchy configName configProperties ' +\n 'configSourceAddonList configSourceMod configSourceModList confirmSensorTarget ' +\n 'connectTerminalToUAV controlsGroupCtrl copyFromClipboard copyToClipboard copyWaypoints cos count ' +\n 'countEnemy countFriendly countSide countType countUnknown create3DENComposition create3DENEntity ' +\n 'createAgent createCenter createDialog createDiaryLink createDiaryRecord createDiarySubject ' +\n 'createDisplay createGearDialog createGroup createGuardedPoint createLocation createMarker ' +\n 'createMarkerLocal createMenu createMine createMissionDisplay createMPCampaignDisplay ' +\n 'createSimpleObject createSimpleTask createSite createSoundSource createTask createTeam ' +\n 'createTrigger createUnit createVehicle createVehicleCrew createVehicleLocal crew ctAddHeader ' +\n 'ctAddRow ctClear ctCurSel ctData ctFindHeaderRows ctFindRowHeader ctHeaderControls ctHeaderCount ' +\n 'ctRemoveHeaders ctRemoveRows ctrlActivate ctrlAddEventHandler ctrlAngle ctrlAutoScrollDelay ' +\n 'ctrlAutoScrollRewind ctrlAutoScrollSpeed ctrlChecked ctrlClassName ctrlCommit ctrlCommitted ' +\n 'ctrlCreate ctrlDelete ctrlEnable ctrlEnabled ctrlFade ctrlHTMLLoaded ctrlIDC ctrlIDD ' +\n 'ctrlMapAnimAdd ctrlMapAnimClear ctrlMapAnimCommit ctrlMapAnimDone ctrlMapCursor ctrlMapMouseOver ' +\n 'ctrlMapScale ctrlMapScreenToWorld ctrlMapWorldToScreen ctrlModel ctrlModelDirAndUp ctrlModelScale ' +\n 'ctrlParent ctrlParentControlsGroup ctrlPosition ctrlRemoveAllEventHandlers ctrlRemoveEventHandler ' +\n 'ctrlScale ctrlSetActiveColor ctrlSetAngle ctrlSetAutoScrollDelay ctrlSetAutoScrollRewind ' +\n 'ctrlSetAutoScrollSpeed ctrlSetBackgroundColor ctrlSetChecked ctrlSetEventHandler ctrlSetFade ' +\n 'ctrlSetFocus ctrlSetFont ctrlSetFontH1 ctrlSetFontH1B ctrlSetFontH2 ctrlSetFontH2B ctrlSetFontH3 ' +\n 'ctrlSetFontH3B ctrlSetFontH4 ctrlSetFontH4B ctrlSetFontH5 ctrlSetFontH5B ctrlSetFontH6 ' +\n 'ctrlSetFontH6B ctrlSetFontHeight ctrlSetFontHeightH1 ctrlSetFontHeightH2 ctrlSetFontHeightH3 ' +\n 'ctrlSetFontHeightH4 ctrlSetFontHeightH5 ctrlSetFontHeightH6 ctrlSetFontHeightSecondary ' +\n 'ctrlSetFontP ctrlSetFontPB ctrlSetFontSecondary ctrlSetForegroundColor ctrlSetModel ' +\n 'ctrlSetModelDirAndUp ctrlSetModelScale ctrlSetPixelPrecision ctrlSetPosition ctrlSetScale ' +\n 'ctrlSetStructuredText ctrlSetText ctrlSetTextColor ctrlSetTooltip ctrlSetTooltipColorBox ' +\n 'ctrlSetTooltipColorShade ctrlSetTooltipColorText ctrlShow ctrlShown ctrlText ctrlTextHeight ' +\n 'ctrlTextWidth ctrlType ctrlVisible ctRowControls ctRowCount ctSetCurSel ctSetData ' +\n 'ctSetHeaderTemplate ctSetRowTemplate ctSetValue ctValue curatorAddons curatorCamera ' +\n 'curatorCameraArea curatorCameraAreaCeiling curatorCoef curatorEditableObjects curatorEditingArea ' +\n 'curatorEditingAreaType curatorMouseOver curatorPoints curatorRegisteredObjects curatorSelected ' +\n 'curatorWaypointCost current3DENOperation currentChannel currentCommand currentMagazine ' +\n 'currentMagazineDetail currentMagazineDetailTurret currentMagazineTurret currentMuzzle ' +\n 'currentNamespace currentTask currentTasks currentThrowable currentVisionMode currentWaypoint ' +\n 'currentWeapon currentWeaponMode currentWeaponTurret currentZeroing cursorObject cursorTarget ' +\n 'customChat customRadio cutFadeOut cutObj cutRsc cutText damage date dateToNumber daytime ' +\n 'deActivateKey debriefingText debugFSM debugLog deg delete3DENEntities deleteAt deleteCenter ' +\n 'deleteCollection deleteEditorObject deleteGroup deleteGroupWhenEmpty deleteIdentity ' +\n 'deleteLocation deleteMarker deleteMarkerLocal deleteRange deleteResources deleteSite deleteStatus ' +\n 'deleteTeam deleteVehicle deleteVehicleCrew deleteWaypoint detach detectedMines ' +\n 'diag_activeMissionFSMs diag_activeScripts diag_activeSQFScripts diag_activeSQSScripts ' +\n 'diag_captureFrame diag_captureFrameToFile diag_captureSlowFrame diag_codePerformance ' +\n 'diag_drawMode diag_enable diag_enabled diag_fps diag_fpsMin diag_frameNo diag_lightNewLoad ' +\n 'diag_list diag_log diag_logSlowFrame diag_mergeConfigFile diag_recordTurretLimits ' +\n 'diag_setLightNew diag_tickTime diag_toggle dialog diarySubjectExists didJIP didJIPOwner ' +\n 'difficulty difficultyEnabled difficultyEnabledRTD difficultyOption direction directSay disableAI ' +\n 'disableCollisionWith disableConversation disableDebriefingStats disableMapIndicators ' +\n 'disableNVGEquipment disableRemoteSensors disableSerialization disableTIEquipment ' +\n 'disableUAVConnectability disableUserInput displayAddEventHandler displayCtrl displayParent ' +\n 'displayRemoveAllEventHandlers displayRemoveEventHandler displaySetEventHandler dissolveTeam ' +\n 'distance distance2D distanceSqr distributionRegion do3DENAction doArtilleryFire doFire doFollow ' +\n 'doFSM doGetOut doMove doorPhase doStop doSuppressiveFire doTarget doWatch drawArrow drawEllipse ' +\n 'drawIcon drawIcon3D drawLine drawLine3D drawLink drawLocation drawPolygon drawRectangle ' +\n 'drawTriangle driver drop dynamicSimulationDistance dynamicSimulationDistanceCoef ' +\n 'dynamicSimulationEnabled dynamicSimulationSystemEnabled echo edit3DENMissionAttributes editObject ' +\n 'editorSetEventHandler effectiveCommander emptyPositions enableAI enableAIFeature ' +\n 'enableAimPrecision enableAttack enableAudioFeature enableAutoStartUpRTD enableAutoTrimRTD ' +\n 'enableCamShake enableCaustics enableChannel enableCollisionWith enableCopilot ' +\n 'enableDebriefingStats enableDiagLegend enableDynamicSimulation enableDynamicSimulationSystem ' +\n 'enableEndDialog enableEngineArtillery enableEnvironment enableFatigue enableGunLights ' +\n 'enableInfoPanelComponent enableIRLasers enableMimics enablePersonTurret enableRadio enableReload ' +\n 'enableRopeAttach enableSatNormalOnDetail enableSaving enableSentences enableSimulation ' +\n 'enableSimulationGlobal enableStamina enableTeamSwitch enableTraffic enableUAVConnectability ' +\n 'enableUAVWaypoints enableVehicleCargo enableVehicleSensor enableWeaponDisassembly ' +\n 'endLoadingScreen endMission engineOn enginesIsOnRTD enginesRpmRTD enginesTorqueRTD entities ' +\n 'environmentEnabled estimatedEndServerTime estimatedTimeLeft evalObjectArgument everyBackpack ' +\n 'everyContainer exec execEditorScript execFSM execVM exp expectedDestination exportJIPMessages ' +\n 'eyeDirection eyePos face faction fadeMusic fadeRadio fadeSound fadeSpeech failMission ' +\n 'fillWeaponsFromPool find findCover findDisplay findEditorObject findEmptyPosition ' +\n 'findEmptyPositionReady findIf findNearestEnemy finishMissionInit finite fire fireAtTarget ' +\n 'firstBackpack flag flagAnimationPhase flagOwner flagSide flagTexture fleeing floor flyInHeight ' +\n 'flyInHeightASL fog fogForecast fogParams forceAddUniform forcedMap forceEnd forceFlagTexture ' +\n 'forceFollowRoad forceMap forceRespawn forceSpeed forceWalk forceWeaponFire forceWeatherChange ' +\n 'forEachMember forEachMemberAgent forEachMemberTeam forgetTarget format formation ' +\n 'formationDirection formationLeader formationMembers formationPosition formationTask formatText ' +\n 'formLeader freeLook fromEditor fuel fullCrew gearIDCAmmoCount gearSlotAmmoCount gearSlotData ' +\n 'get3DENActionState get3DENAttribute get3DENCamera get3DENConnections get3DENEntity ' +\n 'get3DENEntityID get3DENGrid get3DENIconsVisible get3DENLayerEntities get3DENLinesVisible ' +\n 'get3DENMissionAttribute get3DENMouseOver get3DENSelected getAimingCoef getAllEnvSoundControllers ' +\n 'getAllHitPointsDamage getAllOwnedMines getAllSoundControllers getAmmoCargo getAnimAimPrecision ' +\n 'getAnimSpeedCoef getArray getArtilleryAmmo getArtilleryComputerSettings getArtilleryETA ' +\n 'getAssignedCuratorLogic getAssignedCuratorUnit getBackpackCargo getBleedingRemaining ' +\n 'getBurningValue getCameraViewDirection getCargoIndex getCenterOfMass getClientState ' +\n 'getClientStateNumber getCompatiblePylonMagazines getConnectedUAV getContainerMaxLoad ' +\n 'getCursorObjectParams getCustomAimCoef getDammage getDescription getDir getDirVisual ' +\n 'getDLCAssetsUsage getDLCAssetsUsageByName getDLCs getEditorCamera getEditorMode ' +\n 'getEditorObjectScope getElevationOffset getEnvSoundController getFatigue getForcedFlagTexture ' +\n 'getFriend getFSMVariable getFuelCargo getGroupIcon getGroupIconParams getGroupIcons getHideFrom ' +\n 'getHit getHitIndex getHitPointDamage getItemCargo getMagazineCargo getMarkerColor getMarkerPos ' +\n 'getMarkerSize getMarkerType getMass getMissionConfig getMissionConfigValue getMissionDLCs ' +\n 'getMissionLayerEntities getModelInfo getMousePosition getMusicPlayedTime getNumber ' +\n 'getObjectArgument getObjectChildren getObjectDLC getObjectMaterials getObjectProxy ' +\n 'getObjectTextures getObjectType getObjectViewDistance getOxygenRemaining getPersonUsedDLCs ' +\n 'getPilotCameraDirection getPilotCameraPosition getPilotCameraRotation getPilotCameraTarget ' +\n 'getPlateNumber getPlayerChannel getPlayerScores getPlayerUID getPos getPosASL getPosASLVisual ' +\n 'getPosASLW getPosATL getPosATLVisual getPosVisual getPosWorld getPylonMagazines getRelDir ' +\n 'getRelPos getRemoteSensorsDisabled getRepairCargo getResolution getShadowDistance getShotParents ' +\n 'getSlingLoad getSoundController getSoundControllerResult getSpeed getStamina getStatValue ' +\n 'getSuppression getTerrainGrid getTerrainHeightASL getText getTotalDLCUsageTime getUnitLoadout ' +\n 'getUnitTrait getUserMFDText getUserMFDvalue getVariable getVehicleCargo getWeaponCargo ' +\n 'getWeaponSway getWingsOrientationRTD getWingsPositionRTD getWPPos glanceAt globalChat globalRadio ' +\n 'goggles goto group groupChat groupFromNetId groupIconSelectable groupIconsVisible groupId ' +\n 'groupOwner groupRadio groupSelectedUnits groupSelectUnit gunner gusts halt handgunItems ' +\n 'handgunMagazine handgunWeapon handsHit hasInterface hasPilotCamera hasWeapon hcAllGroups ' +\n 'hcGroupParams hcLeader hcRemoveAllGroups hcRemoveGroup hcSelected hcSelectGroup hcSetGroup ' +\n 'hcShowBar hcShownBar headgear hideBody hideObject hideObjectGlobal hideSelection hint hintC ' +\n 'hintCadet hintSilent hmd hostMission htmlLoad HUDMovementLevels humidity image importAllGroups ' +\n 'importance in inArea inAreaArray incapacitatedState inflame inflamed infoPanel ' +\n 'infoPanelComponentEnabled infoPanelComponents infoPanels inGameUISetEventHandler inheritsFrom ' +\n 'initAmbientLife inPolygon inputAction inRangeOfArtillery insertEditorObject intersect is3DEN ' +\n 'is3DENMultiplayer isAbleToBreathe isAgent isArray isAutoHoverOn isAutonomous isAutotest ' +\n 'isBleeding isBurning isClass isCollisionLightOn isCopilotEnabled isDamageAllowed isDedicated ' +\n 'isDLCAvailable isEngineOn isEqualTo isEqualType isEqualTypeAll isEqualTypeAny isEqualTypeArray ' +\n 'isEqualTypeParams isFilePatchingEnabled isFlashlightOn isFlatEmpty isForcedWalk isFormationLeader ' +\n 'isGroupDeletedWhenEmpty isHidden isInRemainsCollector isInstructorFigureEnabled isIRLaserOn ' +\n 'isKeyActive isKindOf isLaserOn isLightOn isLocalized isManualFire isMarkedForCollection ' +\n 'isMultiplayer isMultiplayerSolo isNil isNull isNumber isObjectHidden isObjectRTD isOnRoad ' +\n 'isPipEnabled isPlayer isRealTime isRemoteExecuted isRemoteExecutedJIP isServer isShowing3DIcons ' +\n 'isSimpleObject isSprintAllowed isStaminaEnabled isSteamMission isStreamFriendlyUIEnabled isText ' +\n 'isTouchingGround isTurnedOut isTutHintsEnabled isUAVConnectable isUAVConnected isUIContext ' +\n 'isUniformAllowed isVehicleCargo isVehicleRadarOn isVehicleSensorEnabled isWalking ' +\n 'isWeaponDeployed isWeaponRested itemCargo items itemsWithMagazines join joinAs joinAsSilent ' +\n 'joinSilent joinString kbAddDatabase kbAddDatabaseTargets kbAddTopic kbHasTopic kbReact ' +\n 'kbRemoveTopic kbTell kbWasSaid keyImage keyName knowsAbout land landAt landResult language ' +\n 'laserTarget lbAdd lbClear lbColor lbColorRight lbCurSel lbData lbDelete lbIsSelected lbPicture ' +\n 'lbPictureRight lbSelection lbSetColor lbSetColorRight lbSetCurSel lbSetData lbSetPicture ' +\n 'lbSetPictureColor lbSetPictureColorDisabled lbSetPictureColorSelected lbSetPictureRight ' +\n 'lbSetPictureRightColor lbSetPictureRightColorDisabled lbSetPictureRightColorSelected ' +\n 'lbSetSelectColor lbSetSelectColorRight lbSetSelected lbSetText lbSetTextRight lbSetTooltip ' +\n 'lbSetValue lbSize lbSort lbSortByValue lbText lbTextRight lbValue leader leaderboardDeInit ' +\n 'leaderboardGetRows leaderboardInit leaderboardRequestRowsFriends leaderboardsRequestUploadScore ' +\n 'leaderboardsRequestUploadScoreKeepBest leaderboardState leaveVehicle libraryCredits ' +\n 'libraryDisclaimers lifeState lightAttachObject lightDetachObject lightIsOn lightnings limitSpeed ' +\n 'linearConversion lineIntersects lineIntersectsObjs lineIntersectsSurfaces lineIntersectsWith ' +\n 'linkItem list listObjects listRemoteTargets listVehicleSensors ln lnbAddArray lnbAddColumn ' +\n 'lnbAddRow lnbClear lnbColor lnbCurSelRow lnbData lnbDeleteColumn lnbDeleteRow ' +\n 'lnbGetColumnsPosition lnbPicture lnbSetColor lnbSetColumnsPos lnbSetCurSelRow lnbSetData ' +\n 'lnbSetPicture lnbSetText lnbSetValue lnbSize lnbSort lnbSortByValue lnbText lnbValue load loadAbs ' +\n 'loadBackpack loadFile loadGame loadIdentity loadMagazine loadOverlay loadStatus loadUniform ' +\n 'loadVest local localize locationPosition lock lockCameraTo lockCargo lockDriver locked ' +\n 'lockedCargo lockedDriver lockedTurret lockIdentity lockTurret lockWP log logEntities logNetwork ' +\n 'logNetworkTerminate lookAt lookAtPos magazineCargo magazines magazinesAllTurrets magazinesAmmo ' +\n 'magazinesAmmoCargo magazinesAmmoFull magazinesDetail magazinesDetailBackpack ' +\n 'magazinesDetailUniform magazinesDetailVest magazinesTurret magazineTurretAmmo mapAnimAdd ' +\n 'mapAnimClear mapAnimCommit mapAnimDone mapCenterOnCamera mapGridPosition markAsFinishedOnSteam ' +\n 'markerAlpha markerBrush markerColor markerDir markerPos markerShape markerSize markerText ' +\n 'markerType max members menuAction menuAdd menuChecked menuClear menuCollapse menuData menuDelete ' +\n 'menuEnable menuEnabled menuExpand menuHover menuPicture menuSetAction menuSetCheck menuSetData ' +\n 'menuSetPicture menuSetValue menuShortcut menuShortcutText menuSize menuSort menuText menuURL ' +\n 'menuValue min mineActive mineDetectedBy missionConfigFile missionDifficulty missionName ' +\n 'missionNamespace missionStart missionVersion mod modelToWorld modelToWorldVisual ' +\n 'modelToWorldVisualWorld modelToWorldWorld modParams moonIntensity moonPhase morale move ' +\n 'move3DENCamera moveInAny moveInCargo moveInCommander moveInDriver moveInGunner moveInTurret ' +\n 'moveObjectToEnd moveOut moveTime moveTo moveToCompleted moveToFailed musicVolume name nameSound ' +\n 'nearEntities nearestBuilding nearestLocation nearestLocations nearestLocationWithDubbing ' +\n 'nearestObject nearestObjects nearestTerrainObjects nearObjects nearObjectsReady nearRoads ' +\n 'nearSupplies nearTargets needReload netId netObjNull newOverlay nextMenuItemIndex ' +\n 'nextWeatherChange nMenuItems not numberOfEnginesRTD numberToDate objectCurators objectFromNetId ' +\n 'objectParent objStatus onBriefingGroup onBriefingNotes onBriefingPlan onBriefingTeamSwitch ' +\n 'onCommandModeChanged onDoubleClick onEachFrame onGroupIconClick onGroupIconOverEnter ' +\n 'onGroupIconOverLeave onHCGroupSelectionChanged onMapSingleClick onPlayerConnected ' +\n 'onPlayerDisconnected onPreloadFinished onPreloadStarted onShowNewObject onTeamSwitch ' +\n 'openCuratorInterface openDLCPage openMap openSteamApp openYoutubeVideo or orderGetIn overcast ' +\n 'overcastForecast owner param params parseNumber parseSimpleArray parseText parsingNamespace ' +\n 'particlesQuality pickWeaponPool pitch pixelGrid pixelGridBase pixelGridNoUIScale pixelH pixelW ' +\n 'playableSlotsNumber playableUnits playAction playActionNow player playerRespawnTime playerSide ' +\n 'playersNumber playGesture playMission playMove playMoveNow playMusic playScriptedMission ' +\n 'playSound playSound3D position positionCameraToWorld posScreenToWorld posWorldToScreen ' +\n 'ppEffectAdjust ppEffectCommit ppEffectCommitted ppEffectCreate ppEffectDestroy ppEffectEnable ' +\n 'ppEffectEnabled ppEffectForceInNVG precision preloadCamera preloadObject preloadSound ' +\n 'preloadTitleObj preloadTitleRsc preprocessFile preprocessFileLineNumbers primaryWeapon ' +\n 'primaryWeaponItems primaryWeaponMagazine priority processDiaryLink productVersion profileName ' +\n 'profileNamespace profileNameSteam progressLoadingScreen progressPosition progressSetPosition ' +\n 'publicVariable publicVariableClient publicVariableServer pushBack pushBackUnique putWeaponPool ' +\n 'queryItemsPool queryMagazinePool queryWeaponPool rad radioChannelAdd radioChannelCreate ' +\n 'radioChannelRemove radioChannelSetCallSign radioChannelSetLabel radioVolume rain rainbow random ' +\n 'rank rankId rating rectangular registeredTasks registerTask reload reloadEnabled remoteControl ' +\n 'remoteExec remoteExecCall remoteExecutedOwner remove3DENConnection remove3DENEventHandler ' +\n 'remove3DENLayer removeAction removeAll3DENEventHandlers removeAllActions removeAllAssignedItems ' +\n 'removeAllContainers removeAllCuratorAddons removeAllCuratorCameraAreas ' +\n 'removeAllCuratorEditingAreas removeAllEventHandlers removeAllHandgunItems removeAllItems ' +\n 'removeAllItemsWithMagazines removeAllMissionEventHandlers removeAllMPEventHandlers ' +\n 'removeAllMusicEventHandlers removeAllOwnedMines removeAllPrimaryWeaponItems removeAllWeapons ' +\n 'removeBackpack removeBackpackGlobal removeCuratorAddons removeCuratorCameraArea ' +\n 'removeCuratorEditableObjects removeCuratorEditingArea removeDrawIcon removeDrawLinks ' +\n 'removeEventHandler removeFromRemainsCollector removeGoggles removeGroupIcon removeHandgunItem ' +\n 'removeHeadgear removeItem removeItemFromBackpack removeItemFromUniform removeItemFromVest ' +\n 'removeItems removeMagazine removeMagazineGlobal removeMagazines removeMagazinesTurret ' +\n 'removeMagazineTurret removeMenuItem removeMissionEventHandler removeMPEventHandler ' +\n 'removeMusicEventHandler removeOwnedMine removePrimaryWeaponItem removeSecondaryWeaponItem ' +\n 'removeSimpleTask removeSwitchableUnit removeTeamMember removeUniform removeVest removeWeapon ' +\n 'removeWeaponAttachmentCargo removeWeaponCargo removeWeaponGlobal removeWeaponTurret ' +\n 'reportRemoteTarget requiredVersion resetCamShake resetSubgroupDirection resize resources ' +\n 'respawnVehicle restartEditorCamera reveal revealMine reverse reversedMouseY roadAt ' +\n 'roadsConnectedTo roleDescription ropeAttachedObjects ropeAttachedTo ropeAttachEnabled ' +\n 'ropeAttachTo ropeCreate ropeCut ropeDestroy ropeDetach ropeEndPosition ropeLength ropes ' +\n 'ropeUnwind ropeUnwound rotorsForcesRTD rotorsRpmRTD round runInitScript safeZoneH safeZoneW ' +\n 'safeZoneWAbs safeZoneX safeZoneXAbs safeZoneY save3DENInventory saveGame saveIdentity ' +\n 'saveJoysticks saveOverlay saveProfileNamespace saveStatus saveVar savingEnabled say say2D say3D ' +\n 'scopeName score scoreSide screenshot screenToWorld scriptDone scriptName scudState ' +\n 'secondaryWeapon secondaryWeaponItems secondaryWeaponMagazine select selectBestPlaces ' +\n 'selectDiarySubject selectedEditorObjects selectEditorObject selectionNames selectionPosition ' +\n 'selectLeader selectMax selectMin selectNoPlayer selectPlayer selectRandom selectRandomWeighted ' +\n 'selectWeapon selectWeaponTurret sendAUMessage sendSimpleCommand sendTask sendTaskResult ' +\n 'sendUDPMessage serverCommand serverCommandAvailable serverCommandExecutable serverName serverTime ' +\n 'set set3DENAttribute set3DENAttributes set3DENGrid set3DENIconsVisible set3DENLayer ' +\n 'set3DENLinesVisible set3DENLogicType set3DENMissionAttribute set3DENMissionAttributes ' +\n 'set3DENModelsVisible set3DENObjectType set3DENSelected setAccTime setActualCollectiveRTD ' +\n 'setAirplaneThrottle setAirportSide setAmmo setAmmoCargo setAmmoOnPylon setAnimSpeedCoef ' +\n 'setAperture setApertureNew setArmoryPoints setAttributes setAutonomous setBehaviour ' +\n 'setBleedingRemaining setBrakesRTD setCameraInterest setCamShakeDefParams setCamShakeParams ' +\n 'setCamUseTI setCaptive setCenterOfMass setCollisionLight setCombatMode setCompassOscillation ' +\n 'setConvoySeparation setCuratorCameraAreaCeiling setCuratorCoef setCuratorEditingAreaType ' +\n 'setCuratorWaypointCost setCurrentChannel setCurrentTask setCurrentWaypoint setCustomAimCoef ' +\n 'setCustomWeightRTD setDamage setDammage setDate setDebriefingText setDefaultCamera setDestination ' +\n 'setDetailMapBlendPars setDir setDirection setDrawIcon setDriveOnPath setDropInterval ' +\n 'setDynamicSimulationDistance setDynamicSimulationDistanceCoef setEditorMode setEditorObjectScope ' +\n 'setEffectCondition setEngineRPMRTD setFace setFaceAnimation setFatigue setFeatureType ' +\n 'setFlagAnimationPhase setFlagOwner setFlagSide setFlagTexture setFog setFormation ' +\n 'setFormationTask setFormDir setFriend setFromEditor setFSMVariable setFuel setFuelCargo ' +\n 'setGroupIcon setGroupIconParams setGroupIconsSelectable setGroupIconsVisible setGroupId ' +\n 'setGroupIdGlobal setGroupOwner setGusts setHideBehind setHit setHitIndex setHitPointDamage ' +\n 'setHorizonParallaxCoef setHUDMovementLevels setIdentity setImportance setInfoPanel setLeader ' +\n 'setLightAmbient setLightAttenuation setLightBrightness setLightColor setLightDayLight ' +\n 'setLightFlareMaxDistance setLightFlareSize setLightIntensity setLightnings setLightUseFlare ' +\n 'setLocalWindParams setMagazineTurretAmmo setMarkerAlpha setMarkerAlphaLocal setMarkerBrush ' +\n 'setMarkerBrushLocal setMarkerColor setMarkerColorLocal setMarkerDir setMarkerDirLocal ' +\n 'setMarkerPos setMarkerPosLocal setMarkerShape setMarkerShapeLocal setMarkerSize ' +\n 'setMarkerSizeLocal setMarkerText setMarkerTextLocal setMarkerType setMarkerTypeLocal setMass ' +\n 'setMimic setMousePosition setMusicEffect setMusicEventHandler setName setNameSound ' +\n 'setObjectArguments setObjectMaterial setObjectMaterialGlobal setObjectProxy setObjectTexture ' +\n 'setObjectTextureGlobal setObjectViewDistance setOvercast setOwner setOxygenRemaining ' +\n 'setParticleCircle setParticleClass setParticleFire setParticleParams setParticleRandom ' +\n 'setPilotCameraDirection setPilotCameraRotation setPilotCameraTarget setPilotLight setPiPEffect ' +\n 'setPitch setPlateNumber setPlayable setPlayerRespawnTime setPos setPosASL setPosASL2 setPosASLW ' +\n 'setPosATL setPosition setPosWorld setPylonLoadOut setPylonsPriority setRadioMsg setRain ' +\n 'setRainbow setRandomLip setRank setRectangular setRepairCargo setRotorBrakeRTD setShadowDistance ' +\n 'setShotParents setSide setSimpleTaskAlwaysVisible setSimpleTaskCustomData ' +\n 'setSimpleTaskDescription setSimpleTaskDestination setSimpleTaskTarget setSimpleTaskType ' +\n 'setSimulWeatherLayers setSize setSkill setSlingLoad setSoundEffect setSpeaker setSpeech ' +\n 'setSpeedMode setStamina setStaminaScheme setStatValue setSuppression setSystemOfUnits ' +\n 'setTargetAge setTaskMarkerOffset setTaskResult setTaskState setTerrainGrid setText ' +\n 'setTimeMultiplier setTitleEffect setTrafficDensity setTrafficDistance setTrafficGap ' +\n 'setTrafficSpeed setTriggerActivation setTriggerArea setTriggerStatements setTriggerText ' +\n 'setTriggerTimeout setTriggerType setType setUnconscious setUnitAbility setUnitLoadout setUnitPos ' +\n 'setUnitPosWeak setUnitRank setUnitRecoilCoefficient setUnitTrait setUnloadInCombat ' +\n 'setUserActionText setUserMFDText setUserMFDvalue setVariable setVectorDir setVectorDirAndUp ' +\n 'setVectorUp setVehicleAmmo setVehicleAmmoDef setVehicleArmor setVehicleCargo setVehicleId ' +\n 'setVehicleLock setVehiclePosition setVehicleRadar setVehicleReceiveRemoteTargets ' +\n 'setVehicleReportOwnPosition setVehicleReportRemoteTargets setVehicleTIPars setVehicleVarName ' +\n 'setVelocity setVelocityModelSpace setVelocityTransformation setViewDistance ' +\n 'setVisibleIfTreeCollapsed setWantedRPMRTD setWaves setWaypointBehaviour setWaypointCombatMode ' +\n 'setWaypointCompletionRadius setWaypointDescription setWaypointForceBehaviour setWaypointFormation ' +\n 'setWaypointHousePosition setWaypointLoiterRadius setWaypointLoiterType setWaypointName ' +\n 'setWaypointPosition setWaypointScript setWaypointSpeed setWaypointStatements setWaypointTimeout ' +\n 'setWaypointType setWaypointVisible setWeaponReloadingTime setWind setWindDir setWindForce ' +\n 'setWindStr setWingForceScaleRTD setWPPos show3DIcons showChat showCinemaBorder showCommandingMenu ' +\n 'showCompass showCuratorCompass showGPS showHUD showLegend showMap shownArtilleryComputer ' +\n 'shownChat shownCompass shownCuratorCompass showNewEditorObject shownGPS shownHUD shownMap ' +\n 'shownPad shownRadio shownScoretable shownUAVFeed shownWarrant shownWatch showPad showRadio ' +\n 'showScoretable showSubtitles showUAVFeed showWarrant showWatch showWaypoint showWaypoints side ' +\n 'sideChat sideEnemy sideFriendly sideRadio simpleTasks simulationEnabled simulCloudDensity ' +\n 'simulCloudOcclusion simulInClouds simulWeatherSync sin size sizeOf skill skillFinal skipTime ' +\n 'sleep sliderPosition sliderRange sliderSetPosition sliderSetRange sliderSetSpeed sliderSpeed ' +\n 'slingLoadAssistantShown soldierMagazines someAmmo sort soundVolume spawn speaker speed speedMode ' +\n 'splitString sqrt squadParams stance startLoadingScreen step stop stopEngineRTD stopped str ' +\n 'sunOrMoon supportInfo suppressFor surfaceIsWater surfaceNormal surfaceType swimInDepth ' +\n 'switchableUnits switchAction switchCamera switchGesture switchLight switchMove ' +\n 'synchronizedObjects synchronizedTriggers synchronizedWaypoints synchronizeObjectsAdd ' +\n 'synchronizeObjectsRemove synchronizeTrigger synchronizeWaypoint systemChat systemOfUnits tan ' +\n 'targetKnowledge targets targetsAggregate targetsQuery taskAlwaysVisible taskChildren ' +\n 'taskCompleted taskCustomData taskDescription taskDestination taskHint taskMarkerOffset taskParent ' +\n 'taskResult taskState taskType teamMember teamName teams teamSwitch teamSwitchEnabled teamType ' +\n 'terminate terrainIntersect terrainIntersectASL terrainIntersectAtASL text textLog textLogFormat ' +\n 'tg time timeMultiplier titleCut titleFadeOut titleObj titleRsc titleText toArray toFixed toLower ' +\n 'toString toUpper triggerActivated triggerActivation triggerArea triggerAttachedVehicle ' +\n 'triggerAttachObject triggerAttachVehicle triggerDynamicSimulation triggerStatements triggerText ' +\n 'triggerTimeout triggerTimeoutCurrent triggerType turretLocal turretOwner turretUnit tvAdd tvClear ' +\n 'tvCollapse tvCollapseAll tvCount tvCurSel tvData tvDelete tvExpand tvExpandAll tvPicture ' +\n 'tvSetColor tvSetCurSel tvSetData tvSetPicture tvSetPictureColor tvSetPictureColorDisabled ' +\n 'tvSetPictureColorSelected tvSetPictureRight tvSetPictureRightColor tvSetPictureRightColorDisabled ' +\n 'tvSetPictureRightColorSelected tvSetText tvSetTooltip tvSetValue tvSort tvSortByValue tvText ' +\n 'tvTooltip tvValue type typeName typeOf UAVControl uiNamespace uiSleep unassignCurator ' +\n 'unassignItem unassignTeam unassignVehicle underwater uniform uniformContainer uniformItems ' +\n 'uniformMagazines unitAddons unitAimPosition unitAimPositionVisual unitBackpack unitIsUAV unitPos ' +\n 'unitReady unitRecoilCoefficient units unitsBelowHeight unlinkItem unlockAchievement ' +\n 'unregisterTask updateDrawIcon updateMenuItem updateObjectTree useAISteeringComponent ' +\n 'useAudioTimeForMoves userInputDisabled vectorAdd vectorCos vectorCrossProduct vectorDiff ' +\n 'vectorDir vectorDirVisual vectorDistance vectorDistanceSqr vectorDotProduct vectorFromTo ' +\n 'vectorMagnitude vectorMagnitudeSqr vectorModelToWorld vectorModelToWorldVisual vectorMultiply ' +\n 'vectorNormalized vectorUp vectorUpVisual vectorWorldToModel vectorWorldToModelVisual vehicle ' +\n 'vehicleCargoEnabled vehicleChat vehicleRadio vehicleReceiveRemoteTargets vehicleReportOwnPosition ' +\n 'vehicleReportRemoteTargets vehicles vehicleVarName velocity velocityModelSpace verifySignature ' +\n 'vest vestContainer vestItems vestMagazines viewDistance visibleCompass visibleGPS visibleMap ' +\n 'visiblePosition visiblePositionASL visibleScoretable visibleWatch waves waypointAttachedObject ' +\n 'waypointAttachedVehicle waypointAttachObject waypointAttachVehicle waypointBehaviour ' +\n 'waypointCombatMode waypointCompletionRadius waypointDescription waypointForceBehaviour ' +\n 'waypointFormation waypointHousePosition waypointLoiterRadius waypointLoiterType waypointName ' +\n 'waypointPosition waypoints waypointScript waypointsEnabledUAV waypointShow waypointSpeed ' +\n 'waypointStatements waypointTimeout waypointTimeoutCurrent waypointType waypointVisible ' +\n 'weaponAccessories weaponAccessoriesCargo weaponCargo weaponDirection weaponInertia weaponLowered ' +\n 'weapons weaponsItems weaponsItemsCargo weaponState weaponsTurret weightRTD WFSideText wind ',\n literal:\n 'blufor civilian configNull controlNull displayNull east endl false grpNull independent lineBreak ' +\n 'locationNull nil objNull opfor pi resistance scriptNull sideAmbientLife sideEmpty sideLogic ' +\n 'sideUnknown taskNull teamMemberNull true west',\n },\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.NUMBER_MODE,\n VARIABLE,\n FUNCTION,\n STRINGS,\n PREPROCESSOR\n ],\n illegal: /#|^\\$ /\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/sql.js b/js/highlight/sql.js
index 43a93c110..a79feb168 100644
--- a/js/highlight/sql.js
+++ b/js/highlight/sql.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[159],{525:function(e,t){e.exports=function(e){var t=e.COMMENT("--","$");return{case_insensitive:!0,illegal:/[<>{}*]/,contains:[{beginKeywords:"begin end start commit rollback savepoint lock alter create drop rename call delete do handler insert load replace select truncate update set show pragma grant merge describe use explain help declare prepare execute deallocate release unlock purge reset change stop analyze cache flush optimize repair kill install uninstall checksum restore check backup revoke comment values with",end:/;/,endsWithParent:!0,lexemes:/[\w\.]+/,keywords:{keyword:"as abort abs absolute acc acce accep accept access accessed accessible account acos action activate add addtime admin administer advanced advise aes_decrypt aes_encrypt after agent aggregate ali alia alias all allocate allow alter always analyze ancillary and anti any anydata anydataset anyschema anytype apply archive archived archivelog are as asc ascii asin assembly assertion associate asynchronous at atan atn2 attr attri attrib attribu attribut attribute attributes audit authenticated authentication authid authors auto autoallocate autodblink autoextend automatic availability avg backup badfile basicfile before begin beginning benchmark between bfile bfile_base big bigfile bin binary_double binary_float binlog bit_and bit_count bit_length bit_or bit_xor bitmap blob_base block blocksize body both bound bucket buffer_cache buffer_pool build bulk by byte byteordermark bytes cache caching call calling cancel capacity cascade cascaded case cast catalog category ceil ceiling chain change changed char_base char_length character_length characters characterset charindex charset charsetform charsetid check checksum checksum_agg child choose chr chunk class cleanup clear client clob clob_base clone close cluster_id cluster_probability cluster_set clustering coalesce coercibility col collate collation collect colu colum column column_value columns columns_updated comment commit compact compatibility compiled complete composite_limit compound compress compute concat concat_ws concurrent confirm conn connec connect connect_by_iscycle connect_by_isleaf connect_by_root connect_time connection consider consistent constant constraint constraints constructor container content contents context contributors controlfile conv convert convert_tz corr corr_k corr_s corresponding corruption cos cost count count_big counted covar_pop covar_samp cpu_per_call cpu_per_session crc32 create creation critical cross cube cume_dist curdate current current_date current_time current_timestamp current_user cursor curtime customdatum cycle data database databases datafile datafiles datalength date_add date_cache date_format date_sub dateadd datediff datefromparts datename datepart datetime2fromparts day day_to_second dayname dayofmonth dayofweek dayofyear days db_role_change dbtimezone ddl deallocate declare decode decompose decrement decrypt deduplicate def defa defau defaul default defaults deferred defi defin define degrees delayed delegate delete delete_all delimited demand dense_rank depth dequeue des_decrypt des_encrypt des_key_file desc descr descri describ describe descriptor deterministic diagnostics difference dimension direct_load directory disable disable_all disallow disassociate discardfile disconnect diskgroup distinct distinctrow distribute distributed div do document domain dotnet double downgrade drop dumpfile duplicate duration each edition editionable editions element ellipsis else elsif elt empty enable enable_all enclosed encode encoding encrypt end end-exec endian enforced engine engines enqueue enterprise entityescaping eomonth error errors escaped evalname evaluate event eventdata events except exception exceptions exchange exclude excluding execu execut execute exempt exists exit exp expire explain explode export export_set extended extent external external_1 external_2 externally extract failed failed_login_attempts failover failure far fast feature_set feature_value fetch field fields file file_name_convert filesystem_like_logging final finish first first_value fixed flash_cache flashback floor flush following follows for forall force foreign form forma format found found_rows freelist freelists freepools fresh from from_base64 from_days ftp full function general generated get get_format get_lock getdate getutcdate global global_name globally go goto grant grants greatest group group_concat group_id grouping grouping_id groups gtid_subtract guarantee guard handler hash hashkeys having hea head headi headin heading heap help hex hierarchy high high_priority hosts hour hours http id ident_current ident_incr ident_seed identified identity idle_time if ifnull ignore iif ilike ilm immediate import in include including increment index indexes indexing indextype indicator indices inet6_aton inet6_ntoa inet_aton inet_ntoa infile initial initialized initially initrans inmemory inner innodb input insert install instance instantiable instr interface interleaved intersect into invalidate invisible is is_free_lock is_ipv4 is_ipv4_compat is_not is_not_null is_used_lock isdate isnull isolation iterate java join json json_exists keep keep_duplicates key keys kill language large last last_day last_insert_id last_value lateral lax lcase lead leading least leaves left len lenght length less level levels library like like2 like4 likec limit lines link list listagg little ln load load_file lob lobs local localtime localtimestamp locate locator lock locked log log10 log2 logfile logfiles logging logical logical_reads_per_call logoff logon logs long loop low low_priority lower lpad lrtrim ltrim main make_set makedate maketime managed management manual map mapping mask master master_pos_wait match matched materialized max maxextents maximize maxinstances maxlen maxlogfiles maxloghistory maxlogmembers maxsize maxtrans md5 measures median medium member memcompress memory merge microsecond mid migration min minextents minimum mining minus minute minutes minvalue missing mod mode model modification modify module monitoring month months mount move movement multiset mutex name name_const names nan national native natural nav nchar nclob nested never new newline next nextval no no_write_to_binlog noarchivelog noaudit nobadfile nocheck nocompress nocopy nocycle nodelay nodiscardfile noentityescaping noguarantee nokeep nologfile nomapping nomaxvalue nominimize nominvalue nomonitoring none noneditionable nonschema noorder nopr nopro noprom nopromp noprompt norely noresetlogs noreverse normal norowdependencies noschemacheck noswitch not nothing notice notnull notrim novalidate now nowait nth_value nullif nulls num numb numbe nvarchar nvarchar2 object ocicoll ocidate ocidatetime ociduration ociinterval ociloblocator ocinumber ociref ocirefcursor ocirowid ocistring ocitype oct octet_length of off offline offset oid oidindex old on online only opaque open operations operator optimal optimize option optionally or oracle oracle_date oradata ord ordaudio orddicom orddoc order ordimage ordinality ordvideo organization orlany orlvary out outer outfile outline output over overflow overriding package pad parallel parallel_enable parameters parent parse partial partition partitions pascal passing password password_grace_time password_lock_time password_reuse_max password_reuse_time password_verify_function patch path patindex pctincrease pctthreshold pctused pctversion percent percent_rank percentile_cont percentile_disc performance period period_add period_diff permanent physical pi pipe pipelined pivot pluggable plugin policy position post_transaction pow power pragma prebuilt precedes preceding precision prediction prediction_cost prediction_details prediction_probability prediction_set prepare present preserve prior priority private private_sga privileges procedural procedure procedure_analyze processlist profiles project prompt protection public publishingservername purge quarter query quick quiesce quota quotename radians raise rand range rank raw read reads readsize rebuild record records recover recovery recursive recycle redo reduced ref reference referenced references referencing refresh regexp_like register regr_avgx regr_avgy regr_count regr_intercept regr_r2 regr_slope regr_sxx regr_sxy reject rekey relational relative relaylog release release_lock relies_on relocate rely rem remainder rename repair repeat replace replicate replication required reset resetlogs resize resource respect restore restricted result result_cache resumable resume retention return returning returns reuse reverse revoke right rlike role roles rollback rolling rollup round row row_count rowdependencies rowid rownum rows rtrim rules safe salt sample save savepoint sb1 sb2 sb4 scan schema schemacheck scn scope scroll sdo_georaster sdo_topo_geometry search sec_to_time second seconds section securefile security seed segment select self semi sequence sequential serializable server servererror session session_user sessions_per_user set sets settings sha sha1 sha2 share shared shared_pool short show shrink shutdown si_averagecolor si_colorhistogram si_featurelist si_positionalcolor si_stillimage si_texture siblings sid sign sin size size_t sizes skip slave sleep smalldatetimefromparts smallfile snapshot some soname sort soundex source space sparse spfile split sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows sql_small_result sql_variant_property sqlcode sqldata sqlerror sqlname sqlstate sqrt square standalone standby start starting startup statement static statistics stats_binomial_test stats_crosstab stats_ks_test stats_mode stats_mw_test stats_one_way_anova stats_t_test_ stats_t_test_indep stats_t_test_one stats_t_test_paired stats_wsr_test status std stddev stddev_pop stddev_samp stdev stop storage store stored str str_to_date straight_join strcmp strict string struct stuff style subdate subpartition subpartitions substitutable substr substring subtime subtring_index subtype success sum suspend switch switchoffset switchover sync synchronous synonym sys sys_xmlagg sysasm sysaux sysdate sysdatetimeoffset sysdba sysoper system system_user sysutcdatetime table tables tablespace tablesample tan tdo template temporary terminated tertiary_weights test than then thread through tier ties time time_format time_zone timediff timefromparts timeout timestamp timestampadd timestampdiff timezone_abbr timezone_minute timezone_region to to_base64 to_date to_days to_seconds todatetimeoffset trace tracking transaction transactional translate translation treat trigger trigger_nestlevel triggers trim truncate try_cast try_convert try_parse type ub1 ub2 ub4 ucase unarchived unbounded uncompress under undo unhex unicode uniform uninstall union unique unix_timestamp unknown unlimited unlock unnest unpivot unrecoverable unsafe unsigned until untrusted unusable unused update updated upgrade upped upper upsert url urowid usable usage use use_stored_outlines user user_data user_resources users using utc_date utc_timestamp uuid uuid_short validate validate_password_strength validation valist value values var var_samp varcharc vari varia variab variabl variable variables variance varp varraw varrawc varray verify version versions view virtual visible void wait wallet warning warnings week weekday weekofyear wellformed when whene whenev wheneve whenever where while whitespace window with within without work wrapped xdb xml xmlagg xmlattributes xmlcast xmlcolattval xmlelement xmlexists xmlforest xmlindex xmlnamespaces xmlpi xmlquery xmlroot xmlschema xmlserialize xmltable xmltype xor year year_to_month years yearweek",literal:"true false null unknown",built_in:"array bigint binary bit blob bool boolean char character date dec decimal float int int8 integer interval number numeric real record serial serial8 smallint text time timestamp tinyint varchar varchar2 varying void"},contains:[{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE,{begin:"''"}]},{className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE,{begin:'""'}]},{className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,t,e.HASH_COMMENT_MODE]},e.C_BLOCK_COMMENT_MODE,t,e.HASH_COMMENT_MODE]}}}}]);
-//# sourceMappingURL=sql.js.map?v=9e602e4836c8d4411632 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[159],{526:function(e,t){e.exports=function(e){var t=e.COMMENT("--","$");return{case_insensitive:!0,illegal:/[<>{}*]/,contains:[{beginKeywords:"begin end start commit rollback savepoint lock alter create drop rename call delete do handler insert load replace select truncate update set show pragma grant merge describe use explain help declare prepare execute deallocate release unlock purge reset change stop analyze cache flush optimize repair kill install uninstall checksum restore check backup revoke comment values with",end:/;/,endsWithParent:!0,lexemes:/[\w\.]+/,keywords:{keyword:"as abort abs absolute acc acce accep accept access accessed accessible account acos action activate add addtime admin administer advanced advise aes_decrypt aes_encrypt after agent aggregate ali alia alias all allocate allow alter always analyze ancillary and anti any anydata anydataset anyschema anytype apply archive archived archivelog are as asc ascii asin assembly assertion associate asynchronous at atan atn2 attr attri attrib attribu attribut attribute attributes audit authenticated authentication authid authors auto autoallocate autodblink autoextend automatic availability avg backup badfile basicfile before begin beginning benchmark between bfile bfile_base big bigfile bin binary_double binary_float binlog bit_and bit_count bit_length bit_or bit_xor bitmap blob_base block blocksize body both bound bucket buffer_cache buffer_pool build bulk by byte byteordermark bytes cache caching call calling cancel capacity cascade cascaded case cast catalog category ceil ceiling chain change changed char_base char_length character_length characters characterset charindex charset charsetform charsetid check checksum checksum_agg child choose chr chunk class cleanup clear client clob clob_base clone close cluster_id cluster_probability cluster_set clustering coalesce coercibility col collate collation collect colu colum column column_value columns columns_updated comment commit compact compatibility compiled complete composite_limit compound compress compute concat concat_ws concurrent confirm conn connec connect connect_by_iscycle connect_by_isleaf connect_by_root connect_time connection consider consistent constant constraint constraints constructor container content contents context contributors controlfile conv convert convert_tz corr corr_k corr_s corresponding corruption cos cost count count_big counted covar_pop covar_samp cpu_per_call cpu_per_session crc32 create creation critical cross cube cume_dist curdate current current_date current_time current_timestamp current_user cursor curtime customdatum cycle data database databases datafile datafiles datalength date_add date_cache date_format date_sub dateadd datediff datefromparts datename datepart datetime2fromparts day day_to_second dayname dayofmonth dayofweek dayofyear days db_role_change dbtimezone ddl deallocate declare decode decompose decrement decrypt deduplicate def defa defau defaul default defaults deferred defi defin define degrees delayed delegate delete delete_all delimited demand dense_rank depth dequeue des_decrypt des_encrypt des_key_file desc descr descri describ describe descriptor deterministic diagnostics difference dimension direct_load directory disable disable_all disallow disassociate discardfile disconnect diskgroup distinct distinctrow distribute distributed div do document domain dotnet double downgrade drop dumpfile duplicate duration each edition editionable editions element ellipsis else elsif elt empty enable enable_all enclosed encode encoding encrypt end end-exec endian enforced engine engines enqueue enterprise entityescaping eomonth error errors escaped evalname evaluate event eventdata events except exception exceptions exchange exclude excluding execu execut execute exempt exists exit exp expire explain explode export export_set extended extent external external_1 external_2 externally extract failed failed_login_attempts failover failure far fast feature_set feature_value fetch field fields file file_name_convert filesystem_like_logging final finish first first_value fixed flash_cache flashback floor flush following follows for forall force foreign form forma format found found_rows freelist freelists freepools fresh from from_base64 from_days ftp full function general generated get get_format get_lock getdate getutcdate global global_name globally go goto grant grants greatest group group_concat group_id grouping grouping_id groups gtid_subtract guarantee guard handler hash hashkeys having hea head headi headin heading heap help hex hierarchy high high_priority hosts hour hours http id ident_current ident_incr ident_seed identified identity idle_time if ifnull ignore iif ilike ilm immediate import in include including increment index indexes indexing indextype indicator indices inet6_aton inet6_ntoa inet_aton inet_ntoa infile initial initialized initially initrans inmemory inner innodb input insert install instance instantiable instr interface interleaved intersect into invalidate invisible is is_free_lock is_ipv4 is_ipv4_compat is_not is_not_null is_used_lock isdate isnull isolation iterate java join json json_exists keep keep_duplicates key keys kill language large last last_day last_insert_id last_value lateral lax lcase lead leading least leaves left len lenght length less level levels library like like2 like4 likec limit lines link list listagg little ln load load_file lob lobs local localtime localtimestamp locate locator lock locked log log10 log2 logfile logfiles logging logical logical_reads_per_call logoff logon logs long loop low low_priority lower lpad lrtrim ltrim main make_set makedate maketime managed management manual map mapping mask master master_pos_wait match matched materialized max maxextents maximize maxinstances maxlen maxlogfiles maxloghistory maxlogmembers maxsize maxtrans md5 measures median medium member memcompress memory merge microsecond mid migration min minextents minimum mining minus minute minutes minvalue missing mod mode model modification modify module monitoring month months mount move movement multiset mutex name name_const names nan national native natural nav nchar nclob nested never new newline next nextval no no_write_to_binlog noarchivelog noaudit nobadfile nocheck nocompress nocopy nocycle nodelay nodiscardfile noentityescaping noguarantee nokeep nologfile nomapping nomaxvalue nominimize nominvalue nomonitoring none noneditionable nonschema noorder nopr nopro noprom nopromp noprompt norely noresetlogs noreverse normal norowdependencies noschemacheck noswitch not nothing notice notnull notrim novalidate now nowait nth_value nullif nulls num numb numbe nvarchar nvarchar2 object ocicoll ocidate ocidatetime ociduration ociinterval ociloblocator ocinumber ociref ocirefcursor ocirowid ocistring ocitype oct octet_length of off offline offset oid oidindex old on online only opaque open operations operator optimal optimize option optionally or oracle oracle_date oradata ord ordaudio orddicom orddoc order ordimage ordinality ordvideo organization orlany orlvary out outer outfile outline output over overflow overriding package pad parallel parallel_enable parameters parent parse partial partition partitions pascal passing password password_grace_time password_lock_time password_reuse_max password_reuse_time password_verify_function patch path patindex pctincrease pctthreshold pctused pctversion percent percent_rank percentile_cont percentile_disc performance period period_add period_diff permanent physical pi pipe pipelined pivot pluggable plugin policy position post_transaction pow power pragma prebuilt precedes preceding precision prediction prediction_cost prediction_details prediction_probability prediction_set prepare present preserve prior priority private private_sga privileges procedural procedure procedure_analyze processlist profiles project prompt protection public publishingservername purge quarter query quick quiesce quota quotename radians raise rand range rank raw read reads readsize rebuild record records recover recovery recursive recycle redo reduced ref reference referenced references referencing refresh regexp_like register regr_avgx regr_avgy regr_count regr_intercept regr_r2 regr_slope regr_sxx regr_sxy reject rekey relational relative relaylog release release_lock relies_on relocate rely rem remainder rename repair repeat replace replicate replication required reset resetlogs resize resource respect restore restricted result result_cache resumable resume retention return returning returns reuse reverse revoke right rlike role roles rollback rolling rollup round row row_count rowdependencies rowid rownum rows rtrim rules safe salt sample save savepoint sb1 sb2 sb4 scan schema schemacheck scn scope scroll sdo_georaster sdo_topo_geometry search sec_to_time second seconds section securefile security seed segment select self semi sequence sequential serializable server servererror session session_user sessions_per_user set sets settings sha sha1 sha2 share shared shared_pool short show shrink shutdown si_averagecolor si_colorhistogram si_featurelist si_positionalcolor si_stillimage si_texture siblings sid sign sin size size_t sizes skip slave sleep smalldatetimefromparts smallfile snapshot some soname sort soundex source space sparse spfile split sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows sql_small_result sql_variant_property sqlcode sqldata sqlerror sqlname sqlstate sqrt square standalone standby start starting startup statement static statistics stats_binomial_test stats_crosstab stats_ks_test stats_mode stats_mw_test stats_one_way_anova stats_t_test_ stats_t_test_indep stats_t_test_one stats_t_test_paired stats_wsr_test status std stddev stddev_pop stddev_samp stdev stop storage store stored str str_to_date straight_join strcmp strict string struct stuff style subdate subpartition subpartitions substitutable substr substring subtime subtring_index subtype success sum suspend switch switchoffset switchover sync synchronous synonym sys sys_xmlagg sysasm sysaux sysdate sysdatetimeoffset sysdba sysoper system system_user sysutcdatetime table tables tablespace tablesample tan tdo template temporary terminated tertiary_weights test than then thread through tier ties time time_format time_zone timediff timefromparts timeout timestamp timestampadd timestampdiff timezone_abbr timezone_minute timezone_region to to_base64 to_date to_days to_seconds todatetimeoffset trace tracking transaction transactional translate translation treat trigger trigger_nestlevel triggers trim truncate try_cast try_convert try_parse type ub1 ub2 ub4 ucase unarchived unbounded uncompress under undo unhex unicode uniform uninstall union unique unix_timestamp unknown unlimited unlock unnest unpivot unrecoverable unsafe unsigned until untrusted unusable unused update updated upgrade upped upper upsert url urowid usable usage use use_stored_outlines user user_data user_resources users using utc_date utc_timestamp uuid uuid_short validate validate_password_strength validation valist value values var var_samp varcharc vari varia variab variabl variable variables variance varp varraw varrawc varray verify version versions view virtual visible void wait wallet warning warnings week weekday weekofyear wellformed when whene whenev wheneve whenever where while whitespace window with within without work wrapped xdb xml xmlagg xmlattributes xmlcast xmlcolattval xmlelement xmlexists xmlforest xmlindex xmlnamespaces xmlpi xmlquery xmlroot xmlschema xmlserialize xmltable xmltype xor year year_to_month years yearweek",literal:"true false null unknown",built_in:"array bigint binary bit blob bool boolean char character date dec decimal float int int8 integer interval number numeric real record serial serial8 smallint text time timestamp tinyint varchar varchar2 varying void"},contains:[{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{className:"string",begin:'"',end:'"',contains:[{begin:'""'}]},{className:"string",begin:"`",end:"`"},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,t,e.HASH_COMMENT_MODE]},e.C_BLOCK_COMMENT_MODE,t,e.HASH_COMMENT_MODE]}}}}]);
+//# sourceMappingURL=sql.js.map?v=90c3cd67631dc8669de1 \ No newline at end of file
diff --git a/js/highlight/sql.js.map b/js/highlight/sql.js.map
index cf7ff254c..7649586b3 100644
--- a/js/highlight/sql.js.map
+++ b/js/highlight/sql.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/sql.js"],"names":["module","exports","hljs","COMMENT_MODE","COMMENT","case_insensitive","illegal","contains","beginKeywords","end","endsWithParent","lexemes","keywords","keyword","literal","built_in","className","begin","BACKSLASH_ESCAPE","C_NUMBER_MODE","C_BLOCK_COMMENT_MODE","HASH_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAeD,EAAKE,QAAQ,KAAM,KACtC,MAAO,CACLC,kBAAkB,EAClBC,QAAS,UACTC,SAAU,CACR,CACEC,cACE,gYAKFC,IAAK,IAAKC,gBAAgB,EAC1BC,QAAS,UACTC,SAAU,CACRC,QACE,uyVA8GFC,QACE,0BACFC,SACE,0NAGJR,SAAU,CACR,CACES,UAAW,SACXC,MAAO,IAAMR,IAAK,IAClBF,SAAU,CAACL,EAAKgB,iBAAkB,CAACD,MAAO,QAE5C,CACED,UAAW,SACXC,MAAO,IAAKR,IAAK,IACjBF,SAAU,CAACL,EAAKgB,iBAAkB,CAACD,MAAO,QAE5C,CACED,UAAW,SACXC,MAAO,IAAKR,IAAK,IACjBF,SAAU,CAACL,EAAKgB,mBAElBhB,EAAKiB,cACLjB,EAAKkB,qBACLjB,EACAD,EAAKmB,oBAGTnB,EAAKkB,qBACLjB,EACAD,EAAKmB","file":"highlight/sql.js?v=9e602e4836c8d4411632","sourcesContent":["module.exports = function(hljs) {\n var COMMENT_MODE = hljs.COMMENT('--', '$');\n return {\n case_insensitive: true,\n illegal: /[<>{}*]/,\n contains: [\n {\n beginKeywords:\n 'begin end start commit rollback savepoint lock alter create drop rename call ' +\n 'delete do handler insert load replace select truncate update set show pragma grant ' +\n 'merge describe use explain help declare prepare execute deallocate release ' +\n 'unlock purge reset change stop analyze cache flush optimize repair kill ' +\n 'install uninstall checksum restore check backup revoke comment values with',\n end: /;/, endsWithParent: true,\n lexemes: /[\\w\\.]+/,\n keywords: {\n keyword:\n 'as abort abs absolute acc acce accep accept access accessed accessible account acos action activate add ' +\n 'addtime admin administer advanced advise aes_decrypt aes_encrypt after agent aggregate ali alia alias ' +\n 'all allocate allow alter always analyze ancillary and anti any anydata anydataset anyschema anytype apply ' +\n 'archive archived archivelog are as asc ascii asin assembly assertion associate asynchronous at atan ' +\n 'atn2 attr attri attrib attribu attribut attribute attributes audit authenticated authentication authid ' +\n 'authors auto autoallocate autodblink autoextend automatic availability avg backup badfile basicfile ' +\n 'before begin beginning benchmark between bfile bfile_base big bigfile bin binary_double binary_float ' +\n 'binlog bit_and bit_count bit_length bit_or bit_xor bitmap blob_base block blocksize body both bound ' +\n 'bucket buffer_cache buffer_pool build bulk by byte byteordermark bytes cache caching call calling cancel ' +\n 'capacity cascade cascaded case cast catalog category ceil ceiling chain change changed char_base ' +\n 'char_length character_length characters characterset charindex charset charsetform charsetid check ' +\n 'checksum checksum_agg child choose chr chunk class cleanup clear client clob clob_base clone close ' +\n 'cluster_id cluster_probability cluster_set clustering coalesce coercibility col collate collation ' +\n 'collect colu colum column column_value columns columns_updated comment commit compact compatibility ' +\n 'compiled complete composite_limit compound compress compute concat concat_ws concurrent confirm conn ' +\n 'connec connect connect_by_iscycle connect_by_isleaf connect_by_root connect_time connection ' +\n 'consider consistent constant constraint constraints constructor container content contents context ' +\n 'contributors controlfile conv convert convert_tz corr corr_k corr_s corresponding corruption cos cost ' +\n 'count count_big counted covar_pop covar_samp cpu_per_call cpu_per_session crc32 create creation ' +\n 'critical cross cube cume_dist curdate current current_date current_time current_timestamp current_user ' +\n 'cursor curtime customdatum cycle data database databases datafile datafiles datalength date_add ' +\n 'date_cache date_format date_sub dateadd datediff datefromparts datename datepart datetime2fromparts ' +\n 'day day_to_second dayname dayofmonth dayofweek dayofyear days db_role_change dbtimezone ddl deallocate ' +\n 'declare decode decompose decrement decrypt deduplicate def defa defau defaul default defaults ' +\n 'deferred defi defin define degrees delayed delegate delete delete_all delimited demand dense_rank ' +\n 'depth dequeue des_decrypt des_encrypt des_key_file desc descr descri describ describe descriptor ' +\n 'deterministic diagnostics difference dimension direct_load directory disable disable_all ' +\n 'disallow disassociate discardfile disconnect diskgroup distinct distinctrow distribute distributed div ' +\n 'do document domain dotnet double downgrade drop dumpfile duplicate duration each edition editionable ' +\n 'editions element ellipsis else elsif elt empty enable enable_all enclosed encode encoding encrypt ' +\n 'end end-exec endian enforced engine engines enqueue enterprise entityescaping eomonth error errors ' +\n 'escaped evalname evaluate event eventdata events except exception exceptions exchange exclude excluding ' +\n 'execu execut execute exempt exists exit exp expire explain explode export export_set extended extent external ' +\n 'external_1 external_2 externally extract failed failed_login_attempts failover failure far fast ' +\n 'feature_set feature_value fetch field fields file file_name_convert filesystem_like_logging final ' +\n 'finish first first_value fixed flash_cache flashback floor flush following follows for forall force foreign ' +\n 'form forma format found found_rows freelist freelists freepools fresh from from_base64 from_days ' +\n 'ftp full function general generated get get_format get_lock getdate getutcdate global global_name ' +\n 'globally go goto grant grants greatest group group_concat group_id grouping grouping_id groups ' +\n 'gtid_subtract guarantee guard handler hash hashkeys having hea head headi headin heading heap help hex ' +\n 'hierarchy high high_priority hosts hour hours http id ident_current ident_incr ident_seed identified ' +\n 'identity idle_time if ifnull ignore iif ilike ilm immediate import in include including increment ' +\n 'index indexes indexing indextype indicator indices inet6_aton inet6_ntoa inet_aton inet_ntoa infile ' +\n 'initial initialized initially initrans inmemory inner innodb input insert install instance instantiable ' +\n 'instr interface interleaved intersect into invalidate invisible is is_free_lock is_ipv4 is_ipv4_compat ' +\n 'is_not is_not_null is_used_lock isdate isnull isolation iterate java join json json_exists ' +\n 'keep keep_duplicates key keys kill language large last last_day last_insert_id last_value lateral lax lcase ' +\n 'lead leading least leaves left len lenght length less level levels library like like2 like4 likec limit ' +\n 'lines link list listagg little ln load load_file lob lobs local localtime localtimestamp locate ' +\n 'locator lock locked log log10 log2 logfile logfiles logging logical logical_reads_per_call ' +\n 'logoff logon logs long loop low low_priority lower lpad lrtrim ltrim main make_set makedate maketime ' +\n 'managed management manual map mapping mask master master_pos_wait match matched materialized max ' +\n 'maxextents maximize maxinstances maxlen maxlogfiles maxloghistory maxlogmembers maxsize maxtrans ' +\n 'md5 measures median medium member memcompress memory merge microsecond mid migration min minextents ' +\n 'minimum mining minus minute minutes minvalue missing mod mode model modification modify module monitoring month ' +\n 'months mount move movement multiset mutex name name_const names nan national native natural nav nchar ' +\n 'nclob nested never new newline next nextval no no_write_to_binlog noarchivelog noaudit nobadfile ' +\n 'nocheck nocompress nocopy nocycle nodelay nodiscardfile noentityescaping noguarantee nokeep nologfile ' +\n 'nomapping nomaxvalue nominimize nominvalue nomonitoring none noneditionable nonschema noorder ' +\n 'nopr nopro noprom nopromp noprompt norely noresetlogs noreverse normal norowdependencies noschemacheck ' +\n 'noswitch not nothing notice notnull notrim novalidate now nowait nth_value nullif nulls num numb numbe ' +\n 'nvarchar nvarchar2 object ocicoll ocidate ocidatetime ociduration ociinterval ociloblocator ocinumber ' +\n 'ociref ocirefcursor ocirowid ocistring ocitype oct octet_length of off offline offset oid oidindex old ' +\n 'on online only opaque open operations operator optimal optimize option optionally or oracle oracle_date ' +\n 'oradata ord ordaudio orddicom orddoc order ordimage ordinality ordvideo organization orlany orlvary ' +\n 'out outer outfile outline output over overflow overriding package pad parallel parallel_enable ' +\n 'parameters parent parse partial partition partitions pascal passing password password_grace_time ' +\n 'password_lock_time password_reuse_max password_reuse_time password_verify_function patch path patindex ' +\n 'pctincrease pctthreshold pctused pctversion percent percent_rank percentile_cont percentile_disc ' +\n 'performance period period_add period_diff permanent physical pi pipe pipelined pivot pluggable plugin ' +\n 'policy position post_transaction pow power pragma prebuilt precedes preceding precision prediction ' +\n 'prediction_cost prediction_details prediction_probability prediction_set prepare present preserve ' +\n 'prior priority private private_sga privileges procedural procedure procedure_analyze processlist ' +\n 'profiles project prompt protection public publishingservername purge quarter query quick quiesce quota ' +\n 'quotename radians raise rand range rank raw read reads readsize rebuild record records ' +\n 'recover recovery recursive recycle redo reduced ref reference referenced references referencing refresh ' +\n 'regexp_like register regr_avgx regr_avgy regr_count regr_intercept regr_r2 regr_slope regr_sxx regr_sxy ' +\n 'reject rekey relational relative relaylog release release_lock relies_on relocate rely rem remainder rename ' +\n 'repair repeat replace replicate replication required reset resetlogs resize resource respect restore ' +\n 'restricted result result_cache resumable resume retention return returning returns reuse reverse revoke ' +\n 'right rlike role roles rollback rolling rollup round row row_count rowdependencies rowid rownum rows ' +\n 'rtrim rules safe salt sample save savepoint sb1 sb2 sb4 scan schema schemacheck scn scope scroll ' +\n 'sdo_georaster sdo_topo_geometry search sec_to_time second seconds section securefile security seed segment select ' +\n 'self semi sequence sequential serializable server servererror session session_user sessions_per_user set ' +\n 'sets settings sha sha1 sha2 share shared shared_pool short show shrink shutdown si_averagecolor ' +\n 'si_colorhistogram si_featurelist si_positionalcolor si_stillimage si_texture siblings sid sign sin ' +\n 'size size_t sizes skip slave sleep smalldatetimefromparts smallfile snapshot some soname sort soundex ' +\n 'source space sparse spfile split sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows ' +\n 'sql_small_result sql_variant_property sqlcode sqldata sqlerror sqlname sqlstate sqrt square standalone ' +\n 'standby start starting startup statement static statistics stats_binomial_test stats_crosstab ' +\n 'stats_ks_test stats_mode stats_mw_test stats_one_way_anova stats_t_test_ stats_t_test_indep ' +\n 'stats_t_test_one stats_t_test_paired stats_wsr_test status std stddev stddev_pop stddev_samp stdev ' +\n 'stop storage store stored str str_to_date straight_join strcmp strict string struct stuff style subdate ' +\n 'subpartition subpartitions substitutable substr substring subtime subtring_index subtype success sum ' +\n 'suspend switch switchoffset switchover sync synchronous synonym sys sys_xmlagg sysasm sysaux sysdate ' +\n 'sysdatetimeoffset sysdba sysoper system system_user sysutcdatetime table tables tablespace tablesample tan tdo ' +\n 'template temporary terminated tertiary_weights test than then thread through tier ties time time_format ' +\n 'time_zone timediff timefromparts timeout timestamp timestampadd timestampdiff timezone_abbr ' +\n 'timezone_minute timezone_region to to_base64 to_date to_days to_seconds todatetimeoffset trace tracking ' +\n 'transaction transactional translate translation treat trigger trigger_nestlevel triggers trim truncate ' +\n 'try_cast try_convert try_parse type ub1 ub2 ub4 ucase unarchived unbounded uncompress ' +\n 'under undo unhex unicode uniform uninstall union unique unix_timestamp unknown unlimited unlock unnest unpivot ' +\n 'unrecoverable unsafe unsigned until untrusted unusable unused update updated upgrade upped upper upsert ' +\n 'url urowid usable usage use use_stored_outlines user user_data user_resources users using utc_date ' +\n 'utc_timestamp uuid uuid_short validate validate_password_strength validation valist value values var ' +\n 'var_samp varcharc vari varia variab variabl variable variables variance varp varraw varrawc varray ' +\n 'verify version versions view virtual visible void wait wallet warning warnings week weekday weekofyear ' +\n 'wellformed when whene whenev wheneve whenever where while whitespace window with within without work wrapped ' +\n 'xdb xml xmlagg xmlattributes xmlcast xmlcolattval xmlelement xmlexists xmlforest xmlindex xmlnamespaces ' +\n 'xmlpi xmlquery xmlroot xmlschema xmlserialize xmltable xmltype xor year year_to_month years yearweek',\n literal:\n 'true false null unknown',\n built_in:\n 'array bigint binary bit blob bool boolean char character date dec decimal float int int8 integer interval number ' +\n 'numeric real record serial serial8 smallint text time timestamp tinyint varchar varchar2 varying void'\n },\n contains: [\n {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [hljs.BACKSLASH_ESCAPE, {begin: '\\'\\''}]\n },\n {\n className: 'string',\n begin: '\"', end: '\"',\n contains: [hljs.BACKSLASH_ESCAPE, {begin: '\"\"'}]\n },\n {\n className: 'string',\n begin: '`', end: '`',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n COMMENT_MODE,\n hljs.HASH_COMMENT_MODE\n ]\n },\n hljs.C_BLOCK_COMMENT_MODE,\n COMMENT_MODE,\n hljs.HASH_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/sql.js"],"names":["module","exports","hljs","COMMENT_MODE","COMMENT","case_insensitive","illegal","contains","beginKeywords","end","endsWithParent","lexemes","keywords","keyword","literal","built_in","className","begin","C_NUMBER_MODE","C_BLOCK_COMMENT_MODE","HASH_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAeD,EAAKE,QAAQ,KAAM,KACtC,MAAO,CACLC,kBAAkB,EAClBC,QAAS,UACTC,SAAU,CACR,CACEC,cACE,gYAKFC,IAAK,IAAKC,gBAAgB,EAC1BC,QAAS,UACTC,SAAU,CACRC,QACE,uyVA8GFC,QACE,0BACFC,SACE,0NAGJR,SAAU,CACR,CACES,UAAW,SACXC,MAAO,IAAMR,IAAK,IAClBF,SAAU,CAAC,CAACU,MAAO,QAErB,CACED,UAAW,SACXC,MAAO,IAAKR,IAAK,IACjBF,SAAU,CAAC,CAACU,MAAO,QAErB,CACED,UAAW,SACXC,MAAO,IAAKR,IAAK,KAEnBP,EAAKgB,cACLhB,EAAKiB,qBACLhB,EACAD,EAAKkB,oBAGTlB,EAAKiB,qBACLhB,EACAD,EAAKkB","file":"highlight/sql.js?v=90c3cd67631dc8669de1","sourcesContent":["module.exports = function(hljs) {\n var COMMENT_MODE = hljs.COMMENT('--', '$');\n return {\n case_insensitive: true,\n illegal: /[<>{}*]/,\n contains: [\n {\n beginKeywords:\n 'begin end start commit rollback savepoint lock alter create drop rename call ' +\n 'delete do handler insert load replace select truncate update set show pragma grant ' +\n 'merge describe use explain help declare prepare execute deallocate release ' +\n 'unlock purge reset change stop analyze cache flush optimize repair kill ' +\n 'install uninstall checksum restore check backup revoke comment values with',\n end: /;/, endsWithParent: true,\n lexemes: /[\\w\\.]+/,\n keywords: {\n keyword:\n 'as abort abs absolute acc acce accep accept access accessed accessible account acos action activate add ' +\n 'addtime admin administer advanced advise aes_decrypt aes_encrypt after agent aggregate ali alia alias ' +\n 'all allocate allow alter always analyze ancillary and anti any anydata anydataset anyschema anytype apply ' +\n 'archive archived archivelog are as asc ascii asin assembly assertion associate asynchronous at atan ' +\n 'atn2 attr attri attrib attribu attribut attribute attributes audit authenticated authentication authid ' +\n 'authors auto autoallocate autodblink autoextend automatic availability avg backup badfile basicfile ' +\n 'before begin beginning benchmark between bfile bfile_base big bigfile bin binary_double binary_float ' +\n 'binlog bit_and bit_count bit_length bit_or bit_xor bitmap blob_base block blocksize body both bound ' +\n 'bucket buffer_cache buffer_pool build bulk by byte byteordermark bytes cache caching call calling cancel ' +\n 'capacity cascade cascaded case cast catalog category ceil ceiling chain change changed char_base ' +\n 'char_length character_length characters characterset charindex charset charsetform charsetid check ' +\n 'checksum checksum_agg child choose chr chunk class cleanup clear client clob clob_base clone close ' +\n 'cluster_id cluster_probability cluster_set clustering coalesce coercibility col collate collation ' +\n 'collect colu colum column column_value columns columns_updated comment commit compact compatibility ' +\n 'compiled complete composite_limit compound compress compute concat concat_ws concurrent confirm conn ' +\n 'connec connect connect_by_iscycle connect_by_isleaf connect_by_root connect_time connection ' +\n 'consider consistent constant constraint constraints constructor container content contents context ' +\n 'contributors controlfile conv convert convert_tz corr corr_k corr_s corresponding corruption cos cost ' +\n 'count count_big counted covar_pop covar_samp cpu_per_call cpu_per_session crc32 create creation ' +\n 'critical cross cube cume_dist curdate current current_date current_time current_timestamp current_user ' +\n 'cursor curtime customdatum cycle data database databases datafile datafiles datalength date_add ' +\n 'date_cache date_format date_sub dateadd datediff datefromparts datename datepart datetime2fromparts ' +\n 'day day_to_second dayname dayofmonth dayofweek dayofyear days db_role_change dbtimezone ddl deallocate ' +\n 'declare decode decompose decrement decrypt deduplicate def defa defau defaul default defaults ' +\n 'deferred defi defin define degrees delayed delegate delete delete_all delimited demand dense_rank ' +\n 'depth dequeue des_decrypt des_encrypt des_key_file desc descr descri describ describe descriptor ' +\n 'deterministic diagnostics difference dimension direct_load directory disable disable_all ' +\n 'disallow disassociate discardfile disconnect diskgroup distinct distinctrow distribute distributed div ' +\n 'do document domain dotnet double downgrade drop dumpfile duplicate duration each edition editionable ' +\n 'editions element ellipsis else elsif elt empty enable enable_all enclosed encode encoding encrypt ' +\n 'end end-exec endian enforced engine engines enqueue enterprise entityescaping eomonth error errors ' +\n 'escaped evalname evaluate event eventdata events except exception exceptions exchange exclude excluding ' +\n 'execu execut execute exempt exists exit exp expire explain explode export export_set extended extent external ' +\n 'external_1 external_2 externally extract failed failed_login_attempts failover failure far fast ' +\n 'feature_set feature_value fetch field fields file file_name_convert filesystem_like_logging final ' +\n 'finish first first_value fixed flash_cache flashback floor flush following follows for forall force foreign ' +\n 'form forma format found found_rows freelist freelists freepools fresh from from_base64 from_days ' +\n 'ftp full function general generated get get_format get_lock getdate getutcdate global global_name ' +\n 'globally go goto grant grants greatest group group_concat group_id grouping grouping_id groups ' +\n 'gtid_subtract guarantee guard handler hash hashkeys having hea head headi headin heading heap help hex ' +\n 'hierarchy high high_priority hosts hour hours http id ident_current ident_incr ident_seed identified ' +\n 'identity idle_time if ifnull ignore iif ilike ilm immediate import in include including increment ' +\n 'index indexes indexing indextype indicator indices inet6_aton inet6_ntoa inet_aton inet_ntoa infile ' +\n 'initial initialized initially initrans inmemory inner innodb input insert install instance instantiable ' +\n 'instr interface interleaved intersect into invalidate invisible is is_free_lock is_ipv4 is_ipv4_compat ' +\n 'is_not is_not_null is_used_lock isdate isnull isolation iterate java join json json_exists ' +\n 'keep keep_duplicates key keys kill language large last last_day last_insert_id last_value lateral lax lcase ' +\n 'lead leading least leaves left len lenght length less level levels library like like2 like4 likec limit ' +\n 'lines link list listagg little ln load load_file lob lobs local localtime localtimestamp locate ' +\n 'locator lock locked log log10 log2 logfile logfiles logging logical logical_reads_per_call ' +\n 'logoff logon logs long loop low low_priority lower lpad lrtrim ltrim main make_set makedate maketime ' +\n 'managed management manual map mapping mask master master_pos_wait match matched materialized max ' +\n 'maxextents maximize maxinstances maxlen maxlogfiles maxloghistory maxlogmembers maxsize maxtrans ' +\n 'md5 measures median medium member memcompress memory merge microsecond mid migration min minextents ' +\n 'minimum mining minus minute minutes minvalue missing mod mode model modification modify module monitoring month ' +\n 'months mount move movement multiset mutex name name_const names nan national native natural nav nchar ' +\n 'nclob nested never new newline next nextval no no_write_to_binlog noarchivelog noaudit nobadfile ' +\n 'nocheck nocompress nocopy nocycle nodelay nodiscardfile noentityescaping noguarantee nokeep nologfile ' +\n 'nomapping nomaxvalue nominimize nominvalue nomonitoring none noneditionable nonschema noorder ' +\n 'nopr nopro noprom nopromp noprompt norely noresetlogs noreverse normal norowdependencies noschemacheck ' +\n 'noswitch not nothing notice notnull notrim novalidate now nowait nth_value nullif nulls num numb numbe ' +\n 'nvarchar nvarchar2 object ocicoll ocidate ocidatetime ociduration ociinterval ociloblocator ocinumber ' +\n 'ociref ocirefcursor ocirowid ocistring ocitype oct octet_length of off offline offset oid oidindex old ' +\n 'on online only opaque open operations operator optimal optimize option optionally or oracle oracle_date ' +\n 'oradata ord ordaudio orddicom orddoc order ordimage ordinality ordvideo organization orlany orlvary ' +\n 'out outer outfile outline output over overflow overriding package pad parallel parallel_enable ' +\n 'parameters parent parse partial partition partitions pascal passing password password_grace_time ' +\n 'password_lock_time password_reuse_max password_reuse_time password_verify_function patch path patindex ' +\n 'pctincrease pctthreshold pctused pctversion percent percent_rank percentile_cont percentile_disc ' +\n 'performance period period_add period_diff permanent physical pi pipe pipelined pivot pluggable plugin ' +\n 'policy position post_transaction pow power pragma prebuilt precedes preceding precision prediction ' +\n 'prediction_cost prediction_details prediction_probability prediction_set prepare present preserve ' +\n 'prior priority private private_sga privileges procedural procedure procedure_analyze processlist ' +\n 'profiles project prompt protection public publishingservername purge quarter query quick quiesce quota ' +\n 'quotename radians raise rand range rank raw read reads readsize rebuild record records ' +\n 'recover recovery recursive recycle redo reduced ref reference referenced references referencing refresh ' +\n 'regexp_like register regr_avgx regr_avgy regr_count regr_intercept regr_r2 regr_slope regr_sxx regr_sxy ' +\n 'reject rekey relational relative relaylog release release_lock relies_on relocate rely rem remainder rename ' +\n 'repair repeat replace replicate replication required reset resetlogs resize resource respect restore ' +\n 'restricted result result_cache resumable resume retention return returning returns reuse reverse revoke ' +\n 'right rlike role roles rollback rolling rollup round row row_count rowdependencies rowid rownum rows ' +\n 'rtrim rules safe salt sample save savepoint sb1 sb2 sb4 scan schema schemacheck scn scope scroll ' +\n 'sdo_georaster sdo_topo_geometry search sec_to_time second seconds section securefile security seed segment select ' +\n 'self semi sequence sequential serializable server servererror session session_user sessions_per_user set ' +\n 'sets settings sha sha1 sha2 share shared shared_pool short show shrink shutdown si_averagecolor ' +\n 'si_colorhistogram si_featurelist si_positionalcolor si_stillimage si_texture siblings sid sign sin ' +\n 'size size_t sizes skip slave sleep smalldatetimefromparts smallfile snapshot some soname sort soundex ' +\n 'source space sparse spfile split sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows ' +\n 'sql_small_result sql_variant_property sqlcode sqldata sqlerror sqlname sqlstate sqrt square standalone ' +\n 'standby start starting startup statement static statistics stats_binomial_test stats_crosstab ' +\n 'stats_ks_test stats_mode stats_mw_test stats_one_way_anova stats_t_test_ stats_t_test_indep ' +\n 'stats_t_test_one stats_t_test_paired stats_wsr_test status std stddev stddev_pop stddev_samp stdev ' +\n 'stop storage store stored str str_to_date straight_join strcmp strict string struct stuff style subdate ' +\n 'subpartition subpartitions substitutable substr substring subtime subtring_index subtype success sum ' +\n 'suspend switch switchoffset switchover sync synchronous synonym sys sys_xmlagg sysasm sysaux sysdate ' +\n 'sysdatetimeoffset sysdba sysoper system system_user sysutcdatetime table tables tablespace tablesample tan tdo ' +\n 'template temporary terminated tertiary_weights test than then thread through tier ties time time_format ' +\n 'time_zone timediff timefromparts timeout timestamp timestampadd timestampdiff timezone_abbr ' +\n 'timezone_minute timezone_region to to_base64 to_date to_days to_seconds todatetimeoffset trace tracking ' +\n 'transaction transactional translate translation treat trigger trigger_nestlevel triggers trim truncate ' +\n 'try_cast try_convert try_parse type ub1 ub2 ub4 ucase unarchived unbounded uncompress ' +\n 'under undo unhex unicode uniform uninstall union unique unix_timestamp unknown unlimited unlock unnest unpivot ' +\n 'unrecoverable unsafe unsigned until untrusted unusable unused update updated upgrade upped upper upsert ' +\n 'url urowid usable usage use use_stored_outlines user user_data user_resources users using utc_date ' +\n 'utc_timestamp uuid uuid_short validate validate_password_strength validation valist value values var ' +\n 'var_samp varcharc vari varia variab variabl variable variables variance varp varraw varrawc varray ' +\n 'verify version versions view virtual visible void wait wallet warning warnings week weekday weekofyear ' +\n 'wellformed when whene whenev wheneve whenever where while whitespace window with within without work wrapped ' +\n 'xdb xml xmlagg xmlattributes xmlcast xmlcolattval xmlelement xmlexists xmlforest xmlindex xmlnamespaces ' +\n 'xmlpi xmlquery xmlroot xmlschema xmlserialize xmltable xmltype xor year year_to_month years yearweek',\n literal:\n 'true false null unknown',\n built_in:\n 'array bigint binary bit blob bool boolean char character date dec decimal float int int8 integer interval number ' +\n 'numeric real record serial serial8 smallint text time timestamp tinyint varchar varchar2 varying void'\n },\n contains: [\n {\n className: 'string',\n begin: '\\'', end: '\\'',\n contains: [{begin: '\\'\\''}]\n },\n {\n className: 'string',\n begin: '\"', end: '\"',\n contains: [{begin: '\"\"'}]\n },\n {\n className: 'string',\n begin: '`', end: '`'\n },\n hljs.C_NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n COMMENT_MODE,\n hljs.HASH_COMMENT_MODE\n ]\n },\n hljs.C_BLOCK_COMMENT_MODE,\n COMMENT_MODE,\n hljs.HASH_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/stan.js b/js/highlight/stan.js
index 1790bbf2a..683bf4566 100644
--- a/js/highlight/stan.js
+++ b/js/highlight/stan.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[160],{526:function(e,i){e.exports=function(e){return{contains:[e.HASH_COMMENT_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{begin:e.UNDERSCORE_IDENT_RE,lexemes:e.UNDERSCORE_IDENT_RE,keywords:{name:"for in while repeat until if then else",symbol:"bernoulli bernoulli_logit binomial binomial_logit beta_binomial hypergeometric categorical categorical_logit ordered_logistic neg_binomial neg_binomial_2 neg_binomial_2_log poisson poisson_log multinomial normal exp_mod_normal skew_normal student_t cauchy double_exponential logistic gumbel lognormal chi_square inv_chi_square scaled_inv_chi_square exponential inv_gamma weibull frechet rayleigh wiener pareto pareto_type_2 von_mises uniform multi_normal multi_normal_prec multi_normal_cholesky multi_gp multi_gp_cholesky multi_student_t gaussian_dlm_obs dirichlet lkj_corr lkj_corr_cholesky wishart inv_wishart","selector-tag":"int real vector simplex unit_vector ordered positive_ordered row_vector matrix cholesky_factor_corr cholesky_factor_cov corr_matrix cov_matrix",title:"functions model data parameters quantities transformed generated",literal:"true false"},relevance:0},{className:"number",begin:"0[xX][0-9a-fA-F]+[Li]?\\b",relevance:0},{className:"number",begin:"0[xX][0-9a-fA-F]+[Li]?\\b",relevance:0},{className:"number",begin:"\\d+(?:[eE][+\\-]?\\d*)?L\\b",relevance:0},{className:"number",begin:"\\d+\\.(?!\\d)(?:i\\b)?",relevance:0},{className:"number",begin:"\\d+(?:\\.\\d*)?(?:[eE][+\\-]?\\d*)?i?\\b",relevance:0},{className:"number",begin:"\\.\\d+(?:[eE][+\\-]?\\d*)?i?\\b",relevance:0}]}}}}]);
-//# sourceMappingURL=stan.js.map?v=b78635dc2335bb0f7020 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[160],{527:function(_,e){_.exports=function(_){return{aliases:["stanfuncs"],keywords:{title:["functions","model","data","parameters","quantities","transformed","generated"].join(" "),keyword:["for","in","if","else","while","break","continue","return"].concat(["int","real","vector","ordered","positive_ordered","simplex","unit_vector","row_vector","matrix","cholesky_factor_corr|10","cholesky_factor_cov|10","corr_matrix|10","cov_matrix|10","void"]).concat(["print","reject","increment_log_prob|10","integrate_ode|10","integrate_ode_rk45|10","integrate_ode_bdf|10","algebra_solver"]).join(" "),built_in:["Phi","Phi_approx","abs","acos","acosh","algebra_solver","append_array","append_col","append_row","asin","asinh","atan","atan2","atanh","bernoulli_cdf","bernoulli_lccdf","bernoulli_lcdf","bernoulli_logit_lpmf","bernoulli_logit_rng","bernoulli_lpmf","bernoulli_rng","bessel_first_kind","bessel_second_kind","beta_binomial_cdf","beta_binomial_lccdf","beta_binomial_lcdf","beta_binomial_lpmf","beta_binomial_rng","beta_cdf","beta_lccdf","beta_lcdf","beta_lpdf","beta_rng","binary_log_loss","binomial_cdf","binomial_coefficient_log","binomial_lccdf","binomial_lcdf","binomial_logit_lpmf","binomial_lpmf","binomial_rng","block","categorical_logit_lpmf","categorical_logit_rng","categorical_lpmf","categorical_rng","cauchy_cdf","cauchy_lccdf","cauchy_lcdf","cauchy_lpdf","cauchy_rng","cbrt","ceil","chi_square_cdf","chi_square_lccdf","chi_square_lcdf","chi_square_lpdf","chi_square_rng","cholesky_decompose","choose","col","cols","columns_dot_product","columns_dot_self","cos","cosh","cov_exp_quad","crossprod","csr_extract_u","csr_extract_v","csr_extract_w","csr_matrix_times_vector","csr_to_dense_matrix","cumulative_sum","determinant","diag_matrix","diag_post_multiply","diag_pre_multiply","diagonal","digamma","dims","dirichlet_lpdf","dirichlet_rng","distance","dot_product","dot_self","double_exponential_cdf","double_exponential_lccdf","double_exponential_lcdf","double_exponential_lpdf","double_exponential_rng","e","eigenvalues_sym","eigenvectors_sym","erf","erfc","exp","exp2","exp_mod_normal_cdf","exp_mod_normal_lccdf","exp_mod_normal_lcdf","exp_mod_normal_lpdf","exp_mod_normal_rng","expm1","exponential_cdf","exponential_lccdf","exponential_lcdf","exponential_lpdf","exponential_rng","fabs","falling_factorial","fdim","floor","fma","fmax","fmin","fmod","frechet_cdf","frechet_lccdf","frechet_lcdf","frechet_lpdf","frechet_rng","gamma_cdf","gamma_lccdf","gamma_lcdf","gamma_lpdf","gamma_p","gamma_q","gamma_rng","gaussian_dlm_obs_lpdf","get_lp","gumbel_cdf","gumbel_lccdf","gumbel_lcdf","gumbel_lpdf","gumbel_rng","head","hypergeometric_lpmf","hypergeometric_rng","hypot","inc_beta","int_step","integrate_ode","integrate_ode_bdf","integrate_ode_rk45","inv","inv_Phi","inv_chi_square_cdf","inv_chi_square_lccdf","inv_chi_square_lcdf","inv_chi_square_lpdf","inv_chi_square_rng","inv_cloglog","inv_gamma_cdf","inv_gamma_lccdf","inv_gamma_lcdf","inv_gamma_lpdf","inv_gamma_rng","inv_logit","inv_sqrt","inv_square","inv_wishart_lpdf","inv_wishart_rng","inverse","inverse_spd","is_inf","is_nan","lbeta","lchoose","lgamma","lkj_corr_cholesky_lpdf","lkj_corr_cholesky_rng","lkj_corr_lpdf","lkj_corr_rng","lmgamma","lmultiply","log","log10","log1m","log1m_exp","log1m_inv_logit","log1p","log1p_exp","log2","log_determinant","log_diff_exp","log_falling_factorial","log_inv_logit","log_mix","log_rising_factorial","log_softmax","log_sum_exp","logistic_cdf","logistic_lccdf","logistic_lcdf","logistic_lpdf","logistic_rng","logit","lognormal_cdf","lognormal_lccdf","lognormal_lcdf","lognormal_lpdf","lognormal_rng","machine_precision","matrix_exp","max","mdivide_left_spd","mdivide_left_tri_low","mdivide_right_spd","mdivide_right_tri_low","mean","min","modified_bessel_first_kind","modified_bessel_second_kind","multi_gp_cholesky_lpdf","multi_gp_lpdf","multi_normal_cholesky_lpdf","multi_normal_cholesky_rng","multi_normal_lpdf","multi_normal_prec_lpdf","multi_normal_rng","multi_student_t_lpdf","multi_student_t_rng","multinomial_lpmf","multinomial_rng","multiply_log","multiply_lower_tri_self_transpose","neg_binomial_2_cdf","neg_binomial_2_lccdf","neg_binomial_2_lcdf","neg_binomial_2_log_lpmf","neg_binomial_2_log_rng","neg_binomial_2_lpmf","neg_binomial_2_rng","neg_binomial_cdf","neg_binomial_lccdf","neg_binomial_lcdf","neg_binomial_lpmf","neg_binomial_rng","negative_infinity","normal_cdf","normal_lccdf","normal_lcdf","normal_lpdf","normal_rng","not_a_number","num_elements","ordered_logistic_lpmf","ordered_logistic_rng","owens_t","pareto_cdf","pareto_lccdf","pareto_lcdf","pareto_lpdf","pareto_rng","pareto_type_2_cdf","pareto_type_2_lccdf","pareto_type_2_lcdf","pareto_type_2_lpdf","pareto_type_2_rng","pi","poisson_cdf","poisson_lccdf","poisson_lcdf","poisson_log_lpmf","poisson_log_rng","poisson_lpmf","poisson_rng","positive_infinity","pow","print","prod","qr_Q","qr_R","quad_form","quad_form_diag","quad_form_sym","rank","rayleigh_cdf","rayleigh_lccdf","rayleigh_lcdf","rayleigh_lpdf","rayleigh_rng","reject","rep_array","rep_matrix","rep_row_vector","rep_vector","rising_factorial","round","row","rows","rows_dot_product","rows_dot_self","scaled_inv_chi_square_cdf","scaled_inv_chi_square_lccdf","scaled_inv_chi_square_lcdf","scaled_inv_chi_square_lpdf","scaled_inv_chi_square_rng","sd","segment","sin","singular_values","sinh","size","skew_normal_cdf","skew_normal_lccdf","skew_normal_lcdf","skew_normal_lpdf","skew_normal_rng","softmax","sort_asc","sort_desc","sort_indices_asc","sort_indices_desc","sqrt","sqrt2","square","squared_distance","step","student_t_cdf","student_t_lccdf","student_t_lcdf","student_t_lpdf","student_t_rng","sub_col","sub_row","sum","tail","tan","tanh","target","tcrossprod","tgamma","to_array_1d","to_array_2d","to_matrix","to_row_vector","to_vector","trace","trace_gen_quad_form","trace_quad_form","trigamma","trunc","uniform_cdf","uniform_lccdf","uniform_lcdf","uniform_lpdf","uniform_rng","variance","von_mises_lpdf","von_mises_rng","weibull_cdf","weibull_lccdf","weibull_lcdf","weibull_lpdf","weibull_rng","wiener_lpdf","wishart_lpdf","wishart_rng"].join(" ")},lexemes:_.IDENT_RE,contains:[_.C_LINE_COMMENT_MODE,_.COMMENT(/#/,/$/,{relevance:0,keywords:{"meta-keyword":"include"}}),_.COMMENT(/\/\*/,/\*\//,{relevance:0,contains:[{className:"doctag",begin:/@(return|param)/}]}),{begin:/<\s*lower\s*=/,keywords:"lower"},{begin:/[<,]*upper\s*=/,keywords:"upper"},{className:"keyword",begin:/\btarget\s*\+=/,relevance:10},{begin:"~\\s*("+_.IDENT_RE+")\\s*\\(",keywords:["bernoulli","bernoulli_logit","beta","beta_binomial","binomial","binomial_logit","categorical","categorical_logit","cauchy","chi_square","dirichlet","double_exponential","exp_mod_normal","exponential","frechet","gamma","gaussian_dlm_obs","gumbel","hypergeometric","inv_chi_square","inv_gamma","inv_wishart","lkj_corr","lkj_corr_cholesky","logistic","lognormal","multi_gp","multi_gp_cholesky","multi_normal","multi_normal_cholesky","multi_normal_prec","multi_student_t","multinomial","neg_binomial","neg_binomial_2","neg_binomial_2_log","normal","ordered_logistic","pareto","pareto_type_2","poisson","poisson_log","rayleigh","scaled_inv_chi_square","skew_normal","student_t","uniform","von_mises","weibull","wiener","wishart"].join(" ")},{className:"number",variants:[{begin:/\b\d+(?:\.\d*)?(?:[eE][+-]?\d+)?/},{begin:/\.\d+(?:[eE][+-]?\d+)?\b/}],relevance:0},{className:"string",begin:'"',end:'"',relevance:0}]}}}}]);
+//# sourceMappingURL=stan.js.map?v=9dd3a4734930fcff429e \ No newline at end of file
diff --git a/js/highlight/stan.js.map b/js/highlight/stan.js.map
index d94ba8449..29c94cdbe 100644
--- a/js/highlight/stan.js.map
+++ b/js/highlight/stan.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/stan.js"],"names":["module","exports","hljs","contains","HASH_COMMENT_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","begin","UNDERSCORE_IDENT_RE","lexemes","keywords","name","symbol","title","literal","relevance","className"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRD,EAAKE,kBACLF,EAAKG,oBACLH,EAAKI,qBACL,CACEC,MAAOL,EAAKM,oBACZC,QAASP,EAAKM,oBACdE,SAAU,CAERC,KACE,yCAIFC,OACE,smBAYF,eACE,iJAIFC,MACE,mEAEFC,QACE,cAEJC,UAAW,GAGb,CAEEC,UAAW,SACXT,MAAO,4BACPQ,UAAW,GAEb,CAEEC,UAAW,SACXT,MAAO,4BACPQ,UAAW,GAEb,CAEEC,UAAW,SACXT,MAAO,+BACPQ,UAAW,GAEb,CAEEC,UAAW,SACXT,MAAO,0BACPQ,UAAW,GAEb,CAEEC,UAAW,SACXT,MAAO,4CACPQ,UAAW,GAEb,CAEEC,UAAW,SACXT,MAAO,mCACPQ,UAAW","file":"highlight/stan.js?v=b78635dc2335bb0f7020","sourcesContent":["module.exports = function(hljs) {\n return {\n contains: [\n hljs.HASH_COMMENT_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n begin: hljs.UNDERSCORE_IDENT_RE,\n lexemes: hljs.UNDERSCORE_IDENT_RE,\n keywords: {\n // Stan's keywords\n name:\n 'for in while repeat until if then else',\n // Stan's probablity distributions (less beta and gamma, as commonly\n // used for parameter names). So far, _log and _rng variants are not\n // included\n symbol:\n 'bernoulli bernoulli_logit binomial binomial_logit ' +\n 'beta_binomial hypergeometric categorical categorical_logit ' +\n 'ordered_logistic neg_binomial neg_binomial_2 ' +\n 'neg_binomial_2_log poisson poisson_log multinomial normal ' +\n 'exp_mod_normal skew_normal student_t cauchy double_exponential ' +\n 'logistic gumbel lognormal chi_square inv_chi_square ' +\n 'scaled_inv_chi_square exponential inv_gamma weibull frechet ' +\n 'rayleigh wiener pareto pareto_type_2 von_mises uniform ' +\n 'multi_normal multi_normal_prec multi_normal_cholesky multi_gp ' +\n 'multi_gp_cholesky multi_student_t gaussian_dlm_obs dirichlet ' +\n 'lkj_corr lkj_corr_cholesky wishart inv_wishart',\n // Stan's data types\n 'selector-tag':\n 'int real vector simplex unit_vector ordered positive_ordered ' +\n 'row_vector matrix cholesky_factor_corr cholesky_factor_cov ' +\n 'corr_matrix cov_matrix',\n // Stan's model blocks\n title:\n 'functions model data parameters quantities transformed ' +\n 'generated',\n literal:\n 'true false'\n },\n relevance: 0\n },\n // The below is all taken from the R language definition\n {\n // hex value\n className: 'number',\n begin: \"0[xX][0-9a-fA-F]+[Li]?\\\\b\",\n relevance: 0\n },\n {\n // hex value\n className: 'number',\n begin: \"0[xX][0-9a-fA-F]+[Li]?\\\\b\",\n relevance: 0\n },\n {\n // explicit integer\n className: 'number',\n begin: \"\\\\d+(?:[eE][+\\\\-]?\\\\d*)?L\\\\b\",\n relevance: 0\n },\n {\n // number with trailing decimal\n className: 'number',\n begin: \"\\\\d+\\\\.(?!\\\\d)(?:i\\\\b)?\",\n relevance: 0\n },\n {\n // number\n className: 'number',\n begin: \"\\\\d+(?:\\\\.\\\\d*)?(?:[eE][+\\\\-]?\\\\d*)?i?\\\\b\",\n relevance: 0\n },\n {\n // number with leading decimal\n className: 'number',\n begin: \"\\\\.\\\\d+(?:[eE][+\\\\-]?\\\\d*)?i?\\\\b\",\n relevance: 0\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/stan.js"],"names":["module","exports","hljs","aliases","keywords","join","concat","lexemes","IDENT_RE","contains","C_LINE_COMMENT_MODE","COMMENT","relevance","className","begin","variants","end"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAgJxB,MAAO,CACLC,QAAS,CAAC,aACVC,SAAU,CACR,MAjJS,CACX,YACA,QACA,OACA,aACA,aACA,cACA,aA0IkBC,KAAK,KACrB,QAzIa,CACf,MACA,KACA,KACA,OACA,QACA,QACA,WACA,UAiIwBC,OAtHV,CACd,MACA,OACA,SACA,UACA,mBACA,UACA,cACA,aACA,SACA,0BACA,yBACA,iBACA,gBACA,SAwG0CA,OA/HpB,CACtB,QACA,SACA,wBACA,mBACA,wBACA,uBACA,mBAwHoED,KAAK,KACvE,SAvGY,CACd,MAAO,aAAc,MAAO,OAAQ,QAAS,iBAAkB,eAC/D,aAAc,aAAc,OAAQ,QAAS,OAAQ,QAAS,QAC9D,gBAAiB,kBAAmB,iBAAkB,uBACtD,sBAAuB,iBAAkB,gBAAiB,oBAC1D,qBAAsB,oBAAqB,sBAC3C,qBAAsB,qBAAsB,oBAAqB,WACjE,aAAc,YAAa,YAAa,WAAY,kBACpD,eAAgB,2BAA4B,iBAAkB,gBAC9D,sBAAuB,gBAAiB,eAAgB,QACxD,yBAA0B,wBAAyB,mBACnD,kBAAmB,aAAc,eAAgB,cAAe,cAChE,aAAc,OAAQ,OAAQ,iBAAkB,mBAChD,kBAAmB,kBAAmB,iBAAkB,qBACxD,SAAU,MAAO,OAAQ,sBAAuB,mBAAoB,MACpE,OAAQ,eAAgB,YAAa,gBAAiB,gBACtD,gBAAiB,0BAA2B,sBAC5C,iBAAkB,cAAe,cAAe,qBAChD,oBAAqB,WAAY,UAAW,OAAQ,iBACpD,gBAAiB,WAAY,cAAe,WAC5C,yBAA0B,2BAA4B,0BACtD,0BAA2B,yBAA0B,IAAK,kBAC1D,mBAAoB,MAAO,OAAQ,MAAO,OAAQ,qBAClD,uBAAwB,sBAAuB,sBAC/C,qBAAsB,QAAS,kBAAmB,oBAClD,mBAAoB,mBAAoB,kBAAmB,OAC3D,oBAAqB,OAAQ,QAAS,MAAO,OAAQ,OAAQ,OAC7D,cAAe,gBAAiB,eAAgB,eAAgB,cAChE,YAAa,cAAe,aAAc,aAAc,UAAW,UACnE,YAAa,wBAAyB,SAAU,aAAc,eAC9D,cAAe,cAAe,aAAc,OAAQ,sBACpD,qBAAsB,QAAS,WAAY,WAAY,gBACvD,oBAAqB,qBAAsB,MAAO,UAClD,qBAAsB,uBAAwB,sBAC9C,sBAAuB,qBAAsB,cAAe,gBAC5D,kBAAmB,iBAAkB,iBAAkB,gBACvD,YAAa,WAAY,aAAc,mBAAoB,kBAC3D,UAAW,cAAe,SAAU,SAAU,QAAS,UAAW,SAClE,yBAA0B,wBAAyB,gBACnD,eAAgB,UAAW,YAAa,MAAO,QAAS,QAAS,YACjE,kBAAmB,QAAS,YAAa,OAAQ,kBACjD,eAAgB,wBAAyB,gBAAiB,UAC1D,uBAAwB,cAAe,cAAe,eACtD,iBAAkB,gBAAiB,gBAAiB,eAAgB,QACpE,gBAAiB,kBAAmB,iBAAkB,iBACtD,gBAAiB,oBAAqB,aAAc,MAAO,mBAC3D,uBAAwB,oBAAqB,wBAAyB,OACtE,MAAO,6BAA8B,8BACrC,yBAA0B,gBAAiB,6BAC3C,4BAA6B,oBAAqB,yBAClD,mBAAoB,uBAAwB,sBAC5C,mBAAoB,kBAAmB,eACvC,oCAAqC,qBACrC,uBAAwB,sBAAuB,0BAC/C,yBAA0B,sBAAuB,qBACjD,mBAAoB,qBAAsB,oBAC1C,oBAAqB,mBAAoB,oBAAqB,aAC9D,eAAgB,cAAe,cAAe,aAAc,eAC5D,eAAgB,wBAAyB,uBAAwB,UACjE,aAAc,eAAgB,cAAe,cAAe,aAC5D,oBAAqB,sBAAuB,qBAC5C,qBAAsB,oBAAqB,KAAM,cAAe,gBAChE,eAAgB,mBAAoB,kBAAmB,eACvD,cAAe,oBAAqB,MAAO,QAAS,OAAQ,OAAQ,OACpE,YAAa,iBAAkB,gBAAiB,OAAQ,eACxD,iBAAkB,gBAAiB,gBAAiB,eAAgB,SACpE,YAAa,aAAc,iBAAkB,aAAc,mBAC3D,QAAS,MAAO,OAAQ,mBAAoB,gBAC5C,4BAA6B,8BAC7B,6BAA8B,6BAC9B,4BAA6B,KAAM,UAAW,MAAO,kBAAmB,OACxE,OAAQ,kBAAmB,oBAAqB,mBAChD,mBAAoB,kBAAmB,UAAW,WAAY,YAC9D,mBAAoB,oBAAqB,OAAQ,QAAS,SAC1D,mBAAoB,OAAQ,gBAAiB,kBAC7C,iBAAkB,iBAAkB,gBAAiB,UAAW,UAChE,MAAO,OAAQ,MAAO,OAAQ,SAAU,aAAc,SAAU,cAChE,cAAe,YAAa,gBAAiB,YAAa,QAC1D,sBAAuB,kBAAmB,WAAY,QAAS,cAC/D,gBAAiB,eAAgB,eAAgB,cAAe,WAChE,iBAAkB,gBAAiB,cAAe,gBAClD,eAAgB,eAAgB,cAAe,cAAe,eAC9D,eAqBwBA,KAAK,MAE7BE,QAASL,EAAKM,SACdC,SAAU,CACRP,EAAKQ,oBACLR,EAAKS,QACH,IACA,IACA,CACEC,UAAW,EACXR,SAAU,CACR,eAAgB,aAItBF,EAAKS,QACH,OACA,OACA,CACEC,UAAW,EAEXH,SAAU,CACR,CACEI,UAAW,SACXC,MAAO,sBAKf,CAEEA,MAAO,gBACPV,SAAU,SAEZ,CAGEU,MAAO,iBACPV,SAAU,SAEZ,CACES,UAAW,UACXC,MAAO,iBACPF,UAAW,IAEb,CACEE,MAAO,SAAWZ,EAAKM,SAAW,WAClCJ,SAlEc,CAClB,YAAa,kBAAmB,OAAQ,gBAAiB,WACzD,iBAAkB,cAAe,oBAAqB,SAAU,aAChE,YAAa,qBAAsB,iBAAkB,cAAe,UACpE,QAAS,mBAAoB,SAAU,iBAAkB,iBACzD,YAAa,cAAe,WAAY,oBAAqB,WAC7D,YAAa,WAAY,oBAAqB,eAC9C,wBAAyB,oBAAqB,kBAAmB,cACjE,eAAgB,iBAAkB,qBAAsB,SACxD,mBAAoB,SAAU,gBAAiB,UAAW,cAC1D,WAAY,wBAAyB,cAAe,YAAa,UACjE,YAAa,UAAW,SAAU,WAuDNC,KAAK,MAE/B,CACEQ,UAAW,SACXE,SAAU,CACR,CACED,MAAO,oCAET,CACEA,MAAO,6BAGXF,UAAW,GAEb,CACEC,UAAW,SACXC,MAAO,IACPE,IAAK,IACLJ,UAAW","file":"highlight/stan.js?v=9dd3a4734930fcff429e","sourcesContent":["module.exports = function(hljs) {\n // variable names cannot conflict with block identifiers\n var BLOCKS = [\n 'functions',\n 'model',\n 'data',\n 'parameters',\n 'quantities',\n 'transformed',\n 'generated'\n ];\n var STATEMENTS = [\n 'for',\n 'in',\n 'if',\n 'else',\n 'while',\n 'break',\n 'continue',\n 'return'\n ];\n var SPECIAL_FUNCTIONS = [\n 'print',\n 'reject',\n 'increment_log_prob|10',\n 'integrate_ode|10',\n 'integrate_ode_rk45|10',\n 'integrate_ode_bdf|10',\n 'algebra_solver'\n ];\n var VAR_TYPES = [\n 'int',\n 'real',\n 'vector',\n 'ordered',\n 'positive_ordered',\n 'simplex',\n 'unit_vector',\n 'row_vector',\n 'matrix',\n 'cholesky_factor_corr|10',\n 'cholesky_factor_cov|10',\n 'corr_matrix|10',\n 'cov_matrix|10',\n 'void'\n ];\n var FUNCTIONS = [\n 'Phi', 'Phi_approx', 'abs', 'acos', 'acosh', 'algebra_solver', 'append_array',\n 'append_col', 'append_row', 'asin', 'asinh', 'atan', 'atan2', 'atanh',\n 'bernoulli_cdf', 'bernoulli_lccdf', 'bernoulli_lcdf', 'bernoulli_logit_lpmf',\n 'bernoulli_logit_rng', 'bernoulli_lpmf', 'bernoulli_rng', 'bessel_first_kind',\n 'bessel_second_kind', 'beta_binomial_cdf', 'beta_binomial_lccdf',\n 'beta_binomial_lcdf', 'beta_binomial_lpmf', 'beta_binomial_rng', 'beta_cdf',\n 'beta_lccdf', 'beta_lcdf', 'beta_lpdf', 'beta_rng', 'binary_log_loss',\n 'binomial_cdf', 'binomial_coefficient_log', 'binomial_lccdf', 'binomial_lcdf',\n 'binomial_logit_lpmf', 'binomial_lpmf', 'binomial_rng', 'block',\n 'categorical_logit_lpmf', 'categorical_logit_rng', 'categorical_lpmf',\n 'categorical_rng', 'cauchy_cdf', 'cauchy_lccdf', 'cauchy_lcdf', 'cauchy_lpdf',\n 'cauchy_rng', 'cbrt', 'ceil', 'chi_square_cdf', 'chi_square_lccdf',\n 'chi_square_lcdf', 'chi_square_lpdf', 'chi_square_rng', 'cholesky_decompose',\n 'choose', 'col', 'cols', 'columns_dot_product', 'columns_dot_self', 'cos',\n 'cosh', 'cov_exp_quad', 'crossprod', 'csr_extract_u', 'csr_extract_v',\n 'csr_extract_w', 'csr_matrix_times_vector', 'csr_to_dense_matrix',\n 'cumulative_sum', 'determinant', 'diag_matrix', 'diag_post_multiply',\n 'diag_pre_multiply', 'diagonal', 'digamma', 'dims', 'dirichlet_lpdf',\n 'dirichlet_rng', 'distance', 'dot_product', 'dot_self',\n 'double_exponential_cdf', 'double_exponential_lccdf', 'double_exponential_lcdf',\n 'double_exponential_lpdf', 'double_exponential_rng', 'e', 'eigenvalues_sym',\n 'eigenvectors_sym', 'erf', 'erfc', 'exp', 'exp2', 'exp_mod_normal_cdf',\n 'exp_mod_normal_lccdf', 'exp_mod_normal_lcdf', 'exp_mod_normal_lpdf',\n 'exp_mod_normal_rng', 'expm1', 'exponential_cdf', 'exponential_lccdf',\n 'exponential_lcdf', 'exponential_lpdf', 'exponential_rng', 'fabs',\n 'falling_factorial', 'fdim', 'floor', 'fma', 'fmax', 'fmin', 'fmod',\n 'frechet_cdf', 'frechet_lccdf', 'frechet_lcdf', 'frechet_lpdf', 'frechet_rng',\n 'gamma_cdf', 'gamma_lccdf', 'gamma_lcdf', 'gamma_lpdf', 'gamma_p', 'gamma_q',\n 'gamma_rng', 'gaussian_dlm_obs_lpdf', 'get_lp', 'gumbel_cdf', 'gumbel_lccdf',\n 'gumbel_lcdf', 'gumbel_lpdf', 'gumbel_rng', 'head', 'hypergeometric_lpmf',\n 'hypergeometric_rng', 'hypot', 'inc_beta', 'int_step', 'integrate_ode',\n 'integrate_ode_bdf', 'integrate_ode_rk45', 'inv', 'inv_Phi',\n 'inv_chi_square_cdf', 'inv_chi_square_lccdf', 'inv_chi_square_lcdf',\n 'inv_chi_square_lpdf', 'inv_chi_square_rng', 'inv_cloglog', 'inv_gamma_cdf',\n 'inv_gamma_lccdf', 'inv_gamma_lcdf', 'inv_gamma_lpdf', 'inv_gamma_rng',\n 'inv_logit', 'inv_sqrt', 'inv_square', 'inv_wishart_lpdf', 'inv_wishart_rng',\n 'inverse', 'inverse_spd', 'is_inf', 'is_nan', 'lbeta', 'lchoose', 'lgamma',\n 'lkj_corr_cholesky_lpdf', 'lkj_corr_cholesky_rng', 'lkj_corr_lpdf',\n 'lkj_corr_rng', 'lmgamma', 'lmultiply', 'log', 'log10', 'log1m', 'log1m_exp',\n 'log1m_inv_logit', 'log1p', 'log1p_exp', 'log2', 'log_determinant',\n 'log_diff_exp', 'log_falling_factorial', 'log_inv_logit', 'log_mix',\n 'log_rising_factorial', 'log_softmax', 'log_sum_exp', 'logistic_cdf',\n 'logistic_lccdf', 'logistic_lcdf', 'logistic_lpdf', 'logistic_rng', 'logit',\n 'lognormal_cdf', 'lognormal_lccdf', 'lognormal_lcdf', 'lognormal_lpdf',\n 'lognormal_rng', 'machine_precision', 'matrix_exp', 'max', 'mdivide_left_spd',\n 'mdivide_left_tri_low', 'mdivide_right_spd', 'mdivide_right_tri_low', 'mean',\n 'min', 'modified_bessel_first_kind', 'modified_bessel_second_kind',\n 'multi_gp_cholesky_lpdf', 'multi_gp_lpdf', 'multi_normal_cholesky_lpdf',\n 'multi_normal_cholesky_rng', 'multi_normal_lpdf', 'multi_normal_prec_lpdf',\n 'multi_normal_rng', 'multi_student_t_lpdf', 'multi_student_t_rng',\n 'multinomial_lpmf', 'multinomial_rng', 'multiply_log',\n 'multiply_lower_tri_self_transpose', 'neg_binomial_2_cdf',\n 'neg_binomial_2_lccdf', 'neg_binomial_2_lcdf', 'neg_binomial_2_log_lpmf',\n 'neg_binomial_2_log_rng', 'neg_binomial_2_lpmf', 'neg_binomial_2_rng',\n 'neg_binomial_cdf', 'neg_binomial_lccdf', 'neg_binomial_lcdf',\n 'neg_binomial_lpmf', 'neg_binomial_rng', 'negative_infinity', 'normal_cdf',\n 'normal_lccdf', 'normal_lcdf', 'normal_lpdf', 'normal_rng', 'not_a_number',\n 'num_elements', 'ordered_logistic_lpmf', 'ordered_logistic_rng', 'owens_t',\n 'pareto_cdf', 'pareto_lccdf', 'pareto_lcdf', 'pareto_lpdf', 'pareto_rng',\n 'pareto_type_2_cdf', 'pareto_type_2_lccdf', 'pareto_type_2_lcdf',\n 'pareto_type_2_lpdf', 'pareto_type_2_rng', 'pi', 'poisson_cdf', 'poisson_lccdf',\n 'poisson_lcdf', 'poisson_log_lpmf', 'poisson_log_rng', 'poisson_lpmf',\n 'poisson_rng', 'positive_infinity', 'pow', 'print', 'prod', 'qr_Q', 'qr_R',\n 'quad_form', 'quad_form_diag', 'quad_form_sym', 'rank', 'rayleigh_cdf',\n 'rayleigh_lccdf', 'rayleigh_lcdf', 'rayleigh_lpdf', 'rayleigh_rng', 'reject',\n 'rep_array', 'rep_matrix', 'rep_row_vector', 'rep_vector', 'rising_factorial',\n 'round', 'row', 'rows', 'rows_dot_product', 'rows_dot_self',\n 'scaled_inv_chi_square_cdf', 'scaled_inv_chi_square_lccdf',\n 'scaled_inv_chi_square_lcdf', 'scaled_inv_chi_square_lpdf',\n 'scaled_inv_chi_square_rng', 'sd', 'segment', 'sin', 'singular_values', 'sinh',\n 'size', 'skew_normal_cdf', 'skew_normal_lccdf', 'skew_normal_lcdf',\n 'skew_normal_lpdf', 'skew_normal_rng', 'softmax', 'sort_asc', 'sort_desc',\n 'sort_indices_asc', 'sort_indices_desc', 'sqrt', 'sqrt2', 'square',\n 'squared_distance', 'step', 'student_t_cdf', 'student_t_lccdf',\n 'student_t_lcdf', 'student_t_lpdf', 'student_t_rng', 'sub_col', 'sub_row',\n 'sum', 'tail', 'tan', 'tanh', 'target', 'tcrossprod', 'tgamma', 'to_array_1d',\n 'to_array_2d', 'to_matrix', 'to_row_vector', 'to_vector', 'trace',\n 'trace_gen_quad_form', 'trace_quad_form', 'trigamma', 'trunc', 'uniform_cdf',\n 'uniform_lccdf', 'uniform_lcdf', 'uniform_lpdf', 'uniform_rng', 'variance',\n 'von_mises_lpdf', 'von_mises_rng', 'weibull_cdf', 'weibull_lccdf',\n 'weibull_lcdf', 'weibull_lpdf', 'weibull_rng', 'wiener_lpdf', 'wishart_lpdf',\n 'wishart_rng'\n ];\n var DISTRIBUTIONS = [\n 'bernoulli', 'bernoulli_logit', 'beta', 'beta_binomial', 'binomial',\n 'binomial_logit', 'categorical', 'categorical_logit', 'cauchy', 'chi_square',\n 'dirichlet', 'double_exponential', 'exp_mod_normal', 'exponential', 'frechet',\n 'gamma', 'gaussian_dlm_obs', 'gumbel', 'hypergeometric', 'inv_chi_square',\n 'inv_gamma', 'inv_wishart', 'lkj_corr', 'lkj_corr_cholesky', 'logistic',\n 'lognormal', 'multi_gp', 'multi_gp_cholesky', 'multi_normal',\n 'multi_normal_cholesky', 'multi_normal_prec', 'multi_student_t', 'multinomial',\n 'neg_binomial', 'neg_binomial_2', 'neg_binomial_2_log', 'normal',\n 'ordered_logistic', 'pareto', 'pareto_type_2', 'poisson', 'poisson_log',\n 'rayleigh', 'scaled_inv_chi_square', 'skew_normal', 'student_t', 'uniform',\n 'von_mises', 'weibull', 'wiener', 'wishart'\n ];\n\n return {\n aliases: ['stanfuncs'],\n keywords: {\n 'title': BLOCKS.join(' '),\n 'keyword': STATEMENTS.concat(VAR_TYPES).concat(SPECIAL_FUNCTIONS).join(' '),\n 'built_in': FUNCTIONS.join(' ')\n },\n lexemes: hljs.IDENT_RE,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.COMMENT(\n /#/,\n /$/,\n {\n relevance: 0,\n keywords: {\n 'meta-keyword': 'include'\n }\n }\n ),\n hljs.COMMENT(\n /\\/\\*/,\n /\\*\\//,\n {\n relevance: 0,\n // highlight doc strings mentioned in Stan reference\n contains: [\n {\n className: 'doctag',\n begin: /@(return|param)/\n }\n ]\n }\n ),\n {\n // hack: in range constraints, lower must follow \"<\"\n begin: /<\\s*lower\\s*=/,\n keywords: 'lower'\n },\n {\n // hack: in range constraints, upper must follow either , or <\n // <lower = ..., upper = ...> or <upper = ...>\n begin: /[<,]*upper\\s*=/,\n keywords: 'upper'\n },\n {\n className: 'keyword',\n begin: /\\btarget\\s*\\+=/,\n relevance: 10\n },\n {\n begin: '~\\\\s*(' + hljs.IDENT_RE + ')\\\\s*\\\\(',\n keywords: DISTRIBUTIONS.join(' ')\n },\n {\n className: 'number',\n variants: [\n {\n begin: /\\b\\d+(?:\\.\\d*)?(?:[eE][+-]?\\d+)?/\n },\n {\n begin: /\\.\\d+(?:[eE][+-]?\\d+)?\\b/\n }\n ],\n relevance: 0\n },\n {\n className: 'string',\n begin: '\"',\n end: '\"',\n relevance: 0\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/stata.js b/js/highlight/stata.js
index f62af1ab3..cfe20078e 100644
--- a/js/highlight/stata.js
+++ b/js/highlight/stata.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[161],{527:function(e,t){e.exports=function(e){return{aliases:["do","ado"],case_insensitive:!0,keywords:"if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5",contains:[{className:"symbol",begin:/`[a-zA-Z0-9_]+'/},{className:"variable",begin:/\$\{?[a-zA-Z0-9_]+\}?/},{className:"string",variants:[{begin:'`"[^\r\n]*?"\''},{begin:'"[^\r\n"]*"'}]},{className:"built_in",variants:[{begin:"\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\()"}]},e.COMMENT("^[ \t]*\\*.*$",!1),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}}}]);
-//# sourceMappingURL=stata.js.map?v=0709e61532b44bbb912d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[161],{528:function(e,t){e.exports=function(e){return{aliases:["do","ado"],case_insensitive:!0,keywords:"if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5",contains:[{className:"symbol",begin:/`[a-zA-Z0-9_]+'/},{className:"variable",begin:/\$\{?[a-zA-Z0-9_]+\}?/},{className:"string",variants:[{begin:'`"[^\r\n]*?"\''},{begin:'"[^\r\n"]*"'}]},{className:"built_in",variants:[{begin:"\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\()"}]},e.COMMENT("^[ \t]*\\*.*$",!1),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}}}]);
+//# sourceMappingURL=stata.js.map?v=e4dfcdbed07b2fc923a4 \ No newline at end of file
diff --git a/js/highlight/stata.js.map b/js/highlight/stata.js.map
index c401aefbf..283203ccd 100644
--- a/js/highlight/stata.js.map
+++ b/js/highlight/stata.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/stata.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","contains","className","begin","variants","COMMENT","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAIP,SAASC,GACP,MAAO,CACLC,QAAS,CAAC,KAAM,OAChBC,kBAAkB,EAClBC,SAAU,4/cACNC,SAAU,CACZ,CACEC,UAAW,SACXC,MAAO,mBAET,CACED,UAAW,WACXC,MAAO,yBAET,CACED,UAAW,SACXE,SAAU,CACR,CAACD,MAAO,kBACR,CAACA,MAAO,iBAIZ,CACED,UAAW,WACXE,SAAU,CACR,CACED,MAAO,q5CAKbN,EAAKQ,QAAQ,iBAAiB,GAC9BR,EAAKS,oBACLT,EAAKU","file":"highlight/stata.js?v=0709e61532b44bbb912d","sourcesContent":["module.exports = /*\n This is a fork and modification of Drew McDonald's file (https://github.com/drewmcdonald/stata-highlighting). I have also included a list of builtin commands from https://bugs.kde.org/show_bug.cgi?id=135646.\n*/\n\nfunction(hljs) {\n return {\n aliases: ['do', 'ado'],\n case_insensitive: true,\n keywords: 'if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5',\n contains: [\n {\n className: 'symbol',\n begin: /`[a-zA-Z0-9_]+'/\n },\n {\n className: 'variable',\n begin: /\\$\\{?[a-zA-Z0-9_]+\\}?/\n },\n {\n className: 'string',\n variants: [\n {begin: '`\"[^\\r\\n]*?\"\\''},\n {begin: '\"[^\\r\\n\"]*\"'}\n ]\n },\n\n {\n className: 'built_in',\n variants: [\n {\n begin: '\\\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\\\()'\n }\n ]\n },\n\n hljs.COMMENT('^[ \\t]*\\\\*.*$', false),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/stata.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","contains","className","begin","variants","COMMENT","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAIP,SAASC,GACP,MAAO,CACLC,QAAS,CAAC,KAAM,OAChBC,kBAAkB,EAClBC,SAAU,4/cACNC,SAAU,CACZ,CACEC,UAAW,SACXC,MAAO,mBAET,CACED,UAAW,WACXC,MAAO,yBAET,CACED,UAAW,SACXE,SAAU,CACR,CAACD,MAAO,kBACR,CAACA,MAAO,iBAIZ,CACED,UAAW,WACXE,SAAU,CACR,CACED,MAAO,q5CAKbN,EAAKQ,QAAQ,iBAAiB,GAC9BR,EAAKS,oBACLT,EAAKU","file":"highlight/stata.js?v=e4dfcdbed07b2fc923a4","sourcesContent":["module.exports = /*\n This is a fork and modification of Drew McDonald's file (https://github.com/drewmcdonald/stata-highlighting). I have also included a list of builtin commands from https://bugs.kde.org/show_bug.cgi?id=135646.\n*/\n\nfunction(hljs) {\n return {\n aliases: ['do', 'ado'],\n case_insensitive: true,\n keywords: 'if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5',\n contains: [\n {\n className: 'symbol',\n begin: /`[a-zA-Z0-9_]+'/\n },\n {\n className: 'variable',\n begin: /\\$\\{?[a-zA-Z0-9_]+\\}?/\n },\n {\n className: 'string',\n variants: [\n {begin: '`\"[^\\r\\n]*?\"\\''},\n {begin: '\"[^\\r\\n\"]*\"'}\n ]\n },\n\n {\n className: 'built_in',\n variants: [\n {\n begin: '\\\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\\\()'\n }\n ]\n },\n\n hljs.COMMENT('^[ \\t]*\\\\*.*$', false),\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/step21.js b/js/highlight/step21.js
index 7d74046a2..9c6d54ac6 100644
--- a/js/highlight/step21.js
+++ b/js/highlight/step21.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[162],{528:function(e,n){e.exports=function(e){return{aliases:["p21","step","stp"],case_insensitive:!0,lexemes:"[A-Z_][A-Z0-9_.]*",keywords:{keyword:"HEADER ENDSEC DATA"},contains:[{className:"meta",begin:"ISO-10303-21;",relevance:10},{className:"meta",begin:"END-ISO-10303-21;",relevance:10},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT("/\\*\\*!","\\*/"),e.C_NUMBER_MODE,e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"'",end:"'"},{className:"symbol",variants:[{begin:"#",end:"\\d+",illegal:"\\W"}]}]}}}}]);
-//# sourceMappingURL=step21.js.map?v=3b98eda3d9a0629bc5d2 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[162],{529:function(e,n){e.exports=function(e){return{aliases:["p21","step","stp"],case_insensitive:!0,lexemes:"[A-Z_][A-Z0-9_.]*",keywords:{keyword:"HEADER ENDSEC DATA"},contains:[{className:"meta",begin:"ISO-10303-21;",relevance:10},{className:"meta",begin:"END-ISO-10303-21;",relevance:10},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT("/\\*\\*!","\\*/"),e.C_NUMBER_MODE,e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"'",end:"'"},{className:"symbol",variants:[{begin:"#",end:"\\d+",illegal:"\\W"}]}]}}}}]);
+//# sourceMappingURL=step21.js.map?v=27eb26d60b4ed52a8cfe \ No newline at end of file
diff --git a/js/highlight/step21.js.map b/js/highlight/step21.js.map
index ee7f4e30e..b31cec7a0 100644
--- a/js/highlight/step21.js.map
+++ b/js/highlight/step21.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/step21.js"],"names":["module","exports","hljs","aliases","case_insensitive","lexemes","keywords","keyword","contains","className","begin","relevance","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","COMMENT","C_NUMBER_MODE","inherit","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","end","variants"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAgBxB,MAAO,CACLC,QAAS,CAAC,MAAO,OAAQ,OACzBC,kBAAkB,EAClBC,QAlBoB,oBAmBpBC,SAlBoB,CACpBC,QAAS,sBAkBTC,SAAU,CAhBO,CACjBC,UAAW,OACXC,MAAO,gBACPC,UAAW,IAEM,CACjBF,UAAW,OACXC,MAAO,oBACPC,UAAW,IAWTT,EAAKU,oBACLV,EAAKW,qBACLX,EAAKY,QAAQ,WAAY,QACzBZ,EAAKa,cACLb,EAAKc,QAAQd,EAAKe,iBAAkB,CAACC,QAAS,OAC9ChB,EAAKc,QAAQd,EAAKiB,kBAAmB,CAACD,QAAS,OAC/C,CACET,UAAW,SACXC,MAAO,IAAKU,IAAK,KAEnB,CACEX,UAAW,SACXY,SAAU,CACR,CACEX,MAAO,IAAKU,IAAK,OACjBF,QAAS","file":"highlight/step21.js?v=3b98eda3d9a0629bc5d2","sourcesContent":["module.exports = function(hljs) {\n var STEP21_IDENT_RE = '[A-Z_][A-Z0-9_.]*';\n var STEP21_KEYWORDS = {\n keyword: 'HEADER ENDSEC DATA'\n };\n var STEP21_START = {\n className: 'meta',\n begin: 'ISO-10303-21;',\n relevance: 10\n };\n var STEP21_CLOSE = {\n className: 'meta',\n begin: 'END-ISO-10303-21;',\n relevance: 10\n };\n\n return {\n aliases: ['p21', 'step', 'stp'],\n case_insensitive: true, // STEP 21 is case insensitive in theory, in practice all non-comments are capitalized.\n lexemes: STEP21_IDENT_RE,\n keywords: STEP21_KEYWORDS,\n contains: [\n STEP21_START,\n STEP21_CLOSE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.COMMENT('/\\\\*\\\\*!', '\\\\*/'),\n hljs.C_NUMBER_MODE,\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n {\n className: 'string',\n begin: \"'\", end: \"'\"\n },\n {\n className: 'symbol',\n variants: [\n {\n begin: '#', end: '\\\\d+',\n illegal: '\\\\W'\n }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/step21.js"],"names":["module","exports","hljs","aliases","case_insensitive","lexemes","keywords","keyword","contains","className","begin","relevance","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","COMMENT","C_NUMBER_MODE","inherit","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","end","variants"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAgBxB,MAAO,CACLC,QAAS,CAAC,MAAO,OAAQ,OACzBC,kBAAkB,EAClBC,QAlBoB,oBAmBpBC,SAlBoB,CACpBC,QAAS,sBAkBTC,SAAU,CAhBO,CACjBC,UAAW,OACXC,MAAO,gBACPC,UAAW,IAEM,CACjBF,UAAW,OACXC,MAAO,oBACPC,UAAW,IAWTT,EAAKU,oBACLV,EAAKW,qBACLX,EAAKY,QAAQ,WAAY,QACzBZ,EAAKa,cACLb,EAAKc,QAAQd,EAAKe,iBAAkB,CAACC,QAAS,OAC9ChB,EAAKc,QAAQd,EAAKiB,kBAAmB,CAACD,QAAS,OAC/C,CACET,UAAW,SACXC,MAAO,IAAKU,IAAK,KAEnB,CACEX,UAAW,SACXY,SAAU,CACR,CACEX,MAAO,IAAKU,IAAK,OACjBF,QAAS","file":"highlight/step21.js?v=27eb26d60b4ed52a8cfe","sourcesContent":["module.exports = function(hljs) {\n var STEP21_IDENT_RE = '[A-Z_][A-Z0-9_.]*';\n var STEP21_KEYWORDS = {\n keyword: 'HEADER ENDSEC DATA'\n };\n var STEP21_START = {\n className: 'meta',\n begin: 'ISO-10303-21;',\n relevance: 10\n };\n var STEP21_CLOSE = {\n className: 'meta',\n begin: 'END-ISO-10303-21;',\n relevance: 10\n };\n\n return {\n aliases: ['p21', 'step', 'stp'],\n case_insensitive: true, // STEP 21 is case insensitive in theory, in practice all non-comments are capitalized.\n lexemes: STEP21_IDENT_RE,\n keywords: STEP21_KEYWORDS,\n contains: [\n STEP21_START,\n STEP21_CLOSE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.COMMENT('/\\\\*\\\\*!', '\\\\*/'),\n hljs.C_NUMBER_MODE,\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null}),\n {\n className: 'string',\n begin: \"'\", end: \"'\"\n },\n {\n className: 'symbol',\n variants: [\n {\n begin: '#', end: '\\\\d+',\n illegal: '\\\\W'\n }\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/stylus.js b/js/highlight/stylus.js
index 885ec28a8..aecf72a53 100644
--- a/js/highlight/stylus.js
+++ b/js/highlight/stylus.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[163],{529:function(e,t){e.exports=function(e){var t={className:"variable",begin:"\\$"+e.IDENT_RE},i={className:"number",begin:"#([a-fA-F0-9]{6}|[a-fA-F0-9]{3})"};return{aliases:["styl"],case_insensitive:!1,keywords:"if else for in",illegal:"("+["\\?","(\\bReturn\\b)","(\\bEnd\\b)","(\\bend\\b)","(\\bdef\\b)",";","#\\s","\\*\\s","===\\s","\\|","%"].join("|")+")",contains:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i,{begin:"\\.[a-zA-Z][a-zA-Z0-9_-]*(?=[\\.\\s\\n\\[\\:,])",className:"selector-class"},{begin:"\\#[a-zA-Z][a-zA-Z0-9_-]*(?=[\\.\\s\\n\\[\\:,])",className:"selector-id"},{begin:"\\b("+["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"].join("|")+")(?=[\\.\\s\\n\\[\\:,])",className:"selector-tag"},{begin:"&?:?:\\b("+["after","before","first-letter","first-line","active","first-child","focus","hover","lang","link","visited"].join("|")+")(?=[\\.\\s\\n\\[\\:,])"},{begin:"@("+["charset","css","debug","extend","font-face","for","import","include","media","mixin","page","warn","while"].join("|")+")\\b"},t,e.CSS_NUMBER_MODE,e.NUMBER_MODE,{className:"function",begin:"^[a-zA-Z][a-zA-Z0-9_-]*\\(.*\\)",illegal:"[\\n]",returnBegin:!0,contains:[{className:"title",begin:"\\b[a-zA-Z][a-zA-Z0-9_-]*"},{className:"params",begin:/\(/,end:/\)/,contains:[i,t,e.APOS_STRING_MODE,e.CSS_NUMBER_MODE,e.NUMBER_MODE,e.QUOTE_STRING_MODE]}]},{className:"attribute",begin:"\\b("+["align-content","align-items","align-self","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","auto","backface-visibility","background","background-attachment","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","border","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","clear","clip","clip-path","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","content","counter-increment","counter-reset","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","font","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-stretch","font-style","font-variant","font-variant-ligatures","font-weight","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inherit","initial","justify-content","left","letter-spacing","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-bottom","margin-left","margin-right","margin-top","marks","mask","max-height","max-width","min-height","min-width","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-bottom","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","perspective","perspective-origin","pointer-events","position","quotes","resize","right","tab-size","table-layout","text-align","text-align-last","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-indent","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","white-space","widows","width","word-break","word-spacing","word-wrap","z-index"].reverse().join("|")+")\\b",starts:{end:/;|$/,contains:[i,t,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.CSS_NUMBER_MODE,e.NUMBER_MODE,e.C_BLOCK_COMMENT_MODE],illegal:/\./,relevance:0}}]}}}}]);
-//# sourceMappingURL=stylus.js.map?v=c872d3944a2bc3799059 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[163],{530:function(e,t){e.exports=function(e){var t={className:"variable",begin:"\\$"+e.IDENT_RE},i={className:"number",begin:"#([a-fA-F0-9]{6}|[a-fA-F0-9]{3})"};return{aliases:["styl"],case_insensitive:!1,keywords:"if else for in",illegal:"("+["\\?","(\\bReturn\\b)","(\\bEnd\\b)","(\\bend\\b)","(\\bdef\\b)",";","#\\s","\\*\\s","===\\s","\\|","%"].join("|")+")",contains:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i,{begin:"\\.[a-zA-Z][a-zA-Z0-9_-]*(?=[\\.\\s\\n\\[\\:,])",className:"selector-class"},{begin:"\\#[a-zA-Z][a-zA-Z0-9_-]*(?=[\\.\\s\\n\\[\\:,])",className:"selector-id"},{begin:"\\b("+["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"].join("|")+")(?=[\\.\\s\\n\\[\\:,])",className:"selector-tag"},{begin:"&?:?:\\b("+["after","before","first-letter","first-line","active","first-child","focus","hover","lang","link","visited"].join("|")+")(?=[\\.\\s\\n\\[\\:,])"},{begin:"@("+["charset","css","debug","extend","font-face","for","import","include","media","mixin","page","warn","while"].join("|")+")\\b"},t,e.CSS_NUMBER_MODE,e.NUMBER_MODE,{className:"function",begin:"^[a-zA-Z][a-zA-Z0-9_-]*\\(.*\\)",illegal:"[\\n]",returnBegin:!0,contains:[{className:"title",begin:"\\b[a-zA-Z][a-zA-Z0-9_-]*"},{className:"params",begin:/\(/,end:/\)/,contains:[i,t,e.APOS_STRING_MODE,e.CSS_NUMBER_MODE,e.NUMBER_MODE,e.QUOTE_STRING_MODE]}]},{className:"attribute",begin:"\\b("+["align-content","align-items","align-self","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","auto","backface-visibility","background","background-attachment","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","border","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","clear","clip","clip-path","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","content","counter-increment","counter-reset","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","font","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-stretch","font-style","font-variant","font-variant-ligatures","font-weight","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inherit","initial","justify-content","left","letter-spacing","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-bottom","margin-left","margin-right","margin-top","marks","mask","max-height","max-width","min-height","min-width","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-bottom","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","perspective","perspective-origin","pointer-events","position","quotes","resize","right","tab-size","table-layout","text-align","text-align-last","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-indent","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","white-space","widows","width","word-break","word-spacing","word-wrap","z-index"].reverse().join("|")+")\\b",starts:{end:/;|$/,contains:[i,t,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.CSS_NUMBER_MODE,e.NUMBER_MODE,e.C_BLOCK_COMMENT_MODE],illegal:/\./,relevance:0}}]}}}}]);
+//# sourceMappingURL=stylus.js.map?v=56a827ad5ecdb729d8e0 \ No newline at end of file
diff --git a/js/highlight/stylus.js.map b/js/highlight/stylus.js.map
index 9dc3f9faf..840a698ed 100644
--- a/js/highlight/stylus.js.map
+++ b/js/highlight/stylus.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/stylus.js"],"names":["module","exports","hljs","VARIABLE","className","begin","IDENT_RE","HEX_COLOR","aliases","case_insensitive","keywords","illegal","join","contains","QUOTE_STRING_MODE","APOS_STRING_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","CSS_NUMBER_MODE","NUMBER_MODE","returnBegin","end","reverse","starts","relevance"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EAAW,CACbC,UAAW,WACXC,MAAO,MAAQH,EAAKI,UAGlBC,EAAY,CACdH,UAAW,SACXC,MAAO,oCA0UT,MAAO,CACLG,QAAS,CAAC,QACVC,kBAAkB,EAClBC,SAAU,iBACVC,QAAS,IAlBG,CACZ,MACA,iBACA,cACA,cACA,cACA,IACA,OACA,SACA,SACA,MACA,KAOuBC,KAAK,KAAO,IACnCC,SAAU,CAGRX,EAAKY,kBACLZ,EAAKa,iBAGLb,EAAKc,oBACLd,EAAKe,qBAGLV,EAGA,CACEF,MAAO,kDACPD,UAAW,kBAIb,CACEC,MAAO,kDACPD,UAAW,eAIb,CACEC,MAAO,OAzUF,CACT,IACA,OACA,UACA,UACA,QACA,QACA,IACA,aACA,OACA,SACA,SACA,UACA,OACA,OACA,KACA,MACA,UACA,MACA,MACA,KACA,KACA,KACA,WACA,aACA,SACA,SACA,OACA,KACA,KACA,KACA,KACA,KACA,KACA,SACA,SACA,OACA,IACA,SACA,MACA,QACA,MACA,MACA,QACA,SACA,KACA,OACA,OACA,MACA,SACA,KACA,IACA,IACA,QACA,OACA,UACA,OACA,SACA,UACA,MACA,QACA,QACA,KACA,WACA,QACA,KACA,QACA,OACA,KACA,KACA,MACA,SAkQyBO,KAAK,KAAnB,0BACPR,UAAW,gBAIb,CACEC,MAAO,YA7VU,CACrB,QACA,SACA,eACA,aACA,SACA,cACA,QACA,QACA,OACA,OACA,WAkV0CO,KAAK,KAApC,2BAIT,CACEP,MAAO,KAlXK,CAChB,UACA,MACA,QACA,SACA,YACA,MACA,SACA,UACA,QACA,QACA,OACA,OACA,SAqW+BO,KAAK,KAAO,QAIzCT,EAGAD,EAAKgB,gBAGLhB,EAAKiB,YAIL,CACEf,UAAW,WACXC,MAAO,kCACPM,QAAS,QACTS,aAAa,EACbP,SAAU,CACR,CAACT,UAAW,QAASC,MAAO,6BAC5B,CACED,UAAW,SACXC,MAAO,KACPgB,IAAK,KACLR,SAAU,CACRN,EACAJ,EACAD,EAAKa,iBACLb,EAAKgB,gBACLhB,EAAKiB,YACLjB,EAAKY,sBASb,CACEV,UAAW,YACXC,MAAO,OAlTI,CACf,gBACA,cACA,aACA,YACA,kBACA,sBACA,qBACA,sBACA,4BACA,iBACA,uBACA,4BACA,OACA,sBACA,aACA,wBACA,kBACA,mBACA,mBACA,oBACA,sBACA,oBACA,kBACA,SACA,gBACA,sBACA,4BACA,6BACA,sBACA,sBACA,kBACA,eACA,eACA,sBACA,sBACA,qBACA,sBACA,qBACA,cACA,oBACA,oBACA,oBACA,gBACA,eACA,qBACA,qBACA,qBACA,iBACA,eACA,aACA,mBACA,yBACA,0BACA,mBACA,mBACA,eACA,SACA,uBACA,aACA,aACA,cACA,eACA,eACA,eACA,QACA,OACA,YACA,QACA,eACA,cACA,aACA,cACA,oBACA,oBACA,oBACA,cACA,eACA,UACA,UACA,oBACA,gBACA,SACA,YACA,UACA,cACA,SACA,OACA,aACA,iBACA,YACA,YACA,cACA,YACA,QACA,OACA,cACA,wBACA,eACA,yBACA,YACA,mBACA,eACA,aACA,eACA,yBACA,cACA,SACA,UACA,OACA,oBACA,kBACA,mBACA,WACA,UACA,UACA,kBACA,OACA,iBACA,cACA,aACA,mBACA,sBACA,kBACA,SACA,gBACA,cACA,eACA,aACA,QACA,OACA,aACA,YACA,aACA,YACA,WACA,YACA,WACA,YACA,SACA,OACA,SACA,aACA,kBACA,UACA,QACA,UACA,UACA,gBACA,iBACA,gBACA,gBACA,WACA,gBACA,aACA,aACA,UACA,iBACA,eACA,gBACA,cACA,mBACA,oBACA,oBACA,cACA,qBACA,iBACA,WACA,SACA,SACA,QACA,WACA,eACA,aACA,kBACA,kBACA,wBACA,uBACA,wBACA,cACA,gBACA,iBACA,cACA,iBACA,0BACA,MACA,YACA,mBACA,kBACA,aACA,mBACA,sBACA,sBACA,6BACA,eACA,iBACA,aACA,cACA,SACA,QACA,aACA,eACA,YACA,WAuG+BiB,UAAUV,KAAK,KAAO,OACjDW,OAAQ,CAENF,IAAK,MACLR,SAAU,CACRN,EACAJ,EACAD,EAAKa,iBACLb,EAAKY,kBACLZ,EAAKgB,gBACLhB,EAAKiB,YACLjB,EAAKe,sBAEPN,QAAS,KACTa,UAAW","file":"highlight/stylus.js?v=c872d3944a2bc3799059","sourcesContent":["module.exports = function(hljs) {\n\n var VARIABLE = {\n className: 'variable',\n begin: '\\\\$' + hljs.IDENT_RE\n };\n\n var HEX_COLOR = {\n className: 'number',\n begin: '#([a-fA-F0-9]{6}|[a-fA-F0-9]{3})'\n };\n\n var AT_KEYWORDS = [\n 'charset',\n 'css',\n 'debug',\n 'extend',\n 'font-face',\n 'for',\n 'import',\n 'include',\n 'media',\n 'mixin',\n 'page',\n 'warn',\n 'while'\n ];\n\n var PSEUDO_SELECTORS = [\n 'after',\n 'before',\n 'first-letter',\n 'first-line',\n 'active',\n 'first-child',\n 'focus',\n 'hover',\n 'lang',\n 'link',\n 'visited'\n ];\n\n var TAGS = [\n 'a',\n 'abbr',\n 'address',\n 'article',\n 'aside',\n 'audio',\n 'b',\n 'blockquote',\n 'body',\n 'button',\n 'canvas',\n 'caption',\n 'cite',\n 'code',\n 'dd',\n 'del',\n 'details',\n 'dfn',\n 'div',\n 'dl',\n 'dt',\n 'em',\n 'fieldset',\n 'figcaption',\n 'figure',\n 'footer',\n 'form',\n 'h1',\n 'h2',\n 'h3',\n 'h4',\n 'h5',\n 'h6',\n 'header',\n 'hgroup',\n 'html',\n 'i',\n 'iframe',\n 'img',\n 'input',\n 'ins',\n 'kbd',\n 'label',\n 'legend',\n 'li',\n 'mark',\n 'menu',\n 'nav',\n 'object',\n 'ol',\n 'p',\n 'q',\n 'quote',\n 'samp',\n 'section',\n 'span',\n 'strong',\n 'summary',\n 'sup',\n 'table',\n 'tbody',\n 'td',\n 'textarea',\n 'tfoot',\n 'th',\n 'thead',\n 'time',\n 'tr',\n 'ul',\n 'var',\n 'video'\n ];\n\n var LOOKAHEAD_TAG_END = '(?=[\\\\.\\\\s\\\\n\\\\[\\\\:,])';\n\n var ATTRIBUTES = [\n 'align-content',\n 'align-items',\n 'align-self',\n 'animation',\n 'animation-delay',\n 'animation-direction',\n 'animation-duration',\n 'animation-fill-mode',\n 'animation-iteration-count',\n 'animation-name',\n 'animation-play-state',\n 'animation-timing-function',\n 'auto',\n 'backface-visibility',\n 'background',\n 'background-attachment',\n 'background-clip',\n 'background-color',\n 'background-image',\n 'background-origin',\n 'background-position',\n 'background-repeat',\n 'background-size',\n 'border',\n 'border-bottom',\n 'border-bottom-color',\n 'border-bottom-left-radius',\n 'border-bottom-right-radius',\n 'border-bottom-style',\n 'border-bottom-width',\n 'border-collapse',\n 'border-color',\n 'border-image',\n 'border-image-outset',\n 'border-image-repeat',\n 'border-image-slice',\n 'border-image-source',\n 'border-image-width',\n 'border-left',\n 'border-left-color',\n 'border-left-style',\n 'border-left-width',\n 'border-radius',\n 'border-right',\n 'border-right-color',\n 'border-right-style',\n 'border-right-width',\n 'border-spacing',\n 'border-style',\n 'border-top',\n 'border-top-color',\n 'border-top-left-radius',\n 'border-top-right-radius',\n 'border-top-style',\n 'border-top-width',\n 'border-width',\n 'bottom',\n 'box-decoration-break',\n 'box-shadow',\n 'box-sizing',\n 'break-after',\n 'break-before',\n 'break-inside',\n 'caption-side',\n 'clear',\n 'clip',\n 'clip-path',\n 'color',\n 'column-count',\n 'column-fill',\n 'column-gap',\n 'column-rule',\n 'column-rule-color',\n 'column-rule-style',\n 'column-rule-width',\n 'column-span',\n 'column-width',\n 'columns',\n 'content',\n 'counter-increment',\n 'counter-reset',\n 'cursor',\n 'direction',\n 'display',\n 'empty-cells',\n 'filter',\n 'flex',\n 'flex-basis',\n 'flex-direction',\n 'flex-flow',\n 'flex-grow',\n 'flex-shrink',\n 'flex-wrap',\n 'float',\n 'font',\n 'font-family',\n 'font-feature-settings',\n 'font-kerning',\n 'font-language-override',\n 'font-size',\n 'font-size-adjust',\n 'font-stretch',\n 'font-style',\n 'font-variant',\n 'font-variant-ligatures',\n 'font-weight',\n 'height',\n 'hyphens',\n 'icon',\n 'image-orientation',\n 'image-rendering',\n 'image-resolution',\n 'ime-mode',\n 'inherit',\n 'initial',\n 'justify-content',\n 'left',\n 'letter-spacing',\n 'line-height',\n 'list-style',\n 'list-style-image',\n 'list-style-position',\n 'list-style-type',\n 'margin',\n 'margin-bottom',\n 'margin-left',\n 'margin-right',\n 'margin-top',\n 'marks',\n 'mask',\n 'max-height',\n 'max-width',\n 'min-height',\n 'min-width',\n 'nav-down',\n 'nav-index',\n 'nav-left',\n 'nav-right',\n 'nav-up',\n 'none',\n 'normal',\n 'object-fit',\n 'object-position',\n 'opacity',\n 'order',\n 'orphans',\n 'outline',\n 'outline-color',\n 'outline-offset',\n 'outline-style',\n 'outline-width',\n 'overflow',\n 'overflow-wrap',\n 'overflow-x',\n 'overflow-y',\n 'padding',\n 'padding-bottom',\n 'padding-left',\n 'padding-right',\n 'padding-top',\n 'page-break-after',\n 'page-break-before',\n 'page-break-inside',\n 'perspective',\n 'perspective-origin',\n 'pointer-events',\n 'position',\n 'quotes',\n 'resize',\n 'right',\n 'tab-size',\n 'table-layout',\n 'text-align',\n 'text-align-last',\n 'text-decoration',\n 'text-decoration-color',\n 'text-decoration-line',\n 'text-decoration-style',\n 'text-indent',\n 'text-overflow',\n 'text-rendering',\n 'text-shadow',\n 'text-transform',\n 'text-underline-position',\n 'top',\n 'transform',\n 'transform-origin',\n 'transform-style',\n 'transition',\n 'transition-delay',\n 'transition-duration',\n 'transition-property',\n 'transition-timing-function',\n 'unicode-bidi',\n 'vertical-align',\n 'visibility',\n 'white-space',\n 'widows',\n 'width',\n 'word-break',\n 'word-spacing',\n 'word-wrap',\n 'z-index'\n ];\n\n // illegals\n var ILLEGAL = [\n '\\\\?',\n '(\\\\bReturn\\\\b)', // monkey\n '(\\\\bEnd\\\\b)', // monkey\n '(\\\\bend\\\\b)', // vbscript\n '(\\\\bdef\\\\b)', // gradle\n ';', // a whole lot of languages\n '#\\\\s', // markdown\n '\\\\*\\\\s', // markdown\n '===\\\\s', // markdown\n '\\\\|',\n '%', // prolog\n ];\n\n return {\n aliases: ['styl'],\n case_insensitive: false,\n keywords: 'if else for in',\n illegal: '(' + ILLEGAL.join('|') + ')',\n contains: [\n\n // strings\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n\n // comments\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n\n // hex colors\n HEX_COLOR,\n\n // class tag\n {\n begin: '\\\\.[a-zA-Z][a-zA-Z0-9_-]*' + LOOKAHEAD_TAG_END,\n className: 'selector-class'\n },\n\n // id tag\n {\n begin: '\\\\#[a-zA-Z][a-zA-Z0-9_-]*' + LOOKAHEAD_TAG_END,\n className: 'selector-id'\n },\n\n // tags\n {\n begin: '\\\\b(' + TAGS.join('|') + ')' + LOOKAHEAD_TAG_END,\n className: 'selector-tag'\n },\n\n // psuedo selectors\n {\n begin: '&?:?:\\\\b(' + PSEUDO_SELECTORS.join('|') + ')' + LOOKAHEAD_TAG_END\n },\n\n // @ keywords\n {\n begin: '\\@(' + AT_KEYWORDS.join('|') + ')\\\\b'\n },\n\n // variables\n VARIABLE,\n\n // dimension\n hljs.CSS_NUMBER_MODE,\n\n // number\n hljs.NUMBER_MODE,\n\n // functions\n // - only from beginning of line + whitespace\n {\n className: 'function',\n begin: '^[a-zA-Z][a-zA-Z0-9_\\-]*\\\\(.*\\\\)',\n illegal: '[\\\\n]',\n returnBegin: true,\n contains: [\n {className: 'title', begin: '\\\\b[a-zA-Z][a-zA-Z0-9_\\-]*'},\n {\n className: 'params',\n begin: /\\(/,\n end: /\\)/,\n contains: [\n HEX_COLOR,\n VARIABLE,\n hljs.APOS_STRING_MODE,\n hljs.CSS_NUMBER_MODE,\n hljs.NUMBER_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n }\n ]\n },\n\n // attributes\n // - only from beginning of line + whitespace\n // - must have whitespace after it\n {\n className: 'attribute',\n begin: '\\\\b(' + ATTRIBUTES.reverse().join('|') + ')\\\\b',\n starts: {\n // value container\n end: /;|$/,\n contains: [\n HEX_COLOR,\n VARIABLE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.CSS_NUMBER_MODE,\n hljs.NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ],\n illegal: /\\./,\n relevance: 0\n }\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/stylus.js"],"names":["module","exports","hljs","VARIABLE","className","begin","IDENT_RE","HEX_COLOR","aliases","case_insensitive","keywords","illegal","join","contains","QUOTE_STRING_MODE","APOS_STRING_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","CSS_NUMBER_MODE","NUMBER_MODE","returnBegin","end","reverse","starts","relevance"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAAIC,EAAW,CACbC,UAAW,WACXC,MAAO,MAAQH,EAAKI,UAGlBC,EAAY,CACdH,UAAW,SACXC,MAAO,oCA0UT,MAAO,CACLG,QAAS,CAAC,QACVC,kBAAkB,EAClBC,SAAU,iBACVC,QAAS,IAlBG,CACZ,MACA,iBACA,cACA,cACA,cACA,IACA,OACA,SACA,SACA,MACA,KAOuBC,KAAK,KAAO,IACnCC,SAAU,CAGRX,EAAKY,kBACLZ,EAAKa,iBAGLb,EAAKc,oBACLd,EAAKe,qBAGLV,EAGA,CACEF,MAAO,kDACPD,UAAW,kBAIb,CACEC,MAAO,kDACPD,UAAW,eAIb,CACEC,MAAO,OAzUF,CACT,IACA,OACA,UACA,UACA,QACA,QACA,IACA,aACA,OACA,SACA,SACA,UACA,OACA,OACA,KACA,MACA,UACA,MACA,MACA,KACA,KACA,KACA,WACA,aACA,SACA,SACA,OACA,KACA,KACA,KACA,KACA,KACA,KACA,SACA,SACA,OACA,IACA,SACA,MACA,QACA,MACA,MACA,QACA,SACA,KACA,OACA,OACA,MACA,SACA,KACA,IACA,IACA,QACA,OACA,UACA,OACA,SACA,UACA,MACA,QACA,QACA,KACA,WACA,QACA,KACA,QACA,OACA,KACA,KACA,MACA,SAkQyBO,KAAK,KAAnB,0BACPR,UAAW,gBAIb,CACEC,MAAO,YA7VU,CACrB,QACA,SACA,eACA,aACA,SACA,cACA,QACA,QACA,OACA,OACA,WAkV0CO,KAAK,KAApC,2BAIT,CACEP,MAAO,KAlXK,CAChB,UACA,MACA,QACA,SACA,YACA,MACA,SACA,UACA,QACA,QACA,OACA,OACA,SAqW+BO,KAAK,KAAO,QAIzCT,EAGAD,EAAKgB,gBAGLhB,EAAKiB,YAIL,CACEf,UAAW,WACXC,MAAO,kCACPM,QAAS,QACTS,aAAa,EACbP,SAAU,CACR,CAACT,UAAW,QAASC,MAAO,6BAC5B,CACED,UAAW,SACXC,MAAO,KACPgB,IAAK,KACLR,SAAU,CACRN,EACAJ,EACAD,EAAKa,iBACLb,EAAKgB,gBACLhB,EAAKiB,YACLjB,EAAKY,sBASb,CACEV,UAAW,YACXC,MAAO,OAlTI,CACf,gBACA,cACA,aACA,YACA,kBACA,sBACA,qBACA,sBACA,4BACA,iBACA,uBACA,4BACA,OACA,sBACA,aACA,wBACA,kBACA,mBACA,mBACA,oBACA,sBACA,oBACA,kBACA,SACA,gBACA,sBACA,4BACA,6BACA,sBACA,sBACA,kBACA,eACA,eACA,sBACA,sBACA,qBACA,sBACA,qBACA,cACA,oBACA,oBACA,oBACA,gBACA,eACA,qBACA,qBACA,qBACA,iBACA,eACA,aACA,mBACA,yBACA,0BACA,mBACA,mBACA,eACA,SACA,uBACA,aACA,aACA,cACA,eACA,eACA,eACA,QACA,OACA,YACA,QACA,eACA,cACA,aACA,cACA,oBACA,oBACA,oBACA,cACA,eACA,UACA,UACA,oBACA,gBACA,SACA,YACA,UACA,cACA,SACA,OACA,aACA,iBACA,YACA,YACA,cACA,YACA,QACA,OACA,cACA,wBACA,eACA,yBACA,YACA,mBACA,eACA,aACA,eACA,yBACA,cACA,SACA,UACA,OACA,oBACA,kBACA,mBACA,WACA,UACA,UACA,kBACA,OACA,iBACA,cACA,aACA,mBACA,sBACA,kBACA,SACA,gBACA,cACA,eACA,aACA,QACA,OACA,aACA,YACA,aACA,YACA,WACA,YACA,WACA,YACA,SACA,OACA,SACA,aACA,kBACA,UACA,QACA,UACA,UACA,gBACA,iBACA,gBACA,gBACA,WACA,gBACA,aACA,aACA,UACA,iBACA,eACA,gBACA,cACA,mBACA,oBACA,oBACA,cACA,qBACA,iBACA,WACA,SACA,SACA,QACA,WACA,eACA,aACA,kBACA,kBACA,wBACA,uBACA,wBACA,cACA,gBACA,iBACA,cACA,iBACA,0BACA,MACA,YACA,mBACA,kBACA,aACA,mBACA,sBACA,sBACA,6BACA,eACA,iBACA,aACA,cACA,SACA,QACA,aACA,eACA,YACA,WAuG+BiB,UAAUV,KAAK,KAAO,OACjDW,OAAQ,CAENF,IAAK,MACLR,SAAU,CACRN,EACAJ,EACAD,EAAKa,iBACLb,EAAKY,kBACLZ,EAAKgB,gBACLhB,EAAKiB,YACLjB,EAAKe,sBAEPN,QAAS,KACTa,UAAW","file":"highlight/stylus.js?v=56a827ad5ecdb729d8e0","sourcesContent":["module.exports = function(hljs) {\n\n var VARIABLE = {\n className: 'variable',\n begin: '\\\\$' + hljs.IDENT_RE\n };\n\n var HEX_COLOR = {\n className: 'number',\n begin: '#([a-fA-F0-9]{6}|[a-fA-F0-9]{3})'\n };\n\n var AT_KEYWORDS = [\n 'charset',\n 'css',\n 'debug',\n 'extend',\n 'font-face',\n 'for',\n 'import',\n 'include',\n 'media',\n 'mixin',\n 'page',\n 'warn',\n 'while'\n ];\n\n var PSEUDO_SELECTORS = [\n 'after',\n 'before',\n 'first-letter',\n 'first-line',\n 'active',\n 'first-child',\n 'focus',\n 'hover',\n 'lang',\n 'link',\n 'visited'\n ];\n\n var TAGS = [\n 'a',\n 'abbr',\n 'address',\n 'article',\n 'aside',\n 'audio',\n 'b',\n 'blockquote',\n 'body',\n 'button',\n 'canvas',\n 'caption',\n 'cite',\n 'code',\n 'dd',\n 'del',\n 'details',\n 'dfn',\n 'div',\n 'dl',\n 'dt',\n 'em',\n 'fieldset',\n 'figcaption',\n 'figure',\n 'footer',\n 'form',\n 'h1',\n 'h2',\n 'h3',\n 'h4',\n 'h5',\n 'h6',\n 'header',\n 'hgroup',\n 'html',\n 'i',\n 'iframe',\n 'img',\n 'input',\n 'ins',\n 'kbd',\n 'label',\n 'legend',\n 'li',\n 'mark',\n 'menu',\n 'nav',\n 'object',\n 'ol',\n 'p',\n 'q',\n 'quote',\n 'samp',\n 'section',\n 'span',\n 'strong',\n 'summary',\n 'sup',\n 'table',\n 'tbody',\n 'td',\n 'textarea',\n 'tfoot',\n 'th',\n 'thead',\n 'time',\n 'tr',\n 'ul',\n 'var',\n 'video'\n ];\n\n var LOOKAHEAD_TAG_END = '(?=[\\\\.\\\\s\\\\n\\\\[\\\\:,])';\n\n var ATTRIBUTES = [\n 'align-content',\n 'align-items',\n 'align-self',\n 'animation',\n 'animation-delay',\n 'animation-direction',\n 'animation-duration',\n 'animation-fill-mode',\n 'animation-iteration-count',\n 'animation-name',\n 'animation-play-state',\n 'animation-timing-function',\n 'auto',\n 'backface-visibility',\n 'background',\n 'background-attachment',\n 'background-clip',\n 'background-color',\n 'background-image',\n 'background-origin',\n 'background-position',\n 'background-repeat',\n 'background-size',\n 'border',\n 'border-bottom',\n 'border-bottom-color',\n 'border-bottom-left-radius',\n 'border-bottom-right-radius',\n 'border-bottom-style',\n 'border-bottom-width',\n 'border-collapse',\n 'border-color',\n 'border-image',\n 'border-image-outset',\n 'border-image-repeat',\n 'border-image-slice',\n 'border-image-source',\n 'border-image-width',\n 'border-left',\n 'border-left-color',\n 'border-left-style',\n 'border-left-width',\n 'border-radius',\n 'border-right',\n 'border-right-color',\n 'border-right-style',\n 'border-right-width',\n 'border-spacing',\n 'border-style',\n 'border-top',\n 'border-top-color',\n 'border-top-left-radius',\n 'border-top-right-radius',\n 'border-top-style',\n 'border-top-width',\n 'border-width',\n 'bottom',\n 'box-decoration-break',\n 'box-shadow',\n 'box-sizing',\n 'break-after',\n 'break-before',\n 'break-inside',\n 'caption-side',\n 'clear',\n 'clip',\n 'clip-path',\n 'color',\n 'column-count',\n 'column-fill',\n 'column-gap',\n 'column-rule',\n 'column-rule-color',\n 'column-rule-style',\n 'column-rule-width',\n 'column-span',\n 'column-width',\n 'columns',\n 'content',\n 'counter-increment',\n 'counter-reset',\n 'cursor',\n 'direction',\n 'display',\n 'empty-cells',\n 'filter',\n 'flex',\n 'flex-basis',\n 'flex-direction',\n 'flex-flow',\n 'flex-grow',\n 'flex-shrink',\n 'flex-wrap',\n 'float',\n 'font',\n 'font-family',\n 'font-feature-settings',\n 'font-kerning',\n 'font-language-override',\n 'font-size',\n 'font-size-adjust',\n 'font-stretch',\n 'font-style',\n 'font-variant',\n 'font-variant-ligatures',\n 'font-weight',\n 'height',\n 'hyphens',\n 'icon',\n 'image-orientation',\n 'image-rendering',\n 'image-resolution',\n 'ime-mode',\n 'inherit',\n 'initial',\n 'justify-content',\n 'left',\n 'letter-spacing',\n 'line-height',\n 'list-style',\n 'list-style-image',\n 'list-style-position',\n 'list-style-type',\n 'margin',\n 'margin-bottom',\n 'margin-left',\n 'margin-right',\n 'margin-top',\n 'marks',\n 'mask',\n 'max-height',\n 'max-width',\n 'min-height',\n 'min-width',\n 'nav-down',\n 'nav-index',\n 'nav-left',\n 'nav-right',\n 'nav-up',\n 'none',\n 'normal',\n 'object-fit',\n 'object-position',\n 'opacity',\n 'order',\n 'orphans',\n 'outline',\n 'outline-color',\n 'outline-offset',\n 'outline-style',\n 'outline-width',\n 'overflow',\n 'overflow-wrap',\n 'overflow-x',\n 'overflow-y',\n 'padding',\n 'padding-bottom',\n 'padding-left',\n 'padding-right',\n 'padding-top',\n 'page-break-after',\n 'page-break-before',\n 'page-break-inside',\n 'perspective',\n 'perspective-origin',\n 'pointer-events',\n 'position',\n 'quotes',\n 'resize',\n 'right',\n 'tab-size',\n 'table-layout',\n 'text-align',\n 'text-align-last',\n 'text-decoration',\n 'text-decoration-color',\n 'text-decoration-line',\n 'text-decoration-style',\n 'text-indent',\n 'text-overflow',\n 'text-rendering',\n 'text-shadow',\n 'text-transform',\n 'text-underline-position',\n 'top',\n 'transform',\n 'transform-origin',\n 'transform-style',\n 'transition',\n 'transition-delay',\n 'transition-duration',\n 'transition-property',\n 'transition-timing-function',\n 'unicode-bidi',\n 'vertical-align',\n 'visibility',\n 'white-space',\n 'widows',\n 'width',\n 'word-break',\n 'word-spacing',\n 'word-wrap',\n 'z-index'\n ];\n\n // illegals\n var ILLEGAL = [\n '\\\\?',\n '(\\\\bReturn\\\\b)', // monkey\n '(\\\\bEnd\\\\b)', // monkey\n '(\\\\bend\\\\b)', // vbscript\n '(\\\\bdef\\\\b)', // gradle\n ';', // a whole lot of languages\n '#\\\\s', // markdown\n '\\\\*\\\\s', // markdown\n '===\\\\s', // markdown\n '\\\\|',\n '%', // prolog\n ];\n\n return {\n aliases: ['styl'],\n case_insensitive: false,\n keywords: 'if else for in',\n illegal: '(' + ILLEGAL.join('|') + ')',\n contains: [\n\n // strings\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n\n // comments\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n\n // hex colors\n HEX_COLOR,\n\n // class tag\n {\n begin: '\\\\.[a-zA-Z][a-zA-Z0-9_-]*' + LOOKAHEAD_TAG_END,\n className: 'selector-class'\n },\n\n // id tag\n {\n begin: '\\\\#[a-zA-Z][a-zA-Z0-9_-]*' + LOOKAHEAD_TAG_END,\n className: 'selector-id'\n },\n\n // tags\n {\n begin: '\\\\b(' + TAGS.join('|') + ')' + LOOKAHEAD_TAG_END,\n className: 'selector-tag'\n },\n\n // psuedo selectors\n {\n begin: '&?:?:\\\\b(' + PSEUDO_SELECTORS.join('|') + ')' + LOOKAHEAD_TAG_END\n },\n\n // @ keywords\n {\n begin: '\\@(' + AT_KEYWORDS.join('|') + ')\\\\b'\n },\n\n // variables\n VARIABLE,\n\n // dimension\n hljs.CSS_NUMBER_MODE,\n\n // number\n hljs.NUMBER_MODE,\n\n // functions\n // - only from beginning of line + whitespace\n {\n className: 'function',\n begin: '^[a-zA-Z][a-zA-Z0-9_\\-]*\\\\(.*\\\\)',\n illegal: '[\\\\n]',\n returnBegin: true,\n contains: [\n {className: 'title', begin: '\\\\b[a-zA-Z][a-zA-Z0-9_\\-]*'},\n {\n className: 'params',\n begin: /\\(/,\n end: /\\)/,\n contains: [\n HEX_COLOR,\n VARIABLE,\n hljs.APOS_STRING_MODE,\n hljs.CSS_NUMBER_MODE,\n hljs.NUMBER_MODE,\n hljs.QUOTE_STRING_MODE\n ]\n }\n ]\n },\n\n // attributes\n // - only from beginning of line + whitespace\n // - must have whitespace after it\n {\n className: 'attribute',\n begin: '\\\\b(' + ATTRIBUTES.reverse().join('|') + ')\\\\b',\n starts: {\n // value container\n end: /;|$/,\n contains: [\n HEX_COLOR,\n VARIABLE,\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.CSS_NUMBER_MODE,\n hljs.NUMBER_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ],\n illegal: /\\./,\n relevance: 0\n }\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/subunit.js b/js/highlight/subunit.js
index 468ababa3..2e339e41b 100644
--- a/js/highlight/subunit.js
+++ b/js/highlight/subunit.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[164],{530:function(s,e){s.exports=function(s){return{case_insensitive:!0,contains:[{className:"string",begin:"\\[\n(multipart)?",end:"\\]\n"},{className:"string",begin:"\\d{4}-\\d{2}-\\d{2}(\\s+)\\d{2}:\\d{2}:\\d{2}.\\d+Z"},{className:"string",begin:"(\\+|-)\\d+"},{className:"keyword",relevance:10,variants:[{begin:"^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\s+(test)?"},{begin:"^progress(:?)(\\s+)?(pop|push)?"},{begin:"^tags:"},{begin:"^time:"}]}]}}}}]);
-//# sourceMappingURL=subunit.js.map?v=2fe4efa9d54da3cab486 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[164],{531:function(s,e){s.exports=function(s){return{case_insensitive:!0,contains:[{className:"string",begin:"\\[\n(multipart)?",end:"\\]\n"},{className:"string",begin:"\\d{4}-\\d{2}-\\d{2}(\\s+)\\d{2}:\\d{2}:\\d{2}.\\d+Z"},{className:"string",begin:"(\\+|-)\\d+"},{className:"keyword",relevance:10,variants:[{begin:"^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\s+(test)?"},{begin:"^progress(:?)(\\s+)?(pop|push)?"},{begin:"^tags:"},{begin:"^time:"}]}]}}}}]);
+//# sourceMappingURL=subunit.js.map?v=77e46e77ce7f848e97e0 \ No newline at end of file
diff --git a/js/highlight/subunit.js.map b/js/highlight/subunit.js.map
index 05433b8c1..476449722 100644
--- a/js/highlight/subunit.js.map
+++ b/js/highlight/subunit.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/subunit.js"],"names":["module","exports","hljs","case_insensitive","contains","className","begin","end","relevance","variants"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAuBxB,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CAxBE,CACZC,UAAW,SACXC,MAAO,oBAAqBC,IAAK,SAExB,CACTF,UAAW,SACXC,MAAO,wDAEW,CAClBD,UAAW,SACXC,MAAO,eAEM,CACbD,UAAW,UACXG,UAAW,GACXC,SAAU,CACR,CAAEH,MAAO,wFACT,CAAEA,MAAO,mCACT,CAAEA,MAAO,UACT,CAAEA,MAAO","file":"highlight/subunit.js?v=2fe4efa9d54da3cab486","sourcesContent":["module.exports = function(hljs) {\n var DETAILS = {\n className: 'string',\n begin: '\\\\[\\n(multipart)?', end: '\\\\]\\n'\n };\n var TIME = {\n className: 'string',\n begin: '\\\\d{4}-\\\\d{2}-\\\\d{2}(\\\\s+)\\\\d{2}:\\\\d{2}:\\\\d{2}\\.\\\\d+Z'\n };\n var PROGRESSVALUE = {\n className: 'string',\n begin: '(\\\\+|-)\\\\d+'\n };\n var KEYWORDS = {\n className: 'keyword',\n relevance: 10,\n variants: [\n { begin: '^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\\\s+(test)?' },\n { begin: '^progress(:?)(\\\\s+)?(pop|push)?' },\n { begin: '^tags:' },\n { begin: '^time:' }\n ],\n };\n return {\n case_insensitive: true,\n contains: [\n DETAILS,\n TIME,\n PROGRESSVALUE,\n KEYWORDS\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/subunit.js"],"names":["module","exports","hljs","case_insensitive","contains","className","begin","end","relevance","variants"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAuBxB,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CAxBE,CACZC,UAAW,SACXC,MAAO,oBAAqBC,IAAK,SAExB,CACTF,UAAW,SACXC,MAAO,wDAEW,CAClBD,UAAW,SACXC,MAAO,eAEM,CACbD,UAAW,UACXG,UAAW,GACXC,SAAU,CACR,CAAEH,MAAO,wFACT,CAAEA,MAAO,mCACT,CAAEA,MAAO,UACT,CAAEA,MAAO","file":"highlight/subunit.js?v=77e46e77ce7f848e97e0","sourcesContent":["module.exports = function(hljs) {\n var DETAILS = {\n className: 'string',\n begin: '\\\\[\\n(multipart)?', end: '\\\\]\\n'\n };\n var TIME = {\n className: 'string',\n begin: '\\\\d{4}-\\\\d{2}-\\\\d{2}(\\\\s+)\\\\d{2}:\\\\d{2}:\\\\d{2}\\.\\\\d+Z'\n };\n var PROGRESSVALUE = {\n className: 'string',\n begin: '(\\\\+|-)\\\\d+'\n };\n var KEYWORDS = {\n className: 'keyword',\n relevance: 10,\n variants: [\n { begin: '^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\\\s+(test)?' },\n { begin: '^progress(:?)(\\\\s+)?(pop|push)?' },\n { begin: '^tags:' },\n { begin: '^time:' }\n ],\n };\n return {\n case_insensitive: true,\n contains: [\n DETAILS,\n TIME,\n PROGRESSVALUE,\n KEYWORDS\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/swift.js b/js/highlight/swift.js
index 85599eb5f..fdeb90835 100644
--- a/js/highlight/swift.js
+++ b/js/highlight/swift.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[165],{531:function(e,i){e.exports=function(e){var i={keyword:"#available #colorLiteral #column #else #elseif #endif #file #fileLiteral #function #if #imageLiteral #line #selector #sourceLocation _ __COLUMN__ __FILE__ __FUNCTION__ __LINE__ Any as as! as? associatedtype associativity break case catch class continue convenience default defer deinit didSet do dynamic dynamicType else enum extension fallthrough false fileprivate final for func get guard if import in indirect infix init inout internal is lazy left let mutating nil none nonmutating open operator optional override postfix precedence prefix private protocol Protocol public repeat required rethrows return right self Self set static struct subscript super switch throw throws true try try! try? Type typealias unowned var weak where while willSet",literal:"true false nil",built_in:"abs advance alignof alignofValue anyGenerator assert assertionFailure bridgeFromObjectiveC bridgeFromObjectiveCUnconditional bridgeToObjectiveC bridgeToObjectiveCUnconditional c contains count countElements countLeadingZeros debugPrint debugPrintln distance dropFirst dropLast dump encodeBitsAsWords enumerate equal fatalError filter find getBridgedObjectiveCType getVaList indices insertionSort isBridgedToObjectiveC isBridgedVerbatimToObjectiveC isUniquelyReferenced isUniquelyReferencedNonObjC join lazy lexicographicalCompare map max maxElement min minElement numericCast overlaps partition posix precondition preconditionFailure print println quickSort readLine reduce reflect reinterpretCast reverse roundUpToAlignment sizeof sizeofValue sort split startsWith stride strideof strideofValue swap toString transcode underestimateCount unsafeAddressOf unsafeBitCast unsafeDowncast unsafeUnwrap unsafeReflect withExtendedLifetime withObjectAtPlusZero withUnsafePointer withUnsafePointerToObject withUnsafeMutablePointer withUnsafeMutablePointers withUnsafePointer withUnsafePointers withVaList zip"},n=e.COMMENT("/\\*","\\*/",{contains:["self"]}),t={className:"subst",begin:/\\\(/,end:"\\)",keywords:i,contains:[]},a={className:"string",contains:[e.BACKSLASH_ESCAPE,t],variants:[{begin:/"""/,end:/"""/},{begin:/"/,end:/"/}]},r={className:"number",begin:"\\b([\\d_]+(\\.[\\deE_]+)?|0x[a-fA-F0-9_]+(\\.[a-fA-F0-9p_]+)?|0b[01_]+|0o[0-7_]+)\\b",relevance:0};return t.contains=[r],{keywords:i,contains:[a,e.C_LINE_COMMENT_MODE,n,{className:"type",begin:"\\b[A-Z][\\wÀ-ʸ']*[!?]"},{className:"type",begin:"\\b[A-Z][\\wÀ-ʸ']*",relevance:0},r,{className:"function",beginKeywords:"func",end:"{",excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{begin:/</,end:/>/},{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:i,contains:["self",r,a,e.C_BLOCK_COMMENT_MODE,{begin:":"}],illegal:/["']/}],illegal:/\[|%/},{className:"class",beginKeywords:"struct protocol class extension enum",keywords:i,end:"\\{",excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][\u00C0-\u02B80-9A-Za-z$_]*/})]},{className:"meta",begin:"(@discardableResult|@warn_unused_result|@exported|@lazy|@noescape|@NSCopying|@NSManaged|@objc|@objcMembers|@convention|@required|@noreturn|@IBAction|@IBDesignable|@IBInspectable|@IBOutlet|@infix|@prefix|@postfix|@autoclosure|@testable|@available|@nonobjc|@NSApplicationMain|@UIApplicationMain|@dynamicMemberLookup|@propertyWrapper)"},{beginKeywords:"import",end:/$/,contains:[e.C_LINE_COMMENT_MODE,n]}]}}}}]);
-//# sourceMappingURL=swift.js.map?v=db5cdb7a129af4b1f4bb \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[165],{532:function(e,i){e.exports=function(e){var i={keyword:"#available #colorLiteral #column #else #elseif #endif #file #fileLiteral #function #if #imageLiteral #line #selector #sourceLocation _ __COLUMN__ __FILE__ __FUNCTION__ __LINE__ Any as as! as? associatedtype associativity break case catch class continue convenience default defer deinit didSet do dynamic dynamicType else enum extension fallthrough false fileprivate final for func get guard if import in indirect infix init inout internal is lazy left let mutating nil none nonmutating open operator optional override postfix precedence prefix private protocol Protocol public repeat required rethrows return right self Self set static struct subscript super switch throw throws true try try! try? Type typealias unowned var weak where while willSet",literal:"true false nil",built_in:"abs advance alignof alignofValue anyGenerator assert assertionFailure bridgeFromObjectiveC bridgeFromObjectiveCUnconditional bridgeToObjectiveC bridgeToObjectiveCUnconditional c contains count countElements countLeadingZeros debugPrint debugPrintln distance dropFirst dropLast dump encodeBitsAsWords enumerate equal fatalError filter find getBridgedObjectiveCType getVaList indices insertionSort isBridgedToObjectiveC isBridgedVerbatimToObjectiveC isUniquelyReferenced isUniquelyReferencedNonObjC join lazy lexicographicalCompare map max maxElement min minElement numericCast overlaps partition posix precondition preconditionFailure print println quickSort readLine reduce reflect reinterpretCast reverse roundUpToAlignment sizeof sizeofValue sort split startsWith stride strideof strideofValue swap toString transcode underestimateCount unsafeAddressOf unsafeBitCast unsafeDowncast unsafeUnwrap unsafeReflect withExtendedLifetime withObjectAtPlusZero withUnsafePointer withUnsafePointerToObject withUnsafeMutablePointer withUnsafeMutablePointers withUnsafePointer withUnsafePointers withVaList zip"},n=e.COMMENT("/\\*","\\*/",{contains:["self"]}),t={className:"subst",begin:/\\\(/,end:"\\)",keywords:i,contains:[]},a={className:"string",contains:[e.BACKSLASH_ESCAPE,t],variants:[{begin:/"""/,end:/"""/},{begin:/"/,end:/"/}]},r={className:"number",begin:"\\b([\\d_]+(\\.[\\deE_]+)?|0x[a-fA-F0-9_]+(\\.[a-fA-F0-9p_]+)?|0b[01_]+|0o[0-7_]+)\\b",relevance:0};return t.contains=[r],{keywords:i,contains:[a,e.C_LINE_COMMENT_MODE,n,{className:"type",begin:"\\b[A-Z][\\wÀ-ʸ']*[!?]"},{className:"type",begin:"\\b[A-Z][\\wÀ-ʸ']*",relevance:0},r,{className:"function",beginKeywords:"func",end:"{",excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{begin:/</,end:/>/},{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:i,contains:["self",r,a,e.C_BLOCK_COMMENT_MODE,{begin:":"}],illegal:/["']/}],illegal:/\[|%/},{className:"class",beginKeywords:"struct protocol class extension enum",keywords:i,end:"\\{",excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][\u00C0-\u02B80-9A-Za-z$_]*/})]},{className:"meta",begin:"(@discardableResult|@warn_unused_result|@exported|@lazy|@noescape|@NSCopying|@NSManaged|@objc|@objcMembers|@convention|@required|@noreturn|@IBAction|@IBDesignable|@IBInspectable|@IBOutlet|@infix|@prefix|@postfix|@autoclosure|@testable|@available|@nonobjc|@NSApplicationMain|@UIApplicationMain|@dynamicMemberLookup|@propertyWrapper)"},{beginKeywords:"import",end:/$/,contains:[e.C_LINE_COMMENT_MODE,n]}]}}}}]);
+//# sourceMappingURL=swift.js.map?v=e53f833abdedc4f118f9 \ No newline at end of file
diff --git a/js/highlight/swift.js.map b/js/highlight/swift.js.map
index a6ac0b3ba..2a0a0a6d8 100644
--- a/js/highlight/swift.js.map
+++ b/js/highlight/swift.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/swift.js"],"names":["module","exports","hljs","SWIFT_KEYWORDS","keyword","literal","built_in","BLOCK_COMMENT","COMMENT","contains","SUBST","className","begin","end","keywords","STRING","BACKSLASH_ESCAPE","variants","NUMBERS","relevance","C_LINE_COMMENT_MODE","beginKeywords","excludeEnd","inherit","TITLE_MODE","endsParent","C_BLOCK_COMMENT_MODE","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAiB,CACjBC,QAAS,gvBAUTC,QAAS,iBACTC,SAAU,+kCA2BVC,EAAgBL,EAAKM,QACvB,OACA,OACA,CACEC,SAAU,CAAC,UAGXC,EAAQ,CACVC,UAAW,QACXC,MAAO,OAAQC,IAAK,MACpBC,SAAUX,EACVM,SAAU,IAERM,EAAS,CACXJ,UAAW,SACXF,SAAU,CAACP,EAAKc,iBAAkBN,GAClCO,SAAU,CACR,CAACL,MAAO,MAAOC,IAAK,OACpB,CAACD,MAAO,IAAKC,IAAK,OAGlBK,EAAU,CACVP,UAAW,SACXC,MAAO,wFACPO,UAAW,GAIf,OAFAT,EAAMD,SAAW,CAACS,GAEX,CACLJ,SAAUX,EACVM,SAAU,CACRM,EACAb,EAAKkB,oBACLb,EArCsB,CACxBI,UAAW,OACXC,MAAO,0BARE,CACTD,UAAW,OACXC,MAAO,qBACPO,UAAW,GA2CTD,EACA,CACEP,UAAW,WACXU,cAAe,OAAQR,IAAK,IAAKS,YAAY,EAC7Cb,SAAU,CACRP,EAAKqB,QAAQrB,EAAKsB,WAAY,CAC5BZ,MAAO,6BAET,CACEA,MAAO,IAAKC,IAAK,KAEnB,CACEF,UAAW,SACXC,MAAO,KAAMC,IAAK,KAAMY,YAAY,EACpCX,SAAUX,EACVM,SAAU,CACR,OACAS,EACAH,EACAb,EAAKwB,qBACL,CAACd,MAAO,MAEVe,QAAS,SAGbA,QAAS,QAEX,CACEhB,UAAW,QACXU,cAAe,uCACfP,SAAUX,EACVU,IAAK,MACLS,YAAY,EACZb,SAAU,CACRP,EAAKqB,QAAQrB,EAAKsB,WAAY,CAACZ,MAAO,4CAG1C,CACED,UAAW,OACXC,MAAO,+UAQT,CACES,cAAe,SAAUR,IAAK,IAC9BJ,SAAU,CAACP,EAAKkB,oBAAqBb","file":"highlight/swift.js?v=db5cdb7a129af4b1f4bb","sourcesContent":["module.exports = function(hljs) {\n var SWIFT_KEYWORDS = {\n keyword: '#available #colorLiteral #column #else #elseif #endif #file ' +\n '#fileLiteral #function #if #imageLiteral #line #selector #sourceLocation ' +\n '_ __COLUMN__ __FILE__ __FUNCTION__ __LINE__ Any as as! as? associatedtype ' +\n 'associativity break case catch class continue convenience default defer deinit didSet do ' +\n 'dynamic dynamicType else enum extension fallthrough false fileprivate final for func ' +\n 'get guard if import in indirect infix init inout internal is lazy left let ' +\n 'mutating nil none nonmutating open operator optional override postfix precedence ' +\n 'prefix private protocol Protocol public repeat required rethrows return ' +\n 'right self Self set static struct subscript super switch throw throws true ' +\n 'try try! try? Type typealias unowned var weak where while willSet',\n literal: 'true false nil',\n built_in: 'abs advance alignof alignofValue anyGenerator assert assertionFailure ' +\n 'bridgeFromObjectiveC bridgeFromObjectiveCUnconditional bridgeToObjectiveC ' +\n 'bridgeToObjectiveCUnconditional c contains count countElements countLeadingZeros ' +\n 'debugPrint debugPrintln distance dropFirst dropLast dump encodeBitsAsWords ' +\n 'enumerate equal fatalError filter find getBridgedObjectiveCType getVaList ' +\n 'indices insertionSort isBridgedToObjectiveC isBridgedVerbatimToObjectiveC ' +\n 'isUniquelyReferenced isUniquelyReferencedNonObjC join lazy lexicographicalCompare ' +\n 'map max maxElement min minElement numericCast overlaps partition posix ' +\n 'precondition preconditionFailure print println quickSort readLine reduce reflect ' +\n 'reinterpretCast reverse roundUpToAlignment sizeof sizeofValue sort split ' +\n 'startsWith stride strideof strideofValue swap toString transcode ' +\n 'underestimateCount unsafeAddressOf unsafeBitCast unsafeDowncast unsafeUnwrap ' +\n 'unsafeReflect withExtendedLifetime withObjectAtPlusZero withUnsafePointer ' +\n 'withUnsafePointerToObject withUnsafeMutablePointer withUnsafeMutablePointers ' +\n 'withUnsafePointer withUnsafePointers withVaList zip'\n };\n\n var TYPE = {\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\u00C0-\\u02B8\\']*',\n relevance: 0\n };\n // slightly more special to swift\n var OPTIONAL_USING_TYPE = {\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\u00C0-\\u02B8\\']*[!?]'\n }\n var BLOCK_COMMENT = hljs.COMMENT(\n '/\\\\*',\n '\\\\*/',\n {\n contains: ['self']\n }\n );\n var SUBST = {\n className: 'subst',\n begin: /\\\\\\(/, end: '\\\\)',\n keywords: SWIFT_KEYWORDS,\n contains: [] // assigned later\n };\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {begin: /\"\"\"/, end: /\"\"\"/},\n {begin: /\"/, end: /\"/},\n ]\n };\n var NUMBERS = {\n className: 'number',\n begin: '\\\\b([\\\\d_]+(\\\\.[\\\\deE_]+)?|0x[a-fA-F0-9_]+(\\\\.[a-fA-F0-9p_]+)?|0b[01_]+|0o[0-7_]+)\\\\b',\n relevance: 0\n };\n SUBST.contains = [NUMBERS];\n\n return {\n keywords: SWIFT_KEYWORDS,\n contains: [\n STRING,\n hljs.C_LINE_COMMENT_MODE,\n BLOCK_COMMENT,\n OPTIONAL_USING_TYPE,\n TYPE,\n NUMBERS,\n {\n className: 'function',\n beginKeywords: 'func', end: '{', excludeEnd: true,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n begin: /[A-Za-z$_][0-9A-Za-z$_]*/\n }),\n {\n begin: /</, end: />/\n },\n {\n className: 'params',\n begin: /\\(/, end: /\\)/, endsParent: true,\n keywords: SWIFT_KEYWORDS,\n contains: [\n 'self',\n NUMBERS,\n STRING,\n hljs.C_BLOCK_COMMENT_MODE,\n {begin: ':'} // relevance booster\n ],\n illegal: /[\"']/\n }\n ],\n illegal: /\\[|%/\n },\n {\n className: 'class',\n beginKeywords: 'struct protocol class extension enum',\n keywords: SWIFT_KEYWORDS,\n end: '\\\\{',\n excludeEnd: true,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: /[A-Za-z$_][\\u00C0-\\u02B80-9A-Za-z$_]*/})\n ]\n },\n {\n className: 'meta', // @attributes\n begin: '(@discardableResult|@warn_unused_result|@exported|@lazy|@noescape|' +\n '@NSCopying|@NSManaged|@objc|@objcMembers|@convention|@required|' +\n '@noreturn|@IBAction|@IBDesignable|@IBInspectable|@IBOutlet|' +\n '@infix|@prefix|@postfix|@autoclosure|@testable|@available|' +\n '@nonobjc|@NSApplicationMain|@UIApplicationMain|@dynamicMemberLookup|' +\n '@propertyWrapper)'\n\n },\n {\n beginKeywords: 'import', end: /$/,\n contains: [hljs.C_LINE_COMMENT_MODE, BLOCK_COMMENT]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/swift.js"],"names":["module","exports","hljs","SWIFT_KEYWORDS","keyword","literal","built_in","BLOCK_COMMENT","COMMENT","contains","SUBST","className","begin","end","keywords","STRING","BACKSLASH_ESCAPE","variants","NUMBERS","relevance","C_LINE_COMMENT_MODE","beginKeywords","excludeEnd","inherit","TITLE_MODE","endsParent","C_BLOCK_COMMENT_MODE","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAiB,CACjBC,QAAS,gvBAUTC,QAAS,iBACTC,SAAU,+kCA2BVC,EAAgBL,EAAKM,QACvB,OACA,OACA,CACEC,SAAU,CAAC,UAGXC,EAAQ,CACVC,UAAW,QACXC,MAAO,OAAQC,IAAK,MACpBC,SAAUX,EACVM,SAAU,IAERM,EAAS,CACXJ,UAAW,SACXF,SAAU,CAACP,EAAKc,iBAAkBN,GAClCO,SAAU,CACR,CAACL,MAAO,MAAOC,IAAK,OACpB,CAACD,MAAO,IAAKC,IAAK,OAGlBK,EAAU,CACVP,UAAW,SACXC,MAAO,wFACPO,UAAW,GAIf,OAFAT,EAAMD,SAAW,CAACS,GAEX,CACLJ,SAAUX,EACVM,SAAU,CACRM,EACAb,EAAKkB,oBACLb,EArCsB,CACxBI,UAAW,OACXC,MAAO,0BARE,CACTD,UAAW,OACXC,MAAO,qBACPO,UAAW,GA2CTD,EACA,CACEP,UAAW,WACXU,cAAe,OAAQR,IAAK,IAAKS,YAAY,EAC7Cb,SAAU,CACRP,EAAKqB,QAAQrB,EAAKsB,WAAY,CAC5BZ,MAAO,6BAET,CACEA,MAAO,IAAKC,IAAK,KAEnB,CACEF,UAAW,SACXC,MAAO,KAAMC,IAAK,KAAMY,YAAY,EACpCX,SAAUX,EACVM,SAAU,CACR,OACAS,EACAH,EACAb,EAAKwB,qBACL,CAACd,MAAO,MAEVe,QAAS,SAGbA,QAAS,QAEX,CACEhB,UAAW,QACXU,cAAe,uCACfP,SAAUX,EACVU,IAAK,MACLS,YAAY,EACZb,SAAU,CACRP,EAAKqB,QAAQrB,EAAKsB,WAAY,CAACZ,MAAO,4CAG1C,CACED,UAAW,OACXC,MAAO,+UAQT,CACES,cAAe,SAAUR,IAAK,IAC9BJ,SAAU,CAACP,EAAKkB,oBAAqBb","file":"highlight/swift.js?v=e53f833abdedc4f118f9","sourcesContent":["module.exports = function(hljs) {\n var SWIFT_KEYWORDS = {\n keyword: '#available #colorLiteral #column #else #elseif #endif #file ' +\n '#fileLiteral #function #if #imageLiteral #line #selector #sourceLocation ' +\n '_ __COLUMN__ __FILE__ __FUNCTION__ __LINE__ Any as as! as? associatedtype ' +\n 'associativity break case catch class continue convenience default defer deinit didSet do ' +\n 'dynamic dynamicType else enum extension fallthrough false fileprivate final for func ' +\n 'get guard if import in indirect infix init inout internal is lazy left let ' +\n 'mutating nil none nonmutating open operator optional override postfix precedence ' +\n 'prefix private protocol Protocol public repeat required rethrows return ' +\n 'right self Self set static struct subscript super switch throw throws true ' +\n 'try try! try? Type typealias unowned var weak where while willSet',\n literal: 'true false nil',\n built_in: 'abs advance alignof alignofValue anyGenerator assert assertionFailure ' +\n 'bridgeFromObjectiveC bridgeFromObjectiveCUnconditional bridgeToObjectiveC ' +\n 'bridgeToObjectiveCUnconditional c contains count countElements countLeadingZeros ' +\n 'debugPrint debugPrintln distance dropFirst dropLast dump encodeBitsAsWords ' +\n 'enumerate equal fatalError filter find getBridgedObjectiveCType getVaList ' +\n 'indices insertionSort isBridgedToObjectiveC isBridgedVerbatimToObjectiveC ' +\n 'isUniquelyReferenced isUniquelyReferencedNonObjC join lazy lexicographicalCompare ' +\n 'map max maxElement min minElement numericCast overlaps partition posix ' +\n 'precondition preconditionFailure print println quickSort readLine reduce reflect ' +\n 'reinterpretCast reverse roundUpToAlignment sizeof sizeofValue sort split ' +\n 'startsWith stride strideof strideofValue swap toString transcode ' +\n 'underestimateCount unsafeAddressOf unsafeBitCast unsafeDowncast unsafeUnwrap ' +\n 'unsafeReflect withExtendedLifetime withObjectAtPlusZero withUnsafePointer ' +\n 'withUnsafePointerToObject withUnsafeMutablePointer withUnsafeMutablePointers ' +\n 'withUnsafePointer withUnsafePointers withVaList zip'\n };\n\n var TYPE = {\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\u00C0-\\u02B8\\']*',\n relevance: 0\n };\n // slightly more special to swift\n var OPTIONAL_USING_TYPE = {\n className: 'type',\n begin: '\\\\b[A-Z][\\\\w\\u00C0-\\u02B8\\']*[!?]'\n }\n var BLOCK_COMMENT = hljs.COMMENT(\n '/\\\\*',\n '\\\\*/',\n {\n contains: ['self']\n }\n );\n var SUBST = {\n className: 'subst',\n begin: /\\\\\\(/, end: '\\\\)',\n keywords: SWIFT_KEYWORDS,\n contains: [] // assigned later\n };\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE, SUBST],\n variants: [\n {begin: /\"\"\"/, end: /\"\"\"/},\n {begin: /\"/, end: /\"/},\n ]\n };\n var NUMBERS = {\n className: 'number',\n begin: '\\\\b([\\\\d_]+(\\\\.[\\\\deE_]+)?|0x[a-fA-F0-9_]+(\\\\.[a-fA-F0-9p_]+)?|0b[01_]+|0o[0-7_]+)\\\\b',\n relevance: 0\n };\n SUBST.contains = [NUMBERS];\n\n return {\n keywords: SWIFT_KEYWORDS,\n contains: [\n STRING,\n hljs.C_LINE_COMMENT_MODE,\n BLOCK_COMMENT,\n OPTIONAL_USING_TYPE,\n TYPE,\n NUMBERS,\n {\n className: 'function',\n beginKeywords: 'func', end: '{', excludeEnd: true,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n begin: /[A-Za-z$_][0-9A-Za-z$_]*/\n }),\n {\n begin: /</, end: />/\n },\n {\n className: 'params',\n begin: /\\(/, end: /\\)/, endsParent: true,\n keywords: SWIFT_KEYWORDS,\n contains: [\n 'self',\n NUMBERS,\n STRING,\n hljs.C_BLOCK_COMMENT_MODE,\n {begin: ':'} // relevance booster\n ],\n illegal: /[\"']/\n }\n ],\n illegal: /\\[|%/\n },\n {\n className: 'class',\n beginKeywords: 'struct protocol class extension enum',\n keywords: SWIFT_KEYWORDS,\n end: '\\\\{',\n excludeEnd: true,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {begin: /[A-Za-z$_][\\u00C0-\\u02B80-9A-Za-z$_]*/})\n ]\n },\n {\n className: 'meta', // @attributes\n begin: '(@discardableResult|@warn_unused_result|@exported|@lazy|@noescape|' +\n '@NSCopying|@NSManaged|@objc|@objcMembers|@convention|@required|' +\n '@noreturn|@IBAction|@IBDesignable|@IBInspectable|@IBOutlet|' +\n '@infix|@prefix|@postfix|@autoclosure|@testable|@available|' +\n '@nonobjc|@NSApplicationMain|@UIApplicationMain|@dynamicMemberLookup|' +\n '@propertyWrapper)'\n\n },\n {\n beginKeywords: 'import', end: /$/,\n contains: [hljs.C_LINE_COMMENT_MODE, BLOCK_COMMENT]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/taggerscript.js b/js/highlight/taggerscript.js
index cabac85cb..e584b3765 100644
--- a/js/highlight/taggerscript.js
+++ b/js/highlight/taggerscript.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[166],{532:function(n,e){n.exports=function(n){return{contains:[{className:"comment",begin:/\$noop\(/,end:/\)/,contains:[{begin:/\(/,end:/\)/,contains:["self",{begin:/\\./}]}],relevance:10},{className:"keyword",begin:/\$(?!noop)[a-zA-Z][_a-zA-Z0-9]*/,end:/\(/,excludeEnd:!0},{className:"variable",begin:/%[_a-zA-Z0-9:]*/,end:"%"},{className:"symbol",begin:/\\./}]}}}}]);
-//# sourceMappingURL=taggerscript.js.map?v=2808c62683ede878eeeb \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[166],{533:function(n,e){n.exports=function(n){return{contains:[{className:"comment",begin:/\$noop\(/,end:/\)/,contains:[{begin:/\(/,end:/\)/,contains:["self",{begin:/\\./}]}],relevance:10},{className:"keyword",begin:/\$(?!noop)[a-zA-Z][_a-zA-Z0-9]*/,end:/\(/,excludeEnd:!0},{className:"variable",begin:/%[_a-zA-Z0-9:]*/,end:"%"},{className:"symbol",begin:/\\./}]}}}}]);
+//# sourceMappingURL=taggerscript.js.map?v=2e6a7aab79d8f765e78d \ No newline at end of file
diff --git a/js/highlight/taggerscript.js.map b/js/highlight/taggerscript.js.map
index 148bdb8c8..dc7857d1a 100644
--- a/js/highlight/taggerscript.js.map
+++ b/js/highlight/taggerscript.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/taggerscript.js"],"names":["module","exports","hljs","contains","className","begin","end","relevance","excludeEnd"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAkCxB,MAAO,CACLC,SAAU,CAjCE,CACZC,UAAW,UACXC,MAAO,WACPC,IAAK,KACLH,SAAU,CAAC,CACTE,MAAO,KACPC,IAAK,KACLH,SAAU,CAAC,OAAQ,CACjBE,MAAO,UAGXE,UAAW,IAGE,CACbH,UAAW,UACXC,MAAO,kCACPC,IAAK,KACLE,YAAY,GAGC,CACbJ,UAAW,WACXC,MAAO,kBACPC,IAAK,KAGe,CACpBF,UAAW,SACXC,MAAO","file":"highlight/taggerscript.js?v=2808c62683ede878eeeb","sourcesContent":["module.exports = function(hljs) {\n\n var COMMENT = {\n className: 'comment',\n begin: /\\$noop\\(/,\n end: /\\)/,\n contains: [{\n begin: /\\(/,\n end: /\\)/,\n contains: ['self', {\n begin: /\\\\./\n }]\n }],\n relevance: 10\n };\n\n var FUNCTION = {\n className: 'keyword',\n begin: /\\$(?!noop)[a-zA-Z][_a-zA-Z0-9]*/,\n end: /\\(/,\n excludeEnd: true\n };\n\n var VARIABLE = {\n className: 'variable',\n begin: /%[_a-zA-Z0-9:]*/,\n end: '%'\n };\n\n var ESCAPE_SEQUENCE = {\n className: 'symbol',\n begin: /\\\\./\n };\n\n return {\n contains: [\n COMMENT,\n FUNCTION,\n VARIABLE,\n ESCAPE_SEQUENCE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/taggerscript.js"],"names":["module","exports","hljs","contains","className","begin","end","relevance","excludeEnd"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAkCxB,MAAO,CACLC,SAAU,CAjCE,CACZC,UAAW,UACXC,MAAO,WACPC,IAAK,KACLH,SAAU,CAAC,CACTE,MAAO,KACPC,IAAK,KACLH,SAAU,CAAC,OAAQ,CACjBE,MAAO,UAGXE,UAAW,IAGE,CACbH,UAAW,UACXC,MAAO,kCACPC,IAAK,KACLE,YAAY,GAGC,CACbJ,UAAW,WACXC,MAAO,kBACPC,IAAK,KAGe,CACpBF,UAAW,SACXC,MAAO","file":"highlight/taggerscript.js?v=2e6a7aab79d8f765e78d","sourcesContent":["module.exports = function(hljs) {\n\n var COMMENT = {\n className: 'comment',\n begin: /\\$noop\\(/,\n end: /\\)/,\n contains: [{\n begin: /\\(/,\n end: /\\)/,\n contains: ['self', {\n begin: /\\\\./\n }]\n }],\n relevance: 10\n };\n\n var FUNCTION = {\n className: 'keyword',\n begin: /\\$(?!noop)[a-zA-Z][_a-zA-Z0-9]*/,\n end: /\\(/,\n excludeEnd: true\n };\n\n var VARIABLE = {\n className: 'variable',\n begin: /%[_a-zA-Z0-9:]*/,\n end: '%'\n };\n\n var ESCAPE_SEQUENCE = {\n className: 'symbol',\n begin: /\\\\./\n };\n\n return {\n contains: [\n COMMENT,\n FUNCTION,\n VARIABLE,\n ESCAPE_SEQUENCE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/tap.js b/js/highlight/tap.js
index c736f5b49..2ae80c2ab 100644
--- a/js/highlight/tap.js
+++ b/js/highlight/tap.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[167],{533:function(n,e){n.exports=function(n){return{case_insensitive:!0,contains:[n.HASH_COMMENT_MODE,{className:"meta",variants:[{begin:"^TAP version (\\d+)$"},{begin:"^1\\.\\.(\\d+)$"}]},{begin:"(s+)?---$",end:"\\.\\.\\.$",subLanguage:"yaml",relevance:0},{className:"number",begin:" (\\d+) "},{className:"symbol",variants:[{begin:"^ok"},{begin:"^not ok"}]}]}}}}]);
-//# sourceMappingURL=tap.js.map?v=aa7ff5d3fc416ad1d3c4 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[167],{534:function(n,e){n.exports=function(n){return{case_insensitive:!0,contains:[n.HASH_COMMENT_MODE,{className:"meta",variants:[{begin:"^TAP version (\\d+)$"},{begin:"^1\\.\\.(\\d+)$"}]},{begin:"(s+)?---$",end:"\\.\\.\\.$",subLanguage:"yaml",relevance:0},{className:"number",begin:" (\\d+) "},{className:"symbol",variants:[{begin:"^ok"},{begin:"^not ok"}]}]}}}}]);
+//# sourceMappingURL=tap.js.map?v=82205764ac320b4a5907 \ No newline at end of file
diff --git a/js/highlight/tap.js.map b/js/highlight/tap.js.map
index cac8a95f5..6388df24f 100644
--- a/js/highlight/tap.js.map
+++ b/js/highlight/tap.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/tap.js"],"names":["module","exports","hljs","case_insensitive","contains","HASH_COMMENT_MODE","className","variants","begin","end","subLanguage","relevance"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACRF,EAAKG,kBAEL,CACEC,UAAW,OACXC,SAAU,CACR,CAAEC,MAAO,wBACT,CAAEA,MAAO,qBAIb,CACEA,MAAO,YAAcC,IAAK,aAC1BC,YAAa,OACbC,UAAW,GAGb,CACEL,UAAW,SACXE,MAAO,YAGT,CACEF,UAAW,SACXC,SAAU,CACR,CAAEC,MAAO,OACT,CAAEA,MAAO","file":"highlight/tap.js?v=aa7ff5d3fc416ad1d3c4","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n contains: [\n hljs.HASH_COMMENT_MODE,\n // version of format and total amount of testcases\n {\n className: 'meta',\n variants: [\n { begin: '^TAP version (\\\\d+)$' },\n { begin: '^1\\\\.\\\\.(\\\\d+)$' }\n ],\n },\n // YAML block\n {\n begin: '(\\s+)?---$', end: '\\\\.\\\\.\\\\.$',\n subLanguage: 'yaml',\n relevance: 0\n },\n\t // testcase number\n {\n className: 'number',\n begin: ' (\\\\d+) '\n },\n\t // testcase status and description\n {\n className: 'symbol',\n variants: [\n { begin: '^ok' },\n { begin: '^not ok' }\n ],\n },\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/tap.js"],"names":["module","exports","hljs","case_insensitive","contains","HASH_COMMENT_MODE","className","variants","begin","end","subLanguage","relevance"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACRF,EAAKG,kBAEL,CACEC,UAAW,OACXC,SAAU,CACR,CAAEC,MAAO,wBACT,CAAEA,MAAO,qBAIb,CACEA,MAAO,YAAcC,IAAK,aAC1BC,YAAa,OACbC,UAAW,GAGb,CACEL,UAAW,SACXE,MAAO,YAGT,CACEF,UAAW,SACXC,SAAU,CACR,CAAEC,MAAO,OACT,CAAEA,MAAO","file":"highlight/tap.js?v=82205764ac320b4a5907","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n contains: [\n hljs.HASH_COMMENT_MODE,\n // version of format and total amount of testcases\n {\n className: 'meta',\n variants: [\n { begin: '^TAP version (\\\\d+)$' },\n { begin: '^1\\\\.\\\\.(\\\\d+)$' }\n ],\n },\n // YAML block\n {\n begin: '(\\s+)?---$', end: '\\\\.\\\\.\\\\.$',\n subLanguage: 'yaml',\n relevance: 0\n },\n\t // testcase number\n {\n className: 'number',\n begin: ' (\\\\d+) '\n },\n\t // testcase status and description\n {\n className: 'symbol',\n variants: [\n { begin: '^ok' },\n { begin: '^not ok' }\n ],\n },\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/tcl.js b/js/highlight/tcl.js
index 33b3d560f..938f11d28 100644
--- a/js/highlight/tcl.js
+++ b/js/highlight/tcl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[168],{534:function(e,a){e.exports=function(e){return{aliases:["tk"],keywords:"after append apply array auto_execok auto_import auto_load auto_mkindex auto_mkindex_old auto_qualify auto_reset bgerror binary break catch cd chan clock close concat continue dde dict encoding eof error eval exec exit expr fblocked fconfigure fcopy file fileevent filename flush for foreach format gets glob global history http if incr info interp join lappend|10 lassign|10 lindex|10 linsert|10 list llength|10 load lrange|10 lrepeat|10 lreplace|10 lreverse|10 lsearch|10 lset|10 lsort|10 mathfunc mathop memory msgcat namespace open package parray pid pkg::create pkg_mkIndex platform platform::shell proc puts pwd read refchan regexp registry regsub|10 rename return safe scan seek set socket source split string subst switch tcl_endOfWord tcl_findLibrary tcl_startOfNextWord tcl_startOfPreviousWord tcl_wordBreakAfter tcl_wordBreakBefore tcltest tclvars tell time tm trace unknown unload unset update uplevel upvar variable vwait while",contains:[e.COMMENT(";[ \\t]*#","$"),e.COMMENT("^[ \\t]*#","$"),{beginKeywords:"proc",end:"[\\{]",excludeEnd:!0,contains:[{className:"title",begin:"[ \\t\\n\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"[ \\t\\n\\r]",endsWithParent:!0,excludeEnd:!0}]},{excludeEnd:!0,variants:[{begin:"\\$(\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*\\(([a-zA-Z0-9_])*\\)",end:"[^a-zA-Z0-9_\\}\\$]"},{begin:"\\$(\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"(\\))?[^a-zA-Z0-9_\\}\\$]"}]},{className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},{className:"number",variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]}]}}}}]);
-//# sourceMappingURL=tcl.js.map?v=b5af61b0f40933752a8c \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[168],{535:function(e,a){e.exports=function(e){return{aliases:["tk"],keywords:"after append apply array auto_execok auto_import auto_load auto_mkindex auto_mkindex_old auto_qualify auto_reset bgerror binary break catch cd chan clock close concat continue dde dict encoding eof error eval exec exit expr fblocked fconfigure fcopy file fileevent filename flush for foreach format gets glob global history http if incr info interp join lappend|10 lassign|10 lindex|10 linsert|10 list llength|10 load lrange|10 lrepeat|10 lreplace|10 lreverse|10 lsearch|10 lset|10 lsort|10 mathfunc mathop memory msgcat namespace open package parray pid pkg::create pkg_mkIndex platform platform::shell proc puts pwd read refchan regexp registry regsub|10 rename return safe scan seek set socket source split string subst switch tcl_endOfWord tcl_findLibrary tcl_startOfNextWord tcl_startOfPreviousWord tcl_wordBreakAfter tcl_wordBreakBefore tcltest tclvars tell time tm trace unknown unload unset update uplevel upvar variable vwait while",contains:[e.COMMENT(";[ \\t]*#","$"),e.COMMENT("^[ \\t]*#","$"),{beginKeywords:"proc",end:"[\\{]",excludeEnd:!0,contains:[{className:"title",begin:"[ \\t\\n\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"[ \\t\\n\\r]",endsWithParent:!0,excludeEnd:!0}]},{excludeEnd:!0,variants:[{begin:"\\$(\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*\\(([a-zA-Z0-9_])*\\)",end:"[^a-zA-Z0-9_\\}\\$]"},{begin:"\\$(\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"(\\))?[^a-zA-Z0-9_\\}\\$]"}]},{className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},{className:"number",variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]}]}}}}]);
+//# sourceMappingURL=tcl.js.map?v=e5184691fd9e113a0b51 \ No newline at end of file
diff --git a/js/highlight/tcl.js.map b/js/highlight/tcl.js.map
index 28a3417cb..e2c698b6e 100644
--- a/js/highlight/tcl.js.map
+++ b/js/highlight/tcl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/tcl.js"],"names":["module","exports","hljs","aliases","keywords","contains","COMMENT","beginKeywords","end","excludeEnd","className","begin","endsWithParent","variants","BACKSLASH_ESCAPE","inherit","QUOTE_STRING_MODE","illegal","BINARY_NUMBER_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,+6BAYVC,SAAU,CACRH,EAAKI,QAAQ,YAAa,KAC1BJ,EAAKI,QAAQ,YAAa,KAC1B,CACEC,cAAe,OACfC,IAAK,QACLC,YAAY,EACZJ,SAAU,CACR,CACEK,UAAW,QACXC,MAAO,kDACPH,IAAK,eACLI,gBAAgB,EAChBH,YAAY,KAIlB,CACEA,YAAY,EACZI,SAAU,CACR,CACEF,MAAO,mEACPH,IAAK,uBAEP,CACEG,MAAO,8CACPH,IAAK,+BAIX,CACEE,UAAW,SACXL,SAAU,CAACH,EAAKY,kBAChBD,SAAU,CACRX,EAAKa,QAAQb,EAAKc,kBAAmB,CAACC,QAAS,SAGnD,CACEP,UAAW,SACXG,SAAU,CAACX,EAAKgB,mBAAoBhB,EAAKiB","file":"highlight/tcl.js?v=b5af61b0f40933752a8c","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['tk'],\n keywords: 'after append apply array auto_execok auto_import auto_load auto_mkindex ' +\n 'auto_mkindex_old auto_qualify auto_reset bgerror binary break catch cd chan clock ' +\n 'close concat continue dde dict encoding eof error eval exec exit expr fblocked ' +\n 'fconfigure fcopy file fileevent filename flush for foreach format gets glob global ' +\n 'history http if incr info interp join lappend|10 lassign|10 lindex|10 linsert|10 list ' +\n 'llength|10 load lrange|10 lrepeat|10 lreplace|10 lreverse|10 lsearch|10 lset|10 lsort|10 '+\n 'mathfunc mathop memory msgcat namespace open package parray pid pkg::create pkg_mkIndex '+\n 'platform platform::shell proc puts pwd read refchan regexp registry regsub|10 rename '+\n 'return safe scan seek set socket source split string subst switch tcl_endOfWord '+\n 'tcl_findLibrary tcl_startOfNextWord tcl_startOfPreviousWord tcl_wordBreakAfter '+\n 'tcl_wordBreakBefore tcltest tclvars tell time tm trace unknown unload unset update '+\n 'uplevel upvar variable vwait while',\n contains: [\n hljs.COMMENT(';[ \\\\t]*#', '$'),\n hljs.COMMENT('^[ \\\\t]*#', '$'),\n {\n beginKeywords: 'proc',\n end: '[\\\\{]',\n excludeEnd: true,\n contains: [\n {\n className: 'title',\n begin: '[ \\\\t\\\\n\\\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*',\n end: '[ \\\\t\\\\n\\\\r]',\n endsWithParent: true,\n excludeEnd: true\n }\n ]\n },\n {\n excludeEnd: true,\n variants: [\n {\n begin: '\\\\$(\\\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*\\\\(([a-zA-Z0-9_])*\\\\)',\n end: '[^a-zA-Z0-9_\\\\}\\\\$]'\n },\n {\n begin: '\\\\$(\\\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*',\n end: '(\\\\))?[^a-zA-Z0-9_\\\\}\\\\$]'\n }\n ]\n },\n {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null})\n ]\n },\n {\n className: 'number',\n variants: [hljs.BINARY_NUMBER_MODE, hljs.C_NUMBER_MODE]\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/tcl.js"],"names":["module","exports","hljs","aliases","keywords","contains","COMMENT","beginKeywords","end","excludeEnd","className","begin","endsWithParent","variants","BACKSLASH_ESCAPE","inherit","QUOTE_STRING_MODE","illegal","BINARY_NUMBER_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,MACVC,SAAU,+6BAYVC,SAAU,CACRH,EAAKI,QAAQ,YAAa,KAC1BJ,EAAKI,QAAQ,YAAa,KAC1B,CACEC,cAAe,OACfC,IAAK,QACLC,YAAY,EACZJ,SAAU,CACR,CACEK,UAAW,QACXC,MAAO,kDACPH,IAAK,eACLI,gBAAgB,EAChBH,YAAY,KAIlB,CACEA,YAAY,EACZI,SAAU,CACR,CACEF,MAAO,mEACPH,IAAK,uBAEP,CACEG,MAAO,8CACPH,IAAK,+BAIX,CACEE,UAAW,SACXL,SAAU,CAACH,EAAKY,kBAChBD,SAAU,CACRX,EAAKa,QAAQb,EAAKc,kBAAmB,CAACC,QAAS,SAGnD,CACEP,UAAW,SACXG,SAAU,CAACX,EAAKgB,mBAAoBhB,EAAKiB","file":"highlight/tcl.js?v=e5184691fd9e113a0b51","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['tk'],\n keywords: 'after append apply array auto_execok auto_import auto_load auto_mkindex ' +\n 'auto_mkindex_old auto_qualify auto_reset bgerror binary break catch cd chan clock ' +\n 'close concat continue dde dict encoding eof error eval exec exit expr fblocked ' +\n 'fconfigure fcopy file fileevent filename flush for foreach format gets glob global ' +\n 'history http if incr info interp join lappend|10 lassign|10 lindex|10 linsert|10 list ' +\n 'llength|10 load lrange|10 lrepeat|10 lreplace|10 lreverse|10 lsearch|10 lset|10 lsort|10 '+\n 'mathfunc mathop memory msgcat namespace open package parray pid pkg::create pkg_mkIndex '+\n 'platform platform::shell proc puts pwd read refchan regexp registry regsub|10 rename '+\n 'return safe scan seek set socket source split string subst switch tcl_endOfWord '+\n 'tcl_findLibrary tcl_startOfNextWord tcl_startOfPreviousWord tcl_wordBreakAfter '+\n 'tcl_wordBreakBefore tcltest tclvars tell time tm trace unknown unload unset update '+\n 'uplevel upvar variable vwait while',\n contains: [\n hljs.COMMENT(';[ \\\\t]*#', '$'),\n hljs.COMMENT('^[ \\\\t]*#', '$'),\n {\n beginKeywords: 'proc',\n end: '[\\\\{]',\n excludeEnd: true,\n contains: [\n {\n className: 'title',\n begin: '[ \\\\t\\\\n\\\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*',\n end: '[ \\\\t\\\\n\\\\r]',\n endsWithParent: true,\n excludeEnd: true\n }\n ]\n },\n {\n excludeEnd: true,\n variants: [\n {\n begin: '\\\\$(\\\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*\\\\(([a-zA-Z0-9_])*\\\\)',\n end: '[^a-zA-Z0-9_\\\\}\\\\$]'\n },\n {\n begin: '\\\\$(\\\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*',\n end: '(\\\\))?[^a-zA-Z0-9_\\\\}\\\\$]'\n }\n ]\n },\n {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null})\n ]\n },\n {\n className: 'number',\n variants: [hljs.BINARY_NUMBER_MODE, hljs.C_NUMBER_MODE]\n }\n ]\n }\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/tex.js b/js/highlight/tex.js
index 776807b34..b67396cc9 100644
--- a/js/highlight/tex.js
+++ b/js/highlight/tex.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[169],{535:function(n,e){n.exports=function(n){var e={className:"tag",begin:/\\/,relevance:0,contains:[{className:"name",variants:[{begin:/[a-zA-Z\u0430-\u044f\u0410-\u042f]+[*]?/},{begin:/[^a-zA-Z\u0430-\u044f\u0410-\u042f0-9]/}],starts:{endsWithParent:!0,relevance:0,contains:[{className:"string",variants:[{begin:/\[/,end:/\]/},{begin:/\{/,end:/\}/}]},{begin:/\s*=\s*/,endsWithParent:!0,relevance:0,contains:[{className:"number",begin:/-?\d*\.?\d+(pt|pc|mm|cm|in|dd|cc|ex|em)?/}]}]}}]};return{contains:[e,{className:"formula",contains:[e],relevance:0,variants:[{begin:/\$\$/,end:/\$\$/},{begin:/\$/,end:/\$/}]},n.COMMENT("%","$",{relevance:0})]}}}}]);
-//# sourceMappingURL=tex.js.map?v=6f1f5567056e9ef490ba \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[169],{536:function(n,e){n.exports=function(n){var e={className:"tag",begin:/\\/,relevance:0,contains:[{className:"name",variants:[{begin:/[a-zA-Z\u0430-\u044f\u0410-\u042f]+[*]?/},{begin:/[^a-zA-Z\u0430-\u044f\u0410-\u042f0-9]/}],starts:{endsWithParent:!0,relevance:0,contains:[{className:"string",variants:[{begin:/\[/,end:/\]/},{begin:/\{/,end:/\}/}]},{begin:/\s*=\s*/,endsWithParent:!0,relevance:0,contains:[{className:"number",begin:/-?\d*\.?\d+(pt|pc|mm|cm|in|dd|cc|ex|em)?/}]}]}}]};return{contains:[e,{className:"formula",contains:[e],relevance:0,variants:[{begin:/\$\$/,end:/\$\$/},{begin:/\$/,end:/\$/}]},n.COMMENT("%","$",{relevance:0})]}}}}]);
+//# sourceMappingURL=tex.js.map?v=57946c72e9f255a3184d \ No newline at end of file
diff --git a/js/highlight/tex.js.map b/js/highlight/tex.js.map
index d347cb250..b52b3e379 100644
--- a/js/highlight/tex.js.map
+++ b/js/highlight/tex.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/tex.js"],"names":["module","exports","hljs","COMMAND","className","begin","relevance","contains","variants","starts","endsWithParent","end","COMMENT"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,UAAW,MACXC,MAAO,KACPC,UAAW,EACXC,SAAU,CACR,CACEH,UAAW,OACXI,SAAU,CACR,CAACH,MAAO,2CACR,CAACA,MAAO,2CAEVI,OAAQ,CACNC,gBAAgB,EAChBJ,UAAW,EACXC,SAAU,CACR,CACEH,UAAW,SACXI,SAAU,CACR,CAACH,MAAO,KAAMM,IAAK,MACnB,CAACN,MAAO,KAAMM,IAAK,QAGvB,CACEN,MAAO,UAAWK,gBAAgB,EAClCJ,UAAW,EACXC,SAAU,CACR,CACEH,UAAW,SACXC,MAAO,mDAUvB,MAAO,CACLE,SAAU,CACRJ,EACA,CACEC,UAAW,UACXG,SAAU,CAACJ,GACXG,UAAW,EACXE,SAAU,CACR,CAACH,MAAO,OAAQM,IAAK,QACrB,CAACN,MAAO,KAAMM,IAAK,QAGvBT,EAAKU,QACH,IACA,IACA,CACEN,UAAW","file":"highlight/tex.js?v=6f1f5567056e9ef490ba","sourcesContent":["module.exports = function(hljs) {\n var COMMAND = {\n className: 'tag',\n begin: /\\\\/,\n relevance: 0,\n contains: [\n {\n className: 'name',\n variants: [\n {begin: /[a-zA-Z\\u0430-\\u044f\\u0410-\\u042f]+[*]?/},\n {begin: /[^a-zA-Z\\u0430-\\u044f\\u0410-\\u042f0-9]/}\n ],\n starts: {\n endsWithParent: true,\n relevance: 0,\n contains: [\n {\n className: 'string', // because it looks like attributes in HTML tags\n variants: [\n {begin: /\\[/, end: /\\]/},\n {begin: /\\{/, end: /\\}/}\n ]\n },\n {\n begin: /\\s*=\\s*/, endsWithParent: true,\n relevance: 0,\n contains: [\n {\n className: 'number',\n begin: /-?\\d*\\.?\\d+(pt|pc|mm|cm|in|dd|cc|ex|em)?/\n }\n ]\n }\n ]\n }\n }\n ]\n };\n\n return {\n contains: [\n COMMAND,\n {\n className: 'formula',\n contains: [COMMAND],\n relevance: 0,\n variants: [\n {begin: /\\$\\$/, end: /\\$\\$/},\n {begin: /\\$/, end: /\\$/}\n ]\n },\n hljs.COMMENT(\n '%',\n '$',\n {\n relevance: 0\n }\n )\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/tex.js"],"names":["module","exports","hljs","COMMAND","className","begin","relevance","contains","variants","starts","endsWithParent","end","COMMENT"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAU,CACZC,UAAW,MACXC,MAAO,KACPC,UAAW,EACXC,SAAU,CACR,CACEH,UAAW,OACXI,SAAU,CACR,CAACH,MAAO,2CACR,CAACA,MAAO,2CAEVI,OAAQ,CACNC,gBAAgB,EAChBJ,UAAW,EACXC,SAAU,CACR,CACEH,UAAW,SACXI,SAAU,CACR,CAACH,MAAO,KAAMM,IAAK,MACnB,CAACN,MAAO,KAAMM,IAAK,QAGvB,CACEN,MAAO,UAAWK,gBAAgB,EAClCJ,UAAW,EACXC,SAAU,CACR,CACEH,UAAW,SACXC,MAAO,mDAUvB,MAAO,CACLE,SAAU,CACRJ,EACA,CACEC,UAAW,UACXG,SAAU,CAACJ,GACXG,UAAW,EACXE,SAAU,CACR,CAACH,MAAO,OAAQM,IAAK,QACrB,CAACN,MAAO,KAAMM,IAAK,QAGvBT,EAAKU,QACH,IACA,IACA,CACEN,UAAW","file":"highlight/tex.js?v=57946c72e9f255a3184d","sourcesContent":["module.exports = function(hljs) {\n var COMMAND = {\n className: 'tag',\n begin: /\\\\/,\n relevance: 0,\n contains: [\n {\n className: 'name',\n variants: [\n {begin: /[a-zA-Z\\u0430-\\u044f\\u0410-\\u042f]+[*]?/},\n {begin: /[^a-zA-Z\\u0430-\\u044f\\u0410-\\u042f0-9]/}\n ],\n starts: {\n endsWithParent: true,\n relevance: 0,\n contains: [\n {\n className: 'string', // because it looks like attributes in HTML tags\n variants: [\n {begin: /\\[/, end: /\\]/},\n {begin: /\\{/, end: /\\}/}\n ]\n },\n {\n begin: /\\s*=\\s*/, endsWithParent: true,\n relevance: 0,\n contains: [\n {\n className: 'number',\n begin: /-?\\d*\\.?\\d+(pt|pc|mm|cm|in|dd|cc|ex|em)?/\n }\n ]\n }\n ]\n }\n }\n ]\n };\n\n return {\n contains: [\n COMMAND,\n {\n className: 'formula',\n contains: [COMMAND],\n relevance: 0,\n variants: [\n {begin: /\\$\\$/, end: /\\$\\$/},\n {begin: /\\$/, end: /\\$/}\n ]\n },\n hljs.COMMENT(\n '%',\n '$',\n {\n relevance: 0\n }\n )\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/thrift.js b/js/highlight/thrift.js
index 91211b26c..c286db4fc 100644
--- a/js/highlight/thrift.js
+++ b/js/highlight/thrift.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[170],{536:function(e,n){e.exports=function(e){return{keywords:{keyword:"namespace const typedef struct enum service exception void oneway set list map required optional",built_in:"bool byte i16 i32 i64 double string binary",literal:"true false"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"struct enum service exception",end:/\{/,illegal:/\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{begin:"\\b(set|list|map)\\s*<",end:">",keywords:"bool byte i16 i32 i64 double string binary",contains:["self"]}]}}}}]);
-//# sourceMappingURL=thrift.js.map?v=87cf85eff150505675ca \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[170],{537:function(e,n){e.exports=function(e){return{keywords:{keyword:"namespace const typedef struct enum service exception void oneway set list map required optional",built_in:"bool byte i16 i32 i64 double string binary",literal:"true false"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"struct enum service exception",end:/\{/,illegal:/\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{begin:"\\b(set|list|map)\\s*<",end:">",keywords:"bool byte i16 i32 i64 double string binary",contains:["self"]}]}}}}]);
+//# sourceMappingURL=thrift.js.map?v=b299c11dfb1a95970d0e \ No newline at end of file
diff --git a/js/highlight/thrift.js.map b/js/highlight/thrift.js.map
index 888ac6352..202468492 100644
--- a/js/highlight/thrift.js.map
+++ b/js/highlight/thrift.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/thrift.js"],"names":["module","exports","hljs","keywords","keyword","built_in","literal","contains","QUOTE_STRING_MODE","NUMBER_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","className","beginKeywords","end","illegal","inherit","TITLE_MODE","starts","endsWithParent","excludeEnd","begin"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,SAAU,CACRC,QACE,mGACFC,SALiB,6CAOjBC,QACE,cAEJC,SAAU,CACRL,EAAKM,kBACLN,EAAKO,YACLP,EAAKQ,oBACLR,EAAKS,qBACL,CACEC,UAAW,QACXC,cAAe,gCAAiCC,IAAK,KACrDC,QAAS,KACTR,SAAU,CACRL,EAAKc,QAAQd,EAAKe,WAAY,CAC5BC,OAAQ,CAACC,gBAAgB,EAAMC,YAAY,OAIjD,CACEC,MAAO,yBAA0BP,IAAK,IACtCX,SA3Be,6CA4BfI,SAAU,CAAC","file":"highlight/thrift.js?v=87cf85eff150505675ca","sourcesContent":["module.exports = function(hljs) {\n var BUILT_IN_TYPES = 'bool byte i16 i32 i64 double string binary';\n return {\n keywords: {\n keyword:\n 'namespace const typedef struct enum service exception void oneway set list map required optional',\n built_in:\n BUILT_IN_TYPES,\n literal:\n 'true false'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'class',\n beginKeywords: 'struct enum service exception', end: /\\{/,\n illegal: /\\n/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n starts: {endsWithParent: true, excludeEnd: true} // hack: eating everything after the first title\n })\n ]\n },\n {\n begin: '\\\\b(set|list|map)\\\\s*<', end: '>',\n keywords: BUILT_IN_TYPES,\n contains: ['self']\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/thrift.js"],"names":["module","exports","hljs","keywords","keyword","built_in","literal","contains","QUOTE_STRING_MODE","NUMBER_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","className","beginKeywords","end","illegal","inherit","TITLE_MODE","starts","endsWithParent","excludeEnd","begin"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,MAAO,CACLC,SAAU,CACRC,QACE,mGACFC,SALiB,6CAOjBC,QACE,cAEJC,SAAU,CACRL,EAAKM,kBACLN,EAAKO,YACLP,EAAKQ,oBACLR,EAAKS,qBACL,CACEC,UAAW,QACXC,cAAe,gCAAiCC,IAAK,KACrDC,QAAS,KACTR,SAAU,CACRL,EAAKc,QAAQd,EAAKe,WAAY,CAC5BC,OAAQ,CAACC,gBAAgB,EAAMC,YAAY,OAIjD,CACEC,MAAO,yBAA0BP,IAAK,IACtCX,SA3Be,6CA4BfI,SAAU,CAAC","file":"highlight/thrift.js?v=b299c11dfb1a95970d0e","sourcesContent":["module.exports = function(hljs) {\n var BUILT_IN_TYPES = 'bool byte i16 i32 i64 double string binary';\n return {\n keywords: {\n keyword:\n 'namespace const typedef struct enum service exception void oneway set list map required optional',\n built_in:\n BUILT_IN_TYPES,\n literal:\n 'true false'\n },\n contains: [\n hljs.QUOTE_STRING_MODE,\n hljs.NUMBER_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'class',\n beginKeywords: 'struct enum service exception', end: /\\{/,\n illegal: /\\n/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {\n starts: {endsWithParent: true, excludeEnd: true} // hack: eating everything after the first title\n })\n ]\n },\n {\n begin: '\\\\b(set|list|map)\\\\s*<', end: '>',\n keywords: BUILT_IN_TYPES,\n contains: ['self']\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/tp.js b/js/highlight/tp.js
index 1b2527f74..1b53efed5 100644
--- a/js/highlight/tp.js
+++ b/js/highlight/tp.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[171],{537:function(O,e){O.exports=function(O){var e={className:"number",begin:"[1-9][0-9]*",relevance:0},R={className:"symbol",begin:":[^\\]]+"};return{keywords:{keyword:"ABORT ACC ADJUST AND AP_LD BREAK CALL CNT COL CONDITION CONFIG DA DB DIV DETECT ELSE END ENDFOR ERR_NUM ERROR_PROG FINE FOR GP GUARD INC IF JMP LINEAR_MAX_SPEED LOCK MOD MONITOR OFFSET Offset OR OVERRIDE PAUSE PREG PTH RT_LD RUN SELECT SKIP Skip TA TB TO TOOL_OFFSET Tool_Offset UF UT UFRAME_NUM UTOOL_NUM UNLOCK WAIT X Y Z W P R STRLEN SUBSTR FINDSTR VOFFSET PROG ATTR MN POS",literal:"ON OFF max_speed LPOS JPOS ENABLE DISABLE START STOP RESET"},contains:[{className:"built_in",begin:"(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\[",end:"\\]",contains:["self",e,R]},{className:"built_in",begin:"(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\[",end:"\\]",contains:["self",e,O.QUOTE_STRING_MODE,R]},{className:"keyword",begin:"/(PROG|ATTR|MN|POS|END)\\b"},{className:"keyword",begin:"(CALL|RUN|POINT_LOGIC|LBL)\\b"},{className:"keyword",begin:"\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)"},{className:"number",begin:"\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\b",relevance:0},O.COMMENT("//","[;$]"),O.COMMENT("!","[;$]"),O.COMMENT("--eg:","$"),O.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"'"},O.C_NUMBER_MODE,{className:"variable",begin:"\\$[A-Za-z0-9_]+"}]}}}}]);
-//# sourceMappingURL=tp.js.map?v=f2411c2c74270ce81d52 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[171],{538:function(O,e){O.exports=function(O){var e={className:"number",begin:"[1-9][0-9]*",relevance:0},R={className:"symbol",begin:":[^\\]]+"};return{keywords:{keyword:"ABORT ACC ADJUST AND AP_LD BREAK CALL CNT COL CONDITION CONFIG DA DB DIV DETECT ELSE END ENDFOR ERR_NUM ERROR_PROG FINE FOR GP GUARD INC IF JMP LINEAR_MAX_SPEED LOCK MOD MONITOR OFFSET Offset OR OVERRIDE PAUSE PREG PTH RT_LD RUN SELECT SKIP Skip TA TB TO TOOL_OFFSET Tool_Offset UF UT UFRAME_NUM UTOOL_NUM UNLOCK WAIT X Y Z W P R STRLEN SUBSTR FINDSTR VOFFSET PROG ATTR MN POS",literal:"ON OFF max_speed LPOS JPOS ENABLE DISABLE START STOP RESET"},contains:[{className:"built_in",begin:"(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\[",end:"\\]",contains:["self",e,R]},{className:"built_in",begin:"(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\[",end:"\\]",contains:["self",e,O.QUOTE_STRING_MODE,R]},{className:"keyword",begin:"/(PROG|ATTR|MN|POS|END)\\b"},{className:"keyword",begin:"(CALL|RUN|POINT_LOGIC|LBL)\\b"},{className:"keyword",begin:"\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)"},{className:"number",begin:"\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\b",relevance:0},O.COMMENT("//","[;$]"),O.COMMENT("!","[;$]"),O.COMMENT("--eg:","$"),O.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"'"},O.C_NUMBER_MODE,{className:"variable",begin:"\\$[A-Za-z0-9_]+"}]}}}}]);
+//# sourceMappingURL=tp.js.map?v=d2822f4dfe393b7f225f \ No newline at end of file
diff --git a/js/highlight/tp.js.map b/js/highlight/tp.js.map
index 19f84fd3d..a1edad65f 100644
--- a/js/highlight/tp.js.map
+++ b/js/highlight/tp.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/tp.js"],"names":["module","exports","hljs","TPID","className","begin","relevance","TPLABEL","keywords","keyword","literal","contains","end","QUOTE_STRING_MODE","COMMENT","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAO,CACTC,UAAW,SACXC,MAAO,cACPC,UAAW,GAETC,EAAU,CACZH,UAAW,SACXC,MAAO,YAuBT,MAAO,CACLG,SAAU,CACRC,QACE,2XAMFC,QACE,8DAEJC,SAAU,CAjCC,CACXP,UAAW,WACXC,MAAO,2HACoDO,IAAK,MAChED,SAAU,CACR,OACAR,EACAI,IAGO,CACTH,UAAW,WACXC,MAAO,6CAA8CO,IAAK,MAC1DD,SAAU,CACR,OACAR,EACAD,EAAKW,kBACLN,IAmBA,CACEH,UAAW,UACXC,MAAO,8BAET,CAEED,UAAW,UACXC,MAAO,iCAET,CAGED,UAAW,UACXC,MAAO,yDAET,CAEED,UAAW,SACXC,MAAO,6DACPC,UAAW,GAEbJ,EAAKY,QAAQ,KAAM,QACnBZ,EAAKY,QAAQ,IAAK,QAClBZ,EAAKY,QAAQ,QAAS,KACtBZ,EAAKW,kBACL,CACET,UAAW,SACXC,MAAO,IAAMO,IAAK,KAEpBV,EAAKa,cACL,CACEX,UAAW,WACXC,MAAO","file":"highlight/tp.js?v=f2411c2c74270ce81d52","sourcesContent":["module.exports = function(hljs) {\n var TPID = {\n className: 'number',\n begin: '[1-9][0-9]*', /* no leading zeros */\n relevance: 0\n };\n var TPLABEL = {\n className: 'symbol',\n begin: ':[^\\\\]]+'\n };\n var TPDATA = {\n className: 'built_in',\n begin: '(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|' +\n 'TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\\\[', end: '\\\\]',\n contains: [\n 'self',\n TPID,\n TPLABEL\n ]\n };\n var TPIO = {\n className: 'built_in',\n begin: '(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\\\[', end: '\\\\]',\n contains: [\n 'self',\n TPID,\n hljs.QUOTE_STRING_MODE, /* for pos section at bottom */\n TPLABEL\n ]\n };\n\n return {\n keywords: {\n keyword:\n 'ABORT ACC ADJUST AND AP_LD BREAK CALL CNT COL CONDITION CONFIG DA DB ' +\n 'DIV DETECT ELSE END ENDFOR ERR_NUM ERROR_PROG FINE FOR GP GUARD INC ' +\n 'IF JMP LINEAR_MAX_SPEED LOCK MOD MONITOR OFFSET Offset OR OVERRIDE ' +\n 'PAUSE PREG PTH RT_LD RUN SELECT SKIP Skip TA TB TO TOOL_OFFSET ' +\n 'Tool_Offset UF UT UFRAME_NUM UTOOL_NUM UNLOCK WAIT X Y Z W P R STRLEN ' +\n 'SUBSTR FINDSTR VOFFSET PROG ATTR MN POS',\n literal:\n 'ON OFF max_speed LPOS JPOS ENABLE DISABLE START STOP RESET'\n },\n contains: [\n TPDATA,\n TPIO,\n {\n className: 'keyword',\n begin: '/(PROG|ATTR|MN|POS|END)\\\\b'\n },\n {\n /* this is for cases like ,CALL */\n className: 'keyword',\n begin: '(CALL|RUN|POINT_LOGIC|LBL)\\\\b'\n },\n {\n /* this is for cases like CNT100 where the default lexemes do not\n * separate the keyword and the number */\n className: 'keyword',\n begin: '\\\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)'\n },\n {\n /* to catch numbers that do not have a word boundary on the left */\n className: 'number',\n begin: '\\\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\\\b',\n relevance: 0\n },\n hljs.COMMENT('//', '[;$]'),\n hljs.COMMENT('!', '[;$]'),\n hljs.COMMENT('--eg:', '$'),\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '\\'', end: '\\''\n },\n hljs.C_NUMBER_MODE,\n {\n className: 'variable',\n begin: '\\\\$[A-Za-z0-9_]+'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/tp.js"],"names":["module","exports","hljs","TPID","className","begin","relevance","TPLABEL","keywords","keyword","literal","contains","end","QUOTE_STRING_MODE","COMMENT","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAO,CACTC,UAAW,SACXC,MAAO,cACPC,UAAW,GAETC,EAAU,CACZH,UAAW,SACXC,MAAO,YAuBT,MAAO,CACLG,SAAU,CACRC,QACE,2XAMFC,QACE,8DAEJC,SAAU,CAjCC,CACXP,UAAW,WACXC,MAAO,2HACoDO,IAAK,MAChED,SAAU,CACR,OACAR,EACAI,IAGO,CACTH,UAAW,WACXC,MAAO,6CAA8CO,IAAK,MAC1DD,SAAU,CACR,OACAR,EACAD,EAAKW,kBACLN,IAmBA,CACEH,UAAW,UACXC,MAAO,8BAET,CAEED,UAAW,UACXC,MAAO,iCAET,CAGED,UAAW,UACXC,MAAO,yDAET,CAEED,UAAW,SACXC,MAAO,6DACPC,UAAW,GAEbJ,EAAKY,QAAQ,KAAM,QACnBZ,EAAKY,QAAQ,IAAK,QAClBZ,EAAKY,QAAQ,QAAS,KACtBZ,EAAKW,kBACL,CACET,UAAW,SACXC,MAAO,IAAMO,IAAK,KAEpBV,EAAKa,cACL,CACEX,UAAW,WACXC,MAAO","file":"highlight/tp.js?v=d2822f4dfe393b7f225f","sourcesContent":["module.exports = function(hljs) {\n var TPID = {\n className: 'number',\n begin: '[1-9][0-9]*', /* no leading zeros */\n relevance: 0\n };\n var TPLABEL = {\n className: 'symbol',\n begin: ':[^\\\\]]+'\n };\n var TPDATA = {\n className: 'built_in',\n begin: '(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|' +\n 'TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\\\[', end: '\\\\]',\n contains: [\n 'self',\n TPID,\n TPLABEL\n ]\n };\n var TPIO = {\n className: 'built_in',\n begin: '(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\\\[', end: '\\\\]',\n contains: [\n 'self',\n TPID,\n hljs.QUOTE_STRING_MODE, /* for pos section at bottom */\n TPLABEL\n ]\n };\n\n return {\n keywords: {\n keyword:\n 'ABORT ACC ADJUST AND AP_LD BREAK CALL CNT COL CONDITION CONFIG DA DB ' +\n 'DIV DETECT ELSE END ENDFOR ERR_NUM ERROR_PROG FINE FOR GP GUARD INC ' +\n 'IF JMP LINEAR_MAX_SPEED LOCK MOD MONITOR OFFSET Offset OR OVERRIDE ' +\n 'PAUSE PREG PTH RT_LD RUN SELECT SKIP Skip TA TB TO TOOL_OFFSET ' +\n 'Tool_Offset UF UT UFRAME_NUM UTOOL_NUM UNLOCK WAIT X Y Z W P R STRLEN ' +\n 'SUBSTR FINDSTR VOFFSET PROG ATTR MN POS',\n literal:\n 'ON OFF max_speed LPOS JPOS ENABLE DISABLE START STOP RESET'\n },\n contains: [\n TPDATA,\n TPIO,\n {\n className: 'keyword',\n begin: '/(PROG|ATTR|MN|POS|END)\\\\b'\n },\n {\n /* this is for cases like ,CALL */\n className: 'keyword',\n begin: '(CALL|RUN|POINT_LOGIC|LBL)\\\\b'\n },\n {\n /* this is for cases like CNT100 where the default lexemes do not\n * separate the keyword and the number */\n className: 'keyword',\n begin: '\\\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)'\n },\n {\n /* to catch numbers that do not have a word boundary on the left */\n className: 'number',\n begin: '\\\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\\\b',\n relevance: 0\n },\n hljs.COMMENT('//', '[;$]'),\n hljs.COMMENT('!', '[;$]'),\n hljs.COMMENT('--eg:', '$'),\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n begin: '\\'', end: '\\''\n },\n hljs.C_NUMBER_MODE,\n {\n className: 'variable',\n begin: '\\\\$[A-Za-z0-9_]+'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/twig.js b/js/highlight/twig.js
index 1e3edaa74..596dcb25a 100644
--- a/js/highlight/twig.js
+++ b/js/highlight/twig.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[172],{538:function(e,n){e.exports=function(e){var n="attribute block constant cycle date dump include max min parent random range source template_from_string",a={beginKeywords:n,keywords:{name:n},relevance:0,contains:[{className:"params",begin:"\\(",end:"\\)"}]},t={begin:/\|[A-Za-z_]+:?/,keywords:"abs batch capitalize column convert_encoding date date_modify default escape filter first format inky_to_html inline_css join json_encode keys last length lower map markdown merge nl2br number_format raw reduce replace reverse round slice sort spaceless split striptags title trim upper url_encode",contains:[a]},s="apply autoescape block deprecated do embed extends filter flush for from if import include macro sandbox set use verbatim with";return s=s+" "+s.split(" ").map((function(e){return"end"+e})).join(" "),{aliases:["craftcms"],case_insensitive:!0,subLanguage:"xml",contains:[e.COMMENT(/\{#/,/#}/),{className:"template-tag",begin:/\{%/,end:/%}/,contains:[{className:"name",begin:/\w+/,keywords:s,starts:{endsWithParent:!0,contains:[t,a],relevance:0}}]},{className:"template-variable",begin:/\{\{/,end:/}}/,contains:["self",t,a]}]}}}}]);
-//# sourceMappingURL=twig.js.map?v=1e761e47062a79129dfc \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[172],{539:function(e,n){e.exports=function(e){var n="attribute block constant cycle date dump include max min parent random range source template_from_string",a={beginKeywords:n,keywords:{name:n},relevance:0,contains:[{className:"params",begin:"\\(",end:"\\)"}]},t={begin:/\|[A-Za-z_]+:?/,keywords:"abs batch capitalize column convert_encoding date date_modify default escape filter first format inky_to_html inline_css join json_encode keys last length lower map markdown merge nl2br number_format raw reduce replace reverse round slice sort spaceless split striptags title trim upper url_encode",contains:[a]},s="apply autoescape block deprecated do embed extends filter flush for from if import include macro sandbox set use verbatim with";return s=s+" "+s.split(" ").map((function(e){return"end"+e})).join(" "),{aliases:["craftcms"],case_insensitive:!0,subLanguage:"xml",contains:[e.COMMENT(/\{#/,/#}/),{className:"template-tag",begin:/\{%/,end:/%}/,contains:[{className:"name",begin:/\w+/,keywords:s,starts:{endsWithParent:!0,contains:[t,a],relevance:0}}]},{className:"template-variable",begin:/\{\{/,end:/}}/,contains:["self",t,a]}]}}}}]);
+//# sourceMappingURL=twig.js.map?v=be02ab9602ea44f5b8b7 \ No newline at end of file
diff --git a/js/highlight/twig.js.map b/js/highlight/twig.js.map
index ac4513dc1..34065ea53 100644
--- a/js/highlight/twig.js.map
+++ b/js/highlight/twig.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/twig.js"],"names":["module","exports","hljs","FUNCTION_NAMES","FUNCTIONS","beginKeywords","keywords","name","relevance","contains","className","begin","end","FILTER","TAGS","split","map","t","join","aliases","case_insensitive","subLanguage","COMMENT","starts","endsWithParent"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAKIC,EAAiB,2GAGjBC,EAAY,CACdC,cAAeF,EACfG,SAAU,CAACC,KAAMJ,GACjBK,UAAW,EACXC,SAAU,CAZC,CACXC,UAAW,SACXC,MAAO,MAAOC,IAAK,SAejBC,EAAS,CACXF,MAAO,iBACPL,SACE,4SAIFG,SAAU,CACRL,IAIAU,EAAO,iIAKX,OAFAA,EAAOA,EAAO,IAAMA,EAAKC,MAAM,KAAKC,KAAI,SAASC,GAAG,MAAO,MAAQA,KAAIC,KAAK,KAErE,CACLC,QAAS,CAAC,YACVC,kBAAkB,EAClBC,YAAa,MACbZ,SAAU,CACRP,EAAKoB,QAAQ,MAAO,MACpB,CACEZ,UAAW,eACXC,MAAO,MAAOC,IAAK,KACnBH,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,MACPL,SAAUQ,EACVS,OAAQ,CACNC,gBAAgB,EAChBf,SAAU,CAACI,EAAQT,GACnBI,UAAW,MAKnB,CACEE,UAAW,oBACXC,MAAO,OAAQC,IAAK,KACpBH,SAAU,CAAC,OAAQI,EAAQT","file":"highlight/twig.js?v=1e761e47062a79129dfc","sourcesContent":["module.exports = function(hljs) {\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)'\n };\n\n var FUNCTION_NAMES = 'attribute block constant cycle date dump include ' +\n 'max min parent random range source template_from_string';\n\n var FUNCTIONS = {\n beginKeywords: FUNCTION_NAMES,\n keywords: {name: FUNCTION_NAMES},\n relevance: 0,\n contains: [\n PARAMS\n ]\n };\n\n var FILTER = {\n begin: /\\|[A-Za-z_]+:?/,\n keywords:\n 'abs batch capitalize column convert_encoding date date_modify default ' +\n 'escape filter first format inky_to_html inline_css join json_encode keys last ' +\n 'length lower map markdown merge nl2br number_format raw reduce replace ' +\n 'reverse round slice sort spaceless split striptags title trim upper url_encode',\n contains: [\n FUNCTIONS\n ]\n };\n\n var TAGS = 'apply autoescape block deprecated do embed extends filter flush for from ' +\n 'if import include macro sandbox set use verbatim with';\n\n TAGS = TAGS + ' ' + TAGS.split(' ').map(function(t){return 'end' + t}).join(' ');\n\n return {\n aliases: ['craftcms'],\n case_insensitive: true,\n subLanguage: 'xml',\n contains: [\n hljs.COMMENT(/\\{#/, /#}/),\n {\n className: 'template-tag',\n begin: /\\{%/, end: /%}/,\n contains: [\n {\n className: 'name',\n begin: /\\w+/,\n keywords: TAGS,\n starts: {\n endsWithParent: true,\n contains: [FILTER, FUNCTIONS],\n relevance: 0\n }\n }\n ]\n },\n {\n className: 'template-variable',\n begin: /\\{\\{/, end: /}}/,\n contains: ['self', FILTER, FUNCTIONS]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/twig.js"],"names":["module","exports","hljs","FUNCTION_NAMES","FUNCTIONS","beginKeywords","keywords","name","relevance","contains","className","begin","end","FILTER","TAGS","split","map","t","join","aliases","case_insensitive","subLanguage","COMMENT","starts","endsWithParent"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAKIC,EAAiB,2GAGjBC,EAAY,CACdC,cAAeF,EACfG,SAAU,CAACC,KAAMJ,GACjBK,UAAW,EACXC,SAAU,CAZC,CACXC,UAAW,SACXC,MAAO,MAAOC,IAAK,SAejBC,EAAS,CACXF,MAAO,iBACPL,SACE,4SAIFG,SAAU,CACRL,IAIAU,EAAO,iIAKX,OAFAA,EAAOA,EAAO,IAAMA,EAAKC,MAAM,KAAKC,KAAI,SAASC,GAAG,MAAO,MAAQA,KAAIC,KAAK,KAErE,CACLC,QAAS,CAAC,YACVC,kBAAkB,EAClBC,YAAa,MACbZ,SAAU,CACRP,EAAKoB,QAAQ,MAAO,MACpB,CACEZ,UAAW,eACXC,MAAO,MAAOC,IAAK,KACnBH,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,MACPL,SAAUQ,EACVS,OAAQ,CACNC,gBAAgB,EAChBf,SAAU,CAACI,EAAQT,GACnBI,UAAW,MAKnB,CACEE,UAAW,oBACXC,MAAO,OAAQC,IAAK,KACpBH,SAAU,CAAC,OAAQI,EAAQT","file":"highlight/twig.js?v=be02ab9602ea44f5b8b7","sourcesContent":["module.exports = function(hljs) {\n var PARAMS = {\n className: 'params',\n begin: '\\\\(', end: '\\\\)'\n };\n\n var FUNCTION_NAMES = 'attribute block constant cycle date dump include ' +\n 'max min parent random range source template_from_string';\n\n var FUNCTIONS = {\n beginKeywords: FUNCTION_NAMES,\n keywords: {name: FUNCTION_NAMES},\n relevance: 0,\n contains: [\n PARAMS\n ]\n };\n\n var FILTER = {\n begin: /\\|[A-Za-z_]+:?/,\n keywords:\n 'abs batch capitalize column convert_encoding date date_modify default ' +\n 'escape filter first format inky_to_html inline_css join json_encode keys last ' +\n 'length lower map markdown merge nl2br number_format raw reduce replace ' +\n 'reverse round slice sort spaceless split striptags title trim upper url_encode',\n contains: [\n FUNCTIONS\n ]\n };\n\n var TAGS = 'apply autoescape block deprecated do embed extends filter flush for from ' +\n 'if import include macro sandbox set use verbatim with';\n\n TAGS = TAGS + ' ' + TAGS.split(' ').map(function(t){return 'end' + t}).join(' ');\n\n return {\n aliases: ['craftcms'],\n case_insensitive: true,\n subLanguage: 'xml',\n contains: [\n hljs.COMMENT(/\\{#/, /#}/),\n {\n className: 'template-tag',\n begin: /\\{%/, end: /%}/,\n contains: [\n {\n className: 'name',\n begin: /\\w+/,\n keywords: TAGS,\n starts: {\n endsWithParent: true,\n contains: [FILTER, FUNCTIONS],\n relevance: 0\n }\n }\n ]\n },\n {\n className: 'template-variable',\n begin: /\\{\\{/, end: /}}/,\n contains: ['self', FILTER, FUNCTIONS]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/typescript.js b/js/highlight/typescript.js
index a15543490..d72753172 100644
--- a/js/highlight/typescript.js
+++ b/js/highlight/typescript.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[173],{539:function(e,n){e.exports=function(e){var n={keyword:"in if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const class public private protected get set super static implements enum export import declare type namespace abstract as from extends async await",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document any number boolean string void Promise"},r={className:"meta",begin:"@[A-Za-z$_][0-9A-Za-z$_]*"},a={begin:"\\(",end:/\)/,keywords:n,contains:["self",e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.NUMBER_MODE]},t={className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:n,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,a]},s={className:"number",variants:[{begin:"\\b(0[bB][01]+)n?"},{begin:"\\b(0[oO][0-7]+)n?"},{begin:e.C_NUMBER_RE+"n?"}],relevance:0},i={className:"subst",begin:"\\$\\{",end:"\\}",keywords:n,contains:[]},o={begin:"html`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,i],subLanguage:"xml"}},c={begin:"css`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,i],subLanguage:"css"}},E={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,i]};return i.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,o,c,E,s,e.REGEXP_MODE],{aliases:["ts"],keywords:n,contains:[{className:"meta",begin:/^\s*['"]use strict['"]/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,o,c,E,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,s,{begin:"("+e.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+e.IDENT_RE+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:e.IDENT_RE},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:n,contains:["self",e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}]}]}],relevance:0},{className:"function",beginKeywords:"function",end:/[\{;]/,excludeEnd:!0,keywords:n,contains:["self",e.inherit(e.TITLE_MODE,{begin:"[A-Za-z$_][0-9A-Za-z$_]*"}),t],illegal:/%/,relevance:0},{beginKeywords:"constructor",end:/[\{;]/,excludeEnd:!0,contains:["self",t]},{begin:/module\./,keywords:{built_in:"module"},relevance:0},{beginKeywords:"module",end:/\{/,excludeEnd:!0},{beginKeywords:"interface",end:/\{/,excludeEnd:!0,keywords:"interface extends"},{begin:/\$[(.]/},{begin:"\\."+e.IDENT_RE,relevance:0},r,a]}}}}]);
-//# sourceMappingURL=typescript.js.map?v=8ee2ac43425e97a68b30 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[173],{540:function(e,n){e.exports=function(e){var n={keyword:"in if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const class public private protected get set super static implements enum export import declare type namespace abstract as from extends async await",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document any number boolean string void Promise"},r={className:"meta",begin:"@[A-Za-z$_][0-9A-Za-z$_]*"},a={begin:"\\(",end:/\)/,keywords:n,contains:["self",e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.NUMBER_MODE]},t={className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:n,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,a]},s={className:"number",variants:[{begin:"\\b(0[bB][01]+)n?"},{begin:"\\b(0[oO][0-7]+)n?"},{begin:e.C_NUMBER_RE+"n?"}],relevance:0},i={className:"subst",begin:"\\$\\{",end:"\\}",keywords:n,contains:[]},o={begin:"html`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,i],subLanguage:"xml"}},c={begin:"css`",end:"",starts:{end:"`",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,i],subLanguage:"css"}},E={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,i]};return i.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,o,c,E,s,e.REGEXP_MODE],{aliases:["ts"],keywords:n,contains:[{className:"meta",begin:/^\s*['"]use strict['"]/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,o,c,E,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,s,{begin:"("+e.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+e.IDENT_RE+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:e.IDENT_RE},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:n,contains:["self",e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}]}]}],relevance:0},{className:"function",beginKeywords:"function",end:/[\{;]/,excludeEnd:!0,keywords:n,contains:["self",e.inherit(e.TITLE_MODE,{begin:"[A-Za-z$_][0-9A-Za-z$_]*"}),t],illegal:/%/,relevance:0},{beginKeywords:"constructor",end:/[\{;]/,excludeEnd:!0,contains:["self",t]},{begin:/module\./,keywords:{built_in:"module"},relevance:0},{beginKeywords:"module",end:/\{/,excludeEnd:!0},{beginKeywords:"interface",end:/\{/,excludeEnd:!0,keywords:"interface extends"},{begin:/\$[(.]/},{begin:"\\."+e.IDENT_RE,relevance:0},r,a]}}}}]);
+//# sourceMappingURL=typescript.js.map?v=3edb6a685de2ba7d9067 \ No newline at end of file
diff --git a/js/highlight/typescript.js.map b/js/highlight/typescript.js.map
index 1e97f5efc..d1c1f1d9a 100644
--- a/js/highlight/typescript.js.map
+++ b/js/highlight/typescript.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/typescript.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","built_in","DECORATOR","className","begin","ARGS","end","keywords","contains","QUOTE_STRING_MODE","APOS_STRING_MODE","NUMBER_MODE","PARAMS","excludeBegin","excludeEnd","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","NUMBER","variants","C_NUMBER_RE","relevance","SUBST","HTML_TEMPLATE","starts","returnEnd","BACKSLASH_ESCAPE","subLanguage","CSS_TEMPLATE","TEMPLATE_STRING","REGEXP_MODE","aliases","RE_STARTERS_RE","IDENT_RE","returnBegin","beginKeywords","inherit","TITLE_MODE","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IACIC,EAAW,CACbC,QACE,mTAKFC,QACE,yCACFC,SACE,6fASAC,EAAY,CACdC,UAAW,OACXC,MAAO,6BAGLC,EACJ,CACED,MAAO,MACPE,IAAK,KACLC,SAAUT,EACVU,SAAU,CACR,OACAX,EAAKY,kBACLZ,EAAKa,iBACLb,EAAKc,cAILC,EAAS,CACXT,UAAW,SACXC,MAAO,KAAME,IAAK,KAClBO,cAAc,EACdC,YAAY,EACZP,SAAUT,EACVU,SAAU,CACRX,EAAKkB,oBACLlB,EAAKmB,qBACLd,EACAG,IAGAY,EAAS,CACXd,UAAW,SACXe,SAAU,CACR,CAAEd,MAAO,qBACT,CAAEA,MAAO,sBACT,CAAEA,MAAOP,EAAKsB,YAAc,OAE9BC,UAAW,GAETC,EAAQ,CACVlB,UAAW,QACXC,MAAO,SAAUE,IAAK,MACtBC,SAAUT,EACVU,SAAU,IAERc,EAAgB,CAClBlB,MAAO,QAASE,IAAK,GACrBiB,OAAQ,CACNjB,IAAK,IAAKkB,WAAW,EACrBhB,SAAU,CACRX,EAAK4B,iBACLJ,GAEFK,YAAa,QAGbC,EAAe,CACjBvB,MAAO,OAAQE,IAAK,GACpBiB,OAAQ,CACNjB,IAAK,IAAKkB,WAAW,EACrBhB,SAAU,CACRX,EAAK4B,iBACLJ,GAEFK,YAAa,QAGbE,EAAkB,CACpBzB,UAAW,SACXC,MAAO,IAAKE,IAAK,IACjBE,SAAU,CACRX,EAAK4B,iBACLJ,IAeJ,OAZAA,EAAMb,SAAW,CACfX,EAAKa,iBACLb,EAAKY,kBACLa,EACAK,EACAC,EACAX,EACApB,EAAKgC,aAKA,CACLC,QAAS,CAAC,MACVvB,SAAUT,EACVU,SAAU,CACR,CACEL,UAAW,OACXC,MAAO,0BAETP,EAAKa,iBACLb,EAAKY,kBACLa,EACAK,EACAC,EACA/B,EAAKkB,oBACLlB,EAAKmB,qBACLC,EACA,CACEb,MAAO,IAAMP,EAAKkC,eAAiB,kCACnCxB,SAAU,oBACVC,SAAU,CACRX,EAAKkB,oBACLlB,EAAKmB,qBACLnB,EAAKgC,YACL,CACE1B,UAAW,WACXC,MAAO,cAAgBP,EAAKmC,SAAW,UAAWC,aAAa,EAC/D3B,IAAK,SACLE,SAAU,CACR,CACEL,UAAW,SACXe,SAAU,CACR,CACEd,MAAOP,EAAKmC,UAEd,CACE5B,MAAO,WAET,CACEA,MAAO,KAAME,IAAK,KAClBO,cAAc,EAAMC,YAAY,EAChCP,SAAUT,EACVU,SAAU,CACR,OACAX,EAAKkB,oBACLlB,EAAKmB,4BAQnBI,UAAW,GAEb,CACEjB,UAAW,WACX+B,cAAe,WAAY5B,IAAK,QAASQ,YAAY,EACrDP,SAAUT,EACVU,SAAU,CACR,OACAX,EAAKsC,QAAQtC,EAAKuC,WAAY,CAAEhC,MAxKtB,6BAyKVQ,GAEFyB,QAAS,IACTjB,UAAW,GAEb,CACEc,cAAe,cAAe5B,IAAK,QAASQ,YAAY,EACxDN,SAAU,CACR,OACAI,IAGJ,CACER,MAAO,WACPG,SAAU,CAAEN,SAAU,UACtBmB,UAAW,GAEb,CACEc,cAAe,SAAU5B,IAAK,KAAMQ,YAAY,GAElD,CACEoB,cAAe,YAAa5B,IAAK,KAAMQ,YAAY,EACnDP,SAAU,qBAEZ,CACEH,MAAO,UAET,CACEA,MAAO,MAAQP,EAAKmC,SAAUZ,UAAW,GAE3ClB,EACAG","file":"highlight/typescript.js?v=8ee2ac43425e97a68b30","sourcesContent":["module.exports = function(hljs) {\n var JS_IDENT_RE = '[A-Za-z$_][0-9A-Za-z$_]*';\n var KEYWORDS = {\n keyword:\n 'in if for while finally var new function do return void else break catch ' +\n 'instanceof with throw case default try this switch continue typeof delete ' +\n 'let yield const class public private protected get set super ' +\n 'static implements enum export import declare type namespace abstract ' +\n 'as from extends async await',\n literal:\n 'true false null undefined NaN Infinity',\n built_in:\n 'eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent ' +\n 'encodeURI encodeURIComponent escape unescape Object Function Boolean Error ' +\n 'EvalError InternalError RangeError ReferenceError StopIteration SyntaxError ' +\n 'TypeError URIError Number Math Date String RegExp Array Float32Array ' +\n 'Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array ' +\n 'Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require ' +\n 'module console window document any number boolean string void Promise'\n };\n\n var DECORATOR = {\n className: 'meta',\n begin: '@' + JS_IDENT_RE,\n };\n\n var ARGS =\n {\n begin: '\\\\(',\n end: /\\)/,\n keywords: KEYWORDS,\n contains: [\n 'self',\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.NUMBER_MODE\n ]\n };\n\n var PARAMS = {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n keywords: KEYWORDS,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n DECORATOR,\n ARGS\n ]\n };\n var NUMBER = {\n className: 'number',\n variants: [\n { begin: '\\\\b(0[bB][01]+)n?' },\n { begin: '\\\\b(0[oO][0-7]+)n?' },\n { begin: hljs.C_NUMBER_RE + 'n?' }\n ],\n relevance: 0\n };\n var SUBST = {\n className: 'subst',\n begin: '\\\\$\\\\{', end: '\\\\}',\n keywords: KEYWORDS,\n contains: [] // defined later\n };\n var HTML_TEMPLATE = {\n begin: 'html`', end: '',\n starts: {\n end: '`', returnEnd: false,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ],\n subLanguage: 'xml',\n }\n };\n var CSS_TEMPLATE = {\n begin: 'css`', end: '',\n starts: {\n end: '`', returnEnd: false,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ],\n subLanguage: 'css',\n }\n };\n var TEMPLATE_STRING = {\n className: 'string',\n begin: '`', end: '`',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ]\n };\n SUBST.contains = [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n HTML_TEMPLATE,\n CSS_TEMPLATE,\n TEMPLATE_STRING,\n NUMBER,\n hljs.REGEXP_MODE\n ];\n\n\n\n return {\n aliases: ['ts'],\n keywords: KEYWORDS,\n contains: [\n {\n className: 'meta',\n begin: /^\\s*['\"]use strict['\"]/\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n HTML_TEMPLATE,\n CSS_TEMPLATE,\n TEMPLATE_STRING,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMBER,\n { // \"value\" container\n begin: '(' + hljs.RE_STARTERS_RE + '|\\\\b(case|return|throw)\\\\b)\\\\s*',\n keywords: 'return throw case',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.REGEXP_MODE,\n {\n className: 'function',\n begin: '(\\\\(.*?\\\\)|' + hljs.IDENT_RE + ')\\\\s*=>', returnBegin: true,\n end: '\\\\s*=>',\n contains: [\n {\n className: 'params',\n variants: [\n {\n begin: hljs.IDENT_RE\n },\n {\n begin: /\\(\\s*\\)/,\n },\n {\n begin: /\\(/, end: /\\)/,\n excludeBegin: true, excludeEnd: true,\n keywords: KEYWORDS,\n contains: [\n 'self',\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n }\n ]\n }\n ]\n }\n ],\n relevance: 0\n },\n {\n className: 'function',\n beginKeywords: 'function', end: /[\\{;]/, excludeEnd: true,\n keywords: KEYWORDS,\n contains: [\n 'self',\n hljs.inherit(hljs.TITLE_MODE, { begin: JS_IDENT_RE }),\n PARAMS\n ],\n illegal: /%/,\n relevance: 0 // () => {} is more typical in TypeScript\n },\n {\n beginKeywords: 'constructor', end: /[\\{;]/, excludeEnd: true,\n contains: [\n 'self',\n PARAMS\n ]\n },\n { // prevent references like module.id from being higlighted as module definitions\n begin: /module\\./,\n keywords: { built_in: 'module' },\n relevance: 0\n },\n {\n beginKeywords: 'module', end: /\\{/, excludeEnd: true\n },\n {\n beginKeywords: 'interface', end: /\\{/, excludeEnd: true,\n keywords: 'interface extends'\n },\n {\n begin: /\\$[(.]/ // relevance booster for a pattern common to JS libs: `$(something)` and `$.something`\n },\n {\n begin: '\\\\.' + hljs.IDENT_RE, relevance: 0 // hack: prevents detection of keywords after dots\n },\n DECORATOR,\n ARGS\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/typescript.js"],"names":["module","exports","hljs","KEYWORDS","keyword","literal","built_in","DECORATOR","className","begin","ARGS","end","keywords","contains","QUOTE_STRING_MODE","APOS_STRING_MODE","NUMBER_MODE","PARAMS","excludeBegin","excludeEnd","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","NUMBER","variants","C_NUMBER_RE","relevance","SUBST","HTML_TEMPLATE","starts","returnEnd","BACKSLASH_ESCAPE","subLanguage","CSS_TEMPLATE","TEMPLATE_STRING","REGEXP_MODE","aliases","RE_STARTERS_RE","IDENT_RE","returnBegin","beginKeywords","inherit","TITLE_MODE","illegal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IACIC,EAAW,CACbC,QACE,mTAKFC,QACE,yCACFC,SACE,6fASAC,EAAY,CACdC,UAAW,OACXC,MAAO,6BAGLC,EACJ,CACED,MAAO,MACPE,IAAK,KACLC,SAAUT,EACVU,SAAU,CACR,OACAX,EAAKY,kBACLZ,EAAKa,iBACLb,EAAKc,cAILC,EAAS,CACXT,UAAW,SACXC,MAAO,KAAME,IAAK,KAClBO,cAAc,EACdC,YAAY,EACZP,SAAUT,EACVU,SAAU,CACRX,EAAKkB,oBACLlB,EAAKmB,qBACLd,EACAG,IAGAY,EAAS,CACXd,UAAW,SACXe,SAAU,CACR,CAAEd,MAAO,qBACT,CAAEA,MAAO,sBACT,CAAEA,MAAOP,EAAKsB,YAAc,OAE9BC,UAAW,GAETC,EAAQ,CACVlB,UAAW,QACXC,MAAO,SAAUE,IAAK,MACtBC,SAAUT,EACVU,SAAU,IAERc,EAAgB,CAClBlB,MAAO,QAASE,IAAK,GACrBiB,OAAQ,CACNjB,IAAK,IAAKkB,WAAW,EACrBhB,SAAU,CACRX,EAAK4B,iBACLJ,GAEFK,YAAa,QAGbC,EAAe,CACjBvB,MAAO,OAAQE,IAAK,GACpBiB,OAAQ,CACNjB,IAAK,IAAKkB,WAAW,EACrBhB,SAAU,CACRX,EAAK4B,iBACLJ,GAEFK,YAAa,QAGbE,EAAkB,CACpBzB,UAAW,SACXC,MAAO,IAAKE,IAAK,IACjBE,SAAU,CACRX,EAAK4B,iBACLJ,IAeJ,OAZAA,EAAMb,SAAW,CACfX,EAAKa,iBACLb,EAAKY,kBACLa,EACAK,EACAC,EACAX,EACApB,EAAKgC,aAKA,CACLC,QAAS,CAAC,MACVvB,SAAUT,EACVU,SAAU,CACR,CACEL,UAAW,OACXC,MAAO,0BAETP,EAAKa,iBACLb,EAAKY,kBACLa,EACAK,EACAC,EACA/B,EAAKkB,oBACLlB,EAAKmB,qBACLC,EACA,CACEb,MAAO,IAAMP,EAAKkC,eAAiB,kCACnCxB,SAAU,oBACVC,SAAU,CACRX,EAAKkB,oBACLlB,EAAKmB,qBACLnB,EAAKgC,YACL,CACE1B,UAAW,WACXC,MAAO,cAAgBP,EAAKmC,SAAW,UAAWC,aAAa,EAC/D3B,IAAK,SACLE,SAAU,CACR,CACEL,UAAW,SACXe,SAAU,CACR,CACEd,MAAOP,EAAKmC,UAEd,CACE5B,MAAO,WAET,CACEA,MAAO,KAAME,IAAK,KAClBO,cAAc,EAAMC,YAAY,EAChCP,SAAUT,EACVU,SAAU,CACR,OACAX,EAAKkB,oBACLlB,EAAKmB,4BAQnBI,UAAW,GAEb,CACEjB,UAAW,WACX+B,cAAe,WAAY5B,IAAK,QAASQ,YAAY,EACrDP,SAAUT,EACVU,SAAU,CACR,OACAX,EAAKsC,QAAQtC,EAAKuC,WAAY,CAAEhC,MAxKtB,6BAyKVQ,GAEFyB,QAAS,IACTjB,UAAW,GAEb,CACEc,cAAe,cAAe5B,IAAK,QAASQ,YAAY,EACxDN,SAAU,CACR,OACAI,IAGJ,CACER,MAAO,WACPG,SAAU,CAAEN,SAAU,UACtBmB,UAAW,GAEb,CACEc,cAAe,SAAU5B,IAAK,KAAMQ,YAAY,GAElD,CACEoB,cAAe,YAAa5B,IAAK,KAAMQ,YAAY,EACnDP,SAAU,qBAEZ,CACEH,MAAO,UAET,CACEA,MAAO,MAAQP,EAAKmC,SAAUZ,UAAW,GAE3ClB,EACAG","file":"highlight/typescript.js?v=3edb6a685de2ba7d9067","sourcesContent":["module.exports = function(hljs) {\n var JS_IDENT_RE = '[A-Za-z$_][0-9A-Za-z$_]*';\n var KEYWORDS = {\n keyword:\n 'in if for while finally var new function do return void else break catch ' +\n 'instanceof with throw case default try this switch continue typeof delete ' +\n 'let yield const class public private protected get set super ' +\n 'static implements enum export import declare type namespace abstract ' +\n 'as from extends async await',\n literal:\n 'true false null undefined NaN Infinity',\n built_in:\n 'eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent ' +\n 'encodeURI encodeURIComponent escape unescape Object Function Boolean Error ' +\n 'EvalError InternalError RangeError ReferenceError StopIteration SyntaxError ' +\n 'TypeError URIError Number Math Date String RegExp Array Float32Array ' +\n 'Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array ' +\n 'Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require ' +\n 'module console window document any number boolean string void Promise'\n };\n\n var DECORATOR = {\n className: 'meta',\n begin: '@' + JS_IDENT_RE,\n };\n\n var ARGS =\n {\n begin: '\\\\(',\n end: /\\)/,\n keywords: KEYWORDS,\n contains: [\n 'self',\n hljs.QUOTE_STRING_MODE,\n hljs.APOS_STRING_MODE,\n hljs.NUMBER_MODE\n ]\n };\n\n var PARAMS = {\n className: 'params',\n begin: /\\(/, end: /\\)/,\n excludeBegin: true,\n excludeEnd: true,\n keywords: KEYWORDS,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n DECORATOR,\n ARGS\n ]\n };\n var NUMBER = {\n className: 'number',\n variants: [\n { begin: '\\\\b(0[bB][01]+)n?' },\n { begin: '\\\\b(0[oO][0-7]+)n?' },\n { begin: hljs.C_NUMBER_RE + 'n?' }\n ],\n relevance: 0\n };\n var SUBST = {\n className: 'subst',\n begin: '\\\\$\\\\{', end: '\\\\}',\n keywords: KEYWORDS,\n contains: [] // defined later\n };\n var HTML_TEMPLATE = {\n begin: 'html`', end: '',\n starts: {\n end: '`', returnEnd: false,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ],\n subLanguage: 'xml',\n }\n };\n var CSS_TEMPLATE = {\n begin: 'css`', end: '',\n starts: {\n end: '`', returnEnd: false,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ],\n subLanguage: 'css',\n }\n };\n var TEMPLATE_STRING = {\n className: 'string',\n begin: '`', end: '`',\n contains: [\n hljs.BACKSLASH_ESCAPE,\n SUBST\n ]\n };\n SUBST.contains = [\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n HTML_TEMPLATE,\n CSS_TEMPLATE,\n TEMPLATE_STRING,\n NUMBER,\n hljs.REGEXP_MODE\n ];\n\n\n\n return {\n aliases: ['ts'],\n keywords: KEYWORDS,\n contains: [\n {\n className: 'meta',\n begin: /^\\s*['\"]use strict['\"]/\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n HTML_TEMPLATE,\n CSS_TEMPLATE,\n TEMPLATE_STRING,\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n NUMBER,\n { // \"value\" container\n begin: '(' + hljs.RE_STARTERS_RE + '|\\\\b(case|return|throw)\\\\b)\\\\s*',\n keywords: 'return throw case',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.REGEXP_MODE,\n {\n className: 'function',\n begin: '(\\\\(.*?\\\\)|' + hljs.IDENT_RE + ')\\\\s*=>', returnBegin: true,\n end: '\\\\s*=>',\n contains: [\n {\n className: 'params',\n variants: [\n {\n begin: hljs.IDENT_RE\n },\n {\n begin: /\\(\\s*\\)/,\n },\n {\n begin: /\\(/, end: /\\)/,\n excludeBegin: true, excludeEnd: true,\n keywords: KEYWORDS,\n contains: [\n 'self',\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE\n ]\n }\n ]\n }\n ]\n }\n ],\n relevance: 0\n },\n {\n className: 'function',\n beginKeywords: 'function', end: /[\\{;]/, excludeEnd: true,\n keywords: KEYWORDS,\n contains: [\n 'self',\n hljs.inherit(hljs.TITLE_MODE, { begin: JS_IDENT_RE }),\n PARAMS\n ],\n illegal: /%/,\n relevance: 0 // () => {} is more typical in TypeScript\n },\n {\n beginKeywords: 'constructor', end: /[\\{;]/, excludeEnd: true,\n contains: [\n 'self',\n PARAMS\n ]\n },\n { // prevent references like module.id from being higlighted as module definitions\n begin: /module\\./,\n keywords: { built_in: 'module' },\n relevance: 0\n },\n {\n beginKeywords: 'module', end: /\\{/, excludeEnd: true\n },\n {\n beginKeywords: 'interface', end: /\\{/, excludeEnd: true,\n keywords: 'interface extends'\n },\n {\n begin: /\\$[(.]/ // relevance booster for a pattern common to JS libs: `$(something)` and `$.something`\n },\n {\n begin: '\\\\.' + hljs.IDENT_RE, relevance: 0 // hack: prevents detection of keywords after dots\n },\n DECORATOR,\n ARGS\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/vala.js b/js/highlight/vala.js
index f005c3ddb..d59d7cbd6 100644
--- a/js/highlight/vala.js
+++ b/js/highlight/vala.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[174],{540:function(e,t){e.exports=function(e){return{keywords:{keyword:"char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override virtual delegate if while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var",built_in:"DBus GLib CCode Gee Object Gtk Posix",literal:"false true null"},contains:[{className:"class",beginKeywords:"class interface namespace",end:"{",excludeEnd:!0,illegal:"[^,:\\n\\s\\.]",contains:[e.UNDERSCORE_TITLE_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",begin:'"""',end:'"""',relevance:5},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"^#",end:"$",relevance:2}]}}}}]);
-//# sourceMappingURL=vala.js.map?v=c596ef91f72126723c09 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[174],{541:function(e,t){e.exports=function(e){return{keywords:{keyword:"char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override virtual delegate if while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var",built_in:"DBus GLib CCode Gee Object Gtk Posix",literal:"false true null"},contains:[{className:"class",beginKeywords:"class interface namespace",end:"{",excludeEnd:!0,illegal:"[^,:\\n\\s\\.]",contains:[e.UNDERSCORE_TITLE_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",begin:'"""',end:'"""',relevance:5},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"^#",end:"$",relevance:2}]}}}}]);
+//# sourceMappingURL=vala.js.map?v=4b5209018d66028ae9d5 \ No newline at end of file
diff --git a/js/highlight/vala.js.map b/js/highlight/vala.js.map
index f9eaa667f..f7ba6f5c4 100644
--- a/js/highlight/vala.js.map
+++ b/js/highlight/vala.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vala.js"],"names":["module","exports","hljs","keywords","keyword","built_in","literal","contains","className","beginKeywords","end","excludeEnd","illegal","UNDERSCORE_TITLE_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","begin","relevance","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QAEE,qYAYFC,SACE,uCACFC,QACE,mBAEJC,SAAU,CACR,CACEC,UAAW,QACXC,cAAe,4BAA6BC,IAAK,IAAKC,YAAY,EAClEC,QAAS,iBACTL,SAAU,CACRL,EAAKW,wBAGTX,EAAKY,oBACLZ,EAAKa,qBACL,CACEP,UAAW,SACXQ,MAAO,MAAON,IAAK,MACnBO,UAAW,GAEbf,EAAKgB,iBACLhB,EAAKiB,kBACLjB,EAAKkB,cACL,CACEZ,UAAW,OACXQ,MAAO,KAAMN,IAAK,IAClBO,UAAW","file":"highlight/vala.js?v=c596ef91f72126723c09","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword:\n // Value types\n 'char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 ' +\n 'uint16 uint32 uint64 float double bool struct enum string void ' +\n // Reference types\n 'weak unowned owned ' +\n // Modifiers\n 'async signal static abstract interface override virtual delegate ' +\n // Control Structures\n 'if while do for foreach else switch case break default return try catch ' +\n // Visibility\n 'public private protected internal ' +\n // Other\n 'using new this get set const stdout stdin stderr var',\n built_in:\n 'DBus GLib CCode Gee Object Gtk Posix',\n literal:\n 'false true null'\n },\n contains: [\n {\n className: 'class',\n beginKeywords: 'class interface namespace', end: '{', excludeEnd: true,\n illegal: '[^,:\\\\n\\\\s\\\\.]',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"',\n relevance: 5\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '^#', end: '$',\n relevance: 2\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vala.js"],"names":["module","exports","hljs","keywords","keyword","built_in","literal","contains","className","beginKeywords","end","excludeEnd","illegal","UNDERSCORE_TITLE_MODE","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","begin","relevance","APOS_STRING_MODE","QUOTE_STRING_MODE","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,SAAU,CACRC,QAEE,qYAYFC,SACE,uCACFC,QACE,mBAEJC,SAAU,CACR,CACEC,UAAW,QACXC,cAAe,4BAA6BC,IAAK,IAAKC,YAAY,EAClEC,QAAS,iBACTL,SAAU,CACRL,EAAKW,wBAGTX,EAAKY,oBACLZ,EAAKa,qBACL,CACEP,UAAW,SACXQ,MAAO,MAAON,IAAK,MACnBO,UAAW,GAEbf,EAAKgB,iBACLhB,EAAKiB,kBACLjB,EAAKkB,cACL,CACEZ,UAAW,OACXQ,MAAO,KAAMN,IAAK,IAClBO,UAAW","file":"highlight/vala.js?v=4b5209018d66028ae9d5","sourcesContent":["module.exports = function(hljs) {\n return {\n keywords: {\n keyword:\n // Value types\n 'char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 ' +\n 'uint16 uint32 uint64 float double bool struct enum string void ' +\n // Reference types\n 'weak unowned owned ' +\n // Modifiers\n 'async signal static abstract interface override virtual delegate ' +\n // Control Structures\n 'if while do for foreach else switch case break default return try catch ' +\n // Visibility\n 'public private protected internal ' +\n // Other\n 'using new this get set const stdout stdin stderr var',\n built_in:\n 'DBus GLib CCode Gee Object Gtk Posix',\n literal:\n 'false true null'\n },\n contains: [\n {\n className: 'class',\n beginKeywords: 'class interface namespace', end: '{', excludeEnd: true,\n illegal: '[^,:\\\\n\\\\s\\\\.]',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n {\n className: 'string',\n begin: '\"\"\"', end: '\"\"\"',\n relevance: 5\n },\n hljs.APOS_STRING_MODE,\n hljs.QUOTE_STRING_MODE,\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '^#', end: '$',\n relevance: 2\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/vbnet.js b/js/highlight/vbnet.js
index 0ddb49dcf..599b7ed9d 100644
--- a/js/highlight/vbnet.js
+++ b/js/highlight/vbnet.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[175],{541:function(e,t){e.exports=function(e){return{aliases:["vb"],case_insensitive:!0,keywords:{keyword:"addhandler addressof alias and andalso aggregate ansi as async assembly auto await binary by byref byval call case catch class compare const continue custom declare default delegate dim distinct do each equals else elseif end enum erase error event exit explicit finally for friend from function get global goto group handles if implements imports in inherits interface into is isfalse isnot istrue iterator join key let lib like loop me mid mod module mustinherit mustoverride mybase myclass namespace narrowing new next not notinheritable notoverridable of off on operator option optional or order orelse overloads overridable overrides paramarray partial preserve private property protected public raiseevent readonly redim rem removehandler resume return select set shadows shared skip static step stop structure strict sub synclock take text then throw to try unicode until using when where while widening with withevents writeonly xor yield",built_in:"boolean byte cbool cbyte cchar cdate cdec cdbl char cint clng cobj csbyte cshort csng cstr ctype date decimal directcast double gettype getxmlnamespace iif integer long object sbyte short single string trycast typeof uinteger ulong ushort",literal:"true false nothing"},illegal:"//|{|}|endif|gosub|variant|wend|^\\$ ",contains:[e.inherit(e.QUOTE_STRING_MODE,{contains:[{begin:'""'}]}),e.COMMENT("'","$",{returnBegin:!0,contains:[{className:"doctag",begin:"'''|\x3c!--|--\x3e",contains:[e.PHRASAL_WORDS_MODE]},{className:"doctag",begin:"</?",end:">",contains:[e.PHRASAL_WORDS_MODE]}]}),e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"if else elseif end region externalsource"}}]}}}}]);
-//# sourceMappingURL=vbnet.js.map?v=2f85db1ca98a0a0b2e08 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[175],{542:function(e,t){e.exports=function(e){return{aliases:["vb"],case_insensitive:!0,keywords:{keyword:"addhandler addressof alias and andalso aggregate ansi as async assembly auto await binary by byref byval call case catch class compare const continue custom declare default delegate dim distinct do each equals else elseif end enum erase error event exit explicit finally for friend from function get global goto group handles if implements imports in inherits interface into is isfalse isnot istrue iterator join key let lib like loop me mid mod module mustinherit mustoverride mybase myclass nameof namespace narrowing new next not notinheritable notoverridable of off on operator option optional or order orelse overloads overridable overrides paramarray partial preserve private property protected public raiseevent readonly redim rem removehandler resume return select set shadows shared skip static step stop structure strict sub synclock take text then throw to try unicode until using when where while widening with withevents writeonly xor yield",built_in:"boolean byte cbool cbyte cchar cdate cdec cdbl char cint clng cobj csbyte cshort csng cstr ctype date decimal directcast double gettype getxmlnamespace iif integer long object sbyte short single string trycast typeof uinteger ulong ushort",literal:"true false nothing"},illegal:"//|{|}|endif|gosub|variant|wend|^\\$ ",contains:[e.inherit(e.QUOTE_STRING_MODE,{contains:[{begin:'""'}]}),e.COMMENT("'","$",{returnBegin:!0,contains:[{className:"doctag",begin:"'''|\x3c!--|--\x3e",contains:[e.PHRASAL_WORDS_MODE]},{className:"doctag",begin:"</?",end:">",contains:[e.PHRASAL_WORDS_MODE]}]}),e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$",keywords:{"meta-keyword":"if else elseif end region externalsource"}}]}}}}]);
+//# sourceMappingURL=vbnet.js.map?v=e77f394c4ae6478b2c82 \ No newline at end of file
diff --git a/js/highlight/vbnet.js.map b/js/highlight/vbnet.js.map
index 1e0e52d47..ad23daeed 100644
--- a/js/highlight/vbnet.js.map
+++ b/js/highlight/vbnet.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vbnet.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","built_in","literal","illegal","contains","inherit","QUOTE_STRING_MODE","begin","COMMENT","returnBegin","className","PHRASAL_WORDS_MODE","end","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,MACVC,kBAAkB,EAClBC,SAAU,CACRC,QACE,q7BAWFC,SACE,iPAGFC,QACE,sBAEJC,QAAS,wCACTC,SAAU,CACRR,EAAKS,QAAQT,EAAKU,kBAAmB,CAACF,SAAU,CAAC,CAACG,MAAO,SACzDX,EAAKY,QACH,IACA,IACA,CACEC,aAAa,EACbL,SAAU,CACR,CACEM,UAAW,SACXH,MAAO,qBACPH,SAAU,CAACR,EAAKe,qBAElB,CACED,UAAW,SACXH,MAAO,MAAOK,IAAK,IACnBR,SAAU,CAACR,EAAKe,wBAKxBf,EAAKiB,cACL,CACEH,UAAW,OACXH,MAAO,IAAKK,IAAK,IACjBb,SAAU,CAAC,eAAgB","file":"highlight/vbnet.js?v=2f85db1ca98a0a0b2e08","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['vb'],\n case_insensitive: true,\n keywords: {\n keyword:\n 'addhandler addressof alias and andalso aggregate ansi as async assembly auto await binary by byref byval ' + /* a-b */\n 'call case catch class compare const continue custom declare default delegate dim distinct do ' + /* c-d */\n 'each equals else elseif end enum erase error event exit explicit finally for friend from function ' + /* e-f */\n 'get global goto group handles if implements imports in inherits interface into is isfalse isnot istrue iterator ' + /* g-i */\n 'join key let lib like loop me mid mod module mustinherit mustoverride mybase myclass ' + /* j-m */\n 'namespace narrowing new next not notinheritable notoverridable ' + /* n */\n 'of off on operator option optional or order orelse overloads overridable overrides ' + /* o */\n 'paramarray partial preserve private property protected public ' + /* p */\n 'raiseevent readonly redim rem removehandler resume return ' + /* r */\n 'select set shadows shared skip static step stop structure strict sub synclock ' + /* s */\n 'take text then throw to try unicode until using when where while widening with withevents writeonly xor yield', /* t-y */\n built_in:\n 'boolean byte cbool cbyte cchar cdate cdec cdbl char cint clng cobj csbyte cshort csng cstr ctype ' + /* b-c */\n 'date decimal directcast double gettype getxmlnamespace iif integer long object ' + /* d-o */\n 'sbyte short single string trycast typeof uinteger ulong ushort', /* s-u */\n literal:\n 'true false nothing'\n },\n illegal: '//|{|}|endif|gosub|variant|wend|^\\\\$ ', /* reserved deprecated keywords */\n contains: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, {contains: [{begin: '\"\"'}]}),\n hljs.COMMENT(\n '\\'',\n '$',\n {\n returnBegin: true,\n contains: [\n {\n className: 'doctag',\n begin: '\\'\\'\\'|<!--|-->',\n contains: [hljs.PHRASAL_WORDS_MODE]\n },\n {\n className: 'doctag',\n begin: '</?', end: '>',\n contains: [hljs.PHRASAL_WORDS_MODE]\n }\n ]\n }\n ),\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '#', end: '$',\n keywords: {'meta-keyword': 'if else elseif end region externalsource'}\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vbnet.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","built_in","literal","illegal","contains","inherit","QUOTE_STRING_MODE","begin","COMMENT","returnBegin","className","PHRASAL_WORDS_MODE","end","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,MACVC,kBAAkB,EAClBC,SAAU,CACRC,QACE,47BAWFC,SACE,iPAGFC,QACE,sBAEJC,QAAS,wCACTC,SAAU,CACRR,EAAKS,QAAQT,EAAKU,kBAAmB,CAACF,SAAU,CAAC,CAACG,MAAO,SACzDX,EAAKY,QACH,IACA,IACA,CACEC,aAAa,EACbL,SAAU,CACR,CACEM,UAAW,SACXH,MAAO,qBACPH,SAAU,CAACR,EAAKe,qBAElB,CACED,UAAW,SACXH,MAAO,MAAOK,IAAK,IACnBR,SAAU,CAACR,EAAKe,wBAKxBf,EAAKiB,cACL,CACEH,UAAW,OACXH,MAAO,IAAKK,IAAK,IACjBb,SAAU,CAAC,eAAgB","file":"highlight/vbnet.js?v=e77f394c4ae6478b2c82","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['vb'],\n case_insensitive: true,\n keywords: {\n keyword:\n 'addhandler addressof alias and andalso aggregate ansi as async assembly auto await binary by byref byval ' + /* a-b */\n 'call case catch class compare const continue custom declare default delegate dim distinct do ' + /* c-d */\n 'each equals else elseif end enum erase error event exit explicit finally for friend from function ' + /* e-f */\n 'get global goto group handles if implements imports in inherits interface into is isfalse isnot istrue iterator ' + /* g-i */\n 'join key let lib like loop me mid mod module mustinherit mustoverride mybase myclass ' + /* j-m */\n 'nameof namespace narrowing new next not notinheritable notoverridable ' + /* n */\n 'of off on operator option optional or order orelse overloads overridable overrides ' + /* o */\n 'paramarray partial preserve private property protected public ' + /* p */\n 'raiseevent readonly redim rem removehandler resume return ' + /* r */\n 'select set shadows shared skip static step stop structure strict sub synclock ' + /* s */\n 'take text then throw to try unicode until using when where while widening with withevents writeonly xor yield', /* t-y */\n built_in:\n 'boolean byte cbool cbyte cchar cdate cdec cdbl char cint clng cobj csbyte cshort csng cstr ctype ' + /* b-c */\n 'date decimal directcast double gettype getxmlnamespace iif integer long object ' + /* d-o */\n 'sbyte short single string trycast typeof uinteger ulong ushort', /* s-u */\n literal:\n 'true false nothing'\n },\n illegal: '//|{|}|endif|gosub|variant|wend|^\\\\$ ', /* reserved deprecated keywords */\n contains: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, {contains: [{begin: '\"\"'}]}),\n hljs.COMMENT(\n '\\'',\n '$',\n {\n returnBegin: true,\n contains: [\n {\n className: 'doctag',\n begin: '\\'\\'\\'|<!--|-->',\n contains: [hljs.PHRASAL_WORDS_MODE]\n },\n {\n className: 'doctag',\n begin: '</?', end: '>',\n contains: [hljs.PHRASAL_WORDS_MODE]\n }\n ]\n }\n ),\n hljs.C_NUMBER_MODE,\n {\n className: 'meta',\n begin: '#', end: '$',\n keywords: {'meta-keyword': 'if else elseif end region externalsource'}\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/vbscript-html.js b/js/highlight/vbscript-html.js
index e3fad4f67..971021eda 100644
--- a/js/highlight/vbscript-html.js
+++ b/js/highlight/vbscript-html.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[177],{542:function(n,e){n.exports=function(n){return{subLanguage:"xml",contains:[{begin:"<%",end:"%>",subLanguage:"vbscript"}]}}}}]);
-//# sourceMappingURL=vbscript-html.js.map?v=a7ac21a917bbd8e7c5bf \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[177],{543:function(n,e){n.exports=function(n){return{subLanguage:"xml",contains:[{begin:"<%",end:"%>",subLanguage:"vbscript"}]}}}}]);
+//# sourceMappingURL=vbscript-html.js.map?v=73060daa5328bd173d80 \ No newline at end of file
diff --git a/js/highlight/vbscript-html.js.map b/js/highlight/vbscript-html.js.map
index 7eed71d09..923c19dec 100644
--- a/js/highlight/vbscript-html.js.map
+++ b/js/highlight/vbscript-html.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vbscript-html.js"],"names":["module","exports","hljs","subLanguage","contains","begin","end"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,YAAa,MACbC,SAAU,CACR,CACEC,MAAO,KAAMC,IAAK,KAClBH,YAAa","file":"highlight/vbscript-html.js?v=a7ac21a917bbd8e7c5bf","sourcesContent":["module.exports = function(hljs) {\n return {\n subLanguage: 'xml',\n contains: [\n {\n begin: '<%', end: '%>',\n subLanguage: 'vbscript'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vbscript-html.js"],"names":["module","exports","hljs","subLanguage","contains","begin","end"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,YAAa,MACbC,SAAU,CACR,CACEC,MAAO,KAAMC,IAAK,KAClBH,YAAa","file":"highlight/vbscript-html.js?v=73060daa5328bd173d80","sourcesContent":["module.exports = function(hljs) {\n return {\n subLanguage: 'xml',\n contains: [\n {\n begin: '<%', end: '%>',\n subLanguage: 'vbscript'\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/vbscript.js b/js/highlight/vbscript.js
index 1eb37c095..035371531 100644
--- a/js/highlight/vbscript.js
+++ b/js/highlight/vbscript.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[176],{543:function(e,t){e.exports=function(e){return{aliases:["vbs"],case_insensitive:!0,keywords:{keyword:"call class const dim do loop erase execute executeglobal exit for each next function if then else on error option explicit new private property let get public randomize redim rem select case set stop sub while wend with end to elseif is or xor and not class_initialize class_terminate default preserve in me byval byref step resume goto",built_in:"lcase month vartype instrrev ubound setlocale getobject rgb getref string weekdayname rnd dateadd monthname now day minute isarray cbool round formatcurrency conversions csng timevalue second year space abs clng timeserial fixs len asc isempty maths dateserial atn timer isobject filter weekday datevalue ccur isdate instr datediff formatdatetime replace isnull right sgn array snumeric log cdbl hex chr lbound msgbox ucase getlocale cos cdate cbyte rtrim join hour oct typename trim strcomp int createobject loadpicture tan formatnumber mid scriptenginebuildversion scriptengine split scriptengineminorversion cint sin datepart ltrim sqr scriptenginemajorversion time derived eval date formatpercent exp inputbox left ascw chrw regexp server response request cstr err",literal:"true false null nothing empty"},illegal:"//",contains:[e.inherit(e.QUOTE_STRING_MODE,{contains:[{begin:'""'}]}),e.COMMENT(/'/,/$/,{relevance:0}),e.C_NUMBER_MODE]}}}}]);
-//# sourceMappingURL=vbscript.js.map?v=b63fadbabeeffa3e7297 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[176],{544:function(e,t){e.exports=function(e){return{aliases:["vbs"],case_insensitive:!0,keywords:{keyword:"call class const dim do loop erase execute executeglobal exit for each next function if then else on error option explicit new private property let get public randomize redim rem select case set stop sub while wend with end to elseif is or xor and not class_initialize class_terminate default preserve in me byval byref step resume goto",built_in:"lcase month vartype instrrev ubound setlocale getobject rgb getref string weekdayname rnd dateadd monthname now day minute isarray cbool round formatcurrency conversions csng timevalue second year space abs clng timeserial fixs len asc isempty maths dateserial atn timer isobject filter weekday datevalue ccur isdate instr datediff formatdatetime replace isnull right sgn array snumeric log cdbl hex chr lbound msgbox ucase getlocale cos cdate cbyte rtrim join hour oct typename trim strcomp int createobject loadpicture tan formatnumber mid scriptenginebuildversion scriptengine split scriptengineminorversion cint sin datepart ltrim sqr scriptenginemajorversion time derived eval date formatpercent exp inputbox left ascw chrw regexp server response request cstr err",literal:"true false null nothing empty"},illegal:"//",contains:[e.inherit(e.QUOTE_STRING_MODE,{contains:[{begin:'""'}]}),e.COMMENT(/'/,/$/,{relevance:0}),e.C_NUMBER_MODE]}}}}]);
+//# sourceMappingURL=vbscript.js.map?v=63548036ec688dd55835 \ No newline at end of file
diff --git a/js/highlight/vbscript.js.map b/js/highlight/vbscript.js.map
index a1782f032..ed7409b95 100644
--- a/js/highlight/vbscript.js.map
+++ b/js/highlight/vbscript.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vbscript.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","built_in","literal","illegal","contains","inherit","QUOTE_STRING_MODE","begin","COMMENT","relevance","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClBC,SAAU,CACRC,QACE,mVAIFC,SACE,mwBAUFC,QACE,iCAEJC,QAAS,KACTC,SAAU,CACRR,EAAKS,QAAQT,EAAKU,kBAAmB,CAACF,SAAU,CAAC,CAACG,MAAO,SACzDX,EAAKY,QACH,IACA,IACA,CACEC,UAAW,IAGfb,EAAKc","file":"highlight/vbscript.js?v=b63fadbabeeffa3e7297","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['vbs'],\n case_insensitive: true,\n keywords: {\n keyword:\n 'call class const dim do loop erase execute executeglobal exit for each next function ' +\n 'if then else on error option explicit new private property let get public randomize ' +\n 'redim rem select case set stop sub while wend with end to elseif is or xor and not ' +\n 'class_initialize class_terminate default preserve in me byval byref step resume goto',\n built_in:\n 'lcase month vartype instrrev ubound setlocale getobject rgb getref string ' +\n 'weekdayname rnd dateadd monthname now day minute isarray cbool round formatcurrency ' +\n 'conversions csng timevalue second year space abs clng timeserial fixs len asc ' +\n 'isempty maths dateserial atn timer isobject filter weekday datevalue ccur isdate ' +\n 'instr datediff formatdatetime replace isnull right sgn array snumeric log cdbl hex ' +\n 'chr lbound msgbox ucase getlocale cos cdate cbyte rtrim join hour oct typename trim ' +\n 'strcomp int createobject loadpicture tan formatnumber mid scriptenginebuildversion ' +\n 'scriptengine split scriptengineminorversion cint sin datepart ltrim sqr ' +\n 'scriptenginemajorversion time derived eval date formatpercent exp inputbox left ascw ' +\n 'chrw regexp server response request cstr err',\n literal:\n 'true false null nothing empty'\n },\n illegal: '//',\n contains: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, {contains: [{begin: '\"\"'}]}),\n hljs.COMMENT(\n /'/,\n /$/,\n {\n relevance: 0\n }\n ),\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vbscript.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","built_in","literal","illegal","contains","inherit","QUOTE_STRING_MODE","begin","COMMENT","relevance","C_NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClBC,SAAU,CACRC,QACE,mVAIFC,SACE,mwBAUFC,QACE,iCAEJC,QAAS,KACTC,SAAU,CACRR,EAAKS,QAAQT,EAAKU,kBAAmB,CAACF,SAAU,CAAC,CAACG,MAAO,SACzDX,EAAKY,QACH,IACA,IACA,CACEC,UAAW,IAGfb,EAAKc","file":"highlight/vbscript.js?v=63548036ec688dd55835","sourcesContent":["module.exports = function(hljs) {\n return {\n aliases: ['vbs'],\n case_insensitive: true,\n keywords: {\n keyword:\n 'call class const dim do loop erase execute executeglobal exit for each next function ' +\n 'if then else on error option explicit new private property let get public randomize ' +\n 'redim rem select case set stop sub while wend with end to elseif is or xor and not ' +\n 'class_initialize class_terminate default preserve in me byval byref step resume goto',\n built_in:\n 'lcase month vartype instrrev ubound setlocale getobject rgb getref string ' +\n 'weekdayname rnd dateadd monthname now day minute isarray cbool round formatcurrency ' +\n 'conversions csng timevalue second year space abs clng timeserial fixs len asc ' +\n 'isempty maths dateserial atn timer isobject filter weekday datevalue ccur isdate ' +\n 'instr datediff formatdatetime replace isnull right sgn array snumeric log cdbl hex ' +\n 'chr lbound msgbox ucase getlocale cos cdate cbyte rtrim join hour oct typename trim ' +\n 'strcomp int createobject loadpicture tan formatnumber mid scriptenginebuildversion ' +\n 'scriptengine split scriptengineminorversion cint sin datepart ltrim sqr ' +\n 'scriptenginemajorversion time derived eval date formatpercent exp inputbox left ascw ' +\n 'chrw regexp server response request cstr err',\n literal:\n 'true false null nothing empty'\n },\n illegal: '//',\n contains: [\n hljs.inherit(hljs.QUOTE_STRING_MODE, {contains: [{begin: '\"\"'}]}),\n hljs.COMMENT(\n /'/,\n /$/,\n {\n relevance: 0\n }\n ),\n hljs.C_NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/verilog.js b/js/highlight/verilog.js
index 66e05b212..d54e1fe32 100644
--- a/js/highlight/verilog.js
+++ b/js/highlight/verilog.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[178],{544:function(e,n){e.exports=function(e){return{aliases:["v","sv","svh"],case_insensitive:!1,keywords:{keyword:"accept_on alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind bins binsof bit break buf|0 bufif0 bufif1 byte case casex casez cell chandle checker class clocking cmos config const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable dist do edge else end endcase endchecker endclass endclocking endconfig endfunction endgenerate endgroup endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask enum event eventually expect export extends extern final first_match for force foreach forever fork forkjoin function generate|5 genvar global highz0 highz1 if iff ifnone ignore_bins illegal_bins implements implies import incdir include initial inout input inside instance int integer interconnect interface intersect join join_any join_none large let liblist library local localparam logic longint macromodule matches medium modport module nand negedge nettype new nexttime nmos nor noshowcancelled not notif0 notif1 or output package packed parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos real realtime ref reg reject_on release repeat restrict return rnmos rpmos rtran rtranif0 rtranif1 s_always s_eventually s_nexttime s_until s_until_with scalared sequence shortint shortreal showcancelled signed small soft solve specify specparam static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on sync_reject_on table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union unique unique0 unsigned until until_with untyped use uwire var vectored virtual void wait wait_order wand weak weak0 weak1 while wildcard wire with within wor xnor xor",literal:"null",built_in:"$finish $stop $exit $fatal $error $warning $info $realtime $time $printtimescale $bitstoreal $bitstoshortreal $itor $signed $cast $bits $stime $timeformat $realtobits $shortrealtobits $rtoi $unsigned $asserton $assertkill $assertpasson $assertfailon $assertnonvacuouson $assertoff $assertcontrol $assertpassoff $assertfailoff $assertvacuousoff $isunbounded $sampled $fell $changed $past_gclk $fell_gclk $changed_gclk $rising_gclk $steady_gclk $coverage_control $coverage_get $coverage_save $set_coverage_db_name $rose $stable $past $rose_gclk $stable_gclk $future_gclk $falling_gclk $changing_gclk $display $coverage_get_max $coverage_merge $get_coverage $load_coverage_db $typename $unpacked_dimensions $left $low $increment $clog2 $ln $log10 $exp $sqrt $pow $floor $ceil $sin $cos $tan $countbits $onehot $isunknown $fatal $warning $dimensions $right $high $size $asin $acos $atan $atan2 $hypot $sinh $cosh $tanh $asinh $acosh $atanh $countones $onehot0 $error $info $random $dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $q_initialize $q_remove $q_exam $async$and$array $async$nand$array $async$or$array $async$nor$array $sync$and$array $sync$nand$array $sync$or$array $sync$nor$array $q_add $q_full $psprintf $async$and$plane $async$nand$plane $async$or$plane $async$nor$plane $sync$and$plane $sync$nand$plane $sync$or$plane $sync$nor$plane $system $display $displayb $displayh $displayo $strobe $strobeb $strobeh $strobeo $write $readmemb $readmemh $writememh $value$plusargs $dumpvars $dumpon $dumplimit $dumpports $dumpportson $dumpportslimit $writeb $writeh $writeo $monitor $monitorb $monitorh $monitoro $writememb $dumpfile $dumpoff $dumpall $dumpflush $dumpportsoff $dumpportsall $dumpportsflush $fclose $fdisplay $fdisplayb $fdisplayh $fdisplayo $fstrobe $fstrobeb $fstrobeh $fstrobeo $swrite $swriteb $swriteh $swriteo $fscanf $fread $fseek $fflush $feof $fopen $fwrite $fwriteb $fwriteh $fwriteo $fmonitor $fmonitorb $fmonitorh $fmonitoro $sformat $sformatf $fgetc $ungetc $fgets $sscanf $rewind $ftell $ferror"},lexemes:/[\w\$]+/,contains:[e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:"number",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:"\\b((\\d+'(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)"},{begin:"\\B(('(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)"},{begin:"\\b([0-9_])+",relevance:0}]},{className:"variable",variants:[{begin:"#\\((?!parameter).+\\)"},{begin:"\\.\\w+",relevance:0}]},{className:"meta",begin:"`",end:"$",keywords:{"meta-keyword":"define __FILE__ __LINE__ begin_keywords celldefine default_nettype define else elsif end_keywords endcelldefine endif ifdef ifndef include line nounconnected_drive pragma resetall timescale unconnected_drive undef undefineall"},relevance:0}]}}}}]);
-//# sourceMappingURL=verilog.js.map?v=f999bb4dba18d55d2701 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[178],{545:function(e,n){e.exports=function(e){return{aliases:["v","sv","svh"],case_insensitive:!1,keywords:{keyword:"accept_on alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind bins binsof bit break buf|0 bufif0 bufif1 byte case casex casez cell chandle checker class clocking cmos config const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable dist do edge else end endcase endchecker endclass endclocking endconfig endfunction endgenerate endgroup endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask enum event eventually expect export extends extern final first_match for force foreach forever fork forkjoin function generate|5 genvar global highz0 highz1 if iff ifnone ignore_bins illegal_bins implements implies import incdir include initial inout input inside instance int integer interconnect interface intersect join join_any join_none large let liblist library local localparam logic longint macromodule matches medium modport module nand negedge nettype new nexttime nmos nor noshowcancelled not notif0 notif1 or output package packed parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos real realtime ref reg reject_on release repeat restrict return rnmos rpmos rtran rtranif0 rtranif1 s_always s_eventually s_nexttime s_until s_until_with scalared sequence shortint shortreal showcancelled signed small soft solve specify specparam static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on sync_reject_on table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union unique unique0 unsigned until until_with untyped use uwire var vectored virtual void wait wait_order wand weak weak0 weak1 while wildcard wire with within wor xnor xor",literal:"null",built_in:"$finish $stop $exit $fatal $error $warning $info $realtime $time $printtimescale $bitstoreal $bitstoshortreal $itor $signed $cast $bits $stime $timeformat $realtobits $shortrealtobits $rtoi $unsigned $asserton $assertkill $assertpasson $assertfailon $assertnonvacuouson $assertoff $assertcontrol $assertpassoff $assertfailoff $assertvacuousoff $isunbounded $sampled $fell $changed $past_gclk $fell_gclk $changed_gclk $rising_gclk $steady_gclk $coverage_control $coverage_get $coverage_save $set_coverage_db_name $rose $stable $past $rose_gclk $stable_gclk $future_gclk $falling_gclk $changing_gclk $display $coverage_get_max $coverage_merge $get_coverage $load_coverage_db $typename $unpacked_dimensions $left $low $increment $clog2 $ln $log10 $exp $sqrt $pow $floor $ceil $sin $cos $tan $countbits $onehot $isunknown $fatal $warning $dimensions $right $high $size $asin $acos $atan $atan2 $hypot $sinh $cosh $tanh $asinh $acosh $atanh $countones $onehot0 $error $info $random $dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $q_initialize $q_remove $q_exam $async$and$array $async$nand$array $async$or$array $async$nor$array $sync$and$array $sync$nand$array $sync$or$array $sync$nor$array $q_add $q_full $psprintf $async$and$plane $async$nand$plane $async$or$plane $async$nor$plane $sync$and$plane $sync$nand$plane $sync$or$plane $sync$nor$plane $system $display $displayb $displayh $displayo $strobe $strobeb $strobeh $strobeo $write $readmemb $readmemh $writememh $value$plusargs $dumpvars $dumpon $dumplimit $dumpports $dumpportson $dumpportslimit $writeb $writeh $writeo $monitor $monitorb $monitorh $monitoro $writememb $dumpfile $dumpoff $dumpall $dumpflush $dumpportsoff $dumpportsall $dumpportsflush $fclose $fdisplay $fdisplayb $fdisplayh $fdisplayo $fstrobe $fstrobeb $fstrobeh $fstrobeo $swrite $swriteb $swriteh $swriteo $fscanf $fread $fseek $fflush $feof $fopen $fwrite $fwriteb $fwriteh $fwriteo $fmonitor $fmonitorb $fmonitorh $fmonitoro $sformat $sformatf $fgetc $ungetc $fgets $sscanf $rewind $ftell $ferror"},lexemes:/[\w\$]+/,contains:[e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:"number",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:"\\b((\\d+'(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)"},{begin:"\\B(('(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)"},{begin:"\\b([0-9_])+",relevance:0}]},{className:"variable",variants:[{begin:"#\\((?!parameter).+\\)"},{begin:"\\.\\w+",relevance:0}]},{className:"meta",begin:"`",end:"$",keywords:{"meta-keyword":"define __FILE__ __LINE__ begin_keywords celldefine default_nettype define else elsif end_keywords endcelldefine endif ifdef ifndef include line nounconnected_drive pragma resetall timescale unconnected_drive undef undefineall"},relevance:0}]}}}}]);
+//# sourceMappingURL=verilog.js.map?v=3f5c84f2ffb2818d5b42 \ No newline at end of file
diff --git a/js/highlight/verilog.js.map b/js/highlight/verilog.js.map
index 33ee0cdf4..89d5bb3cc 100644
--- a/js/highlight/verilog.js.map
+++ b/js/highlight/verilog.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/verilog.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","literal","built_in","lexemes","contains","C_BLOCK_COMMENT_MODE","C_LINE_COMMENT_MODE","QUOTE_STRING_MODE","className","BACKSLASH_ESCAPE","variants","begin","relevance","end"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GA4DxB,MAAO,CACLC,QAAS,CAAC,IAAK,KAAM,OACrBC,kBAAkB,EAClBC,SA9DgB,CAChBC,QACE,83DAwBFC,QACE,OACFC,SACE,8hEAiCqBC,QAAS,UAChCC,SAAU,CACRR,EAAKS,qBACLT,EAAKU,oBACLV,EAAKW,kBACL,CACEC,UAAW,SACXJ,SAAU,CAACR,EAAKa,kBAChBC,SAAU,CACR,CAACC,MAAO,kDACR,CAACA,MAAO,8CACR,CAACA,MAAO,eAAgBC,UAAW,KAIvC,CACEJ,UAAW,WACXE,SAAU,CACR,CAACC,MAAO,0BACR,CAACA,MAAO,UAAWC,UAAW,KAGlC,CACEJ,UAAW,OACXG,MAAO,IAAKE,IAAK,IACjBd,SAAU,CAAC,eAAgB,qOAK3Ba,UAAW","file":"highlight/verilog.js?v=f999bb4dba18d55d2701","sourcesContent":["module.exports = function(hljs) {\n var SV_KEYWORDS = {\n keyword:\n 'accept_on alias always always_comb always_ff always_latch and assert assign ' +\n 'assume automatic before begin bind bins binsof bit break buf|0 bufif0 bufif1 ' +\n 'byte case casex casez cell chandle checker class clocking cmos config const ' +\n 'constraint context continue cover covergroup coverpoint cross deassign default ' +\n 'defparam design disable dist do edge else end endcase endchecker endclass ' +\n 'endclocking endconfig endfunction endgenerate endgroup endinterface endmodule ' +\n 'endpackage endprimitive endprogram endproperty endspecify endsequence endtable ' +\n 'endtask enum event eventually expect export extends extern final first_match for ' +\n 'force foreach forever fork forkjoin function generate|5 genvar global highz0 highz1 ' +\n 'if iff ifnone ignore_bins illegal_bins implements implies import incdir include ' +\n 'initial inout input inside instance int integer interconnect interface intersect ' +\n 'join join_any join_none large let liblist library local localparam logic longint ' +\n 'macromodule matches medium modport module nand negedge nettype new nexttime nmos ' +\n 'nor noshowcancelled not notif0 notif1 or output package packed parameter pmos ' +\n 'posedge primitive priority program property protected pull0 pull1 pulldown pullup ' +\n 'pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos ' +\n 'real realtime ref reg reject_on release repeat restrict return rnmos rpmos rtran ' +\n 'rtranif0 rtranif1 s_always s_eventually s_nexttime s_until s_until_with scalared ' +\n 'sequence shortint shortreal showcancelled signed small soft solve specify specparam ' +\n 'static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on ' +\n 'sync_reject_on table tagged task this throughout time timeprecision timeunit tran ' +\n 'tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union unique unique0 ' +\n 'unsigned until until_with untyped use uwire var vectored virtual void wait wait_order ' +\n 'wand weak weak0 weak1 while wildcard wire with within wor xnor xor',\n literal:\n 'null',\n built_in:\n '$finish $stop $exit $fatal $error $warning $info $realtime $time $printtimescale ' +\n '$bitstoreal $bitstoshortreal $itor $signed $cast $bits $stime $timeformat ' +\n '$realtobits $shortrealtobits $rtoi $unsigned $asserton $assertkill $assertpasson ' +\n '$assertfailon $assertnonvacuouson $assertoff $assertcontrol $assertpassoff ' +\n '$assertfailoff $assertvacuousoff $isunbounded $sampled $fell $changed $past_gclk ' +\n '$fell_gclk $changed_gclk $rising_gclk $steady_gclk $coverage_control ' +\n '$coverage_get $coverage_save $set_coverage_db_name $rose $stable $past ' +\n '$rose_gclk $stable_gclk $future_gclk $falling_gclk $changing_gclk $display ' +\n '$coverage_get_max $coverage_merge $get_coverage $load_coverage_db $typename ' +\n '$unpacked_dimensions $left $low $increment $clog2 $ln $log10 $exp $sqrt $pow ' +\n '$floor $ceil $sin $cos $tan $countbits $onehot $isunknown $fatal $warning ' +\n '$dimensions $right $high $size $asin $acos $atan $atan2 $hypot $sinh $cosh ' +\n '$tanh $asinh $acosh $atanh $countones $onehot0 $error $info $random ' +\n '$dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson ' +\n '$dist_t $dist_uniform $q_initialize $q_remove $q_exam $async$and$array ' +\n '$async$nand$array $async$or$array $async$nor$array $sync$and$array ' +\n '$sync$nand$array $sync$or$array $sync$nor$array $q_add $q_full $psprintf ' +\n '$async$and$plane $async$nand$plane $async$or$plane $async$nor$plane ' +\n '$sync$and$plane $sync$nand$plane $sync$or$plane $sync$nor$plane $system ' +\n '$display $displayb $displayh $displayo $strobe $strobeb $strobeh $strobeo ' +\n '$write $readmemb $readmemh $writememh $value$plusargs ' +\n '$dumpvars $dumpon $dumplimit $dumpports $dumpportson $dumpportslimit ' +\n '$writeb $writeh $writeo $monitor $monitorb $monitorh $monitoro $writememb ' +\n '$dumpfile $dumpoff $dumpall $dumpflush $dumpportsoff $dumpportsall ' +\n '$dumpportsflush $fclose $fdisplay $fdisplayb $fdisplayh $fdisplayo ' +\n '$fstrobe $fstrobeb $fstrobeh $fstrobeo $swrite $swriteb $swriteh ' +\n '$swriteo $fscanf $fread $fseek $fflush $feof $fopen $fwrite $fwriteb ' +\n '$fwriteh $fwriteo $fmonitor $fmonitorb $fmonitorh $fmonitoro $sformat ' +\n '$sformatf $fgetc $ungetc $fgets $sscanf $rewind $ftell $ferror'\n };\n return {\n aliases: ['v', 'sv', 'svh'],\n case_insensitive: false,\n keywords: SV_KEYWORDS, lexemes: /[\\w\\$]+/,\n contains: [\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'number',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {begin: '\\\\b((\\\\d+\\'(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)'},\n {begin: '\\\\B((\\'(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)'},\n {begin: '\\\\b([0-9_])+', relevance: 0}\n ]\n },\n /* parameters to instances */\n {\n className: 'variable',\n variants: [\n {begin: '#\\\\((?!parameter).+\\\\)'},\n {begin: '\\\\.\\\\w+', relevance: 0},\n ]\n },\n {\n className: 'meta',\n begin: '`', end: '$',\n keywords: {'meta-keyword': 'define __FILE__ ' +\n '__LINE__ begin_keywords celldefine default_nettype define ' +\n 'else elsif end_keywords endcelldefine endif ifdef ifndef ' +\n 'include line nounconnected_drive pragma resetall timescale ' +\n 'unconnected_drive undef undefineall'},\n relevance: 0\n }\n ]\n }; // return\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/verilog.js"],"names":["module","exports","hljs","aliases","case_insensitive","keywords","keyword","literal","built_in","lexemes","contains","C_BLOCK_COMMENT_MODE","C_LINE_COMMENT_MODE","QUOTE_STRING_MODE","className","BACKSLASH_ESCAPE","variants","begin","relevance","end"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GA4DxB,MAAO,CACLC,QAAS,CAAC,IAAK,KAAM,OACrBC,kBAAkB,EAClBC,SA9DgB,CAChBC,QACE,83DAwBFC,QACE,OACFC,SACE,8hEAiCqBC,QAAS,UAChCC,SAAU,CACRR,EAAKS,qBACLT,EAAKU,oBACLV,EAAKW,kBACL,CACEC,UAAW,SACXJ,SAAU,CAACR,EAAKa,kBAChBC,SAAU,CACR,CAACC,MAAO,kDACR,CAACA,MAAO,8CACR,CAACA,MAAO,eAAgBC,UAAW,KAIvC,CACEJ,UAAW,WACXE,SAAU,CACR,CAACC,MAAO,0BACR,CAACA,MAAO,UAAWC,UAAW,KAGlC,CACEJ,UAAW,OACXG,MAAO,IAAKE,IAAK,IACjBd,SAAU,CAAC,eAAgB,qOAK3Ba,UAAW","file":"highlight/verilog.js?v=3f5c84f2ffb2818d5b42","sourcesContent":["module.exports = function(hljs) {\n var SV_KEYWORDS = {\n keyword:\n 'accept_on alias always always_comb always_ff always_latch and assert assign ' +\n 'assume automatic before begin bind bins binsof bit break buf|0 bufif0 bufif1 ' +\n 'byte case casex casez cell chandle checker class clocking cmos config const ' +\n 'constraint context continue cover covergroup coverpoint cross deassign default ' +\n 'defparam design disable dist do edge else end endcase endchecker endclass ' +\n 'endclocking endconfig endfunction endgenerate endgroup endinterface endmodule ' +\n 'endpackage endprimitive endprogram endproperty endspecify endsequence endtable ' +\n 'endtask enum event eventually expect export extends extern final first_match for ' +\n 'force foreach forever fork forkjoin function generate|5 genvar global highz0 highz1 ' +\n 'if iff ifnone ignore_bins illegal_bins implements implies import incdir include ' +\n 'initial inout input inside instance int integer interconnect interface intersect ' +\n 'join join_any join_none large let liblist library local localparam logic longint ' +\n 'macromodule matches medium modport module nand negedge nettype new nexttime nmos ' +\n 'nor noshowcancelled not notif0 notif1 or output package packed parameter pmos ' +\n 'posedge primitive priority program property protected pull0 pull1 pulldown pullup ' +\n 'pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos ' +\n 'real realtime ref reg reject_on release repeat restrict return rnmos rpmos rtran ' +\n 'rtranif0 rtranif1 s_always s_eventually s_nexttime s_until s_until_with scalared ' +\n 'sequence shortint shortreal showcancelled signed small soft solve specify specparam ' +\n 'static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on ' +\n 'sync_reject_on table tagged task this throughout time timeprecision timeunit tran ' +\n 'tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union unique unique0 ' +\n 'unsigned until until_with untyped use uwire var vectored virtual void wait wait_order ' +\n 'wand weak weak0 weak1 while wildcard wire with within wor xnor xor',\n literal:\n 'null',\n built_in:\n '$finish $stop $exit $fatal $error $warning $info $realtime $time $printtimescale ' +\n '$bitstoreal $bitstoshortreal $itor $signed $cast $bits $stime $timeformat ' +\n '$realtobits $shortrealtobits $rtoi $unsigned $asserton $assertkill $assertpasson ' +\n '$assertfailon $assertnonvacuouson $assertoff $assertcontrol $assertpassoff ' +\n '$assertfailoff $assertvacuousoff $isunbounded $sampled $fell $changed $past_gclk ' +\n '$fell_gclk $changed_gclk $rising_gclk $steady_gclk $coverage_control ' +\n '$coverage_get $coverage_save $set_coverage_db_name $rose $stable $past ' +\n '$rose_gclk $stable_gclk $future_gclk $falling_gclk $changing_gclk $display ' +\n '$coverage_get_max $coverage_merge $get_coverage $load_coverage_db $typename ' +\n '$unpacked_dimensions $left $low $increment $clog2 $ln $log10 $exp $sqrt $pow ' +\n '$floor $ceil $sin $cos $tan $countbits $onehot $isunknown $fatal $warning ' +\n '$dimensions $right $high $size $asin $acos $atan $atan2 $hypot $sinh $cosh ' +\n '$tanh $asinh $acosh $atanh $countones $onehot0 $error $info $random ' +\n '$dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson ' +\n '$dist_t $dist_uniform $q_initialize $q_remove $q_exam $async$and$array ' +\n '$async$nand$array $async$or$array $async$nor$array $sync$and$array ' +\n '$sync$nand$array $sync$or$array $sync$nor$array $q_add $q_full $psprintf ' +\n '$async$and$plane $async$nand$plane $async$or$plane $async$nor$plane ' +\n '$sync$and$plane $sync$nand$plane $sync$or$plane $sync$nor$plane $system ' +\n '$display $displayb $displayh $displayo $strobe $strobeb $strobeh $strobeo ' +\n '$write $readmemb $readmemh $writememh $value$plusargs ' +\n '$dumpvars $dumpon $dumplimit $dumpports $dumpportson $dumpportslimit ' +\n '$writeb $writeh $writeo $monitor $monitorb $monitorh $monitoro $writememb ' +\n '$dumpfile $dumpoff $dumpall $dumpflush $dumpportsoff $dumpportsall ' +\n '$dumpportsflush $fclose $fdisplay $fdisplayb $fdisplayh $fdisplayo ' +\n '$fstrobe $fstrobeb $fstrobeh $fstrobeo $swrite $swriteb $swriteh ' +\n '$swriteo $fscanf $fread $fseek $fflush $feof $fopen $fwrite $fwriteb ' +\n '$fwriteh $fwriteo $fmonitor $fmonitorb $fmonitorh $fmonitoro $sformat ' +\n '$sformatf $fgetc $ungetc $fgets $sscanf $rewind $ftell $ferror'\n };\n return {\n aliases: ['v', 'sv', 'svh'],\n case_insensitive: false,\n keywords: SV_KEYWORDS, lexemes: /[\\w\\$]+/,\n contains: [\n hljs.C_BLOCK_COMMENT_MODE,\n hljs.C_LINE_COMMENT_MODE,\n hljs.QUOTE_STRING_MODE,\n {\n className: 'number',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {begin: '\\\\b((\\\\d+\\'(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)'},\n {begin: '\\\\B((\\'(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)'},\n {begin: '\\\\b([0-9_])+', relevance: 0}\n ]\n },\n /* parameters to instances */\n {\n className: 'variable',\n variants: [\n {begin: '#\\\\((?!parameter).+\\\\)'},\n {begin: '\\\\.\\\\w+', relevance: 0},\n ]\n },\n {\n className: 'meta',\n begin: '`', end: '$',\n keywords: {'meta-keyword': 'define __FILE__ ' +\n '__LINE__ begin_keywords celldefine default_nettype define ' +\n 'else elsif end_keywords endcelldefine endif ifdef ifndef ' +\n 'include line nounconnected_drive pragma resetall timescale ' +\n 'unconnected_drive undef undefineall'},\n relevance: 0\n }\n ]\n }; // return\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/vhdl.js b/js/highlight/vhdl.js
index 2fed3dfd7..d622174b0 100644
--- a/js/highlight/vhdl.js
+++ b/js/highlight/vhdl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[179],{545:function(e,t){e.exports=function(e){return{case_insensitive:!0,keywords:{keyword:"abs access after alias all and architecture array assert assume assume_guarantee attribute begin block body buffer bus case component configuration constant context cover disconnect downto default else elsif end entity exit fairness file for force function generate generic group guarded if impure in inertial inout is label library linkage literal loop map mod nand new next nor not null of on open or others out package parameter port postponed procedure process property protected pure range record register reject release rem report restrict restrict_guarantee return rol ror select sequence severity shared signal sla sll sra srl strong subtype then to transport type unaffected units until use variable view vmode vprop vunit wait when while with xnor xor",built_in:"boolean bit character integer time delay_length natural positive string bit_vector file_open_kind file_open_status std_logic std_logic_vector unsigned signed boolean_vector integer_vector std_ulogic std_ulogic_vector unresolved_unsigned u_unsigned unresolved_signed u_signed real_vector time_vector",literal:"false true note warning error failure line text side width"},illegal:"{",contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT("--","$"),e.QUOTE_STRING_MODE,{className:"number",begin:"\\b(\\d(_|\\d)*#\\w+(\\.\\w+)?#([eE][-+]?\\d(_|\\d)*)?|\\d(_|\\d)*(\\.\\d(_|\\d)*)?([eE][-+]?\\d(_|\\d)*)?)",relevance:0},{className:"string",begin:"'(U|X|0|1|Z|W|L|H|-)'",contains:[e.BACKSLASH_ESCAPE]},{className:"symbol",begin:"'[A-Za-z](_?[A-Za-z0-9])*",contains:[e.BACKSLASH_ESCAPE]}]}}}}]);
-//# sourceMappingURL=vhdl.js.map?v=534165910a2e66f505b5 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[179],{546:function(e,t){e.exports=function(e){return{case_insensitive:!0,keywords:{keyword:"abs access after alias all and architecture array assert assume assume_guarantee attribute begin block body buffer bus case component configuration constant context cover disconnect downto default else elsif end entity exit fairness file for force function generate generic group guarded if impure in inertial inout is label library linkage literal loop map mod nand new next nor not null of on open or others out package parameter port postponed procedure process property protected pure range record register reject release rem report restrict restrict_guarantee return rol ror select sequence severity shared signal sla sll sra srl strong subtype then to transport type unaffected units until use variable view vmode vprop vunit wait when while with xnor xor",built_in:"boolean bit character integer time delay_length natural positive string bit_vector file_open_kind file_open_status std_logic std_logic_vector unsigned signed boolean_vector integer_vector std_ulogic std_ulogic_vector unresolved_unsigned u_unsigned unresolved_signed u_signed real_vector time_vector",literal:"false true note warning error failure line text side width"},illegal:"{",contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT("--","$"),e.QUOTE_STRING_MODE,{className:"number",begin:"\\b(\\d(_|\\d)*#\\w+(\\.\\w+)?#([eE][-+]?\\d(_|\\d)*)?|\\d(_|\\d)*(\\.\\d(_|\\d)*)?([eE][-+]?\\d(_|\\d)*)?)",relevance:0},{className:"string",begin:"'(U|X|0|1|Z|W|L|H|-)'",contains:[e.BACKSLASH_ESCAPE]},{className:"symbol",begin:"'[A-Za-z](_?[A-Za-z0-9])*",contains:[e.BACKSLASH_ESCAPE]}]}}}}]);
+//# sourceMappingURL=vhdl.js.map?v=ba92adfe7ff24de3a002 \ No newline at end of file
diff --git a/js/highlight/vhdl.js.map b/js/highlight/vhdl.js.map
index d2af22c90..7752673ee 100644
--- a/js/highlight/vhdl.js.map
+++ b/js/highlight/vhdl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vhdl.js"],"names":["module","exports","hljs","case_insensitive","keywords","keyword","built_in","literal","illegal","contains","C_BLOCK_COMMENT_MODE","COMMENT","QUOTE_STRING_MODE","className","begin","relevance","BACKSLASH_ESCAPE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAaxB,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACRC,QACE,4vBASFC,SACE,6SAMFC,QACE,8DAGJC,QAAS,IACTC,SAAU,CACRP,EAAKQ,qBACLR,EAAKS,QAAQ,KAAM,KACnBT,EAAKU,kBACL,CACEC,UAAW,SACXC,MAjCU,8GAkCVC,UAAW,GAEb,CACEF,UAAW,SACXC,MAAO,wBACPL,SAAU,CAACP,EAAKc,mBAElB,CACEH,UAAW,SACXC,MAAO,4BACPL,SAAU,CAACP,EAAKc","file":"highlight/vhdl.js?v=534165910a2e66f505b5","sourcesContent":["module.exports = function(hljs) {\n // Regular expression for VHDL numeric literals.\n\n // Decimal literal:\n var INTEGER_RE = '\\\\d(_|\\\\d)*';\n var EXPONENT_RE = '[eE][-+]?' + INTEGER_RE;\n var DECIMAL_LITERAL_RE = INTEGER_RE + '(\\\\.' + INTEGER_RE + ')?' + '(' + EXPONENT_RE + ')?';\n // Based literal:\n var BASED_INTEGER_RE = '\\\\w+';\n var BASED_LITERAL_RE = INTEGER_RE + '#' + BASED_INTEGER_RE + '(\\\\.' + BASED_INTEGER_RE + ')?' + '#' + '(' + EXPONENT_RE + ')?';\n\n var NUMBER_RE = '\\\\b(' + BASED_LITERAL_RE + '|' + DECIMAL_LITERAL_RE + ')';\n\n return {\n case_insensitive: true,\n keywords: {\n keyword:\n 'abs access after alias all and architecture array assert assume assume_guarantee attribute ' +\n 'begin block body buffer bus case component configuration constant context cover disconnect ' +\n 'downto default else elsif end entity exit fairness file for force function generate ' +\n 'generic group guarded if impure in inertial inout is label library linkage literal ' +\n 'loop map mod nand new next nor not null of on open or others out package parameter port ' +\n 'postponed procedure process property protected pure range record register reject ' +\n 'release rem report restrict restrict_guarantee return rol ror select sequence ' +\n 'severity shared signal sla sll sra srl strong subtype then to transport type ' +\n 'unaffected units until use variable view vmode vprop vunit wait when while with xnor xor',\n built_in:\n 'boolean bit character ' +\n 'integer time delay_length natural positive ' +\n 'string bit_vector file_open_kind file_open_status ' +\n 'std_logic std_logic_vector unsigned signed boolean_vector integer_vector ' +\n 'std_ulogic std_ulogic_vector unresolved_unsigned u_unsigned unresolved_signed u_signed ' +\n 'real_vector time_vector',\n literal:\n 'false true note warning error failure ' + // severity_level\n 'line text side width' // textio\n },\n illegal: '{',\n contains: [\n hljs.C_BLOCK_COMMENT_MODE, // VHDL-2008 block commenting.\n hljs.COMMENT('--', '$'),\n hljs.QUOTE_STRING_MODE,\n {\n className: 'number',\n begin: NUMBER_RE,\n relevance: 0\n },\n {\n className: 'string',\n begin: '\\'(U|X|0|1|Z|W|L|H|-)\\'',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n className: 'symbol',\n begin: '\\'[A-Za-z](_?[A-Za-z0-9])*',\n contains: [hljs.BACKSLASH_ESCAPE]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vhdl.js"],"names":["module","exports","hljs","case_insensitive","keywords","keyword","built_in","literal","illegal","contains","C_BLOCK_COMMENT_MODE","COMMENT","QUOTE_STRING_MODE","className","begin","relevance","BACKSLASH_ESCAPE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAaxB,MAAO,CACLC,kBAAkB,EAClBC,SAAU,CACRC,QACE,4vBASFC,SACE,6SAMFC,QACE,8DAGJC,QAAS,IACTC,SAAU,CACRP,EAAKQ,qBACLR,EAAKS,QAAQ,KAAM,KACnBT,EAAKU,kBACL,CACEC,UAAW,SACXC,MAjCU,8GAkCVC,UAAW,GAEb,CACEF,UAAW,SACXC,MAAO,wBACPL,SAAU,CAACP,EAAKc,mBAElB,CACEH,UAAW,SACXC,MAAO,4BACPL,SAAU,CAACP,EAAKc","file":"highlight/vhdl.js?v=ba92adfe7ff24de3a002","sourcesContent":["module.exports = function(hljs) {\n // Regular expression for VHDL numeric literals.\n\n // Decimal literal:\n var INTEGER_RE = '\\\\d(_|\\\\d)*';\n var EXPONENT_RE = '[eE][-+]?' + INTEGER_RE;\n var DECIMAL_LITERAL_RE = INTEGER_RE + '(\\\\.' + INTEGER_RE + ')?' + '(' + EXPONENT_RE + ')?';\n // Based literal:\n var BASED_INTEGER_RE = '\\\\w+';\n var BASED_LITERAL_RE = INTEGER_RE + '#' + BASED_INTEGER_RE + '(\\\\.' + BASED_INTEGER_RE + ')?' + '#' + '(' + EXPONENT_RE + ')?';\n\n var NUMBER_RE = '\\\\b(' + BASED_LITERAL_RE + '|' + DECIMAL_LITERAL_RE + ')';\n\n return {\n case_insensitive: true,\n keywords: {\n keyword:\n 'abs access after alias all and architecture array assert assume assume_guarantee attribute ' +\n 'begin block body buffer bus case component configuration constant context cover disconnect ' +\n 'downto default else elsif end entity exit fairness file for force function generate ' +\n 'generic group guarded if impure in inertial inout is label library linkage literal ' +\n 'loop map mod nand new next nor not null of on open or others out package parameter port ' +\n 'postponed procedure process property protected pure range record register reject ' +\n 'release rem report restrict restrict_guarantee return rol ror select sequence ' +\n 'severity shared signal sla sll sra srl strong subtype then to transport type ' +\n 'unaffected units until use variable view vmode vprop vunit wait when while with xnor xor',\n built_in:\n 'boolean bit character ' +\n 'integer time delay_length natural positive ' +\n 'string bit_vector file_open_kind file_open_status ' +\n 'std_logic std_logic_vector unsigned signed boolean_vector integer_vector ' +\n 'std_ulogic std_ulogic_vector unresolved_unsigned u_unsigned unresolved_signed u_signed ' +\n 'real_vector time_vector',\n literal:\n 'false true note warning error failure ' + // severity_level\n 'line text side width' // textio\n },\n illegal: '{',\n contains: [\n hljs.C_BLOCK_COMMENT_MODE, // VHDL-2008 block commenting.\n hljs.COMMENT('--', '$'),\n hljs.QUOTE_STRING_MODE,\n {\n className: 'number',\n begin: NUMBER_RE,\n relevance: 0\n },\n {\n className: 'string',\n begin: '\\'(U|X|0|1|Z|W|L|H|-)\\'',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n className: 'symbol',\n begin: '\\'[A-Za-z](_?[A-Za-z0-9])*',\n contains: [hljs.BACKSLASH_ESCAPE]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/vim.js b/js/highlight/vim.js
index bffce4826..ceaa5719f 100644
--- a/js/highlight/vim.js
+++ b/js/highlight/vim.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[180],{546:function(e,t){e.exports=function(e){return{lexemes:/[!#@\w]+/,keywords:{keyword:"N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank",built_in:"synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv complete_check add getwinposx getqflist getwinposy screencol clearmatches empty extend getcmdpos mzeval garbagecollect setreg ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable shiftwidth max sinh isdirectory synID system inputrestore winline atan visualmode inputlist tabpagewinnr round getregtype mapcheck hasmapto histdel argidx findfile sha256 exists toupper getcmdline taglist string getmatches bufnr strftime winwidth bufexists strtrans tabpagebuflist setcmdpos remote_read printf setloclist getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval resolve libcallnr foldclosedend reverse filter has_key bufname str2float strlen setline getcharmod setbufvar index searchpos shellescape undofile foldclosed setqflist buflisted strchars str2nr virtcol floor remove undotree remote_expr winheight gettabwinvar reltime cursor tabpagenr finddir localtime acos getloclist search tanh matchend rename gettabvar strdisplaywidth type abs py3eval setwinvar tolower wildmenumode log10 spellsuggest bufloaded synconcealed nextnonblank server2client complete settabwinvar executable input wincol setmatches getftype hlID inputsave searchpair or screenrow line settabvar histadd deepcopy strpart remote_peek and eval getftime submatch screenchar winsaveview matchadd mkdir screenattr getfontname libcall reltimestr getfsize winnr invert pow getbufline byte2line soundfold repeat fnameescape tagfiles sin strwidth spellbadword trunc maparg log lispindent hostname setpos globpath remote_foreground getchar synIDattr fnamemodify cscope_connection stridx winbufnr indent min complete_add nr2char searchpairpos inputdialog values matchlist items hlexists strridx browsedir expand fmod pathshorten line2byte argc count getwinvar glob foldtextresult getreg foreground cosh matchdelete has char2nr simplify histget searchdecl iconv winrestcmd pumvisible writefile foldlevel haslocaldir keys cos matchstr foldtext histnr tan tempname getcwd byteidx getbufvar islocked escape eventhandler remote_send serverlist winrestview synstack pyeval prevnonblank readfile cindent filereadable changenr exp"},illegal:/;/,contains:[e.NUMBER_MODE,{className:"string",begin:"'",end:"'",illegal:"\\n"},{className:"string",begin:/"(\\"|\n\\|[^"\n])*"/},e.COMMENT('"',"$"),{className:"variable",begin:/[bwtglsav]:[\w\d_]*/},{className:"function",beginKeywords:"function function!",end:"$",relevance:0,contains:[e.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},{className:"symbol",begin:/<[\w-]+>/}]}}}}]);
-//# sourceMappingURL=vim.js.map?v=0930b39394e5c4e5b9c4 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[180],{547:function(e,t){e.exports=function(e){return{lexemes:/[!#@\w]+/,keywords:{keyword:"N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank",built_in:"synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv complete_check add getwinposx getqflist getwinposy screencol clearmatches empty extend getcmdpos mzeval garbagecollect setreg ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable shiftwidth max sinh isdirectory synID system inputrestore winline atan visualmode inputlist tabpagewinnr round getregtype mapcheck hasmapto histdel argidx findfile sha256 exists toupper getcmdline taglist string getmatches bufnr strftime winwidth bufexists strtrans tabpagebuflist setcmdpos remote_read printf setloclist getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval resolve libcallnr foldclosedend reverse filter has_key bufname str2float strlen setline getcharmod setbufvar index searchpos shellescape undofile foldclosed setqflist buflisted strchars str2nr virtcol floor remove undotree remote_expr winheight gettabwinvar reltime cursor tabpagenr finddir localtime acos getloclist search tanh matchend rename gettabvar strdisplaywidth type abs py3eval setwinvar tolower wildmenumode log10 spellsuggest bufloaded synconcealed nextnonblank server2client complete settabwinvar executable input wincol setmatches getftype hlID inputsave searchpair or screenrow line settabvar histadd deepcopy strpart remote_peek and eval getftime submatch screenchar winsaveview matchadd mkdir screenattr getfontname libcall reltimestr getfsize winnr invert pow getbufline byte2line soundfold repeat fnameescape tagfiles sin strwidth spellbadword trunc maparg log lispindent hostname setpos globpath remote_foreground getchar synIDattr fnamemodify cscope_connection stridx winbufnr indent min complete_add nr2char searchpairpos inputdialog values matchlist items hlexists strridx browsedir expand fmod pathshorten line2byte argc count getwinvar glob foldtextresult getreg foreground cosh matchdelete has char2nr simplify histget searchdecl iconv winrestcmd pumvisible writefile foldlevel haslocaldir keys cos matchstr foldtext histnr tan tempname getcwd byteidx getbufvar islocked escape eventhandler remote_send serverlist winrestview synstack pyeval prevnonblank readfile cindent filereadable changenr exp"},illegal:/;/,contains:[e.NUMBER_MODE,{className:"string",begin:"'",end:"'",illegal:"\\n"},{className:"string",begin:/"(\\"|\n\\|[^"\n])*"/},e.COMMENT('"',"$"),{className:"variable",begin:/[bwtglsav]:[\w\d_]*/},{className:"function",beginKeywords:"function function!",end:"$",relevance:0,contains:[e.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},{className:"symbol",begin:/<[\w-]+>/}]}}}}]);
+//# sourceMappingURL=vim.js.map?v=b85fdd69c3163b23cd4f \ No newline at end of file
diff --git a/js/highlight/vim.js.map b/js/highlight/vim.js.map
index f24b2c535..1435abd72 100644
--- a/js/highlight/vim.js.map
+++ b/js/highlight/vim.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vim.js"],"names":["module","exports","hljs","lexemes","keywords","keyword","built_in","illegal","contains","NUMBER_MODE","className","begin","end","COMMENT","beginKeywords","relevance","TITLE_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,WACTC,SAAU,CACRC,QAEE,mwLAkBFC,SACE,+nEAoCJC,QAAS,IACTC,SAAU,CACRN,EAAKO,YACL,CACEC,UAAW,SACXC,MAAO,IAAMC,IAAK,IAClBL,QAAS,OAaX,CACEG,UAAW,SACXC,MAAO,wBAETT,EAAKW,QAAQ,IAAK,KAElB,CACEH,UAAW,WACXC,MAAO,uBAET,CACED,UAAW,WACXI,cAAe,qBAAsBF,IAAK,IAC1CG,UAAW,EACXP,SAAU,CACRN,EAAKc,WACL,CACEN,UAAW,SACXC,MAAO,MAAOC,IAAK,SAIzB,CACEF,UAAW,SACXC,MAAO","file":"highlight/vim.js?v=0930b39394e5c4e5b9c4","sourcesContent":["module.exports = function(hljs) {\n return {\n lexemes: /[!#@\\w]+/,\n keywords: {\n keyword:\n // express version except: ! & * < = > !! # @ @@\n 'N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope '+\n 'cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc '+\n 'ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 '+\n 'profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor '+\n 'so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew '+\n 'tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ '+\n // full version\n 'Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload '+\n 'bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap '+\n 'cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor '+\n 'endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap '+\n 'imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview '+\n 'lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap '+\n 'nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext '+\n 'ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding '+\n 'scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace '+\n 'startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious '+'trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew '+\n 'vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank',\n built_in: //built in func\n 'synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv ' +\n 'complete_check add getwinposx getqflist getwinposy screencol ' +\n 'clearmatches empty extend getcmdpos mzeval garbagecollect setreg ' +\n 'ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable ' +\n 'shiftwidth max sinh isdirectory synID system inputrestore winline ' +\n 'atan visualmode inputlist tabpagewinnr round getregtype mapcheck ' +\n 'hasmapto histdel argidx findfile sha256 exists toupper getcmdline ' +\n 'taglist string getmatches bufnr strftime winwidth bufexists ' +\n 'strtrans tabpagebuflist setcmdpos remote_read printf setloclist ' +\n 'getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval ' +\n 'resolve libcallnr foldclosedend reverse filter has_key bufname ' +\n 'str2float strlen setline getcharmod setbufvar index searchpos ' +\n 'shellescape undofile foldclosed setqflist buflisted strchars str2nr ' +\n 'virtcol floor remove undotree remote_expr winheight gettabwinvar ' +\n 'reltime cursor tabpagenr finddir localtime acos getloclist search ' +\n 'tanh matchend rename gettabvar strdisplaywidth type abs py3eval ' +\n 'setwinvar tolower wildmenumode log10 spellsuggest bufloaded ' +\n 'synconcealed nextnonblank server2client complete settabwinvar ' +\n 'executable input wincol setmatches getftype hlID inputsave ' +\n 'searchpair or screenrow line settabvar histadd deepcopy strpart ' +\n 'remote_peek and eval getftime submatch screenchar winsaveview ' +\n 'matchadd mkdir screenattr getfontname libcall reltimestr getfsize ' +\n 'winnr invert pow getbufline byte2line soundfold repeat fnameescape ' +\n 'tagfiles sin strwidth spellbadword trunc maparg log lispindent ' +\n 'hostname setpos globpath remote_foreground getchar synIDattr ' +\n 'fnamemodify cscope_connection stridx winbufnr indent min ' +\n 'complete_add nr2char searchpairpos inputdialog values matchlist ' +\n 'items hlexists strridx browsedir expand fmod pathshorten line2byte ' +\n 'argc count getwinvar glob foldtextresult getreg foreground cosh ' +\n 'matchdelete has char2nr simplify histget searchdecl iconv ' +\n 'winrestcmd pumvisible writefile foldlevel haslocaldir keys cos ' +\n 'matchstr foldtext histnr tan tempname getcwd byteidx getbufvar ' +\n 'islocked escape eventhandler remote_send serverlist winrestview ' +\n 'synstack pyeval prevnonblank readfile cindent filereadable changenr ' +\n 'exp'\n },\n illegal: /;/,\n contains: [\n hljs.NUMBER_MODE,\n {\n className: 'string',\n begin: '\\'', end: '\\'',\n illegal: '\\\\n'\n },\n\n /*\n A double quote can start either a string or a line comment. Strings are\n ended before the end of a line by another double quote and can contain\n escaped double-quotes and post-escaped line breaks.\n\n Also, any double quote at the beginning of a line is a comment but we\n don't handle that properly at the moment: any double quote inside will\n turn them into a string. Handling it properly will require a smarter\n parser.\n */\n {\n className: 'string',\n begin: /\"(\\\\\"|\\n\\\\|[^\"\\n])*\"/\n },\n hljs.COMMENT('\"', '$'),\n\n {\n className: 'variable',\n begin: /[bwtglsav]:[\\w\\d_]*/\n },\n {\n className: 'function',\n beginKeywords: 'function function!', end: '$',\n relevance: 0,\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)'\n }\n ]\n },\n {\n className: 'symbol',\n begin: /<[\\w-]+>/\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/vim.js"],"names":["module","exports","hljs","lexemes","keywords","keyword","built_in","illegal","contains","NUMBER_MODE","className","begin","end","COMMENT","beginKeywords","relevance","TITLE_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,QAAS,WACTC,SAAU,CACRC,QAEE,mwLAkBFC,SACE,+nEAoCJC,QAAS,IACTC,SAAU,CACRN,EAAKO,YACL,CACEC,UAAW,SACXC,MAAO,IAAMC,IAAK,IAClBL,QAAS,OAaX,CACEG,UAAW,SACXC,MAAO,wBAETT,EAAKW,QAAQ,IAAK,KAElB,CACEH,UAAW,WACXC,MAAO,uBAET,CACED,UAAW,WACXI,cAAe,qBAAsBF,IAAK,IAC1CG,UAAW,EACXP,SAAU,CACRN,EAAKc,WACL,CACEN,UAAW,SACXC,MAAO,MAAOC,IAAK,SAIzB,CACEF,UAAW,SACXC,MAAO","file":"highlight/vim.js?v=b85fdd69c3163b23cd4f","sourcesContent":["module.exports = function(hljs) {\n return {\n lexemes: /[!#@\\w]+/,\n keywords: {\n keyword:\n // express version except: ! & * < = > !! # @ @@\n 'N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope '+\n 'cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc '+\n 'ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 '+\n 'profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor '+\n 'so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew '+\n 'tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ '+\n // full version\n 'Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload '+\n 'bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap '+\n 'cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor '+\n 'endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap '+\n 'imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview '+\n 'lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap '+\n 'nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext '+\n 'ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding '+\n 'scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace '+\n 'startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious '+'trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew '+\n 'vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank',\n built_in: //built in func\n 'synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv ' +\n 'complete_check add getwinposx getqflist getwinposy screencol ' +\n 'clearmatches empty extend getcmdpos mzeval garbagecollect setreg ' +\n 'ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable ' +\n 'shiftwidth max sinh isdirectory synID system inputrestore winline ' +\n 'atan visualmode inputlist tabpagewinnr round getregtype mapcheck ' +\n 'hasmapto histdel argidx findfile sha256 exists toupper getcmdline ' +\n 'taglist string getmatches bufnr strftime winwidth bufexists ' +\n 'strtrans tabpagebuflist setcmdpos remote_read printf setloclist ' +\n 'getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval ' +\n 'resolve libcallnr foldclosedend reverse filter has_key bufname ' +\n 'str2float strlen setline getcharmod setbufvar index searchpos ' +\n 'shellescape undofile foldclosed setqflist buflisted strchars str2nr ' +\n 'virtcol floor remove undotree remote_expr winheight gettabwinvar ' +\n 'reltime cursor tabpagenr finddir localtime acos getloclist search ' +\n 'tanh matchend rename gettabvar strdisplaywidth type abs py3eval ' +\n 'setwinvar tolower wildmenumode log10 spellsuggest bufloaded ' +\n 'synconcealed nextnonblank server2client complete settabwinvar ' +\n 'executable input wincol setmatches getftype hlID inputsave ' +\n 'searchpair or screenrow line settabvar histadd deepcopy strpart ' +\n 'remote_peek and eval getftime submatch screenchar winsaveview ' +\n 'matchadd mkdir screenattr getfontname libcall reltimestr getfsize ' +\n 'winnr invert pow getbufline byte2line soundfold repeat fnameescape ' +\n 'tagfiles sin strwidth spellbadword trunc maparg log lispindent ' +\n 'hostname setpos globpath remote_foreground getchar synIDattr ' +\n 'fnamemodify cscope_connection stridx winbufnr indent min ' +\n 'complete_add nr2char searchpairpos inputdialog values matchlist ' +\n 'items hlexists strridx browsedir expand fmod pathshorten line2byte ' +\n 'argc count getwinvar glob foldtextresult getreg foreground cosh ' +\n 'matchdelete has char2nr simplify histget searchdecl iconv ' +\n 'winrestcmd pumvisible writefile foldlevel haslocaldir keys cos ' +\n 'matchstr foldtext histnr tan tempname getcwd byteidx getbufvar ' +\n 'islocked escape eventhandler remote_send serverlist winrestview ' +\n 'synstack pyeval prevnonblank readfile cindent filereadable changenr ' +\n 'exp'\n },\n illegal: /;/,\n contains: [\n hljs.NUMBER_MODE,\n {\n className: 'string',\n begin: '\\'', end: '\\'',\n illegal: '\\\\n'\n },\n\n /*\n A double quote can start either a string or a line comment. Strings are\n ended before the end of a line by another double quote and can contain\n escaped double-quotes and post-escaped line breaks.\n\n Also, any double quote at the beginning of a line is a comment but we\n don't handle that properly at the moment: any double quote inside will\n turn them into a string. Handling it properly will require a smarter\n parser.\n */\n {\n className: 'string',\n begin: /\"(\\\\\"|\\n\\\\|[^\"\\n])*\"/\n },\n hljs.COMMENT('\"', '$'),\n\n {\n className: 'variable',\n begin: /[bwtglsav]:[\\w\\d_]*/\n },\n {\n className: 'function',\n beginKeywords: 'function function!', end: '$',\n relevance: 0,\n contains: [\n hljs.TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)'\n }\n ]\n },\n {\n className: 'symbol',\n begin: /<[\\w-]+>/\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/x86asm.js b/js/highlight/x86asm.js
index f140903b1..f209b85f0 100644
--- a/js/highlight/x86asm.js
+++ b/js/highlight/x86asm.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[181],{547:function(s,p){s.exports=function(s){return{case_insensitive:!0,lexemes:"[.%]?"+s.IDENT_RE,keywords:{keyword:"lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63",built_in:"ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr",meta:"%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist __FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__"},contains:[s.COMMENT(";","$",{relevance:0}),{className:"number",variants:[{begin:"\\b(?:([0-9][0-9_]*)?\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*\\.?[0-9_]*(?:[pP](?:[+-]?[0-9_]+)?)?)\\b",relevance:0},{begin:"\\$[0-9][0-9A-Fa-f]*",relevance:0},{begin:"\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\b"},{begin:"\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\b"}]},s.QUOTE_STRING_MODE,{className:"string",variants:[{begin:"'",end:"[^\\\\]'"},{begin:"`",end:"[^\\\\]`"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)"},{begin:"^\\s*%%[A-Za-z0-9_$#@~.?]*:"}],relevance:0},{className:"subst",begin:"%[0-9]+",relevance:0},{className:"subst",begin:"%!S+",relevance:0},{className:"meta",begin:/^\s*\.[\w_-]+/}]}}}}]);
-//# sourceMappingURL=x86asm.js.map?v=c14e03ac28d97e1a2d6f \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[181],{548:function(s,p){s.exports=function(s){return{case_insensitive:!0,lexemes:"[.%]?"+s.IDENT_RE,keywords:{keyword:"lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63",built_in:"ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr",meta:"%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist __FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__"},contains:[s.COMMENT(";","$",{relevance:0}),{className:"number",variants:[{begin:"\\b(?:([0-9][0-9_]*)?\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*\\.?[0-9_]*(?:[pP](?:[+-]?[0-9_]+)?)?)\\b",relevance:0},{begin:"\\$[0-9][0-9A-Fa-f]*",relevance:0},{begin:"\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\b"},{begin:"\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\b"}]},s.QUOTE_STRING_MODE,{className:"string",variants:[{begin:"'",end:"[^\\\\]'"},{begin:"`",end:"[^\\\\]`"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)"},{begin:"^\\s*%%[A-Za-z0-9_$#@~.?]*:"}],relevance:0},{className:"subst",begin:"%[0-9]+",relevance:0},{className:"subst",begin:"%!S+",relevance:0},{className:"meta",begin:/^\s*\.[\w_-]+/}]}}}}]);
+//# sourceMappingURL=x86asm.js.map?v=f0569dd5247f481195bd \ No newline at end of file
diff --git a/js/highlight/x86asm.js.map b/js/highlight/x86asm.js.map
index 13cd90f47..e795dbf1f 100644
--- a/js/highlight/x86asm.js.map
+++ b/js/highlight/x86asm.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/x86asm.js"],"names":["module","exports","hljs","case_insensitive","lexemes","IDENT_RE","keywords","keyword","built_in","meta","contains","COMMENT","relevance","className","variants","begin","QUOTE_STRING_MODE","end"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,QAAUF,EAAKG,SACxBC,SAAU,CACRC,QACE,qteAEFC,SAEE,i5CAyCFC,KACE,0/BAcJC,SAAU,CACRR,EAAKS,QACH,IACA,IACA,CACEC,UAAW,IAGf,CACEC,UAAW,SACXC,SAAU,CAER,CACEC,MAAO,qHAEPH,UAAW,GAIb,CAAEG,MAAO,uBAAwBH,UAAW,GAG5C,CAAEG,MAAO,oGAGT,CAAEA,MAAO,+EAIbb,EAAKc,kBACL,CACEH,UAAW,SACXC,SAAU,CAER,CAAEC,MAAO,IAAME,IAAK,YAEpB,CAAEF,MAAO,IAAKE,IAAK,aAErBL,UAAW,GAEb,CACEC,UAAW,SACXC,SAAU,CAER,CAAEC,MAAO,oDAET,CAAEA,MAAO,gCAEXH,UAAW,GAGb,CACEC,UAAW,QACXE,MAAO,UACPH,UAAW,GAGb,CACEC,UAAW,QACXE,MAAO,OACPH,UAAW,GAEb,CACEC,UAAW,OACXE,MAAO","file":"highlight/x86asm.js?v=c14e03ac28d97e1a2d6f","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n lexemes: '[.%]?' + hljs.IDENT_RE,\n keywords: {\n keyword:\n 'lock rep repe repz repne repnz xaquire xrelease bnd nobnd ' +\n 'aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63',\n built_in:\n // Instruction pointer\n 'ip eip rip ' +\n // 8-bit registers\n 'al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ' +\n // 16-bit registers\n 'ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w ' +\n // 32-bit registers\n 'eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d ' +\n // 64-bit registers\n 'rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 ' +\n // Segment registers\n 'cs ds es fs gs ss ' +\n // Floating point stack registers\n 'st st0 st1 st2 st3 st4 st5 st6 st7 ' +\n // MMX Registers\n 'mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 ' +\n // SSE registers\n 'xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 ' +\n 'xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ' +\n // AVX registers\n 'ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ' +\n 'ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 ' +\n // AVX-512F registers\n 'zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 ' +\n 'zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 ' +\n // AVX-512F mask registers\n 'k0 k1 k2 k3 k4 k5 k6 k7 ' +\n // Bound (MPX) register\n 'bnd0 bnd1 bnd2 bnd3 ' +\n // Special register\n 'cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 ' +\n // NASM altreg package\n 'r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b ' +\n 'r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d ' +\n 'r0h r1h r2h r3h ' +\n 'r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l ' +\n\n 'db dw dd dq dt ddq do dy dz ' +\n 'resb resw resd resq rest resdq reso resy resz ' +\n 'incbin equ times ' +\n 'byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr',\n\n meta:\n '%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif ' +\n '%if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep ' +\n '%endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment ' +\n '.nolist ' +\n '__FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ ' +\n '__UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend ' +\n 'align alignb sectalign daz nodaz up down zero default option assume public ' +\n\n 'bits use16 use32 use64 default section segment absolute extern global common cpu float ' +\n '__utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ ' +\n '__float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ ' +\n '__Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e ' +\n 'float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__'\n },\n contains: [\n hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n ),\n {\n className: 'number',\n variants: [\n // Float number and x87 BCD\n {\n begin: '\\\\b(?:([0-9][0-9_]*)?\\\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|' +\n '(0[Xx])?[0-9][0-9_]*\\\\.?[0-9_]*(?:[pP](?:[+-]?[0-9_]+)?)?)\\\\b',\n relevance: 0\n },\n\n // Hex number in $\n { begin: '\\\\$[0-9][0-9A-Fa-f]*', relevance: 0 },\n\n // Number in H,D,T,Q,O,B,Y suffix\n { begin: '\\\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\\\b' },\n\n // Number in X,D,T,Q,O,B,Y prefix\n { begin: '\\\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\\\b'}\n ]\n },\n // Double quote string\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n variants: [\n // Single-quoted string\n { begin: '\\'', end: '[^\\\\\\\\]\\'' },\n // Backquoted string\n { begin: '`', end: '[^\\\\\\\\]`' }\n ],\n relevance: 0\n },\n {\n className: 'symbol',\n variants: [\n // Global label and local label\n { begin: '^\\\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\\\s+label)' },\n // Macro-local label\n { begin: '^\\\\s*%%[A-Za-z0-9_$#@~.?]*:' }\n ],\n relevance: 0\n },\n // Macro parameter\n {\n className: 'subst',\n begin: '%[0-9]+',\n relevance: 0\n },\n // Macro parameter\n {\n className: 'subst',\n begin: '%!\\S+',\n relevance: 0\n },\n {\n className: 'meta',\n begin: /^\\s*\\.[\\w_-]+/\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/x86asm.js"],"names":["module","exports","hljs","case_insensitive","lexemes","IDENT_RE","keywords","keyword","built_in","meta","contains","COMMENT","relevance","className","variants","begin","QUOTE_STRING_MODE","end"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,MAAO,CACLC,kBAAkB,EAClBC,QAAS,QAAUF,EAAKG,SACxBC,SAAU,CACRC,QACE,qteAEFC,SAEE,i5CAyCFC,KACE,0/BAcJC,SAAU,CACRR,EAAKS,QACH,IACA,IACA,CACEC,UAAW,IAGf,CACEC,UAAW,SACXC,SAAU,CAER,CACEC,MAAO,qHAEPH,UAAW,GAIb,CAAEG,MAAO,uBAAwBH,UAAW,GAG5C,CAAEG,MAAO,oGAGT,CAAEA,MAAO,+EAIbb,EAAKc,kBACL,CACEH,UAAW,SACXC,SAAU,CAER,CAAEC,MAAO,IAAME,IAAK,YAEpB,CAAEF,MAAO,IAAKE,IAAK,aAErBL,UAAW,GAEb,CACEC,UAAW,SACXC,SAAU,CAER,CAAEC,MAAO,oDAET,CAAEA,MAAO,gCAEXH,UAAW,GAGb,CACEC,UAAW,QACXE,MAAO,UACPH,UAAW,GAGb,CACEC,UAAW,QACXE,MAAO,OACPH,UAAW,GAEb,CACEC,UAAW,OACXE,MAAO","file":"highlight/x86asm.js?v=f0569dd5247f481195bd","sourcesContent":["module.exports = function(hljs) {\n return {\n case_insensitive: true,\n lexemes: '[.%]?' + hljs.IDENT_RE,\n keywords: {\n keyword:\n 'lock rep repe repz repne repnz xaquire xrelease bnd nobnd ' +\n 'aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63',\n built_in:\n // Instruction pointer\n 'ip eip rip ' +\n // 8-bit registers\n 'al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ' +\n // 16-bit registers\n 'ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w ' +\n // 32-bit registers\n 'eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d ' +\n // 64-bit registers\n 'rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 ' +\n // Segment registers\n 'cs ds es fs gs ss ' +\n // Floating point stack registers\n 'st st0 st1 st2 st3 st4 st5 st6 st7 ' +\n // MMX Registers\n 'mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 ' +\n // SSE registers\n 'xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 ' +\n 'xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ' +\n // AVX registers\n 'ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ' +\n 'ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 ' +\n // AVX-512F registers\n 'zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 ' +\n 'zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 ' +\n // AVX-512F mask registers\n 'k0 k1 k2 k3 k4 k5 k6 k7 ' +\n // Bound (MPX) register\n 'bnd0 bnd1 bnd2 bnd3 ' +\n // Special register\n 'cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 ' +\n // NASM altreg package\n 'r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b ' +\n 'r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d ' +\n 'r0h r1h r2h r3h ' +\n 'r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l ' +\n\n 'db dw dd dq dt ddq do dy dz ' +\n 'resb resw resd resq rest resdq reso resy resz ' +\n 'incbin equ times ' +\n 'byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr',\n\n meta:\n '%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif ' +\n '%if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep ' +\n '%endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment ' +\n '.nolist ' +\n '__FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ ' +\n '__UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend ' +\n 'align alignb sectalign daz nodaz up down zero default option assume public ' +\n\n 'bits use16 use32 use64 default section segment absolute extern global common cpu float ' +\n '__utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ ' +\n '__float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ ' +\n '__Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e ' +\n 'float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__'\n },\n contains: [\n hljs.COMMENT(\n ';',\n '$',\n {\n relevance: 0\n }\n ),\n {\n className: 'number',\n variants: [\n // Float number and x87 BCD\n {\n begin: '\\\\b(?:([0-9][0-9_]*)?\\\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|' +\n '(0[Xx])?[0-9][0-9_]*\\\\.?[0-9_]*(?:[pP](?:[+-]?[0-9_]+)?)?)\\\\b',\n relevance: 0\n },\n\n // Hex number in $\n { begin: '\\\\$[0-9][0-9A-Fa-f]*', relevance: 0 },\n\n // Number in H,D,T,Q,O,B,Y suffix\n { begin: '\\\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\\\b' },\n\n // Number in X,D,T,Q,O,B,Y prefix\n { begin: '\\\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\\\b'}\n ]\n },\n // Double quote string\n hljs.QUOTE_STRING_MODE,\n {\n className: 'string',\n variants: [\n // Single-quoted string\n { begin: '\\'', end: '[^\\\\\\\\]\\'' },\n // Backquoted string\n { begin: '`', end: '[^\\\\\\\\]`' }\n ],\n relevance: 0\n },\n {\n className: 'symbol',\n variants: [\n // Global label and local label\n { begin: '^\\\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\\\s+label)' },\n // Macro-local label\n { begin: '^\\\\s*%%[A-Za-z0-9_$#@~.?]*:' }\n ],\n relevance: 0\n },\n // Macro parameter\n {\n className: 'subst',\n begin: '%[0-9]+',\n relevance: 0\n },\n // Macro parameter\n {\n className: 'subst',\n begin: '%!\\S+',\n relevance: 0\n },\n {\n className: 'meta',\n begin: /^\\s*\\.[\\w_-]+/\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/xl.js b/js/highlight/xl.js
index 4b2246298..1f3c2fdee 100644
--- a/js/highlight/xl.js
+++ b/js/highlight/xl.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[182],{548:function(e,t){e.exports=function(e){var t={keyword:"if then else do while until for loop import with is as where when by data constant integer real text name boolean symbol infix prefix postfix block tree",literal:"true false nil",built_in:"in mod rem and or xor not abs sign floor ceil sqrt sin cos tan asin acos atan exp expm1 log log2 log10 log1p pi at text_length text_range text_find text_replace contains page slide basic_slide title_slide title subtitle fade_in fade_out fade_at clear_color color line_color line_width texture_wrap texture_transform texture scale_?x scale_?y scale_?z? translate_?x translate_?y translate_?z? rotate_?x rotate_?y rotate_?z? rectangle circle ellipse sphere path line_to move_to quad_to curve_to theme background contents locally time mouse_?x mouse_?y mouse_buttons ObjectLoader Animate MovieCredits Slides Filters Shading Materials LensFlare Mapping VLCAudioVideo StereoDecoder PointCloud NetworkAccess RemoteControl RegExp ChromaKey Snowfall NodeJS Speech Charts"},n={className:"string",begin:'"',end:'"',illegal:"\\n"},a={beginKeywords:"import",end:"$",keywords:t,contains:[n]},o={className:"function",begin:/[a-z][^\n]*->/,returnBegin:!0,end:/->/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,keywords:t}})]};return{aliases:["tao"],lexemes:/[a-zA-Z][a-zA-Z0-9_?]*/,keywords:t,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n,{className:"string",begin:"'",end:"'",illegal:"\\n"},{className:"string",begin:"<<",end:">>"},o,a,{className:"number",begin:"[0-9]+#[0-9A-Z_]+(\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?"},e.NUMBER_MODE]}}}}]);
-//# sourceMappingURL=xl.js.map?v=79466549c0c67fec7bbc \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[182],{549:function(e,t){e.exports=function(e){var t={keyword:"if then else do while until for loop import with is as where when by data constant integer real text name boolean symbol infix prefix postfix block tree",literal:"true false nil",built_in:"in mod rem and or xor not abs sign floor ceil sqrt sin cos tan asin acos atan exp expm1 log log2 log10 log1p pi at text_length text_range text_find text_replace contains page slide basic_slide title_slide title subtitle fade_in fade_out fade_at clear_color color line_color line_width texture_wrap texture_transform texture scale_?x scale_?y scale_?z? translate_?x translate_?y translate_?z? rotate_?x rotate_?y rotate_?z? rectangle circle ellipse sphere path line_to move_to quad_to curve_to theme background contents locally time mouse_?x mouse_?y mouse_buttons ObjectLoader Animate MovieCredits Slides Filters Shading Materials LensFlare Mapping VLCAudioVideo StereoDecoder PointCloud NetworkAccess RemoteControl RegExp ChromaKey Snowfall NodeJS Speech Charts"},n={className:"string",begin:'"',end:'"',illegal:"\\n"},a={beginKeywords:"import",end:"$",keywords:t,contains:[n]},o={className:"function",begin:/[a-z][^\n]*->/,returnBegin:!0,end:/->/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,keywords:t}})]};return{aliases:["tao"],lexemes:/[a-zA-Z][a-zA-Z0-9_?]*/,keywords:t,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n,{className:"string",begin:"'",end:"'",illegal:"\\n"},{className:"string",begin:"<<",end:">>"},o,a,{className:"number",begin:"[0-9]+#[0-9A-Z_]+(\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?"},e.NUMBER_MODE]}}}}]);
+//# sourceMappingURL=xl.js.map?v=f957f27aa1e0aad8b63e \ No newline at end of file
diff --git a/js/highlight/xl.js.map b/js/highlight/xl.js.map
index 063fe49c6..8e17d2329 100644
--- a/js/highlight/xl.js.map
+++ b/js/highlight/xl.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/xl.js"],"names":["module","exports","hljs","XL_KEYWORDS","keyword","literal","built_in","DOUBLE_QUOTE_TEXT","className","begin","end","illegal","IMPORT","beginKeywords","keywords","contains","FUNCTION_DEFINITION","returnBegin","inherit","TITLE_MODE","starts","endsWithParent","aliases","lexemes","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAIIC,EAAc,CAChBC,QACE,2JAEFC,QACE,iBACFC,SACE,8vBAYAC,EAAoB,CACtBC,UAAW,SACXC,MAAO,IAAKC,IAAK,IAAKC,QAAS,OAc7BC,EAAS,CACXC,cAAe,SAAUH,IAAK,IAC9BI,SAAUX,EACVY,SAAU,CAACR,IAETS,EAAsB,CACxBR,UAAW,WACXC,MAAO,gBAAiBQ,aAAa,EAAMP,IAAK,KAChDK,SAAU,CACRb,EAAKgB,QAAQhB,EAAKiB,WAAY,CAACC,OAAQ,CACrCC,gBAAgB,EAChBP,SAAUX,OAIhB,MAAO,CACLmB,QAAS,CAAC,OACVC,QAAS,yBACTT,SAAUX,EACVY,SAAU,CACVb,EAAKsB,oBACLtB,EAAKuB,qBACLlB,EAlCsB,CACtBC,UAAW,SACXC,MAAO,IAAMC,IAAK,IAAMC,QAAS,OAEnB,CACdH,UAAW,SACXC,MAAO,KAAMC,IAAK,MA+BlBM,EACAJ,EA9BiB,CACjBJ,UAAW,SACXC,MAAO,0DA8BPP,EAAKwB","file":"highlight/xl.js?v=79466549c0c67fec7bbc","sourcesContent":["module.exports = function(hljs) {\n var BUILTIN_MODULES =\n 'ObjectLoader Animate MovieCredits Slides Filters Shading Materials LensFlare Mapping VLCAudioVideo ' +\n 'StereoDecoder PointCloud NetworkAccess RemoteControl RegExp ChromaKey Snowfall NodeJS Speech Charts';\n\n var XL_KEYWORDS = {\n keyword:\n 'if then else do while until for loop import with is as where when by data constant ' +\n 'integer real text name boolean symbol infix prefix postfix block tree',\n literal:\n 'true false nil',\n built_in:\n 'in mod rem and or xor not abs sign floor ceil sqrt sin cos tan asin ' +\n 'acos atan exp expm1 log log2 log10 log1p pi at text_length text_range ' +\n 'text_find text_replace contains page slide basic_slide title_slide ' +\n 'title subtitle fade_in fade_out fade_at clear_color color line_color ' +\n 'line_width texture_wrap texture_transform texture scale_?x scale_?y ' +\n 'scale_?z? translate_?x translate_?y translate_?z? rotate_?x rotate_?y ' +\n 'rotate_?z? rectangle circle ellipse sphere path line_to move_to ' +\n 'quad_to curve_to theme background contents locally time mouse_?x ' +\n 'mouse_?y mouse_buttons ' +\n BUILTIN_MODULES\n };\n\n var DOUBLE_QUOTE_TEXT = {\n className: 'string',\n begin: '\"', end: '\"', illegal: '\\\\n'\n };\n var SINGLE_QUOTE_TEXT = {\n className: 'string',\n begin: '\\'', end: '\\'', illegal: '\\\\n'\n };\n var LONG_TEXT = {\n className: 'string',\n begin: '<<', end: '>>'\n };\n var BASED_NUMBER = {\n className: 'number',\n begin: '[0-9]+#[0-9A-Z_]+(\\\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?'\n };\n var IMPORT = {\n beginKeywords: 'import', end: '$',\n keywords: XL_KEYWORDS,\n contains: [DOUBLE_QUOTE_TEXT]\n };\n var FUNCTION_DEFINITION = {\n className: 'function',\n begin: /[a-z][^\\n]*->/, returnBegin: true, end: /->/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {starts: {\n endsWithParent: true,\n keywords: XL_KEYWORDS\n }})\n ]\n };\n return {\n aliases: ['tao'],\n lexemes: /[a-zA-Z][a-zA-Z0-9_?]*/,\n keywords: XL_KEYWORDS,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n DOUBLE_QUOTE_TEXT,\n SINGLE_QUOTE_TEXT,\n LONG_TEXT,\n FUNCTION_DEFINITION,\n IMPORT,\n BASED_NUMBER,\n hljs.NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/xl.js"],"names":["module","exports","hljs","XL_KEYWORDS","keyword","literal","built_in","DOUBLE_QUOTE_TEXT","className","begin","end","illegal","IMPORT","beginKeywords","keywords","contains","FUNCTION_DEFINITION","returnBegin","inherit","TITLE_MODE","starts","endsWithParent","aliases","lexemes","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","NUMBER_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAIIC,EAAc,CAChBC,QACE,2JAEFC,QACE,iBACFC,SACE,8vBAYAC,EAAoB,CACtBC,UAAW,SACXC,MAAO,IAAKC,IAAK,IAAKC,QAAS,OAc7BC,EAAS,CACXC,cAAe,SAAUH,IAAK,IAC9BI,SAAUX,EACVY,SAAU,CAACR,IAETS,EAAsB,CACxBR,UAAW,WACXC,MAAO,gBAAiBQ,aAAa,EAAMP,IAAK,KAChDK,SAAU,CACRb,EAAKgB,QAAQhB,EAAKiB,WAAY,CAACC,OAAQ,CACrCC,gBAAgB,EAChBP,SAAUX,OAIhB,MAAO,CACLmB,QAAS,CAAC,OACVC,QAAS,yBACTT,SAAUX,EACVY,SAAU,CACVb,EAAKsB,oBACLtB,EAAKuB,qBACLlB,EAlCsB,CACtBC,UAAW,SACXC,MAAO,IAAMC,IAAK,IAAMC,QAAS,OAEnB,CACdH,UAAW,SACXC,MAAO,KAAMC,IAAK,MA+BlBM,EACAJ,EA9BiB,CACjBJ,UAAW,SACXC,MAAO,0DA8BPP,EAAKwB","file":"highlight/xl.js?v=f957f27aa1e0aad8b63e","sourcesContent":["module.exports = function(hljs) {\n var BUILTIN_MODULES =\n 'ObjectLoader Animate MovieCredits Slides Filters Shading Materials LensFlare Mapping VLCAudioVideo ' +\n 'StereoDecoder PointCloud NetworkAccess RemoteControl RegExp ChromaKey Snowfall NodeJS Speech Charts';\n\n var XL_KEYWORDS = {\n keyword:\n 'if then else do while until for loop import with is as where when by data constant ' +\n 'integer real text name boolean symbol infix prefix postfix block tree',\n literal:\n 'true false nil',\n built_in:\n 'in mod rem and or xor not abs sign floor ceil sqrt sin cos tan asin ' +\n 'acos atan exp expm1 log log2 log10 log1p pi at text_length text_range ' +\n 'text_find text_replace contains page slide basic_slide title_slide ' +\n 'title subtitle fade_in fade_out fade_at clear_color color line_color ' +\n 'line_width texture_wrap texture_transform texture scale_?x scale_?y ' +\n 'scale_?z? translate_?x translate_?y translate_?z? rotate_?x rotate_?y ' +\n 'rotate_?z? rectangle circle ellipse sphere path line_to move_to ' +\n 'quad_to curve_to theme background contents locally time mouse_?x ' +\n 'mouse_?y mouse_buttons ' +\n BUILTIN_MODULES\n };\n\n var DOUBLE_QUOTE_TEXT = {\n className: 'string',\n begin: '\"', end: '\"', illegal: '\\\\n'\n };\n var SINGLE_QUOTE_TEXT = {\n className: 'string',\n begin: '\\'', end: '\\'', illegal: '\\\\n'\n };\n var LONG_TEXT = {\n className: 'string',\n begin: '<<', end: '>>'\n };\n var BASED_NUMBER = {\n className: 'number',\n begin: '[0-9]+#[0-9A-Z_]+(\\\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?'\n };\n var IMPORT = {\n beginKeywords: 'import', end: '$',\n keywords: XL_KEYWORDS,\n contains: [DOUBLE_QUOTE_TEXT]\n };\n var FUNCTION_DEFINITION = {\n className: 'function',\n begin: /[a-z][^\\n]*->/, returnBegin: true, end: /->/,\n contains: [\n hljs.inherit(hljs.TITLE_MODE, {starts: {\n endsWithParent: true,\n keywords: XL_KEYWORDS\n }})\n ]\n };\n return {\n aliases: ['tao'],\n lexemes: /[a-zA-Z][a-zA-Z0-9_?]*/,\n keywords: XL_KEYWORDS,\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.C_BLOCK_COMMENT_MODE,\n DOUBLE_QUOTE_TEXT,\n SINGLE_QUOTE_TEXT,\n LONG_TEXT,\n FUNCTION_DEFINITION,\n IMPORT,\n BASED_NUMBER,\n hljs.NUMBER_MODE\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/xml.js b/js/highlight/xml.js
index 02205966f..3ec4bd48d 100644
--- a/js/highlight/xml.js
+++ b/js/highlight/xml.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[183],{549:function(e,n){e.exports=function(e){var n={endsWithParent:!0,illegal:/</,relevance:0,contains:[{className:"attr",begin:"[A-Za-z0-9\\._:-]+",relevance:0},{begin:/=\s*/,relevance:0,contains:[{className:"string",endsParent:!0,variants:[{begin:/"/,end:/"/},{begin:/'/,end:/'/},{begin:/[^\s"'=<>`]+/}]}]}]};return{aliases:["html","xhtml","rss","atom","xjb","xsd","xsl","plist","wsf","svg"],case_insensitive:!0,contains:[{className:"meta",begin:"<!DOCTYPE",end:">",relevance:10,contains:[{begin:"\\[",end:"\\]"}]},e.COMMENT("\x3c!--","--\x3e",{relevance:10}),{begin:"<\\!\\[CDATA\\[",end:"\\]\\]>",relevance:10},{className:"meta",begin:/<\?xml/,end:/\?>/,relevance:10},{begin:/<\?(php)?/,end:/\?>/,subLanguage:"php",contains:[{begin:"/\\*",end:"\\*/",skip:!0},{begin:'b"',end:'"',skip:!0},{begin:"b'",end:"'",skip:!0},e.inherit(e.APOS_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0})]},{className:"tag",begin:"<style(?=\\s|>)",end:">",keywords:{name:"style"},contains:[n],starts:{end:"</style>",returnEnd:!0,subLanguage:["css","xml"]}},{className:"tag",begin:"<script(?=\\s|>)",end:">",keywords:{name:"script"},contains:[n],starts:{end:"<\/script>",returnEnd:!0,subLanguage:["actionscript","javascript","handlebars","xml"]}},{className:"tag",begin:"</?",end:"/?>",contains:[{className:"name",begin:/[^\/><\s]+/,relevance:0},n]}]}}}}]);
-//# sourceMappingURL=xml.js.map?v=106ae920216b54c5ba56 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[183],{550:function(e,n){e.exports=function(e){var n={className:"symbol",begin:"&[a-z]+;|&#[0-9]+;|&#x[a-f0-9]+;"},a={begin:"\\s",contains:[{className:"meta-keyword",begin:"#?[a-z_][a-z1-9_-]+",illegal:"\\n"}]},s=e.inherit(a,{begin:"\\(",end:"\\)"}),i=e.inherit(e.APOS_STRING_MODE,{className:"meta-string"}),t=e.inherit(e.QUOTE_STRING_MODE,{className:"meta-string"}),l={endsWithParent:!0,illegal:/</,relevance:0,contains:[{className:"attr",begin:"[A-Za-z0-9\\._:-]+",relevance:0},{begin:/=\s*/,relevance:0,contains:[{className:"string",endsParent:!0,variants:[{begin:/"/,end:/"/,contains:[n]},{begin:/'/,end:/'/,contains:[n]},{begin:/[^\s"'=<>`]+/}]}]}]};return{aliases:["html","xhtml","rss","atom","xjb","xsd","xsl","plist","wsf","svg"],case_insensitive:!0,contains:[{className:"meta",begin:"<![a-z]",end:">",relevance:10,contains:[a,t,i,s,{begin:"\\[",end:"\\]",contains:[{className:"meta",begin:"<![a-z]",end:">",contains:[a,s,t,i]}]}]},e.COMMENT("\x3c!--","--\x3e",{relevance:10}),{begin:"<\\!\\[CDATA\\[",end:"\\]\\]>",relevance:10},n,{className:"meta",begin:/<\?xml/,end:/\?>/,relevance:10},{begin:/<\?(php)?/,end:/\?>/,subLanguage:"php",contains:[{begin:"/\\*",end:"\\*/",skip:!0},{begin:'b"',end:'"',skip:!0},{begin:"b'",end:"'",skip:!0},e.inherit(e.APOS_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0})]},{className:"tag",begin:"<style(?=\\s|>)",end:">",keywords:{name:"style"},contains:[l],starts:{end:"</style>",returnEnd:!0,subLanguage:["css","xml"]}},{className:"tag",begin:"<script(?=\\s|>)",end:">",keywords:{name:"script"},contains:[l],starts:{end:"<\/script>",returnEnd:!0,subLanguage:["actionscript","javascript","handlebars","xml"]}},{className:"tag",begin:"</?",end:"/?>",contains:[{className:"name",begin:/[^\/><\s]+/,relevance:0},l]}]}}}}]);
+//# sourceMappingURL=xml.js.map?v=b4ed61a972de16719173 \ No newline at end of file
diff --git a/js/highlight/xml.js.map b/js/highlight/xml.js.map
index eb77f3a1f..2f6b78664 100644
--- a/js/highlight/xml.js.map
+++ b/js/highlight/xml.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/xml.js"],"names":["module","exports","hljs","TAG_INTERNALS","endsWithParent","illegal","relevance","contains","className","begin","endsParent","variants","end","aliases","case_insensitive","COMMENT","subLanguage","skip","inherit","APOS_STRING_MODE","QUOTE_STRING_MODE","keywords","name","starts","returnEnd"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IACIC,EAAgB,CAClBC,gBAAgB,EAChBC,QAAS,IACTC,UAAW,EACXC,SAAU,CACR,CACEC,UAAW,OACXC,MARa,qBASbH,UAAW,GAEb,CACEG,MAAO,OACPH,UAAW,EACXC,SAAU,CACR,CACEC,UAAW,SACXE,YAAY,EACZC,SAAU,CACR,CAACF,MAAO,IAAKG,IAAK,KAClB,CAACH,MAAO,IAAKG,IAAK,KAClB,CAACH,MAAO,sBAOpB,MAAO,CACLI,QAAS,CAAC,OAAQ,QAAS,MAAO,OAAQ,MAAO,MAAO,MAAO,QAAS,MAAO,OAC/EC,kBAAkB,EAClBP,SAAU,CACR,CACEC,UAAW,OACXC,MAAO,YAAaG,IAAK,IACzBN,UAAW,GACXC,SAAU,CAAC,CAACE,MAAO,MAAOG,IAAK,SAEjCV,EAAKa,QACH,UACA,SACA,CACET,UAAW,KAGf,CACEG,MAAO,kBAAmBG,IAAK,UAC/BN,UAAW,IAEb,CACEE,UAAW,OACXC,MAAO,SAAUG,IAAK,MAAON,UAAW,IAE1C,CACEG,MAAO,YAAaG,IAAK,MACzBI,YAAa,MACbT,SAAU,CAGR,CAACE,MAAO,OAAQG,IAAK,OAAQK,MAAM,GACnC,CAACR,MAAO,KAAMG,IAAK,IAAKK,MAAM,GAC9B,CAACR,MAAO,KAAOG,IAAK,IAAMK,MAAM,GAChCf,EAAKgB,QAAQhB,EAAKiB,iBAAkB,CAACd,QAAS,KAAMG,UAAW,KAAMD,SAAU,KAAMU,MAAM,IAC3Ff,EAAKgB,QAAQhB,EAAKkB,kBAAmB,CAACf,QAAS,KAAMG,UAAW,KAAMD,SAAU,KAAMU,MAAM,MAGhG,CACET,UAAW,MAOXC,MAAO,kBAAmBG,IAAK,IAC/BS,SAAU,CAACC,KAAM,SACjBf,SAAU,CAACJ,GACXoB,OAAQ,CACNX,IAAK,WAAYY,WAAW,EAC5BR,YAAa,CAAC,MAAO,SAGzB,CACER,UAAW,MAEXC,MAAO,mBAAoBG,IAAK,IAChCS,SAAU,CAACC,KAAM,UACjBf,SAAU,CAACJ,GACXoB,OAAQ,CACNX,IAAK,aAAgBY,WAAW,EAChCR,YAAa,CAAC,eAAgB,aAAc,aAAc,SAG9D,CACER,UAAW,MACXC,MAAO,MAAOG,IAAK,MACnBL,SAAU,CACR,CACEC,UAAW,OAAQC,MAAO,aAAcH,UAAW,GAErDH","file":"highlight/xml.js?v=106ae920216b54c5ba56","sourcesContent":["module.exports = function(hljs) {\n var XML_IDENT_RE = '[A-Za-z0-9\\\\._:-]+';\n var TAG_INTERNALS = {\n endsWithParent: true,\n illegal: /</,\n relevance: 0,\n contains: [\n {\n className: 'attr',\n begin: XML_IDENT_RE,\n relevance: 0\n },\n {\n begin: /=\\s*/,\n relevance: 0,\n contains: [\n {\n className: 'string',\n endsParent: true,\n variants: [\n {begin: /\"/, end: /\"/},\n {begin: /'/, end: /'/},\n {begin: /[^\\s\"'=<>`]+/}\n ]\n }\n ]\n }\n ]\n };\n return {\n aliases: ['html', 'xhtml', 'rss', 'atom', 'xjb', 'xsd', 'xsl', 'plist', 'wsf', 'svg'],\n case_insensitive: true,\n contains: [\n {\n className: 'meta',\n begin: '<!DOCTYPE', end: '>',\n relevance: 10,\n contains: [{begin: '\\\\[', end: '\\\\]'}]\n },\n hljs.COMMENT(\n '<!--',\n '-->',\n {\n relevance: 10\n }\n ),\n {\n begin: '<\\\\!\\\\[CDATA\\\\[', end: '\\\\]\\\\]>',\n relevance: 10\n },\n {\n className: 'meta',\n begin: /<\\?xml/, end: /\\?>/, relevance: 10\n },\n {\n begin: /<\\?(php)?/, end: /\\?>/,\n subLanguage: 'php',\n contains: [\n // We don't want the php closing tag ?> to close the PHP block when\n // inside any of the following blocks:\n {begin: '/\\\\*', end: '\\\\*/', skip: true},\n {begin: 'b\"', end: '\"', skip: true},\n {begin: 'b\\'', end: '\\'', skip: true},\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null, className: null, contains: null, skip: true}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null, className: null, contains: null, skip: true})\n ]\n },\n {\n className: 'tag',\n /*\n The lookahead pattern (?=...) ensures that 'begin' only matches\n '<style' as a single word, followed by a whitespace or an\n ending braket. The '$' is needed for the lexeme to be recognized\n by hljs.subMode() that tests lexemes outside the stream.\n */\n begin: '<style(?=\\\\s|>)', end: '>',\n keywords: {name: 'style'},\n contains: [TAG_INTERNALS],\n starts: {\n end: '</style>', returnEnd: true,\n subLanguage: ['css', 'xml']\n }\n },\n {\n className: 'tag',\n // See the comment in the <style tag about the lookahead pattern\n begin: '<script(?=\\\\s|>)', end: '>',\n keywords: {name: 'script'},\n contains: [TAG_INTERNALS],\n starts: {\n end: '\\<\\/script\\>', returnEnd: true,\n subLanguage: ['actionscript', 'javascript', 'handlebars', 'xml']\n }\n },\n {\n className: 'tag',\n begin: '</?', end: '/?>',\n contains: [\n {\n className: 'name', begin: /[^\\/><\\s]+/, relevance: 0\n },\n TAG_INTERNALS\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/xml.js"],"names":["module","exports","hljs","XML_ENTITIES","className","begin","XML_META_KEYWORDS","contains","illegal","XML_META_PAR_KEYWORDS","inherit","end","APOS_META_STRING_MODE","APOS_STRING_MODE","QUOTE_META_STRING_MODE","QUOTE_STRING_MODE","TAG_INTERNALS","endsWithParent","relevance","endsParent","variants","aliases","case_insensitive","COMMENT","subLanguage","skip","keywords","name","starts","returnEnd"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IACIC,EAAe,CACjBC,UAAW,SACXC,MAAO,oCAELC,EAAoB,CACvBD,MAAO,MACPE,SAAS,CACP,CACEH,UAAW,eACXC,MAAO,sBACPG,QAAS,SAIVC,EAAwBP,EAAKQ,QAAQJ,EAAmB,CAACD,MAAO,MAAOM,IAAK,QAC5EC,EAAwBV,EAAKQ,QAAQR,EAAKW,iBAAkB,CAACT,UAAW,gBACxEU,EAAyBZ,EAAKQ,QAAQR,EAAKa,kBAAmB,CAACX,UAAW,gBAC1EY,EAAgB,CAClBC,gBAAgB,EAChBT,QAAS,IACTU,UAAW,EACXX,SAAU,CACR,CACEH,UAAW,OACXC,MAzBa,qBA0Bba,UAAW,GAEb,CACEb,MAAO,OACPa,UAAW,EACXX,SAAU,CACR,CACEH,UAAW,SACXe,YAAY,EACZC,SAAU,CACR,CAACf,MAAO,IAAKM,IAAK,IAAKJ,SAAU,CAACJ,IAClC,CAACE,MAAO,IAAKM,IAAK,IAAKJ,SAAU,CAACJ,IAClC,CAACE,MAAO,sBAOpB,MAAO,CACLgB,QAAS,CAAC,OAAQ,QAAS,MAAO,OAAQ,MAAO,MAAO,MAAO,QAAS,MAAO,OAC/EC,kBAAkB,EAClBf,SAAU,CACR,CACEH,UAAW,OACXC,MAAO,UAAWM,IAAK,IACvBO,UAAW,GACXX,SAAU,CACZD,EACAQ,EACAF,EACDH,EACA,CACEJ,MAAO,MAAOM,IAAK,MACnBJ,SAAS,CACR,CACGH,UAAW,OACXC,MAAO,UAAWM,IAAK,IACvBJ,SAAU,CACRD,EACAG,EACAK,EACAF,QAOPV,EAAKqB,QACH,UACA,SACA,CACEL,UAAW,KAGf,CACEb,MAAO,kBAAmBM,IAAK,UAC/BO,UAAW,IAEbf,EACA,CACEC,UAAW,OACXC,MAAO,SAAUM,IAAK,MAAOO,UAAW,IAE1C,CACEb,MAAO,YAAaM,IAAK,MACzBa,YAAa,MACbjB,SAAU,CAGR,CAACF,MAAO,OAAQM,IAAK,OAAQc,MAAM,GACnC,CAACpB,MAAO,KAAMM,IAAK,IAAKc,MAAM,GAC9B,CAACpB,MAAO,KAAOM,IAAK,IAAMc,MAAM,GAChCvB,EAAKQ,QAAQR,EAAKW,iBAAkB,CAACL,QAAS,KAAMJ,UAAW,KAAMG,SAAU,KAAMkB,MAAM,IAC3FvB,EAAKQ,QAAQR,EAAKa,kBAAmB,CAACP,QAAS,KAAMJ,UAAW,KAAMG,SAAU,KAAMkB,MAAM,MAGhG,CACErB,UAAW,MAOXC,MAAO,kBAAmBM,IAAK,IAC/Be,SAAU,CAACC,KAAM,SACjBpB,SAAU,CAACS,GACXY,OAAQ,CACNjB,IAAK,WAAYkB,WAAW,EAC5BL,YAAa,CAAC,MAAO,SAGzB,CACEpB,UAAW,MAEXC,MAAO,mBAAoBM,IAAK,IAChCe,SAAU,CAACC,KAAM,UACjBpB,SAAU,CAACS,GACXY,OAAQ,CACNjB,IAAK,aAAgBkB,WAAW,EAChCL,YAAa,CAAC,eAAgB,aAAc,aAAc,SAG9D,CACEpB,UAAW,MACXC,MAAO,MAAOM,IAAK,MACnBJ,SAAU,CACR,CACEH,UAAW,OAAQC,MAAO,aAAca,UAAW,GAErDF","file":"highlight/xml.js?v=b4ed61a972de16719173","sourcesContent":["module.exports = function(hljs) {\n var XML_IDENT_RE = '[A-Za-z0-9\\\\._:-]+';\n var XML_ENTITIES = {\n className: 'symbol',\n begin: '&[a-z]+;|&#[0-9]+;|&#x[a-f0-9]+;'\n };\n var XML_META_KEYWORDS = {\n\t begin: '\\\\s',\n\t contains:[\n\t {\n\t className: 'meta-keyword',\n\t begin: '#?[a-z_][a-z1-9_-]+',\n\t illegal: '\\\\n',\n }\n\t ]\n };\n var XML_META_PAR_KEYWORDS = hljs.inherit(XML_META_KEYWORDS, {begin: '\\\\(', end: '\\\\)'});\n var APOS_META_STRING_MODE = hljs.inherit(hljs.APOS_STRING_MODE, {className: 'meta-string'});\n var QUOTE_META_STRING_MODE = hljs.inherit(hljs.QUOTE_STRING_MODE, {className: 'meta-string'});\n var TAG_INTERNALS = {\n endsWithParent: true,\n illegal: /</,\n relevance: 0,\n contains: [\n {\n className: 'attr',\n begin: XML_IDENT_RE,\n relevance: 0\n },\n {\n begin: /=\\s*/,\n relevance: 0,\n contains: [\n {\n className: 'string',\n endsParent: true,\n variants: [\n {begin: /\"/, end: /\"/, contains: [XML_ENTITIES]},\n {begin: /'/, end: /'/, contains: [XML_ENTITIES]},\n {begin: /[^\\s\"'=<>`]+/}\n ]\n }\n ]\n }\n ]\n };\n return {\n aliases: ['html', 'xhtml', 'rss', 'atom', 'xjb', 'xsd', 'xsl', 'plist', 'wsf', 'svg'],\n case_insensitive: true,\n contains: [\n {\n className: 'meta',\n begin: '<![a-z]', end: '>',\n relevance: 10,\n contains: [\n\t\t\t\t XML_META_KEYWORDS,\n\t\t\t\t QUOTE_META_STRING_MODE,\n\t\t\t\t APOS_META_STRING_MODE,\n\t\t\t\t\tXML_META_PAR_KEYWORDS,\n\t\t\t\t\t{\n\t\t\t\t\t begin: '\\\\[', end: '\\\\]',\n\t\t\t\t\t contains:[\n\t\t\t\t\t\t {\n\t\t\t\t\t className: 'meta',\n\t\t\t\t\t begin: '<![a-z]', end: '>',\n\t\t\t\t\t contains: [\n\t\t\t\t\t XML_META_KEYWORDS,\n\t\t\t\t\t XML_META_PAR_KEYWORDS,\n\t\t\t\t\t QUOTE_META_STRING_MODE,\n\t\t\t\t\t APOS_META_STRING_MODE\n\t\t\t\t\t\t ]\n\t\t\t }\n\t\t\t\t\t ]\n\t\t\t\t }\n\t\t\t\t]\n },\n hljs.COMMENT(\n '<!--',\n '-->',\n {\n relevance: 10\n }\n ),\n {\n begin: '<\\\\!\\\\[CDATA\\\\[', end: '\\\\]\\\\]>',\n relevance: 10\n },\n XML_ENTITIES,\n {\n className: 'meta',\n begin: /<\\?xml/, end: /\\?>/, relevance: 10\n },\n {\n begin: /<\\?(php)?/, end: /\\?>/,\n subLanguage: 'php',\n contains: [\n // We don't want the php closing tag ?> to close the PHP block when\n // inside any of the following blocks:\n {begin: '/\\\\*', end: '\\\\*/', skip: true},\n {begin: 'b\"', end: '\"', skip: true},\n {begin: 'b\\'', end: '\\'', skip: true},\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null, className: null, contains: null, skip: true}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null, className: null, contains: null, skip: true})\n ]\n },\n {\n className: 'tag',\n /*\n The lookahead pattern (?=...) ensures that 'begin' only matches\n '<style' as a single word, followed by a whitespace or an\n ending braket. The '$' is needed for the lexeme to be recognized\n by hljs.subMode() that tests lexemes outside the stream.\n */\n begin: '<style(?=\\\\s|>)', end: '>',\n keywords: {name: 'style'},\n contains: [TAG_INTERNALS],\n starts: {\n end: '</style>', returnEnd: true,\n subLanguage: ['css', 'xml']\n }\n },\n {\n className: 'tag',\n // See the comment in the <style tag about the lookahead pattern\n begin: '<script(?=\\\\s|>)', end: '>',\n keywords: {name: 'script'},\n contains: [TAG_INTERNALS],\n starts: {\n end: '\\<\\/script\\>', returnEnd: true,\n subLanguage: ['actionscript', 'javascript', 'handlebars', 'xml']\n }\n },\n {\n className: 'tag',\n begin: '</?', end: '/?>',\n contains: [\n {\n className: 'name', begin: /[^\\/><\\s]+/, relevance: 0\n },\n TAG_INTERNALS\n ]\n }\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/xquery.js b/js/highlight/xquery.js
index 1bd6c91c9..1ac5ef6ba 100644
--- a/js/highlight/xquery.js
+++ b/js/highlight/xquery.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[184],{550:function(e,n){e.exports=function(e){var n=[{className:"variable",begin:/[\$][\w-:]+/},{className:"built_in",variants:[{begin:/\barray\:/,end:/(?:append|filter|flatten|fold\-(?:left|right)|for-each(?:\-pair)?|get|head|insert\-before|join|put|remove|reverse|size|sort|subarray|tail)\b/},{begin:/\bmap\:/,end:/(?:contains|entry|find|for\-each|get|keys|merge|put|remove|size)\b/},{begin:/\bmath\:/,end:/(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\b/},{begin:/\bop\:/,end:/\(/,excludeEnd:!0},{begin:/\bfn\:/,end:/\(/,excludeEnd:!0},{begin:/[^<\/\$\:'"-]\b(?:abs|accumulator\-(?:after|before)|adjust\-(?:date(?:Time)?|time)\-to\-timezone|analyze\-string|apply|available\-(?:environment\-variables|system\-properties)|avg|base\-uri|boolean|ceiling|codepoints?\-(?:equal|to\-string)|collation\-key|collection|compare|concat|contains(?:\-token)?|copy\-of|count|current(?:\-)?(?:date(?:Time)?|time|group(?:ing\-key)?|output\-uri|merge\-(?:group|key))?data|dateTime|days?\-from\-(?:date(?:Time)?|duration)|deep\-equal|default\-(?:collation|language)|distinct\-values|document(?:\-uri)?|doc(?:\-available)?|element\-(?:available|with\-id)|empty|encode\-for\-uri|ends\-with|environment\-variable|error|escape\-html\-uri|exactly\-one|exists|false|filter|floor|fold\-(?:left|right)|for\-each(?:\-pair)?|format\-(?:date(?:Time)?|time|integer|number)|function\-(?:arity|available|lookup|name)|generate\-id|has\-children|head|hours\-from\-(?:dateTime|duration|time)|id(?:ref)?|implicit\-timezone|in\-scope\-prefixes|index\-of|innermost|insert\-before|iri\-to\-uri|json\-(?:doc|to\-xml)|key|lang|last|load\-xquery\-module|local\-name(?:\-from\-QName)?|(?:lower|upper)\-case|matches|max|minutes\-from\-(?:dateTime|duration|time)|min|months?\-from\-(?:date(?:Time)?|duration)|name(?:space\-uri\-?(?:for\-prefix|from\-QName)?)?|nilled|node\-name|normalize\-(?:space|unicode)|not|number|one\-or\-more|outermost|parse\-(?:ietf\-date|json)|path|position|(?:prefix\-from\-)?QName|random\-number\-generator|regex\-group|remove|replace|resolve\-(?:QName|uri)|reverse|root|round(?:\-half\-to\-even)?|seconds\-from\-(?:dateTime|duration|time)|snapshot|sort|starts\-with|static\-base\-uri|stream\-available|string\-?(?:join|length|to\-codepoints)?|subsequence|substring\-?(?:after|before)?|sum|system\-property|tail|timezone\-from\-(?:date(?:Time)?|time)|tokenize|trace|trans(?:form|late)|true|type\-available|unordered|unparsed\-(?:entity|text)?\-?(?:public\-id|uri|available|lines)?|uri\-collection|xml\-to\-json|years?\-from\-(?:date(?:Time)?|duration)|zero\-or\-one)\b/},{begin:/\blocal\:/,end:/\(/,excludeEnd:!0},{begin:/\bzip\:/,end:/(?:zip\-file|(?:xml|html|text|binary)\-entry| (?:update\-)?entries)\b/},{begin:/\b(?:util|db|functx|app|xdmp|xmldb)\:/,end:/\(/,excludeEnd:!0}]},{className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},{className:"comment",begin:"\\(:",end:":\\)",relevance:10,contains:[{className:"doctag",begin:"@\\w+"}]},{className:"meta",begin:/%[\w-:]+/},{className:"title",begin:/\bxquery version "[13]\.[01]"\s?(?:encoding ".+")?/,end:/;/},{beginKeywords:"element attribute comment document processing-instruction",end:"{",excludeEnd:!0},{begin:/<([\w\._:\-]+)((\s*.*)=('|").*('|"))?>/,end:/(\/[\w\._:\-]+>)/,subLanguage:"xml",contains:[{begin:"{",end:"}",subLanguage:"xquery"},"self"]}];return{aliases:["xpath","xq"],case_insensitive:!1,lexemes:/[a-zA-Z\$][a-zA-Z0-9_:\-]*/,illegal:/(proc)|(abstract)|(extends)|(until)|(#)/,keywords:{keyword:"module schema namespace boundary-space preserve no-preserve strip default collation base-uri ordering context decimal-format decimal-separator copy-namespaces empty-sequence except exponent-separator external grouping-separator inherit no-inherit lax minus-sign per-mille percent schema-attribute schema-element strict unordered zero-digit declare import option function validate variable for at in let where order group by return if then else tumbling sliding window start when only end previous next stable ascending descending allowing empty greatest least some every satisfies switch case typeswitch try catch and or to union intersect instance of treat as castable cast map array delete insert into replace value rename copy modify update",type:"item document-node node attribute document element comment namespace namespace-node processing-instruction text construction xs:anyAtomicType xs:untypedAtomic xs:duration xs:time xs:decimal xs:float xs:double xs:gYearMonth xs:gYear xs:gMonthDay xs:gMonth xs:gDay xs:boolean xs:base64Binary xs:hexBinary xs:anyURI xs:QName xs:NOTATION xs:dateTime xs:dateTimeStamp xs:date xs:string xs:normalizedString xs:token xs:language xs:NMTOKEN xs:Name xs:NCName xs:ID xs:IDREF xs:ENTITY xs:integer xs:nonPositiveInteger xs:negativeInteger xs:long xs:int xs:short xs:byte xs:nonNegativeInteger xs:unisignedLong xs:unsignedInt xs:unsignedShort xs:unsignedByte xs:positiveInteger xs:yearMonthDuration xs:dayTimeDuration",literal:"eq ne lt le gt ge is self:: child:: descendant:: descendant-or-self:: attribute:: following:: following-sibling:: parent:: ancestor:: ancestor-or-self:: preceding:: preceding-sibling:: NaN"},contains:n}}}}]);
-//# sourceMappingURL=xquery.js.map?v=08968ac1851deb2d5eaa \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[184],{551:function(e,n){e.exports=function(e){var n=[{className:"variable",begin:/[\$][\w-:]+/},{className:"built_in",variants:[{begin:/\barray\:/,end:/(?:append|filter|flatten|fold\-(?:left|right)|for-each(?:\-pair)?|get|head|insert\-before|join|put|remove|reverse|size|sort|subarray|tail)\b/},{begin:/\bmap\:/,end:/(?:contains|entry|find|for\-each|get|keys|merge|put|remove|size)\b/},{begin:/\bmath\:/,end:/(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\b/},{begin:/\bop\:/,end:/\(/,excludeEnd:!0},{begin:/\bfn\:/,end:/\(/,excludeEnd:!0},{begin:/[^<\/\$\:'"-]\b(?:abs|accumulator\-(?:after|before)|adjust\-(?:date(?:Time)?|time)\-to\-timezone|analyze\-string|apply|available\-(?:environment\-variables|system\-properties)|avg|base\-uri|boolean|ceiling|codepoints?\-(?:equal|to\-string)|collation\-key|collection|compare|concat|contains(?:\-token)?|copy\-of|count|current(?:\-)?(?:date(?:Time)?|time|group(?:ing\-key)?|output\-uri|merge\-(?:group|key))?data|dateTime|days?\-from\-(?:date(?:Time)?|duration)|deep\-equal|default\-(?:collation|language)|distinct\-values|document(?:\-uri)?|doc(?:\-available)?|element\-(?:available|with\-id)|empty|encode\-for\-uri|ends\-with|environment\-variable|error|escape\-html\-uri|exactly\-one|exists|false|filter|floor|fold\-(?:left|right)|for\-each(?:\-pair)?|format\-(?:date(?:Time)?|time|integer|number)|function\-(?:arity|available|lookup|name)|generate\-id|has\-children|head|hours\-from\-(?:dateTime|duration|time)|id(?:ref)?|implicit\-timezone|in\-scope\-prefixes|index\-of|innermost|insert\-before|iri\-to\-uri|json\-(?:doc|to\-xml)|key|lang|last|load\-xquery\-module|local\-name(?:\-from\-QName)?|(?:lower|upper)\-case|matches|max|minutes\-from\-(?:dateTime|duration|time)|min|months?\-from\-(?:date(?:Time)?|duration)|name(?:space\-uri\-?(?:for\-prefix|from\-QName)?)?|nilled|node\-name|normalize\-(?:space|unicode)|not|number|one\-or\-more|outermost|parse\-(?:ietf\-date|json)|path|position|(?:prefix\-from\-)?QName|random\-number\-generator|regex\-group|remove|replace|resolve\-(?:QName|uri)|reverse|root|round(?:\-half\-to\-even)?|seconds\-from\-(?:dateTime|duration|time)|snapshot|sort|starts\-with|static\-base\-uri|stream\-available|string\-?(?:join|length|to\-codepoints)?|subsequence|substring\-?(?:after|before)?|sum|system\-property|tail|timezone\-from\-(?:date(?:Time)?|time)|tokenize|trace|trans(?:form|late)|true|type\-available|unordered|unparsed\-(?:entity|text)?\-?(?:public\-id|uri|available|lines)?|uri\-collection|xml\-to\-json|years?\-from\-(?:date(?:Time)?|duration)|zero\-or\-one)\b/},{begin:/\blocal\:/,end:/\(/,excludeEnd:!0},{begin:/\bzip\:/,end:/(?:zip\-file|(?:xml|html|text|binary)\-entry| (?:update\-)?entries)\b/},{begin:/\b(?:util|db|functx|app|xdmp|xmldb)\:/,end:/\(/,excludeEnd:!0}]},{className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},{className:"comment",begin:"\\(:",end:":\\)",relevance:10,contains:[{className:"doctag",begin:"@\\w+"}]},{className:"meta",begin:/%[\w-:]+/},{className:"title",begin:/\bxquery version "[13]\.[01]"\s?(?:encoding ".+")?/,end:/;/},{beginKeywords:"element attribute comment document processing-instruction",end:"{",excludeEnd:!0},{begin:/<([\w\._:\-]+)((\s*.*)=('|").*('|"))?>/,end:/(\/[\w\._:\-]+>)/,subLanguage:"xml",contains:[{begin:"{",end:"}",subLanguage:"xquery"},"self"]}];return{aliases:["xpath","xq"],case_insensitive:!1,lexemes:/[a-zA-Z\$][a-zA-Z0-9_:\-]*/,illegal:/(proc)|(abstract)|(extends)|(until)|(#)/,keywords:{keyword:"module schema namespace boundary-space preserve no-preserve strip default collation base-uri ordering context decimal-format decimal-separator copy-namespaces empty-sequence except exponent-separator external grouping-separator inherit no-inherit lax minus-sign per-mille percent schema-attribute schema-element strict unordered zero-digit declare import option function validate variable for at in let where order group by return if then else tumbling sliding window start when only end previous next stable ascending descending allowing empty greatest least some every satisfies switch case typeswitch try catch and or to union intersect instance of treat as castable cast map array delete insert into replace value rename copy modify update",type:"item document-node node attribute document element comment namespace namespace-node processing-instruction text construction xs:anyAtomicType xs:untypedAtomic xs:duration xs:time xs:decimal xs:float xs:double xs:gYearMonth xs:gYear xs:gMonthDay xs:gMonth xs:gDay xs:boolean xs:base64Binary xs:hexBinary xs:anyURI xs:QName xs:NOTATION xs:dateTime xs:dateTimeStamp xs:date xs:string xs:normalizedString xs:token xs:language xs:NMTOKEN xs:Name xs:NCName xs:ID xs:IDREF xs:ENTITY xs:integer xs:nonPositiveInteger xs:negativeInteger xs:long xs:int xs:short xs:byte xs:nonNegativeInteger xs:unisignedLong xs:unsignedInt xs:unsignedShort xs:unsignedByte xs:positiveInteger xs:yearMonthDuration xs:dayTimeDuration",literal:"eq ne lt le gt ge is self:: child:: descendant:: descendant-or-self:: attribute:: following:: following-sibling:: parent:: ancestor:: ancestor-or-self:: preceding:: preceding-sibling:: NaN"},contains:n}}}}]);
+//# sourceMappingURL=xquery.js.map?v=b4400b1574e079783a8c \ No newline at end of file
diff --git a/js/highlight/xquery.js.map b/js/highlight/xquery.js.map
index ce2961d78..2057d5d51 100644
--- a/js/highlight/xquery.js.map
+++ b/js/highlight/xquery.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/xquery.js"],"names":["module","exports","hljs","CONTAINS","className","begin","variants","end","excludeEnd","contains","relevance","beginKeywords","subLanguage","aliases","case_insensitive","lexemes","illegal","keywords","keyword","type","literal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAoIIC,EAAW,CAtEL,CACRC,UAAW,WACXC,MAAO,eA9CM,CACbD,UAAW,WACXE,SAAU,CAAC,CACTD,MAAO,YACPE,IAAK,gJACJ,CACDF,MAAO,UACPE,IAAK,sEACJ,CACDF,MAAO,WACPE,IAAK,4EACJ,CACDF,MAAO,SACPE,IAAK,KACLC,YAAY,GACX,CACDH,MAAO,SACPE,IAAK,KACLC,YAAY,GAGd,CACEH,MAAO,09DACN,CACDA,MAAO,YACPE,IAAK,KACLC,YAAY,GACX,CACDH,MAAO,UACPE,IAAK,yEACJ,CACDF,MAAO,wCACPE,IAAK,KACLC,YAAY,KAsBH,CACXJ,UAAW,SACXE,SAAU,CAAC,CACPD,MAAO,IACPE,IAAK,IACLE,SAAU,CAAC,CACTJ,MAAO,KACPK,UAAW,KAGf,CACEL,MAAO,IACPE,IAAK,IACLE,SAAU,CAAC,CACTJ,MAAO,KACPK,UAAW,OArBN,CACXN,UAAW,SACXC,MAAO,4EACPK,UAAW,GA6BC,CACZN,UAAW,UACXC,MAAO,OACPE,IAAK,OACLG,UAAW,GACXD,SAAU,CAAC,CACTL,UAAW,SACXC,MAAO,WAZM,CACfD,UAAW,OACXC,MAAO,YAxCG,CACVD,UAAW,QACXC,MAAO,qDACPE,IAAK,KAsDQ,CACbI,cAAe,4DACfJ,IAAK,IACLC,YAAY,GAIC,CACXH,MAAO,yCACPE,IAAK,mBACLK,YAAa,MACbH,SAAU,CAAC,CACTJ,MAAO,IACPE,IAAK,IACLK,YAAa,UACZ,UA0BP,MAAO,CACLC,QAAS,CAAC,QAAS,MACnBC,kBAAkB,EAClBC,QAAS,6BACTC,QAAS,0CACTC,SAAU,CACRC,QAhKW,0uBAiKXC,KAvJO,osBAwJPC,QArJU,gMAuJZX,SAAUN","file":"highlight/xquery.js?v=08968ac1851deb2d5eaa","sourcesContent":["module.exports = function(hljs) {\n // see https://www.w3.org/TR/xquery/#id-terminal-delimitation\n var KEYWORDS = 'module schema namespace boundary-space preserve no-preserve strip default collation base-uri ordering context decimal-format decimal-separator copy-namespaces empty-sequence except exponent-separator external grouping-separator inherit no-inherit lax minus-sign per-mille percent schema-attribute schema-element strict unordered zero-digit ' +\n 'declare import option function validate variable ' +\n 'for at in let where order group by return if then else ' +\n 'tumbling sliding window start when only end previous next stable ' +\n 'ascending descending allowing empty greatest least some every satisfies switch case typeswitch try catch ' +\n 'and or to union intersect instance of treat as castable cast map array ' +\n 'delete insert into replace value rename copy modify update';\n\n // Node Types (sorted by inheritance)\n // atomic types (sorted by inheritance)\n var TYPE = 'item document-node node attribute document element comment namespace namespace-node processing-instruction text construction ' +\n 'xs:anyAtomicType xs:untypedAtomic xs:duration xs:time xs:decimal xs:float xs:double xs:gYearMonth xs:gYear xs:gMonthDay xs:gMonth xs:gDay xs:boolean xs:base64Binary xs:hexBinary xs:anyURI xs:QName xs:NOTATION xs:dateTime xs:dateTimeStamp xs:date xs:string xs:normalizedString xs:token xs:language xs:NMTOKEN xs:Name xs:NCName xs:ID xs:IDREF xs:ENTITY xs:integer xs:nonPositiveInteger xs:negativeInteger xs:long xs:int xs:short xs:byte xs:nonNegativeInteger xs:unisignedLong xs:unsignedInt xs:unsignedShort xs:unsignedByte xs:positiveInteger xs:yearMonthDuration xs:dayTimeDuration';\n\n var LITERAL = 'eq ne lt le gt ge is ' +\n 'self:: child:: descendant:: descendant-or-self:: attribute:: following:: following-sibling:: parent:: ancestor:: ancestor-or-self:: preceding:: preceding-sibling:: ' +\n 'NaN';\n\n // functions (TODO: find regex for op: without breaking build)\n var BUILT_IN = {\n className: 'built_in',\n variants: [{\n begin: /\\barray\\:/,\n end: /(?:append|filter|flatten|fold\\-(?:left|right)|for-each(?:\\-pair)?|get|head|insert\\-before|join|put|remove|reverse|size|sort|subarray|tail)\\b/\n }, {\n begin: /\\bmap\\:/,\n end: /(?:contains|entry|find|for\\-each|get|keys|merge|put|remove|size)\\b/\n }, {\n begin: /\\bmath\\:/,\n end: /(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\\b/\n }, {\n begin: /\\bop\\:/,\n end: /\\(/,\n excludeEnd: true\n }, {\n begin: /\\bfn\\:/,\n end: /\\(/,\n excludeEnd: true\n },\n// do not highlight inbuilt strings as variable or xml element names\n {\n begin: /[^<\\/\\$\\:'\"-]\\b(?:abs|accumulator\\-(?:after|before)|adjust\\-(?:date(?:Time)?|time)\\-to\\-timezone|analyze\\-string|apply|available\\-(?:environment\\-variables|system\\-properties)|avg|base\\-uri|boolean|ceiling|codepoints?\\-(?:equal|to\\-string)|collation\\-key|collection|compare|concat|contains(?:\\-token)?|copy\\-of|count|current(?:\\-)?(?:date(?:Time)?|time|group(?:ing\\-key)?|output\\-uri|merge\\-(?:group|key))?data|dateTime|days?\\-from\\-(?:date(?:Time)?|duration)|deep\\-equal|default\\-(?:collation|language)|distinct\\-values|document(?:\\-uri)?|doc(?:\\-available)?|element\\-(?:available|with\\-id)|empty|encode\\-for\\-uri|ends\\-with|environment\\-variable|error|escape\\-html\\-uri|exactly\\-one|exists|false|filter|floor|fold\\-(?:left|right)|for\\-each(?:\\-pair)?|format\\-(?:date(?:Time)?|time|integer|number)|function\\-(?:arity|available|lookup|name)|generate\\-id|has\\-children|head|hours\\-from\\-(?:dateTime|duration|time)|id(?:ref)?|implicit\\-timezone|in\\-scope\\-prefixes|index\\-of|innermost|insert\\-before|iri\\-to\\-uri|json\\-(?:doc|to\\-xml)|key|lang|last|load\\-xquery\\-module|local\\-name(?:\\-from\\-QName)?|(?:lower|upper)\\-case|matches|max|minutes\\-from\\-(?:dateTime|duration|time)|min|months?\\-from\\-(?:date(?:Time)?|duration)|name(?:space\\-uri\\-?(?:for\\-prefix|from\\-QName)?)?|nilled|node\\-name|normalize\\-(?:space|unicode)|not|number|one\\-or\\-more|outermost|parse\\-(?:ietf\\-date|json)|path|position|(?:prefix\\-from\\-)?QName|random\\-number\\-generator|regex\\-group|remove|replace|resolve\\-(?:QName|uri)|reverse|root|round(?:\\-half\\-to\\-even)?|seconds\\-from\\-(?:dateTime|duration|time)|snapshot|sort|starts\\-with|static\\-base\\-uri|stream\\-available|string\\-?(?:join|length|to\\-codepoints)?|subsequence|substring\\-?(?:after|before)?|sum|system\\-property|tail|timezone\\-from\\-(?:date(?:Time)?|time)|tokenize|trace|trans(?:form|late)|true|type\\-available|unordered|unparsed\\-(?:entity|text)?\\-?(?:public\\-id|uri|available|lines)?|uri\\-collection|xml\\-to\\-json|years?\\-from\\-(?:date(?:Time)?|duration)|zero\\-or\\-one)\\b/,\n }, {\n begin: /\\blocal\\:/,\n end: /\\(/,\n excludeEnd: true\n }, {\n begin: /\\bzip\\:/,\n end: /(?:zip\\-file|(?:xml|html|text|binary)\\-entry| (?:update\\-)?entries)\\b/\n }, {\n begin: /\\b(?:util|db|functx|app|xdmp|xmldb)\\:/,\n end: /\\(/,\n excludeEnd: true\n }\n ]\n };\n\n var TITLE = {\n className: 'title',\n begin: /\\bxquery version \"[13]\\.[01]\"\\s?(?:encoding \".+\")?/,\n end: /;/\n };\n\n var VAR = {\n className: 'variable',\n begin: /[\\$][\\w-:]+/\n };\n\n var NUMBER = {\n className: 'number',\n begin: '(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b',\n relevance: 0\n };\n\n var STRING = {\n className: 'string',\n variants: [{\n begin: /\"/,\n end: /\"/,\n contains: [{\n begin: /\"\"/,\n relevance: 0\n }]\n },\n {\n begin: /'/,\n end: /'/,\n contains: [{\n begin: /''/,\n relevance: 0\n }]\n }\n ]\n };\n\n var ANNOTATION = {\n className: 'meta',\n begin: /%[\\w-:]+/\n };\n\n var COMMENT = {\n className: 'comment',\n begin: '\\\\(:',\n end: ':\\\\)',\n relevance: 10,\n contains: [{\n className: 'doctag',\n begin: '@\\\\w+'\n }]\n };\n\n // see https://www.w3.org/TR/xquery/#id-computedConstructors\n // mocha: computed_inbuilt\n // see https://www.regexpal.com/?fam=99749\n var COMPUTED = {\n beginKeywords: 'element attribute comment document processing-instruction',\n end: '{',\n excludeEnd: true\n };\n\n // mocha: direct_method\n var DIRECT = {\n begin: /<([\\w\\._:\\-]+)((\\s*.*)=('|\").*('|\"))?>/,\n end: /(\\/[\\w\\._:\\-]+>)/,\n subLanguage: 'xml',\n contains: [{\n begin: '{',\n end: '}',\n subLanguage: 'xquery'\n }, 'self']\n };\n\n\n var CONTAINS = [\n VAR,\n BUILT_IN,\n STRING,\n NUMBER,\n COMMENT,\n ANNOTATION,\n TITLE,\n COMPUTED,\n DIRECT\n ];\n\n\n\n var METHOD = {\n begin: '{',\n end: '}',\n contains: CONTAINS\n };\n\n\n\n return {\n aliases: ['xpath', 'xq'],\n case_insensitive: false,\n lexemes: /[a-zA-Z\\$][a-zA-Z0-9_:\\-]*/,\n illegal: /(proc)|(abstract)|(extends)|(until)|(#)/,\n keywords: {\n keyword: KEYWORDS,\n type: TYPE,\n literal: LITERAL\n },\n contains: CONTAINS\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/xquery.js"],"names":["module","exports","hljs","CONTAINS","className","begin","variants","end","excludeEnd","contains","relevance","beginKeywords","subLanguage","aliases","case_insensitive","lexemes","illegal","keywords","keyword","type","literal"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GAExB,IAoIIC,EAAW,CAtEL,CACRC,UAAW,WACXC,MAAO,eA9CM,CACbD,UAAW,WACXE,SAAU,CAAC,CACTD,MAAO,YACPE,IAAK,gJACJ,CACDF,MAAO,UACPE,IAAK,sEACJ,CACDF,MAAO,WACPE,IAAK,4EACJ,CACDF,MAAO,SACPE,IAAK,KACLC,YAAY,GACX,CACDH,MAAO,SACPE,IAAK,KACLC,YAAY,GAGd,CACEH,MAAO,09DACN,CACDA,MAAO,YACPE,IAAK,KACLC,YAAY,GACX,CACDH,MAAO,UACPE,IAAK,yEACJ,CACDF,MAAO,wCACPE,IAAK,KACLC,YAAY,KAsBH,CACXJ,UAAW,SACXE,SAAU,CAAC,CACPD,MAAO,IACPE,IAAK,IACLE,SAAU,CAAC,CACTJ,MAAO,KACPK,UAAW,KAGf,CACEL,MAAO,IACPE,IAAK,IACLE,SAAU,CAAC,CACTJ,MAAO,KACPK,UAAW,OArBN,CACXN,UAAW,SACXC,MAAO,4EACPK,UAAW,GA6BC,CACZN,UAAW,UACXC,MAAO,OACPE,IAAK,OACLG,UAAW,GACXD,SAAU,CAAC,CACTL,UAAW,SACXC,MAAO,WAZM,CACfD,UAAW,OACXC,MAAO,YAxCG,CACVD,UAAW,QACXC,MAAO,qDACPE,IAAK,KAsDQ,CACbI,cAAe,4DACfJ,IAAK,IACLC,YAAY,GAIC,CACXH,MAAO,yCACPE,IAAK,mBACLK,YAAa,MACbH,SAAU,CAAC,CACTJ,MAAO,IACPE,IAAK,IACLK,YAAa,UACZ,UA0BP,MAAO,CACLC,QAAS,CAAC,QAAS,MACnBC,kBAAkB,EAClBC,QAAS,6BACTC,QAAS,0CACTC,SAAU,CACRC,QAhKW,0uBAiKXC,KAvJO,osBAwJPC,QArJU,gMAuJZX,SAAUN","file":"highlight/xquery.js?v=b4400b1574e079783a8c","sourcesContent":["module.exports = function(hljs) {\n // see https://www.w3.org/TR/xquery/#id-terminal-delimitation\n var KEYWORDS = 'module schema namespace boundary-space preserve no-preserve strip default collation base-uri ordering context decimal-format decimal-separator copy-namespaces empty-sequence except exponent-separator external grouping-separator inherit no-inherit lax minus-sign per-mille percent schema-attribute schema-element strict unordered zero-digit ' +\n 'declare import option function validate variable ' +\n 'for at in let where order group by return if then else ' +\n 'tumbling sliding window start when only end previous next stable ' +\n 'ascending descending allowing empty greatest least some every satisfies switch case typeswitch try catch ' +\n 'and or to union intersect instance of treat as castable cast map array ' +\n 'delete insert into replace value rename copy modify update';\n\n // Node Types (sorted by inheritance)\n // atomic types (sorted by inheritance)\n var TYPE = 'item document-node node attribute document element comment namespace namespace-node processing-instruction text construction ' +\n 'xs:anyAtomicType xs:untypedAtomic xs:duration xs:time xs:decimal xs:float xs:double xs:gYearMonth xs:gYear xs:gMonthDay xs:gMonth xs:gDay xs:boolean xs:base64Binary xs:hexBinary xs:anyURI xs:QName xs:NOTATION xs:dateTime xs:dateTimeStamp xs:date xs:string xs:normalizedString xs:token xs:language xs:NMTOKEN xs:Name xs:NCName xs:ID xs:IDREF xs:ENTITY xs:integer xs:nonPositiveInteger xs:negativeInteger xs:long xs:int xs:short xs:byte xs:nonNegativeInteger xs:unisignedLong xs:unsignedInt xs:unsignedShort xs:unsignedByte xs:positiveInteger xs:yearMonthDuration xs:dayTimeDuration';\n\n var LITERAL = 'eq ne lt le gt ge is ' +\n 'self:: child:: descendant:: descendant-or-self:: attribute:: following:: following-sibling:: parent:: ancestor:: ancestor-or-self:: preceding:: preceding-sibling:: ' +\n 'NaN';\n\n // functions (TODO: find regex for op: without breaking build)\n var BUILT_IN = {\n className: 'built_in',\n variants: [{\n begin: /\\barray\\:/,\n end: /(?:append|filter|flatten|fold\\-(?:left|right)|for-each(?:\\-pair)?|get|head|insert\\-before|join|put|remove|reverse|size|sort|subarray|tail)\\b/\n }, {\n begin: /\\bmap\\:/,\n end: /(?:contains|entry|find|for\\-each|get|keys|merge|put|remove|size)\\b/\n }, {\n begin: /\\bmath\\:/,\n end: /(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\\b/\n }, {\n begin: /\\bop\\:/,\n end: /\\(/,\n excludeEnd: true\n }, {\n begin: /\\bfn\\:/,\n end: /\\(/,\n excludeEnd: true\n },\n// do not highlight inbuilt strings as variable or xml element names\n {\n begin: /[^<\\/\\$\\:'\"-]\\b(?:abs|accumulator\\-(?:after|before)|adjust\\-(?:date(?:Time)?|time)\\-to\\-timezone|analyze\\-string|apply|available\\-(?:environment\\-variables|system\\-properties)|avg|base\\-uri|boolean|ceiling|codepoints?\\-(?:equal|to\\-string)|collation\\-key|collection|compare|concat|contains(?:\\-token)?|copy\\-of|count|current(?:\\-)?(?:date(?:Time)?|time|group(?:ing\\-key)?|output\\-uri|merge\\-(?:group|key))?data|dateTime|days?\\-from\\-(?:date(?:Time)?|duration)|deep\\-equal|default\\-(?:collation|language)|distinct\\-values|document(?:\\-uri)?|doc(?:\\-available)?|element\\-(?:available|with\\-id)|empty|encode\\-for\\-uri|ends\\-with|environment\\-variable|error|escape\\-html\\-uri|exactly\\-one|exists|false|filter|floor|fold\\-(?:left|right)|for\\-each(?:\\-pair)?|format\\-(?:date(?:Time)?|time|integer|number)|function\\-(?:arity|available|lookup|name)|generate\\-id|has\\-children|head|hours\\-from\\-(?:dateTime|duration|time)|id(?:ref)?|implicit\\-timezone|in\\-scope\\-prefixes|index\\-of|innermost|insert\\-before|iri\\-to\\-uri|json\\-(?:doc|to\\-xml)|key|lang|last|load\\-xquery\\-module|local\\-name(?:\\-from\\-QName)?|(?:lower|upper)\\-case|matches|max|minutes\\-from\\-(?:dateTime|duration|time)|min|months?\\-from\\-(?:date(?:Time)?|duration)|name(?:space\\-uri\\-?(?:for\\-prefix|from\\-QName)?)?|nilled|node\\-name|normalize\\-(?:space|unicode)|not|number|one\\-or\\-more|outermost|parse\\-(?:ietf\\-date|json)|path|position|(?:prefix\\-from\\-)?QName|random\\-number\\-generator|regex\\-group|remove|replace|resolve\\-(?:QName|uri)|reverse|root|round(?:\\-half\\-to\\-even)?|seconds\\-from\\-(?:dateTime|duration|time)|snapshot|sort|starts\\-with|static\\-base\\-uri|stream\\-available|string\\-?(?:join|length|to\\-codepoints)?|subsequence|substring\\-?(?:after|before)?|sum|system\\-property|tail|timezone\\-from\\-(?:date(?:Time)?|time)|tokenize|trace|trans(?:form|late)|true|type\\-available|unordered|unparsed\\-(?:entity|text)?\\-?(?:public\\-id|uri|available|lines)?|uri\\-collection|xml\\-to\\-json|years?\\-from\\-(?:date(?:Time)?|duration)|zero\\-or\\-one)\\b/,\n }, {\n begin: /\\blocal\\:/,\n end: /\\(/,\n excludeEnd: true\n }, {\n begin: /\\bzip\\:/,\n end: /(?:zip\\-file|(?:xml|html|text|binary)\\-entry| (?:update\\-)?entries)\\b/\n }, {\n begin: /\\b(?:util|db|functx|app|xdmp|xmldb)\\:/,\n end: /\\(/,\n excludeEnd: true\n }\n ]\n };\n\n var TITLE = {\n className: 'title',\n begin: /\\bxquery version \"[13]\\.[01]\"\\s?(?:encoding \".+\")?/,\n end: /;/\n };\n\n var VAR = {\n className: 'variable',\n begin: /[\\$][\\w-:]+/\n };\n\n var NUMBER = {\n className: 'number',\n begin: '(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b',\n relevance: 0\n };\n\n var STRING = {\n className: 'string',\n variants: [{\n begin: /\"/,\n end: /\"/,\n contains: [{\n begin: /\"\"/,\n relevance: 0\n }]\n },\n {\n begin: /'/,\n end: /'/,\n contains: [{\n begin: /''/,\n relevance: 0\n }]\n }\n ]\n };\n\n var ANNOTATION = {\n className: 'meta',\n begin: /%[\\w-:]+/\n };\n\n var COMMENT = {\n className: 'comment',\n begin: '\\\\(:',\n end: ':\\\\)',\n relevance: 10,\n contains: [{\n className: 'doctag',\n begin: '@\\\\w+'\n }]\n };\n\n // see https://www.w3.org/TR/xquery/#id-computedConstructors\n // mocha: computed_inbuilt\n // see https://www.regexpal.com/?fam=99749\n var COMPUTED = {\n beginKeywords: 'element attribute comment document processing-instruction',\n end: '{',\n excludeEnd: true\n };\n\n // mocha: direct_method\n var DIRECT = {\n begin: /<([\\w\\._:\\-]+)((\\s*.*)=('|\").*('|\"))?>/,\n end: /(\\/[\\w\\._:\\-]+>)/,\n subLanguage: 'xml',\n contains: [{\n begin: '{',\n end: '}',\n subLanguage: 'xquery'\n }, 'self']\n };\n\n\n var CONTAINS = [\n VAR,\n BUILT_IN,\n STRING,\n NUMBER,\n COMMENT,\n ANNOTATION,\n TITLE,\n COMPUTED,\n DIRECT\n ];\n\n\n\n var METHOD = {\n begin: '{',\n end: '}',\n contains: CONTAINS\n };\n\n\n\n return {\n aliases: ['xpath', 'xq'],\n case_insensitive: false,\n lexemes: /[a-zA-Z\\$][a-zA-Z0-9_:\\-]*/,\n illegal: /(proc)|(abstract)|(extends)|(until)|(#)/,\n keywords: {\n keyword: KEYWORDS,\n type: TYPE,\n literal: LITERAL\n },\n contains: CONTAINS\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/yaml.js b/js/highlight/yaml.js
index 0d63bf2f3..2cee00142 100644
--- a/js/highlight/yaml.js
+++ b/js/highlight/yaml.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[185],{551:function(e,n){e.exports=function(e){var n={className:"string",relevance:0,variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/\S+/}],contains:[e.BACKSLASH_ESCAPE,{className:"template-variable",variants:[{begin:"{{",end:"}}"},{begin:"%{",end:"}"}]}]};return{case_insensitive:!0,aliases:["yml","YAML","yaml"],contains:[{className:"attr",variants:[{begin:"\\w[\\w :\\/.-]*:(?=[ \t]|$)"},{begin:'"\\w[\\w :\\/.-]*":(?=[ \t]|$)'},{begin:"'\\w[\\w :\\/.-]*':(?=[ \t]|$)"}]},{className:"meta",begin:"^---s*$",relevance:10},{className:"string",begin:"[\\|>]([0-9]?[+-])?[ ]*\\n( *)[\\S ]+\\n(\\2[\\S ]+\\n?)*"},{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:"!"+e.UNDERSCORE_IDENT_RE},{className:"type",begin:"!!"+e.UNDERSCORE_IDENT_RE},{className:"meta",begin:"&"+e.UNDERSCORE_IDENT_RE+"$"},{className:"meta",begin:"\\*"+e.UNDERSCORE_IDENT_RE+"$"},{className:"bullet",begin:"\\-(?=[ ]|$)",relevance:0},e.HASH_COMMENT_MODE,{beginKeywords:"true false yes no null",keywords:{literal:"true false yes no null"}},{className:"number",begin:e.C_NUMBER_RE+"\\b"},n]}}}}]);
-//# sourceMappingURL=yaml.js.map?v=f59154c9d8046c81c18d \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[185],{552:function(e,n){e.exports=function(e){var n={className:"string",relevance:0,variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/\S+/}],contains:[e.BACKSLASH_ESCAPE,{className:"template-variable",variants:[{begin:"{{",end:"}}"},{begin:"%{",end:"}"}]}]};return{case_insensitive:!0,aliases:["yml","YAML","yaml"],contains:[{className:"attr",variants:[{begin:"\\w[\\w :\\/.-]*:(?=[ \t]|$)"},{begin:'"\\w[\\w :\\/.-]*":(?=[ \t]|$)'},{begin:"'\\w[\\w :\\/.-]*':(?=[ \t]|$)"}]},{className:"meta",begin:"^---s*$",relevance:10},{className:"string",begin:"[\\|>]([0-9]?[+-])?[ ]*\\n( *)[\\S ]+\\n(\\2[\\S ]+\\n?)*"},{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:"!"+e.UNDERSCORE_IDENT_RE},{className:"type",begin:"!!"+e.UNDERSCORE_IDENT_RE},{className:"meta",begin:"&"+e.UNDERSCORE_IDENT_RE+"$"},{className:"meta",begin:"\\*"+e.UNDERSCORE_IDENT_RE+"$"},{className:"bullet",begin:"\\-(?=[ ]|$)",relevance:0},e.HASH_COMMENT_MODE,{beginKeywords:"true false yes no null",keywords:{literal:"true false yes no null"}},{className:"number",begin:e.C_NUMBER_RE+"\\b"},n]}}}}]);
+//# sourceMappingURL=yaml.js.map?v=5bccbb3a33a0c2898445 \ No newline at end of file
diff --git a/js/highlight/yaml.js.map b/js/highlight/yaml.js.map
index 63b91c542..cedeed257 100644
--- a/js/highlight/yaml.js.map
+++ b/js/highlight/yaml.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/yaml.js"],"names":["module","exports","hljs","STRING","className","relevance","variants","begin","end","contains","BACKSLASH_ESCAPE","case_insensitive","aliases","subLanguage","excludeBegin","excludeEnd","UNDERSCORE_IDENT_RE","HASH_COMMENT_MODE","beginKeywords","keywords","literal","C_NUMBER_RE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAsBIC,EAAS,CACXC,UAAW,SACXC,UAAW,EACXC,SAAU,CACR,CAACC,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,QAEVE,SAAU,CACRP,EAAKQ,iBAhBgB,CACvBN,UAAW,oBACXE,SAAU,CACR,CAAEC,MAAO,KAAQC,IAAK,MACtB,CAAED,MAAO,KAAOC,IAAK,SAiBzB,MAAO,CACLG,kBAAkB,EAClBC,QAAS,CAAC,MAAO,OAAQ,QACzBH,SAAU,CAjCF,CACRL,UAAW,OACXE,SAAU,CACR,CAAEC,MAAO,gCACT,CAAEA,MAAO,kCACT,CAAEA,MAAO,oCA8BT,CACEH,UAAW,OACXG,MAAO,UACPF,UAAW,IAEb,CAKED,UAAW,SACXG,MAAO,6DAET,CACEA,MAAO,WAAYC,IAAK,UACxBK,YAAa,OACbC,cAAc,EACdC,YAAY,EACZV,UAAW,GAEb,CACED,UAAW,OACXG,MAAO,IAAML,EAAKc,qBAEpB,CACEZ,UAAW,OACXG,MAAO,KAAOL,EAAKc,qBAErB,CACEZ,UAAW,OACXG,MAAO,IAAML,EAAKc,oBAAsB,KAE1C,CACEZ,UAAW,OACXG,MAAO,MAAQL,EAAKc,oBAAsB,KAE5C,CACEZ,UAAW,SAEbG,MAAO,eACLF,UAAW,GAEbH,EAAKe,kBACL,CACEC,cArFS,yBAsFTC,SAAU,CAACC,QAtFF,2BA0FX,CACEhB,UAAW,SACXG,MAAOL,EAAKmB,YAAc,OAE5BlB","file":"highlight/yaml.js?v=f59154c9d8046c81c18d","sourcesContent":["module.exports = function(hljs) {\n var LITERALS = 'true false yes no null';\n\n // Define keys as starting with a word character\n // ...containing word chars, spaces, colons, forward-slashes, hyphens and periods\n // ...and ending with a colon followed immediately by a space, tab or newline.\n // The YAML spec allows for much more than this, but this covers most use-cases.\n var KEY = {\n className: 'attr',\n variants: [\n { begin: '\\\\w[\\\\w :\\\\/.-]*:(?=[ \\t]|$)' },\n { begin: '\"\\\\w[\\\\w :\\\\/.-]*\":(?=[ \\t]|$)' }, //double quoted keys\n { begin: '\\'\\\\w[\\\\w :\\\\/.-]*\\':(?=[ \\t]|$)' } //single quoted keys\n ]\n };\n\n var TEMPLATE_VARIABLES = {\n className: 'template-variable',\n variants: [\n { begin: '\\{\\{', end: '\\}\\}' }, // jinja templates Ansible\n { begin: '%\\{', end: '\\}' } // Ruby i18n\n ]\n };\n var STRING = {\n className: 'string',\n relevance: 0,\n variants: [\n {begin: /'/, end: /'/},\n {begin: /\"/, end: /\"/},\n {begin: /\\S+/}\n ],\n contains: [\n hljs.BACKSLASH_ESCAPE,\n TEMPLATE_VARIABLES\n ]\n };\n\n return {\n case_insensitive: true,\n aliases: ['yml', 'YAML', 'yaml'],\n contains: [\n KEY,\n {\n className: 'meta',\n begin: '^---\\s*$',\n relevance: 10\n },\n { // multi line string\n // Blocks start with a | or > followed by a newline\n //\n // Indentation of subsequent lines must be the same to\n // be considered part of the block\n className: 'string',\n begin: '[\\\\|>]([0-9]?[+-])?[ ]*\\\\n( *)[\\\\S ]+\\\\n(\\\\2[\\\\S ]+\\\\n?)*',\n },\n { // Ruby/Rails erb\n begin: '<%[%=-]?', end: '[%-]?%>',\n subLanguage: 'ruby',\n excludeBegin: true,\n excludeEnd: true,\n relevance: 0\n },\n { // local tags\n className: 'type',\n begin: '!' + hljs.UNDERSCORE_IDENT_RE,\n },\n { // data type\n className: 'type',\n begin: '!!' + hljs.UNDERSCORE_IDENT_RE,\n },\n { // fragment id &ref\n className: 'meta',\n begin: '&' + hljs.UNDERSCORE_IDENT_RE + '$',\n },\n { // fragment reference *ref\n className: 'meta',\n begin: '\\\\*' + hljs.UNDERSCORE_IDENT_RE + '$'\n },\n { // array listing\n className: 'bullet',\n // TODO: remove |$ hack when we have proper look-ahead support\n begin: '\\\\-(?=[ ]|$)',\n relevance: 0\n },\n hljs.HASH_COMMENT_MODE,\n {\n beginKeywords: LITERALS,\n keywords: {literal: LITERALS}\n },\n // numbers are any valid C-style number that\n // sit isolated from other words\n {\n className: 'number',\n begin: hljs.C_NUMBER_RE + '\\\\b'\n },\n STRING\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/yaml.js"],"names":["module","exports","hljs","STRING","className","relevance","variants","begin","end","contains","BACKSLASH_ESCAPE","case_insensitive","aliases","subLanguage","excludeBegin","excludeEnd","UNDERSCORE_IDENT_RE","HASH_COMMENT_MODE","beginKeywords","keywords","literal","C_NUMBER_RE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAsBIC,EAAS,CACXC,UAAW,SACXC,UAAW,EACXC,SAAU,CACR,CAACC,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,IAAKC,IAAK,KAClB,CAACD,MAAO,QAEVE,SAAU,CACRP,EAAKQ,iBAhBgB,CACvBN,UAAW,oBACXE,SAAU,CACR,CAAEC,MAAO,KAAQC,IAAK,MACtB,CAAED,MAAO,KAAOC,IAAK,SAiBzB,MAAO,CACLG,kBAAkB,EAClBC,QAAS,CAAC,MAAO,OAAQ,QACzBH,SAAU,CAjCF,CACRL,UAAW,OACXE,SAAU,CACR,CAAEC,MAAO,gCACT,CAAEA,MAAO,kCACT,CAAEA,MAAO,oCA8BT,CACEH,UAAW,OACXG,MAAO,UACPF,UAAW,IAEb,CAKED,UAAW,SACXG,MAAO,6DAET,CACEA,MAAO,WAAYC,IAAK,UACxBK,YAAa,OACbC,cAAc,EACdC,YAAY,EACZV,UAAW,GAEb,CACED,UAAW,OACXG,MAAO,IAAML,EAAKc,qBAEpB,CACEZ,UAAW,OACXG,MAAO,KAAOL,EAAKc,qBAErB,CACEZ,UAAW,OACXG,MAAO,IAAML,EAAKc,oBAAsB,KAE1C,CACEZ,UAAW,OACXG,MAAO,MAAQL,EAAKc,oBAAsB,KAE5C,CACEZ,UAAW,SAEbG,MAAO,eACLF,UAAW,GAEbH,EAAKe,kBACL,CACEC,cArFS,yBAsFTC,SAAU,CAACC,QAtFF,2BA0FX,CACEhB,UAAW,SACXG,MAAOL,EAAKmB,YAAc,OAE5BlB","file":"highlight/yaml.js?v=5bccbb3a33a0c2898445","sourcesContent":["module.exports = function(hljs) {\n var LITERALS = 'true false yes no null';\n\n // Define keys as starting with a word character\n // ...containing word chars, spaces, colons, forward-slashes, hyphens and periods\n // ...and ending with a colon followed immediately by a space, tab or newline.\n // The YAML spec allows for much more than this, but this covers most use-cases.\n var KEY = {\n className: 'attr',\n variants: [\n { begin: '\\\\w[\\\\w :\\\\/.-]*:(?=[ \\t]|$)' },\n { begin: '\"\\\\w[\\\\w :\\\\/.-]*\":(?=[ \\t]|$)' }, //double quoted keys\n { begin: '\\'\\\\w[\\\\w :\\\\/.-]*\\':(?=[ \\t]|$)' } //single quoted keys\n ]\n };\n\n var TEMPLATE_VARIABLES = {\n className: 'template-variable',\n variants: [\n { begin: '\\{\\{', end: '\\}\\}' }, // jinja templates Ansible\n { begin: '%\\{', end: '\\}' } // Ruby i18n\n ]\n };\n var STRING = {\n className: 'string',\n relevance: 0,\n variants: [\n {begin: /'/, end: /'/},\n {begin: /\"/, end: /\"/},\n {begin: /\\S+/}\n ],\n contains: [\n hljs.BACKSLASH_ESCAPE,\n TEMPLATE_VARIABLES\n ]\n };\n\n return {\n case_insensitive: true,\n aliases: ['yml', 'YAML', 'yaml'],\n contains: [\n KEY,\n {\n className: 'meta',\n begin: '^---\\s*$',\n relevance: 10\n },\n { // multi line string\n // Blocks start with a | or > followed by a newline\n //\n // Indentation of subsequent lines must be the same to\n // be considered part of the block\n className: 'string',\n begin: '[\\\\|>]([0-9]?[+-])?[ ]*\\\\n( *)[\\\\S ]+\\\\n(\\\\2[\\\\S ]+\\\\n?)*',\n },\n { // Ruby/Rails erb\n begin: '<%[%=-]?', end: '[%-]?%>',\n subLanguage: 'ruby',\n excludeBegin: true,\n excludeEnd: true,\n relevance: 0\n },\n { // local tags\n className: 'type',\n begin: '!' + hljs.UNDERSCORE_IDENT_RE,\n },\n { // data type\n className: 'type',\n begin: '!!' + hljs.UNDERSCORE_IDENT_RE,\n },\n { // fragment id &ref\n className: 'meta',\n begin: '&' + hljs.UNDERSCORE_IDENT_RE + '$',\n },\n { // fragment reference *ref\n className: 'meta',\n begin: '\\\\*' + hljs.UNDERSCORE_IDENT_RE + '$'\n },\n { // array listing\n className: 'bullet',\n // TODO: remove |$ hack when we have proper look-ahead support\n begin: '\\\\-(?=[ ]|$)',\n relevance: 0\n },\n hljs.HASH_COMMENT_MODE,\n {\n beginKeywords: LITERALS,\n keywords: {literal: LITERALS}\n },\n // numbers are any valid C-style number that\n // sit isolated from other words\n {\n className: 'number',\n begin: hljs.C_NUMBER_RE + '\\\\b'\n },\n STRING\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/highlight/zephir.js b/js/highlight/zephir.js
index f6ee7fdae..a5f694d1f 100644
--- a/js/highlight/zephir.js
+++ b/js/highlight/zephir.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[186],{552:function(e,n){e.exports=function(e){var n={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:'b"',end:'"'},{begin:"b'",end:"'"},e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},i={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]};return{aliases:["zep"],case_insensitive:!0,keywords:"and include_once list abstract global private echo interface as static endswitch array null if endwhile or const for endforeach self var let while isset public protected exit foreach throw elseif include __FILE__ empty require_once do xor return parent clone use __CLASS__ __LINE__ else break print eval new catch __METHOD__ case exception default die require __FUNCTION__ enddeclare final try switch continue endfor endif declare unset true false trait goto instanceof insteadof __DIR__ __NAMESPACE__ yield finally int uint long ulong char uchar double float bool boolean stringlikely unlikely",contains:[e.C_LINE_COMMENT_MODE,e.HASH_COMMENT_MODE,e.COMMENT("/\\*","\\*/",{contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),e.COMMENT("__halt_compiler.+?;",!1,{endsWithParent:!0,keywords:"__halt_compiler",lexemes:e.UNDERSCORE_IDENT_RE}),{className:"string",begin:"<<<['\"]?\\w+['\"]?$",end:"^\\w+;",contains:[e.BACKSLASH_ESCAPE]},{begin:/(::|->)+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/},{className:"function",beginKeywords:"function",end:/[;{]/,excludeEnd:!0,illegal:"\\$|\\[|%",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:["self",e.C_BLOCK_COMMENT_MODE,n,i]}]},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,illegal:/[:\(\$"]/,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"namespace",end:";",illegal:/[\.']/,contains:[e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"use",end:";",contains:[e.UNDERSCORE_TITLE_MODE]},{begin:"=>"},n,i]}}}}]);
-//# sourceMappingURL=zephir.js.map?v=5a89a2d882980e9f48e1 \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[186],{553:function(e,n){e.exports=function(e){var n={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:'b"',end:'"'},{begin:"b'",end:"'"},e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},i={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]};return{aliases:["zep"],case_insensitive:!0,keywords:"and include_once list abstract global private echo interface as static endswitch array null if endwhile or const for endforeach self var let while isset public protected exit foreach throw elseif include __FILE__ empty require_once do xor return parent clone use __CLASS__ __LINE__ else break print eval new catch __METHOD__ case exception default die require __FUNCTION__ enddeclare final try switch continue endfor endif declare unset true false trait goto instanceof insteadof __DIR__ __NAMESPACE__ yield finally int uint long ulong char uchar double float bool boolean stringlikely unlikely",contains:[e.C_LINE_COMMENT_MODE,e.HASH_COMMENT_MODE,e.COMMENT("/\\*","\\*/",{contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),e.COMMENT("__halt_compiler.+?;",!1,{endsWithParent:!0,keywords:"__halt_compiler",lexemes:e.UNDERSCORE_IDENT_RE}),{className:"string",begin:"<<<['\"]?\\w+['\"]?$",end:"^\\w+;",contains:[e.BACKSLASH_ESCAPE]},{begin:/(::|->)+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/},{className:"function",beginKeywords:"function",end:/[;{]/,excludeEnd:!0,illegal:"\\$|\\[|%",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:["self",e.C_BLOCK_COMMENT_MODE,n,i]}]},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,illegal:/[:\(\$"]/,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"namespace",end:";",illegal:/[\.']/,contains:[e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"use",end:";",contains:[e.UNDERSCORE_TITLE_MODE]},{begin:"=>"},n,i]}}}}]);
+//# sourceMappingURL=zephir.js.map?v=b7ed8a9b4a93f789e4bd \ No newline at end of file
diff --git a/js/highlight/zephir.js.map b/js/highlight/zephir.js.map
index 7a13ce0d9..ce9b77e0d 100644
--- a/js/highlight/zephir.js.map
+++ b/js/highlight/zephir.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/zephir.js"],"names":["module","exports","hljs","STRING","className","contains","BACKSLASH_ESCAPE","variants","begin","end","inherit","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","NUMBER","BINARY_NUMBER_MODE","C_NUMBER_MODE","aliases","case_insensitive","keywords","C_LINE_COMMENT_MODE","HASH_COMMENT_MODE","COMMENT","endsWithParent","lexemes","UNDERSCORE_IDENT_RE","beginKeywords","excludeEnd","UNDERSCORE_TITLE_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAS,CACXC,UAAW,SACXC,SAAU,CAACH,EAAKI,kBAChBC,SAAU,CACR,CACEC,MAAO,KAAMC,IAAK,KAEpB,CACED,MAAO,KAAOC,IAAK,KAErBP,EAAKQ,QAAQR,EAAKS,iBAAkB,CAACC,QAAS,OAC9CV,EAAKQ,QAAQR,EAAKW,kBAAmB,CAACD,QAAS,SAG/CE,EAAS,CAACP,SAAU,CAACL,EAAKa,mBAAoBb,EAAKc,gBACvD,MAAO,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClBC,SACE,qlBASFd,SAAU,CACRH,EAAKkB,oBACLlB,EAAKmB,kBACLnB,EAAKoB,QACH,OACA,OACA,CACEjB,SAAU,CACR,CACED,UAAW,SACXI,MAAO,iBAKfN,EAAKoB,QACH,uBACA,EACA,CACEC,gBAAgB,EAChBJ,SAAU,kBACVK,QAAStB,EAAKuB,sBAGlB,CACErB,UAAW,SACXI,MAAO,uBAAwBC,IAAK,SACpCJ,SAAU,CAACH,EAAKI,mBAElB,CAEEE,MAAO,oDAET,CACEJ,UAAW,WACXsB,cAAe,WAAYjB,IAAK,OAAQkB,YAAY,EACpDf,QAAS,YACTP,SAAU,CACRH,EAAK0B,sBACL,CACExB,UAAW,SACXI,MAAO,MAAOC,IAAK,MACnBJ,SAAU,CACR,OACAH,EAAK2B,qBACL1B,EACAW,MAKR,CACEV,UAAW,QACXsB,cAAe,kBAAmBjB,IAAK,IAAKkB,YAAY,EACxDf,QAAS,WACTP,SAAU,CACR,CAACqB,cAAe,sBAChBxB,EAAK0B,wBAGT,CACEF,cAAe,YAAajB,IAAK,IACjCG,QAAS,QACTP,SAAU,CAACH,EAAK0B,wBAElB,CACEF,cAAe,MAAOjB,IAAK,IAC3BJ,SAAU,CAACH,EAAK0B,wBAElB,CACEpB,MAAO,MAETL,EACAW","file":"highlight/zephir.js?v=5a89a2d882980e9f48e1","sourcesContent":["module.exports = function(hljs) {\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {\n begin: 'b\"', end: '\"'\n },\n {\n begin: 'b\\'', end: '\\''\n },\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null})\n ]\n };\n var NUMBER = {variants: [hljs.BINARY_NUMBER_MODE, hljs.C_NUMBER_MODE]};\n return {\n aliases: ['zep'],\n case_insensitive: true,\n keywords:\n 'and include_once list abstract global private echo interface as static endswitch ' +\n 'array null if endwhile or const for endforeach self var let while isset public ' +\n 'protected exit foreach throw elseif include __FILE__ empty require_once do xor ' +\n 'return parent clone use __CLASS__ __LINE__ else break print eval new ' +\n 'catch __METHOD__ case exception default die require __FUNCTION__ ' +\n 'enddeclare final try switch continue endfor endif declare unset true false ' +\n 'trait goto instanceof insteadof __DIR__ __NAMESPACE__ ' +\n 'yield finally int uint long ulong char uchar double float bool boolean string' +\n 'likely unlikely',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.HASH_COMMENT_MODE,\n hljs.COMMENT(\n '/\\\\*',\n '\\\\*/',\n {\n contains: [\n {\n className: 'doctag',\n begin: '@[A-Za-z]+'\n }\n ]\n }\n ),\n hljs.COMMENT(\n '__halt_compiler.+?;',\n false,\n {\n endsWithParent: true,\n keywords: '__halt_compiler',\n lexemes: hljs.UNDERSCORE_IDENT_RE\n }\n ),\n {\n className: 'string',\n begin: '<<<[\\'\"]?\\\\w+[\\'\"]?$', end: '^\\\\w+;',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n // swallow composed identifiers to avoid parsing them as keywords\n begin: /(::|->)+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*/\n },\n {\n className: 'function',\n beginKeywords: 'function', end: /[;{]/, excludeEnd: true,\n illegal: '\\\\$|\\\\[|%',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n contains: [\n 'self',\n hljs.C_BLOCK_COMMENT_MODE,\n STRING,\n NUMBER\n ]\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class interface', end: '{', excludeEnd: true,\n illegal: /[:\\(\\$\"]/,\n contains: [\n {beginKeywords: 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n beginKeywords: 'namespace', end: ';',\n illegal: /[\\.']/,\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n beginKeywords: 'use', end: ';',\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n begin: '=>' // No markup, just a relevance booster\n },\n STRING,\n NUMBER\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/highlight.js/lib/languages/zephir.js"],"names":["module","exports","hljs","STRING","className","contains","BACKSLASH_ESCAPE","variants","begin","end","inherit","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","NUMBER","BINARY_NUMBER_MODE","C_NUMBER_MODE","aliases","case_insensitive","keywords","C_LINE_COMMENT_MODE","HASH_COMMENT_MODE","COMMENT","endsWithParent","lexemes","UNDERSCORE_IDENT_RE","beginKeywords","excludeEnd","UNDERSCORE_TITLE_MODE","C_BLOCK_COMMENT_MODE"],"mappings":"qFAAAA,EAAOC,QAAU,SAASC,GACxB,IAAIC,EAAS,CACXC,UAAW,SACXC,SAAU,CAACH,EAAKI,kBAChBC,SAAU,CACR,CACEC,MAAO,KAAMC,IAAK,KAEpB,CACED,MAAO,KAAOC,IAAK,KAErBP,EAAKQ,QAAQR,EAAKS,iBAAkB,CAACC,QAAS,OAC9CV,EAAKQ,QAAQR,EAAKW,kBAAmB,CAACD,QAAS,SAG/CE,EAAS,CAACP,SAAU,CAACL,EAAKa,mBAAoBb,EAAKc,gBACvD,MAAO,CACLC,QAAS,CAAC,OACVC,kBAAkB,EAClBC,SACE,qlBASFd,SAAU,CACRH,EAAKkB,oBACLlB,EAAKmB,kBACLnB,EAAKoB,QACH,OACA,OACA,CACEjB,SAAU,CACR,CACED,UAAW,SACXI,MAAO,iBAKfN,EAAKoB,QACH,uBACA,EACA,CACEC,gBAAgB,EAChBJ,SAAU,kBACVK,QAAStB,EAAKuB,sBAGlB,CACErB,UAAW,SACXI,MAAO,uBAAwBC,IAAK,SACpCJ,SAAU,CAACH,EAAKI,mBAElB,CAEEE,MAAO,oDAET,CACEJ,UAAW,WACXsB,cAAe,WAAYjB,IAAK,OAAQkB,YAAY,EACpDf,QAAS,YACTP,SAAU,CACRH,EAAK0B,sBACL,CACExB,UAAW,SACXI,MAAO,MAAOC,IAAK,MACnBJ,SAAU,CACR,OACAH,EAAK2B,qBACL1B,EACAW,MAKR,CACEV,UAAW,QACXsB,cAAe,kBAAmBjB,IAAK,IAAKkB,YAAY,EACxDf,QAAS,WACTP,SAAU,CACR,CAACqB,cAAe,sBAChBxB,EAAK0B,wBAGT,CACEF,cAAe,YAAajB,IAAK,IACjCG,QAAS,QACTP,SAAU,CAACH,EAAK0B,wBAElB,CACEF,cAAe,MAAOjB,IAAK,IAC3BJ,SAAU,CAACH,EAAK0B,wBAElB,CACEpB,MAAO,MAETL,EACAW","file":"highlight/zephir.js?v=b7ed8a9b4a93f789e4bd","sourcesContent":["module.exports = function(hljs) {\n var STRING = {\n className: 'string',\n contains: [hljs.BACKSLASH_ESCAPE],\n variants: [\n {\n begin: 'b\"', end: '\"'\n },\n {\n begin: 'b\\'', end: '\\''\n },\n hljs.inherit(hljs.APOS_STRING_MODE, {illegal: null}),\n hljs.inherit(hljs.QUOTE_STRING_MODE, {illegal: null})\n ]\n };\n var NUMBER = {variants: [hljs.BINARY_NUMBER_MODE, hljs.C_NUMBER_MODE]};\n return {\n aliases: ['zep'],\n case_insensitive: true,\n keywords:\n 'and include_once list abstract global private echo interface as static endswitch ' +\n 'array null if endwhile or const for endforeach self var let while isset public ' +\n 'protected exit foreach throw elseif include __FILE__ empty require_once do xor ' +\n 'return parent clone use __CLASS__ __LINE__ else break print eval new ' +\n 'catch __METHOD__ case exception default die require __FUNCTION__ ' +\n 'enddeclare final try switch continue endfor endif declare unset true false ' +\n 'trait goto instanceof insteadof __DIR__ __NAMESPACE__ ' +\n 'yield finally int uint long ulong char uchar double float bool boolean string' +\n 'likely unlikely',\n contains: [\n hljs.C_LINE_COMMENT_MODE,\n hljs.HASH_COMMENT_MODE,\n hljs.COMMENT(\n '/\\\\*',\n '\\\\*/',\n {\n contains: [\n {\n className: 'doctag',\n begin: '@[A-Za-z]+'\n }\n ]\n }\n ),\n hljs.COMMENT(\n '__halt_compiler.+?;',\n false,\n {\n endsWithParent: true,\n keywords: '__halt_compiler',\n lexemes: hljs.UNDERSCORE_IDENT_RE\n }\n ),\n {\n className: 'string',\n begin: '<<<[\\'\"]?\\\\w+[\\'\"]?$', end: '^\\\\w+;',\n contains: [hljs.BACKSLASH_ESCAPE]\n },\n {\n // swallow composed identifiers to avoid parsing them as keywords\n begin: /(::|->)+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*/\n },\n {\n className: 'function',\n beginKeywords: 'function', end: /[;{]/, excludeEnd: true,\n illegal: '\\\\$|\\\\[|%',\n contains: [\n hljs.UNDERSCORE_TITLE_MODE,\n {\n className: 'params',\n begin: '\\\\(', end: '\\\\)',\n contains: [\n 'self',\n hljs.C_BLOCK_COMMENT_MODE,\n STRING,\n NUMBER\n ]\n }\n ]\n },\n {\n className: 'class',\n beginKeywords: 'class interface', end: '{', excludeEnd: true,\n illegal: /[:\\(\\$\"]/,\n contains: [\n {beginKeywords: 'extends implements'},\n hljs.UNDERSCORE_TITLE_MODE\n ]\n },\n {\n beginKeywords: 'namespace', end: ';',\n illegal: /[\\.']/,\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n beginKeywords: 'use', end: ';',\n contains: [hljs.UNDERSCORE_TITLE_MODE]\n },\n {\n begin: '=>' // No markup, just a relevance booster\n },\n STRING,\n NUMBER\n ]\n };\n};"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/public.js b/js/public.js
index f5faab5ce..0435d9902 100644
--- a/js/public.js
+++ b/js/public.js
@@ -1,4 +1,4 @@
-!function(e){function t(t){for(var n,i,o=t[0],a=t[1],c=0,u=[];c<o.length;c++)i=o[c],Object.prototype.hasOwnProperty.call(r,i)&&r[i]&&u.push(r[i][0]),r[i]=0;for(n in a)Object.prototype.hasOwnProperty.call(a,n)&&(e[n]=a[n]);for(s&&s(t);u.length;)u.shift()()}var n={},r={195:0};function i(t){if(n[t])return n[t].exports;var r=n[t]={i:t,l:!1,exports:{}};return e[t].call(r.exports,r,r.exports,i),r.l=!0,r.exports}i.e=function(e){var t=[],n=r[e];if(0!==n)if(n)t.push(n[2]);else{var o=new Promise((function(t,i){n=r[e]=[t,i]}));t.push(n[2]=o);var a,c=document.createElement("script");c.charset="utf-8",c.timeout=120,i.nc&&c.setAttribute("nonce",i.nc),c.src=function(e){return i.p+""+({0:"vendor",1:"vendors~editor-collab~editor-guest~editor-rich~files-modal",2:"highlight/1c",3:"highlight/abnf",4:"highlight/accesslog",5:"highlight/actionscript",6:"highlight/ada",7:"highlight/angelscript",8:"highlight/apache",9:"highlight/applescript",10:"highlight/arcade",11:"highlight/arduino",12:"highlight/armasm",13:"highlight/asciidoc",14:"highlight/aspectj",15:"highlight/autohotkey",16:"highlight/autoit",17:"highlight/avrasm",18:"highlight/awk",19:"highlight/axapta",20:"highlight/bash",21:"highlight/basic",22:"highlight/bnf",23:"highlight/brainfuck",24:"highlight/cal",25:"highlight/capnproto",26:"highlight/ceylon",27:"highlight/clean",28:"highlight/clojure",29:"highlight/clojure-repl",30:"highlight/cmake",31:"highlight/coffeescript",32:"highlight/coq",33:"highlight/cos",34:"highlight/cpp",35:"highlight/crmsh",36:"highlight/crystal",37:"highlight/cs",38:"highlight/csp",39:"highlight/css",40:"highlight/d",41:"highlight/dart",42:"highlight/delphi",43:"highlight/diff",44:"highlight/django",45:"highlight/dns",46:"highlight/dockerfile",47:"highlight/dos",48:"highlight/dsconfig",49:"highlight/dts",50:"highlight/dust",51:"highlight/ebnf",52:"highlight/elixir",53:"highlight/elm",54:"highlight/erb",55:"highlight/erlang",56:"highlight/erlang-repl",57:"highlight/excel",58:"highlight/fix",59:"highlight/flix",60:"highlight/fortran",61:"highlight/fsharp",62:"highlight/gams",63:"highlight/gauss",64:"highlight/gcode",65:"highlight/gherkin",66:"highlight/glsl",67:"highlight/gml",68:"highlight/go",69:"highlight/golo",70:"highlight/gradle",71:"highlight/groovy",72:"highlight/haml",73:"highlight/handlebars",74:"highlight/haskell",75:"highlight/haxe",76:"highlight/hsp",77:"highlight/htmlbars",78:"highlight/http",79:"highlight/hy",80:"highlight/inform7",81:"highlight/ini",82:"highlight/irpf90",83:"highlight/isbl",84:"highlight/java",85:"highlight/javascript",86:"highlight/jboss-cli",87:"highlight/json",88:"highlight/julia",89:"highlight/julia-repl",90:"highlight/kotlin",91:"highlight/lasso",92:"highlight/ldif",93:"highlight/leaf",94:"highlight/less",95:"highlight/lisp",96:"highlight/livecodeserver",97:"highlight/livescript",98:"highlight/llvm",99:"highlight/lsl",100:"highlight/lua",101:"highlight/makefile",102:"highlight/markdown",103:"highlight/mathematica",104:"highlight/matlab",105:"highlight/maxima",106:"highlight/mel",107:"highlight/mercury",108:"highlight/mipsasm",109:"highlight/mizar",110:"highlight/mojolicious",111:"highlight/monkey",112:"highlight/moonscript",113:"highlight/n1ql",114:"highlight/nginx",115:"highlight/nimrod",116:"highlight/nix",117:"highlight/nsis",118:"highlight/objectivec",119:"highlight/ocaml",120:"highlight/openscad",121:"highlight/oxygene",122:"highlight/parser3",123:"highlight/perl",124:"highlight/pf",125:"highlight/pgsql",126:"highlight/php",127:"highlight/plaintext",128:"highlight/pony",129:"highlight/powershell",130:"highlight/processing",131:"highlight/profile",132:"highlight/prolog",133:"highlight/properties",134:"highlight/protobuf",135:"highlight/puppet",136:"highlight/purebasic",137:"highlight/python",138:"highlight/q",139:"highlight/qml",140:"highlight/r",141:"highlight/reasonml",142:"highlight/rib",143:"highlight/roboconf",144:"highlight/routeros",145:"highlight/rsl",146:"highlight/ruby",147:"highlight/ruleslanguage",148:"highlight/rust",149:"highlight/sas",150:"highlight/scala",151:"highlight/scheme",152:"highlight/scilab",153:"highlight/scss",154:"highlight/shell",155:"highlight/smali",156:"highlight/smalltalk",157:"highlight/sml",158:"highlight/sqf",159:"highlight/sql",160:"highlight/stan",161:"highlight/stata",162:"highlight/step21",163:"highlight/stylus",164:"highlight/subunit",165:"highlight/swift",166:"highlight/taggerscript",167:"highlight/tap",168:"highlight/tcl",169:"highlight/tex",170:"highlight/thrift",171:"highlight/tp",172:"highlight/twig",173:"highlight/typescript",174:"highlight/vala",175:"highlight/vbnet",176:"highlight/vbscript",177:"highlight/vbscript-html",178:"highlight/verilog",179:"highlight/vhdl",180:"highlight/vim",181:"highlight/x86asm",182:"highlight/xl",183:"highlight/xml",184:"highlight/xquery",185:"highlight/yaml",186:"highlight/zephir",187:"vendors~editor-collab~editor-guest",188:"vendors~editor~files-modal",189:"editor",190:"editor-collab",191:"editor-guest",192:"editor-rich",194:"files-modal",197:"vendors~editor",198:"vendors~editor-rich",199:"vendors~files-modal"}[e]||e)+".js?v="+{0:"ed141b91c3a00e287c4c",1:"b526403ab64d5164f29b",2:"9cc569982de7443f974e",3:"8934502764debdbf47fe",4:"a95440520bd82b11c0f3",5:"f8b1f84d39c3d1f1e3bd",6:"e656029399ef6f83160c",7:"104479551edd172ad73e",8:"fbb59dc9fb3be5109bcc",9:"5e2e0f261e76c85d3a9d",10:"f349d212d16773240eeb",11:"c7f7bc33077eaff25b13",12:"b9f39d176581d2a05635",13:"827bcc9381bce9f2a12b",14:"3a2c10b412d047a60bd8",15:"feb1d1e2c65a332af9bf",16:"0cba5e452dfcc760d998",17:"670f9f95aaff5410673d",18:"8908b67ae2d46f588034",19:"e0f104cf2339dde93596",20:"61cc9cd76682ca7d6c9c",21:"5edbbab081eede46fe8d",22:"c308a241ae1a184f9629",23:"69a1d83d373c8e516a75",24:"b7ddfebfd6277dccb1ab",25:"74038533676091b4e205",26:"04943cf8159d3a6b7e7c",27:"d10832fa81004232164f",28:"c3e78ed772be50fe99d6",29:"cb9bd3633080a139fafc",30:"bfc695841df82d72642c",31:"68737ba6bd067e9713bd",32:"8edf814709e41a621c00",33:"e6c665aab6af75c3d662",34:"146c01012c96f04cb22e",35:"8d010e787091697e7890",36:"6ff571801a33c4c3d23f",37:"c96931208c9707ba550d",38:"06cc48e69fd68d1ce5af",39:"30d1fed026d1d9dd19d9",40:"804b4b3ff6753c8c1c43",41:"e66fee2b0448bc1f6a9c",42:"5da15a69249959cbdb60",43:"ab32283b9049df8d470b",44:"b053b56cf0aae271b5ee",45:"625803695a16972bdd7d",46:"3bc9854c21810d4e263a",47:"c12e545c5010d19f0a58",48:"8efbb91ad56c3968ed1f",49:"92d947b623617aa3eece",50:"7cea281ba25ba8179ba6",51:"efbdc8a740fc5f59526a",52:"0a0dc45473581e3c61a4",53:"7f111a57a9520e4338e6",54:"6ccc1724f23c9880b1af",55:"1db2104639486ce6a886",56:"0ea1e64f690e80a51ef8",57:"f7d5755284641d7499f6",58:"ff4b8a653e14b25452ee",59:"e9a31c00d950fd74fd30",60:"7b9d865da00740ad6235",61:"e52ae1f393a85870b0db",62:"80b8ca041bdcc1368596",63:"bb78d535d122c148ce9f",64:"c938bd5783c1cba9271e",65:"34f40631f4912e010eb4",66:"4a5b068975eb4fe5fc1a",67:"8603d4cd1b8ec3850173",68:"9184313b6a16567d122d",69:"e849b1f0d657b6bcedcc",70:"db86e40009abe2cb833f",71:"f2c66ec3181a23a20bb3",72:"8e8b1a6c7418a162ca0c",73:"7f8238c2027977ba846f",74:"8d4d5c447105b87a1561",75:"e083aa45046ae27dd8f9",76:"2e120e96171c74f2c192",77:"e2fad7bd884a27dbd116",78:"98335291648e3efa80a0",79:"b68c0a22eafb43ae73f2",80:"5901c54722f00ddb0fff",81:"0c070095cd563fb283f9",82:"fec83e19cc60434e549e",83:"1dbac8b292d7170cad4f",84:"e951995b14ff42ec76d5",85:"e0920c0f4c233fc35617",86:"909664c829c66b37ea84",87:"b505e6d42584ab0c7485",88:"e1bf63845c6da47deabd",89:"dc7ad4072726ba046f85",90:"8db560e208052754ae35",91:"5d5ecb397905792cd093",92:"f0cb3fbeaac6d1eef3d4",93:"4a0551bc1bc454a08f23",94:"20b8bc8ae962f4e3e0d3",95:"2b754318c79c4732d941",96:"6683a8df27a1e8e548cd",97:"2a3b108b77565b4b13ce",98:"de8eeeb74721bdcb4f62",99:"3e02aba5fc7b6b630780",100:"f4717f9fd32db6f93186",101:"7d08093791d0dd523e0a",102:"c87b4d23ef01e373209c",103:"bfcff5869f59f182fad6",104:"2ee9b6533b8cb874f361",105:"046dd34749d3b80b55b8",106:"216bf44da3da1098cda3",107:"ea4494b7e22551cecffb",108:"85abbb968434f2b20037",109:"82f47ef94dd9d67243a3",110:"646a52273319f1a0360e",111:"79c7c5fb901ec2f5afba",112:"259c468782b9a9863fc3",113:"819e9f111e7e6fcc1b0c",114:"9e45882f2d58060a2f02",115:"930e05a008dfa1d6ac01",116:"941a6c647f5f859f2333",117:"ff1f31092556ed517fc8",118:"11b6edb8bf4fc34b0bee",119:"26257cd9b184fb1a5c03",120:"7acd43d1048beb6cd2a2",121:"078b873ba9e78fa502da",122:"88c7a8cd25f6944801f9",123:"f8bf6d3c46f3315f43ed",124:"d6fb6a80350420c0a6f9",125:"cac13aff011c525bc9d7",126:"0eb2c247aae16b02f68d",127:"498081833cfcab05f826",128:"e4396af24945aecbd6d6",129:"0cf6db049788858d088d",130:"ffb6de30b7f89545e60c",131:"7cbb5b2fb0da3a51e144",132:"8108bc3f700a95263091",133:"a559582eda72a2e1f541",134:"88f6a3bcc077da83c858",135:"99676849ca57736cf88c",136:"21fd409ca5694de6309f",137:"297b9577b7cfff307bf0",138:"72ed8b5fc8665e5f06a9",139:"c0c830adc1a56d1b859d",140:"6c3dc37fcd75ccd3ec9b",141:"696ba59a793c33686d03",142:"16409453f26eabc69e8e",143:"597706970806bd69104f",144:"c16c9e14a4fae991856e",145:"0fb08bc1cfc2e430eaff",146:"e121f914ab1ce1f732ae",147:"84abdf1e13c43bb56fce",148:"daf2a80f2e0a56bedf82",149:"ba794444b6b6c17f490b",150:"cddb8e9bdb96da263c4f",151:"4ac4a448fb305c6aa2d1",152:"430c663b16533ace64db",153:"6e2c220181580676a4c1",154:"1b3adb8f912ffbac3be6",155:"8f1c1ff1344ff05a9a6d",156:"0e1ca20397f17d390f66",157:"9759864c5643a000f457",158:"f5d83762546e331af60e",159:"9e602e4836c8d4411632",160:"b78635dc2335bb0f7020",161:"0709e61532b44bbb912d",162:"3b98eda3d9a0629bc5d2",163:"c872d3944a2bc3799059",164:"2fe4efa9d54da3cab486",165:"db5cdb7a129af4b1f4bb",166:"2808c62683ede878eeeb",167:"aa7ff5d3fc416ad1d3c4",168:"b5af61b0f40933752a8c",169:"6f1f5567056e9ef490ba",170:"87cf85eff150505675ca",171:"f2411c2c74270ce81d52",172:"1e761e47062a79129dfc",173:"8ee2ac43425e97a68b30",174:"c596ef91f72126723c09",175:"2f85db1ca98a0a0b2e08",176:"b63fadbabeeffa3e7297",177:"a7ac21a917bbd8e7c5bf",178:"f999bb4dba18d55d2701",179:"534165910a2e66f505b5",180:"0930b39394e5c4e5b9c4",181:"c14e03ac28d97e1a2d6f",182:"79466549c0c67fec7bbc",183:"106ae920216b54c5ba56",184:"08968ac1851deb2d5eaa",185:"f59154c9d8046c81c18d",186:"5a89a2d882980e9f48e1",187:"12d203d6dad17366477c",188:"52bb514bab8d0c1423ed",189:"b9981ca8a64832b3b257",190:"bbfda5a02511351a232c",191:"e1bf59729a8b74be4d0d",192:"e548ee7cc67f1a27e8c9",194:"a005c419ab452b870d8d",197:"120d4b01f30f8b7368ba",198:"934bbece9404fd23da49",199:"3520de68cd23fc6b8a5b"}[e]}(e);var s=new Error;a=function(t){c.onerror=c.onload=null,clearTimeout(u);var n=r[e];if(0!==n){if(n){var i=t&&("load"===t.type?"missing":t.type),o=t&&t.target&&t.target.src;s.message="Loading chunk "+e+" failed.\n("+i+": "+o+")",s.name="ChunkLoadError",s.type=i,s.request=o,n[1](s)}r[e]=void 0}};var u=setTimeout((function(){a({type:"timeout",target:c})}),12e4);c.onerror=c.onload=a,document.head.appendChild(c)}return Promise.all(t)},i.m=e,i.c=n,i.d=function(e,t,n){i.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},i.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},i.t=function(e,t){if(1&t&&(e=i(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(i.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var r in e)i.d(n,r,function(t){return e[t]}.bind(null,r));return n},i.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return i.d(t,"a",t),t},i.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},i.p="/js/",i.oe=function(e){throw console.error(e),e};var o=window.textWebpackJsonp=window.textWebpackJsonp||[],a=o.push.bind(o);o.push=t,o=o.slice();for(var c=0;c<o.length;c++)t(o[c]);var s=a;i(i.s=171)}([function(e,t){e.exports=function(e){try{return!!e()}catch(e){return!0}}},function(e,t,n){var r=n(2),i=n(72),o=n(4),a=n(39),c=n(88),s=n(147),u=i("wks"),f=r.Symbol,l=s?f:f&&f.withoutSetter||a;e.exports=function(e){return o(u,e)||(c&&o(f,e)?u[e]=f[e]:u[e]=l("Symbol."+e)),u[e]}},function(e,t,n){(function(t){var n=function(e){return e&&e.Math==Math&&e};e.exports=n("object"==typeof globalThis&&globalThis)||n("object"==typeof window&&window)||n("object"==typeof self&&self)||n("object"==typeof t&&t)||Function("return this")()}).call(this,n(46))},function(e,t,n){"use strict";var r=n(77),i=Object.prototype.toString;function o(e){return"[object Array]"===i.call(e)}function a(e){return void 0===e}function c(e){return null!==e&&"object"==typeof e}function s(e){return"[object Function]"===i.call(e)}function u(e,t){if(null!=e)if("object"!=typeof e&&(e=[e]),o(e))for(var n=0,r=e.length;n<r;n++)t.call(null,e[n],n,e);else for(var i in e)Object.prototype.hasOwnProperty.call(e,i)&&t.call(null,e[i],i,e)}e.exports={isArray:o,isArrayBuffer:function(e){return"[object ArrayBuffer]"===i.call(e)},isBuffer:function(e){return null!==e&&!a(e)&&null!==e.constructor&&!a(e.constructor)&&"function"==typeof e.constructor.isBuffer&&e.constructor.isBuffer(e)},isFormData:function(e){return"undefined"!=typeof FormData&&e instanceof FormData},isArrayBufferView:function(e){return"undefined"!=typeof ArrayBuffer&&ArrayBuffer.isView?ArrayBuffer.isView(e):e&&e.buffer&&e.buffer instanceof ArrayBuffer},isString:function(e){return"string"==typeof e},isNumber:function(e){return"number"==typeof e},isObject:c,isUndefined:a,isDate:function(e){return"[object Date]"===i.call(e)},isFile:function(e){return"[object File]"===i.call(e)},isBlob:function(e){return"[object Blob]"===i.call(e)},isFunction:s,isStream:function(e){return c(e)&&s(e.pipe)},isURLSearchParams:function(e){return"undefined"!=typeof URLSearchParams&&e instanceof URLSearchParams},isStandardBrowserEnv:function(){return("undefined"==typeof navigator||"ReactNative"!==navigator.product&&"NativeScript"!==navigator.product&&"NS"!==navigator.product)&&("undefined"!=typeof window&&"undefined"!=typeof document)},forEach:u,merge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]=n}for(var r=0,i=arguments.length;r<i;r++)u(arguments[r],n);return t},deepMerge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]="object"==typeof n?e({},n):n}for(var r=0,i=arguments.length;r<i;r++)u(arguments[r],n);return t},extend:function(e,t,n){return u(t,(function(t,i){e[i]=n&&"function"==typeof t?r(t,n):t})),e},trim:function(e){return e.replace(/^\s*/,"").replace(/\s*$/,"")}}},function(e,t){var n={}.hasOwnProperty;e.exports=function(e,t){return n.call(e,t)}},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e))throw TypeError(String(e)+" is not an object");return e}},function(e,t){e.exports=function(e){return"object"==typeof e?null!==e:"function"==typeof e}},function(e,t,n){var r=n(0);e.exports=!r((function(){return 7!=Object.defineProperty({},1,{get:function(){return 7}})[1]}))},function(e,t,n){var r=n(7),i=n(70),o=n(5),a=n(34),c=Object.defineProperty;t.f=r?c:function(e,t,n){if(o(e),t=a(t,!0),o(n),i)try{return c(e,t,n)}catch(e){}if("get"in n||"set"in n)throw TypeError("Accessors not supported");return"value"in n&&(e[t]=n.value),e}},function(e,t,n){var r=n(7),i=n(8),o=n(24);e.exports=r?function(e,t,n){return i.f(e,t,o(1,n))}:function(e,t,n){return e[t]=n,e}},function(e,t,n){var r=n(2),i=n(54).f,o=n(9),a=n(12),c=n(37),s=n(126),u=n(55);e.exports=function(e,t){var n,f,l,h,d,p=e.target,g=e.global,v=e.stat;if(n=g?r:v?r[p]||c(p,{}):(r[p]||{}).prototype)for(f in t){if(h=t[f],l=e.noTargetGet?(d=i(n,f))&&d.value:n[f],!u(g?f:p+(v?".":"#")+f,e.forced)&&void 0!==l){if(typeof h==typeof l)continue;s(h,l)}(e.sham||l&&l.sham)&&o(h,"sham",!0),a(n,f,h,e)}}},function(e,t,n){"use strict";n(103),n(58),n(51),n(53),n(99),n(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getRootUrl=t.generateFilePath=t.imagePath=t.generateUrl=t.generateOcsUrl=t.generateRemoteUrl=t.linkTo=void 0;t.linkTo=function(e,t){return r(e,"",t)};t.generateRemoteUrl=function(e){return window.location.protocol+"//"+window.location.host+function(e){return i()+"/remote.php/"+e}(e)};t.generateOcsUrl=function(e,t){return t=2!==t?1:2,window.location.protocol+"//"+window.location.host+i()+"/ocs/v"+t+".php/"+e+"/"};t.generateUrl=function(e,t,n){var r=Object.assign({escape:!0,noRewrite:!1},n||{}),o=function(e,t){return t=t||{},e.replace(/{([^{}]*)}/g,(function(e,n){var i=t[n];return r.escape?"string"==typeof i||"number"==typeof i?encodeURIComponent(i.toString()):encodeURIComponent(e):"string"==typeof i||"number"==typeof i?i.toString():e}))};return"/"!==e.charAt(0)&&(e="/"+e),!0!==OC.config.modRewriteWorking||r.noRewrite?i()+"/index.php"+o(e,t||{}):i()+o(e,t||{})};t.imagePath=function(e,t){return-1===t.indexOf(".")?r(e,"img",t+".svg"):r(e,"img",t)};var r=function(e,t,n){var r=-1!==OC.coreApps.indexOf(e),o=i();return"php"!==n.substring(n.length-3)||r?"php"===n.substring(n.length-3)||r?(o+="settings"!==e&&"core"!==e&&"search"!==e||"ajax"!==t?"/":"/index.php/",r||(o+="apps/"),""!==e&&(o+=e+="/"),t&&(o+=t+"/"),o+=n):(o=OC.appswebroots[e],t&&(o+="/"+t+"/"),"/"!==o.substring(o.length-1)&&(o+="/"),o+=n):(o+="/index.php/apps/"+e,"index.php"!==n&&(o+="/",t&&(o+=encodeURI(t+"/")),o+=n)),o};t.generateFilePath=r;var i=function(){return OC.webroot};t.getRootUrl=i},function(e,t,n){var r=n(2),i=n(9),o=n(4),a=n(37),c=n(62),s=n(20),u=s.get,f=s.enforce,l=String(String).split("String");(e.exports=function(e,t,n,c){var s=!!c&&!!c.unsafe,u=!!c&&!!c.enumerable,h=!!c&&!!c.noTargetGet;"function"==typeof n&&("string"!=typeof t||o(n,"name")||i(n,"name",t),f(n).source=l.join("string"==typeof t?t:"")),e!==r?(s?!h&&e[t]&&(u=!0):delete e[t],u?e[t]=n:i(e,t,n)):u?e[t]=n:a(t,n)})(Function.prototype,"toString",(function(){return"function"==typeof this&&u(this).source||c(this)}))},function(e,t,n){"use strict";n.d(t,"a",(function(){return o})),n.d(t,"b",(function(){return r})),n.d(t,"c",(function(){return i}));
+!function(e){function t(t){for(var n,i,o=t[0],a=t[1],c=0,u=[];c<o.length;c++)i=o[c],Object.prototype.hasOwnProperty.call(r,i)&&r[i]&&u.push(r[i][0]),r[i]=0;for(n in a)Object.prototype.hasOwnProperty.call(a,n)&&(e[n]=a[n]);for(s&&s(t);u.length;)u.shift()()}var n={},r={195:0};function i(t){if(n[t])return n[t].exports;var r=n[t]={i:t,l:!1,exports:{}};return e[t].call(r.exports,r,r.exports,i),r.l=!0,r.exports}i.e=function(e){var t=[],n=r[e];if(0!==n)if(n)t.push(n[2]);else{var o=new Promise((function(t,i){n=r[e]=[t,i]}));t.push(n[2]=o);var a,c=document.createElement("script");c.charset="utf-8",c.timeout=120,i.nc&&c.setAttribute("nonce",i.nc),c.src=function(e){return i.p+""+({0:"vendor",1:"vendors~editor-collab~editor-guest~editor-rich~files-modal",2:"highlight/1c",3:"highlight/abnf",4:"highlight/accesslog",5:"highlight/actionscript",6:"highlight/ada",7:"highlight/angelscript",8:"highlight/apache",9:"highlight/applescript",10:"highlight/arcade",11:"highlight/arduino",12:"highlight/armasm",13:"highlight/asciidoc",14:"highlight/aspectj",15:"highlight/autohotkey",16:"highlight/autoit",17:"highlight/avrasm",18:"highlight/awk",19:"highlight/axapta",20:"highlight/bash",21:"highlight/basic",22:"highlight/bnf",23:"highlight/brainfuck",24:"highlight/cal",25:"highlight/capnproto",26:"highlight/ceylon",27:"highlight/clean",28:"highlight/clojure",29:"highlight/clojure-repl",30:"highlight/cmake",31:"highlight/coffeescript",32:"highlight/coq",33:"highlight/cos",34:"highlight/cpp",35:"highlight/crmsh",36:"highlight/crystal",37:"highlight/cs",38:"highlight/csp",39:"highlight/css",40:"highlight/d",41:"highlight/dart",42:"highlight/delphi",43:"highlight/diff",44:"highlight/django",45:"highlight/dns",46:"highlight/dockerfile",47:"highlight/dos",48:"highlight/dsconfig",49:"highlight/dts",50:"highlight/dust",51:"highlight/ebnf",52:"highlight/elixir",53:"highlight/elm",54:"highlight/erb",55:"highlight/erlang",56:"highlight/erlang-repl",57:"highlight/excel",58:"highlight/fix",59:"highlight/flix",60:"highlight/fortran",61:"highlight/fsharp",62:"highlight/gams",63:"highlight/gauss",64:"highlight/gcode",65:"highlight/gherkin",66:"highlight/glsl",67:"highlight/gml",68:"highlight/go",69:"highlight/golo",70:"highlight/gradle",71:"highlight/groovy",72:"highlight/haml",73:"highlight/handlebars",74:"highlight/haskell",75:"highlight/haxe",76:"highlight/hsp",77:"highlight/htmlbars",78:"highlight/http",79:"highlight/hy",80:"highlight/inform7",81:"highlight/ini",82:"highlight/irpf90",83:"highlight/isbl",84:"highlight/java",85:"highlight/javascript",86:"highlight/jboss-cli",87:"highlight/json",88:"highlight/julia",89:"highlight/julia-repl",90:"highlight/kotlin",91:"highlight/lasso",92:"highlight/ldif",93:"highlight/leaf",94:"highlight/less",95:"highlight/lisp",96:"highlight/livecodeserver",97:"highlight/livescript",98:"highlight/llvm",99:"highlight/lsl",100:"highlight/lua",101:"highlight/makefile",102:"highlight/markdown",103:"highlight/mathematica",104:"highlight/matlab",105:"highlight/maxima",106:"highlight/mel",107:"highlight/mercury",108:"highlight/mipsasm",109:"highlight/mizar",110:"highlight/mojolicious",111:"highlight/monkey",112:"highlight/moonscript",113:"highlight/n1ql",114:"highlight/nginx",115:"highlight/nimrod",116:"highlight/nix",117:"highlight/nsis",118:"highlight/objectivec",119:"highlight/ocaml",120:"highlight/openscad",121:"highlight/oxygene",122:"highlight/parser3",123:"highlight/perl",124:"highlight/pf",125:"highlight/pgsql",126:"highlight/php",127:"highlight/plaintext",128:"highlight/pony",129:"highlight/powershell",130:"highlight/processing",131:"highlight/profile",132:"highlight/prolog",133:"highlight/properties",134:"highlight/protobuf",135:"highlight/puppet",136:"highlight/purebasic",137:"highlight/python",138:"highlight/q",139:"highlight/qml",140:"highlight/r",141:"highlight/reasonml",142:"highlight/rib",143:"highlight/roboconf",144:"highlight/routeros",145:"highlight/rsl",146:"highlight/ruby",147:"highlight/ruleslanguage",148:"highlight/rust",149:"highlight/sas",150:"highlight/scala",151:"highlight/scheme",152:"highlight/scilab",153:"highlight/scss",154:"highlight/shell",155:"highlight/smali",156:"highlight/smalltalk",157:"highlight/sml",158:"highlight/sqf",159:"highlight/sql",160:"highlight/stan",161:"highlight/stata",162:"highlight/step21",163:"highlight/stylus",164:"highlight/subunit",165:"highlight/swift",166:"highlight/taggerscript",167:"highlight/tap",168:"highlight/tcl",169:"highlight/tex",170:"highlight/thrift",171:"highlight/tp",172:"highlight/twig",173:"highlight/typescript",174:"highlight/vala",175:"highlight/vbnet",176:"highlight/vbscript",177:"highlight/vbscript-html",178:"highlight/verilog",179:"highlight/vhdl",180:"highlight/vim",181:"highlight/x86asm",182:"highlight/xl",183:"highlight/xml",184:"highlight/xquery",185:"highlight/yaml",186:"highlight/zephir",187:"vendors~editor-collab~editor-guest",188:"vendors~editor~files-modal",189:"editor",190:"editor-collab",191:"editor-guest",192:"editor-rich",194:"files-modal",197:"vendors~editor",198:"vendors~editor-rich",199:"vendors~files-modal"}[e]||e)+".js?v="+{0:"b6a87e35c196cb18a193",1:"feb5918c44017d3ba256",2:"071b3beac1c09e6dc1f3",3:"02b6bf25401bff70e1ce",4:"06349a10fcba1fef2b08",5:"6f038c3b24b5205c8575",6:"facf339fc268c8300b58",7:"af2f947766977426f44f",8:"3a8af9aa690812789651",9:"3fa6a2dd879cbdba71ff",10:"24c8182859e17c1c1fca",11:"3cc7f34e3359919e423e",12:"c0eb0a244d0cb39704e6",13:"ca2812e6dfd669b9ed9e",14:"80494a33a16258364dd1",15:"eb67f56dc4c79e97cfb0",16:"b1c2c197768faebf2efc",17:"03440adea0408be2ab13",18:"f14a658a37d21c4300ae",19:"06a1e9a27797e6036fb9",20:"63e8c97d235cf8f2ef15",21:"05e2ec59a02550149906",22:"4d6660fa6ec96528db4a",23:"bb63714d6fef384603dd",24:"6f4fd50b6a017394d4ef",25:"407e150d0cddfc330a11",26:"ce3ee1e47a64b4c9d026",27:"2d664db16483c452e781",28:"a3a920317593707a929f",29:"1202ed203adda685cb20",30:"09e7844c496dacc56656",31:"3cdfa9538f890fa46bb1",32:"ebfcf67e77ab98acbcd1",33:"b8d0058fb8891ff39f37",34:"30d813319f34a0cddfeb",35:"1f15edbd9461b481ce4c",36:"897ee94b0f1b39f3d24a",37:"f492adc31805a0412549",38:"fe3bd1b963f4b889cf8e",39:"239e072113e160b67e91",40:"f39807cf7bdaf8526a15",41:"9b1df6b3d1dd1115e1e8",42:"09b2d711deb25f958472",43:"0a4af5e0ac26e74fb458",44:"eca9bda3374febe1408f",45:"bfd30fc702acf9b5b234",46:"459bafbfedeedec393f2",47:"e8fe346d7dd1651dc9fc",48:"a7a41eca2b432ecb456c",49:"78f8dd3917437cc93e18",50:"ee32b8fb945aa398bec1",51:"bcbee3a03044a8950774",52:"7b450fbefd2e3706ec70",53:"2926d9f5b9996ea173dc",54:"528937053c9973030a88",55:"2b7f25a3f54ef89fa6e3",56:"9260d01a166e0b5a57a6",57:"be8879bc01887c64e79b",58:"996d2460737c6c1b0e81",59:"9a35d6dc0ded8bce2582",60:"a4301b687567a5797eae",61:"fa47cb385b5375960fea",62:"9a0bf8a54e622f03528d",63:"3cee1c94793426c6fe0c",64:"10f4e9c5ca4207cf2739",65:"3985cce434296c7eb5c2",66:"f199328703a109721e77",67:"3e195b8b02db26ba5c7a",68:"8ba3db8152267cfaeb1b",69:"2dbea908dd7c4a0e74a1",70:"c4208dc434db3ef75467",71:"1bea4a55bf6330dd337c",72:"5403fd41ba6dadfb0069",73:"c6726e29b97cafb89aa2",74:"b50375f6d30f874d112b",75:"18918d37d2462dedbe24",76:"104df33b1e29b92c7e05",77:"230f0f7e75220878d753",78:"3620b0f6777c0d97d088",79:"e5519677d9528132d1b2",80:"6a6088db96341850bc6c",81:"5e0594b511ddf2286b2b",82:"a5f154fc0aec26d1af48",83:"4fea3cfbb47bcfc112f0",84:"6365dabe44f0ef66ded3",85:"0e1f9cfb325c38778c49",86:"737c3cc7bf7ec7e294eb",87:"829ab49f163323ee3597",88:"8dd0066b61f6587f1521",89:"8e6c3e163fdfd0858593",90:"908502cdb9c2f5fa2f14",91:"bb9a0eb8fe9fc64468cd",92:"b691a0396ca5de03ccd0",93:"9b1fcbab9c153d40a96b",94:"40d3ddabc330eb532413",95:"6bf858fab0e8d2e0283c",96:"4fe5a2c2f2d3e9759ff9",97:"12080a42229846062a9e",98:"53196626494a177837ab",99:"e6b4f915ea180b8d3b8a",100:"3a5f0e3c9c3b2d169fa5",101:"f20d61205575024461a4",102:"c176b1db2cd4865699c5",103:"483c94b31a85fa90f286",104:"e8ce50b033797edb4dab",105:"fac046a1f51230c115ad",106:"93c1bcf6baa80a731571",107:"414e423c5fed49ecb7a7",108:"9d5609deeea481d092a0",109:"6a29b8b667b4e9803831",110:"85f3d6a6fa8c94b59441",111:"4a3f216687a251cca431",112:"55d2478df875c48f0655",113:"3eadea73e515fd20b16e",114:"49b0f012825d96845ad4",115:"614d2341ad81de37a0a4",116:"543a514ee50dabba2c30",117:"5399d10990ccbbed2bc7",118:"dc7961e08feeaf5cdc07",119:"a02034d17acf2dfff765",120:"1a3f064db30c34258c09",121:"ba5037471c571831e853",122:"339b50117d4d287cf385",123:"bd941caa5d2f53d1d4b0",124:"07ab8fb74ff9bea845d5",125:"d9951f80e532cb8787b6",126:"8e97e0f2a5e2dbf035c8",127:"fbdfa92af2a410a157a5",128:"646d098d07d6d4da4fed",129:"3b4d9a58526a7741dcc7",130:"7948820b23eb0c62404f",131:"fdf3ed035e0369367eeb",132:"cdd8ccb02fffc1349738",133:"233db3ec5ccf7b2f5678",134:"3bf8fd57b29d88129b8f",135:"c492283b4dd2f97fcc07",136:"6c054c58d6a66b30d59f",137:"84414e9cc771f4cd88cf",138:"cfc52fa64ad538a93956",139:"a084023e960fba8dd47a",140:"10eec06a86dfeed3f165",141:"fe58cb5669ed32875a14",142:"58da31919b9b8a36647c",143:"6f6f8504ef26f304d74a",144:"98591744cbe1686b2e09",145:"54ebb876ef10f26f55f1",146:"cd038323b8dc2746f9ff",147:"ed71a0b324668c95b36d",148:"a3b4445f438d4ad1a303",149:"e42f265b747fb02b2df0",150:"fdb9f0a690d8cedb78c0",151:"4b3f9672baef038f2fbb",152:"4ed66f30d02c3d664950",153:"96dd81098350c7a5d92f",154:"1f4a8a17e05fbb11d688",155:"e3e6a82a8a5388a962b5",156:"1ca3a978cfdc9b49c6ec",157:"5da35776878ca5d6695f",158:"c99e663a86f2f2812d8c",159:"90c3cd67631dc8669de1",160:"9dd3a4734930fcff429e",161:"e4dfcdbed07b2fc923a4",162:"27eb26d60b4ed52a8cfe",163:"56a827ad5ecdb729d8e0",164:"77e46e77ce7f848e97e0",165:"e53f833abdedc4f118f9",166:"2e6a7aab79d8f765e78d",167:"82205764ac320b4a5907",168:"e5184691fd9e113a0b51",169:"57946c72e9f255a3184d",170:"b299c11dfb1a95970d0e",171:"d2822f4dfe393b7f225f",172:"be02ab9602ea44f5b8b7",173:"3edb6a685de2ba7d9067",174:"4b5209018d66028ae9d5",175:"e77f394c4ae6478b2c82",176:"63548036ec688dd55835",177:"73060daa5328bd173d80",178:"3f5c84f2ffb2818d5b42",179:"ba92adfe7ff24de3a002",180:"b85fdd69c3163b23cd4f",181:"f0569dd5247f481195bd",182:"f957f27aa1e0aad8b63e",183:"b4ed61a972de16719173",184:"b4400b1574e079783a8c",185:"5bccbb3a33a0c2898445",186:"b7ed8a9b4a93f789e4bd",187:"c9868841dbd0da14f4c2",188:"941e6f21e484ae4d0350",189:"6ee3c36190dc54802157",190:"7113b14aff5f5f406e65",191:"18fd63d85d2025596fb4",192:"e3894d020f96c922f4df",194:"10f4a3e4df93b5fd8e9a",197:"4ca0f6ac0902477b585a",198:"29d438f918f0be3868ae",199:"974d8a5edd0f4a8a98d0"}[e]}(e);var s=new Error;a=function(t){c.onerror=c.onload=null,clearTimeout(u);var n=r[e];if(0!==n){if(n){var i=t&&("load"===t.type?"missing":t.type),o=t&&t.target&&t.target.src;s.message="Loading chunk "+e+" failed.\n("+i+": "+o+")",s.name="ChunkLoadError",s.type=i,s.request=o,n[1](s)}r[e]=void 0}};var u=setTimeout((function(){a({type:"timeout",target:c})}),12e4);c.onerror=c.onload=a,document.head.appendChild(c)}return Promise.all(t)},i.m=e,i.c=n,i.d=function(e,t,n){i.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},i.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},i.t=function(e,t){if(1&t&&(e=i(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(i.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var r in e)i.d(n,r,function(t){return e[t]}.bind(null,r));return n},i.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return i.d(t,"a",t),t},i.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},i.p="/js/",i.oe=function(e){throw console.error(e),e};var o=window.textWebpackJsonp=window.textWebpackJsonp||[],a=o.push.bind(o);o.push=t,o=o.slice();for(var c=0;c<o.length;c++)t(o[c]);var s=a;i(i.s=171)}([function(e,t){e.exports=function(e){try{return!!e()}catch(e){return!0}}},function(e,t,n){var r=n(2),i=n(72),o=n(4),a=n(39),c=n(88),s=n(147),u=i("wks"),f=r.Symbol,l=s?f:f&&f.withoutSetter||a;e.exports=function(e){return o(u,e)||(c&&o(f,e)?u[e]=f[e]:u[e]=l("Symbol."+e)),u[e]}},function(e,t,n){(function(t){var n=function(e){return e&&e.Math==Math&&e};e.exports=n("object"==typeof globalThis&&globalThis)||n("object"==typeof window&&window)||n("object"==typeof self&&self)||n("object"==typeof t&&t)||Function("return this")()}).call(this,n(46))},function(e,t,n){"use strict";var r=n(77),i=Object.prototype.toString;function o(e){return"[object Array]"===i.call(e)}function a(e){return void 0===e}function c(e){return null!==e&&"object"==typeof e}function s(e){return"[object Function]"===i.call(e)}function u(e,t){if(null!=e)if("object"!=typeof e&&(e=[e]),o(e))for(var n=0,r=e.length;n<r;n++)t.call(null,e[n],n,e);else for(var i in e)Object.prototype.hasOwnProperty.call(e,i)&&t.call(null,e[i],i,e)}e.exports={isArray:o,isArrayBuffer:function(e){return"[object ArrayBuffer]"===i.call(e)},isBuffer:function(e){return null!==e&&!a(e)&&null!==e.constructor&&!a(e.constructor)&&"function"==typeof e.constructor.isBuffer&&e.constructor.isBuffer(e)},isFormData:function(e){return"undefined"!=typeof FormData&&e instanceof FormData},isArrayBufferView:function(e){return"undefined"!=typeof ArrayBuffer&&ArrayBuffer.isView?ArrayBuffer.isView(e):e&&e.buffer&&e.buffer instanceof ArrayBuffer},isString:function(e){return"string"==typeof e},isNumber:function(e){return"number"==typeof e},isObject:c,isUndefined:a,isDate:function(e){return"[object Date]"===i.call(e)},isFile:function(e){return"[object File]"===i.call(e)},isBlob:function(e){return"[object Blob]"===i.call(e)},isFunction:s,isStream:function(e){return c(e)&&s(e.pipe)},isURLSearchParams:function(e){return"undefined"!=typeof URLSearchParams&&e instanceof URLSearchParams},isStandardBrowserEnv:function(){return("undefined"==typeof navigator||"ReactNative"!==navigator.product&&"NativeScript"!==navigator.product&&"NS"!==navigator.product)&&("undefined"!=typeof window&&"undefined"!=typeof document)},forEach:u,merge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]=n}for(var r=0,i=arguments.length;r<i;r++)u(arguments[r],n);return t},deepMerge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]="object"==typeof n?e({},n):n}for(var r=0,i=arguments.length;r<i;r++)u(arguments[r],n);return t},extend:function(e,t,n){return u(t,(function(t,i){e[i]=n&&"function"==typeof t?r(t,n):t})),e},trim:function(e){return e.replace(/^\s*/,"").replace(/\s*$/,"")}}},function(e,t){var n={}.hasOwnProperty;e.exports=function(e,t){return n.call(e,t)}},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e))throw TypeError(String(e)+" is not an object");return e}},function(e,t){e.exports=function(e){return"object"==typeof e?null!==e:"function"==typeof e}},function(e,t,n){var r=n(0);e.exports=!r((function(){return 7!=Object.defineProperty({},1,{get:function(){return 7}})[1]}))},function(e,t,n){var r=n(7),i=n(70),o=n(5),a=n(34),c=Object.defineProperty;t.f=r?c:function(e,t,n){if(o(e),t=a(t,!0),o(n),i)try{return c(e,t,n)}catch(e){}if("get"in n||"set"in n)throw TypeError("Accessors not supported");return"value"in n&&(e[t]=n.value),e}},function(e,t,n){var r=n(7),i=n(8),o=n(24);e.exports=r?function(e,t,n){return i.f(e,t,o(1,n))}:function(e,t,n){return e[t]=n,e}},function(e,t,n){var r=n(2),i=n(54).f,o=n(9),a=n(12),c=n(37),s=n(126),u=n(55);e.exports=function(e,t){var n,f,l,h,d,p=e.target,g=e.global,v=e.stat;if(n=g?r:v?r[p]||c(p,{}):(r[p]||{}).prototype)for(f in t){if(h=t[f],l=e.noTargetGet?(d=i(n,f))&&d.value:n[f],!u(g?f:p+(v?".":"#")+f,e.forced)&&void 0!==l){if(typeof h==typeof l)continue;s(h,l)}(e.sham||l&&l.sham)&&o(h,"sham",!0),a(n,f,h,e)}}},function(e,t,n){"use strict";n(103),n(58),n(51),n(53),n(99),n(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getRootUrl=t.generateFilePath=t.imagePath=t.generateUrl=t.generateOcsUrl=t.generateRemoteUrl=t.linkTo=void 0;t.linkTo=function(e,t){return r(e,"",t)};t.generateRemoteUrl=function(e){return window.location.protocol+"//"+window.location.host+function(e){return i()+"/remote.php/"+e}(e)};t.generateOcsUrl=function(e,t){return t=2!==t?1:2,window.location.protocol+"//"+window.location.host+i()+"/ocs/v"+t+".php/"+e+"/"};t.generateUrl=function(e,t,n){var r=Object.assign({escape:!0,noRewrite:!1},n||{}),o=function(e,t){return t=t||{},e.replace(/{([^{}]*)}/g,(function(e,n){var i=t[n];return r.escape?"string"==typeof i||"number"==typeof i?encodeURIComponent(i.toString()):encodeURIComponent(e):"string"==typeof i||"number"==typeof i?i.toString():e}))};return"/"!==e.charAt(0)&&(e="/"+e),!0!==OC.config.modRewriteWorking||r.noRewrite?i()+"/index.php"+o(e,t||{}):i()+o(e,t||{})};t.imagePath=function(e,t){return-1===t.indexOf(".")?r(e,"img",t+".svg"):r(e,"img",t)};var r=function(e,t,n){var r=-1!==OC.coreApps.indexOf(e),o=i();return"php"!==n.substring(n.length-3)||r?"php"===n.substring(n.length-3)||r?(o+="settings"!==e&&"core"!==e&&"search"!==e||"ajax"!==t?"/":"/index.php/",r||(o+="apps/"),""!==e&&(o+=e+="/"),t&&(o+=t+"/"),o+=n):(o=OC.appswebroots[e],t&&(o+="/"+t+"/"),"/"!==o.substring(o.length-1)&&(o+="/"),o+=n):(o+="/index.php/apps/"+e,"index.php"!==n&&(o+="/",t&&(o+=encodeURI(t+"/")),o+=n)),o};t.generateFilePath=r;var i=function(){return OC.webroot};t.getRootUrl=i},function(e,t,n){var r=n(2),i=n(9),o=n(4),a=n(37),c=n(62),s=n(20),u=s.get,f=s.enforce,l=String(String).split("String");(e.exports=function(e,t,n,c){var s=!!c&&!!c.unsafe,u=!!c&&!!c.enumerable,h=!!c&&!!c.noTargetGet;"function"==typeof n&&("string"!=typeof t||o(n,"name")||i(n,"name",t),f(n).source=l.join("string"==typeof t?t:"")),e!==r?(s?!h&&e[t]&&(u=!0):delete e[t],u?e[t]=n:i(e,t,n)):u?e[t]=n:a(t,n)})(Function.prototype,"toString",(function(){return"function"==typeof this&&u(this).source||c(this)}))},function(e,t,n){"use strict";n.d(t,"a",(function(){return o})),n.d(t,"b",(function(){return r})),n.d(t,"c",(function(){return i}));
/*
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
@@ -20,5 +20,5 @@
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
-var r=["text/markdown"],i=["text/plain","application/cmd","application/x-empty","application/x-msdos-program","application/epub+zip","application/javascript","application/json","application/x-perl","application/x-php","application/x-tex","application/xml","application/yaml","text/css","text/csv","text/html","text/x-c","text/x-c++src","text/x-h","text/x-java-source","text/x-ldif","text/x-python","text/x-shellscript"],o=[].concat(r,i)},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.subscribe=function(e,t){o.subscribe(e,t)},t.unsubscribe=function(e,t){o.unsubscribe(e,t)},t.emit=function(e,t){o.emit(e,t)};var r=n(148),i=n(153);var o=(void 0!==window.OC&&window.OC._eventBus&&void 0===window._nc_event_bus&&(console.warn("found old event bus instance at OC._eventBus. Update your version!"),window._nc_event_bus=window.OC._eventBus),void 0!==window._nc_event_bus?new r.ProxyBus(window._nc_event_bus):window._nc_event_bus=new i.SimpleBus)},function(e,t,n){var r=n(23),i=Math.min;e.exports=function(e){return e>0?i(r(e),9007199254740991):0}},function(e,t,n){var r=n(21);e.exports=function(e){return Object(r(e))}},function(e,t){e.exports={}},function(e,t,n){"use strict";function r(e,t,n,r,i,o,a,c){var s,u="function"==typeof e?e.options:e;if(t&&(u.render=t,u.staticRenderFns=n,u._compiled=!0),r&&(u.functional=!0),o&&(u._scopeId="data-v-"+o),a?(s=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),i&&i.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(a)},u._ssrRegister=s):i&&(s=c?function(){i.call(this,(u.functional?this.parent:this).$root.$options.shadowRoot)}:i),s)if(u.functional){u._injectStyles=s;var f=u.render;u.render=function(e,t){return s.call(t),f(e,t)}}else{var l=u.beforeCreate;u.beforeCreate=l?[].concat(l,s):[s]}return{exports:e,options:u}}n.d(t,"a",(function(){return r}))},function(e,t){var n={}.toString;e.exports=function(e){return n.call(e).slice(8,-1)}},function(e,t,n){var r,i,o,a=n(125),c=n(2),s=n(6),u=n(9),f=n(4),l=n(38),h=n(26),d=c.WeakMap;if(a){var p=new d,g=p.get,v=p.has,b=p.set;r=function(e,t){return b.call(p,e,t),t},i=function(e){return g.call(p,e)||{}},o=function(e){return v.call(p,e)}}else{var m=l("state");h[m]=!0,r=function(e,t){return u(e,m,t),t},i=function(e){return f(e,m)?e[m]:{}},o=function(e){return f(e,m)}}e.exports={set:r,get:i,has:o,enforce:function(e){return o(e)?i(e):r(e,{})},getterFor:function(e){return function(t){var n;if(!s(t)||(n=i(t)).type!==e)throw TypeError("Incompatible receiver, "+e+" required");return n}}}},function(e,t){e.exports=function(e){if(null==e)throw TypeError("Can't call method on "+e);return e}},function(e,t,n){var r=n(128),i=n(2),o=function(e){return"function"==typeof e?e:void 0};e.exports=function(e,t){return arguments.length<2?o(r[e])||o(i[e]):r[e]&&r[e][t]||i[e]&&i[e][t]}},function(e,t){var n=Math.ceil,r=Math.floor;e.exports=function(e){return isNaN(e=+e)?0:(e>0?r:n)(e)}},function(e,t){e.exports=function(e,t){return{enumerable:!(1&e),configurable:!(2&e),writable:!(4&e),value:t}}},function(e,t,n){var r=n(36),i=n(21);e.exports=function(e){return r(i(e))}},function(e,t){e.exports={}},function(e,t,n){var r=n(166);"string"==typeof r&&(r=[[e.i,r,""]]),r.locals&&(e.exports=r.locals);(0,n(50).default)("df9632ee",r,!0,{})},function(e,n,r){"use strict";r.d(n,"b",(function(){return g})),r.d(n,"c",(function(){return b})),r.d(n,"d",(function(){return v})),r.d(n,"a",(function(){return m}));var i=r(13),o=r(29),a=r.n(o),c=r(11),s=r(14);function u(e,t,n,r,i,o,a){try{var c=e[o](a),s=c.value}catch(e){return void n(e)}c.done?t(s):Promise.resolve(s).then(r,i)}var f=!!document.getElementById("isPublic"),l=Object(c.generateOcsUrl)("apps/text"+(f?"/public":""),2)+"workspace",h={name:"RichWorkspace",components:{EditorWrapper:function(){return Promise.all([r.e(0),r.e(188),r.e(197),r.e(189)]).then(r.bind(null,176))}},props:{path:{type:String,required:!0}},data:function(){return{focus:!1,folder:null,file:null,loaded:!1,ready:!1,autofocus:!1,darkTheme:OCA.Accessibility&&"dark"===OCA.Accessibility.theme,enabled:OCA.Text.RichWorkspaceEnabled}},computed:{shareToken:function(){return document.getElementById("sharingToken")?document.getElementById("sharingToken").value:null},canCreate:function(){return!!(this.folder&&this.folder.permissions&OC.PERMISSION_CREATE)},showEmptyWorkspace:function(){return(!this.file||this.autofocus&&!this.ready)&&this.canCreate}},watch:{path:function(){this.getFileInfo()},focus:function(e){e||document.querySelector("#editor").scrollTo(0,0)}},mounted:function(){var e,t=this;return(e=regeneratorRuntime.mark((function e(){return regeneratorRuntime.wrap((function(e){for(;;)switch(e.prev=e.next){case 0:t.enabled&&t.getFileInfo(),Object(s.subscribe)("Text::showRichWorkspace",(function(){t.enabled=!0,t.getFileInfo()})),Object(s.subscribe)("Text::hideRichWorkspace",(function(){t.enabled=!1}));case 3:case"end":return e.stop()}}),e)})),function(){var t=this,n=arguments;return new Promise((function(r,i){var o=e.apply(t,n);function a(e){u(o,r,i,a,c,"next",e)}function c(e){u(o,r,i,a,c,"throw",e)}a(void 0)}))})()},methods:{unfocus:function(){},reset:function(){var e=this;this.file=null,this.focus=!1,this.$nextTick((function(){e.creating=!1,e.getFileInfo()}))},getFileInfo:function(){var e=this;this.loaded=!1,this.autofocus=!1,this.ready=!1;var t={path:this.path};return f&&(t.shareToken=this.shareToken),a.a.get(l,{params:t}).then((function(t){var n=t.data.ocs.data;return e.folder=n.folder||null,e.file=n.file,e.editing=!0,e.loaded=!0,!0})).catch((function(t){var n=t.response.data.ocs.data;return e.folder=n.folder||null,e.file=null,e.loaded=!0,e.ready=!0,e.creating=!1,!1}))},createNew:function(){var e=this;this.creating||(this.creating=!0,this.getFileInfo().then((function(t){e.autofocus=!0,t||window.FileList.createFile("Readme.md",{scrollTo:!1,animate:!1}).then((function(t,n){e.getFileInfo()}))})))}}},d=(r(165),r(18)),p=Object(d.a)(h,(function(){var e=this,t=e.$createElement,n=e._self._c||t;return e.enabled?n("div",{class:{"icon-loading":!e.loaded||!e.ready,focus:e.focus,dark:e.darkTheme,creatable:e.canCreate},attrs:{id:"rich-workspace"}},[e.showEmptyWorkspace?n("div",{staticClass:"empty-workspace",on:{click:e.createNew}},[n("p",{staticClass:"placeholder"},[e._v("\n\t\t\t"+e._s(e.t("text","Add notes, lists or links …"))+"\n\t\t")])]):e._e(),e._v(" "),e.file?n("EditorWrapper",{directives:[{name:"show",rawName:"v-show",value:e.ready,expression:"ready"}],key:e.file.id,attrs:{"file-id":e.file.id,"relative-path":e.file.path,"share-token":e.shareToken,active:!0,autohide:!0,mime:e.file.mimetype,autofocus:e.autofocus},on:{ready:function(t){e.ready=!0},focus:function(t){e.focus=!0},blur:e.unfocus,error:e.reset}}):e._e()],1):e._e()}),[],!1,null,"4bea3b82",null).exports,g=function(e,t){var n=e.split("/"),r=t.split("/");for(n.pop();n[0]===r[0];)n.shift(),r.shift();var i=n.fill("..").concat(r),o=t.split("/");return i.length<o.length?i.join("/"):t},v=function(){var e={attach:function(e){var n=e.fileList;"files"!==n.id&&"files.public"!==n.id||e.addMenuEntry({id:"file",displayName:t("text","New text document"),templateName:t("text","New text document")+".md",iconClass:"icon-filetype-text",fileType:"file",actionHandler:function(e){n.createFile(e).then((function(e,t){var r=new OCA.Files.FileInfoModel(t);void 0!==OCA.Viewer?OCA.Files.fileActions.triggerAction("view",r,n):void 0===OCA.Viewer&&OCA.Files.fileActions.triggerAction("Edit with text app",r,n)}))}})}};OC.Plugins.register("OCA.Files.NewFileMenu",e)},b=function(){var e,n=document.getElementById("sharingToken")?document.getElementById("sharingToken").value:null,o=document.getElementById("dir").value;if(!n||""!==o){var a=document.createElement("div");a.id="text-viewer-fallback",document.body.appendChild(a);for(var s=0;s<i.a.length;s++)e=i.a[s],OCA.Files.fileActions.register(e,"Edit with text app",OC.PERMISSION_UPDATE|OC.PERMISSION_READ,Object(c.imagePath)("core","actions/rename"),(function(e){var t=window.FileList.findFile(e);Promise.all([r.e(0).then(r.bind(null,45)),Promise.all([r.e(1),r.e(0),r.e(188),r.e(199),r.e(194)]).then(r.bind(null,669))]).then((function(r){var i=window.FileList.getCurrentDirectory()+"/"+e,o=r[0].default;o.prototype.t=window.t,o.prototype.n=window.n,o.prototype.OCA=window.OCA;var c=r[1].default;new o({render:function(e){return e(c,{props:{fileId:t?t.id:null,active:!0,shareToken:n,relativePath:i,mimeType:t.mimetype}})}}).$mount(a)}))}),t("text","Edit")),OCA.Files.fileActions.setDefault(i.a[s],"Edit with text app")}},m={el:null,attach:function(e){"files"!==e.id&&"files.public"!==e.id||(this.el=document.createElement("div"),e.registerHeader({id:"workspace",el:this.el,render:this.render.bind(this),priority:10}))},render:function(e){var t=this;"files"!==e.id&&"files.public"!==e.id||r.e(0).then(r.bind(null,45)).then((function(n){var r=n.default;t.el.id="files-workspace-wrapper",r.prototype.t=window.t,r.prototype.n=window.n,r.prototype.OCA=window.OCA;var i=new(r.extend(p))({propsData:{path:e.getCurrentDirectory()}}).$mount(t.el);e.$el.on("changeDirectory",(function(e){i.path=e.dir.toString()}))}))}}},function(e,t,n){"use strict";n(58),Object.defineProperty(t,"__esModule",{value:!0}),t.default=void 0;var r,i=(r=n(129))&&r.__esModule?r:{default:r},o=n(109);var a=i.default.create({headers:{requesttoken:(0,o.getRequestToken)()}}),c=Object.assign(a,{CancelToken:i.default.CancelToken,isCancel:i.default.isCancel});(0,o.onRequestTokenUpdate)((function(e){return a.defaults.headers.requesttoken=e}));var s=c;t.default=s},function(e,t,n){var r=n(104);e.exports=function(e,t,n){if(r(e),void 0===t)return e;switch(n){case 0:return function(){return e.call(t)};case 1:return function(n){return e.call(t,n)};case 2:return function(n,r){return e.call(t,n,r)};case 3:return function(n,r,i){return e.call(t,n,r,i)}}return function(){return e.apply(t,arguments)}}},function(e,t,n){var r=n(7),i=n(0),o=n(4),a=Object.defineProperty,c={},s=function(e){throw e};e.exports=function(e,t){if(o(c,e))return c[e];t||(t={});var n=[][e],u=!!o(t,"ACCESSORS")&&t.ACCESSORS,f=o(t,0)?t[0]:s,l=o(t,1)?t[1]:void 0;return c[e]=!!n&&!i((function(){if(u&&!r)return!0;var e={length:-1};u?a(e,1,{enumerable:!0,get:s}):e[1]=1,n.call(e,f,l)}))}},function(e,t,n){var r,i=n(5),o=n(115),a=n(40),c=n(26),s=n(116),u=n(61),f=n(38),l=f("IE_PROTO"),h=function(){},d=function(e){return"<script>"+e+"<\/script>"},p=function(){try{r=document.domain&&new ActiveXObject("htmlfile")}catch(e){}var e,t;p=r?function(e){e.write(d("")),e.close();var t=e.parentWindow.Object;return e=null,t}(r):((t=u("iframe")).style.display="none",s.appendChild(t),t.src=String("javascript:"),(e=t.contentWindow.document).open(),e.write(d("document.F=Object")),e.close(),e.F);for(var n=a.length;n--;)delete p.prototype[a[n]];return p()};c[l]=!0,e.exports=Object.create||function(e,t){var n;return null!==e?(h.prototype=i(e),n=new h,h.prototype=null,n[l]=e):n=p(),void 0===t?n:o(n,t)}},function(e,t,n){var r=n(8).f,i=n(4),o=n(1)("toStringTag");e.exports=function(e,t,n){e&&!i(e=n?e:e.prototype,o)&&r(e,o,{configurable:!0,value:t})}},function(e,t,n){var r=n(6);e.exports=function(e,t){if(!r(e))return e;var n,i;if(t&&"function"==typeof(n=e.toString)&&!r(i=n.call(e)))return i;if("function"==typeof(n=e.valueOf)&&!r(i=n.call(e)))return i;if(!t&&"function"==typeof(n=e.toString)&&!r(i=n.call(e)))return i;throw TypeError("Can't convert object to primitive value")}},function(e,t){e.exports=!1},function(e,t,n){var r=n(0),i=n(19),o="".split;e.exports=r((function(){return!Object("z").propertyIsEnumerable(0)}))?function(e){return"String"==i(e)?o.call(e,""):Object(e)}:Object},function(e,t,n){var r=n(2),i=n(9);e.exports=function(e,t){try{i(r,e,t)}catch(n){r[e]=t}return t}},function(e,t,n){var r=n(72),i=n(39),o=r("keys");e.exports=function(e){return o[e]||(o[e]=i(e))}},function(e,t){var n=0,r=Math.random();e.exports=function(e){return"Symbol("+String(void 0===e?"":e)+")_"+(++n+r).toString(36)}},function(e,t){e.exports=["constructor","hasOwnProperty","isPrototypeOf","propertyIsEnumerable","toLocaleString","toString","valueOf"]},function(e,t){const n=Number.MAX_SAFE_INTEGER||9007199254740991;e.exports={SEMVER_SPEC_VERSION:"2.0.0",MAX_LENGTH:256,MAX_SAFE_INTEGER:n,MAX_SAFE_COMPONENT_LENGTH:16}},function(e,t,n){"use strict";var r=n(10),i=n(117),o=n(93),a=n(94),c=n(33),s=n(9),u=n(12),f=n(1),l=n(35),h=n(17),d=n(92),p=d.IteratorPrototype,g=d.BUGGY_SAFARI_ITERATORS,v=f("iterator"),b=function(){return this};e.exports=function(e,t,n,f,d,m,y){i(n,t,f);var E,x,w,O=function(e){if(e===d&&A)return A;if(!g&&e in I)return I[e];switch(e){case"keys":case"values":case"entries":return function(){return new n(this,e)}}return function(){return new n(this)}},R=t+" Iterator",S=!1,I=e.prototype,T=I[v]||I["@@iterator"]||d&&I[d],A=!g&&T||O(d),N="Array"==t&&I.entries||T;if(N&&(E=o(N.call(new e)),p!==Object.prototype&&E.next&&(l||o(E)===p||(a?a(E,p):"function"!=typeof E[v]&&s(E,v,b)),c(E,R,!0,!0),l&&(h[R]=b))),"values"==d&&T&&"values"!==T.name&&(S=!0,A=function(){return T.call(this)}),l&&!y||I[v]===A||s(I,v,A),h[t]=A,d)if(x={values:O("values"),keys:m?A:O("keys"),entries:O("entries")},y)for(w in x)(g||S||!(w in I))&&u(I,w,x[w]);else r({target:t,proto:!0,forced:g||S},x);return x}},function(e,t,n){var r={};r[n(1)("toStringTag")]="z",e.exports="[object z]"===String(r)},function(e,t,n){"use strict";var r,i,o=n(97),a=n(164),c=RegExp.prototype.exec,s=String.prototype.replace,u=c,f=(r=/a/,i=/b*/g,c.call(r,"a"),c.call(i,"a"),0!==r.lastIndex||0!==i.lastIndex),l=a.UNSUPPORTED_Y||a.BROKEN_CARET,h=void 0!==/()??/.exec("")[1];(f||h||l)&&(u=function(e){var t,n,r,i,a=this,u=l&&a.sticky,d=o.call(a),p=a.source,g=0,v=e;return u&&(-1===(d=d.replace("y","")).indexOf("g")&&(d+="g"),v=String(e).slice(a.lastIndex),a.lastIndex>0&&(!a.multiline||a.multiline&&"\n"!==e[a.lastIndex-1])&&(p="(?: "+p+")",v=" "+v,g++),n=new RegExp("^(?:"+p+")",d)),h&&(n=new RegExp("^"+p+"$(?!\\s)",d)),f&&(t=a.lastIndex),r=c.call(u?n:a,v),u?r?(r.input=r.input.slice(g),r[0]=r[0].slice(g),r.index=a.lastIndex,a.lastIndex+=r[0].length):a.lastIndex=0:f&&r&&(a.lastIndex=a.global?r.index+r[0].length:t),h&&r&&r.length>1&&s.call(r[0],n,(function(){for(i=1;i<arguments.length-2;i++)void 0===arguments[i]&&(r[i]=void 0)})),r}),e.exports=u},,function(e,t){var n;n=function(){return this}();try{n=n||new Function("return this")()}catch(e){"object"==typeof window&&(n=window)}e.exports=n},function(e,t){var n,r,i=e.exports={};function o(){throw new Error("setTimeout has not been defined")}function a(){throw new Error("clearTimeout has not been defined")}function c(e){if(n===setTimeout)return setTimeout(e,0);if((n===o||!n)&&setTimeout)return n=setTimeout,setTimeout(e,0);try{return n(e,0)}catch(t){try{return n.call(null,e,0)}catch(t){return n.call(this,e,0)}}}!function(){try{n="function"==typeof setTimeout?setTimeout:o}catch(e){n=o}try{r="function"==typeof clearTimeout?clearTimeout:a}catch(e){r=a}}();var s,u=[],f=!1,l=-1;function h(){f&&s&&(f=!1,s.length?u=s.concat(u):l=-1,u.length&&d())}function d(){if(!f){var e=c(h);f=!0;for(var t=u.length;t;){for(s=u,u=[];++l<t;)s&&s[l].run();l=-1,t=u.length}s=null,f=!1,function(e){if(r===clearTimeout)return clearTimeout(e);if((r===a||!r)&&clearTimeout)return r=clearTimeout,clearTimeout(e);try{r(e)}catch(t){try{return r.call(null,e)}catch(t){return r.call(this,e)}}}(e)}}function p(e,t){this.fun=e,this.array=t}function g(){}i.nextTick=function(e){var t=new Array(arguments.length-1);if(arguments.length>1)for(var n=1;n<arguments.length;n++)t[n-1]=arguments[n];u.push(new p(e,t)),1!==u.length||f||c(d)},p.prototype.run=function(){this.fun.apply(null,this.array)},i.title="browser",i.browser=!0,i.env={},i.argv=[],i.version="",i.versions={},i.on=g,i.addListener=g,i.once=g,i.off=g,i.removeListener=g,i.removeAllListeners=g,i.emit=g,i.prependListener=g,i.prependOnceListener=g,i.listeners=function(e){return[]},i.binding=function(e){throw new Error("process.binding is not supported")},i.cwd=function(){return"/"},i.chdir=function(e){throw new Error("process.chdir is not supported")},i.umask=function(){return 0}},function(e,t,n){"use strict";n(60),Object.defineProperty(t,"__esModule",{value:!0}),t.loadState=function(e,t){var n=document.querySelector("#initial-state-".concat(e,"-").concat(t));if(null===n)throw new Error("Could not find initial state ".concat(t," of ").concat(e));try{return JSON.parse(atob(n.value))}catch(n){throw new Error("Could not parse initial state ".concat(t," of ").concat(e))}}},function(e,t,n){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var n=function(e,t){var n=e[1]||"",r=e[3];if(!r)return n;if(t&&"function"==typeof btoa){var i=(a=r,c=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),s="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(c),"/*# ".concat(s," */")),o=r.sources.map((function(e){return"/*# sourceURL=".concat(r.sourceRoot||"").concat(e," */")}));return[n].concat(o).concat([i]).join("\n")}var a,c,s;return[n].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(n,"}"):n})).join("")},t.i=function(e,n,r){"string"==typeof e&&(e=[[null,e,""]]);var i={};if(r)for(var o=0;o<this.length;o++){var a=this[o][0];null!=a&&(i[a]=!0)}for(var c=0;c<e.length;c++){var s=[].concat(e[c]);r&&i[s[0]]||(n&&(s[2]?s[2]="".concat(n," and ").concat(s[2]):s[2]=n),t.push(s))}},t}},function(e,t,n){"use strict";function r(e,t){for(var n=[],r={},i=0;i<t.length;i++){var o=t[i],a=o[0],c={id:e+":"+i,css:o[1],media:o[2],sourceMap:o[3]};r[a]?r[a].parts.push(c):n.push(r[a]={id:a,parts:[c]})}return n}n.r(t),n.d(t,"default",(function(){return d}));var i="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!i)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var o={},a=i&&(document.head||document.getElementsByTagName("head")[0]),c=null,s=0,u=!1,f=function(){},l=null,h="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function d(e,t,n,i){u=n,l=i||{};var a=r(e,t);return p(a),function(t){for(var n=[],i=0;i<a.length;i++){var c=a[i];(s=o[c.id]).refs--,n.push(s)}t?p(a=r(e,t)):a=[];for(i=0;i<n.length;i++){var s;if(0===(s=n[i]).refs){for(var u=0;u<s.parts.length;u++)s.parts[u]();delete o[s.id]}}}}function p(e){for(var t=0;t<e.length;t++){var n=e[t],r=o[n.id];if(r){r.refs++;for(var i=0;i<r.parts.length;i++)r.parts[i](n.parts[i]);for(;i<n.parts.length;i++)r.parts.push(v(n.parts[i]));r.parts.length>n.parts.length&&(r.parts.length=n.parts.length)}else{var a=[];for(i=0;i<n.parts.length;i++)a.push(v(n.parts[i]));o[n.id]={id:n.id,refs:1,parts:a}}}}function g(){var e=document.createElement("style");return e.type="text/css",a.appendChild(e),e}function v(e){var t,n,r=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(r){if(u)return f;r.parentNode.removeChild(r)}if(h){var i=s++;r=c||(c=g()),t=y.bind(null,r,i,!1),n=y.bind(null,r,i,!0)}else r=g(),t=E.bind(null,r),n=function(){r.parentNode.removeChild(r)};return t(e),function(r){if(r){if(r.css===e.css&&r.media===e.media&&r.sourceMap===e.sourceMap)return;t(e=r)}else n()}}var b,m=(b=[],function(e,t){return b[e]=t,b.filter(Boolean).join("\n")});function y(e,t,n,r){var i=n?"":r.css;if(e.styleSheet)e.styleSheet.cssText=m(t,i);else{var o=document.createTextNode(i),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(o,a[t]):e.appendChild(o)}}function E(e,t){var n=t.css,r=t.media,i=t.sourceMap;if(r&&e.setAttribute("media",r),l.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),i&&(n+="\n/*# sourceURL="+i.sources[0]+" */",n+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+" */"),e.styleSheet)e.styleSheet.cssText=n;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(n))}}},function(e,t,n){var r=n(43),i=n(12),o=n(161);r||i(Object.prototype,"toString",o,{unsafe:!0})},function(e,t,n){"use strict";var r=n(25),i=n(154),o=n(17),a=n(20),c=n(42),s=a.set,u=a.getterFor("Array Iterator");e.exports=c(Array,"Array",(function(e,t){s(this,{type:"Array Iterator",target:r(e),index:0,kind:t})}),(function(){var e=u(this),t=e.target,n=e.kind,r=e.index++;return!t||r>=t.length?(e.target=void 0,{value:void 0,done:!0}):"keys"==n?{value:r,done:!1}:"values"==n?{value:t[r],done:!1}:{value:[r,t[r]],done:!1}}),"values"),o.Arguments=o.Array,i("keys"),i("values"),i("entries")},function(e,t,n){"use strict";var r=n(10),i=n(44);r({target:"RegExp",proto:!0,forced:/./.exec!==i},{exec:i})},function(e,t,n){var r=n(7),i=n(69),o=n(24),a=n(25),c=n(34),s=n(4),u=n(70),f=Object.getOwnPropertyDescriptor;t.f=r?f:function(e,t){if(e=a(e),t=c(t,!0),u)try{return f(e,t)}catch(e){}if(s(e,t))return o(!i.f.call(e,t),e[t])}},function(e,t,n){var r=n(0),i=/#|\.prototype\./,o=function(e,t){var n=c[a(e)];return n==u||n!=s&&("function"==typeof t?r(t):!!t)},a=o.normalize=function(e){return String(e).replace(i,".").toLowerCase()},c=o.data={},s=o.NATIVE="N",u=o.POLYFILL="P";e.exports=o},function(e,t,n){var r=n(0),i=n(1),o=n(65),a=i("species");e.exports=function(e){return o>=51||!r((function(){var t=[];return(t.constructor={})[a]=function(){return{foo:1}},1!==t[e](Boolean).foo}))}},function(e,t){e.exports=function(e,t,n){if(!(e instanceof t))throw TypeError("Incorrect "+(n?n+" ":"")+"invocation");return e}},function(e,t,n){var r=n(10),i=n(113);r({target:"Object",stat:!0,forced:Object.assign!==i},{assign:i})},function(e,t,n){var r=n(6),i=n(87),o=n(1)("species");e.exports=function(e,t){var n;return i(e)&&("function"!=typeof(n=e.constructor)||n!==Array&&!i(n.prototype)?r(n)&&null===(n=n[o])&&(n=void 0):n=void 0),new(void 0===n?Array:n)(0===t?0:t)}},function(e,t,n){"use strict";var r=n(10),i=n(0),o=n(87),a=n(6),c=n(16),s=n(15),u=n(105),f=n(59),l=n(56),h=n(1),d=n(65),p=h("isConcatSpreadable"),g=d>=51||!i((function(){var e=[];return e[p]=!1,e.concat()[0]!==e})),v=l("concat"),b=function(e){if(!a(e))return!1;var t=e[p];return void 0!==t?!!t:o(e)};r({target:"Array",proto:!0,forced:!g||!v},{concat:function(e){var t,n,r,i,o,a=c(this),l=f(a,0),h=0;for(t=-1,r=arguments.length;t<r;t++)if(b(o=-1===t?a:arguments[t])){if(h+(i=s(o.length))>9007199254740991)throw TypeError("Maximum allowed index exceeded");for(n=0;n<i;n++,h++)n in o&&u(l,h,o[n])}else{if(h>=9007199254740991)throw TypeError("Maximum allowed index exceeded");u(l,h++,o)}return l.length=h,l}})},function(e,t,n){var r=n(2),i=n(6),o=r.document,a=i(o)&&i(o.createElement);e.exports=function(e){return a?o.createElement(e):{}}},function(e,t,n){var r=n(71),i=Function.toString;"function"!=typeof r.inspectSource&&(r.inspectSource=function(e){return i.call(e)}),e.exports=r.inspectSource},function(e,t,n){var r=n(30),i=n(36),o=n(16),a=n(15),c=n(59),s=[].push,u=function(e){var t=1==e,n=2==e,u=3==e,f=4==e,l=6==e,h=5==e||l;return function(d,p,g,v){for(var b,m,y=o(d),E=i(y),x=r(p,g,3),w=a(E.length),O=0,R=v||c,S=t?R(d,w):n?R(d,0):void 0;w>O;O++)if((h||O in E)&&(m=x(b=E[O],O,y),e))if(t)S[O]=m;else if(m)switch(e){case 3:return!0;case 5:return b;case 6:return O;case 2:s.call(S,b)}else if(f)return!1;return l?-1:u||f?f:S}};e.exports={forEach:u(0),map:u(1),filter:u(2),some:u(3),every:u(4),find:u(5),findIndex:u(6)}},function(e,t,n){"use strict";var r=n(0);e.exports=function(e,t){var n=[][e];return!!n&&r((function(){n.call(null,t||function(){throw 1},1)}))}},function(e,t,n){var r,i,o=n(2),a=n(114),c=o.process,s=c&&c.versions,u=s&&s.v8;u?i=(r=u.split("."))[0]+r[1]:a&&(!(r=a.match(/Edge\/(\d+)/))||r[1]>=74)&&(r=a.match(/Chrome\/(\d+)/))&&(i=r[1]),e.exports=i&&+i},function(e,t,n){var r=n(5),i=n(118),o=n(15),a=n(30),c=n(106),s=n(119),u=function(e,t){this.stopped=e,this.result=t};(e.exports=function(e,t,n,f,l){var h,d,p,g,v,b,m,y=a(t,n,f?2:1);if(l)h=e;else{if("function"!=typeof(d=c(e)))throw TypeError("Target is not iterable");if(i(d)){for(p=0,g=o(e.length);g>p;p++)if((v=f?y(r(m=e[p])[0],m[1]):y(e[p]))&&v instanceof u)return v;return new u(!1)}h=d.call(e)}for(b=h.next;!(m=b.call(h)).done;)if("object"==typeof(v=s(h,y,m.value,f))&&v&&v instanceof u)return v;return new u(!1)}).stop=function(e){return new u(!0,e)}},function(e,t,n){var r=n(43),i=n(19),o=n(1)("toStringTag"),a="Arguments"==i(function(){return arguments}());e.exports=r?i:function(e){var t,n,r;return void 0===e?"Undefined":null===e?"Null":"string"==typeof(n=function(e,t){try{return e[t]}catch(e){}}(t=Object(e),o))?n:a?i(t):"Object"==(r=i(t))&&"function"==typeof t.callee?"Arguments":r}},function(e,t,n){var r=n(23),i=n(21),o=function(e){return function(t,n){var o,a,c=String(i(t)),s=r(n),u=c.length;return s<0||s>=u?e?"":void 0:(o=c.charCodeAt(s))<55296||o>56319||s+1===u||(a=c.charCodeAt(s+1))<56320||a>57343?e?c.charAt(s):o:e?c.slice(s,s+2):a-56320+(o-55296<<10)+65536}};e.exports={codeAt:o(!1),charAt:o(!0)}},function(e,t,n){"use strict";var r={}.propertyIsEnumerable,i=Object.getOwnPropertyDescriptor,o=i&&!r.call({1:2},1);t.f=o?function(e){var t=i(this,e);return!!t&&t.enumerable}:r},function(e,t,n){var r=n(7),i=n(0),o=n(61);e.exports=!r&&!i((function(){return 7!=Object.defineProperty(o("div"),"a",{get:function(){return 7}}).a}))},function(e,t,n){var r=n(2),i=n(37),o=r["__core-js_shared__"]||i("__core-js_shared__",{});e.exports=o},function(e,t,n){var r=n(35),i=n(71);(e.exports=function(e,t){return i[e]||(i[e]=void 0!==t?t:{})})("versions",[]).push({version:"3.6.5",mode:r?"pure":"global",copyright:"© 2020 Denis Pushkarev (zloirock.ru)"})},function(e,t,n){var r=n(4),i=n(25),o=n(74).indexOf,a=n(26);e.exports=function(e,t){var n,c=i(e),s=0,u=[];for(n in c)!r(a,n)&&r(c,n)&&u.push(n);for(;t.length>s;)r(c,n=t[s++])&&(~o(u,n)||u.push(n));return u}},function(e,t,n){var r=n(25),i=n(15),o=n(108),a=function(e){return function(t,n,a){var c,s=r(t),u=i(s.length),f=o(a,u);if(e&&n!=n){for(;u>f;)if((c=s[f++])!=c)return!0}else for(;u>f;f++)if((e||f in s)&&s[f]===n)return e||f||0;return!e&&-1}};e.exports={includes:a(!0),indexOf:a(!1)}},function(e,t){t.f=Object.getOwnPropertySymbols},function(e,t,n){var r=n(73),i=n(40);e.exports=Object.keys||function(e){return r(e,i)}},function(e,t,n){"use strict";e.exports=function(e,t){return function(){for(var n=new Array(arguments.length),r=0;r<n.length;r++)n[r]=arguments[r];return e.apply(t,n)}}},function(e,t,n){"use strict";var r=n(3);function i(e){return encodeURIComponent(e).replace(/%40/gi,"@").replace(/%3A/gi,":").replace(/%24/g,"$").replace(/%2C/gi,",").replace(/%20/g,"+").replace(/%5B/gi,"[").replace(/%5D/gi,"]")}e.exports=function(e,t,n){if(!t)return e;var o;if(n)o=n(t);else if(r.isURLSearchParams(t))o=t.toString();else{var a=[];r.forEach(t,(function(e,t){null!=e&&(r.isArray(e)?t+="[]":e=[e],r.forEach(e,(function(e){r.isDate(e)?e=e.toISOString():r.isObject(e)&&(e=JSON.stringify(e)),a.push(i(t)+"="+i(e))})))})),o=a.join("&")}if(o){var c=e.indexOf("#");-1!==c&&(e=e.slice(0,c)),e+=(-1===e.indexOf("?")?"?":"&")+o}return e}},function(e,t,n){"use strict";e.exports=function(e){return!(!e||!e.__CANCEL__)}},function(e,t,n){"use strict";(function(t){var r=n(3),i=n(135),o={"Content-Type":"application/x-www-form-urlencoded"};function a(e,t){!r.isUndefined(e)&&r.isUndefined(e["Content-Type"])&&(e["Content-Type"]=t)}var c,s={adapter:(("undefined"!=typeof XMLHttpRequest||void 0!==t&&"[object process]"===Object.prototype.toString.call(t))&&(c=n(81)),c),transformRequest:[function(e,t){return i(t,"Accept"),i(t,"Content-Type"),r.isFormData(e)||r.isArrayBuffer(e)||r.isBuffer(e)||r.isStream(e)||r.isFile(e)||r.isBlob(e)?e:r.isArrayBufferView(e)?e.buffer:r.isURLSearchParams(e)?(a(t,"application/x-www-form-urlencoded;charset=utf-8"),e.toString()):r.isObject(e)?(a(t,"application/json;charset=utf-8"),JSON.stringify(e)):e}],transformResponse:[function(e){if("string"==typeof e)try{e=JSON.parse(e)}catch(e){}return e}],timeout:0,xsrfCookieName:"XSRF-TOKEN",xsrfHeaderName:"X-XSRF-TOKEN",maxContentLength:-1,validateStatus:function(e){return e>=200&&e<300}};s.headers={common:{Accept:"application/json, text/plain, */*"}},r.forEach(["delete","get","head"],(function(e){s.headers[e]={}})),r.forEach(["post","put","patch"],(function(e){s.headers[e]=r.merge(o)})),e.exports=s}).call(this,n(47))},function(e,t,n){"use strict";var r=n(3),i=n(136),o=n(78),a=n(138),c=n(141),s=n(142),u=n(82);e.exports=function(e){return new Promise((function(t,f){var l=e.data,h=e.headers;r.isFormData(l)&&delete h["Content-Type"];var d=new XMLHttpRequest;if(e.auth){var p=e.auth.username||"",g=e.auth.password||"";h.Authorization="Basic "+btoa(p+":"+g)}var v=a(e.baseURL,e.url);if(d.open(e.method.toUpperCase(),o(v,e.params,e.paramsSerializer),!0),d.timeout=e.timeout,d.onreadystatechange=function(){if(d&&4===d.readyState&&(0!==d.status||d.responseURL&&0===d.responseURL.indexOf("file:"))){var n="getAllResponseHeaders"in d?c(d.getAllResponseHeaders()):null,r={data:e.responseType&&"text"!==e.responseType?d.response:d.responseText,status:d.status,statusText:d.statusText,headers:n,config:e,request:d};i(t,f,r),d=null}},d.onabort=function(){d&&(f(u("Request aborted",e,"ECONNABORTED",d)),d=null)},d.onerror=function(){f(u("Network Error",e,null,d)),d=null},d.ontimeout=function(){var t="timeout of "+e.timeout+"ms exceeded";e.timeoutErrorMessage&&(t=e.timeoutErrorMessage),f(u(t,e,"ECONNABORTED",d)),d=null},r.isStandardBrowserEnv()){var b=n(143),m=(e.withCredentials||s(v))&&e.xsrfCookieName?b.read(e.xsrfCookieName):void 0;m&&(h[e.xsrfHeaderName]=m)}if("setRequestHeader"in d&&r.forEach(h,(function(e,t){void 0===l&&"content-type"===t.toLowerCase()?delete h[t]:d.setRequestHeader(t,e)})),r.isUndefined(e.withCredentials)||(d.withCredentials=!!e.withCredentials),e.responseType)try{d.responseType=e.responseType}catch(t){if("json"!==e.responseType)throw t}"function"==typeof e.onDownloadProgress&&d.addEventListener("progress",e.onDownloadProgress),"function"==typeof e.onUploadProgress&&d.upload&&d.upload.addEventListener("progress",e.onUploadProgress),e.cancelToken&&e.cancelToken.promise.then((function(e){d&&(d.abort(),f(e),d=null)})),void 0===l&&(l=null),d.send(l)}))}},function(e,t,n){"use strict";var r=n(137);e.exports=function(e,t,n,i,o){var a=new Error(e);return r(a,t,n,i,o)}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){t=t||{};var n={},i=["url","method","params","data"],o=["headers","auth","proxy"],a=["baseURL","url","transformRequest","transformResponse","paramsSerializer","timeout","withCredentials","adapter","responseType","xsrfCookieName","xsrfHeaderName","onUploadProgress","onDownloadProgress","maxContentLength","validateStatus","maxRedirects","httpAgent","httpsAgent","cancelToken","socketPath"];r.forEach(i,(function(e){void 0!==t[e]&&(n[e]=t[e])})),r.forEach(o,(function(i){r.isObject(t[i])?n[i]=r.deepMerge(e[i],t[i]):void 0!==t[i]?n[i]=t[i]:r.isObject(e[i])?n[i]=r.deepMerge(e[i]):void 0!==e[i]&&(n[i]=e[i])})),r.forEach(a,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])}));var c=i.concat(o).concat(a),s=Object.keys(t).filter((function(e){return-1===c.indexOf(e)}));return r.forEach(s,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])})),n}},function(e,t,n){"use strict";function r(e){this.message=e}r.prototype.toString=function(){return"Cancel"+(this.message?": "+this.message:"")},r.prototype.__CANCEL__=!0,e.exports=r},function(e,t,n){"use strict";var r=n(10),i=n(86);r({target:"Array",proto:!0,forced:[].forEach!=i},{forEach:i})},function(e,t,n){"use strict";var r=n(63).forEach,i=n(64),o=n(31),a=i("forEach"),c=o("forEach");e.exports=a&&c?[].forEach:function(e){return r(this,e,arguments.length>1?arguments[1]:void 0)}},function(e,t,n){var r=n(19);e.exports=Array.isArray||function(e){return"Array"==r(e)}},function(e,t,n){var r=n(0);e.exports=!!Object.getOwnPropertySymbols&&!r((function(){return!String(Symbol())}))},function(e,t,n){const{MAX_SAFE_COMPONENT_LENGTH:r}=n(41),i=n(90),o=(t=e.exports={}).re=[],a=t.src=[],c=t.t={};let s=0;const u=(e,t,n)=>{const r=s++;i(r,t),c[e]=r,a[r]=t,o[r]=new RegExp(t,n?"g":void 0)};u("NUMERICIDENTIFIER","0|[1-9]\\d*"),u("NUMERICIDENTIFIERLOOSE","[0-9]+"),u("NONNUMERICIDENTIFIER","\\d*[a-zA-Z-][a-zA-Z0-9-]*"),u("MAINVERSION",`(${a[c.NUMERICIDENTIFIER]})\\.(${a[c.NUMERICIDENTIFIER]})\\.(${a[c.NUMERICIDENTIFIER]})`),u("MAINVERSIONLOOSE",`(${a[c.NUMERICIDENTIFIERLOOSE]})\\.(${a[c.NUMERICIDENTIFIERLOOSE]})\\.(${a[c.NUMERICIDENTIFIERLOOSE]})`),u("PRERELEASEIDENTIFIER",`(?:${a[c.NUMERICIDENTIFIER]}|${a[c.NONNUMERICIDENTIFIER]})`),u("PRERELEASEIDENTIFIERLOOSE",`(?:${a[c.NUMERICIDENTIFIERLOOSE]}|${a[c.NONNUMERICIDENTIFIER]})`),u("PRERELEASE",`(?:-(${a[c.PRERELEASEIDENTIFIER]}(?:\\.${a[c.PRERELEASEIDENTIFIER]})*))`),u("PRERELEASELOOSE",`(?:-?(${a[c.PRERELEASEIDENTIFIERLOOSE]}(?:\\.${a[c.PRERELEASEIDENTIFIERLOOSE]})*))`),u("BUILDIDENTIFIER","[0-9A-Za-z-]+"),u("BUILD",`(?:\\+(${a[c.BUILDIDENTIFIER]}(?:\\.${a[c.BUILDIDENTIFIER]})*))`),u("FULLPLAIN",`v?${a[c.MAINVERSION]}${a[c.PRERELEASE]}?${a[c.BUILD]}?`),u("FULL",`^${a[c.FULLPLAIN]}$`),u("LOOSEPLAIN",`[v=\\s]*${a[c.MAINVERSIONLOOSE]}${a[c.PRERELEASELOOSE]}?${a[c.BUILD]}?`),u("LOOSE",`^${a[c.LOOSEPLAIN]}$`),u("GTLT","((?:<|>)?=?)"),u("XRANGEIDENTIFIERLOOSE",a[c.NUMERICIDENTIFIERLOOSE]+"|x|X|\\*"),u("XRANGEIDENTIFIER",a[c.NUMERICIDENTIFIER]+"|x|X|\\*"),u("XRANGEPLAIN",`[v=\\s]*(${a[c.XRANGEIDENTIFIER]})(?:\\.(${a[c.XRANGEIDENTIFIER]})(?:\\.(${a[c.XRANGEIDENTIFIER]})(?:${a[c.PRERELEASE]})?${a[c.BUILD]}?)?)?`),u("XRANGEPLAINLOOSE",`[v=\\s]*(${a[c.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[c.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[c.XRANGEIDENTIFIERLOOSE]})(?:${a[c.PRERELEASELOOSE]})?${a[c.BUILD]}?)?)?`),u("XRANGE",`^${a[c.GTLT]}\\s*${a[c.XRANGEPLAIN]}$`),u("XRANGELOOSE",`^${a[c.GTLT]}\\s*${a[c.XRANGEPLAINLOOSE]}$`),u("COERCE",`(^|[^\\d])(\\d{1,${r}})(?:\\.(\\d{1,${r}}))?(?:\\.(\\d{1,${r}}))?(?:$|[^\\d])`),u("COERCERTL",a[c.COERCE],!0),u("LONETILDE","(?:~>?)"),u("TILDETRIM",`(\\s*)${a[c.LONETILDE]}\\s+`,!0),t.tildeTrimReplace="$1~",u("TILDE",`^${a[c.LONETILDE]}${a[c.XRANGEPLAIN]}$`),u("TILDELOOSE",`^${a[c.LONETILDE]}${a[c.XRANGEPLAINLOOSE]}$`),u("LONECARET","(?:\\^)"),u("CARETTRIM",`(\\s*)${a[c.LONECARET]}\\s+`,!0),t.caretTrimReplace="$1^",u("CARET",`^${a[c.LONECARET]}${a[c.XRANGEPLAIN]}$`),u("CARETLOOSE",`^${a[c.LONECARET]}${a[c.XRANGEPLAINLOOSE]}$`),u("COMPARATORLOOSE",`^${a[c.GTLT]}\\s*(${a[c.LOOSEPLAIN]})$|^$`),u("COMPARATOR",`^${a[c.GTLT]}\\s*(${a[c.FULLPLAIN]})$|^$`),u("COMPARATORTRIM",`(\\s*)${a[c.GTLT]}\\s*(${a[c.LOOSEPLAIN]}|${a[c.XRANGEPLAIN]})`,!0),t.comparatorTrimReplace="$1$2$3",u("HYPHENRANGE",`^\\s*(${a[c.XRANGEPLAIN]})\\s+-\\s+(${a[c.XRANGEPLAIN]})\\s*$`),u("HYPHENRANGELOOSE",`^\\s*(${a[c.XRANGEPLAINLOOSE]})\\s+-\\s+(${a[c.XRANGEPLAINLOOSE]})\\s*$`),u("STAR","(<|>)?=?\\s*\\*"),u("GTE0","^\\s*>=\\s*0.0.0\\s*$"),u("GTE0PRE","^\\s*>=\\s*0.0.0-0\\s*$")},function(e,t,n){(function(t){const n="object"==typeof t&&t.env&&t.env.NODE_DEBUG&&/\bsemver\b/i.test(t.env.NODE_DEBUG)?(...e)=>console.error("SEMVER",...e):()=>{};e.exports=n}).call(this,n(47))},function(e,t,n){const r=n(90),{MAX_LENGTH:i,MAX_SAFE_INTEGER:o}=n(41),{re:a,t:c}=n(89),{compareIdentifiers:s}=n(151);class u{constructor(e,t){if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof u){if(e.loose===!!t.loose&&e.includePrerelease===!!t.includePrerelease)return e;e=e.version}else if("string"!=typeof e)throw new TypeError("Invalid Version: "+e);if(e.length>i)throw new TypeError(`version is longer than ${i} characters`);r("SemVer",e,t),this.options=t,this.loose=!!t.loose,this.includePrerelease=!!t.includePrerelease;const n=e.trim().match(t.loose?a[c.LOOSE]:a[c.FULL]);if(!n)throw new TypeError("Invalid Version: "+e);if(this.raw=e,this.major=+n[1],this.minor=+n[2],this.patch=+n[3],this.major>o||this.major<0)throw new TypeError("Invalid major version");if(this.minor>o||this.minor<0)throw new TypeError("Invalid minor version");if(this.patch>o||this.patch<0)throw new TypeError("Invalid patch version");n[4]?this.prerelease=n[4].split(".").map(e=>{if(/^[0-9]+$/.test(e)){const t=+e;if(t>=0&&t<o)return t}return e}):this.prerelease=[],this.build=n[5]?n[5].split("."):[],this.format()}format(){return this.version=`${this.major}.${this.minor}.${this.patch}`,this.prerelease.length&&(this.version+="-"+this.prerelease.join(".")),this.version}toString(){return this.version}compare(e){if(r("SemVer.compare",this.version,this.options,e),!(e instanceof u)){if("string"==typeof e&&e===this.version)return 0;e=new u(e,this.options)}return e.version===this.version?0:this.compareMain(e)||this.comparePre(e)}compareMain(e){return e instanceof u||(e=new u(e,this.options)),s(this.major,e.major)||s(this.minor,e.minor)||s(this.patch,e.patch)}comparePre(e){if(e instanceof u||(e=new u(e,this.options)),this.prerelease.length&&!e.prerelease.length)return-1;if(!this.prerelease.length&&e.prerelease.length)return 1;if(!this.prerelease.length&&!e.prerelease.length)return 0;let t=0;do{const n=this.prerelease[t],i=e.prerelease[t];if(r("prerelease compare",t,n,i),void 0===n&&void 0===i)return 0;if(void 0===i)return 1;if(void 0===n)return-1;if(n!==i)return s(n,i)}while(++t)}compareBuild(e){e instanceof u||(e=new u(e,this.options));let t=0;do{const n=this.build[t],i=e.build[t];if(r("prerelease compare",t,n,i),void 0===n&&void 0===i)return 0;if(void 0===i)return 1;if(void 0===n)return-1;if(n!==i)return s(n,i)}while(++t)}inc(e,t){switch(e){case"premajor":this.prerelease.length=0,this.patch=0,this.minor=0,this.major++,this.inc("pre",t);break;case"preminor":this.prerelease.length=0,this.patch=0,this.minor++,this.inc("pre",t);break;case"prepatch":this.prerelease.length=0,this.inc("patch",t),this.inc("pre",t);break;case"prerelease":0===this.prerelease.length&&this.inc("patch",t),this.inc("pre",t);break;case"major":0===this.minor&&0===this.patch&&0!==this.prerelease.length||this.major++,this.minor=0,this.patch=0,this.prerelease=[];break;case"minor":0===this.patch&&0!==this.prerelease.length||this.minor++,this.patch=0,this.prerelease=[];break;case"patch":0===this.prerelease.length&&this.patch++,this.prerelease=[];break;case"pre":if(0===this.prerelease.length)this.prerelease=[0];else{let e=this.prerelease.length;for(;--e>=0;)"number"==typeof this.prerelease[e]&&(this.prerelease[e]++,e=-2);-1===e&&this.prerelease.push(0)}t&&(this.prerelease[0]===t?isNaN(this.prerelease[1])&&(this.prerelease=[t,0]):this.prerelease=[t,0]);break;default:throw new Error("invalid increment argument: "+e)}return this.format(),this.raw=this.version,this}}e.exports=u},function(e,t,n){"use strict";var r,i,o,a=n(93),c=n(9),s=n(4),u=n(1),f=n(35),l=u("iterator"),h=!1;[].keys&&("next"in(o=[].keys())?(i=a(a(o)))!==Object.prototype&&(r=i):h=!0),null==r&&(r={}),f||s(r,l)||c(r,l,(function(){return this})),e.exports={IteratorPrototype:r,BUGGY_SAFARI_ITERATORS:h}},function(e,t,n){var r=n(4),i=n(16),o=n(38),a=n(155),c=o("IE_PROTO"),s=Object.prototype;e.exports=a?Object.getPrototypeOf:function(e){return e=i(e),r(e,c)?e[c]:"function"==typeof e.constructor&&e instanceof e.constructor?e.constructor.prototype:e instanceof Object?s:null}},function(e,t,n){var r=n(5),i=n(156);e.exports=Object.setPrototypeOf||("__proto__"in{}?function(){var e,t=!1,n={};try{(e=Object.getOwnPropertyDescriptor(Object.prototype,"__proto__").set).call(n,[]),t=n instanceof Array}catch(e){}return function(n,o){return r(n),i(o),t?e.call(n,o):n.__proto__=o,n}}():void 0)},function(e,t,n){var r=n(26),i=n(6),o=n(4),a=n(8).f,c=n(39),s=n(159),u=c("meta"),f=0,l=Object.isExtensible||function(){return!0},h=function(e){a(e,u,{value:{objectID:"O"+ ++f,weakData:{}}})},d=e.exports={REQUIRED:!1,fastKey:function(e,t){if(!i(e))return"symbol"==typeof e?e:("string"==typeof e?"S":"P")+e;if(!o(e,u)){if(!l(e))return"F";if(!t)return"E";h(e)}return e[u].objectID},getWeakData:function(e,t){if(!o(e,u)){if(!l(e))return!0;if(!t)return!1;h(e)}return e[u].weakData},onFreeze:function(e){return s&&d.REQUIRED&&l(e)&&!o(e,u)&&h(e),e}};r[u]=!0},function(e,t){e.exports={CSSRuleList:0,CSSStyleDeclaration:0,CSSValueList:0,ClientRectList:0,DOMRectList:0,DOMStringList:0,DOMTokenList:1,DataTransferItemList:0,FileList:0,HTMLAllCollection:0,HTMLCollection:0,HTMLFormElement:0,HTMLSelectElement:0,MediaList:0,MimeTypeArray:0,NamedNodeMap:0,NodeList:1,PaintRequestList:0,Plugin:0,PluginArray:0,SVGLengthList:0,SVGNumberList:0,SVGPathSegList:0,SVGPointList:0,SVGStringList:0,SVGTransformList:0,SourceBufferList:0,StyleSheetList:0,TextTrackCueList:0,TextTrackList:0,TouchList:0}},function(e,t,n){"use strict";var r=n(5);e.exports=function(){var e=r(this),t="";return e.global&&(t+="g"),e.ignoreCase&&(t+="i"),e.multiline&&(t+="m"),e.dotAll&&(t+="s"),e.unicode&&(t+="u"),e.sticky&&(t+="y"),t}},,function(e,t,n){"use strict";var r=n(12),i=n(5),o=n(0),a=n(97),c=RegExp.prototype,s=c.toString,u=o((function(){return"/a/b"!=s.call({source:"a",flags:"b"})})),f="toString"!=s.name;(u||f)&&r(RegExp.prototype,"toString",(function(){var e=i(this),t=String(e.source),n=e.flags;return"/"+t+"/"+String(void 0===n&&e instanceof RegExp&&!("flags"in c)?a.call(e):n)}),{unsafe:!0})},function(e,t,n){"use strict";var r=n(68).charAt,i=n(20),o=n(42),a=i.set,c=i.getterFor("String Iterator");o(String,"String",(function(e){a(this,{type:"String Iterator",string:String(e),index:0})}),(function(){var e,t=c(this),n=t.string,i=t.index;return i>=n.length?{value:void 0,done:!0}:(e=r(n,i),t.index+=e.length,{value:e,done:!1})}))},function(e,t,n){"use strict";var r=n(122),i=n(5),o=n(16),a=n(15),c=n(23),s=n(21),u=n(123),f=n(124),l=Math.max,h=Math.min,d=Math.floor,p=/\$([$&'`]|\d\d?|<[^>]*>)/g,g=/\$([$&'`]|\d\d?)/g;r("replace",2,(function(e,t,n,r){var v=r.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE,b=r.REPLACE_KEEPS_$0,m=v?"$":"$0";return[function(n,r){var i=s(this),o=null==n?void 0:n[e];return void 0!==o?o.call(n,i,r):t.call(String(i),n,r)},function(e,r){if(!v&&b||"string"==typeof r&&-1===r.indexOf(m)){var o=n(t,e,this,r);if(o.done)return o.value}var s=i(e),d=String(this),p="function"==typeof r;p||(r=String(r));var g=s.global;if(g){var E=s.unicode;s.lastIndex=0}for(var x=[];;){var w=f(s,d);if(null===w)break;if(x.push(w),!g)break;""===String(w[0])&&(s.lastIndex=u(d,a(s.lastIndex),E))}for(var O,R="",S=0,I=0;I<x.length;I++){w=x[I];for(var T=String(w[0]),A=l(h(c(w.index),d.length),0),N=[],C=1;C<w.length;C++)N.push(void 0===(O=w[C])?O:String(O));var L=w.groups;if(p){var k=[T].concat(N,A,d);void 0!==L&&k.push(L);var P=String(r.apply(void 0,k))}else P=y(T,d,A,N,L,r);A>=S&&(R+=d.slice(S,A)+P,S=A+T.length)}return R+d.slice(S)}];function y(e,n,r,i,a,c){var s=r+e.length,u=i.length,f=g;return void 0!==a&&(a=o(a),f=p),t.call(c,f,(function(t,o){var c;switch(o.charAt(0)){case"$":return"$";case"&":return e;case"`":return n.slice(0,r);case"'":return n.slice(s);case"<":c=a[o.slice(1,-1)];break;default:var f=+o;if(0===f)return t;if(f>u){var l=d(f/10);return 0===l?t:l<=u?void 0===i[l-1]?o.charAt(1):i[l-1]+o.charAt(1):t}c=i[f-1]}return void 0===c?"":c}))}}))},function(e,t,n){var r=n(2),i=n(96),o=n(52),a=n(9),c=n(1),s=c("iterator"),u=c("toStringTag"),f=o.values;for(var l in i){var h=r[l],d=h&&h.prototype;if(d){if(d[s]!==f)try{a(d,s,f)}catch(e){d[s]=f}if(d[u]||a(d,u,l),i[l])for(var p in o)if(d[p]!==o[p])try{a(d,p,o[p])}catch(e){d[p]=o[p]}}}},function(e,t,n){"use strict";var r=n(10),i=n(74).indexOf,o=n(64),a=n(31),c=[].indexOf,s=!!c&&1/[1].indexOf(1,-0)<0,u=o("indexOf"),f=a("indexOf",{ACCESSORS:!0,1:0});r({target:"Array",proto:!0,forced:s||!u||!f},{indexOf:function(e){return s?c.apply(this,arguments)||0:i(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t){e.exports=function(e){if("function"!=typeof e)throw TypeError(String(e)+" is not a function");return e}},function(e,t,n){"use strict";var r=n(34),i=n(8),o=n(24);e.exports=function(e,t,n){var a=r(t);a in e?i.f(e,a,o(0,n)):e[a]=n}},function(e,t,n){var r=n(67),i=n(17),o=n(1)("iterator");e.exports=function(e){if(null!=e)return e[o]||e["@@iterator"]||i[r(e)]}},function(e,t,n){var r=n(73),i=n(40).concat("length","prototype");t.f=Object.getOwnPropertyNames||function(e){return r(e,i)}},function(e,t,n){var r=n(23),i=Math.max,o=Math.min;e.exports=function(e,t){var n=r(e);return n<0?i(n+t,0):o(n,t)}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),Object.defineProperty(t,"getRequestToken",{enumerable:!0,get:function(){return r.getRequestToken}}),Object.defineProperty(t,"onRequestTokenUpdate",{enumerable:!0,get:function(){return r.onRequestTokenUpdate}}),Object.defineProperty(t,"getCurrentUser",{enumerable:!0,get:function(){return i.getCurrentUser}});var r=n(146),i=n(163)},function(e,t,n){"use strict";var r=n(10),i=n(63).filter,o=n(56),a=n(31),c=o("filter"),s=a("filter");r({target:"Array",proto:!0,forced:!c||!s},{filter:function(e){return i(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t,n){var r=n(6),i=n(94);e.exports=function(e,t,n){var o,a;return i&&"function"==typeof(o=t.constructor)&&o!==n&&r(a=o.prototype)&&a!==n.prototype&&i(e,a),e}},function(e,t,n){var r=n(12);e.exports=function(e,t,n){for(var i in t)r(e,i,t[i],n);return e}},function(e,t,n){"use strict";var r=n(7),i=n(0),o=n(76),a=n(75),c=n(69),s=n(16),u=n(36),f=Object.assign,l=Object.defineProperty;e.exports=!f||i((function(){if(r&&1!==f({b:1},f(l({},"a",{enumerable:!0,get:function(){l(this,"b",{value:3,enumerable:!1})}}),{b:2})).b)return!0;var e={},t={},n=Symbol();return e[n]=7,"abcdefghijklmnopqrst".split("").forEach((function(e){t[e]=e})),7!=f({},e)[n]||"abcdefghijklmnopqrst"!=o(f({},t)).join("")}))?function(e,t){for(var n=s(e),i=arguments.length,f=1,l=a.f,h=c.f;i>f;)for(var d,p=u(arguments[f++]),g=l?o(p).concat(l(p)):o(p),v=g.length,b=0;v>b;)d=g[b++],r&&!h.call(p,d)||(n[d]=p[d]);return n}:f},function(e,t,n){var r=n(22);e.exports=r("navigator","userAgent")||""},function(e,t,n){var r=n(7),i=n(8),o=n(5),a=n(76);e.exports=r?Object.defineProperties:function(e,t){o(e);for(var n,r=a(t),c=r.length,s=0;c>s;)i.f(e,n=r[s++],t[n]);return e}},function(e,t,n){var r=n(22);e.exports=r("document","documentElement")},function(e,t,n){"use strict";var r=n(92).IteratorPrototype,i=n(32),o=n(24),a=n(33),c=n(17),s=function(){return this};e.exports=function(e,t,n){var u=t+" Iterator";return e.prototype=i(r,{next:o(1,n)}),a(e,u,!1,!0),c[u]=s,e}},function(e,t,n){var r=n(1),i=n(17),o=r("iterator"),a=Array.prototype;e.exports=function(e){return void 0!==e&&(i.Array===e||a[o]===e)}},function(e,t,n){var r=n(5);e.exports=function(e,t,n,i){try{return i?t(r(n)[0],n[1]):t(n)}catch(t){var o=e.return;throw void 0!==o&&r(o.call(e)),t}}},function(e,t,n){var r=n(1)("iterator"),i=!1;try{var o=0,a={next:function(){return{done:!!o++}},return:function(){i=!0}};a[r]=function(){return this},Array.from(a,(function(){throw 2}))}catch(e){}e.exports=function(e,t){if(!t&&!i)return!1;var n=!1;try{var o={};o[r]=function(){return{next:function(){return{done:n=!0}}}},e(o)}catch(e){}return n}},function(e,t,n){"use strict";var r=n(22),i=n(8),o=n(1),a=n(7),c=o("species");e.exports=function(e){var t=r(e),n=i.f;a&&t&&!t[c]&&n(t,c,{configurable:!0,get:function(){return this}})}},function(e,t,n){"use strict";n(53);var r=n(12),i=n(0),o=n(1),a=n(44),c=n(9),s=o("species"),u=!i((function(){var e=/./;return e.exec=function(){var e=[];return e.groups={a:"7"},e},"7"!=="".replace(e,"$<a>")})),f="$0"==="a".replace(/./,"$0"),l=o("replace"),h=!!/./[l]&&""===/./[l]("a","$0"),d=!i((function(){var e=/(?:)/,t=e.exec;e.exec=function(){return t.apply(this,arguments)};var n="ab".split(e);return 2!==n.length||"a"!==n[0]||"b"!==n[1]}));e.exports=function(e,t,n,l){var p=o(e),g=!i((function(){var t={};return t[p]=function(){return 7},7!=""[e](t)})),v=g&&!i((function(){var t=!1,n=/a/;return"split"===e&&((n={}).constructor={},n.constructor[s]=function(){return n},n.flags="",n[p]=/./[p]),n.exec=function(){return t=!0,null},n[p](""),!t}));if(!g||!v||"replace"===e&&(!u||!f||h)||"split"===e&&!d){var b=/./[p],m=n(p,""[e],(function(e,t,n,r,i){return t.exec===a?g&&!i?{done:!0,value:b.call(t,n,r)}:{done:!0,value:e.call(n,t,r)}:{done:!1}}),{REPLACE_KEEPS_$0:f,REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE:h}),y=m[0],E=m[1];r(String.prototype,e,y),r(RegExp.prototype,p,2==t?function(e,t){return E.call(e,this,t)}:function(e){return E.call(e,this)})}l&&c(RegExp.prototype[p],"sham",!0)}},function(e,t,n){"use strict";var r=n(68).charAt;e.exports=function(e,t,n){return t+(n?r(e,t).length:1)}},function(e,t,n){var r=n(19),i=n(44);e.exports=function(e,t){var n=e.exec;if("function"==typeof n){var o=n.call(e,t);if("object"!=typeof o)throw TypeError("RegExp exec method returned something other than an Object or null");return o}if("RegExp"!==r(e))throw TypeError("RegExp#exec called on incompatible receiver");return i.call(e,t)}},function(e,t,n){var r=n(2),i=n(62),o=r.WeakMap;e.exports="function"==typeof o&&/native code/.test(i(o))},function(e,t,n){var r=n(4),i=n(127),o=n(54),a=n(8);e.exports=function(e,t){for(var n=i(t),c=a.f,s=o.f,u=0;u<n.length;u++){var f=n[u];r(e,f)||c(e,f,s(t,f))}}},function(e,t,n){var r=n(22),i=n(107),o=n(75),a=n(5);e.exports=r("Reflect","ownKeys")||function(e){var t=i.f(a(e)),n=o.f;return n?t.concat(n(e)):t}},function(e,t,n){var r=n(2);e.exports=r},function(e,t,n){e.exports=n(130)},function(e,t,n){"use strict";var r=n(3),i=n(77),o=n(131),a=n(83);function c(e){var t=new o(e),n=i(o.prototype.request,t);return r.extend(n,o.prototype,t),r.extend(n,t),n}var s=c(n(80));s.Axios=o,s.create=function(e){return c(a(s.defaults,e))},s.Cancel=n(84),s.CancelToken=n(144),s.isCancel=n(79),s.all=function(e){return Promise.all(e)},s.spread=n(145),e.exports=s,e.exports.default=s},function(e,t,n){"use strict";var r=n(3),i=n(78),o=n(132),a=n(133),c=n(83);function s(e){this.defaults=e,this.interceptors={request:new o,response:new o}}s.prototype.request=function(e){"string"==typeof e?(e=arguments[1]||{}).url=arguments[0]:e=e||{},(e=c(this.defaults,e)).method?e.method=e.method.toLowerCase():this.defaults.method?e.method=this.defaults.method.toLowerCase():e.method="get";var t=[a,void 0],n=Promise.resolve(e);for(this.interceptors.request.forEach((function(e){t.unshift(e.fulfilled,e.rejected)})),this.interceptors.response.forEach((function(e){t.push(e.fulfilled,e.rejected)}));t.length;)n=n.then(t.shift(),t.shift());return n},s.prototype.getUri=function(e){return e=c(this.defaults,e),i(e.url,e.params,e.paramsSerializer).replace(/^\?/,"")},r.forEach(["delete","get","head","options"],(function(e){s.prototype[e]=function(t,n){return this.request(r.merge(n||{},{method:e,url:t}))}})),r.forEach(["post","put","patch"],(function(e){s.prototype[e]=function(t,n,i){return this.request(r.merge(i||{},{method:e,url:t,data:n}))}})),e.exports=s},function(e,t,n){"use strict";var r=n(3);function i(){this.handlers=[]}i.prototype.use=function(e,t){return this.handlers.push({fulfilled:e,rejected:t}),this.handlers.length-1},i.prototype.eject=function(e){this.handlers[e]&&(this.handlers[e]=null)},i.prototype.forEach=function(e){r.forEach(this.handlers,(function(t){null!==t&&e(t)}))},e.exports=i},function(e,t,n){"use strict";var r=n(3),i=n(134),o=n(79),a=n(80);function c(e){e.cancelToken&&e.cancelToken.throwIfRequested()}e.exports=function(e){return c(e),e.headers=e.headers||{},e.data=i(e.data,e.headers,e.transformRequest),e.headers=r.merge(e.headers.common||{},e.headers[e.method]||{},e.headers),r.forEach(["delete","get","head","post","put","patch","common"],(function(t){delete e.headers[t]})),(e.adapter||a.adapter)(e).then((function(t){return c(e),t.data=i(t.data,t.headers,e.transformResponse),t}),(function(t){return o(t)||(c(e),t&&t.response&&(t.response.data=i(t.response.data,t.response.headers,e.transformResponse))),Promise.reject(t)}))}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t,n){return r.forEach(n,(function(n){e=n(e,t)})),e}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){r.forEach(e,(function(n,r){r!==t&&r.toUpperCase()===t.toUpperCase()&&(e[t]=n,delete e[r])}))}},function(e,t,n){"use strict";var r=n(82);e.exports=function(e,t,n){var i=n.config.validateStatus;!i||i(n.status)?e(n):t(r("Request failed with status code "+n.status,n.config,null,n.request,n))}},function(e,t,n){"use strict";e.exports=function(e,t,n,r,i){return e.config=t,n&&(e.code=n),e.request=r,e.response=i,e.isAxiosError=!0,e.toJSON=function(){return{message:this.message,name:this.name,description:this.description,number:this.number,fileName:this.fileName,lineNumber:this.lineNumber,columnNumber:this.columnNumber,stack:this.stack,config:this.config,code:this.code}},e}},function(e,t,n){"use strict";var r=n(139),i=n(140);e.exports=function(e,t){return e&&!r(t)?i(e,t):t}},function(e,t,n){"use strict";e.exports=function(e){return/^([a-z][a-z\d\+\-\.]*:)?\/\//i.test(e)}},function(e,t,n){"use strict";e.exports=function(e,t){return t?e.replace(/\/+$/,"")+"/"+t.replace(/^\/+/,""):e}},function(e,t,n){"use strict";var r=n(3),i=["age","authorization","content-length","content-type","etag","expires","from","host","if-modified-since","if-unmodified-since","last-modified","location","max-forwards","proxy-authorization","referer","retry-after","user-agent"];e.exports=function(e){var t,n,o,a={};return e?(r.forEach(e.split("\n"),(function(e){if(o=e.indexOf(":"),t=r.trim(e.substr(0,o)).toLowerCase(),n=r.trim(e.substr(o+1)),t){if(a[t]&&i.indexOf(t)>=0)return;a[t]="set-cookie"===t?(a[t]?a[t]:[]).concat([n]):a[t]?a[t]+", "+n:n}})),a):a}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?function(){var e,t=/(msie|trident)/i.test(navigator.userAgent),n=document.createElement("a");function i(e){var r=e;return t&&(n.setAttribute("href",r),r=n.href),n.setAttribute("href",r),{href:n.href,protocol:n.protocol?n.protocol.replace(/:$/,""):"",host:n.host,search:n.search?n.search.replace(/^\?/,""):"",hash:n.hash?n.hash.replace(/^#/,""):"",hostname:n.hostname,port:n.port,pathname:"/"===n.pathname.charAt(0)?n.pathname:"/"+n.pathname}}return e=i(window.location.href),function(t){var n=r.isString(t)?i(t):t;return n.protocol===e.protocol&&n.host===e.host}}():function(){return!0}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?{write:function(e,t,n,i,o,a){var c=[];c.push(e+"="+encodeURIComponent(t)),r.isNumber(n)&&c.push("expires="+new Date(n).toGMTString()),r.isString(i)&&c.push("path="+i),r.isString(o)&&c.push("domain="+o),!0===a&&c.push("secure"),document.cookie=c.join("; ")},read:function(e){var t=document.cookie.match(new RegExp("(^|;\\s*)("+e+")=([^;]*)"));return t?decodeURIComponent(t[3]):null},remove:function(e){this.write(e,"",Date.now()-864e5)}}:{write:function(){},read:function(){return null},remove:function(){}}},function(e,t,n){"use strict";var r=n(84);function i(e){if("function"!=typeof e)throw new TypeError("executor must be a function.");var t;this.promise=new Promise((function(e){t=e}));var n=this;e((function(e){n.reason||(n.reason=new r(e),t(n.reason))}))}i.prototype.throwIfRequested=function(){if(this.reason)throw this.reason},i.source=function(){var e;return{token:new i((function(t){e=t})),cancel:e}},e.exports=i},function(e,t,n){"use strict";e.exports=function(e){return function(t){return e.apply(null,t)}}},function(e,t,n){"use strict";n(85),Object.defineProperty(t,"__esModule",{value:!0}),t.getRequestToken=function(){return o},t.onRequestTokenUpdate=function(e){a.push(e)};var r=n(14),i=document.getElementsByTagName("head")[0],o=i?i.getAttribute("data-requesttoken"):null,a=[];(0,r.subscribe)("csrf-token-update",(function(e){o=e.token,a.forEach((function(t){try{t(e.token)}catch(e){console.error("error updating CSRF token observer",e)}}))}))},function(e,t,n){var r=n(88);e.exports=r&&!Symbol.sham&&"symbol"==typeof Symbol.iterator},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.ProxyBus=void 0;var r=o(n(149)),i=o(n(152));function o(e){return e&&e.__esModule?e:{default:e}}function a(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}var c="1.2.0",s=function(){function e(t){var n,o,a;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),a=void 0,(o="bus")in(n=this)?Object.defineProperty(n,o,{value:a,enumerable:!0,configurable:!0,writable:!0}):n[o]=a,"function"==typeof t.getVersion&&(0,r.default)(t.getVersion())?(0,i.default)(t.getVersion())!==(0,i.default)(this.getVersion())&&console.warn("Proxying an event bus of version "+t.getVersion()+" with "+this.getVersion()):console.warn("Proxying an event bus with an unknown or invalid version"),this.bus=t}var t,n,o;return t=e,(n=[{key:"getVersion",value:function(){return c}},{key:"subscribe",value:function(e,t){this.bus.subscribe(e,t)}},{key:"unsubscribe",value:function(e,t){this.bus.unsubscribe(e,t)}},{key:"emit",value:function(e,t){this.bus.emit(e,t)}}])&&a(t.prototype,n),o&&a(t,o),e}();t.ProxyBus=s},function(e,t,n){const r=n(150);e.exports=(e,t)=>{const n=r(e,t);return n?n.version:null}},function(e,t,n){const{MAX_LENGTH:r}=n(41),{re:i,t:o}=n(89),a=n(91);e.exports=(e,t)=>{if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof a)return e;if("string"!=typeof e)return null;if(e.length>r)return null;if(!(t.loose?i[o.LOOSE]:i[o.FULL]).test(e))return null;try{return new a(e,t)}catch(e){return null}}},function(e,t){const n=/^[0-9]+$/,r=(e,t)=>{const r=n.test(e),i=n.test(t);return r&&i&&(e=+e,t=+t),e===t?0:r&&!i?-1:i&&!r?1:e<t?-1:1};e.exports={compareIdentifiers:r,rcompareIdentifiers:(e,t)=>r(t,e)}},function(e,t,n){const r=n(91);e.exports=(e,t)=>new r(e,t).major},function(e,t,n){"use strict";function r(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}n(60),n(110),n(85),n(52),n(157),n(51),n(100),n(162),n(102),Object.defineProperty(t,"__esModule",{value:!0}),t.SimpleBus=void 0;var i="1.2.0",o=function(){function e(){var t,n,r;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),t=this,n="handlers",r=new Map,n in t?Object.defineProperty(t,n,{value:r,enumerable:!0,configurable:!0,writable:!0}):t[n]=r}var t,n,o;return t=e,(n=[{key:"getVersion",value:function(){return i}},{key:"subscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).concat(t))}},{key:"unsubscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).filter((function(e){return e!=t})))}},{key:"emit",value:function(e,t){(this.handlers.get(e)||[]).forEach((function(e){try{e(t)}catch(e){console.error("could not invoke event listener",e)}}))}}])&&r(t.prototype,n),o&&r(t,o),e}();t.SimpleBus=o},function(e,t,n){var r=n(1),i=n(32),o=n(8),a=r("unscopables"),c=Array.prototype;null==c[a]&&o.f(c,a,{configurable:!0,value:i(null)}),e.exports=function(e){c[a][e]=!0}},function(e,t,n){var r=n(0);e.exports=!r((function(){function e(){}return e.prototype.constructor=null,Object.getPrototypeOf(new e)!==e.prototype}))},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e)&&null!==e)throw TypeError("Can't set "+String(e)+" as a prototype");return e}},function(e,t,n){"use strict";var r=n(158),i=n(160);e.exports=r("Map",(function(e){return function(){return e(this,arguments.length?arguments[0]:void 0)}}),i)},function(e,t,n){"use strict";var r=n(10),i=n(2),o=n(55),a=n(12),c=n(95),s=n(66),u=n(57),f=n(6),l=n(0),h=n(120),d=n(33),p=n(111);e.exports=function(e,t,n){var g=-1!==e.indexOf("Map"),v=-1!==e.indexOf("Weak"),b=g?"set":"add",m=i[e],y=m&&m.prototype,E=m,x={},w=function(e){var t=y[e];a(y,e,"add"==e?function(e){return t.call(this,0===e?0:e),this}:"delete"==e?function(e){return!(v&&!f(e))&&t.call(this,0===e?0:e)}:"get"==e?function(e){return v&&!f(e)?void 0:t.call(this,0===e?0:e)}:"has"==e?function(e){return!(v&&!f(e))&&t.call(this,0===e?0:e)}:function(e,n){return t.call(this,0===e?0:e,n),this})};if(o(e,"function"!=typeof m||!(v||y.forEach&&!l((function(){(new m).entries().next()})))))E=n.getConstructor(t,e,g,b),c.REQUIRED=!0;else if(o(e,!0)){var O=new E,R=O[b](v?{}:-0,1)!=O,S=l((function(){O.has(1)})),I=h((function(e){new m(e)})),T=!v&&l((function(){for(var e=new m,t=5;t--;)e[b](t,t);return!e.has(-0)}));I||((E=t((function(t,n){u(t,E,e);var r=p(new m,t,E);return null!=n&&s(n,r[b],r,g),r}))).prototype=y,y.constructor=E),(S||T)&&(w("delete"),w("has"),g&&w("get")),(T||R)&&w(b),v&&y.clear&&delete y.clear}return x[e]=E,r({global:!0,forced:E!=m},x),d(E,e),v||n.setStrong(E,e,g),E}},function(e,t,n){var r=n(0);e.exports=!r((function(){return Object.isExtensible(Object.preventExtensions({}))}))},function(e,t,n){"use strict";var r=n(8).f,i=n(32),o=n(112),a=n(30),c=n(57),s=n(66),u=n(42),f=n(121),l=n(7),h=n(95).fastKey,d=n(20),p=d.set,g=d.getterFor;e.exports={getConstructor:function(e,t,n,u){var f=e((function(e,r){c(e,f,t),p(e,{type:t,index:i(null),first:void 0,last:void 0,size:0}),l||(e.size=0),null!=r&&s(r,e[u],e,n)})),d=g(t),v=function(e,t,n){var r,i,o=d(e),a=b(e,t);return a?a.value=n:(o.last=a={index:i=h(t,!0),key:t,value:n,previous:r=o.last,next:void 0,removed:!1},o.first||(o.first=a),r&&(r.next=a),l?o.size++:e.size++,"F"!==i&&(o.index[i]=a)),e},b=function(e,t){var n,r=d(e),i=h(t);if("F"!==i)return r.index[i];for(n=r.first;n;n=n.next)if(n.key==t)return n};return o(f.prototype,{clear:function(){for(var e=d(this),t=e.index,n=e.first;n;)n.removed=!0,n.previous&&(n.previous=n.previous.next=void 0),delete t[n.index],n=n.next;e.first=e.last=void 0,l?e.size=0:this.size=0},delete:function(e){var t=d(this),n=b(this,e);if(n){var r=n.next,i=n.previous;delete t.index[n.index],n.removed=!0,i&&(i.next=r),r&&(r.previous=i),t.first==n&&(t.first=r),t.last==n&&(t.last=i),l?t.size--:this.size--}return!!n},forEach:function(e){for(var t,n=d(this),r=a(e,arguments.length>1?arguments[1]:void 0,3);t=t?t.next:n.first;)for(r(t.value,t.key,this);t&&t.removed;)t=t.previous},has:function(e){return!!b(this,e)}}),o(f.prototype,n?{get:function(e){var t=b(this,e);return t&&t.value},set:function(e,t){return v(this,0===e?0:e,t)}}:{add:function(e){return v(this,e=0===e?0:e,e)}}),l&&r(f.prototype,"size",{get:function(){return d(this).size}}),f},setStrong:function(e,t,n){var r=t+" Iterator",i=g(t),o=g(r);u(e,t,(function(e,t){p(this,{type:r,target:e,state:i(e),kind:t,last:void 0})}),(function(){for(var e=o(this),t=e.kind,n=e.last;n&&n.removed;)n=n.previous;return e.target&&(e.last=n=n?n.next:e.state.first)?"keys"==t?{value:n.key,done:!1}:"values"==t?{value:n.value,done:!1}:{value:[n.key,n.value],done:!1}:(e.target=void 0,{value:void 0,done:!0})}),n?"entries":"values",!n,!0),f(t)}}},function(e,t,n){"use strict";var r=n(43),i=n(67);e.exports=r?{}.toString:function(){return"[object "+i(this)+"]"}},function(e,t,n){var r=n(2),i=n(96),o=n(86),a=n(9);for(var c in i){var s=r[c],u=s&&s.prototype;if(u&&u.forEach!==o)try{a(u,"forEach",o)}catch(e){u.forEach=o}}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.getCurrentUser=function(){if(null===i)return null;return{uid:i,displayName:a}};var r=document.getElementsByTagName("head")[0],i=r?r.getAttribute("data-user"):null,o=document.getElementsByTagName("head")[0],a=o?o.getAttribute("data-user-displayname"):null},function(e,t,n){"use strict";var r=n(0);function i(e,t){return RegExp(e,t)}t.UNSUPPORTED_Y=r((function(){var e=i("a","y");return e.lastIndex=2,null!=e.exec("abcd")})),t.BROKEN_CARET=r((function(){var e=i("^r","gy");return e.lastIndex=2,null!=e.exec("str")}))},function(e,t,n){"use strict";var r=n(27);n.n(r).a},function(e,t,n){(t=n(49)(!1)).push([e.i,"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\n",""]),e.exports=t},function(e,t,n){"use strict";n.d(t,"a",(function(){return i})),n.d(t,"b",(function(){return a})),n.d(t,"c",(function(){return s}));var r=n(11),i=function(e){(document.attachEvent?"complete"===document.readyState:"loading"!==document.readyState)?setTimeout(e,0):document.addEventListener("DOMContentLoaded",e)},o=Object(r.generateUrl)("/apps/text"),a=function(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1];return t?"".concat(o,"/public/").concat(e):"".concat(o,"/").concat(e)},c=["Artichoke","Arugula","Asparagus","Avocado","Bamboo Shoot","Bean Sprout","Bean","Beet","Belgian Endive","Bell Pepper","Bitter Melon","Bitter Gourd","Bok Choy","Broccoli","Brussels Sprout","Burdock Root","Cabbage","Calabash","Caper","Carrot","Cassava","Cauliflower","Celery","Celery Root","Celtuce","Chayote","Chinese Broccoli","Corn","Baby Corn","Cucumber","English Cucumber","Gherkin","Pickling Cucumber","Daikon Radish","Edamame","Eggplant","Elephant Garlic","Endive","Curly","Escarole","Fennel","Fiddlehead","Galangal","Garlic","Ginger","Grape Leave","Green Bean","Wax Bean","Green","Amaranth Leave","Beet Green","Collard Green","Dandelion Green","Kale","Kohlrabi Green","Mustard Green","Rapini","Spinach","Swiss Chard","Turnip Green","Hearts of Palm","Horseradish","Jerusalem Artichoke","Jícama","Kale","Curly","Lacinato","Ornamental","Kohlrabi","Leeks","Lemongrass","Lettuce","Butterhead","Iceberg","Leaf","Romaine","Lotus Root","Lotus Seed","Mushroom","Napa Cabbage","Nopales","Okra","Olive","Onion","Green Onion","Parsley","Parsley Root","Parsnip","Pepper","Plantain","Potato","Pumpkin","Purslane","Radicchio","Radish","Rutabaga","Shallots","Spinach","Squash","Sweet Potato","Swiss Chard","Taro","Tomatillo","Tomato","Turnip","Water Chestnut","Water Spinach","Watercress","Winter Melon","Yams","Zucchini"],s=function(){return c[Math.floor(Math.random()*c.length)]}},,,,function(e,t,n){"use strict";n.r(t);var r=n(167),i=n(28),o=n(13),a=n(48);n.nc=btoa(OC.requestToken),n.p=OC.linkTo("text","js/"),Object(r.a)((function(){var e=document.getElementById("dir").value,t=document.getElementById("mimetype").value,r=document.getElementById("sharingToken")?document.getElementById("sharingToken").value:null;if(r)if(""!==e)OC.Plugins.register("OCA.Files.FileList",i.a),Object(i.c)(),Object(i.d)();else{var a=document.createElement("div");a.id="texteditor",document.getElementById("app-content").appendChild(a),-1!==o.a.indexOf(t)&&Promise.all([n.e(0).then(n.bind(null,45)),Promise.all([n.e(0),n.e(188),n.e(197),n.e(189)]).then(n.bind(null,176))]).then((function(e){var n=e[0].default;n.prototype.t=window.t,n.prototype.OCA=window.OCA;var i=e[1].default;new n({render:function(e){return e(i,{props:{active:!0,shareToken:r,mime:t}})}}).$mount(document.getElementById("preview"))}))}})),OCA.Text={RichWorkspaceEnabled:Object(a.loadState)("text","workspace_available")}}]);
+var r=["text/markdown"],i=["text/plain","application/cmd","application/x-empty","application/x-msdos-program","application/epub+zip","application/javascript","application/json","application/x-perl","application/x-php","application/x-tex","application/xml","application/yaml","text/css","text/csv","text/html","text/x-c","text/x-c++src","text/x-h","text/x-java-source","text/x-ldif","text/x-python","text/x-shellscript"],o=[].concat(r,i)},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.subscribe=function(e,t){o.subscribe(e,t)},t.unsubscribe=function(e,t){o.unsubscribe(e,t)},t.emit=function(e,t){o.emit(e,t)};var r=n(148),i=n(153);var o=(void 0!==window.OC&&window.OC._eventBus&&void 0===window._nc_event_bus&&(console.warn("found old event bus instance at OC._eventBus. Update your version!"),window._nc_event_bus=window.OC._eventBus),void 0!==window._nc_event_bus?new r.ProxyBus(window._nc_event_bus):window._nc_event_bus=new i.SimpleBus)},function(e,t,n){var r=n(23),i=Math.min;e.exports=function(e){return e>0?i(r(e),9007199254740991):0}},function(e,t,n){var r=n(21);e.exports=function(e){return Object(r(e))}},function(e,t){e.exports={}},function(e,t,n){"use strict";function r(e,t,n,r,i,o,a,c){var s,u="function"==typeof e?e.options:e;if(t&&(u.render=t,u.staticRenderFns=n,u._compiled=!0),r&&(u.functional=!0),o&&(u._scopeId="data-v-"+o),a?(s=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),i&&i.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(a)},u._ssrRegister=s):i&&(s=c?function(){i.call(this,(u.functional?this.parent:this).$root.$options.shadowRoot)}:i),s)if(u.functional){u._injectStyles=s;var f=u.render;u.render=function(e,t){return s.call(t),f(e,t)}}else{var l=u.beforeCreate;u.beforeCreate=l?[].concat(l,s):[s]}return{exports:e,options:u}}n.d(t,"a",(function(){return r}))},function(e,t){var n={}.toString;e.exports=function(e){return n.call(e).slice(8,-1)}},function(e,t,n){var r,i,o,a=n(125),c=n(2),s=n(6),u=n(9),f=n(4),l=n(38),h=n(26),d=c.WeakMap;if(a){var p=new d,g=p.get,v=p.has,b=p.set;r=function(e,t){return b.call(p,e,t),t},i=function(e){return g.call(p,e)||{}},o=function(e){return v.call(p,e)}}else{var m=l("state");h[m]=!0,r=function(e,t){return u(e,m,t),t},i=function(e){return f(e,m)?e[m]:{}},o=function(e){return f(e,m)}}e.exports={set:r,get:i,has:o,enforce:function(e){return o(e)?i(e):r(e,{})},getterFor:function(e){return function(t){var n;if(!s(t)||(n=i(t)).type!==e)throw TypeError("Incompatible receiver, "+e+" required");return n}}}},function(e,t){e.exports=function(e){if(null==e)throw TypeError("Can't call method on "+e);return e}},function(e,t,n){var r=n(128),i=n(2),o=function(e){return"function"==typeof e?e:void 0};e.exports=function(e,t){return arguments.length<2?o(r[e])||o(i[e]):r[e]&&r[e][t]||i[e]&&i[e][t]}},function(e,t){var n=Math.ceil,r=Math.floor;e.exports=function(e){return isNaN(e=+e)?0:(e>0?r:n)(e)}},function(e,t){e.exports=function(e,t){return{enumerable:!(1&e),configurable:!(2&e),writable:!(4&e),value:t}}},function(e,t,n){var r=n(36),i=n(21);e.exports=function(e){return r(i(e))}},function(e,t){e.exports={}},function(e,t,n){var r=n(166);"string"==typeof r&&(r=[[e.i,r,""]]),r.locals&&(e.exports=r.locals);(0,n(50).default)("df9632ee",r,!0,{})},function(e,n,r){"use strict";r.d(n,"b",(function(){return g})),r.d(n,"c",(function(){return b})),r.d(n,"d",(function(){return v})),r.d(n,"a",(function(){return m}));var i=r(13),o=r(29),a=r.n(o),c=r(11),s=r(14);function u(e,t,n,r,i,o,a){try{var c=e[o](a),s=c.value}catch(e){return void n(e)}c.done?t(s):Promise.resolve(s).then(r,i)}var f=!!document.getElementById("isPublic"),l=Object(c.generateOcsUrl)("apps/text"+(f?"/public":""),2)+"workspace",h={name:"RichWorkspace",components:{EditorWrapper:function(){return Promise.all([r.e(0),r.e(188),r.e(197),r.e(189)]).then(r.bind(null,176))}},props:{path:{type:String,required:!0}},data:function(){return{focus:!1,folder:null,file:null,loaded:!1,ready:!1,autofocus:!1,darkTheme:OCA.Accessibility&&"dark"===OCA.Accessibility.theme,enabled:OCA.Text.RichWorkspaceEnabled}},computed:{shareToken:function(){return document.getElementById("sharingToken")?document.getElementById("sharingToken").value:null},canCreate:function(){return!!(this.folder&&this.folder.permissions&OC.PERMISSION_CREATE)},showEmptyWorkspace:function(){return(!this.file||this.autofocus&&!this.ready)&&this.canCreate}},watch:{path:function(){this.getFileInfo()},focus:function(e){e||document.querySelector("#editor").scrollTo(0,0)}},mounted:function(){var e,t=this;return(e=regeneratorRuntime.mark((function e(){return regeneratorRuntime.wrap((function(e){for(;;)switch(e.prev=e.next){case 0:t.enabled&&t.getFileInfo(),Object(s.subscribe)("Text::showRichWorkspace",(function(){t.enabled=!0,t.getFileInfo()})),Object(s.subscribe)("Text::hideRichWorkspace",(function(){t.enabled=!1}));case 3:case"end":return e.stop()}}),e)})),function(){var t=this,n=arguments;return new Promise((function(r,i){var o=e.apply(t,n);function a(e){u(o,r,i,a,c,"next",e)}function c(e){u(o,r,i,a,c,"throw",e)}a(void 0)}))})()},methods:{unfocus:function(){},reset:function(){var e=this;this.file=null,this.focus=!1,this.$nextTick((function(){e.creating=!1,e.getFileInfo()}))},getFileInfo:function(){var e=this;this.loaded=!1,this.autofocus=!1,this.ready=!1;var t={path:this.path};return f&&(t.shareToken=this.shareToken),a.a.get(l,{params:t}).then((function(t){var n=t.data.ocs.data;return e.folder=n.folder||null,e.file=n.file,e.editing=!0,e.loaded=!0,!0})).catch((function(t){var n=t.response.data.ocs.data;return e.folder=n.folder||null,e.file=null,e.loaded=!0,e.ready=!0,e.creating=!1,!1}))},createNew:function(){var e=this;this.creating||(this.creating=!0,this.getFileInfo().then((function(t){e.autofocus=!0,t||window.FileList.createFile("Readme.md",{scrollTo:!1,animate:!1}).then((function(t,n){e.getFileInfo()}))})))}}},d=(r(165),r(18)),p=Object(d.a)(h,(function(){var e=this,t=e.$createElement,n=e._self._c||t;return e.enabled?n("div",{class:{"icon-loading":!e.loaded||!e.ready,focus:e.focus,dark:e.darkTheme,creatable:e.canCreate},attrs:{id:"rich-workspace"}},[e.showEmptyWorkspace?n("div",{staticClass:"empty-workspace",on:{click:e.createNew}},[n("p",{staticClass:"placeholder"},[e._v("\n\t\t\t"+e._s(e.t("text","Add notes, lists or links …"))+"\n\t\t")])]):e._e(),e._v(" "),e.file?n("EditorWrapper",{directives:[{name:"show",rawName:"v-show",value:e.ready,expression:"ready"}],key:e.file.id,attrs:{"file-id":e.file.id,"relative-path":e.file.path,"share-token":e.shareToken,active:!0,autohide:!0,mime:e.file.mimetype,autofocus:e.autofocus},on:{ready:function(t){e.ready=!0},focus:function(t){e.focus=!0},blur:e.unfocus,error:e.reset}}):e._e()],1):e._e()}),[],!1,null,"4bea3b82",null).exports,g=function(e,t){var n=e.split("/"),r=t.split("/");for(n.pop();n[0]===r[0];)n.shift(),r.shift();var i=n.fill("..").concat(r),o=t.split("/");return i.length<o.length?i.join("/"):t},v=function(){var e={attach:function(e){var n=e.fileList;"files"!==n.id&&"files.public"!==n.id||e.addMenuEntry({id:"file",displayName:t("text","New text document"),templateName:t("text","New text document")+".md",iconClass:"icon-filetype-text",fileType:"file",actionHandler:function(e){n.createFile(e).then((function(e,t){var r=new OCA.Files.FileInfoModel(t);void 0!==OCA.Viewer?OCA.Files.fileActions.triggerAction("view",r,n):void 0===OCA.Viewer&&OCA.Files.fileActions.triggerAction("Edit with text app",r,n)}))}})}};OC.Plugins.register("OCA.Files.NewFileMenu",e)},b=function(){var e,n=document.getElementById("sharingToken")?document.getElementById("sharingToken").value:null,o=document.getElementById("dir").value;if(!n||""!==o){var a=document.createElement("div");a.id="text-viewer-fallback",document.body.appendChild(a);for(var s=0;s<i.a.length;s++)e=i.a[s],OCA.Files.fileActions.register(e,"Edit with text app",OC.PERMISSION_UPDATE|OC.PERMISSION_READ,Object(c.imagePath)("core","actions/rename"),(function(e){var t=window.FileList.findFile(e);Promise.all([r.e(0).then(r.bind(null,45)),Promise.all([r.e(1),r.e(0),r.e(188),r.e(199),r.e(194)]).then(r.bind(null,669))]).then((function(r){var i=window.FileList.getCurrentDirectory()+"/"+e,o=r[0].default;o.prototype.t=window.t,o.prototype.n=window.n,o.prototype.OCA=window.OCA;var c=r[1].default;new o({render:function(e){return e(c,{props:{fileId:t?t.id:null,active:!0,shareToken:n,relativePath:i,mimeType:t.mimetype}})}}).$mount(a)}))}),t("text","Edit")),OCA.Files.fileActions.setDefault(i.a[s],"Edit with text app")}},m={el:null,attach:function(e){"files"!==e.id&&"files.public"!==e.id||(this.el=document.createElement("div"),e.registerHeader({id:"workspace",el:this.el,render:this.render.bind(this),priority:10}))},render:function(e){var t=this;"files"!==e.id&&"files.public"!==e.id||r.e(0).then(r.bind(null,45)).then((function(n){var r=n.default;t.el.id="files-workspace-wrapper",r.prototype.t=window.t,r.prototype.n=window.n,r.prototype.OCA=window.OCA;var i=new(r.extend(p))({propsData:{path:e.getCurrentDirectory()}}).$mount(t.el);e.$el.on("changeDirectory",(function(e){i.path=e.dir.toString()}))}))}}},function(e,t,n){"use strict";n(58),Object.defineProperty(t,"__esModule",{value:!0}),t.default=void 0;var r,i=(r=n(129))&&r.__esModule?r:{default:r},o=n(109);var a=i.default.create({headers:{requesttoken:(0,o.getRequestToken)()}}),c=Object.assign(a,{CancelToken:i.default.CancelToken,isCancel:i.default.isCancel});(0,o.onRequestTokenUpdate)((function(e){return a.defaults.headers.requesttoken=e}));var s=c;t.default=s},function(e,t,n){var r=n(104);e.exports=function(e,t,n){if(r(e),void 0===t)return e;switch(n){case 0:return function(){return e.call(t)};case 1:return function(n){return e.call(t,n)};case 2:return function(n,r){return e.call(t,n,r)};case 3:return function(n,r,i){return e.call(t,n,r,i)}}return function(){return e.apply(t,arguments)}}},function(e,t,n){var r=n(7),i=n(0),o=n(4),a=Object.defineProperty,c={},s=function(e){throw e};e.exports=function(e,t){if(o(c,e))return c[e];t||(t={});var n=[][e],u=!!o(t,"ACCESSORS")&&t.ACCESSORS,f=o(t,0)?t[0]:s,l=o(t,1)?t[1]:void 0;return c[e]=!!n&&!i((function(){if(u&&!r)return!0;var e={length:-1};u?a(e,1,{enumerable:!0,get:s}):e[1]=1,n.call(e,f,l)}))}},function(e,t,n){var r,i=n(5),o=n(115),a=n(40),c=n(26),s=n(116),u=n(61),f=n(38),l=f("IE_PROTO"),h=function(){},d=function(e){return"<script>"+e+"<\/script>"},p=function(){try{r=document.domain&&new ActiveXObject("htmlfile")}catch(e){}var e,t;p=r?function(e){e.write(d("")),e.close();var t=e.parentWindow.Object;return e=null,t}(r):((t=u("iframe")).style.display="none",s.appendChild(t),t.src=String("javascript:"),(e=t.contentWindow.document).open(),e.write(d("document.F=Object")),e.close(),e.F);for(var n=a.length;n--;)delete p.prototype[a[n]];return p()};c[l]=!0,e.exports=Object.create||function(e,t){var n;return null!==e?(h.prototype=i(e),n=new h,h.prototype=null,n[l]=e):n=p(),void 0===t?n:o(n,t)}},function(e,t,n){var r=n(8).f,i=n(4),o=n(1)("toStringTag");e.exports=function(e,t,n){e&&!i(e=n?e:e.prototype,o)&&r(e,o,{configurable:!0,value:t})}},function(e,t,n){var r=n(6);e.exports=function(e,t){if(!r(e))return e;var n,i;if(t&&"function"==typeof(n=e.toString)&&!r(i=n.call(e)))return i;if("function"==typeof(n=e.valueOf)&&!r(i=n.call(e)))return i;if(!t&&"function"==typeof(n=e.toString)&&!r(i=n.call(e)))return i;throw TypeError("Can't convert object to primitive value")}},function(e,t){e.exports=!1},function(e,t,n){var r=n(0),i=n(19),o="".split;e.exports=r((function(){return!Object("z").propertyIsEnumerable(0)}))?function(e){return"String"==i(e)?o.call(e,""):Object(e)}:Object},function(e,t,n){var r=n(2),i=n(9);e.exports=function(e,t){try{i(r,e,t)}catch(n){r[e]=t}return t}},function(e,t,n){var r=n(72),i=n(39),o=r("keys");e.exports=function(e){return o[e]||(o[e]=i(e))}},function(e,t){var n=0,r=Math.random();e.exports=function(e){return"Symbol("+String(void 0===e?"":e)+")_"+(++n+r).toString(36)}},function(e,t){e.exports=["constructor","hasOwnProperty","isPrototypeOf","propertyIsEnumerable","toLocaleString","toString","valueOf"]},function(e,t){const n=Number.MAX_SAFE_INTEGER||9007199254740991;e.exports={SEMVER_SPEC_VERSION:"2.0.0",MAX_LENGTH:256,MAX_SAFE_INTEGER:n,MAX_SAFE_COMPONENT_LENGTH:16}},function(e,t,n){"use strict";var r=n(10),i=n(117),o=n(93),a=n(94),c=n(33),s=n(9),u=n(12),f=n(1),l=n(35),h=n(17),d=n(92),p=d.IteratorPrototype,g=d.BUGGY_SAFARI_ITERATORS,v=f("iterator"),b=function(){return this};e.exports=function(e,t,n,f,d,m,y){i(n,t,f);var E,x,w,O=function(e){if(e===d&&A)return A;if(!g&&e in I)return I[e];switch(e){case"keys":case"values":case"entries":return function(){return new n(this,e)}}return function(){return new n(this)}},R=t+" Iterator",S=!1,I=e.prototype,T=I[v]||I["@@iterator"]||d&&I[d],A=!g&&T||O(d),C="Array"==t&&I.entries||T;if(C&&(E=o(C.call(new e)),p!==Object.prototype&&E.next&&(l||o(E)===p||(a?a(E,p):"function"!=typeof E[v]&&s(E,v,b)),c(E,R,!0,!0),l&&(h[R]=b))),"values"==d&&T&&"values"!==T.name&&(S=!0,A=function(){return T.call(this)}),l&&!y||I[v]===A||s(I,v,A),h[t]=A,d)if(x={values:O("values"),keys:m?A:O("keys"),entries:O("entries")},y)for(w in x)(g||S||!(w in I))&&u(I,w,x[w]);else r({target:t,proto:!0,forced:g||S},x);return x}},function(e,t,n){var r={};r[n(1)("toStringTag")]="z",e.exports="[object z]"===String(r)},function(e,t,n){"use strict";var r,i,o=n(97),a=n(164),c=RegExp.prototype.exec,s=String.prototype.replace,u=c,f=(r=/a/,i=/b*/g,c.call(r,"a"),c.call(i,"a"),0!==r.lastIndex||0!==i.lastIndex),l=a.UNSUPPORTED_Y||a.BROKEN_CARET,h=void 0!==/()??/.exec("")[1];(f||h||l)&&(u=function(e){var t,n,r,i,a=this,u=l&&a.sticky,d=o.call(a),p=a.source,g=0,v=e;return u&&(-1===(d=d.replace("y","")).indexOf("g")&&(d+="g"),v=String(e).slice(a.lastIndex),a.lastIndex>0&&(!a.multiline||a.multiline&&"\n"!==e[a.lastIndex-1])&&(p="(?: "+p+")",v=" "+v,g++),n=new RegExp("^(?:"+p+")",d)),h&&(n=new RegExp("^"+p+"$(?!\\s)",d)),f&&(t=a.lastIndex),r=c.call(u?n:a,v),u?r?(r.input=r.input.slice(g),r[0]=r[0].slice(g),r.index=a.lastIndex,a.lastIndex+=r[0].length):a.lastIndex=0:f&&r&&(a.lastIndex=a.global?r.index+r[0].length:t),h&&r&&r.length>1&&s.call(r[0],n,(function(){for(i=1;i<arguments.length-2;i++)void 0===arguments[i]&&(r[i]=void 0)})),r}),e.exports=u},,function(e,t){var n;n=function(){return this}();try{n=n||new Function("return this")()}catch(e){"object"==typeof window&&(n=window)}e.exports=n},function(e,t){var n,r,i=e.exports={};function o(){throw new Error("setTimeout has not been defined")}function a(){throw new Error("clearTimeout has not been defined")}function c(e){if(n===setTimeout)return setTimeout(e,0);if((n===o||!n)&&setTimeout)return n=setTimeout,setTimeout(e,0);try{return n(e,0)}catch(t){try{return n.call(null,e,0)}catch(t){return n.call(this,e,0)}}}!function(){try{n="function"==typeof setTimeout?setTimeout:o}catch(e){n=o}try{r="function"==typeof clearTimeout?clearTimeout:a}catch(e){r=a}}();var s,u=[],f=!1,l=-1;function h(){f&&s&&(f=!1,s.length?u=s.concat(u):l=-1,u.length&&d())}function d(){if(!f){var e=c(h);f=!0;for(var t=u.length;t;){for(s=u,u=[];++l<t;)s&&s[l].run();l=-1,t=u.length}s=null,f=!1,function(e){if(r===clearTimeout)return clearTimeout(e);if((r===a||!r)&&clearTimeout)return r=clearTimeout,clearTimeout(e);try{r(e)}catch(t){try{return r.call(null,e)}catch(t){return r.call(this,e)}}}(e)}}function p(e,t){this.fun=e,this.array=t}function g(){}i.nextTick=function(e){var t=new Array(arguments.length-1);if(arguments.length>1)for(var n=1;n<arguments.length;n++)t[n-1]=arguments[n];u.push(new p(e,t)),1!==u.length||f||c(d)},p.prototype.run=function(){this.fun.apply(null,this.array)},i.title="browser",i.browser=!0,i.env={},i.argv=[],i.version="",i.versions={},i.on=g,i.addListener=g,i.once=g,i.off=g,i.removeListener=g,i.removeAllListeners=g,i.emit=g,i.prependListener=g,i.prependOnceListener=g,i.listeners=function(e){return[]},i.binding=function(e){throw new Error("process.binding is not supported")},i.cwd=function(){return"/"},i.chdir=function(e){throw new Error("process.chdir is not supported")},i.umask=function(){return 0}},function(e,t,n){"use strict";n(60),Object.defineProperty(t,"__esModule",{value:!0}),t.loadState=function(e,t){var n=document.querySelector("#initial-state-".concat(e,"-").concat(t));if(null===n)throw new Error("Could not find initial state ".concat(t," of ").concat(e));try{return JSON.parse(atob(n.value))}catch(n){throw new Error("Could not parse initial state ".concat(t," of ").concat(e))}}},function(e,t,n){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var n=function(e,t){var n=e[1]||"",r=e[3];if(!r)return n;if(t&&"function"==typeof btoa){var i=(a=r,c=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),s="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(c),"/*# ".concat(s," */")),o=r.sources.map((function(e){return"/*# sourceURL=".concat(r.sourceRoot||"").concat(e," */")}));return[n].concat(o).concat([i]).join("\n")}var a,c,s;return[n].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(n,"}"):n})).join("")},t.i=function(e,n,r){"string"==typeof e&&(e=[[null,e,""]]);var i={};if(r)for(var o=0;o<this.length;o++){var a=this[o][0];null!=a&&(i[a]=!0)}for(var c=0;c<e.length;c++){var s=[].concat(e[c]);r&&i[s[0]]||(n&&(s[2]?s[2]="".concat(n," and ").concat(s[2]):s[2]=n),t.push(s))}},t}},function(e,t,n){"use strict";function r(e,t){for(var n=[],r={},i=0;i<t.length;i++){var o=t[i],a=o[0],c={id:e+":"+i,css:o[1],media:o[2],sourceMap:o[3]};r[a]?r[a].parts.push(c):n.push(r[a]={id:a,parts:[c]})}return n}n.r(t),n.d(t,"default",(function(){return d}));var i="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!i)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var o={},a=i&&(document.head||document.getElementsByTagName("head")[0]),c=null,s=0,u=!1,f=function(){},l=null,h="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function d(e,t,n,i){u=n,l=i||{};var a=r(e,t);return p(a),function(t){for(var n=[],i=0;i<a.length;i++){var c=a[i];(s=o[c.id]).refs--,n.push(s)}t?p(a=r(e,t)):a=[];for(i=0;i<n.length;i++){var s;if(0===(s=n[i]).refs){for(var u=0;u<s.parts.length;u++)s.parts[u]();delete o[s.id]}}}}function p(e){for(var t=0;t<e.length;t++){var n=e[t],r=o[n.id];if(r){r.refs++;for(var i=0;i<r.parts.length;i++)r.parts[i](n.parts[i]);for(;i<n.parts.length;i++)r.parts.push(v(n.parts[i]));r.parts.length>n.parts.length&&(r.parts.length=n.parts.length)}else{var a=[];for(i=0;i<n.parts.length;i++)a.push(v(n.parts[i]));o[n.id]={id:n.id,refs:1,parts:a}}}}function g(){var e=document.createElement("style");return e.type="text/css",a.appendChild(e),e}function v(e){var t,n,r=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(r){if(u)return f;r.parentNode.removeChild(r)}if(h){var i=s++;r=c||(c=g()),t=y.bind(null,r,i,!1),n=y.bind(null,r,i,!0)}else r=g(),t=E.bind(null,r),n=function(){r.parentNode.removeChild(r)};return t(e),function(r){if(r){if(r.css===e.css&&r.media===e.media&&r.sourceMap===e.sourceMap)return;t(e=r)}else n()}}var b,m=(b=[],function(e,t){return b[e]=t,b.filter(Boolean).join("\n")});function y(e,t,n,r){var i=n?"":r.css;if(e.styleSheet)e.styleSheet.cssText=m(t,i);else{var o=document.createTextNode(i),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(o,a[t]):e.appendChild(o)}}function E(e,t){var n=t.css,r=t.media,i=t.sourceMap;if(r&&e.setAttribute("media",r),l.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),i&&(n+="\n/*# sourceURL="+i.sources[0]+" */",n+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+" */"),e.styleSheet)e.styleSheet.cssText=n;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(n))}}},function(e,t,n){var r=n(43),i=n(12),o=n(161);r||i(Object.prototype,"toString",o,{unsafe:!0})},function(e,t,n){"use strict";var r=n(25),i=n(154),o=n(17),a=n(20),c=n(42),s=a.set,u=a.getterFor("Array Iterator");e.exports=c(Array,"Array",(function(e,t){s(this,{type:"Array Iterator",target:r(e),index:0,kind:t})}),(function(){var e=u(this),t=e.target,n=e.kind,r=e.index++;return!t||r>=t.length?(e.target=void 0,{value:void 0,done:!0}):"keys"==n?{value:r,done:!1}:"values"==n?{value:t[r],done:!1}:{value:[r,t[r]],done:!1}}),"values"),o.Arguments=o.Array,i("keys"),i("values"),i("entries")},function(e,t,n){"use strict";var r=n(10),i=n(44);r({target:"RegExp",proto:!0,forced:/./.exec!==i},{exec:i})},function(e,t,n){var r=n(7),i=n(69),o=n(24),a=n(25),c=n(34),s=n(4),u=n(70),f=Object.getOwnPropertyDescriptor;t.f=r?f:function(e,t){if(e=a(e),t=c(t,!0),u)try{return f(e,t)}catch(e){}if(s(e,t))return o(!i.f.call(e,t),e[t])}},function(e,t,n){var r=n(0),i=/#|\.prototype\./,o=function(e,t){var n=c[a(e)];return n==u||n!=s&&("function"==typeof t?r(t):!!t)},a=o.normalize=function(e){return String(e).replace(i,".").toLowerCase()},c=o.data={},s=o.NATIVE="N",u=o.POLYFILL="P";e.exports=o},function(e,t,n){var r=n(0),i=n(1),o=n(65),a=i("species");e.exports=function(e){return o>=51||!r((function(){var t=[];return(t.constructor={})[a]=function(){return{foo:1}},1!==t[e](Boolean).foo}))}},function(e,t){e.exports=function(e,t,n){if(!(e instanceof t))throw TypeError("Incorrect "+(n?n+" ":"")+"invocation");return e}},function(e,t,n){var r=n(10),i=n(113);r({target:"Object",stat:!0,forced:Object.assign!==i},{assign:i})},function(e,t,n){var r=n(6),i=n(87),o=n(1)("species");e.exports=function(e,t){var n;return i(e)&&("function"!=typeof(n=e.constructor)||n!==Array&&!i(n.prototype)?r(n)&&null===(n=n[o])&&(n=void 0):n=void 0),new(void 0===n?Array:n)(0===t?0:t)}},function(e,t,n){"use strict";var r=n(10),i=n(0),o=n(87),a=n(6),c=n(16),s=n(15),u=n(105),f=n(59),l=n(56),h=n(1),d=n(65),p=h("isConcatSpreadable"),g=d>=51||!i((function(){var e=[];return e[p]=!1,e.concat()[0]!==e})),v=l("concat"),b=function(e){if(!a(e))return!1;var t=e[p];return void 0!==t?!!t:o(e)};r({target:"Array",proto:!0,forced:!g||!v},{concat:function(e){var t,n,r,i,o,a=c(this),l=f(a,0),h=0;for(t=-1,r=arguments.length;t<r;t++)if(b(o=-1===t?a:arguments[t])){if(h+(i=s(o.length))>9007199254740991)throw TypeError("Maximum allowed index exceeded");for(n=0;n<i;n++,h++)n in o&&u(l,h,o[n])}else{if(h>=9007199254740991)throw TypeError("Maximum allowed index exceeded");u(l,h++,o)}return l.length=h,l}})},function(e,t,n){var r=n(2),i=n(6),o=r.document,a=i(o)&&i(o.createElement);e.exports=function(e){return a?o.createElement(e):{}}},function(e,t,n){var r=n(71),i=Function.toString;"function"!=typeof r.inspectSource&&(r.inspectSource=function(e){return i.call(e)}),e.exports=r.inspectSource},function(e,t,n){var r=n(30),i=n(36),o=n(16),a=n(15),c=n(59),s=[].push,u=function(e){var t=1==e,n=2==e,u=3==e,f=4==e,l=6==e,h=5==e||l;return function(d,p,g,v){for(var b,m,y=o(d),E=i(y),x=r(p,g,3),w=a(E.length),O=0,R=v||c,S=t?R(d,w):n?R(d,0):void 0;w>O;O++)if((h||O in E)&&(m=x(b=E[O],O,y),e))if(t)S[O]=m;else if(m)switch(e){case 3:return!0;case 5:return b;case 6:return O;case 2:s.call(S,b)}else if(f)return!1;return l?-1:u||f?f:S}};e.exports={forEach:u(0),map:u(1),filter:u(2),some:u(3),every:u(4),find:u(5),findIndex:u(6)}},function(e,t,n){"use strict";var r=n(0);e.exports=function(e,t){var n=[][e];return!!n&&r((function(){n.call(null,t||function(){throw 1},1)}))}},function(e,t,n){var r,i,o=n(2),a=n(114),c=o.process,s=c&&c.versions,u=s&&s.v8;u?i=(r=u.split("."))[0]+r[1]:a&&(!(r=a.match(/Edge\/(\d+)/))||r[1]>=74)&&(r=a.match(/Chrome\/(\d+)/))&&(i=r[1]),e.exports=i&&+i},function(e,t,n){var r=n(5),i=n(118),o=n(15),a=n(30),c=n(106),s=n(119),u=function(e,t){this.stopped=e,this.result=t};(e.exports=function(e,t,n,f,l){var h,d,p,g,v,b,m,y=a(t,n,f?2:1);if(l)h=e;else{if("function"!=typeof(d=c(e)))throw TypeError("Target is not iterable");if(i(d)){for(p=0,g=o(e.length);g>p;p++)if((v=f?y(r(m=e[p])[0],m[1]):y(e[p]))&&v instanceof u)return v;return new u(!1)}h=d.call(e)}for(b=h.next;!(m=b.call(h)).done;)if("object"==typeof(v=s(h,y,m.value,f))&&v&&v instanceof u)return v;return new u(!1)}).stop=function(e){return new u(!0,e)}},function(e,t,n){var r=n(43),i=n(19),o=n(1)("toStringTag"),a="Arguments"==i(function(){return arguments}());e.exports=r?i:function(e){var t,n,r;return void 0===e?"Undefined":null===e?"Null":"string"==typeof(n=function(e,t){try{return e[t]}catch(e){}}(t=Object(e),o))?n:a?i(t):"Object"==(r=i(t))&&"function"==typeof t.callee?"Arguments":r}},function(e,t,n){var r=n(23),i=n(21),o=function(e){return function(t,n){var o,a,c=String(i(t)),s=r(n),u=c.length;return s<0||s>=u?e?"":void 0:(o=c.charCodeAt(s))<55296||o>56319||s+1===u||(a=c.charCodeAt(s+1))<56320||a>57343?e?c.charAt(s):o:e?c.slice(s,s+2):a-56320+(o-55296<<10)+65536}};e.exports={codeAt:o(!1),charAt:o(!0)}},function(e,t,n){"use strict";var r={}.propertyIsEnumerable,i=Object.getOwnPropertyDescriptor,o=i&&!r.call({1:2},1);t.f=o?function(e){var t=i(this,e);return!!t&&t.enumerable}:r},function(e,t,n){var r=n(7),i=n(0),o=n(61);e.exports=!r&&!i((function(){return 7!=Object.defineProperty(o("div"),"a",{get:function(){return 7}}).a}))},function(e,t,n){var r=n(2),i=n(37),o=r["__core-js_shared__"]||i("__core-js_shared__",{});e.exports=o},function(e,t,n){var r=n(35),i=n(71);(e.exports=function(e,t){return i[e]||(i[e]=void 0!==t?t:{})})("versions",[]).push({version:"3.6.5",mode:r?"pure":"global",copyright:"© 2020 Denis Pushkarev (zloirock.ru)"})},function(e,t,n){var r=n(4),i=n(25),o=n(74).indexOf,a=n(26);e.exports=function(e,t){var n,c=i(e),s=0,u=[];for(n in c)!r(a,n)&&r(c,n)&&u.push(n);for(;t.length>s;)r(c,n=t[s++])&&(~o(u,n)||u.push(n));return u}},function(e,t,n){var r=n(25),i=n(15),o=n(108),a=function(e){return function(t,n,a){var c,s=r(t),u=i(s.length),f=o(a,u);if(e&&n!=n){for(;u>f;)if((c=s[f++])!=c)return!0}else for(;u>f;f++)if((e||f in s)&&s[f]===n)return e||f||0;return!e&&-1}};e.exports={includes:a(!0),indexOf:a(!1)}},function(e,t){t.f=Object.getOwnPropertySymbols},function(e,t,n){var r=n(73),i=n(40);e.exports=Object.keys||function(e){return r(e,i)}},function(e,t,n){"use strict";e.exports=function(e,t){return function(){for(var n=new Array(arguments.length),r=0;r<n.length;r++)n[r]=arguments[r];return e.apply(t,n)}}},function(e,t,n){"use strict";var r=n(3);function i(e){return encodeURIComponent(e).replace(/%40/gi,"@").replace(/%3A/gi,":").replace(/%24/g,"$").replace(/%2C/gi,",").replace(/%20/g,"+").replace(/%5B/gi,"[").replace(/%5D/gi,"]")}e.exports=function(e,t,n){if(!t)return e;var o;if(n)o=n(t);else if(r.isURLSearchParams(t))o=t.toString();else{var a=[];r.forEach(t,(function(e,t){null!=e&&(r.isArray(e)?t+="[]":e=[e],r.forEach(e,(function(e){r.isDate(e)?e=e.toISOString():r.isObject(e)&&(e=JSON.stringify(e)),a.push(i(t)+"="+i(e))})))})),o=a.join("&")}if(o){var c=e.indexOf("#");-1!==c&&(e=e.slice(0,c)),e+=(-1===e.indexOf("?")?"?":"&")+o}return e}},function(e,t,n){"use strict";e.exports=function(e){return!(!e||!e.__CANCEL__)}},function(e,t,n){"use strict";(function(t){var r=n(3),i=n(135),o={"Content-Type":"application/x-www-form-urlencoded"};function a(e,t){!r.isUndefined(e)&&r.isUndefined(e["Content-Type"])&&(e["Content-Type"]=t)}var c,s={adapter:(("undefined"!=typeof XMLHttpRequest||void 0!==t&&"[object process]"===Object.prototype.toString.call(t))&&(c=n(81)),c),transformRequest:[function(e,t){return i(t,"Accept"),i(t,"Content-Type"),r.isFormData(e)||r.isArrayBuffer(e)||r.isBuffer(e)||r.isStream(e)||r.isFile(e)||r.isBlob(e)?e:r.isArrayBufferView(e)?e.buffer:r.isURLSearchParams(e)?(a(t,"application/x-www-form-urlencoded;charset=utf-8"),e.toString()):r.isObject(e)?(a(t,"application/json;charset=utf-8"),JSON.stringify(e)):e}],transformResponse:[function(e){if("string"==typeof e)try{e=JSON.parse(e)}catch(e){}return e}],timeout:0,xsrfCookieName:"XSRF-TOKEN",xsrfHeaderName:"X-XSRF-TOKEN",maxContentLength:-1,validateStatus:function(e){return e>=200&&e<300}};s.headers={common:{Accept:"application/json, text/plain, */*"}},r.forEach(["delete","get","head"],(function(e){s.headers[e]={}})),r.forEach(["post","put","patch"],(function(e){s.headers[e]=r.merge(o)})),e.exports=s}).call(this,n(47))},function(e,t,n){"use strict";var r=n(3),i=n(136),o=n(78),a=n(138),c=n(141),s=n(142),u=n(82);e.exports=function(e){return new Promise((function(t,f){var l=e.data,h=e.headers;r.isFormData(l)&&delete h["Content-Type"];var d=new XMLHttpRequest;if(e.auth){var p=e.auth.username||"",g=e.auth.password||"";h.Authorization="Basic "+btoa(p+":"+g)}var v=a(e.baseURL,e.url);if(d.open(e.method.toUpperCase(),o(v,e.params,e.paramsSerializer),!0),d.timeout=e.timeout,d.onreadystatechange=function(){if(d&&4===d.readyState&&(0!==d.status||d.responseURL&&0===d.responseURL.indexOf("file:"))){var n="getAllResponseHeaders"in d?c(d.getAllResponseHeaders()):null,r={data:e.responseType&&"text"!==e.responseType?d.response:d.responseText,status:d.status,statusText:d.statusText,headers:n,config:e,request:d};i(t,f,r),d=null}},d.onabort=function(){d&&(f(u("Request aborted",e,"ECONNABORTED",d)),d=null)},d.onerror=function(){f(u("Network Error",e,null,d)),d=null},d.ontimeout=function(){var t="timeout of "+e.timeout+"ms exceeded";e.timeoutErrorMessage&&(t=e.timeoutErrorMessage),f(u(t,e,"ECONNABORTED",d)),d=null},r.isStandardBrowserEnv()){var b=n(143),m=(e.withCredentials||s(v))&&e.xsrfCookieName?b.read(e.xsrfCookieName):void 0;m&&(h[e.xsrfHeaderName]=m)}if("setRequestHeader"in d&&r.forEach(h,(function(e,t){void 0===l&&"content-type"===t.toLowerCase()?delete h[t]:d.setRequestHeader(t,e)})),r.isUndefined(e.withCredentials)||(d.withCredentials=!!e.withCredentials),e.responseType)try{d.responseType=e.responseType}catch(t){if("json"!==e.responseType)throw t}"function"==typeof e.onDownloadProgress&&d.addEventListener("progress",e.onDownloadProgress),"function"==typeof e.onUploadProgress&&d.upload&&d.upload.addEventListener("progress",e.onUploadProgress),e.cancelToken&&e.cancelToken.promise.then((function(e){d&&(d.abort(),f(e),d=null)})),void 0===l&&(l=null),d.send(l)}))}},function(e,t,n){"use strict";var r=n(137);e.exports=function(e,t,n,i,o){var a=new Error(e);return r(a,t,n,i,o)}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){t=t||{};var n={},i=["url","method","params","data"],o=["headers","auth","proxy"],a=["baseURL","url","transformRequest","transformResponse","paramsSerializer","timeout","withCredentials","adapter","responseType","xsrfCookieName","xsrfHeaderName","onUploadProgress","onDownloadProgress","maxContentLength","validateStatus","maxRedirects","httpAgent","httpsAgent","cancelToken","socketPath"];r.forEach(i,(function(e){void 0!==t[e]&&(n[e]=t[e])})),r.forEach(o,(function(i){r.isObject(t[i])?n[i]=r.deepMerge(e[i],t[i]):void 0!==t[i]?n[i]=t[i]:r.isObject(e[i])?n[i]=r.deepMerge(e[i]):void 0!==e[i]&&(n[i]=e[i])})),r.forEach(a,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])}));var c=i.concat(o).concat(a),s=Object.keys(t).filter((function(e){return-1===c.indexOf(e)}));return r.forEach(s,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])})),n}},function(e,t,n){"use strict";function r(e){this.message=e}r.prototype.toString=function(){return"Cancel"+(this.message?": "+this.message:"")},r.prototype.__CANCEL__=!0,e.exports=r},function(e,t,n){"use strict";var r=n(10),i=n(86);r({target:"Array",proto:!0,forced:[].forEach!=i},{forEach:i})},function(e,t,n){"use strict";var r=n(63).forEach,i=n(64),o=n(31),a=i("forEach"),c=o("forEach");e.exports=a&&c?[].forEach:function(e){return r(this,e,arguments.length>1?arguments[1]:void 0)}},function(e,t,n){var r=n(19);e.exports=Array.isArray||function(e){return"Array"==r(e)}},function(e,t,n){var r=n(0);e.exports=!!Object.getOwnPropertySymbols&&!r((function(){return!String(Symbol())}))},function(e,t,n){const{MAX_SAFE_COMPONENT_LENGTH:r}=n(41),i=n(90),o=(t=e.exports={}).re=[],a=t.src=[],c=t.t={};let s=0;const u=(e,t,n)=>{const r=s++;i(r,t),c[e]=r,a[r]=t,o[r]=new RegExp(t,n?"g":void 0)};u("NUMERICIDENTIFIER","0|[1-9]\\d*"),u("NUMERICIDENTIFIERLOOSE","[0-9]+"),u("NONNUMERICIDENTIFIER","\\d*[a-zA-Z-][a-zA-Z0-9-]*"),u("MAINVERSION",`(${a[c.NUMERICIDENTIFIER]})\\.(${a[c.NUMERICIDENTIFIER]})\\.(${a[c.NUMERICIDENTIFIER]})`),u("MAINVERSIONLOOSE",`(${a[c.NUMERICIDENTIFIERLOOSE]})\\.(${a[c.NUMERICIDENTIFIERLOOSE]})\\.(${a[c.NUMERICIDENTIFIERLOOSE]})`),u("PRERELEASEIDENTIFIER",`(?:${a[c.NUMERICIDENTIFIER]}|${a[c.NONNUMERICIDENTIFIER]})`),u("PRERELEASEIDENTIFIERLOOSE",`(?:${a[c.NUMERICIDENTIFIERLOOSE]}|${a[c.NONNUMERICIDENTIFIER]})`),u("PRERELEASE",`(?:-(${a[c.PRERELEASEIDENTIFIER]}(?:\\.${a[c.PRERELEASEIDENTIFIER]})*))`),u("PRERELEASELOOSE",`(?:-?(${a[c.PRERELEASEIDENTIFIERLOOSE]}(?:\\.${a[c.PRERELEASEIDENTIFIERLOOSE]})*))`),u("BUILDIDENTIFIER","[0-9A-Za-z-]+"),u("BUILD",`(?:\\+(${a[c.BUILDIDENTIFIER]}(?:\\.${a[c.BUILDIDENTIFIER]})*))`),u("FULLPLAIN",`v?${a[c.MAINVERSION]}${a[c.PRERELEASE]}?${a[c.BUILD]}?`),u("FULL",`^${a[c.FULLPLAIN]}$`),u("LOOSEPLAIN",`[v=\\s]*${a[c.MAINVERSIONLOOSE]}${a[c.PRERELEASELOOSE]}?${a[c.BUILD]}?`),u("LOOSE",`^${a[c.LOOSEPLAIN]}$`),u("GTLT","((?:<|>)?=?)"),u("XRANGEIDENTIFIERLOOSE",a[c.NUMERICIDENTIFIERLOOSE]+"|x|X|\\*"),u("XRANGEIDENTIFIER",a[c.NUMERICIDENTIFIER]+"|x|X|\\*"),u("XRANGEPLAIN",`[v=\\s]*(${a[c.XRANGEIDENTIFIER]})(?:\\.(${a[c.XRANGEIDENTIFIER]})(?:\\.(${a[c.XRANGEIDENTIFIER]})(?:${a[c.PRERELEASE]})?${a[c.BUILD]}?)?)?`),u("XRANGEPLAINLOOSE",`[v=\\s]*(${a[c.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[c.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[c.XRANGEIDENTIFIERLOOSE]})(?:${a[c.PRERELEASELOOSE]})?${a[c.BUILD]}?)?)?`),u("XRANGE",`^${a[c.GTLT]}\\s*${a[c.XRANGEPLAIN]}$`),u("XRANGELOOSE",`^${a[c.GTLT]}\\s*${a[c.XRANGEPLAINLOOSE]}$`),u("COERCE",`(^|[^\\d])(\\d{1,${r}})(?:\\.(\\d{1,${r}}))?(?:\\.(\\d{1,${r}}))?(?:$|[^\\d])`),u("COERCERTL",a[c.COERCE],!0),u("LONETILDE","(?:~>?)"),u("TILDETRIM",`(\\s*)${a[c.LONETILDE]}\\s+`,!0),t.tildeTrimReplace="$1~",u("TILDE",`^${a[c.LONETILDE]}${a[c.XRANGEPLAIN]}$`),u("TILDELOOSE",`^${a[c.LONETILDE]}${a[c.XRANGEPLAINLOOSE]}$`),u("LONECARET","(?:\\^)"),u("CARETTRIM",`(\\s*)${a[c.LONECARET]}\\s+`,!0),t.caretTrimReplace="$1^",u("CARET",`^${a[c.LONECARET]}${a[c.XRANGEPLAIN]}$`),u("CARETLOOSE",`^${a[c.LONECARET]}${a[c.XRANGEPLAINLOOSE]}$`),u("COMPARATORLOOSE",`^${a[c.GTLT]}\\s*(${a[c.LOOSEPLAIN]})$|^$`),u("COMPARATOR",`^${a[c.GTLT]}\\s*(${a[c.FULLPLAIN]})$|^$`),u("COMPARATORTRIM",`(\\s*)${a[c.GTLT]}\\s*(${a[c.LOOSEPLAIN]}|${a[c.XRANGEPLAIN]})`,!0),t.comparatorTrimReplace="$1$2$3",u("HYPHENRANGE",`^\\s*(${a[c.XRANGEPLAIN]})\\s+-\\s+(${a[c.XRANGEPLAIN]})\\s*$`),u("HYPHENRANGELOOSE",`^\\s*(${a[c.XRANGEPLAINLOOSE]})\\s+-\\s+(${a[c.XRANGEPLAINLOOSE]})\\s*$`),u("STAR","(<|>)?=?\\s*\\*"),u("GTE0","^\\s*>=\\s*0.0.0\\s*$"),u("GTE0PRE","^\\s*>=\\s*0.0.0-0\\s*$")},function(e,t,n){(function(t){const n="object"==typeof t&&t.env&&t.env.NODE_DEBUG&&/\bsemver\b/i.test(t.env.NODE_DEBUG)?(...e)=>console.error("SEMVER",...e):()=>{};e.exports=n}).call(this,n(47))},function(e,t,n){const r=n(90),{MAX_LENGTH:i,MAX_SAFE_INTEGER:o}=n(41),{re:a,t:c}=n(89),{compareIdentifiers:s}=n(151);class u{constructor(e,t){if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof u){if(e.loose===!!t.loose&&e.includePrerelease===!!t.includePrerelease)return e;e=e.version}else if("string"!=typeof e)throw new TypeError("Invalid Version: "+e);if(e.length>i)throw new TypeError(`version is longer than ${i} characters`);r("SemVer",e,t),this.options=t,this.loose=!!t.loose,this.includePrerelease=!!t.includePrerelease;const n=e.trim().match(t.loose?a[c.LOOSE]:a[c.FULL]);if(!n)throw new TypeError("Invalid Version: "+e);if(this.raw=e,this.major=+n[1],this.minor=+n[2],this.patch=+n[3],this.major>o||this.major<0)throw new TypeError("Invalid major version");if(this.minor>o||this.minor<0)throw new TypeError("Invalid minor version");if(this.patch>o||this.patch<0)throw new TypeError("Invalid patch version");n[4]?this.prerelease=n[4].split(".").map(e=>{if(/^[0-9]+$/.test(e)){const t=+e;if(t>=0&&t<o)return t}return e}):this.prerelease=[],this.build=n[5]?n[5].split("."):[],this.format()}format(){return this.version=`${this.major}.${this.minor}.${this.patch}`,this.prerelease.length&&(this.version+="-"+this.prerelease.join(".")),this.version}toString(){return this.version}compare(e){if(r("SemVer.compare",this.version,this.options,e),!(e instanceof u)){if("string"==typeof e&&e===this.version)return 0;e=new u(e,this.options)}return e.version===this.version?0:this.compareMain(e)||this.comparePre(e)}compareMain(e){return e instanceof u||(e=new u(e,this.options)),s(this.major,e.major)||s(this.minor,e.minor)||s(this.patch,e.patch)}comparePre(e){if(e instanceof u||(e=new u(e,this.options)),this.prerelease.length&&!e.prerelease.length)return-1;if(!this.prerelease.length&&e.prerelease.length)return 1;if(!this.prerelease.length&&!e.prerelease.length)return 0;let t=0;do{const n=this.prerelease[t],i=e.prerelease[t];if(r("prerelease compare",t,n,i),void 0===n&&void 0===i)return 0;if(void 0===i)return 1;if(void 0===n)return-1;if(n!==i)return s(n,i)}while(++t)}compareBuild(e){e instanceof u||(e=new u(e,this.options));let t=0;do{const n=this.build[t],i=e.build[t];if(r("prerelease compare",t,n,i),void 0===n&&void 0===i)return 0;if(void 0===i)return 1;if(void 0===n)return-1;if(n!==i)return s(n,i)}while(++t)}inc(e,t){switch(e){case"premajor":this.prerelease.length=0,this.patch=0,this.minor=0,this.major++,this.inc("pre",t);break;case"preminor":this.prerelease.length=0,this.patch=0,this.minor++,this.inc("pre",t);break;case"prepatch":this.prerelease.length=0,this.inc("patch",t),this.inc("pre",t);break;case"prerelease":0===this.prerelease.length&&this.inc("patch",t),this.inc("pre",t);break;case"major":0===this.minor&&0===this.patch&&0!==this.prerelease.length||this.major++,this.minor=0,this.patch=0,this.prerelease=[];break;case"minor":0===this.patch&&0!==this.prerelease.length||this.minor++,this.patch=0,this.prerelease=[];break;case"patch":0===this.prerelease.length&&this.patch++,this.prerelease=[];break;case"pre":if(0===this.prerelease.length)this.prerelease=[0];else{let e=this.prerelease.length;for(;--e>=0;)"number"==typeof this.prerelease[e]&&(this.prerelease[e]++,e=-2);-1===e&&this.prerelease.push(0)}t&&(this.prerelease[0]===t?isNaN(this.prerelease[1])&&(this.prerelease=[t,0]):this.prerelease=[t,0]);break;default:throw new Error("invalid increment argument: "+e)}return this.format(),this.raw=this.version,this}}e.exports=u},function(e,t,n){"use strict";var r,i,o,a=n(93),c=n(9),s=n(4),u=n(1),f=n(35),l=u("iterator"),h=!1;[].keys&&("next"in(o=[].keys())?(i=a(a(o)))!==Object.prototype&&(r=i):h=!0),null==r&&(r={}),f||s(r,l)||c(r,l,(function(){return this})),e.exports={IteratorPrototype:r,BUGGY_SAFARI_ITERATORS:h}},function(e,t,n){var r=n(4),i=n(16),o=n(38),a=n(155),c=o("IE_PROTO"),s=Object.prototype;e.exports=a?Object.getPrototypeOf:function(e){return e=i(e),r(e,c)?e[c]:"function"==typeof e.constructor&&e instanceof e.constructor?e.constructor.prototype:e instanceof Object?s:null}},function(e,t,n){var r=n(5),i=n(156);e.exports=Object.setPrototypeOf||("__proto__"in{}?function(){var e,t=!1,n={};try{(e=Object.getOwnPropertyDescriptor(Object.prototype,"__proto__").set).call(n,[]),t=n instanceof Array}catch(e){}return function(n,o){return r(n),i(o),t?e.call(n,o):n.__proto__=o,n}}():void 0)},function(e,t,n){var r=n(26),i=n(6),o=n(4),a=n(8).f,c=n(39),s=n(159),u=c("meta"),f=0,l=Object.isExtensible||function(){return!0},h=function(e){a(e,u,{value:{objectID:"O"+ ++f,weakData:{}}})},d=e.exports={REQUIRED:!1,fastKey:function(e,t){if(!i(e))return"symbol"==typeof e?e:("string"==typeof e?"S":"P")+e;if(!o(e,u)){if(!l(e))return"F";if(!t)return"E";h(e)}return e[u].objectID},getWeakData:function(e,t){if(!o(e,u)){if(!l(e))return!0;if(!t)return!1;h(e)}return e[u].weakData},onFreeze:function(e){return s&&d.REQUIRED&&l(e)&&!o(e,u)&&h(e),e}};r[u]=!0},function(e,t){e.exports={CSSRuleList:0,CSSStyleDeclaration:0,CSSValueList:0,ClientRectList:0,DOMRectList:0,DOMStringList:0,DOMTokenList:1,DataTransferItemList:0,FileList:0,HTMLAllCollection:0,HTMLCollection:0,HTMLFormElement:0,HTMLSelectElement:0,MediaList:0,MimeTypeArray:0,NamedNodeMap:0,NodeList:1,PaintRequestList:0,Plugin:0,PluginArray:0,SVGLengthList:0,SVGNumberList:0,SVGPathSegList:0,SVGPointList:0,SVGStringList:0,SVGTransformList:0,SourceBufferList:0,StyleSheetList:0,TextTrackCueList:0,TextTrackList:0,TouchList:0}},function(e,t,n){"use strict";var r=n(5);e.exports=function(){var e=r(this),t="";return e.global&&(t+="g"),e.ignoreCase&&(t+="i"),e.multiline&&(t+="m"),e.dotAll&&(t+="s"),e.unicode&&(t+="u"),e.sticky&&(t+="y"),t}},,function(e,t,n){"use strict";var r=n(12),i=n(5),o=n(0),a=n(97),c=RegExp.prototype,s=c.toString,u=o((function(){return"/a/b"!=s.call({source:"a",flags:"b"})})),f="toString"!=s.name;(u||f)&&r(RegExp.prototype,"toString",(function(){var e=i(this),t=String(e.source),n=e.flags;return"/"+t+"/"+String(void 0===n&&e instanceof RegExp&&!("flags"in c)?a.call(e):n)}),{unsafe:!0})},function(e,t,n){"use strict";var r=n(68).charAt,i=n(20),o=n(42),a=i.set,c=i.getterFor("String Iterator");o(String,"String",(function(e){a(this,{type:"String Iterator",string:String(e),index:0})}),(function(){var e,t=c(this),n=t.string,i=t.index;return i>=n.length?{value:void 0,done:!0}:(e=r(n,i),t.index+=e.length,{value:e,done:!1})}))},function(e,t,n){"use strict";var r=n(122),i=n(5),o=n(16),a=n(15),c=n(23),s=n(21),u=n(123),f=n(124),l=Math.max,h=Math.min,d=Math.floor,p=/\$([$&'`]|\d\d?|<[^>]*>)/g,g=/\$([$&'`]|\d\d?)/g;r("replace",2,(function(e,t,n,r){var v=r.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE,b=r.REPLACE_KEEPS_$0,m=v?"$":"$0";return[function(n,r){var i=s(this),o=null==n?void 0:n[e];return void 0!==o?o.call(n,i,r):t.call(String(i),n,r)},function(e,r){if(!v&&b||"string"==typeof r&&-1===r.indexOf(m)){var o=n(t,e,this,r);if(o.done)return o.value}var s=i(e),d=String(this),p="function"==typeof r;p||(r=String(r));var g=s.global;if(g){var E=s.unicode;s.lastIndex=0}for(var x=[];;){var w=f(s,d);if(null===w)break;if(x.push(w),!g)break;""===String(w[0])&&(s.lastIndex=u(d,a(s.lastIndex),E))}for(var O,R="",S=0,I=0;I<x.length;I++){w=x[I];for(var T=String(w[0]),A=l(h(c(w.index),d.length),0),C=[],N=1;N<w.length;N++)C.push(void 0===(O=w[N])?O:String(O));var L=w.groups;if(p){var k=[T].concat(C,A,d);void 0!==L&&k.push(L);var P=String(r.apply(void 0,k))}else P=y(T,d,A,C,L,r);A>=S&&(R+=d.slice(S,A)+P,S=A+T.length)}return R+d.slice(S)}];function y(e,n,r,i,a,c){var s=r+e.length,u=i.length,f=g;return void 0!==a&&(a=o(a),f=p),t.call(c,f,(function(t,o){var c;switch(o.charAt(0)){case"$":return"$";case"&":return e;case"`":return n.slice(0,r);case"'":return n.slice(s);case"<":c=a[o.slice(1,-1)];break;default:var f=+o;if(0===f)return t;if(f>u){var l=d(f/10);return 0===l?t:l<=u?void 0===i[l-1]?o.charAt(1):i[l-1]+o.charAt(1):t}c=i[f-1]}return void 0===c?"":c}))}}))},function(e,t,n){var r=n(2),i=n(96),o=n(52),a=n(9),c=n(1),s=c("iterator"),u=c("toStringTag"),f=o.values;for(var l in i){var h=r[l],d=h&&h.prototype;if(d){if(d[s]!==f)try{a(d,s,f)}catch(e){d[s]=f}if(d[u]||a(d,u,l),i[l])for(var p in o)if(d[p]!==o[p])try{a(d,p,o[p])}catch(e){d[p]=o[p]}}}},function(e,t,n){"use strict";var r=n(10),i=n(74).indexOf,o=n(64),a=n(31),c=[].indexOf,s=!!c&&1/[1].indexOf(1,-0)<0,u=o("indexOf"),f=a("indexOf",{ACCESSORS:!0,1:0});r({target:"Array",proto:!0,forced:s||!u||!f},{indexOf:function(e){return s?c.apply(this,arguments)||0:i(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t){e.exports=function(e){if("function"!=typeof e)throw TypeError(String(e)+" is not a function");return e}},function(e,t,n){"use strict";var r=n(34),i=n(8),o=n(24);e.exports=function(e,t,n){var a=r(t);a in e?i.f(e,a,o(0,n)):e[a]=n}},function(e,t,n){var r=n(67),i=n(17),o=n(1)("iterator");e.exports=function(e){if(null!=e)return e[o]||e["@@iterator"]||i[r(e)]}},function(e,t,n){var r=n(73),i=n(40).concat("length","prototype");t.f=Object.getOwnPropertyNames||function(e){return r(e,i)}},function(e,t,n){var r=n(23),i=Math.max,o=Math.min;e.exports=function(e,t){var n=r(e);return n<0?i(n+t,0):o(n,t)}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),Object.defineProperty(t,"getRequestToken",{enumerable:!0,get:function(){return r.getRequestToken}}),Object.defineProperty(t,"onRequestTokenUpdate",{enumerable:!0,get:function(){return r.onRequestTokenUpdate}}),Object.defineProperty(t,"getCurrentUser",{enumerable:!0,get:function(){return i.getCurrentUser}});var r=n(146),i=n(163)},function(e,t,n){"use strict";var r=n(10),i=n(63).filter,o=n(56),a=n(31),c=o("filter"),s=a("filter");r({target:"Array",proto:!0,forced:!c||!s},{filter:function(e){return i(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t,n){var r=n(6),i=n(94);e.exports=function(e,t,n){var o,a;return i&&"function"==typeof(o=t.constructor)&&o!==n&&r(a=o.prototype)&&a!==n.prototype&&i(e,a),e}},function(e,t,n){var r=n(12);e.exports=function(e,t,n){for(var i in t)r(e,i,t[i],n);return e}},function(e,t,n){"use strict";var r=n(7),i=n(0),o=n(76),a=n(75),c=n(69),s=n(16),u=n(36),f=Object.assign,l=Object.defineProperty;e.exports=!f||i((function(){if(r&&1!==f({b:1},f(l({},"a",{enumerable:!0,get:function(){l(this,"b",{value:3,enumerable:!1})}}),{b:2})).b)return!0;var e={},t={},n=Symbol();return e[n]=7,"abcdefghijklmnopqrst".split("").forEach((function(e){t[e]=e})),7!=f({},e)[n]||"abcdefghijklmnopqrst"!=o(f({},t)).join("")}))?function(e,t){for(var n=s(e),i=arguments.length,f=1,l=a.f,h=c.f;i>f;)for(var d,p=u(arguments[f++]),g=l?o(p).concat(l(p)):o(p),v=g.length,b=0;v>b;)d=g[b++],r&&!h.call(p,d)||(n[d]=p[d]);return n}:f},function(e,t,n){var r=n(22);e.exports=r("navigator","userAgent")||""},function(e,t,n){var r=n(7),i=n(8),o=n(5),a=n(76);e.exports=r?Object.defineProperties:function(e,t){o(e);for(var n,r=a(t),c=r.length,s=0;c>s;)i.f(e,n=r[s++],t[n]);return e}},function(e,t,n){var r=n(22);e.exports=r("document","documentElement")},function(e,t,n){"use strict";var r=n(92).IteratorPrototype,i=n(32),o=n(24),a=n(33),c=n(17),s=function(){return this};e.exports=function(e,t,n){var u=t+" Iterator";return e.prototype=i(r,{next:o(1,n)}),a(e,u,!1,!0),c[u]=s,e}},function(e,t,n){var r=n(1),i=n(17),o=r("iterator"),a=Array.prototype;e.exports=function(e){return void 0!==e&&(i.Array===e||a[o]===e)}},function(e,t,n){var r=n(5);e.exports=function(e,t,n,i){try{return i?t(r(n)[0],n[1]):t(n)}catch(t){var o=e.return;throw void 0!==o&&r(o.call(e)),t}}},function(e,t,n){var r=n(1)("iterator"),i=!1;try{var o=0,a={next:function(){return{done:!!o++}},return:function(){i=!0}};a[r]=function(){return this},Array.from(a,(function(){throw 2}))}catch(e){}e.exports=function(e,t){if(!t&&!i)return!1;var n=!1;try{var o={};o[r]=function(){return{next:function(){return{done:n=!0}}}},e(o)}catch(e){}return n}},function(e,t,n){"use strict";var r=n(22),i=n(8),o=n(1),a=n(7),c=o("species");e.exports=function(e){var t=r(e),n=i.f;a&&t&&!t[c]&&n(t,c,{configurable:!0,get:function(){return this}})}},function(e,t,n){"use strict";n(53);var r=n(12),i=n(0),o=n(1),a=n(44),c=n(9),s=o("species"),u=!i((function(){var e=/./;return e.exec=function(){var e=[];return e.groups={a:"7"},e},"7"!=="".replace(e,"$<a>")})),f="$0"==="a".replace(/./,"$0"),l=o("replace"),h=!!/./[l]&&""===/./[l]("a","$0"),d=!i((function(){var e=/(?:)/,t=e.exec;e.exec=function(){return t.apply(this,arguments)};var n="ab".split(e);return 2!==n.length||"a"!==n[0]||"b"!==n[1]}));e.exports=function(e,t,n,l){var p=o(e),g=!i((function(){var t={};return t[p]=function(){return 7},7!=""[e](t)})),v=g&&!i((function(){var t=!1,n=/a/;return"split"===e&&((n={}).constructor={},n.constructor[s]=function(){return n},n.flags="",n[p]=/./[p]),n.exec=function(){return t=!0,null},n[p](""),!t}));if(!g||!v||"replace"===e&&(!u||!f||h)||"split"===e&&!d){var b=/./[p],m=n(p,""[e],(function(e,t,n,r,i){return t.exec===a?g&&!i?{done:!0,value:b.call(t,n,r)}:{done:!0,value:e.call(n,t,r)}:{done:!1}}),{REPLACE_KEEPS_$0:f,REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE:h}),y=m[0],E=m[1];r(String.prototype,e,y),r(RegExp.prototype,p,2==t?function(e,t){return E.call(e,this,t)}:function(e){return E.call(e,this)})}l&&c(RegExp.prototype[p],"sham",!0)}},function(e,t,n){"use strict";var r=n(68).charAt;e.exports=function(e,t,n){return t+(n?r(e,t).length:1)}},function(e,t,n){var r=n(19),i=n(44);e.exports=function(e,t){var n=e.exec;if("function"==typeof n){var o=n.call(e,t);if("object"!=typeof o)throw TypeError("RegExp exec method returned something other than an Object or null");return o}if("RegExp"!==r(e))throw TypeError("RegExp#exec called on incompatible receiver");return i.call(e,t)}},function(e,t,n){var r=n(2),i=n(62),o=r.WeakMap;e.exports="function"==typeof o&&/native code/.test(i(o))},function(e,t,n){var r=n(4),i=n(127),o=n(54),a=n(8);e.exports=function(e,t){for(var n=i(t),c=a.f,s=o.f,u=0;u<n.length;u++){var f=n[u];r(e,f)||c(e,f,s(t,f))}}},function(e,t,n){var r=n(22),i=n(107),o=n(75),a=n(5);e.exports=r("Reflect","ownKeys")||function(e){var t=i.f(a(e)),n=o.f;return n?t.concat(n(e)):t}},function(e,t,n){var r=n(2);e.exports=r},function(e,t,n){e.exports=n(130)},function(e,t,n){"use strict";var r=n(3),i=n(77),o=n(131),a=n(83);function c(e){var t=new o(e),n=i(o.prototype.request,t);return r.extend(n,o.prototype,t),r.extend(n,t),n}var s=c(n(80));s.Axios=o,s.create=function(e){return c(a(s.defaults,e))},s.Cancel=n(84),s.CancelToken=n(144),s.isCancel=n(79),s.all=function(e){return Promise.all(e)},s.spread=n(145),e.exports=s,e.exports.default=s},function(e,t,n){"use strict";var r=n(3),i=n(78),o=n(132),a=n(133),c=n(83);function s(e){this.defaults=e,this.interceptors={request:new o,response:new o}}s.prototype.request=function(e){"string"==typeof e?(e=arguments[1]||{}).url=arguments[0]:e=e||{},(e=c(this.defaults,e)).method?e.method=e.method.toLowerCase():this.defaults.method?e.method=this.defaults.method.toLowerCase():e.method="get";var t=[a,void 0],n=Promise.resolve(e);for(this.interceptors.request.forEach((function(e){t.unshift(e.fulfilled,e.rejected)})),this.interceptors.response.forEach((function(e){t.push(e.fulfilled,e.rejected)}));t.length;)n=n.then(t.shift(),t.shift());return n},s.prototype.getUri=function(e){return e=c(this.defaults,e),i(e.url,e.params,e.paramsSerializer).replace(/^\?/,"")},r.forEach(["delete","get","head","options"],(function(e){s.prototype[e]=function(t,n){return this.request(r.merge(n||{},{method:e,url:t}))}})),r.forEach(["post","put","patch"],(function(e){s.prototype[e]=function(t,n,i){return this.request(r.merge(i||{},{method:e,url:t,data:n}))}})),e.exports=s},function(e,t,n){"use strict";var r=n(3);function i(){this.handlers=[]}i.prototype.use=function(e,t){return this.handlers.push({fulfilled:e,rejected:t}),this.handlers.length-1},i.prototype.eject=function(e){this.handlers[e]&&(this.handlers[e]=null)},i.prototype.forEach=function(e){r.forEach(this.handlers,(function(t){null!==t&&e(t)}))},e.exports=i},function(e,t,n){"use strict";var r=n(3),i=n(134),o=n(79),a=n(80);function c(e){e.cancelToken&&e.cancelToken.throwIfRequested()}e.exports=function(e){return c(e),e.headers=e.headers||{},e.data=i(e.data,e.headers,e.transformRequest),e.headers=r.merge(e.headers.common||{},e.headers[e.method]||{},e.headers),r.forEach(["delete","get","head","post","put","patch","common"],(function(t){delete e.headers[t]})),(e.adapter||a.adapter)(e).then((function(t){return c(e),t.data=i(t.data,t.headers,e.transformResponse),t}),(function(t){return o(t)||(c(e),t&&t.response&&(t.response.data=i(t.response.data,t.response.headers,e.transformResponse))),Promise.reject(t)}))}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t,n){return r.forEach(n,(function(n){e=n(e,t)})),e}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){r.forEach(e,(function(n,r){r!==t&&r.toUpperCase()===t.toUpperCase()&&(e[t]=n,delete e[r])}))}},function(e,t,n){"use strict";var r=n(82);e.exports=function(e,t,n){var i=n.config.validateStatus;!i||i(n.status)?e(n):t(r("Request failed with status code "+n.status,n.config,null,n.request,n))}},function(e,t,n){"use strict";e.exports=function(e,t,n,r,i){return e.config=t,n&&(e.code=n),e.request=r,e.response=i,e.isAxiosError=!0,e.toJSON=function(){return{message:this.message,name:this.name,description:this.description,number:this.number,fileName:this.fileName,lineNumber:this.lineNumber,columnNumber:this.columnNumber,stack:this.stack,config:this.config,code:this.code}},e}},function(e,t,n){"use strict";var r=n(139),i=n(140);e.exports=function(e,t){return e&&!r(t)?i(e,t):t}},function(e,t,n){"use strict";e.exports=function(e){return/^([a-z][a-z\d\+\-\.]*:)?\/\//i.test(e)}},function(e,t,n){"use strict";e.exports=function(e,t){return t?e.replace(/\/+$/,"")+"/"+t.replace(/^\/+/,""):e}},function(e,t,n){"use strict";var r=n(3),i=["age","authorization","content-length","content-type","etag","expires","from","host","if-modified-since","if-unmodified-since","last-modified","location","max-forwards","proxy-authorization","referer","retry-after","user-agent"];e.exports=function(e){var t,n,o,a={};return e?(r.forEach(e.split("\n"),(function(e){if(o=e.indexOf(":"),t=r.trim(e.substr(0,o)).toLowerCase(),n=r.trim(e.substr(o+1)),t){if(a[t]&&i.indexOf(t)>=0)return;a[t]="set-cookie"===t?(a[t]?a[t]:[]).concat([n]):a[t]?a[t]+", "+n:n}})),a):a}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?function(){var e,t=/(msie|trident)/i.test(navigator.userAgent),n=document.createElement("a");function i(e){var r=e;return t&&(n.setAttribute("href",r),r=n.href),n.setAttribute("href",r),{href:n.href,protocol:n.protocol?n.protocol.replace(/:$/,""):"",host:n.host,search:n.search?n.search.replace(/^\?/,""):"",hash:n.hash?n.hash.replace(/^#/,""):"",hostname:n.hostname,port:n.port,pathname:"/"===n.pathname.charAt(0)?n.pathname:"/"+n.pathname}}return e=i(window.location.href),function(t){var n=r.isString(t)?i(t):t;return n.protocol===e.protocol&&n.host===e.host}}():function(){return!0}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?{write:function(e,t,n,i,o,a){var c=[];c.push(e+"="+encodeURIComponent(t)),r.isNumber(n)&&c.push("expires="+new Date(n).toGMTString()),r.isString(i)&&c.push("path="+i),r.isString(o)&&c.push("domain="+o),!0===a&&c.push("secure"),document.cookie=c.join("; ")},read:function(e){var t=document.cookie.match(new RegExp("(^|;\\s*)("+e+")=([^;]*)"));return t?decodeURIComponent(t[3]):null},remove:function(e){this.write(e,"",Date.now()-864e5)}}:{write:function(){},read:function(){return null},remove:function(){}}},function(e,t,n){"use strict";var r=n(84);function i(e){if("function"!=typeof e)throw new TypeError("executor must be a function.");var t;this.promise=new Promise((function(e){t=e}));var n=this;e((function(e){n.reason||(n.reason=new r(e),t(n.reason))}))}i.prototype.throwIfRequested=function(){if(this.reason)throw this.reason},i.source=function(){var e;return{token:new i((function(t){e=t})),cancel:e}},e.exports=i},function(e,t,n){"use strict";e.exports=function(e){return function(t){return e.apply(null,t)}}},function(e,t,n){"use strict";n(85),Object.defineProperty(t,"__esModule",{value:!0}),t.getRequestToken=function(){return o},t.onRequestTokenUpdate=function(e){a.push(e)};var r=n(14),i=document.getElementsByTagName("head")[0],o=i?i.getAttribute("data-requesttoken"):null,a=[];(0,r.subscribe)("csrf-token-update",(function(e){o=e.token,a.forEach((function(t){try{t(e.token)}catch(e){console.error("error updating CSRF token observer",e)}}))}))},function(e,t,n){var r=n(88);e.exports=r&&!Symbol.sham&&"symbol"==typeof Symbol.iterator},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.ProxyBus=void 0;var r=o(n(149)),i=o(n(152));function o(e){return e&&e.__esModule?e:{default:e}}function a(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}var c="1.2.0",s=function(){function e(t){var n,o,a;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),a=void 0,(o="bus")in(n=this)?Object.defineProperty(n,o,{value:a,enumerable:!0,configurable:!0,writable:!0}):n[o]=a,"function"==typeof t.getVersion&&(0,r.default)(t.getVersion())?(0,i.default)(t.getVersion())!==(0,i.default)(this.getVersion())&&console.warn("Proxying an event bus of version "+t.getVersion()+" with "+this.getVersion()):console.warn("Proxying an event bus with an unknown or invalid version"),this.bus=t}var t,n,o;return t=e,(n=[{key:"getVersion",value:function(){return c}},{key:"subscribe",value:function(e,t){this.bus.subscribe(e,t)}},{key:"unsubscribe",value:function(e,t){this.bus.unsubscribe(e,t)}},{key:"emit",value:function(e,t){this.bus.emit(e,t)}}])&&a(t.prototype,n),o&&a(t,o),e}();t.ProxyBus=s},function(e,t,n){const r=n(150);e.exports=(e,t)=>{const n=r(e,t);return n?n.version:null}},function(e,t,n){const{MAX_LENGTH:r}=n(41),{re:i,t:o}=n(89),a=n(91);e.exports=(e,t)=>{if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof a)return e;if("string"!=typeof e)return null;if(e.length>r)return null;if(!(t.loose?i[o.LOOSE]:i[o.FULL]).test(e))return null;try{return new a(e,t)}catch(e){return null}}},function(e,t){const n=/^[0-9]+$/,r=(e,t)=>{const r=n.test(e),i=n.test(t);return r&&i&&(e=+e,t=+t),e===t?0:r&&!i?-1:i&&!r?1:e<t?-1:1};e.exports={compareIdentifiers:r,rcompareIdentifiers:(e,t)=>r(t,e)}},function(e,t,n){const r=n(91);e.exports=(e,t)=>new r(e,t).major},function(e,t,n){"use strict";function r(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}n(60),n(110),n(85),n(52),n(157),n(51),n(100),n(162),n(102),Object.defineProperty(t,"__esModule",{value:!0}),t.SimpleBus=void 0;var i="1.2.0",o=function(){function e(){var t,n,r;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),t=this,n="handlers",r=new Map,n in t?Object.defineProperty(t,n,{value:r,enumerable:!0,configurable:!0,writable:!0}):t[n]=r}var t,n,o;return t=e,(n=[{key:"getVersion",value:function(){return i}},{key:"subscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).concat(t))}},{key:"unsubscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).filter((function(e){return e!=t})))}},{key:"emit",value:function(e,t){(this.handlers.get(e)||[]).forEach((function(e){try{e(t)}catch(e){console.error("could not invoke event listener",e)}}))}}])&&r(t.prototype,n),o&&r(t,o),e}();t.SimpleBus=o},function(e,t,n){var r=n(1),i=n(32),o=n(8),a=r("unscopables"),c=Array.prototype;null==c[a]&&o.f(c,a,{configurable:!0,value:i(null)}),e.exports=function(e){c[a][e]=!0}},function(e,t,n){var r=n(0);e.exports=!r((function(){function e(){}return e.prototype.constructor=null,Object.getPrototypeOf(new e)!==e.prototype}))},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e)&&null!==e)throw TypeError("Can't set "+String(e)+" as a prototype");return e}},function(e,t,n){"use strict";var r=n(158),i=n(160);e.exports=r("Map",(function(e){return function(){return e(this,arguments.length?arguments[0]:void 0)}}),i)},function(e,t,n){"use strict";var r=n(10),i=n(2),o=n(55),a=n(12),c=n(95),s=n(66),u=n(57),f=n(6),l=n(0),h=n(120),d=n(33),p=n(111);e.exports=function(e,t,n){var g=-1!==e.indexOf("Map"),v=-1!==e.indexOf("Weak"),b=g?"set":"add",m=i[e],y=m&&m.prototype,E=m,x={},w=function(e){var t=y[e];a(y,e,"add"==e?function(e){return t.call(this,0===e?0:e),this}:"delete"==e?function(e){return!(v&&!f(e))&&t.call(this,0===e?0:e)}:"get"==e?function(e){return v&&!f(e)?void 0:t.call(this,0===e?0:e)}:"has"==e?function(e){return!(v&&!f(e))&&t.call(this,0===e?0:e)}:function(e,n){return t.call(this,0===e?0:e,n),this})};if(o(e,"function"!=typeof m||!(v||y.forEach&&!l((function(){(new m).entries().next()})))))E=n.getConstructor(t,e,g,b),c.REQUIRED=!0;else if(o(e,!0)){var O=new E,R=O[b](v?{}:-0,1)!=O,S=l((function(){O.has(1)})),I=h((function(e){new m(e)})),T=!v&&l((function(){for(var e=new m,t=5;t--;)e[b](t,t);return!e.has(-0)}));I||((E=t((function(t,n){u(t,E,e);var r=p(new m,t,E);return null!=n&&s(n,r[b],r,g),r}))).prototype=y,y.constructor=E),(S||T)&&(w("delete"),w("has"),g&&w("get")),(T||R)&&w(b),v&&y.clear&&delete y.clear}return x[e]=E,r({global:!0,forced:E!=m},x),d(E,e),v||n.setStrong(E,e,g),E}},function(e,t,n){var r=n(0);e.exports=!r((function(){return Object.isExtensible(Object.preventExtensions({}))}))},function(e,t,n){"use strict";var r=n(8).f,i=n(32),o=n(112),a=n(30),c=n(57),s=n(66),u=n(42),f=n(121),l=n(7),h=n(95).fastKey,d=n(20),p=d.set,g=d.getterFor;e.exports={getConstructor:function(e,t,n,u){var f=e((function(e,r){c(e,f,t),p(e,{type:t,index:i(null),first:void 0,last:void 0,size:0}),l||(e.size=0),null!=r&&s(r,e[u],e,n)})),d=g(t),v=function(e,t,n){var r,i,o=d(e),a=b(e,t);return a?a.value=n:(o.last=a={index:i=h(t,!0),key:t,value:n,previous:r=o.last,next:void 0,removed:!1},o.first||(o.first=a),r&&(r.next=a),l?o.size++:e.size++,"F"!==i&&(o.index[i]=a)),e},b=function(e,t){var n,r=d(e),i=h(t);if("F"!==i)return r.index[i];for(n=r.first;n;n=n.next)if(n.key==t)return n};return o(f.prototype,{clear:function(){for(var e=d(this),t=e.index,n=e.first;n;)n.removed=!0,n.previous&&(n.previous=n.previous.next=void 0),delete t[n.index],n=n.next;e.first=e.last=void 0,l?e.size=0:this.size=0},delete:function(e){var t=d(this),n=b(this,e);if(n){var r=n.next,i=n.previous;delete t.index[n.index],n.removed=!0,i&&(i.next=r),r&&(r.previous=i),t.first==n&&(t.first=r),t.last==n&&(t.last=i),l?t.size--:this.size--}return!!n},forEach:function(e){for(var t,n=d(this),r=a(e,arguments.length>1?arguments[1]:void 0,3);t=t?t.next:n.first;)for(r(t.value,t.key,this);t&&t.removed;)t=t.previous},has:function(e){return!!b(this,e)}}),o(f.prototype,n?{get:function(e){var t=b(this,e);return t&&t.value},set:function(e,t){return v(this,0===e?0:e,t)}}:{add:function(e){return v(this,e=0===e?0:e,e)}}),l&&r(f.prototype,"size",{get:function(){return d(this).size}}),f},setStrong:function(e,t,n){var r=t+" Iterator",i=g(t),o=g(r);u(e,t,(function(e,t){p(this,{type:r,target:e,state:i(e),kind:t,last:void 0})}),(function(){for(var e=o(this),t=e.kind,n=e.last;n&&n.removed;)n=n.previous;return e.target&&(e.last=n=n?n.next:e.state.first)?"keys"==t?{value:n.key,done:!1}:"values"==t?{value:n.value,done:!1}:{value:[n.key,n.value],done:!1}:(e.target=void 0,{value:void 0,done:!0})}),n?"entries":"values",!n,!0),f(t)}}},function(e,t,n){"use strict";var r=n(43),i=n(67);e.exports=r?{}.toString:function(){return"[object "+i(this)+"]"}},function(e,t,n){var r=n(2),i=n(96),o=n(86),a=n(9);for(var c in i){var s=r[c],u=s&&s.prototype;if(u&&u.forEach!==o)try{a(u,"forEach",o)}catch(e){u.forEach=o}}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.getCurrentUser=function(){if(null===i)return null;return{uid:i,displayName:a,isAdmin:c}};var r=document.getElementsByTagName("head")[0],i=r?r.getAttribute("data-user"):null,o=document.getElementsByTagName("head")[0],a=o?o.getAttribute("data-user-displayname"):null,c="undefined"!=typeof OC&&OC.isUserAdmin()},function(e,t,n){"use strict";var r=n(0);function i(e,t){return RegExp(e,t)}t.UNSUPPORTED_Y=r((function(){var e=i("a","y");return e.lastIndex=2,null!=e.exec("abcd")})),t.BROKEN_CARET=r((function(){var e=i("^r","gy");return e.lastIndex=2,null!=e.exec("str")}))},function(e,t,n){"use strict";var r=n(27);n.n(r).a},function(e,t,n){(t=n(49)(!1)).push([e.i,"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\n",""]),e.exports=t},function(e,t,n){"use strict";n.d(t,"a",(function(){return i})),n.d(t,"b",(function(){return a})),n.d(t,"c",(function(){return s}));var r=n(11),i=function(e){(document.attachEvent?"complete"===document.readyState:"loading"!==document.readyState)?setTimeout(e,0):document.addEventListener("DOMContentLoaded",e)},o=Object(r.generateUrl)("/apps/text"),a=function(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1];return t?"".concat(o,"/public/").concat(e):"".concat(o,"/").concat(e)},c=["Artichoke","Arugula","Asparagus","Avocado","Bamboo Shoot","Bean Sprout","Bean","Beet","Belgian Endive","Bell Pepper","Bitter Melon","Bitter Gourd","Bok Choy","Broccoli","Brussels Sprout","Burdock Root","Cabbage","Calabash","Caper","Carrot","Cassava","Cauliflower","Celery","Celery Root","Celtuce","Chayote","Chinese Broccoli","Corn","Baby Corn","Cucumber","English Cucumber","Gherkin","Pickling Cucumber","Daikon Radish","Edamame","Eggplant","Elephant Garlic","Endive","Curly","Escarole","Fennel","Fiddlehead","Galangal","Garlic","Ginger","Grape Leave","Green Bean","Wax Bean","Green","Amaranth Leave","Beet Green","Collard Green","Dandelion Green","Kale","Kohlrabi Green","Mustard Green","Rapini","Spinach","Swiss Chard","Turnip Green","Hearts of Palm","Horseradish","Jerusalem Artichoke","Jícama","Kale","Curly","Lacinato","Ornamental","Kohlrabi","Leeks","Lemongrass","Lettuce","Butterhead","Iceberg","Leaf","Romaine","Lotus Root","Lotus Seed","Mushroom","Napa Cabbage","Nopales","Okra","Olive","Onion","Green Onion","Parsley","Parsley Root","Parsnip","Pepper","Plantain","Potato","Pumpkin","Purslane","Radicchio","Radish","Rutabaga","Shallots","Spinach","Squash","Sweet Potato","Swiss Chard","Taro","Tomatillo","Tomato","Turnip","Water Chestnut","Water Spinach","Watercress","Winter Melon","Yams","Zucchini"],s=function(){return c[Math.floor(Math.random()*c.length)]}},,,,function(e,t,n){"use strict";n.r(t);var r=n(167),i=n(28),o=n(13),a=n(48);n.nc=btoa(OC.requestToken),n.p=OC.linkTo("text","js/"),Object(r.a)((function(){var e=document.getElementById("dir").value,t=document.getElementById("mimetype").value,r=document.getElementById("sharingToken")?document.getElementById("sharingToken").value:null;if(r)if(""!==e)OC.Plugins.register("OCA.Files.FileList",i.a),Object(i.c)(),Object(i.d)();else{var a=document.createElement("div");a.id="texteditor",document.getElementById("app-content").appendChild(a),-1!==o.a.indexOf(t)&&Promise.all([n.e(0).then(n.bind(null,45)),Promise.all([n.e(0),n.e(188),n.e(197),n.e(189)]).then(n.bind(null,176))]).then((function(e){var n=e[0].default;n.prototype.t=window.t,n.prototype.OCA=window.OCA;var i=e[1].default;new n({render:function(e){return e(i,{props:{active:!0,shareToken:r,mime:t}})}}).$mount(document.getElementById("preview"))}))}})),OCA.Text={RichWorkspaceEnabled:Object(a.loadState)("text","workspace_available")}}]);
//# sourceMappingURL=public.js.map \ No newline at end of file
diff --git a/js/public.js.map b/js/public.js.map
index b93a7188e..1bc3f1d69 100644
--- a/js/public.js.map
+++ b/js/public.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///webpack/bootstrap","webpack:///./node_modules/core-js/internals/fails.js","webpack:///./node_modules/core-js/internals/well-known-symbol.js","webpack:///./node_modules/core-js/internals/global.js","webpack:///./node_modules/axios/lib/utils.js","webpack:///./node_modules/core-js/internals/has.js","webpack:///./node_modules/core-js/internals/an-object.js","webpack:///./node_modules/core-js/internals/is-object.js","webpack:///./node_modules/core-js/internals/descriptors.js","webpack:///./node_modules/core-js/internals/object-define-property.js","webpack:///./node_modules/core-js/internals/create-non-enumerable-property.js","webpack:///./node_modules/core-js/internals/export.js","webpack:///./node_modules/@nextcloud/router/dist/index.js","webpack:///./node_modules/core-js/internals/redefine.js","webpack:///./src/helpers/mime.js","webpack:///./node_modules/@nextcloud/event-bus/dist/index.js","webpack:///./node_modules/core-js/internals/to-length.js","webpack:///./node_modules/core-js/internals/to-object.js","webpack:///./node_modules/core-js/internals/iterators.js","webpack:///./node_modules/vue-loader/lib/runtime/componentNormalizer.js","webpack:///./node_modules/core-js/internals/classof-raw.js","webpack:///./node_modules/core-js/internals/internal-state.js","webpack:///./node_modules/core-js/internals/require-object-coercible.js","webpack:///./node_modules/core-js/internals/get-built-in.js","webpack:///./node_modules/core-js/internals/to-integer.js","webpack:///./node_modules/core-js/internals/create-property-descriptor.js","webpack:///./node_modules/core-js/internals/to-indexed-object.js","webpack:///./node_modules/core-js/internals/hidden-keys.js","webpack:///./src/views/RichWorkspace.vue?c293","webpack:///src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?d0bc","webpack:///./src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?97cd","webpack:///./src/helpers/files.js","webpack:///./node_modules/@nextcloud/axios/dist/index.js","webpack:///./node_modules/core-js/internals/function-bind-context.js","webpack:///./node_modules/core-js/internals/array-method-uses-to-length.js","webpack:///./node_modules/core-js/internals/object-create.js","webpack:///./node_modules/core-js/internals/set-to-string-tag.js","webpack:///./node_modules/core-js/internals/to-primitive.js","webpack:///./node_modules/core-js/internals/is-pure.js","webpack:///./node_modules/core-js/internals/indexed-object.js","webpack:///./node_modules/core-js/internals/set-global.js","webpack:///./node_modules/core-js/internals/shared-key.js","webpack:///./node_modules/core-js/internals/uid.js","webpack:///./node_modules/core-js/internals/enum-bug-keys.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/constants.js","webpack:///./node_modules/core-js/internals/define-iterator.js","webpack:///./node_modules/core-js/internals/to-string-tag-support.js","webpack:///./node_modules/core-js/internals/regexp-exec.js","webpack:///(webpack)/buildin/global.js","webpack:///./node_modules/process/browser.js","webpack:///./node_modules/@nextcloud/initial-state/dist/index.js","webpack:///./node_modules/css-loader/dist/runtime/api.js","webpack:///./node_modules/vue-style-loader/lib/listToStyles.js","webpack:///./node_modules/vue-style-loader/lib/addStylesClient.js","webpack:///./node_modules/core-js/modules/es.object.to-string.js","webpack:///./node_modules/core-js/modules/es.array.iterator.js","webpack:///./node_modules/core-js/modules/es.regexp.exec.js","webpack:///./node_modules/core-js/internals/object-get-own-property-descriptor.js","webpack:///./node_modules/core-js/internals/is-forced.js","webpack:///./node_modules/core-js/internals/array-method-has-species-support.js","webpack:///./node_modules/core-js/internals/an-instance.js","webpack:///./node_modules/core-js/modules/es.object.assign.js","webpack:///./node_modules/core-js/internals/array-species-create.js","webpack:///./node_modules/core-js/modules/es.array.concat.js","webpack:///./node_modules/core-js/internals/document-create-element.js","webpack:///./node_modules/core-js/internals/inspect-source.js","webpack:///./node_modules/core-js/internals/array-iteration.js","webpack:///./node_modules/core-js/internals/array-method-is-strict.js","webpack:///./node_modules/core-js/internals/engine-v8-version.js","webpack:///./node_modules/core-js/internals/iterate.js","webpack:///./node_modules/core-js/internals/classof.js","webpack:///./node_modules/core-js/internals/string-multibyte.js","webpack:///./node_modules/core-js/internals/object-property-is-enumerable.js","webpack:///./node_modules/core-js/internals/ie8-dom-define.js","webpack:///./node_modules/core-js/internals/shared-store.js","webpack:///./node_modules/core-js/internals/shared.js","webpack:///./node_modules/core-js/internals/object-keys-internal.js","webpack:///./node_modules/core-js/internals/array-includes.js","webpack:///./node_modules/core-js/internals/object-get-own-property-symbols.js","webpack:///./node_modules/core-js/internals/object-keys.js","webpack:///./node_modules/axios/lib/helpers/bind.js","webpack:///./node_modules/axios/lib/helpers/buildURL.js","webpack:///./node_modules/axios/lib/cancel/isCancel.js","webpack:///./node_modules/axios/lib/defaults.js","webpack:///./node_modules/axios/lib/adapters/xhr.js","webpack:///./node_modules/axios/lib/core/createError.js","webpack:///./node_modules/axios/lib/core/mergeConfig.js","webpack:///./node_modules/axios/lib/cancel/Cancel.js","webpack:///./node_modules/core-js/modules/es.array.for-each.js","webpack:///./node_modules/core-js/internals/array-for-each.js","webpack:///./node_modules/core-js/internals/is-array.js","webpack:///./node_modules/core-js/internals/native-symbol.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/re.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/debug.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/classes/semver.js","webpack:///./node_modules/core-js/internals/iterators-core.js","webpack:///./node_modules/core-js/internals/object-get-prototype-of.js","webpack:///./node_modules/core-js/internals/object-set-prototype-of.js","webpack:///./node_modules/core-js/internals/internal-metadata.js","webpack:///./node_modules/core-js/internals/dom-iterables.js","webpack:///./node_modules/core-js/internals/regexp-flags.js","webpack:///./node_modules/core-js/modules/es.regexp.to-string.js","webpack:///./node_modules/core-js/modules/es.string.iterator.js","webpack:///./node_modules/core-js/modules/es.string.replace.js","webpack:///./node_modules/core-js/modules/web.dom-collections.iterator.js","webpack:///./node_modules/core-js/modules/es.array.index-of.js","webpack:///./node_modules/core-js/internals/a-function.js","webpack:///./node_modules/core-js/internals/create-property.js","webpack:///./node_modules/core-js/internals/get-iterator-method.js","webpack:///./node_modules/core-js/internals/object-get-own-property-names.js","webpack:///./node_modules/core-js/internals/to-absolute-index.js","webpack:///./node_modules/@nextcloud/auth/dist/index.js","webpack:///./node_modules/core-js/modules/es.array.filter.js","webpack:///./node_modules/core-js/internals/inherit-if-required.js","webpack:///./node_modules/core-js/internals/redefine-all.js","webpack:///./node_modules/core-js/internals/object-assign.js","webpack:///./node_modules/core-js/internals/engine-user-agent.js","webpack:///./node_modules/core-js/internals/object-define-properties.js","webpack:///./node_modules/core-js/internals/html.js","webpack:///./node_modules/core-js/internals/create-iterator-constructor.js","webpack:///./node_modules/core-js/internals/is-array-iterator-method.js","webpack:///./node_modules/core-js/internals/call-with-safe-iteration-closing.js","webpack:///./node_modules/core-js/internals/check-correctness-of-iteration.js","webpack:///./node_modules/core-js/internals/set-species.js","webpack:///./node_modules/core-js/internals/fix-regexp-well-known-symbol-logic.js","webpack:///./node_modules/core-js/internals/advance-string-index.js","webpack:///./node_modules/core-js/internals/regexp-exec-abstract.js","webpack:///./node_modules/core-js/internals/native-weak-map.js","webpack:///./node_modules/core-js/internals/copy-constructor-properties.js","webpack:///./node_modules/core-js/internals/own-keys.js","webpack:///./node_modules/core-js/internals/path.js","webpack:///./node_modules/axios/index.js","webpack:///./node_modules/axios/lib/axios.js","webpack:///./node_modules/axios/lib/core/Axios.js","webpack:///./node_modules/axios/lib/core/InterceptorManager.js","webpack:///./node_modules/axios/lib/core/dispatchRequest.js","webpack:///./node_modules/axios/lib/core/transformData.js","webpack:///./node_modules/axios/lib/helpers/normalizeHeaderName.js","webpack:///./node_modules/axios/lib/core/settle.js","webpack:///./node_modules/axios/lib/core/enhanceError.js","webpack:///./node_modules/axios/lib/core/buildFullPath.js","webpack:///./node_modules/axios/lib/helpers/isAbsoluteURL.js","webpack:///./node_modules/axios/lib/helpers/combineURLs.js","webpack:///./node_modules/axios/lib/helpers/parseHeaders.js","webpack:///./node_modules/axios/lib/helpers/isURLSameOrigin.js","webpack:///./node_modules/axios/lib/helpers/cookies.js","webpack:///./node_modules/axios/lib/cancel/CancelToken.js","webpack:///./node_modules/axios/lib/helpers/spread.js","webpack:///./node_modules/@nextcloud/auth/dist/requesttoken.js","webpack:///./node_modules/core-js/internals/use-symbol-as-uid.js","webpack:///./node_modules/@nextcloud/event-bus/dist/ProxyBus.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/valid.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/parse.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/identifiers.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/major.js","webpack:///./node_modules/@nextcloud/event-bus/dist/SimpleBus.js","webpack:///./node_modules/core-js/internals/add-to-unscopables.js","webpack:///./node_modules/core-js/internals/correct-prototype-getter.js","webpack:///./node_modules/core-js/internals/a-possible-prototype.js","webpack:///./node_modules/core-js/modules/es.map.js","webpack:///./node_modules/core-js/internals/collection.js","webpack:///./node_modules/core-js/internals/freezing.js","webpack:///./node_modules/core-js/internals/collection-strong.js","webpack:///./node_modules/core-js/internals/object-to-string.js","webpack:///./node_modules/core-js/modules/web.dom-collections.for-each.js","webpack:///./node_modules/@nextcloud/auth/dist/user.js","webpack:///./node_modules/core-js/internals/regexp-sticky-helpers.js","webpack:///./src/views/RichWorkspace.vue?f1c0","webpack:///./src/views/RichWorkspace.vue?8915","webpack:///./src/helpers/index.js","webpack:///./src/public.js"],"names":["webpackJsonpCallback","data","moduleId","chunkId","chunkIds","moreModules","i","resolves","length","Object","prototype","hasOwnProperty","call","installedChunks","push","modules","parentJsonpFunction","shift","installedModules","195","__webpack_require__","exports","module","l","e","promises","installedChunkData","promise","Promise","resolve","reject","onScriptComplete","script","document","createElement","charset","timeout","nc","setAttribute","src","p","jsonpScriptSrc","error","Error","event","onerror","onload","clearTimeout","chunk","errorType","type","realSrc","target","message","name","request","undefined","setTimeout","head","appendChild","all","m","c","d","getter","o","defineProperty","enumerable","get","r","Symbol","toStringTag","value","t","mode","__esModule","ns","create","key","bind","n","object","property","oe","err","console","jsonpArray","window","oldJsonpFunction","slice","s","exec","global","shared","has","uid","NATIVE_SYMBOL","USE_SYMBOL_AS_UID","WellKnownSymbolsStore","createWellKnownSymbol","withoutSetter","it","Math","check","globalThis","self","Function","toString","isArray","val","isUndefined","isObject","isFunction","forEach","obj","fn","isArrayBuffer","isBuffer","constructor","isFormData","FormData","isArrayBufferView","ArrayBuffer","isView","buffer","isString","isNumber","isDate","isFile","isBlob","isStream","pipe","isURLSearchParams","URLSearchParams","isStandardBrowserEnv","navigator","product","merge","result","assignValue","arguments","deepMerge","extend","a","b","thisArg","trim","str","replace","TypeError","String","fails","DESCRIPTORS","IE8_DOM_DEFINE","anObject","toPrimitive","nativeDefineProperty","f","O","P","Attributes","definePropertyModule","createPropertyDescriptor","getOwnPropertyDescriptor","createNonEnumerableProperty","redefine","setGlobal","copyConstructorProperties","isForced","options","source","targetProperty","sourceProperty","descriptor","TARGET","GLOBAL","STATIC","stat","noTargetGet","forced","sham","getRootUrl","generateFilePath","imagePath","generateUrl","generateOcsUrl","generateRemoteUrl","linkTo","app","file","service","location","protocol","host","linkToRemoteBase","version","url","params","allOptions","assign","escape","noRewrite","_build","text","vars","encodeURIComponent","charAt","OC","config","modRewriteWorking","indexOf","isCore","coreApps","link","substring","appswebroots","encodeURI","webroot","inspectSource","InternalStateModule","getInternalState","enforceInternalState","enforce","TEMPLATE","split","unsafe","simple","join","this","openMimetypesMarkdown","openMimetypesPlainText","openMimetypes","subscribe","handler","bus","unsubscribe","emit","_ProxyBus","_SimpleBus","_eventBus","_nc_event_bus","warn","ProxyBus","SimpleBus","toInteger","min","argument","requireObjectCoercible","normalizeComponent","scriptExports","render","staticRenderFns","functionalTemplate","injectStyles","scopeId","moduleIdentifier","shadowMode","hook","_compiled","functional","_scopeId","context","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","originalRender","h","existing","beforeCreate","concat","set","NATIVE_WEAK_MAP","objectHas","sharedKey","hiddenKeys","WeakMap","store","wmget","wmhas","wmset","metadata","STATE","getterFor","TYPE","state","path","aFunction","variable","namespace","method","ceil","floor","isNaN","bitmap","configurable","writable","IndexedObject","content","locals","default","_vm","_h","$createElement","_c","_self","class","loaded","ready","focus","darkTheme","canCreate","attrs","staticClass","on","createNew","_v","_s","_e","directives","rawName","expression","id","shareToken","mimetype","autofocus","$event","unfocus","reset","optimalPath","from","to","current","pop","relativePath","fill","absolutePath","registerFileCreate","newFileMenuPlugin","attach","menu","fileList","addMenuEntry","displayName","templateName","iconClass","fileType","actionHandler","createFile","then","status","fileInfoModel","OCA","Files","FileInfoModel","Viewer","fileActions","triggerAction","Plugins","register","registerFileActionFallback","mime","sharingToken","getElementById","dir","ViewerRoot","body","PERMISSION_UPDATE","PERMISSION_READ","filename","FileList","findFile","imports","getCurrentDirectory","Vue","Editor","props","fileId","active","mimeType","$mount","setDefault","FilesWorkspacePlugin","el","registerHeader","priority","vm","RichWorkspace","propsData","$el","_axios","_auth","client","headers","requesttoken","getRequestToken","cancelableClient","CancelToken","isCancel","onRequestTokenUpdate","token","defaults","_default","that","apply","cache","thrower","METHOD_NAME","ACCESSORS","argument0","argument1","activeXDocument","defineProperties","enumBugKeys","html","documentCreateElement","IE_PROTO","EmptyConstructor","scriptTag","LT","NullProtoObject","domain","ActiveXObject","iframeDocument","iframe","write","close","temp","parentWindow","NullProtoObjectViaActiveX","style","display","contentWindow","open","F","Properties","TO_STRING_TAG","wellKnownSymbol","TAG","input","PREFERRED_STRING","valueOf","classof","propertyIsEnumerable","keys","postfix","random","MAX_SAFE_INTEGER","Number","SEMVER_SPEC_VERSION","MAX_LENGTH","MAX_SAFE_COMPONENT_LENGTH","$","createIteratorConstructor","getPrototypeOf","setPrototypeOf","setToStringTag","IS_PURE","Iterators","IteratorsCore","IteratorPrototype","BUGGY_SAFARI_ITERATORS","ITERATOR","returnThis","Iterable","NAME","IteratorConstructor","next","DEFAULT","IS_SET","FORCED","CurrentIteratorPrototype","methods","KEY","getIterationMethod","KIND","defaultIterator","IterablePrototype","INCORRECT_VALUES_NAME","nativeIterator","anyNativeIterator","entries","values","proto","test","re1","re2","regexpFlags","stickyHelpers","nativeExec","RegExp","nativeReplace","patchedExec","UPDATES_LAST_INDEX_WRONG","lastIndex","UNSUPPORTED_Y","BROKEN_CARET","NPCG_INCLUDED","reCopy","match","re","sticky","flags","charsAdded","strCopy","multiline","index","g","cachedSetTimeout","cachedClearTimeout","process","defaultSetTimout","defaultClearTimeout","runTimeout","fun","currentQueue","queue","draining","queueIndex","cleanUpNextTick","drainQueue","len","run","marker","runClearTimeout","Item","array","noop","nextTick","args","Array","title","browser","env","argv","versions","addListener","once","off","removeListener","removeAllListeners","prependListener","prependOnceListener","listeners","binding","cwd","chdir","umask","loadState","elem","querySelector","JSON","parse","atob","useSourceMap","list","map","item","cssMapping","btoa","sourceMapping","sourceMap","base64","unescape","stringify","sourceURLs","sources","sourceRoot","cssWithMappingToString","mediaQuery","dedupe","alreadyImportedModules","_i","listToStyles","parentId","styles","newStyles","part","css","media","parts","hasDocument","DEBUG","stylesInDom","getElementsByTagName","singletonElement","singletonCounter","isProduction","isOldIE","userAgent","toLowerCase","addStylesClient","_isProduction","_options","addStylesToDom","newList","mayRemove","domStyle","refs","j","addStyle","createStyleElement","styleElement","update","remove","parentNode","removeChild","styleIndex","applyToSingletonTag","applyToTag","newObj","textStore","replaceText","replacement","filter","Boolean","styleSheet","cssText","cssNode","createTextNode","childNodes","insertBefore","ssrId","firstChild","TO_STRING_TAG_SUPPORT","toIndexedObject","addToUnscopables","defineIterator","setInternalState","iterated","kind","done","Arguments","propertyIsEnumerableModule","nativeGetOwnPropertyDescriptor","feature","detection","normalize","POLYFILL","NATIVE","string","V8_VERSION","SPECIES","foo","Constructor","originalArray","C","toObject","toLength","createProperty","arraySpeciesCreate","arrayMethodHasSpeciesSupport","IS_CONCAT_SPREADABLE","IS_CONCAT_SPREADABLE_SUPPORT","SPECIES_SUPPORT","isConcatSpreadable","spreadable","arg","k","E","A","EXISTS","functionToString","createMethod","IS_MAP","IS_FILTER","IS_SOME","IS_EVERY","IS_FIND_INDEX","NO_HOLES","$this","callbackfn","specificCreate","boundFunction","some","every","find","findIndex","v8","isArrayIteratorMethod","getIteratorMethod","callWithSafeIterationClosing","Result","stopped","iterable","AS_ENTRIES","IS_ITERATOR","iterator","iterFn","step","stop","classofRaw","CORRECT_ARGUMENTS","tag","tryGet","callee","CONVERT_TO_STRING","pos","first","second","S","position","size","charCodeAt","codeAt","nativePropertyIsEnumerable","NASHORN_BUG","1","V","copyright","names","toAbsoluteIndex","IS_INCLUDES","fromIndex","includes","getOwnPropertySymbols","internalObjectKeys","utils","encode","paramsSerializer","serializedParams","v","toISOString","hashmarkIndex","__CANCEL__","normalizeHeaderName","DEFAULT_CONTENT_TYPE","setContentTypeIfUnset","adapter","XMLHttpRequest","transformRequest","transformResponse","xsrfCookieName","xsrfHeaderName","maxContentLength","validateStatus","common","settle","buildURL","buildFullPath","parseHeaders","isURLSameOrigin","createError","requestData","requestHeaders","auth","username","password","Authorization","fullPath","baseURL","toUpperCase","onreadystatechange","readyState","responseURL","responseHeaders","getAllResponseHeaders","response","responseType","responseText","statusText","onabort","ontimeout","timeoutErrorMessage","cookies","xsrfValue","withCredentials","read","setRequestHeader","onDownloadProgress","addEventListener","onUploadProgress","upload","cancelToken","cancel","abort","send","enhanceError","code","config1","config2","valueFromConfig2Keys","mergeDeepPropertiesKeys","defaultToConfig2Keys","prop","axiosKeys","otherKeys","Cancel","$forEach","arrayMethodIsStrict","arrayMethodUsesToLength","STRICT_METHOD","USES_TO_LENGTH","debug","R","createToken","isGlobal","NUMERICIDENTIFIER","NUMERICIDENTIFIERLOOSE","NONNUMERICIDENTIFIER","PRERELEASEIDENTIFIER","PRERELEASEIDENTIFIERLOOSE","BUILDIDENTIFIER","MAINVERSION","PRERELEASE","BUILD","FULLPLAIN","MAINVERSIONLOOSE","PRERELEASELOOSE","LOOSEPLAIN","XRANGEIDENTIFIER","XRANGEIDENTIFIERLOOSE","GTLT","XRANGEPLAIN","XRANGEPLAINLOOSE","COERCE","LONETILDE","tildeTrimReplace","LONECARET","caretTrimReplace","comparatorTrimReplace","NODE_DEBUG","compareIdentifiers","SemVer","loose","includePrerelease","LOOSE","FULL","raw","major","minor","patch","prerelease","num","build","format","other","compareMain","comparePre","release","identifier","inc","PrototypeOfArrayIteratorPrototype","arrayIterator","CORRECT_PROTOTYPE_GETTER","ObjectPrototype","aPossiblePrototype","setter","CORRECT_SETTER","__proto__","FREEZING","METADATA","isExtensible","setMetadata","objectID","weakData","meta","REQUIRED","fastKey","getWeakData","onFreeze","CSSRuleList","CSSStyleDeclaration","CSSValueList","ClientRectList","DOMRectList","DOMStringList","DOMTokenList","DataTransferItemList","HTMLAllCollection","HTMLCollection","HTMLFormElement","HTMLSelectElement","MediaList","MimeTypeArray","NamedNodeMap","NodeList","PaintRequestList","Plugin","PluginArray","SVGLengthList","SVGNumberList","SVGPathSegList","SVGPointList","SVGStringList","SVGTransformList","SourceBufferList","StyleSheetList","TextTrackCueList","TextTrackList","TouchList","ignoreCase","dotAll","unicode","RegExpPrototype","nativeToString","NOT_GENERIC","INCORRECT_NAME","rf","point","fixRegExpWellKnownSymbolLogic","advanceStringIndex","regExpExec","max","SUBSTITUTION_SYMBOLS","SUBSTITUTION_SYMBOLS_NO_NAMED","REPLACE","maybeCallNative","reason","REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE","REPLACE_KEEPS_$0","UNSAFE_SUBSTITUTE","searchValue","replaceValue","replacer","regexp","res","rx","functionalReplace","fullUnicode","results","accumulatedResult","nextSourcePosition","matched","captures","namedCaptures","groups","replacerArgs","getSubstitution","tailPos","symbols","ch","capture","DOMIterables","ArrayIteratorMethods","ArrayValues","COLLECTION_NAME","Collection","CollectionPrototype","$indexOf","nativeIndexOf","NEGATIVE_ZERO","searchElement","propertyKey","getOwnPropertyNames","integer","_requesttoken","_user","getCurrentUser","$filter","HAS_SPECIES_SUPPORT","dummy","Wrapper","NewTarget","NewTargetPrototype","objectKeys","getOwnPropertySymbolsModule","nativeAssign","B","symbol","chr","T","argumentsLength","getBuiltIn","ArrayPrototype","ENTRIES","returnMethod","SAFE_CLOSING","called","iteratorWithReturn","SKIP_CLOSING","ITERATION_SUPPORT","CONSTRUCTOR_NAME","regexpExec","REPLACE_SUPPORTS_NAMED_GROUPS","SPLIT_WORKS_WITH_OVERWRITTEN_EXEC","originalExec","SYMBOL","DELEGATES_TO_SYMBOL","DELEGATES_TO_EXEC","execCalled","nativeRegExpMethod","nativeMethod","arg2","forceStringMethod","stringMethod","regexMethod","ownKeys","getOwnPropertyDescriptorModule","getOwnPropertyNamesModule","Axios","mergeConfig","createInstance","defaultConfig","instance","axios","instanceConfig","spread","InterceptorManager","dispatchRequest","interceptors","chain","interceptor","unshift","fulfilled","rejected","getUri","handlers","use","eject","transformData","throwIfCancellationRequested","throwIfRequested","fns","normalizedName","isAxiosError","toJSON","description","number","fileName","lineNumber","columnNumber","stack","isAbsoluteURL","combineURLs","requestedURL","relativeURL","ignoreDuplicateOf","parsed","line","substr","originURL","msie","urlParsingNode","resolveURL","href","search","hash","hostname","port","pathname","requestURL","expires","secure","cookie","Date","toGMTString","decodeURIComponent","now","executor","resolvePromise","callback","arr","observer","observers","tokenElement","getAttribute","_valid","_interopRequireDefault","_major","_defineProperties","packageJson","_classCallCheck","getVersion","protoProps","staticProps","er","numeric","anum","bnum","rcompareIdentifiers","Map","UNSCOPABLES","collection","collectionStrong","init","InternalMetadataModule","iterate","anInstance","checkCorrectnessOfIteration","inheritIfRequired","wrapper","IS_WEAK","ADDER","NativeConstructor","NativePrototype","exported","fixMethod","getConstructor","HASNT_CHAINING","THROWS_ON_PRIMITIVES","ACCEPT_ITERABLES","BUGGY_ZERO","$instance","clear","setStrong","preventExtensions","redefineAll","setSpecies","internalStateGetterFor","last","define","previous","entry","getEntry","removed","prev","ITERATOR_NAME","getInternalCollectionState","getInternalIteratorState","uidElement","displayNameElement","RE","___CSS_LOADER_API_IMPORT___","documentReady","attachEvent","_baseUrl","endpointUrl","endpoint","isPublic","randomGuestNames","getRandomGuestName","__webpack_nonce__","requestToken","__webpack_public_path__","container","Text","RichWorkspaceEnabled"],"mappings":"aACE,SAASA,EAAqBC,GAQ7B,IAPA,IAMIC,EAAUC,EANVC,EAAWH,EAAK,GAChBI,EAAcJ,EAAK,GAKAK,EAAI,EAAGC,EAAW,GACpCD,EAAIF,EAASI,OAAQF,IACzBH,EAAUC,EAASE,GAChBG,OAAOC,UAAUC,eAAeC,KAAKC,EAAiBV,IAAYU,EAAgBV,IACpFI,EAASO,KAAKD,EAAgBV,GAAS,IAExCU,EAAgBV,GAAW,EAE5B,IAAID,KAAYG,EACZI,OAAOC,UAAUC,eAAeC,KAAKP,EAAaH,KACpDa,EAAQb,GAAYG,EAAYH,IAKlC,IAFGc,GAAqBA,EAAoBf,GAEtCM,EAASC,QACdD,EAASU,OAATV,GAOF,IAAIW,EAAmB,GAKnBL,EAAkB,CACrBM,IAAK,GAWN,SAASC,EAAoBlB,GAG5B,GAAGgB,EAAiBhB,GACnB,OAAOgB,EAAiBhB,GAAUmB,QAGnC,IAAIC,EAASJ,EAAiBhB,GAAY,CACzCI,EAAGJ,EACHqB,GAAG,EACHF,QAAS,IAUV,OANAN,EAAQb,GAAUU,KAAKU,EAAOD,QAASC,EAAQA,EAAOD,QAASD,GAG/DE,EAAOC,GAAI,EAGJD,EAAOD,QAKfD,EAAoBI,EAAI,SAAuBrB,GAC9C,IAAIsB,EAAW,GAKXC,EAAqBb,EAAgBV,GACzC,GAA0B,IAAvBuB,EAGF,GAAGA,EACFD,EAASX,KAAKY,EAAmB,QAC3B,CAEN,IAAIC,EAAU,IAAIC,SAAQ,SAASC,EAASC,GAC3CJ,EAAqBb,EAAgBV,GAAW,CAAC0B,EAASC,MAE3DL,EAASX,KAAKY,EAAmB,GAAKC,GAGtC,IACII,EADAC,EAASC,SAASC,cAAc,UAGpCF,EAAOG,QAAU,QACjBH,EAAOI,QAAU,IACbhB,EAAoBiB,IACvBL,EAAOM,aAAa,QAASlB,EAAoBiB,IAElDL,EAAOO,IA1DV,SAAwBpC,GACvB,OAAOiB,EAAoBoB,EAAI,IAAM,CAAC,EAAI,SAAS,EAAI,6DAA6D,EAAI,eAAe,EAAI,iBAAiB,EAAI,sBAAsB,EAAI,yBAAyB,EAAI,gBAAgB,EAAI,wBAAwB,EAAI,mBAAmB,EAAI,wBAAwB,GAAK,mBAAmB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,qBAAqB,GAAK,oBAAoB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,yBAAyB,GAAK,kBAAkB,GAAK,yBAAyB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,eAAe,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,cAAc,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,uBAAuB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,wBAAwB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,eAAe,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,iBAAiB,GAAK,eAAe,GAAK,oBAAoB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,sBAAsB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,2BAA2B,GAAK,uBAAuB,GAAK,iBAAiB,GAAK,gBAAgB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,eAAe,IAAM,kBAAkB,IAAM,gBAAgB,IAAM,sBAAsB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,oBAAoB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,qBAAqB,IAAM,mBAAmB,IAAM,sBAAsB,IAAM,mBAAmB,IAAM,cAAc,IAAM,gBAAgB,IAAM,cAAc,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,0BAA0B,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,kBAAkB,IAAM,sBAAsB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,yBAAyB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,0BAA0B,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,mBAAmB,IAAM,qCAAqC,IAAM,6BAA6B,IAAM,SAAS,IAAM,gBAAgB,IAAM,eAAe,IAAM,cAAc,IAAM,cAAc,IAAM,iBAAiB,IAAM,sBAAsB,IAAM,uBAAuBrC,IAAUA,GAAW,SAAW,CAAC,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,wBAAwBA,GAyDztUsC,CAAetC,GAG5B,IAAIuC,EAAQ,IAAIC,MAChBZ,EAAmB,SAAUa,GAE5BZ,EAAOa,QAAUb,EAAOc,OAAS,KACjCC,aAAaX,GACb,IAAIY,EAAQnC,EAAgBV,GAC5B,GAAa,IAAV6C,EAAa,CACf,GAAGA,EAAO,CACT,IAAIC,EAAYL,IAAyB,SAAfA,EAAMM,KAAkB,UAAYN,EAAMM,MAChEC,EAAUP,GAASA,EAAMQ,QAAUR,EAAMQ,OAAOb,IACpDG,EAAMW,QAAU,iBAAmBlD,EAAU,cAAgB8C,EAAY,KAAOE,EAAU,IAC1FT,EAAMY,KAAO,iBACbZ,EAAMQ,KAAOD,EACbP,EAAMa,QAAUJ,EAChBH,EAAM,GAAGN,GAEV7B,EAAgBV,QAAWqD,IAG7B,IAAIpB,EAAUqB,YAAW,WACxB1B,EAAiB,CAAEmB,KAAM,UAAWE,OAAQpB,MAC1C,MACHA,EAAOa,QAAUb,EAAOc,OAASf,EACjCE,SAASyB,KAAKC,YAAY3B,GAG5B,OAAOJ,QAAQgC,IAAInC,IAIpBL,EAAoByC,EAAI9C,EAGxBK,EAAoB0C,EAAI5C,EAGxBE,EAAoB2C,EAAI,SAAS1C,EAASiC,EAAMU,GAC3C5C,EAAoB6C,EAAE5C,EAASiC,IAClC7C,OAAOyD,eAAe7C,EAASiC,EAAM,CAAEa,YAAY,EAAMC,IAAKJ,KAKhE5C,EAAoBiD,EAAI,SAAShD,GACX,oBAAXiD,QAA0BA,OAAOC,aAC1C9D,OAAOyD,eAAe7C,EAASiD,OAAOC,YAAa,CAAEC,MAAO,WAE7D/D,OAAOyD,eAAe7C,EAAS,aAAc,CAAEmD,OAAO,KAQvDpD,EAAoBqD,EAAI,SAASD,EAAOE,GAEvC,GADU,EAAPA,IAAUF,EAAQpD,EAAoBoD,IAC/B,EAAPE,EAAU,OAAOF,EACpB,GAAW,EAAPE,GAA8B,iBAAVF,GAAsBA,GAASA,EAAMG,WAAY,OAAOH,EAChF,IAAII,EAAKnE,OAAOoE,OAAO,MAGvB,GAFAzD,EAAoBiD,EAAEO,GACtBnE,OAAOyD,eAAeU,EAAI,UAAW,CAAET,YAAY,EAAMK,MAAOA,IACtD,EAAPE,GAA4B,iBAATF,EAAmB,IAAI,IAAIM,KAAON,EAAOpD,EAAoB2C,EAAEa,EAAIE,EAAK,SAASA,GAAO,OAAON,EAAMM,IAAQC,KAAK,KAAMD,IAC9I,OAAOF,GAIRxD,EAAoB4D,EAAI,SAAS1D,GAChC,IAAI0C,EAAS1C,GAAUA,EAAOqD,WAC7B,WAAwB,OAAOrD,EAAgB,SAC/C,WAA8B,OAAOA,GAEtC,OADAF,EAAoB2C,EAAEC,EAAQ,IAAKA,GAC5BA,GAIR5C,EAAoB6C,EAAI,SAASgB,EAAQC,GAAY,OAAOzE,OAAOC,UAAUC,eAAeC,KAAKqE,EAAQC,IAGzG9D,EAAoBoB,EAAI,OAGxBpB,EAAoB+D,GAAK,SAASC,GAA2B,MAApBC,QAAQ3C,MAAM0C,GAAYA,GAEnE,IAAIE,EAAaC,OAAyB,iBAAIA,OAAyB,kBAAK,GACxEC,EAAmBF,EAAWxE,KAAKiE,KAAKO,GAC5CA,EAAWxE,KAAOd,EAClBsF,EAAaA,EAAWG,QACxB,IAAI,IAAInF,EAAI,EAAGA,EAAIgF,EAAW9E,OAAQF,IAAKN,EAAqBsF,EAAWhF,IAC3E,IAAIU,EAAsBwE,EAInBpE,EAAoBA,EAAoBsE,EAAI,K,gBCrMrDpE,EAAOD,QAAU,SAAUsE,GACzB,IACE,QAASA,IACT,MAAOjD,GACP,OAAO,K,gBCJX,IAAIkD,EAAS,EAAQ,GACjBC,EAAS,EAAQ,IACjBC,EAAM,EAAQ,GACdC,EAAM,EAAQ,IACdC,EAAgB,EAAQ,IACxBC,EAAoB,EAAQ,KAE5BC,EAAwBL,EAAO,OAC/BvB,EAASsB,EAAOtB,OAChB6B,EAAwBF,EAAoB3B,EAASA,GAAUA,EAAO8B,eAAiBL,EAE3FzE,EAAOD,QAAU,SAAUiC,GAIvB,OAHGwC,EAAII,EAAuB5C,KAC1B0C,GAAiBF,EAAIxB,EAAQhB,GAAO4C,EAAsB5C,GAAQgB,EAAOhB,GACxE4C,EAAsB5C,GAAQ6C,EAAsB,UAAY7C,IAC9D4C,EAAsB5C,K,iBCfjC,8BACE,OAAO+C,GAAMA,EAAGC,MAAQA,MAAQD,GAIlC/E,EAAOD,QAELkF,EAA2B,iBAAdC,YAA0BA,aACvCD,EAAuB,iBAAVhB,QAAsBA,SACnCgB,EAAqB,iBAARE,MAAoBA,OACjCF,EAAuB,iBAAVX,GAAsBA,IAEnCc,SAAS,cAATA,K,+CCVF,IAAI3B,EAAO,EAAQ,IAMf4B,EAAWlG,OAAOC,UAAUiG,SAQhC,SAASC,EAAQC,GACf,MAA8B,mBAAvBF,EAAS/F,KAAKiG,GASvB,SAASC,EAAYD,GACnB,YAAsB,IAARA,EA4EhB,SAASE,EAASF,GAChB,OAAe,OAARA,GAA+B,iBAARA,EAuChC,SAASG,EAAWH,GAClB,MAA8B,sBAAvBF,EAAS/F,KAAKiG,GAwEvB,SAASI,EAAQC,EAAKC,GAEpB,GAAID,QAUJ,GALmB,iBAARA,IAETA,EAAM,CAACA,IAGLN,EAAQM,GAEV,IAAK,IAAI5G,EAAI,EAAGiB,EAAI2F,EAAI1G,OAAQF,EAAIiB,EAAGjB,IACrC6G,EAAGvG,KAAK,KAAMsG,EAAI5G,GAAIA,EAAG4G,QAI3B,IAAK,IAAIpC,KAAOoC,EACVzG,OAAOC,UAAUC,eAAeC,KAAKsG,EAAKpC,IAC5CqC,EAAGvG,KAAK,KAAMsG,EAAIpC,GAAMA,EAAKoC,GAoFrC5F,EAAOD,QAAU,CACfuF,QAASA,EACTQ,cApRF,SAAuBP,GACrB,MAA8B,yBAAvBF,EAAS/F,KAAKiG,IAoRrBQ,SAhSF,SAAkBR,GAChB,OAAe,OAARA,IAAiBC,EAAYD,IAA4B,OAApBA,EAAIS,cAAyBR,EAAYD,EAAIS,cAChD,mBAA7BT,EAAIS,YAAYD,UAA2BR,EAAIS,YAAYD,SAASR,IA+RhFU,WA5QF,SAAoBV,GAClB,MAA4B,oBAAbW,UAA8BX,aAAeW,UA4Q5DC,kBAnQF,SAA2BZ,GAOzB,MAL4B,oBAAhBa,aAAiCA,YAAkB,OACpDA,YAAYC,OAAOd,GAEnB,GAAUA,EAAU,QAAMA,EAAIe,kBAAkBF,aA+P3DG,SApPF,SAAkBhB,GAChB,MAAsB,iBAARA,GAoPdiB,SA3OF,SAAkBjB,GAChB,MAAsB,iBAARA,GA2OdE,SAAUA,EACVD,YAAaA,EACbiB,OA1NF,SAAgBlB,GACd,MAA8B,kBAAvBF,EAAS/F,KAAKiG,IA0NrBmB,OAjNF,SAAgBnB,GACd,MAA8B,kBAAvBF,EAAS/F,KAAKiG,IAiNrBoB,OAxMF,SAAgBpB,GACd,MAA8B,kBAAvBF,EAAS/F,KAAKiG,IAwMrBG,WAAYA,EACZkB,SAtLF,SAAkBrB,GAChB,OAAOE,EAASF,IAAQG,EAAWH,EAAIsB,OAsLvCC,kBA7KF,SAA2BvB,GACzB,MAAkC,oBAApBwB,iBAAmCxB,aAAewB,iBA6KhEC,qBAjJF,WACE,OAAyB,oBAAdC,WAAoD,gBAAtBA,UAAUC,SACY,iBAAtBD,UAAUC,SACY,OAAtBD,UAAUC,WAI/B,oBAAXjD,QACa,oBAAbtD,WA0ITgF,QAASA,EACTwB,MA/EF,SAASA,IACP,IAAIC,EAAS,GACb,SAASC,EAAY9B,EAAK/B,GACG,iBAAhB4D,EAAO5D,IAAoC,iBAAR+B,EAC5C6B,EAAO5D,GAAO2D,EAAMC,EAAO5D,GAAM+B,GAEjC6B,EAAO5D,GAAO+B,EAIlB,IAAK,IAAIvG,EAAI,EAAGiB,EAAIqH,UAAUpI,OAAQF,EAAIiB,EAAGjB,IAC3C2G,EAAQ2B,UAAUtI,GAAIqI,GAExB,OAAOD,GAmEPG,UAxDF,SAASA,IACP,IAAIH,EAAS,GACb,SAASC,EAAY9B,EAAK/B,GACG,iBAAhB4D,EAAO5D,IAAoC,iBAAR+B,EAC5C6B,EAAO5D,GAAO+D,EAAUH,EAAO5D,GAAM+B,GAErC6B,EAAO5D,GADiB,iBAAR+B,EACFgC,EAAU,GAAIhC,GAEdA,EAIlB,IAAK,IAAIvG,EAAI,EAAGiB,EAAIqH,UAAUpI,OAAQF,EAAIiB,EAAGjB,IAC3C2G,EAAQ2B,UAAUtI,GAAIqI,GAExB,OAAOD,GA0CPI,OA/BF,SAAgBC,EAAGC,EAAGC,GAQpB,OAPAhC,EAAQ+B,GAAG,SAAqBnC,EAAK/B,GAEjCiE,EAAEjE,GADAmE,GAA0B,mBAARpC,EACX9B,EAAK8B,EAAKoC,GAEVpC,KAGNkC,GAwBPG,KAzKF,SAAcC,GACZ,OAAOA,EAAIC,QAAQ,OAAQ,IAAIA,QAAQ,OAAQ,O,cC9KjD,IAAIzI,EAAiB,GAAGA,eAExBW,EAAOD,QAAU,SAAUgF,EAAIvB,GAC7B,OAAOnE,EAAeC,KAAKyF,EAAIvB,K,gBCHjC,IAAIiC,EAAW,EAAQ,GAEvBzF,EAAOD,QAAU,SAAUgF,GACzB,IAAKU,EAASV,GACZ,MAAMgD,UAAUC,OAAOjD,GAAM,qBAC7B,OAAOA,I,cCLX/E,EAAOD,QAAU,SAAUgF,GACzB,MAAqB,iBAAPA,EAAyB,OAAPA,EAA4B,mBAAPA,I,gBCDvD,IAAIkD,EAAQ,EAAQ,GAGpBjI,EAAOD,SAAWkI,GAAM,WACtB,OAA8E,GAAvE9I,OAAOyD,eAAe,GAAI,EAAG,CAAEE,IAAK,WAAc,OAAO,KAAQ,O,gBCJ1E,IAAIoF,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,IACzBC,EAAW,EAAQ,GACnBC,EAAc,EAAQ,IAEtBC,EAAuBnJ,OAAOyD,eAIlC7C,EAAQwI,EAAIL,EAAcI,EAAuB,SAAwBE,EAAGC,EAAGC,GAI7E,GAHAN,EAASI,GACTC,EAAIJ,EAAYI,GAAG,GACnBL,EAASM,GACLP,EAAgB,IAClB,OAAOG,EAAqBE,EAAGC,EAAGC,GAClC,MAAOtH,IACT,GAAI,QAASsH,GAAc,QAASA,EAAY,MAAMX,UAAU,2BAEhE,MADI,UAAWW,IAAYF,EAAEC,GAAKC,EAAWxF,OACtCsF,I,gBClBT,IAAIN,EAAc,EAAQ,GACtBS,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC5I,EAAOD,QAAUmI,EAAc,SAAUvE,EAAQH,EAAKN,GACpD,OAAOyF,EAAqBJ,EAAE5E,EAAQH,EAAKoF,EAAyB,EAAG1F,KACrE,SAAUS,EAAQH,EAAKN,GAEzB,OADAS,EAAOH,GAAON,EACPS,I,gBCRT,IAAIW,EAAS,EAAQ,GACjBuE,EAA2B,EAAQ,IAAmDN,EACtFO,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBC,EAAY,EAAQ,IACpBC,EAA4B,EAAQ,KACpCC,EAAW,EAAQ,IAgBvBlJ,EAAOD,QAAU,SAAUoJ,EAASC,GAClC,IAGYtH,EAAQ0B,EAAK6F,EAAgBC,EAAgBC,EAHrDC,EAASL,EAAQrH,OACjB2H,EAASN,EAAQ7E,OACjBoF,EAASP,EAAQQ,KASrB,GANE7H,EADE2H,EACOnF,EACAoF,EACApF,EAAOkF,IAAWR,EAAUQ,EAAQ,KAEnClF,EAAOkF,IAAW,IAAIpK,UAEtB,IAAKoE,KAAO4F,EAAQ,CAQ9B,GAPAE,EAAiBF,EAAO5F,GAGtB6F,EAFEF,EAAQS,aACVL,EAAaV,EAAyB/G,EAAQ0B,KACf+F,EAAWrG,MACpBpB,EAAO0B,IACtB0F,EAASO,EAASjG,EAAMgG,GAAUE,EAAS,IAAM,KAAOlG,EAAK2F,EAAQU,cAE5C3H,IAAnBmH,EAA8B,CAC3C,UAAWC,UAA0BD,EAAgB,SACrDJ,EAA0BK,EAAgBD,IAGxCF,EAAQW,MAAST,GAAkBA,EAAeS,OACpDhB,EAA4BQ,EAAgB,QAAQ,GAGtDP,EAASjH,EAAQ0B,EAAK8F,EAAgBH,M,6BCjD1C,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAERhK,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQgK,WAAahK,EAAQiK,iBAAmBjK,EAAQkK,UAAYlK,EAAQmK,YAAcnK,EAAQoK,eAAiBpK,EAAQqK,kBAAoBrK,EAAQsK,YAAS,EAsBhKtK,EAAQsK,OAXK,SAAgBC,EAAKC,GAChC,OAAOP,EAAiBM,EAAK,GAAIC,IAkCnCxK,EAAQqK,kBAZgB,SAA2BI,GACjD,OAAOvG,OAAOwG,SAASC,SAAW,KAAOzG,OAAOwG,SAASE,KAXpC,SAA0BH,GAC/C,OAAOT,IAAe,eAAiBS,EAUyBI,CAAiBJ,IAkBnFzK,EAAQoK,eALa,SAAwBK,EAASK,GAEpD,OADAA,EAAsB,IAAZA,EAAgB,EAAI,EACvB5G,OAAOwG,SAASC,SAAW,KAAOzG,OAAOwG,SAASE,KAAOZ,IAAe,SAAWc,EAAU,QAAUL,EAAU,KAoD1HzK,EAAQmK,YAxCU,SAAqBY,EAAKC,EAAQ5B,GAClD,IAAI6B,EAAa7L,OAAO8L,OAAO,CAC7BC,QAAQ,EACRC,WAAW,GACVhC,GAAW,IAEViC,EAAS,SAAgBC,EAAMC,GAEjC,OADAA,EAAOA,GAAQ,GACRD,EAAKvD,QAAQ,eAAe,SAAUL,EAAGC,GAC9C,IAAI3E,EAAIuI,EAAK5D,GAEb,OAAIsD,EAAWE,OACO,iBAANnI,GAA+B,iBAANA,EAAiBwI,mBAAmBxI,EAAEsC,YAAckG,mBAAmB9D,GAE1F,iBAAN1E,GAA+B,iBAANA,EAAiBA,EAAEsC,WAAaoC,MAS7E,MAJsB,MAAlBqD,EAAIU,OAAO,KACbV,EAAM,IAAMA,IAGsB,IAAhCW,GAAGC,OAAOC,mBAA+BX,EAAWG,UAIjDpB,IAAe,aAAeqB,EAAON,EAAKC,GAAU,IAHlDhB,IAAeqB,EAAON,EAAKC,GAAU,KAoChDhL,EAAQkK,UAlBQ,SAAmBK,EAAKC,GACtC,OAA2B,IAAvBA,EAAKqB,QAAQ,KAER5B,EAAiBM,EAAK,MAAOC,EAAO,QAGtCP,EAAiBM,EAAK,MAAOC,IActC,IAAIP,EAAmB,SAA0BM,EAAK1I,EAAM2I,GAC1D,IAAIsB,GAAuC,IAA9BJ,GAAGK,SAASF,QAAQtB,GAC7ByB,EAAOhC,IAiDX,MA/CwC,QAApCQ,EAAKyB,UAAUzB,EAAKrL,OAAS,IAAiB2M,EAYH,QAApCtB,EAAKyB,UAAUzB,EAAKrL,OAAS,IAAiB2M,GAgBrDE,GAHW,aAARzB,GAA8B,SAARA,GAA0B,WAARA,GAA8B,SAAT1I,EAGxD,IAFA,cAKLiK,IACHE,GAAQ,SAGE,KAARzB,IAEFyB,GADAzB,GAAO,KAIL1I,IACFmK,GAAQnK,EAAO,KAGjBmK,GAAQxB,IA/BRwB,EAAON,GAAGQ,aAAa3B,GAEnB1I,IACFmK,GAAQ,IAAMnK,EAAO,KAGiB,MAApCmK,EAAKC,UAAUD,EAAK7M,OAAS,KAC/B6M,GAAQ,KAGVA,GAAQxB,IAtBRwB,GAAQ,mBAAqBzB,EAEhB,cAATC,IACFwB,GAAQ,IAEJnK,IACFmK,GAAQG,UAAUtK,EAAO,MAG3BmK,GAAQxB,IAqCLwB,GAWThM,EAAQiK,iBAAmBA,EAE3B,IAAID,EAAa,WACf,OAAO0B,GAAGU,SAGZpM,EAAQgK,WAAaA,G,gBCjNrB,IAAIzF,EAAS,EAAQ,GACjBwE,EAA8B,EAAQ,GACtCtE,EAAM,EAAQ,GACdwE,EAAY,EAAQ,IACpBoD,EAAgB,EAAQ,IACxBC,EAAsB,EAAQ,IAE9BC,EAAmBD,EAAoBvJ,IACvCyJ,EAAuBF,EAAoBG,QAC3CC,EAAWzE,OAAOA,QAAQ0E,MAAM,WAEnC1M,EAAOD,QAAU,SAAUyI,EAAGhF,EAAKN,EAAOiG,GACzC,IAAIwD,IAASxD,KAAYA,EAAQwD,OAC7BC,IAASzD,KAAYA,EAAQtG,WAC7B+G,IAAcT,KAAYA,EAAQS,YAClB,mBAAT1G,IACS,iBAAPM,GAAoBgB,EAAItB,EAAO,SAAS4F,EAA4B5F,EAAO,OAAQM,GAC9F+I,EAAqBrJ,GAAOkG,OAASqD,EAASI,KAAmB,iBAAPrJ,EAAkBA,EAAM,KAEhFgF,IAAMlE,GAIEqI,GAEA/C,GAAepB,EAAEhF,KAC3BoJ,GAAS,UAFFpE,EAAEhF,GAIPoJ,EAAQpE,EAAEhF,GAAON,EAChB4F,EAA4BN,EAAGhF,EAAKN,IATnC0J,EAAQpE,EAAEhF,GAAON,EAChB8F,EAAUxF,EAAKN,KAUrBkC,SAAShG,UAAW,YAAY,WACjC,MAAsB,mBAAR0N,MAAsBR,EAAiBQ,MAAM1D,QAAUgD,EAAcU,U,6BChCrF;;;;;;;;;;;;;;;;;;;;;;AAsBA,IAAMC,EAAwB,CAC7B,iBAGKC,EAAyB,CAC9B,aACA,kBACA,sBACA,8BACA,uBACA,yBACA,mBACA,qBACA,oBACA,oBACA,kBACA,mBACA,WACA,WACA,YACA,WACA,gBACA,WACA,qBACA,cACA,gBACA,sBAGKC,EAAgB,GAAH,OAAOF,EAA0BC,I,6BCjDpD7N,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQmN,UA8BR,SAAmBlL,EAAMmL,GACvBC,EAAIF,UAAUlL,EAAMmL,IA9BtBpN,EAAQsN,YA0CR,SAAqBrL,EAAMmL,GACzBC,EAAIC,YAAYrL,EAAMmL,IA1CxBpN,EAAQuN,KAoDR,SAActL,EAAMV,GAClB8L,EAAIE,KAAKtL,EAAMV,IAnDjB,IAAIiM,EAAY,EAAQ,KAEpBC,EAAa,EAAQ,KAgBzB,IAAIJ,QAbuB,IAAdnJ,OAAOwH,IAAsBxH,OAAOwH,GAAGgC,gBAA6C,IAAzBxJ,OAAOyJ,gBAC3E3J,QAAQ4J,KAAK,sEACb1J,OAAOyJ,cAAgBzJ,OAAOwH,GAAGgC,gBAIC,IAAzBxJ,OAAOyJ,cACT,IAAIH,EAAUK,SAAS3J,OAAOyJ,eAE9BzJ,OAAOyJ,cAAgB,IAAIF,EAAWK,Y,gBCvBjD,IAAIC,EAAY,EAAQ,IAEpBC,EAAM/I,KAAK+I,IAIf/N,EAAOD,QAAU,SAAUiO,GACzB,OAAOA,EAAW,EAAID,EAAID,EAAUE,GAAW,kBAAoB,I,gBCPrE,IAAIC,EAAyB,EAAQ,IAIrCjO,EAAOD,QAAU,SAAUiO,GACzB,OAAO7O,OAAO8O,EAAuBD,M,cCLvChO,EAAOD,QAAU,I,6BCMF,SAASmO,EACtBC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,GAGA,IAqBIC,EArBAxF,EAAmC,mBAAlBgF,EACjBA,EAAchF,QACdgF,EAsDJ,GAnDIC,IACFjF,EAAQiF,OAASA,EACjBjF,EAAQkF,gBAAkBA,EAC1BlF,EAAQyF,WAAY,GAIlBN,IACFnF,EAAQ0F,YAAa,GAInBL,IACFrF,EAAQ2F,SAAW,UAAYN,GAI7BC,GACFE,EAAO,SAAUI,IAEfA,EACEA,GACCjC,KAAKkC,QAAUlC,KAAKkC,OAAOC,YAC3BnC,KAAKoC,QAAUpC,KAAKoC,OAAOF,QAAUlC,KAAKoC,OAAOF,OAAOC,aAEZ,oBAAxBE,sBACrBJ,EAAUI,qBAGRZ,GACFA,EAAajP,KAAKwN,KAAMiC,GAGtBA,GAAWA,EAAQK,uBACrBL,EAAQK,sBAAsBC,IAAIZ,IAKtCtF,EAAQmG,aAAeX,GACdJ,IACTI,EAAOD,EACH,WACAH,EAAajP,KACXwN,MACC3D,EAAQ0F,WAAa/B,KAAKoC,OAASpC,MAAMyC,MAAMC,SAASC,aAG3DlB,GAGFI,EACF,GAAIxF,EAAQ0F,WAAY,CAGtB1F,EAAQuG,cAAgBf,EAExB,IAAIgB,EAAiBxG,EAAQiF,OAC7BjF,EAAQiF,OAAS,SAAmCwB,EAAGb,GAErD,OADAJ,EAAKrP,KAAKyP,GACHY,EAAeC,EAAGb,QAEtB,CAEL,IAAIc,EAAW1G,EAAQ2G,aACvB3G,EAAQ2G,aAAeD,EACnB,GAAGE,OAAOF,EAAUlB,GACpB,CAACA,GAIT,MAAO,CACL5O,QAASoO,EACThF,QAASA,GA/Fb,mC,cCAA,IAAI9D,EAAW,GAAGA,SAElBrF,EAAOD,QAAU,SAAUgF,GACzB,OAAOM,EAAS/F,KAAKyF,GAAIZ,MAAM,GAAI,K,gBCHrC,IASI6L,EAAKlN,EAAK0B,EATVyL,EAAkB,EAAQ,KAC1B3L,EAAS,EAAQ,GACjBmB,EAAW,EAAQ,GACnBqD,EAA8B,EAAQ,GACtCoH,EAAY,EAAQ,GACpBC,EAAY,EAAQ,IACpBC,EAAa,EAAQ,IAErBC,EAAU/L,EAAO+L,QAgBrB,GAAIJ,EAAiB,CACnB,IAAIK,EAAQ,IAAID,EACZE,EAAQD,EAAMxN,IACd0N,EAAQF,EAAM9L,IACdiM,EAAQH,EAAMN,IAClBA,EAAM,SAAUjL,EAAI2L,GAElB,OADAD,EAAMnR,KAAKgR,EAAOvL,EAAI2L,GACfA,GAET5N,EAAM,SAAUiC,GACd,OAAOwL,EAAMjR,KAAKgR,EAAOvL,IAAO,IAElCP,EAAM,SAAUO,GACd,OAAOyL,EAAMlR,KAAKgR,EAAOvL,QAEtB,CACL,IAAI4L,EAAQR,EAAU,SACtBC,EAAWO,IAAS,EACpBX,EAAM,SAAUjL,EAAI2L,GAElB,OADA5H,EAA4B/D,EAAI4L,EAAOD,GAChCA,GAET5N,EAAM,SAAUiC,GACd,OAAOmL,EAAUnL,EAAI4L,GAAS5L,EAAG4L,GAAS,IAE5CnM,EAAM,SAAUO,GACd,OAAOmL,EAAUnL,EAAI4L,IAIzB3Q,EAAOD,QAAU,CACfiQ,IAAKA,EACLlN,IAAKA,EACL0B,IAAKA,EACLgI,QA/CY,SAAUzH,GACtB,OAAOP,EAAIO,GAAMjC,EAAIiC,GAAMiL,EAAIjL,EAAI,KA+CnC6L,UA5Cc,SAAUC,GACxB,OAAO,SAAU9L,GACf,IAAI+L,EACJ,IAAKrL,EAASV,KAAQ+L,EAAQhO,EAAIiC,IAAKnD,OAASiP,EAC9C,MAAM9I,UAAU,0BAA4B8I,EAAO,aACnD,OAAOC,M,cClBb9Q,EAAOD,QAAU,SAAUgF,GACzB,GAAU7C,MAAN6C,EAAiB,MAAMgD,UAAU,wBAA0BhD,GAC/D,OAAOA,I,gBCJT,IAAIgM,EAAO,EAAQ,KACfzM,EAAS,EAAQ,GAEjB0M,EAAY,SAAUC,GACxB,MAA0B,mBAAZA,EAAyBA,OAAW/O,GAGpDlC,EAAOD,QAAU,SAAUmR,EAAWC,GACpC,OAAO7J,UAAUpI,OAAS,EAAI8R,EAAUD,EAAKG,KAAeF,EAAU1M,EAAO4M,IACzEH,EAAKG,IAAcH,EAAKG,GAAWC,IAAW7M,EAAO4M,IAAc5M,EAAO4M,GAAWC,K,cCT3F,IAAIC,EAAOpM,KAAKoM,KACZC,EAAQrM,KAAKqM,MAIjBrR,EAAOD,QAAU,SAAUiO,GACzB,OAAOsD,MAAMtD,GAAYA,GAAY,GAAKA,EAAW,EAAIqD,EAAQD,GAAMpD,K,cCNzEhO,EAAOD,QAAU,SAAUwR,EAAQrO,GACjC,MAAO,CACLL,aAAuB,EAAT0O,GACdC,eAAyB,EAATD,GAChBE,WAAqB,EAATF,GACZrO,MAAOA,K,gBCJX,IAAIwO,EAAgB,EAAQ,IACxBzD,EAAyB,EAAQ,IAErCjO,EAAOD,QAAU,SAAUgF,GACzB,OAAO2M,EAAczD,EAAuBlJ,M,cCL9C/E,EAAOD,QAAU,I,gBCGjB,IAAI4R,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAAC3R,EAAOhB,EAAI2S,EAAS,MAC7DA,EAAQC,SAAQ5R,EAAOD,QAAU4R,EAAQC,SAG/BvC,EADH,EAAQ,IAA+DwC,SAChE,WAAYF,GAAS,EAAM,K,2UC4C5C,4CACA,uECrD6L,EDuD7L,CACA,qBACA,YACA,0GAEA,OACA,MACA,YACA,cAGA,KAXA,WAYA,OACA,SACA,YACA,UACA,UACA,SACA,aACA,8DACA,wCAGA,UACA,WADA,WAEA,mGAEA,UAJA,WAKA,qEAEA,mBAPA,WAQA,kEAGA,OACA,KADA,WAEA,oBAEA,MAJA,SAIA,GACA,GACA,kDAIA,QA5CA,WA4CA,I,EAAA,c,EAAA,sHACA,WACA,gBAEA,0DACA,aACA,mBAEA,0DACA,gBATA,0C,kLAYA,SACA,QADA,aAIA,MAJA,WAIA,WACA,eACA,cACA,2BACA,cACA,oBAGA,YAZA,WAYA,WACA,eACA,kBACA,cACA,uBAIA,OAHA,IACA,8BAEA,wCACA,sBAKA,OAJA,wBACA,cACA,aACA,aACA,KACA,mBACA,+BAMA,OALA,wBACA,YACA,YACA,WACA,eACA,MAGA,UArCA,WAqCA,WACA,gBAGA,iBACA,qCACA,eACA,GACA,qFACA,0B,iBE1Ie,EAXC,YACd,GCTW,WAAa,IAAIG,EAAIhF,KAASiF,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAQD,EAAW,QAAEG,EAAG,MAAM,CAACE,MAAM,CAAC,gBAAiBL,EAAIM,SAAWN,EAAIO,MAAO,MAASP,EAAIQ,MAAO,KAAQR,EAAIS,UAAW,UAAaT,EAAIU,WAAWC,MAAM,CAAC,GAAK,mBAAmB,CAAEX,EAAsB,mBAAEG,EAAG,MAAM,CAACS,YAAY,kBAAkBC,GAAG,CAAC,MAAQb,EAAIc,YAAY,CAACX,EAAG,IAAI,CAACS,YAAY,eAAe,CAACZ,EAAIe,GAAG,WAAWf,EAAIgB,GAAGhB,EAAI3O,EAAE,OAAQ,gCAAgC,cAAc2O,EAAIiB,KAAKjB,EAAIe,GAAG,KAAMf,EAAQ,KAAEG,EAAG,gBAAgB,CAACe,WAAW,CAAC,CAAChR,KAAK,OAAOiR,QAAQ,SAAS/P,MAAO4O,EAAS,MAAEoB,WAAW,UAAU1P,IAAIsO,EAAIvH,KAAK4I,GAAGV,MAAM,CAAC,UAAUX,EAAIvH,KAAK4I,GAAG,gBAAgBrB,EAAIvH,KAAKwG,KAAK,cAAce,EAAIsB,WAAW,QAAS,EAAK,UAAW,EAAK,KAAOtB,EAAIvH,KAAK8I,SAAS,UAAYvB,EAAIwB,WAAWX,GAAG,CAAC,MAAQ,SAASY,GAAQzB,EAAIO,OAAM,GAAM,MAAQ,SAASkB,GAAQzB,EAAIQ,OAAM,GAAM,KAAOR,EAAI0B,QAAQ,MAAQ1B,EAAI2B,SAAS3B,EAAIiB,MAAM,GAAGjB,EAAIiB,OACh6B,IDWpB,EACA,KACA,WACA,M,QEaIW,EAAc,SAASC,EAAMC,GAClC,IAAMC,EAAUF,EAAKjH,MAAM,KACrB5K,EAAS8R,EAAGlH,MAAM,KAExB,IADAmH,EAAQC,MACDD,EAAQ,KAAO/R,EAAO,IAC5B+R,EAAQlU,QACRmC,EAAOnC,QAER,IAAMoU,EAAeF,EAAQG,KAAK,MAAMjE,OAAOjO,GACzCmS,EAAeL,EAAGlH,MAAM,KAC9B,OAAOqH,EAAa7U,OAAS+U,EAAa/U,OACvC6U,EAAalH,KAAK,KAClB+G,GAGEM,EAAqB,WAC1B,IAAMC,EAAoB,CACzBC,OADyB,SAClBC,GACN,IAAMC,EAAWD,EAAKC,SAGF,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,IAKxCkB,EAAKE,aAAa,CACjBpB,GAAI,OACJqB,YAAarR,EAAE,OAAQ,qBACvBsR,aAActR,EAAE,OAAQ,qBAAuB,MAC/CuR,UAAW,qBACXC,SAAU,OACVC,cANiB,SAMH5S,GACbsS,EAASO,WAAW7S,GAAM8S,MAAK,SAASC,EAAQpW,GAC/C,IAAMqW,EAAgB,IAAIC,IAAIC,MAAMC,cAAcxW,QACxB,IAAfsW,IAAIG,OACdH,IAAIC,MAAMG,YAAYC,cAAc,OAAQN,EAAeV,QAC3B,IAAfW,IAAIG,QACrBH,IAAIC,MAAMG,YAAYC,cAxCE,qBAwCoCN,EAAeV,WAOjF7I,GAAG8J,QAAQC,SAAS,wBAAyBrB,IAGxCsB,EAA6B,WAClC,IAOyBC,EAPnBC,EAAehV,SAASiV,eAAe,gBAAkBjV,SAASiV,eAAe,gBAAgB1S,MAAQ,KACzG2S,EAAMlV,SAASiV,eAAe,OAAO1S,MAE3C,IAAKyS,GAAwB,KAARE,EAAY,CAChC,IAAMC,EAAanV,SAASC,cAAc,OAC1CkV,EAAW3C,GAAK,uBAChBxS,SAASoV,KAAK1T,YAAYyT,GAmC1B,IAlCA,IAkCS9W,EAAI,EAAGA,EAAIiO,IAAc/N,OAAQF,IAlClB0W,EAmCRzI,IAAcjO,GAnCGiW,IAAIC,MAAMG,YAAYG,SACtDE,EA3D4B,qBA6D5BjK,GAAGuK,kBAAoBvK,GAAGwK,gBAC1BhM,oBAAU,OAAQ,mBAClB,SAACiM,GACA,IAAM3L,EAAOtG,OAAOkS,SAASC,SAASF,GACtC5V,QAAQgC,IAAI,CACX,6BACA,iFACEwS,MAAK,SAACuB,GACR,IAAMtF,EAAO9M,OAAOkS,SAASG,sBAAwB,IAAMJ,EACrDK,EAAMF,EAAQ,GAAGxE,QACvB0E,EAAInX,UAAU+D,EAAIc,OAAOd,EACzBoT,EAAInX,UAAUsE,EAAIO,OAAOP,EACzB6S,EAAInX,UAAU6V,IAAMhR,OAAOgR,IAC3B,IAAMuB,EAASH,EAAQ,GAAGxE,QACf,IAAI0E,EAAI,CAClBnI,OAAQ,SAAAwB,GAAC,OAAIA,EAAE4G,EAAQ,CACtBC,MAAO,CACNC,OAAQnM,EAAOA,EAAK4I,GAAK,KACzBwD,QAAQ,EACRvD,WAAYuC,EACZ5B,aAAchD,EACd6F,SAAUrM,EAAK8I,eAIfwD,OAAOf,QAGZ3S,EAAE,OAAQ,SAKV8R,IAAIC,MAAMG,YAAYyB,WAAW7J,IAAcjO,GA9FnB,wBAoGzB+X,EAAuB,CAE5BC,GAAI,KAEJ5C,OAJ4B,SAIrBE,GACc,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,KAIxCrG,KAAKkK,GAAKrW,SAASC,cAAc,OACjC0T,EAAS2C,eAAe,CACvB9D,GAAI,YACJ6D,GAAIlK,KAAKkK,GACT5I,OAAQtB,KAAKsB,OAAO3K,KAAKqJ,MACzBoK,SAAU,OAIZ9I,OAlB4B,SAkBrBkG,GAAU,WACI,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,IAIxC,6BAAc2B,MAAK,SAAC9U,GACnB,IAAMuW,EAAMvW,EAAO6R,QACnB,EAAKmF,GAAG7D,GAAK,0BACboD,EAAInX,UAAU+D,EAAIc,OAAOd,EACzBoT,EAAInX,UAAUsE,EAAIO,OAAOP,EACzB6S,EAAInX,UAAU6V,IAAMhR,OAAOgR,IAC3B,IACMkC,EAAK,IADEZ,EAAI/O,OAAO4P,GACb,CAAS,CACnBC,UAAW,CACVtG,KAAMuD,EAASgC,yBAEdO,OAAO,EAAKG,IAEf1C,EAASgD,IAAI3E,GAAG,mBAAmB,SAAAhU,GAClCwY,EAAGpG,KAAOpS,EAAKkX,IAAIxQ,oB,6BCjKvB,EAAQ,IAERlG,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ8R,aAAU,EAElB,IAIgCjM,EAJ5B2R,GAI4B3R,EAJI,EAAQ,OAISA,EAAIvC,WAAauC,EAAM,CAAEiM,QAASjM,GAFnF4R,EAAQ,EAAQ,KAIpB,IAAIC,EAASF,EAAO1F,QAAQtO,OAAO,CACjCmU,QAAS,CACPC,cAAc,EAAIH,EAAMI,sBAIxBC,EAAmB1Y,OAAO8L,OAAOwM,EAAQ,CAC3CK,YAAaP,EAAO1F,QAAQiG,YAC5BC,SAAUR,EAAO1F,QAAQkG,YAE3B,EAAIP,EAAMQ,uBAAsB,SAAUC,GACxC,OAAOR,EAAOS,SAASR,QAAQC,aAAeM,KAEhD,IAAIE,EAAWN,EACf9X,EAAQ8R,QAAUsG,G,gBC7BlB,IAAInH,EAAY,EAAQ,KAGxBhR,EAAOD,QAAU,SAAU8F,EAAIuS,EAAMlZ,GAEnC,GADA8R,EAAUnL,QACG3D,IAATkW,EAAoB,OAAOvS,EAC/B,OAAQ3G,GACN,KAAK,EAAG,OAAO,WACb,OAAO2G,EAAGvG,KAAK8Y,IAEjB,KAAK,EAAG,OAAO,SAAU3Q,GACvB,OAAO5B,EAAGvG,KAAK8Y,EAAM3Q,IAEvB,KAAK,EAAG,OAAO,SAAUA,EAAGC,GAC1B,OAAO7B,EAAGvG,KAAK8Y,EAAM3Q,EAAGC,IAE1B,KAAK,EAAG,OAAO,SAAUD,EAAGC,EAAGlF,GAC7B,OAAOqD,EAAGvG,KAAK8Y,EAAM3Q,EAAGC,EAAGlF,IAG/B,OAAO,WACL,OAAOqD,EAAGwS,MAAMD,EAAM9Q,c,gBCrB1B,IAAIY,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChBzD,EAAM,EAAQ,GAEd5B,EAAiBzD,OAAOyD,eACxB0V,EAAQ,GAERC,EAAU,SAAUxT,GAAM,MAAMA,GAEpC/E,EAAOD,QAAU,SAAUyY,EAAarP,GACtC,GAAI3E,EAAI8T,EAAOE,GAAc,OAAOF,EAAME,GACrCrP,IAASA,EAAU,IACxB,IAAIgI,EAAS,GAAGqH,GACZC,IAAYjU,EAAI2E,EAAS,cAAeA,EAAQsP,UAChDC,EAAYlU,EAAI2E,EAAS,GAAKA,EAAQ,GAAKoP,EAC3CI,EAAYnU,EAAI2E,EAAS,GAAKA,EAAQ,QAAKjH,EAE/C,OAAOoW,EAAME,KAAiBrH,IAAWlJ,GAAM,WAC7C,GAAIwQ,IAAcvQ,EAAa,OAAO,EACtC,IAAIM,EAAI,CAAEtJ,QAAS,GAEfuZ,EAAW7V,EAAe4F,EAAG,EAAG,CAAE3F,YAAY,EAAMC,IAAKyV,IACxD/P,EAAE,GAAK,EAEZ2I,EAAO7R,KAAKkJ,EAAGkQ,EAAWC,Q,gBCxB9B,IAmDIC,EAnDAxQ,EAAW,EAAQ,GACnByQ,EAAmB,EAAQ,KAC3BC,EAAc,EAAQ,IACtB1I,EAAa,EAAQ,IACrB2I,EAAO,EAAQ,KACfC,EAAwB,EAAQ,IAChC7I,EAAY,EAAQ,IAMpB8I,EAAW9I,EAAU,YAErB+I,EAAmB,aAEnBC,EAAY,SAAUxH,GACxB,MAAOyH,WAAmBzH,EAAnByH,cAmCLC,EAAkB,WACpB,IAEET,EAAkBjY,SAAS2Y,QAAU,IAAIC,cAAc,YACvD,MAAOnY,IA1BoB,IAIzBoY,EAFAC,EAyBJJ,EAAkBT,EApCY,SAAUA,GACxCA,EAAgBc,MAAMP,EAAU,KAChCP,EAAgBe,QAChB,IAAIC,EAAOhB,EAAgBiB,aAAa1a,OAExC,OADAyZ,EAAkB,KACXgB,EA+B6BE,CAA0BlB,KAzB1Da,EAAST,EAAsB,WAG5Be,MAAMC,QAAU,OACvBjB,EAAK1W,YAAYoX,GAEjBA,EAAOxY,IAAM+G,OALJ,gBAMTwR,EAAiBC,EAAOQ,cAActZ,UACvBuZ,OACfV,EAAeE,MAAMP,EAAU,sBAC/BK,EAAeG,QACRH,EAAeW,GAgBtB,IADA,IAAIjb,EAAS4Z,EAAY5Z,OAClBA,YAAiBma,EAAyB,UAAEP,EAAY5Z,IAC/D,OAAOma,KAGTjJ,EAAW6I,IAAY,EAIvBjZ,EAAOD,QAAUZ,OAAOoE,QAAU,SAAgBiF,EAAG4R,GACnD,IAAIhT,EAQJ,OAPU,OAANoB,GACF0Q,EAA0B,UAAI9Q,EAASI,GACvCpB,EAAS,IAAI8R,EACbA,EAA0B,UAAI,KAE9B9R,EAAO6R,GAAYzQ,GACdpB,EAASiS,SACMnX,IAAfkY,EAA2BhT,EAASyR,EAAiBzR,EAAQgT,K,gBC5EtE,IAAIxX,EAAiB,EAAQ,GAAuC2F,EAChE/D,EAAM,EAAQ,GAGd6V,EAFkB,EAAQ,EAEVC,CAAgB,eAEpCta,EAAOD,QAAU,SAAUgF,EAAIwV,EAAK7Q,GAC9B3E,IAAOP,EAAIO,EAAK2E,EAAS3E,EAAKA,EAAG3F,UAAWib,IAC9CzX,EAAemC,EAAIsV,EAAe,CAAE7I,cAAc,EAAMtO,MAAOqX,M,gBCRnE,IAAI9U,EAAW,EAAQ,GAMvBzF,EAAOD,QAAU,SAAUya,EAAOC,GAChC,IAAKhV,EAAS+U,GAAQ,OAAOA,EAC7B,IAAI3U,EAAIN,EACR,GAAIkV,GAAoD,mBAAxB5U,EAAK2U,EAAMnV,YAA4BI,EAASF,EAAMM,EAAGvG,KAAKkb,IAAS,OAAOjV,EAC9G,GAAmC,mBAAvBM,EAAK2U,EAAME,WAA2BjV,EAASF,EAAMM,EAAGvG,KAAKkb,IAAS,OAAOjV,EACzF,IAAKkV,GAAoD,mBAAxB5U,EAAK2U,EAAMnV,YAA4BI,EAASF,EAAMM,EAAGvG,KAAKkb,IAAS,OAAOjV,EAC/G,MAAMwC,UAAU,6C,cCZlB/H,EAAOD,SAAU,G,gBCAjB,IAAIkI,EAAQ,EAAQ,GAChB0S,EAAU,EAAQ,IAElBjO,EAAQ,GAAGA,MAGf1M,EAAOD,QAAUkI,GAAM,WAGrB,OAAQ9I,OAAO,KAAKyb,qBAAqB,MACtC,SAAU7V,GACb,MAAsB,UAAf4V,EAAQ5V,GAAkB2H,EAAMpN,KAAKyF,EAAI,IAAM5F,OAAO4F,IAC3D5F,Q,gBCZJ,IAAImF,EAAS,EAAQ,GACjBwE,EAA8B,EAAQ,GAE1C9I,EAAOD,QAAU,SAAUyD,EAAKN,GAC9B,IACE4F,EAA4BxE,EAAQd,EAAKN,GACzC,MAAO9B,GACPkD,EAAOd,GAAON,EACd,OAAOA,I,gBCRX,IAAIqB,EAAS,EAAQ,IACjBE,EAAM,EAAQ,IAEdoW,EAAOtW,EAAO,QAElBvE,EAAOD,QAAU,SAAUyD,GACzB,OAAOqX,EAAKrX,KAASqX,EAAKrX,GAAOiB,EAAIjB,M,cCNvC,IAAI2P,EAAK,EACL2H,EAAU9V,KAAK+V,SAEnB/a,EAAOD,QAAU,SAAUyD,GACzB,MAAO,UAAYwE,YAAe9F,IAARsB,EAAoB,GAAKA,GAAO,QAAU2P,EAAK2H,GAASzV,SAAS,M,cCH7FrF,EAAOD,QAAU,CACf,cACA,iBACA,gBACA,uBACA,iBACA,WACA,Y,cCNF,MAGMib,EAAmBC,OAAOD,kBACH,iBAK7Bhb,EAAOD,QAAU,CACfmb,oBAV0B,QAW1BC,WATiB,IAUjBH,mBACAI,0BANgC,K,6BCRlC,IAAIC,EAAI,EAAQ,IACZC,EAA4B,EAAQ,KACpCC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzB3S,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBuR,EAAkB,EAAQ,GAC1BoB,EAAU,EAAQ,IAClBC,EAAY,EAAQ,IACpBC,EAAgB,EAAQ,IAExBC,EAAoBD,EAAcC,kBAClCC,EAAyBF,EAAcE,uBACvCC,EAAWzB,EAAgB,YAK3B0B,EAAa,WAAc,OAAOlP,MAEtC9M,EAAOD,QAAU,SAAUkc,EAAUC,EAAMC,EAAqBC,EAAMC,EAASC,EAAQC,GACrFjB,EAA0Ba,EAAqBD,EAAME,GAErD,IAkBII,EAA0BC,EAASC,EAlBnCC,EAAqB,SAAUC,GACjC,GAAIA,IAASP,GAAWQ,EAAiB,OAAOA,EAChD,IAAKf,GAA0Bc,KAAQE,EAAmB,OAAOA,EAAkBF,GACnF,OAAQA,GACN,IAbK,OAcL,IAbO,SAcP,IAbQ,UAaM,OAAO,WAAqB,OAAO,IAAIT,EAAoBrP,KAAM8P,IAC/E,OAAO,WAAc,OAAO,IAAIT,EAAoBrP,QAGpDuN,EAAgB6B,EAAO,YACvBa,GAAwB,EACxBD,EAAoBb,EAAS7c,UAC7B4d,EAAiBF,EAAkBf,IAClCe,EAAkB,eAClBT,GAAWS,EAAkBT,GAC9BQ,GAAmBf,GAA0BkB,GAAkBL,EAAmBN,GAClFY,EAA4B,SAARf,GAAkBY,EAAkBI,SAA4BF,EAiCxF,GA7BIC,IACFT,EAA2BjB,EAAe0B,EAAkB3d,KAAK,IAAI2c,IACjEJ,IAAsB1c,OAAOC,WAAaod,EAAyBJ,OAChEV,GAAWH,EAAeiB,KAA8BX,IACvDL,EACFA,EAAegB,EAA0BX,GACa,mBAAtCW,EAAyBT,IACzCjT,EAA4B0T,EAA0BT,EAAUC,IAIpEP,EAAee,EAA0BnC,GAAe,GAAM,GAC1DqB,IAASC,EAAUtB,GAAiB2B,KAzCjC,UA8CPK,GAAqBW,GA9Cd,WA8CgCA,EAAehb,OACxD+a,GAAwB,EACxBF,EAAkB,WAAoB,OAAOG,EAAe1d,KAAKwN,QAI7D4O,IAAWa,GAAWO,EAAkBf,KAAcc,GAC1D/T,EAA4BgU,EAAmBf,EAAUc,GAE3DlB,EAAUO,GAAQW,EAGdR,EAMF,GALAI,EAAU,CACRU,OAAQR,EA5DD,UA6DP9B,KAAMyB,EAASO,EAAkBF,EA9D5B,QA+DLO,QAASP,EA7DD,YA+DNJ,EAAQ,IAAKG,KAAOD,GAClBX,GAA0BiB,KAA2BL,KAAOI,KAC9D/T,EAAS+T,EAAmBJ,EAAKD,EAAQC,SAEtCrB,EAAE,CAAEvZ,OAAQoa,EAAMkB,OAAO,EAAMvT,OAAQiS,GAA0BiB,GAAyBN,GAGnG,OAAOA,I,gBCxFT,IAGIY,EAAO,GAEXA,EALsB,EAAQ,EAEV/C,CAAgB,gBAGd,IAEtBta,EAAOD,QAA2B,eAAjBiI,OAAOqV,I,6BCNxB,IAYMC,EACAC,EAbFC,EAAc,EAAQ,IACtBC,EAAgB,EAAQ,KAExBC,EAAaC,OAAOve,UAAUiF,KAI9BuZ,EAAgB5V,OAAO5I,UAAU0I,QAEjC+V,EAAcH,EAEdI,GACER,EAAM,IACNC,EAAM,MACVG,EAAWpe,KAAKge,EAAK,KACrBI,EAAWpe,KAAKie,EAAK,KACI,IAAlBD,EAAIS,WAAqC,IAAlBR,EAAIQ,WAGhCC,EAAgBP,EAAcO,eAAiBP,EAAcQ,aAG7DC,OAAuChc,IAAvB,OAAOmC,KAAK,IAAI,IAExByZ,GAA4BI,GAAiBF,KAGvDH,EAAc,SAAchW,GAC1B,IACIkW,EAAWI,EAAQC,EAAOpf,EAD1Bqf,EAAKvR,KAELwR,EAASN,GAAiBK,EAAGC,OAC7BC,EAAQf,EAAYle,KAAK+e,GACzBjV,EAASiV,EAAGjV,OACZoV,EAAa,EACbC,EAAU5W,EA+Cd,OA7CIyW,KAE0B,KAD5BC,EAAQA,EAAMzW,QAAQ,IAAK,KACjB8D,QAAQ,OAChB2S,GAAS,KAGXE,EAAUzW,OAAOH,GAAK1D,MAAMka,EAAGN,WAE3BM,EAAGN,UAAY,KAAOM,EAAGK,WAAaL,EAAGK,WAAuC,OAA1B7W,EAAIwW,EAAGN,UAAY,MAC3E3U,EAAS,OAASA,EAAS,IAC3BqV,EAAU,IAAMA,EAChBD,KAIFL,EAAS,IAAIR,OAAO,OAASvU,EAAS,IAAKmV,IAGzCL,IACFC,EAAS,IAAIR,OAAO,IAAMvU,EAAS,WAAYmV,IAE7CT,IAA0BC,EAAYM,EAAGN,WAE7CK,EAAQV,EAAWpe,KAAKgf,EAASH,EAASE,EAAII,GAE1CH,EACEF,GACFA,EAAM5D,MAAQ4D,EAAM5D,MAAMrW,MAAMqa,GAChCJ,EAAM,GAAKA,EAAM,GAAGja,MAAMqa,GAC1BJ,EAAMO,MAAQN,EAAGN,UACjBM,EAAGN,WAAaK,EAAM,GAAGlf,QACpBmf,EAAGN,UAAY,EACbD,GAA4BM,IACrCC,EAAGN,UAAYM,EAAG/Z,OAAS8Z,EAAMO,MAAQP,EAAM,GAAGlf,OAAS6e,GAEzDG,GAAiBE,GAASA,EAAMlf,OAAS,GAG3C0e,EAActe,KAAK8e,EAAM,GAAID,GAAQ,WACnC,IAAKnf,EAAI,EAAGA,EAAIsI,UAAUpI,OAAS,EAAGF,SACfkD,IAAjBoF,UAAUtI,KAAkBof,EAAMpf,QAAKkD,MAK1Ckc,IAIXpe,EAAOD,QAAU8d,G,eCtFjB,IAAIe,EAGJA,EAAI,WACH,OAAO9R,KADJ,GAIJ,IAEC8R,EAAIA,GAAK,IAAIxZ,SAAS,cAAb,GACR,MAAOlF,GAEc,iBAAX+D,SAAqB2a,EAAI3a,QAOrCjE,EAAOD,QAAU6e,G,cClBjB,IAOIC,EACAC,EARAC,EAAU/e,EAAOD,QAAU,GAU/B,SAASif,IACL,MAAM,IAAI3d,MAAM,mCAEpB,SAAS4d,IACL,MAAM,IAAI5d,MAAM,qCAsBpB,SAAS6d,EAAWC,GAChB,GAAIN,IAAqB1c,WAErB,OAAOA,WAAWgd,EAAK,GAG3B,IAAKN,IAAqBG,IAAqBH,IAAqB1c,WAEhE,OADA0c,EAAmB1c,WACZA,WAAWgd,EAAK,GAE3B,IAEI,OAAON,EAAiBM,EAAK,GAC/B,MAAMjf,GACJ,IAEI,OAAO2e,EAAiBvf,KAAK,KAAM6f,EAAK,GAC1C,MAAMjf,GAEJ,OAAO2e,EAAiBvf,KAAKwN,KAAMqS,EAAK,MAvCnD,WACG,IAEQN,EADsB,mBAAf1c,WACYA,WAEA6c,EAEzB,MAAO9e,GACL2e,EAAmBG,EAEvB,IAEQF,EADwB,mBAAjBrd,aACcA,aAEAwd,EAE3B,MAAO/e,GACL4e,EAAqBG,GAjB7B,GAwEA,IAEIG,EAFAC,EAAQ,GACRC,GAAW,EAEXC,GAAc,EAElB,SAASC,IACAF,GAAaF,IAGlBE,GAAW,EACPF,EAAalgB,OACbmgB,EAAQD,EAAarP,OAAOsP,GAE5BE,GAAc,EAEdF,EAAMngB,QACNugB,KAIR,SAASA,IACL,IAAIH,EAAJ,CAGA,IAAIxe,EAAUoe,EAAWM,GACzBF,GAAW,EAGX,IADA,IAAII,EAAML,EAAMngB,OACVwgB,GAAK,CAGP,IAFAN,EAAeC,EACfA,EAAQ,KACCE,EAAaG,GACdN,GACAA,EAAaG,GAAYI,MAGjCJ,GAAc,EACdG,EAAML,EAAMngB,OAEhBkgB,EAAe,KACfE,GAAW,EAnEf,SAAyBM,GACrB,GAAId,IAAuBrd,aAEvB,OAAOA,aAAame,GAGxB,IAAKd,IAAuBG,IAAwBH,IAAuBrd,aAEvE,OADAqd,EAAqBrd,aACdA,aAAame,GAExB,IAEWd,EAAmBc,GAC5B,MAAO1f,GACL,IAEI,OAAO4e,EAAmBxf,KAAK,KAAMsgB,GACvC,MAAO1f,GAGL,OAAO4e,EAAmBxf,KAAKwN,KAAM8S,KAgD7CC,CAAgB/e,IAiBpB,SAASgf,EAAKX,EAAKY,GACfjT,KAAKqS,IAAMA,EACXrS,KAAKiT,MAAQA,EAYjB,SAASC,KA5BTjB,EAAQkB,SAAW,SAAUd,GACzB,IAAIe,EAAO,IAAIC,MAAM7Y,UAAUpI,OAAS,GACxC,GAAIoI,UAAUpI,OAAS,EACnB,IAAK,IAAIF,EAAI,EAAGA,EAAIsI,UAAUpI,OAAQF,IAClCkhB,EAAKlhB,EAAI,GAAKsI,UAAUtI,GAGhCqgB,EAAM7f,KAAK,IAAIsgB,EAAKX,EAAKe,IACJ,IAAjBb,EAAMngB,QAAiBogB,GACvBJ,EAAWO,IASnBK,EAAK1gB,UAAUugB,IAAM,WACjB7S,KAAKqS,IAAI9G,MAAM,KAAMvL,KAAKiT,QAE9BhB,EAAQqB,MAAQ,UAChBrB,EAAQsB,SAAU,EAClBtB,EAAQuB,IAAM,GACdvB,EAAQwB,KAAO,GACfxB,EAAQlU,QAAU,GAClBkU,EAAQyB,SAAW,GAInBzB,EAAQpM,GAAKqN,EACbjB,EAAQ0B,YAAcT,EACtBjB,EAAQ2B,KAAOV,EACfjB,EAAQ4B,IAAMX,EACdjB,EAAQ6B,eAAiBZ,EACzBjB,EAAQ8B,mBAAqBb,EAC7BjB,EAAQzR,KAAO0S,EACfjB,EAAQ+B,gBAAkBd,EAC1BjB,EAAQgC,oBAAsBf,EAE9BjB,EAAQiC,UAAY,SAAUhf,GAAQ,MAAO,IAE7C+c,EAAQkC,QAAU,SAAUjf,GACxB,MAAM,IAAIX,MAAM,qCAGpB0d,EAAQmC,IAAM,WAAc,MAAO,KACnCnC,EAAQoC,MAAQ,SAAUtL,GACtB,MAAM,IAAIxU,MAAM,mCAEpB0d,EAAQqC,MAAQ,WAAa,OAAO,I,6BCrLpC,EAAQ,IAERjiB,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQshB,UAOR,SAAmB/W,EAAK9G,GACtB,IAAI8d,EAAO3gB,SAAS4gB,cAAc,kBAAkBxR,OAAOzF,EAAK,KAAKyF,OAAOvM,IAE5E,GAAa,OAAT8d,EACF,MAAM,IAAIjgB,MAAM,gCAAgC0O,OAAOvM,EAAK,QAAQuM,OAAOzF,IAG7E,IACE,OAAOkX,KAAKC,MAAMC,KAAKJ,EAAKpe,QAC5B,MAAOhD,GACP,MAAM,IAAImB,MAAM,iCAAiC0O,OAAOvM,EAAK,QAAQuM,OAAOzF,O,6BChBhFtK,EAAOD,QAAU,SAAU4hB,GACzB,IAAIC,EAAO,GAuDX,OArDAA,EAAKvc,SAAW,WACd,OAAOyH,KAAK+U,KAAI,SAAUC,GACxB,IAAInQ,EAsDV,SAAgCmQ,EAAMH,GACpC,IAAIhQ,EAAUmQ,EAAK,IAAM,GAErBC,EAAaD,EAAK,GAEtB,IAAKC,EACH,OAAOpQ,EAGT,GAAIgQ,GAAgC,mBAATK,KAAqB,CAC9C,IAAIC,GAWWC,EAXeH,EAa5BI,EAASH,KAAKI,SAAS7W,mBAAmBiW,KAAKa,UAAUH,MACzDvjB,EAAO,+DAA+DoR,OAAOoS,GAC1E,OAAOpS,OAAOpR,EAAM,QAdrB2jB,EAAaP,EAAWQ,QAAQV,KAAI,SAAUzY,GAChD,MAAO,iBAAiB2G,OAAOgS,EAAWS,YAAc,IAAIzS,OAAO3G,EAAQ,UAE7E,MAAO,CAACuI,GAAS5B,OAAOuS,GAAYvS,OAAO,CAACkS,IAAgBpV,KAAK,MAOrE,IAAmBqV,EAEbC,EACAxjB,EAPJ,MAAO,CAACgT,GAAS9E,KAAK,MAvEJ4V,CAAuBX,EAAMH,GAE3C,OAAIG,EAAK,GACA,UAAU/R,OAAO+R,EAAK,GAAI,MAAM/R,OAAO4B,EAAS,KAGlDA,KACN9E,KAAK,KAKV+U,EAAK5iB,EAAI,SAAUS,EAASijB,EAAYC,GACf,iBAAZljB,IAETA,EAAU,CAAC,CAAC,KAAMA,EAAS,MAG7B,IAAImjB,EAAyB,GAE7B,GAAID,EACF,IAAK,IAAI3jB,EAAI,EAAGA,EAAI8N,KAAK5N,OAAQF,IAAK,CAEpC,IAAImU,EAAKrG,KAAK9N,GAAG,GAEP,MAANmU,IACFyP,EAAuBzP,IAAM,GAKnC,IAAK,IAAI0P,EAAK,EAAGA,EAAKpjB,EAAQP,OAAQ2jB,IAAM,CAC1C,IAAIf,EAAO,GAAG/R,OAAOtQ,EAAQojB,IAEzBF,GAAUC,EAAuBd,EAAK,MAKtCY,IACGZ,EAAK,GAGRA,EAAK,GAAK,GAAG/R,OAAO2S,EAAY,SAAS3S,OAAO+R,EAAK,IAFrDA,EAAK,GAAKY,GAMdd,EAAKpiB,KAAKsiB,MAIPF,I,6BC5DM,SAASkB,EAAcC,EAAUnB,GAG9C,IAFA,IAAIoB,EAAS,GACTC,EAAY,GACPjkB,EAAI,EAAGA,EAAI4iB,EAAK1iB,OAAQF,IAAK,CACpC,IAAI8iB,EAAOF,EAAK5iB,GACZmU,EAAK2O,EAAK,GAIVoB,EAAO,CACT/P,GAAI4P,EAAW,IAAM/jB,EACrBmkB,IALQrB,EAAK,GAMbsB,MALUtB,EAAK,GAMfI,UALcJ,EAAK,IAOhBmB,EAAU9P,GAGb8P,EAAU9P,GAAIkQ,MAAM7jB,KAAK0jB,GAFzBF,EAAOxjB,KAAKyjB,EAAU9P,GAAM,CAAEA,GAAIA,EAAIkQ,MAAO,CAACH,KAKlD,OAAOF,E,+CCjBT,IAAIM,EAAkC,oBAAb3iB,SAEzB,GAAqB,oBAAV4iB,OAAyBA,QAC7BD,EACH,MAAM,IAAIjiB,MACV,2JAkBJ,IAAImiB,EAAc,GAQdphB,EAAOkhB,IAAgB3iB,SAASyB,MAAQzB,SAAS8iB,qBAAqB,QAAQ,IAC9EC,EAAmB,KACnBC,EAAmB,EACnBC,GAAe,EACf5D,EAAO,aACP7W,EAAU,KAKV0a,EAA+B,oBAAd5c,WAA6B,eAAeoW,KAAKpW,UAAU6c,UAAUC,eAE3E,SAASC,EAAiBjB,EAAUnB,EAAMqC,EAAeC,GACtEN,EAAeK,EAEf9a,EAAU+a,GAAY,GAEtB,IAAIlB,EAASF,EAAaC,EAAUnB,GAGpC,OAFAuC,EAAenB,GAER,SAAiBoB,GAEtB,IADA,IAAIC,EAAY,GACPrlB,EAAI,EAAGA,EAAIgkB,EAAO9jB,OAAQF,IAAK,CACtC,IAAI8iB,EAAOkB,EAAOhkB,IACdslB,EAAWd,EAAY1B,EAAK3O,KACvBoR,OACTF,EAAU7kB,KAAK8kB,GAEbF,EAEFD,EADAnB,EAASF,EAAaC,EAAUqB,IAGhCpB,EAAS,GAEX,IAAShkB,EAAI,EAAGA,EAAIqlB,EAAUnlB,OAAQF,IAAK,CACzC,IAAIslB,EACJ,GAAsB,KADlBA,EAAWD,EAAUrlB,IACZulB,KAAY,CACvB,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASjB,MAAMnkB,OAAQslB,IACzCF,EAASjB,MAAMmB,YAEVhB,EAAYc,EAASnR,OAMpC,SAASgR,EAAgBnB,GACvB,IAAK,IAAIhkB,EAAI,EAAGA,EAAIgkB,EAAO9jB,OAAQF,IAAK,CACtC,IAAI8iB,EAAOkB,EAAOhkB,GACdslB,EAAWd,EAAY1B,EAAK3O,IAChC,GAAImR,EAAU,CACZA,EAASC,OACT,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASjB,MAAMnkB,OAAQslB,IACzCF,EAASjB,MAAMmB,GAAG1C,EAAKuB,MAAMmB,IAE/B,KAAOA,EAAI1C,EAAKuB,MAAMnkB,OAAQslB,IAC5BF,EAASjB,MAAM7jB,KAAKilB,EAAS3C,EAAKuB,MAAMmB,KAEtCF,EAASjB,MAAMnkB,OAAS4iB,EAAKuB,MAAMnkB,SACrColB,EAASjB,MAAMnkB,OAAS4iB,EAAKuB,MAAMnkB,YAEhC,CACL,IAAImkB,EAAQ,GACZ,IAASmB,EAAI,EAAGA,EAAI1C,EAAKuB,MAAMnkB,OAAQslB,IACrCnB,EAAM7jB,KAAKilB,EAAS3C,EAAKuB,MAAMmB,KAEjChB,EAAY1B,EAAK3O,IAAM,CAAEA,GAAI2O,EAAK3O,GAAIoR,KAAM,EAAGlB,MAAOA,KAK5D,SAASqB,IACP,IAAIC,EAAehkB,SAASC,cAAc,SAG1C,OAFA+jB,EAAa/iB,KAAO,WACpBQ,EAAKC,YAAYsiB,GACVA,EAGT,SAASF,EAAU7e,GACjB,IAAIgf,EAAQC,EACRF,EAAehkB,SAAS4gB,cAAc,2BAA8B3b,EAAIuN,GAAK,MAEjF,GAAIwR,EAAc,CAChB,GAAIf,EAGF,OAAO5D,EAOP2E,EAAaG,WAAWC,YAAYJ,GAIxC,GAAId,EAAS,CAEX,IAAImB,EAAarB,IACjBgB,EAAejB,IAAqBA,EAAmBgB,KACvDE,EAASK,EAAoBxhB,KAAK,KAAMkhB,EAAcK,GAAY,GAClEH,EAASI,EAAoBxhB,KAAK,KAAMkhB,EAAcK,GAAY,QAGlEL,EAAeD,IACfE,EAASM,EAAWzhB,KAAK,KAAMkhB,GAC/BE,EAAS,WACPF,EAAaG,WAAWC,YAAYJ,IAMxC,OAFAC,EAAOhf,GAEA,SAAsBuf,GAC3B,GAAIA,EAAQ,CACV,GAAIA,EAAOhC,MAAQvd,EAAIud,KACnBgC,EAAO/B,QAAUxd,EAAIwd,OACrB+B,EAAOjD,YAActc,EAAIsc,UAC3B,OAEF0C,EAAOhf,EAAMuf,QAEbN,KAKN,IACMO,EADFC,GACED,EAAY,GAET,SAAUzG,EAAO2G,GAEtB,OADAF,EAAUzG,GAAS2G,EACZF,EAAUG,OAAOC,SAAS3Y,KAAK,QAI1C,SAASoY,EAAqBN,EAAchG,EAAOkG,EAAQjf,GACzD,IAAIud,EAAM0B,EAAS,GAAKjf,EAAIud,IAE5B,GAAIwB,EAAac,WACfd,EAAac,WAAWC,QAAUL,EAAY1G,EAAOwE,OAChD,CACL,IAAIwC,EAAUhlB,SAASilB,eAAezC,GAClC0C,EAAalB,EAAakB,WAC1BA,EAAWlH,IAAQgG,EAAaI,YAAYc,EAAWlH,IACvDkH,EAAW3mB,OACbylB,EAAamB,aAAaH,EAASE,EAAWlH,IAE9CgG,EAAatiB,YAAYsjB,IAK/B,SAAST,EAAYP,EAAc/e,GACjC,IAAIud,EAAMvd,EAAIud,IACVC,EAAQxd,EAAIwd,MACZlB,EAAYtc,EAAIsc,UAiBpB,GAfIkB,GACFuB,EAAa3jB,aAAa,QAASoiB,GAEjCja,EAAQ4c,OACVpB,EAAa3jB,aA7JF,kBA6JyB4E,EAAIuN,IAGtC+O,IAGFiB,GAAO,mBAAqBjB,EAAUK,QAAQ,GAAK,MAEnDY,GAAO,uDAAyDnB,KAAKI,SAAS7W,mBAAmBiW,KAAKa,UAAUH,MAAgB,OAG9HyC,EAAac,WACfd,EAAac,WAAWC,QAAUvC,MAC7B,CACL,KAAOwB,EAAaqB,YAClBrB,EAAaI,YAAYJ,EAAaqB,YAExCrB,EAAatiB,YAAY1B,SAASilB,eAAezC,O,gBC3NrD,IAAI8C,EAAwB,EAAQ,IAChCld,EAAW,EAAQ,IACnB1D,EAAW,EAAQ,KAIlB4gB,GACHld,EAAS5J,OAAOC,UAAW,WAAYiG,EAAU,CAAEsH,QAAQ,K,6BCN7D,IAAIuZ,EAAkB,EAAQ,IAC1BC,EAAmB,EAAQ,KAC3BxK,EAAY,EAAQ,IACpBtP,EAAsB,EAAQ,IAC9B+Z,EAAiB,EAAQ,IAGzBC,EAAmBha,EAAoB2D,IACvC1D,EAAmBD,EAAoBuE,UAFtB,kBAcrB5Q,EAAOD,QAAUqmB,EAAejG,MAAO,SAAS,SAAUmG,EAAUC,GAClEF,EAAiBvZ,KAAM,CACrBlL,KAhBiB,iBAiBjBE,OAAQokB,EAAgBI,GACxB3H,MAAO,EACP4H,KAAMA,OAIP,WACD,IAAIzV,EAAQxE,EAAiBQ,MACzBhL,EAASgP,EAAMhP,OACfykB,EAAOzV,EAAMyV,KACb5H,EAAQ7N,EAAM6N,QAClB,OAAK7c,GAAU6c,GAAS7c,EAAO5C,QAC7B4R,EAAMhP,YAASI,EACR,CAAEgB,WAAOhB,EAAWskB,MAAM,IAEvB,QAARD,EAAuB,CAAErjB,MAAOyb,EAAO6H,MAAM,GACrC,UAARD,EAAyB,CAAErjB,MAAOpB,EAAO6c,GAAQ6H,MAAM,GACpD,CAAEtjB,MAAO,CAACyb,EAAO7c,EAAO6c,IAAS6H,MAAM,KAC7C,UAKH7K,EAAU8K,UAAY9K,EAAUwE,MAGhCgG,EAAiB,QACjBA,EAAiB,UACjBA,EAAiB,Y,6BCnDjB,IAAI9K,EAAI,EAAQ,IACZhX,EAAO,EAAQ,IAEnBgX,EAAE,CAAEvZ,OAAQ,SAAUsb,OAAO,EAAMvT,OAAQ,IAAIxF,OAASA,GAAQ,CAC9DA,KAAMA,K,gBCLR,IAAI6D,EAAc,EAAQ,GACtBwe,EAA6B,EAAQ,IACrC9d,EAA2B,EAAQ,IACnCsd,EAAkB,EAAQ,IAC1B7d,EAAc,EAAQ,IACtB7D,EAAM,EAAQ,GACd2D,EAAiB,EAAQ,IAEzBwe,EAAiCxnB,OAAO0J,yBAI5C9I,EAAQwI,EAAIL,EAAcye,EAAiC,SAAkCne,EAAGC,GAG9F,GAFAD,EAAI0d,EAAgB1d,GACpBC,EAAIJ,EAAYI,GAAG,GACfN,EAAgB,IAClB,OAAOwe,EAA+Bne,EAAGC,GACzC,MAAOrH,IACT,GAAIoD,EAAIgE,EAAGC,GAAI,OAAOG,GAA0B8d,EAA2Bne,EAAEjJ,KAAKkJ,EAAGC,GAAID,EAAEC,M,gBClB7F,IAAIR,EAAQ,EAAQ,GAEhBqd,EAAc,kBAEdpc,EAAW,SAAU0d,EAASC,GAChC,IAAI3jB,EAAQvE,EAAKmoB,EAAUF,IAC3B,OAAO1jB,GAAS6jB,GACZ7jB,GAAS8jB,IACW,mBAAbH,EAA0B5e,EAAM4e,KACrCA,IAGJC,EAAY5d,EAAS4d,UAAY,SAAUG,GAC7C,OAAOjf,OAAOif,GAAQnf,QAAQwd,EAAa,KAAKvB,eAG9CplB,EAAOuK,EAASvK,KAAO,GACvBqoB,EAAS9d,EAAS8d,OAAS,IAC3BD,EAAW7d,EAAS6d,SAAW,IAEnC/mB,EAAOD,QAAUmJ,G,gBCpBjB,IAAIjB,EAAQ,EAAQ,GAChBqS,EAAkB,EAAQ,GAC1B4M,EAAa,EAAQ,IAErBC,EAAU7M,EAAgB,WAE9Bta,EAAOD,QAAU,SAAUyY,GAIzB,OAAO0O,GAAc,KAAOjf,GAAM,WAChC,IAAI8X,EAAQ,GAKZ,OAJkBA,EAAM/Z,YAAc,IAC1BmhB,GAAW,WACrB,MAAO,CAAEC,IAAK,IAE2B,IAApCrH,EAAMvH,GAAagN,SAAS4B,S,cChBvCpnB,EAAOD,QAAU,SAAUgF,EAAIsiB,EAAarlB,GAC1C,KAAM+C,aAAcsiB,GAClB,MAAMtf,UAAU,cAAgB/F,EAAOA,EAAO,IAAM,IAAM,cAC1D,OAAO+C,I,gBCHX,IAAIsW,EAAI,EAAQ,IACZpQ,EAAS,EAAQ,KAIrBoQ,EAAE,CAAEvZ,OAAQ,SAAU6H,MAAM,EAAME,OAAQ1K,OAAO8L,SAAWA,GAAU,CACpEA,OAAQA,K,gBCNV,IAAIxF,EAAW,EAAQ,GACnBH,EAAU,EAAQ,IAGlB6hB,EAFkB,EAAQ,EAEhB7M,CAAgB,WAI9Bta,EAAOD,QAAU,SAAUunB,EAAepoB,GACxC,IAAIqoB,EASF,OAREjiB,EAAQgiB,KAGM,mBAFhBC,EAAID,EAActhB,cAEauhB,IAAMpH,QAAS7a,EAAQiiB,EAAEnoB,WAC/CqG,EAAS8hB,IAEN,QADVA,EAAIA,EAAEJ,MACUI,OAAIrlB,GAH+CqlB,OAAIrlB,GAKlE,SAAWA,IAANqlB,EAAkBpH,MAAQoH,GAAc,IAAXroB,EAAe,EAAIA,K,6BCjBhE,IAAImc,EAAI,EAAQ,IACZpT,EAAQ,EAAQ,GAChB3C,EAAU,EAAQ,IAClBG,EAAW,EAAQ,GACnB+hB,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAiB,EAAQ,KACzBC,EAAqB,EAAQ,IAC7BC,EAA+B,EAAQ,IACvCtN,EAAkB,EAAQ,GAC1B4M,EAAa,EAAQ,IAErBW,EAAuBvN,EAAgB,sBAOvCwN,EAA+BZ,GAAc,KAAOjf,GAAM,WAC5D,IAAI8X,EAAQ,GAEZ,OADAA,EAAM8H,IAAwB,EACvB9H,EAAMhQ,SAAS,KAAOgQ,KAG3BgI,EAAkBH,EAA6B,UAE/CI,EAAqB,SAAUxf,GACjC,IAAK/C,EAAS+C,GAAI,OAAO,EACzB,IAAIyf,EAAazf,EAAEqf,GACnB,YAAsB3lB,IAAf+lB,IAA6BA,EAAa3iB,EAAQkD,IAQ3D6S,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,QALpBie,IAAiCC,GAKK,CAClDhY,OAAQ,SAAgBmY,GACtB,IAGIlpB,EAAGmpB,EAAGjpB,EAAQwgB,EAAK0I,EAHnB5f,EAAIgf,EAAS1a,MACbub,EAAIV,EAAmBnf,EAAG,GAC1B9E,EAAI,EAER,IAAK1E,GAAK,EAAGE,EAASoI,UAAUpI,OAAQF,EAAIE,EAAQF,IAElD,GAAIgpB,EADJI,GAAW,IAAPppB,EAAWwJ,EAAIlB,UAAUtI,IACF,CAEzB,GAAI0E,GADJgc,EAAM+H,EAASW,EAAElpB,SAlCF,iBAmCiB,MAAM6I,UAlCT,kCAmC7B,IAAKogB,EAAI,EAAGA,EAAIzI,EAAKyI,IAAKzkB,IAASykB,KAAKC,GAAGV,EAAeW,EAAG3kB,EAAG0kB,EAAED,QAC7D,CACL,GAAIzkB,GAtCW,iBAsCY,MAAMqE,UArCJ,kCAsC7B2f,EAAeW,EAAG3kB,IAAK0kB,GAI3B,OADAC,EAAEnpB,OAASwE,EACJ2kB,M,gBCzDX,IAAI/jB,EAAS,EAAQ,GACjBmB,EAAW,EAAQ,GAEnB9E,EAAW2D,EAAO3D,SAElB2nB,EAAS7iB,EAAS9E,IAAa8E,EAAS9E,EAASC,eAErDZ,EAAOD,QAAU,SAAUgF,GACzB,OAAOujB,EAAS3nB,EAASC,cAAcmE,GAAM,K,gBCR/C,IAAIuL,EAAQ,EAAQ,IAEhBiY,EAAmBnjB,SAASC,SAGE,mBAAvBiL,EAAMlE,gBACfkE,EAAMlE,cAAgB,SAAUrH,GAC9B,OAAOwjB,EAAiBjpB,KAAKyF,KAIjC/E,EAAOD,QAAUuQ,EAAMlE,e,gBCXvB,IAAI3I,EAAO,EAAQ,IACfiO,EAAgB,EAAQ,IACxB8V,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBE,EAAqB,EAAQ,IAE7BnoB,EAAO,GAAGA,KAGVgpB,EAAe,SAAU3X,GAC3B,IAAI4X,EAAiB,GAAR5X,EACT6X,EAAoB,GAAR7X,EACZ8X,EAAkB,GAAR9X,EACV+X,EAAmB,GAAR/X,EACXgY,EAAwB,GAARhY,EAChBiY,EAAmB,GAARjY,GAAagY,EAC5B,OAAO,SAAUE,EAAOC,EAAY5Q,EAAM6Q,GASxC,IARA,IAOI/lB,EAAOkE,EAPPoB,EAAIgf,EAASuB,GACb5jB,EAAOuM,EAAclJ,GACrB0gB,EAAgBzlB,EAAKulB,EAAY5Q,EAAM,GACvClZ,EAASuoB,EAAStiB,EAAKjG,QACvByf,EAAQ,EACRpb,EAAS0lB,GAAkBtB,EAC3B7lB,EAAS2mB,EAASllB,EAAOwlB,EAAO7pB,GAAUwpB,EAAYnlB,EAAOwlB,EAAO,QAAK7mB,EAEvEhD,EAASyf,EAAOA,IAAS,IAAImK,GAAYnK,KAASxZ,KAEtDiC,EAAS8hB,EADThmB,EAAQiC,EAAKwZ,GACiBA,EAAOnW,GACjCqI,GACF,GAAI4X,EAAQ3mB,EAAO6c,GAASvX,OACvB,GAAIA,EAAQ,OAAQyJ,GACvB,KAAK,EAAG,OAAO,EACf,KAAK,EAAG,OAAO3N,EACf,KAAK,EAAG,OAAOyb,EACf,KAAK,EAAGnf,EAAKF,KAAKwC,EAAQoB,QACrB,GAAI0lB,EAAU,OAAO,EAGhC,OAAOC,GAAiB,EAAIF,GAAWC,EAAWA,EAAW9mB,IAIjE9B,EAAOD,QAAU,CAGf4F,QAAS6iB,EAAa,GAGtB3G,IAAK2G,EAAa,GAGlBjD,OAAQiD,EAAa,GAGrBW,KAAMX,EAAa,GAGnBY,MAAOZ,EAAa,GAGpBa,KAAMb,EAAa,GAGnBc,UAAWd,EAAa,K,6BC9D1B,IAAIvgB,EAAQ,EAAQ,GAEpBjI,EAAOD,QAAU,SAAUyY,EAAaxK,GACtC,IAAImD,EAAS,GAAGqH,GAChB,QAASrH,GAAUlJ,GAAM,WAEvBkJ,EAAO7R,KAAK,KAAM0O,GAAY,WAAc,MAAM,GAAM,Q,gBCP5D,IAMIoQ,EAAOvT,EANPvG,EAAS,EAAQ,GACjBwf,EAAY,EAAQ,KAEpB/E,EAAUza,EAAOya,QACjByB,EAAWzB,GAAWA,EAAQyB,SAC9B+I,EAAK/I,GAAYA,EAAS+I,GAG1BA,EAEF1e,GADAuT,EAAQmL,EAAG7c,MAAM,MACD,GAAK0R,EAAM,GAClB0F,MACT1F,EAAQ0F,EAAU1F,MAAM,iBACVA,EAAM,IAAM,MACxBA,EAAQ0F,EAAU1F,MAAM,oBACbvT,EAAUuT,EAAM,IAI/Bpe,EAAOD,QAAU8K,IAAYA,G,gBCnB7B,IAAIzC,EAAW,EAAQ,GACnBohB,EAAwB,EAAQ,KAChC/B,EAAW,EAAQ,IACnBhkB,EAAO,EAAQ,IACfgmB,EAAoB,EAAQ,KAC5BC,EAA+B,EAAQ,KAEvCC,EAAS,SAAUC,EAASxiB,GAC9B0F,KAAK8c,QAAUA,EACf9c,KAAK1F,OAASA,IAGFpH,EAAOD,QAAU,SAAU8pB,EAAUhkB,EAAIuS,EAAM0R,EAAYC,GACvE,IACIC,EAAUC,EAAQtL,EAAOzf,EAAQkI,EAAQgV,EAAM8N,EAD/ChB,EAAgBzlB,EAAKoC,EAAIuS,EAAM0R,EAAa,EAAI,GAGpD,GAAIC,EACFC,EAAWH,MACN,CAEL,GAAqB,mBADrBI,EAASR,EAAkBI,IACM,MAAM9hB,UAAU,0BAEjD,GAAIyhB,EAAsBS,GAAS,CACjC,IAAKtL,EAAQ,EAAGzf,EAASuoB,EAASoC,EAAS3qB,QAASA,EAASyf,EAAOA,IAIlE,IAHAvX,EAAS0iB,EACLZ,EAAc9gB,EAAS8hB,EAAOL,EAASlL,IAAQ,GAAIuL,EAAK,IACxDhB,EAAcW,EAASlL,MACbvX,aAAkBuiB,EAAQ,OAAOviB,EAC/C,OAAO,IAAIuiB,GAAO,GAEtBK,EAAWC,EAAO3qB,KAAKuqB,GAIzB,IADAzN,EAAO4N,EAAS5N,OACP8N,EAAO9N,EAAK9c,KAAK0qB,IAAWxD,MAEnC,GAAqB,iBADrBpf,EAASsiB,EAA6BM,EAAUd,EAAegB,EAAKhnB,MAAO4mB,KAC1C1iB,GAAUA,aAAkBuiB,EAAQ,OAAOviB,EAC5E,OAAO,IAAIuiB,GAAO,KAGdQ,KAAO,SAAU/iB,GACvB,OAAO,IAAIuiB,GAAO,EAAMviB,K,gBCzC1B,IAAI6e,EAAwB,EAAQ,IAChCmE,EAAa,EAAQ,IAGrB/P,EAFkB,EAAQ,EAEVC,CAAgB,eAEhC+P,EAAuE,aAAnDD,EAAW,WAAc,OAAO9iB,UAArB,IAUnCtH,EAAOD,QAAUkmB,EAAwBmE,EAAa,SAAUrlB,GAC9D,IAAIyD,EAAG8hB,EAAKljB,EACZ,YAAclF,IAAP6C,EAAmB,YAAqB,OAAPA,EAAc,OAEM,iBAAhDulB,EAXD,SAAUvlB,EAAIvB,GACzB,IACE,OAAOuB,EAAGvB,GACV,MAAOpC,KAQSmpB,CAAO/hB,EAAIrJ,OAAO4F,GAAKsV,IAA8BiQ,EAEnED,EAAoBD,EAAW5hB,GAEH,WAA3BpB,EAASgjB,EAAW5hB,KAAsC,mBAAZA,EAAEgiB,OAAuB,YAAcpjB,I,gBCxB5F,IAAI0G,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IAGjCua,EAAe,SAAUiC,GAC3B,OAAO,SAAU1B,EAAO2B,GACtB,IAGIC,EAAOC,EAHPC,EAAI7iB,OAAOiG,EAAuB8a,IAClC+B,EAAWhd,EAAU4c,GACrBK,EAAOF,EAAE3rB,OAEb,OAAI4rB,EAAW,GAAKA,GAAYC,EAAaN,EAAoB,QAAKvoB,GACtEyoB,EAAQE,EAAEG,WAAWF,IACN,OAAUH,EAAQ,OAAUG,EAAW,IAAMC,IACtDH,EAASC,EAAEG,WAAWF,EAAW,IAAM,OAAUF,EAAS,MAC1DH,EAAoBI,EAAErf,OAAOsf,GAAYH,EACzCF,EAAoBI,EAAE1mB,MAAM2mB,EAAUA,EAAW,GAA+BF,EAAS,OAAlCD,EAAQ,OAAU,IAA0B,QAI7G3qB,EAAOD,QAAU,CAGfkrB,OAAQzC,GAAa,GAGrBhd,OAAQgd,GAAa,K,6BCxBvB,IAAI0C,EAA6B,GAAGtQ,qBAChC/R,EAA2B1J,OAAO0J,yBAGlCsiB,EAActiB,IAA6BqiB,EAA2B5rB,KAAK,CAAE8rB,EAAG,GAAK,GAIzFrrB,EAAQwI,EAAI4iB,EAAc,SAA8BE,GACtD,IAAI9hB,EAAaV,EAAyBiE,KAAMue,GAChD,QAAS9hB,GAAcA,EAAW1G,YAChCqoB,G,gBCZJ,IAAIhjB,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChBrH,EAAgB,EAAQ,IAG5BZ,EAAOD,SAAWmI,IAAgBD,GAAM,WACtC,OAEQ,GAFD9I,OAAOyD,eAAehC,EAAc,OAAQ,IAAK,CACtDkC,IAAK,WAAc,OAAO,KACzB2E,M,gBCRL,IAAInD,EAAS,EAAQ,GACjB0E,EAAY,EAAQ,IAGpBsH,EAAQhM,EADC,uBACiB0E,EADjB,qBACmC,IAEhDhJ,EAAOD,QAAUuQ,G,gBCNjB,IAAIoL,EAAU,EAAQ,IAClBpL,EAAQ,EAAQ,KAEnBtQ,EAAOD,QAAU,SAAUyD,EAAKN,GAC/B,OAAOoN,EAAM9M,KAAS8M,EAAM9M,QAAiBtB,IAAVgB,EAAsBA,EAAQ,MAChE,WAAY,IAAI1D,KAAK,CACtBqL,QAAS,QACTzH,KAAMsY,EAAU,OAAS,SACzB4P,UAAW,0C,gBCRb,IAAI9mB,EAAM,EAAQ,GACd0hB,EAAkB,EAAQ,IAC1Bta,EAAU,EAAQ,IAA+BA,QACjDwE,EAAa,EAAQ,IAEzBpQ,EAAOD,QAAU,SAAU4D,EAAQ4nB,GACjC,IAGI/nB,EAHAgF,EAAI0d,EAAgBviB,GACpB3E,EAAI,EACJoI,EAAS,GAEb,IAAK5D,KAAOgF,GAAIhE,EAAI4L,EAAY5M,IAAQgB,EAAIgE,EAAGhF,IAAQ4D,EAAO5H,KAAKgE,GAEnE,KAAO+nB,EAAMrsB,OAASF,GAAOwF,EAAIgE,EAAGhF,EAAM+nB,EAAMvsB,SAC7C4M,EAAQxE,EAAQ5D,IAAQ4D,EAAO5H,KAAKgE,IAEvC,OAAO4D,I,gBCfT,IAAI8e,EAAkB,EAAQ,IAC1BuB,EAAW,EAAQ,IACnB+D,EAAkB,EAAQ,KAG1BhD,EAAe,SAAUiD,GAC3B,OAAO,SAAU1C,EAAO/R,EAAI0U,GAC1B,IAGIxoB,EAHAsF,EAAI0d,EAAgB6C,GACpB7pB,EAASuoB,EAASjf,EAAEtJ,QACpByf,EAAQ6M,EAAgBE,EAAWxsB,GAIvC,GAAIusB,GAAezU,GAAMA,GAAI,KAAO9X,EAASyf,GAG3C,IAFAzb,EAAQsF,EAAEmW,OAEGzb,EAAO,OAAO,OAEtB,KAAMhE,EAASyf,EAAOA,IAC3B,IAAK8M,GAAe9M,KAASnW,IAAMA,EAAEmW,KAAW3H,EAAI,OAAOyU,GAAe9M,GAAS,EACnF,OAAQ8M,IAAgB,IAI9BzrB,EAAOD,QAAU,CAGf4rB,SAAUnD,GAAa,GAGvB5c,QAAS4c,GAAa,K,cC9BxBzoB,EAAQwI,EAAIpJ,OAAOysB,uB,gBCAnB,IAAIC,EAAqB,EAAQ,IAC7B/S,EAAc,EAAQ,IAI1B9Y,EAAOD,QAAUZ,OAAO0b,MAAQ,SAAcrS,GAC5C,OAAOqjB,EAAmBrjB,EAAGsQ,K,6BCJ/B9Y,EAAOD,QAAU,SAAc8F,EAAI8B,GACjC,OAAO,WAEL,IADA,IAAIuY,EAAO,IAAIC,MAAM7Y,UAAUpI,QACtBF,EAAI,EAAGA,EAAIkhB,EAAKhhB,OAAQF,IAC/BkhB,EAAKlhB,GAAKsI,UAAUtI,GAEtB,OAAO6G,EAAGwS,MAAM1Q,EAASuY,M,6BCN7B,IAAI4L,EAAQ,EAAQ,GAEpB,SAASC,EAAOxmB,GACd,OAAOgG,mBAAmBhG,GACxBuC,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KAUrB9H,EAAOD,QAAU,SAAkB+K,EAAKC,EAAQihB,GAE9C,IAAKjhB,EACH,OAAOD,EAGT,IAAImhB,EACJ,GAAID,EACFC,EAAmBD,EAAiBjhB,QAC/B,GAAI+gB,EAAMhlB,kBAAkBiE,GACjCkhB,EAAmBlhB,EAAO1F,eACrB,CACL,IAAIge,EAAQ,GAEZyI,EAAMnmB,QAAQoF,GAAQ,SAAmBxF,EAAK/B,GACxC+B,UAIAumB,EAAMxmB,QAAQC,GAChB/B,GAAY,KAEZ+B,EAAM,CAACA,GAGTumB,EAAMnmB,QAAQJ,GAAK,SAAoB2mB,GACjCJ,EAAMrlB,OAAOylB,GACfA,EAAIA,EAAEC,cACGL,EAAMrmB,SAASymB,KACxBA,EAAI1K,KAAKa,UAAU6J,IAErB7I,EAAM7jB,KAAKusB,EAAOvoB,GAAO,IAAMuoB,EAAOG,WAI1CD,EAAmB5I,EAAMxW,KAAK,KAGhC,GAAIof,EAAkB,CACpB,IAAIG,EAAgBthB,EAAIc,QAAQ,MACT,IAAnBwgB,IACFthB,EAAMA,EAAI3G,MAAM,EAAGioB,IAGrBthB,KAA8B,IAAtBA,EAAIc,QAAQ,KAAc,IAAM,KAAOqgB,EAGjD,OAAOnhB,I,6BCnET9K,EAAOD,QAAU,SAAkBmD,GACjC,SAAUA,IAASA,EAAMmpB,c,8BCH3B,YAEA,IAAIP,EAAQ,EAAQ,GAChBQ,EAAsB,EAAQ,KAE9BC,EAAuB,CACzB,eAAgB,qCAGlB,SAASC,EAAsB9U,EAASxU,IACjC4oB,EAAMtmB,YAAYkS,IAAYoU,EAAMtmB,YAAYkS,EAAQ,mBAC3DA,EAAQ,gBAAkBxU,GAgB9B,IAXMupB,EAWFvU,EAAW,CACbuU,UAX8B,oBAAnBC,qBAGmB,IAAZ3N,GAAuE,qBAA5C5f,OAAOC,UAAUiG,SAAS/F,KAAKyf,MAD1E0N,EAAU,EAAQ,KAKbA,GAMPE,iBAAkB,CAAC,SAA0BhuB,EAAM+Y,GAGjD,OAFA4U,EAAoB5U,EAAS,UAC7B4U,EAAoB5U,EAAS,gBACzBoU,EAAM7lB,WAAWtH,IACnBmtB,EAAMhmB,cAAcnH,IACpBmtB,EAAM/lB,SAASpH,IACfmtB,EAAMllB,SAASjI,IACfmtB,EAAMplB,OAAO/H,IACbmtB,EAAMnlB,OAAOhI,GAENA,EAELmtB,EAAM3lB,kBAAkBxH,GACnBA,EAAK2H,OAEVwlB,EAAMhlB,kBAAkBnI,IAC1B6tB,EAAsB9U,EAAS,mDACxB/Y,EAAK0G,YAEVymB,EAAMrmB,SAAS9G,IACjB6tB,EAAsB9U,EAAS,kCACxB8J,KAAKa,UAAU1jB,IAEjBA,IAGTiuB,kBAAmB,CAAC,SAA2BjuB,GAE7C,GAAoB,iBAATA,EACT,IACEA,EAAO6iB,KAAKC,MAAM9iB,GAClB,MAAOuB,IAEX,OAAOvB,IAOTmC,QAAS,EAET+rB,eAAgB,aAChBC,eAAgB,eAEhBC,kBAAmB,EAEnBC,eAAgB,SAAwBjY,GACtC,OAAOA,GAAU,KAAOA,EAAS,MAIrCmD,EAASR,QAAU,CACjBuV,OAAQ,CACN,OAAU,sCAIdnB,EAAMnmB,QAAQ,CAAC,SAAU,MAAO,SAAS,SAA6BwL,GACpE+G,EAASR,QAAQvG,GAAU,MAG7B2a,EAAMnmB,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BwL,GACrE+G,EAASR,QAAQvG,GAAU2a,EAAM3kB,MAAMolB,MAGzCvsB,EAAOD,QAAUmY,I,+CC9FjB,IAAI4T,EAAQ,EAAQ,GAChBoB,EAAS,EAAQ,KACjBC,EAAW,EAAQ,IACnBC,EAAgB,EAAQ,KACxBC,EAAe,EAAQ,KACvBC,EAAkB,EAAQ,KAC1BC,EAAc,EAAQ,IAE1BvtB,EAAOD,QAAU,SAAoB2L,GACnC,OAAO,IAAIpL,SAAQ,SAA4BC,EAASC,GACtD,IAAIgtB,EAAc9hB,EAAO/M,KACrB8uB,EAAiB/hB,EAAOgM,QAExBoU,EAAM7lB,WAAWunB,WACZC,EAAe,gBAGxB,IAAIxrB,EAAU,IAAIyqB,eAGlB,GAAIhhB,EAAOgiB,KAAM,CACf,IAAIC,EAAWjiB,EAAOgiB,KAAKC,UAAY,GACnCC,EAAWliB,EAAOgiB,KAAKE,UAAY,GACvCH,EAAeI,cAAgB,SAAW7L,KAAK2L,EAAW,IAAMC,GAGlE,IAAIE,EAAWV,EAAc1hB,EAAOqiB,QAASriB,EAAOZ,KA4EpD,GA3EA7I,EAAQiY,KAAKxO,EAAOyF,OAAO6c,cAAeb,EAASW,EAAUpiB,EAAOX,OAAQW,EAAOsgB,mBAAmB,GAGtG/pB,EAAQnB,QAAU4K,EAAO5K,QAGzBmB,EAAQgsB,mBAAqB,WAC3B,GAAKhsB,GAAkC,IAAvBA,EAAQisB,aAQD,IAAnBjsB,EAAQ8S,QAAkB9S,EAAQksB,aAAwD,IAAzClsB,EAAQksB,YAAYviB,QAAQ,UAAjF,CAKA,IAAIwiB,EAAkB,0BAA2BnsB,EAAUorB,EAAaprB,EAAQosB,yBAA2B,KAEvGC,EAAW,CACb3vB,KAFkB+M,EAAO6iB,cAAwC,SAAxB7iB,EAAO6iB,aAAiDtsB,EAAQqsB,SAA/BrsB,EAAQusB,aAGlFzZ,OAAQ9S,EAAQ8S,OAChB0Z,WAAYxsB,EAAQwsB,WACpB/W,QAAS0W,EACT1iB,OAAQA,EACRzJ,QAASA,GAGXirB,EAAO3sB,EAASC,EAAQ8tB,GAGxBrsB,EAAU,OAIZA,EAAQysB,QAAU,WACXzsB,IAILzB,EAAO+sB,EAAY,kBAAmB7hB,EAAQ,eAAgBzJ,IAG9DA,EAAU,OAIZA,EAAQV,QAAU,WAGhBf,EAAO+sB,EAAY,gBAAiB7hB,EAAQ,KAAMzJ,IAGlDA,EAAU,MAIZA,EAAQ0sB,UAAY,WAClB,IAAIC,EAAsB,cAAgBljB,EAAO5K,QAAU,cACvD4K,EAAOkjB,sBACTA,EAAsBljB,EAAOkjB,qBAE/BpuB,EAAO+sB,EAAYqB,EAAqBljB,EAAQ,eAC9CzJ,IAGFA,EAAU,MAMR6pB,EAAM9kB,uBAAwB,CAChC,IAAI6nB,EAAU,EAAQ,KAGlBC,GAAapjB,EAAOqjB,iBAAmBzB,EAAgBQ,KAAcpiB,EAAOmhB,eAC9EgC,EAAQG,KAAKtjB,EAAOmhB,qBACpB3qB,EAEE4sB,IACFrB,EAAe/hB,EAAOohB,gBAAkBgC,GAuB5C,GAlBI,qBAAsB7sB,GACxB6pB,EAAMnmB,QAAQ8nB,GAAgB,SAA0BloB,EAAK/B,QAChC,IAAhBgqB,GAAqD,iBAAtBhqB,EAAIugB,qBAErC0J,EAAejqB,GAGtBvB,EAAQgtB,iBAAiBzrB,EAAK+B,MAM/BumB,EAAMtmB,YAAYkG,EAAOqjB,mBAC5B9sB,EAAQ8sB,kBAAoBrjB,EAAOqjB,iBAIjCrjB,EAAO6iB,aACT,IACEtsB,EAAQssB,aAAe7iB,EAAO6iB,aAC9B,MAAOruB,GAGP,GAA4B,SAAxBwL,EAAO6iB,aACT,MAAMruB,EAM6B,mBAA9BwL,EAAOwjB,oBAChBjtB,EAAQktB,iBAAiB,WAAYzjB,EAAOwjB,oBAIP,mBAA5BxjB,EAAO0jB,kBAAmCntB,EAAQotB,QAC3DptB,EAAQotB,OAAOF,iBAAiB,WAAYzjB,EAAO0jB,kBAGjD1jB,EAAO4jB,aAET5jB,EAAO4jB,YAAYjvB,QAAQyU,MAAK,SAAoBya,GAC7CttB,IAILA,EAAQutB,QACRhvB,EAAO+uB,GAEPttB,EAAU,cAIMC,IAAhBsrB,IACFA,EAAc,MAIhBvrB,EAAQwtB,KAAKjC,Q,6BC/KjB,IAAIkC,EAAe,EAAQ,KAY3B1vB,EAAOD,QAAU,SAAqBgC,EAAS2J,EAAQikB,EAAM1tB,EAASqsB,GACpE,IAAIltB,EAAQ,IAAIC,MAAMU,GACtB,OAAO2tB,EAAatuB,EAAOsK,EAAQikB,EAAM1tB,EAASqsB,K,6BCdpD,IAAIxC,EAAQ,EAAQ,GAUpB9rB,EAAOD,QAAU,SAAqB6vB,EAASC,GAE7CA,EAAUA,GAAW,GACrB,IAAInkB,EAAS,GAETokB,EAAuB,CAAC,MAAO,SAAU,SAAU,QACnDC,EAA0B,CAAC,UAAW,OAAQ,SAC9CC,EAAuB,CACzB,UAAW,MAAO,mBAAoB,oBAAqB,mBAC3D,UAAW,kBAAmB,UAAW,eAAgB,iBACzD,iBAAkB,mBAAoB,qBACtC,mBAAoB,iBAAkB,eAAgB,YACtD,aAAc,cAAe,cAG/BlE,EAAMnmB,QAAQmqB,GAAsB,SAA0BG,QAC/B,IAAlBJ,EAAQI,KACjBvkB,EAAOukB,GAAQJ,EAAQI,OAI3BnE,EAAMnmB,QAAQoqB,GAAyB,SAA6BE,GAC9DnE,EAAMrmB,SAASoqB,EAAQI,IACzBvkB,EAAOukB,GAAQnE,EAAMvkB,UAAUqoB,EAAQK,GAAOJ,EAAQI,SACpB,IAAlBJ,EAAQI,GACxBvkB,EAAOukB,GAAQJ,EAAQI,GACdnE,EAAMrmB,SAASmqB,EAAQK,IAChCvkB,EAAOukB,GAAQnE,EAAMvkB,UAAUqoB,EAAQK,SACL,IAAlBL,EAAQK,KACxBvkB,EAAOukB,GAAQL,EAAQK,OAI3BnE,EAAMnmB,QAAQqqB,GAAsB,SAA0BC,QAC/B,IAAlBJ,EAAQI,GACjBvkB,EAAOukB,GAAQJ,EAAQI,QACW,IAAlBL,EAAQK,KACxBvkB,EAAOukB,GAAQL,EAAQK,OAI3B,IAAIC,EAAYJ,EACb/f,OAAOggB,GACPhgB,OAAOigB,GAENG,EAAYhxB,OACb0b,KAAKgV,GACLtK,QAAO,SAAyB/hB,GAC/B,OAAmC,IAA5B0sB,EAAUtkB,QAAQpI,MAW7B,OARAsoB,EAAMnmB,QAAQwqB,GAAW,SAAmCF,QAC7B,IAAlBJ,EAAQI,GACjBvkB,EAAOukB,GAAQJ,EAAQI,QACW,IAAlBL,EAAQK,KACxBvkB,EAAOukB,GAAQL,EAAQK,OAIpBvkB,I,6BC/DT,SAAS0kB,EAAOruB,GACd+K,KAAK/K,QAAUA,EAGjBquB,EAAOhxB,UAAUiG,SAAW,WAC1B,MAAO,UAAYyH,KAAK/K,QAAU,KAAO+K,KAAK/K,QAAU,KAG1DquB,EAAOhxB,UAAUitB,YAAa,EAE9BrsB,EAAOD,QAAUqwB,G,6BCjBjB,IAAI/U,EAAI,EAAQ,IACZ1V,EAAU,EAAQ,IAItB0V,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,OAAQ,GAAGlE,SAAWA,GAAW,CACjEA,QAASA,K,6BCNX,IAAI0qB,EAAW,EAAQ,IAAgC1qB,QACnD2qB,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElCC,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,WAI7CvwB,EAAOD,QAAYywB,GAAkBC,EAEjC,GAAG9qB,QAFgD,SAAiBqjB,GACtE,OAAOqH,EAASvjB,KAAMkc,EAAY1hB,UAAUpI,OAAS,EAAIoI,UAAU,QAAKpF,K,gBCX1E,IAAIyY,EAAU,EAAQ,IAItB3a,EAAOD,QAAUogB,MAAM7a,SAAW,SAAiB4iB,GACjD,MAAuB,SAAhBvN,EAAQuN,K,gBCLjB,IAAIjgB,EAAQ,EAAQ,GAEpBjI,EAAOD,UAAYZ,OAAOysB,wBAA0B3jB,GAAM,WAGxD,OAAQD,OAAOhF,c,gBCLjB,MAAM,0BAAEoY,GAA8B,EAAQ,IACxCsV,EAAQ,EAAQ,IAIhBrS,GAHNte,EAAUC,EAAOD,QAAU,IAGRse,GAAK,GAClBpd,EAAMlB,EAAQkB,IAAM,GACpBkC,EAAIpD,EAAQoD,EAAI,GACtB,IAAIwtB,EAAI,EAER,MAAMC,EAAc,CAAC5uB,EAAMkB,EAAO2tB,KAChC,MAAMlS,EAAQgS,IACdD,EAAM/R,EAAOzb,GACbC,EAAEnB,GAAQ2c,EACV1d,EAAI0d,GAASzb,EACbmb,EAAGM,GAAS,IAAIhB,OAAOza,EAAO2tB,EAAW,SAAM3uB,IASjD0uB,EAAY,oBAAqB,eACjCA,EAAY,yBAA0B,UAMtCA,EAAY,uBAAwB,8BAKpCA,EAAY,cAAe,IAAI3vB,EAAIkC,EAAE2tB,0BACd7vB,EAAIkC,EAAE2tB,0BACN7vB,EAAIkC,EAAE2tB,uBAE7BF,EAAY,mBAAoB,IAAI3vB,EAAIkC,EAAE4tB,+BACd9vB,EAAIkC,EAAE4tB,+BACN9vB,EAAIkC,EAAE4tB,4BAKlCH,EAAY,uBAAwB,MAAM3vB,EAAIkC,EAAE2tB,sBAC5C7vB,EAAIkC,EAAE6tB,0BAEVJ,EAAY,4BAA6B,MAAM3vB,EAAIkC,EAAE4tB,2BACjD9vB,EAAIkC,EAAE6tB,0BAMVJ,EAAY,aAAc,QAAQ3vB,EAAIkC,EAAE8tB,8BAC/BhwB,EAAIkC,EAAE8tB,6BAEfL,EAAY,kBAAmB,SAAS3vB,EAAIkC,EAAE+tB,mCACrCjwB,EAAIkC,EAAE+tB,kCAKfN,EAAY,kBAAmB,iBAM/BA,EAAY,QAAS,UAAU3vB,EAAIkC,EAAEguB,yBAC5BlwB,EAAIkC,EAAEguB,wBAWfP,EAAY,YAAa,KAAK3vB,EAAIkC,EAAEiuB,eACjCnwB,EAAIkC,EAAEkuB,eACPpwB,EAAIkC,EAAEmuB,WAERV,EAAY,OAAQ,IAAI3vB,EAAIkC,EAAEouB,eAK9BX,EAAY,aAAc,WAAW3vB,EAAIkC,EAAEquB,oBACxCvwB,EAAIkC,EAAEsuB,oBACPxwB,EAAIkC,EAAEmuB,WAERV,EAAY,QAAS,IAAI3vB,EAAIkC,EAAEuuB,gBAE/Bd,EAAY,OAAQ,gBAKpBA,EAAY,wBAA4B3vB,EAAIkC,EAAE4tB,wBAAT,YACrCH,EAAY,mBAAuB3vB,EAAIkC,EAAE2tB,mBAAT,YAEhCF,EAAY,cAAe,YAAY3vB,EAAIkC,EAAEwuB,4BAChB1wB,EAAIkC,EAAEwuB,4BACN1wB,EAAIkC,EAAEwuB,wBACV1wB,EAAIkC,EAAEkuB,gBACVpwB,EAAIkC,EAAEmuB,eAG3BV,EAAY,mBAAoB,YAAY3vB,EAAIkC,EAAEyuB,iCAChB3wB,EAAIkC,EAAEyuB,iCACN3wB,EAAIkC,EAAEyuB,6BACV3wB,EAAIkC,EAAEsuB,qBACVxwB,EAAIkC,EAAEmuB,eAGhCV,EAAY,SAAU,IAAI3vB,EAAIkC,EAAE0uB,YAAY5wB,EAAIkC,EAAE2uB,iBAClDlB,EAAY,cAAe,IAAI3vB,EAAIkC,EAAE0uB,YAAY5wB,EAAIkC,EAAE4uB,sBAIvDnB,EAAY,SAAU,oBACIxV,mBACIA,qBACAA,qBAE9BwV,EAAY,YAAa3vB,EAAIkC,EAAE6uB,SAAS,GAIxCpB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAAS3vB,EAAIkC,EAAE8uB,kBAAkB,GAC1DlyB,EAAQmyB,iBAAmB,MAE3BtB,EAAY,QAAS,IAAI3vB,EAAIkC,EAAE8uB,aAAahxB,EAAIkC,EAAE2uB,iBAClDlB,EAAY,aAAc,IAAI3vB,EAAIkC,EAAE8uB,aAAahxB,EAAIkC,EAAE4uB,sBAIvDnB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAAS3vB,EAAIkC,EAAEgvB,kBAAkB,GAC1DpyB,EAAQqyB,iBAAmB,MAE3BxB,EAAY,QAAS,IAAI3vB,EAAIkC,EAAEgvB,aAAalxB,EAAIkC,EAAE2uB,iBAClDlB,EAAY,aAAc,IAAI3vB,EAAIkC,EAAEgvB,aAAalxB,EAAIkC,EAAE4uB,sBAGvDnB,EAAY,kBAAmB,IAAI3vB,EAAIkC,EAAE0uB,aAAa5wB,EAAIkC,EAAEuuB,oBAC5Dd,EAAY,aAAc,IAAI3vB,EAAIkC,EAAE0uB,aAAa5wB,EAAIkC,EAAEouB,mBAIvDX,EAAY,iBAAkB,SAAS3vB,EAAIkC,EAAE0uB,aACrC5wB,EAAIkC,EAAEuuB,eAAezwB,EAAIkC,EAAE2uB,iBAAiB,GACpD/xB,EAAQsyB,sBAAwB,SAMhCzB,EAAY,cAAe,SAAS3vB,EAAIkC,EAAE2uB,0BAEnB7wB,EAAIkC,EAAE2uB,sBAG7BlB,EAAY,mBAAoB,SAAS3vB,EAAIkC,EAAE4uB,+BAEnB9wB,EAAIkC,EAAE4uB,2BAIlCnB,EAAY,OAAQ,mBAEpBA,EAAY,OAAQ,yBACpBA,EAAY,UAAW,4B,iBCrLvB,oBACqB,iBAAZ7R,GACPA,EAAQuB,KACRvB,EAAQuB,IAAIgS,YACZ,cAAcjV,KAAK0B,EAAQuB,IAAIgS,YAC7B,IAAIpS,IAASnc,QAAQ3C,MAAM,YAAa8e,GACxC,OAEJlgB,EAAOD,QAAU2wB,I,kCCRjB,MAAMA,EAAQ,EAAQ,KAChB,WAAEvV,EAAU,iBAAEH,GAAqB,EAAQ,KAC3C,GAAEqD,EAAE,EAAElb,GAAM,EAAQ,KAEpB,mBAAEovB,GAAuB,EAAQ,KACvC,MAAMC,EACJ,YAAa3nB,EAAS1B,GAOpB,GANKA,GAA8B,iBAAZA,IACrBA,EAAU,CACRspB,QAAStpB,EACTupB,mBAAmB,IAGnB7nB,aAAmB2nB,EAAQ,CAC7B,GAAI3nB,EAAQ4nB,UAAYtpB,EAAQspB,OAC5B5nB,EAAQ6nB,sBAAwBvpB,EAAQupB,kBAC1C,OAAO7nB,EAEPA,EAAUA,EAAQA,aAEf,GAAuB,iBAAZA,EAChB,MAAM,IAAI9C,UAAU,oBAAoB8C,GAG1C,GAAIA,EAAQ3L,OAASic,EACnB,MAAM,IAAIpT,UACR,0BAA0BoT,gBAI9BuV,EAAM,SAAU7lB,EAAS1B,GACzB2D,KAAK3D,QAAUA,EACf2D,KAAK2lB,QAAUtpB,EAAQspB,MAGvB3lB,KAAK4lB,oBAAsBvpB,EAAQupB,kBAEnC,MAAMnwB,EAAIsI,EAAQjD,OAAOwW,MAAMjV,EAAQspB,MAAQpU,EAAGlb,EAAEwvB,OAAStU,EAAGlb,EAAEyvB,OAElE,IAAKrwB,EACH,MAAM,IAAIwF,UAAU,oBAAoB8C,GAU1C,GAPAiC,KAAK+lB,IAAMhoB,EAGXiC,KAAKgmB,OAASvwB,EAAE,GAChBuK,KAAKimB,OAASxwB,EAAE,GAChBuK,KAAKkmB,OAASzwB,EAAE,GAEZuK,KAAKgmB,MAAQ9X,GAAoBlO,KAAKgmB,MAAQ,EAChD,MAAM,IAAI/qB,UAAU,yBAGtB,GAAI+E,KAAKimB,MAAQ/X,GAAoBlO,KAAKimB,MAAQ,EAChD,MAAM,IAAIhrB,UAAU,yBAGtB,GAAI+E,KAAKkmB,MAAQhY,GAAoBlO,KAAKkmB,MAAQ,EAChD,MAAM,IAAIjrB,UAAU,yBAIjBxF,EAAE,GAGLuK,KAAKmmB,WAAa1wB,EAAE,GAAGmK,MAAM,KAAKmV,IAAK1O,IACrC,GAAI,WAAWkK,KAAKlK,GAAK,CACvB,MAAM+f,GAAO/f,EACb,GAAI+f,GAAO,GAAKA,EAAMlY,EACpB,OAAOkY,EAGX,OAAO/f,IATTrG,KAAKmmB,WAAa,GAapBnmB,KAAKqmB,MAAQ5wB,EAAE,GAAKA,EAAE,GAAGmK,MAAM,KAAO,GACtCI,KAAKsmB,SAGP,SAKE,OAJAtmB,KAAKjC,QAAU,GAAGiC,KAAKgmB,SAAShmB,KAAKimB,SAASjmB,KAAKkmB,QAC/ClmB,KAAKmmB,WAAW/zB,SAClB4N,KAAKjC,SAAW,IAAIiC,KAAKmmB,WAAWpmB,KAAK,MAEpCC,KAAKjC,QAGd,WACE,OAAOiC,KAAKjC,QAGd,QAASwoB,GAEP,GADA3C,EAAM,iBAAkB5jB,KAAKjC,QAASiC,KAAK3D,QAASkqB,KAC9CA,aAAiBb,GAAS,CAC9B,GAAqB,iBAAVa,GAAsBA,IAAUvmB,KAAKjC,QAC9C,OAAO,EAETwoB,EAAQ,IAAIb,EAAOa,EAAOvmB,KAAK3D,SAGjC,OAAIkqB,EAAMxoB,UAAYiC,KAAKjC,QAClB,EAGFiC,KAAKwmB,YAAYD,IAAUvmB,KAAKymB,WAAWF,GAGpD,YAAaA,GAKX,OAJMA,aAAiBb,IACrBa,EAAQ,IAAIb,EAAOa,EAAOvmB,KAAK3D,UAI/BopB,EAAmBzlB,KAAKgmB,MAAOO,EAAMP,QACrCP,EAAmBzlB,KAAKimB,MAAOM,EAAMN,QACrCR,EAAmBzlB,KAAKkmB,MAAOK,EAAML,OAIzC,WAAYK,GAMV,GALMA,aAAiBb,IACrBa,EAAQ,IAAIb,EAAOa,EAAOvmB,KAAK3D,UAI7B2D,KAAKmmB,WAAW/zB,SAAWm0B,EAAMJ,WAAW/zB,OAC9C,OAAQ,EACH,IAAK4N,KAAKmmB,WAAW/zB,QAAUm0B,EAAMJ,WAAW/zB,OACrD,OAAO,EACF,IAAK4N,KAAKmmB,WAAW/zB,SAAWm0B,EAAMJ,WAAW/zB,OACtD,OAAO,EAGT,IAAIF,EAAI,EACR,EAAG,CACD,MAAMyI,EAAIqF,KAAKmmB,WAAWj0B,GACpB0I,EAAI2rB,EAAMJ,WAAWj0B,GAE3B,GADA0xB,EAAM,qBAAsB1xB,EAAGyI,EAAGC,QACxBxF,IAANuF,QAAyBvF,IAANwF,EACrB,OAAO,EACF,QAAUxF,IAANwF,EACT,OAAO,EACF,QAAUxF,IAANuF,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAO6qB,EAAmB9qB,EAAGC,WAEtB1I,GAGb,aAAcq0B,GACNA,aAAiBb,IACrBa,EAAQ,IAAIb,EAAOa,EAAOvmB,KAAK3D,UAGjC,IAAInK,EAAI,EACR,EAAG,CACD,MAAMyI,EAAIqF,KAAKqmB,MAAMn0B,GACf0I,EAAI2rB,EAAMF,MAAMn0B,GAEtB,GADA0xB,EAAM,qBAAsB1xB,EAAGyI,EAAGC,QACxBxF,IAANuF,QAAyBvF,IAANwF,EACrB,OAAO,EACF,QAAUxF,IAANwF,EACT,OAAO,EACF,QAAUxF,IAANuF,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAO6qB,EAAmB9qB,EAAGC,WAEtB1I,GAKb,IAAKw0B,EAASC,GACZ,OAAQD,GACN,IAAK,WACH1mB,KAAKmmB,WAAW/zB,OAAS,EACzB4N,KAAKkmB,MAAQ,EACblmB,KAAKimB,MAAQ,EACbjmB,KAAKgmB,QACLhmB,KAAK4mB,IAAI,MAAOD,GAChB,MACF,IAAK,WACH3mB,KAAKmmB,WAAW/zB,OAAS,EACzB4N,KAAKkmB,MAAQ,EACblmB,KAAKimB,QACLjmB,KAAK4mB,IAAI,MAAOD,GAChB,MACF,IAAK,WAIH3mB,KAAKmmB,WAAW/zB,OAAS,EACzB4N,KAAK4mB,IAAI,QAASD,GAClB3mB,KAAK4mB,IAAI,MAAOD,GAChB,MAGF,IAAK,aAC4B,IAA3B3mB,KAAKmmB,WAAW/zB,QAClB4N,KAAK4mB,IAAI,QAASD,GAEpB3mB,KAAK4mB,IAAI,MAAOD,GAChB,MAEF,IAAK,QAMc,IAAf3mB,KAAKimB,OACU,IAAfjmB,KAAKkmB,OACsB,IAA3BlmB,KAAKmmB,WAAW/zB,QAEhB4N,KAAKgmB,QAEPhmB,KAAKimB,MAAQ,EACbjmB,KAAKkmB,MAAQ,EACblmB,KAAKmmB,WAAa,GAClB,MACF,IAAK,QAKgB,IAAfnmB,KAAKkmB,OAA0C,IAA3BlmB,KAAKmmB,WAAW/zB,QACtC4N,KAAKimB,QAEPjmB,KAAKkmB,MAAQ,EACblmB,KAAKmmB,WAAa,GAClB,MACF,IAAK,QAK4B,IAA3BnmB,KAAKmmB,WAAW/zB,QAClB4N,KAAKkmB,QAEPlmB,KAAKmmB,WAAa,GAClB,MAGF,IAAK,MACH,GAA+B,IAA3BnmB,KAAKmmB,WAAW/zB,OAClB4N,KAAKmmB,WAAa,CAAC,OACd,CACL,IAAIj0B,EAAI8N,KAAKmmB,WAAW/zB,OACxB,OAASF,GAAK,GACsB,iBAAvB8N,KAAKmmB,WAAWj0B,KACzB8N,KAAKmmB,WAAWj0B,KAChBA,GAAK,IAGE,IAAPA,GAEF8N,KAAKmmB,WAAWzzB,KAAK,GAGrBi0B,IAGE3mB,KAAKmmB,WAAW,KAAOQ,EACrBniB,MAAMxE,KAAKmmB,WAAW,MACxBnmB,KAAKmmB,WAAa,CAACQ,EAAY,IAGjC3mB,KAAKmmB,WAAa,CAACQ,EAAY,IAGnC,MAEF,QACE,MAAM,IAAIpyB,MAAM,+BAA+BmyB,GAInD,OAFA1mB,KAAKsmB,SACLtmB,KAAK+lB,IAAM/lB,KAAKjC,QACTiC,MAIX9M,EAAOD,QAAUyyB,G,6BChSjB,IAaI3W,EAAmB8X,EAAmCC,EAbtDrY,EAAiB,EAAQ,IACzBzS,EAA8B,EAAQ,GACtCtE,EAAM,EAAQ,GACd8V,EAAkB,EAAQ,GAC1BoB,EAAU,EAAQ,IAElBK,EAAWzB,EAAgB,YAC3BwB,GAAyB,EAQzB,GAAGjB,OAGC,SAFN+Y,EAAgB,GAAG/Y,SAIjB8Y,EAAoCpY,EAAeA,EAAeqY,OACxBz0B,OAAOC,YAAWyc,EAAoB8X,GAHlD7X,GAAyB,GAOlC5Z,MAArB2Z,IAAgCA,EAAoB,IAGnDH,GAAYlX,EAAIqX,EAAmBE,IACtCjT,EAA4B+S,EAAmBE,GApBhC,WAAc,OAAOjP,QAuBtC9M,EAAOD,QAAU,CACf8b,kBAAmBA,EACnBC,uBAAwBA,I,gBCnC1B,IAAItX,EAAM,EAAQ,GACdgjB,EAAW,EAAQ,IACnBrX,EAAY,EAAQ,IACpB0jB,EAA2B,EAAQ,KAEnC5a,EAAW9I,EAAU,YACrB2jB,EAAkB30B,OAAOC,UAI7BY,EAAOD,QAAU8zB,EAA2B10B,OAAOoc,eAAiB,SAAU/S,GAE5E,OADAA,EAAIgf,EAAShf,GACThE,EAAIgE,EAAGyQ,GAAkBzQ,EAAEyQ,GACH,mBAAjBzQ,EAAExC,aAA6BwC,aAAaA,EAAExC,YAChDwC,EAAExC,YAAY5G,UACdoJ,aAAarJ,OAAS20B,EAAkB,O,gBCfnD,IAAI1rB,EAAW,EAAQ,GACnB2rB,EAAqB,EAAQ,KAMjC/zB,EAAOD,QAAUZ,OAAOqc,iBAAmB,aAAe,GAAK,WAC7D,IAEIwY,EAFAC,GAAiB,EACjB5W,EAAO,GAEX,KACE2W,EAAS70B,OAAO0J,yBAAyB1J,OAAOC,UAAW,aAAa4Q,KACjE1Q,KAAK+d,EAAM,IAClB4W,EAAiB5W,aAAgB8C,MACjC,MAAO/e,IACT,OAAO,SAAwBoH,EAAG4U,GAKhC,OAJAhV,EAASI,GACTurB,EAAmB3W,GACf6W,EAAgBD,EAAO10B,KAAKkJ,EAAG4U,GAC9B5U,EAAE0rB,UAAY9W,EACZ5U,GAdoD,QAgBzDtG,I,gBCvBN,IAAIkO,EAAa,EAAQ,IACrB3K,EAAW,EAAQ,GACnBjB,EAAM,EAAQ,GACd5B,EAAiB,EAAQ,GAAuC2F,EAChE9D,EAAM,EAAQ,IACd0vB,EAAW,EAAQ,KAEnBC,EAAW3vB,EAAI,QACf0O,EAAK,EAELkhB,EAAel1B,OAAOk1B,cAAgB,WACxC,OAAO,GAGLC,EAAc,SAAUvvB,GAC1BnC,EAAemC,EAAIqvB,EAAU,CAAElxB,MAAO,CACpCqxB,SAAU,OAAQphB,EAClBqhB,SAAU,OAoCVC,EAAOz0B,EAAOD,QAAU,CAC1B20B,UAAU,EACVC,QAlCY,SAAU5vB,EAAIxB,GAE1B,IAAKkC,EAASV,GAAK,MAAoB,iBAANA,EAAiBA,GAAmB,iBAANA,EAAiB,IAAM,KAAOA,EAC7F,IAAKP,EAAIO,EAAIqvB,GAAW,CAEtB,IAAKC,EAAatvB,GAAK,MAAO,IAE9B,IAAKxB,EAAQ,MAAO,IAEpB+wB,EAAYvvB,GAEZ,OAAOA,EAAGqvB,GAAUG,UAwBtBK,YArBgB,SAAU7vB,EAAIxB,GAC9B,IAAKiB,EAAIO,EAAIqvB,GAAW,CAEtB,IAAKC,EAAatvB,GAAK,OAAO,EAE9B,IAAKxB,EAAQ,OAAO,EAEpB+wB,EAAYvvB,GAEZ,OAAOA,EAAGqvB,GAAUI,UAatBK,SATa,SAAU9vB,GAEvB,OADIovB,GAAYM,EAAKC,UAAYL,EAAatvB,KAAQP,EAAIO,EAAIqvB,IAAWE,EAAYvvB,GAC9EA,IAUTqL,EAAWgkB,IAAY,G,cC1DvBp0B,EAAOD,QAAU,CACf+0B,YAAa,EACbC,oBAAqB,EACrBC,aAAc,EACdC,eAAgB,EAChBC,YAAa,EACbC,cAAe,EACfC,aAAc,EACdC,qBAAsB,EACtBlf,SAAU,EACVmf,kBAAmB,EACnBC,eAAgB,EAChBC,gBAAiB,EACjBC,kBAAmB,EACnBC,UAAW,EACXC,cAAe,EACfC,aAAc,EACdC,SAAU,EACVC,iBAAkB,EAClBC,OAAQ,EACRC,YAAa,EACbC,cAAe,EACfC,cAAe,EACfC,eAAgB,EAChBC,aAAc,EACdC,cAAe,EACfC,iBAAkB,EAClBC,iBAAkB,EAClBC,eAAgB,EAChBC,iBAAkB,EAClBC,cAAe,EACfC,UAAW,I,6BChCb,IAAIvuB,EAAW,EAAQ,GAIvBpI,EAAOD,QAAU,WACf,IAAIqY,EAAOhQ,EAAS0E,MAChB1F,EAAS,GAOb,OANIgR,EAAK9T,SAAQ8C,GAAU,KACvBgR,EAAKwe,aAAYxvB,GAAU,KAC3BgR,EAAKsG,YAAWtX,GAAU,KAC1BgR,EAAKye,SAAQzvB,GAAU,KACvBgR,EAAK0e,UAAS1vB,GAAU,KACxBgR,EAAKkG,SAAQlX,GAAU,KACpBA,I,8BCbT,IAAI2B,EAAW,EAAQ,IACnBX,EAAW,EAAQ,GACnBH,EAAQ,EAAQ,GAChBsW,EAAQ,EAAQ,IAGhBwY,EAAkBpZ,OAAOve,UACzB43B,EAAiBD,EAAyB,SAE1CE,EAAchvB,GAAM,WAAc,MAA2D,QAApD+uB,EAAe13B,KAAK,CAAE8J,OAAQ,IAAKmV,MAAO,SAEnF2Y,EANY,YAMKF,EAAeh1B,MAIhCi1B,GAAeC,IACjBnuB,EAAS4U,OAAOve,UAXF,YAWwB,WACpC,IAAIuxB,EAAIvoB,EAAS0E,MACb5L,EAAI8G,OAAO2oB,EAAEvnB,QACb+tB,EAAKxG,EAAEpS,MAEX,MAAO,IAAMrd,EAAI,IADT8G,YAAc9F,IAAPi1B,GAAoBxG,aAAahT,UAAY,UAAWoZ,GAAmBxY,EAAMjf,KAAKqxB,GAAKwG,KAEzG,CAAExqB,QAAQ,K,6BCtBf,IAAInB,EAAS,EAAQ,IAAiCA,OAClDa,EAAsB,EAAQ,IAC9B+Z,EAAiB,EAAQ,IAGzBC,EAAmBha,EAAoB2D,IACvC1D,EAAmBD,EAAoBuE,UAFrB,mBAMtBwV,EAAepe,OAAQ,UAAU,SAAUse,GACzCD,EAAiBvZ,KAAM,CACrBlL,KARkB,kBASlBqlB,OAAQjf,OAAOse,GACf3H,MAAO,OAIR,WACD,IAGIyY,EAHAtmB,EAAQxE,EAAiBQ,MACzBma,EAASnW,EAAMmW,OACftI,EAAQ7N,EAAM6N,MAElB,OAAIA,GAASsI,EAAO/nB,OAAe,CAAEgE,WAAOhB,EAAWskB,MAAM,IAC7D4Q,EAAQ5rB,EAAOyb,EAAQtI,GACvB7N,EAAM6N,OAASyY,EAAMl4B,OACd,CAAEgE,MAAOk0B,EAAO5Q,MAAM,Q,6BC1B/B,IAAI6Q,EAAgC,EAAQ,KACxCjvB,EAAW,EAAQ,GACnBof,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnB3Z,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IACjCqpB,EAAqB,EAAQ,KAC7BC,EAAa,EAAQ,KAErBC,EAAMxyB,KAAKwyB,IACXzpB,EAAM/I,KAAK+I,IACXsD,EAAQrM,KAAKqM,MACbomB,EAAuB,4BACvBC,EAAgC,oBAOpCL,EAA8B,UAAW,GAAG,SAAUM,EAAS/Z,EAAega,EAAiBC,GAC7F,IAAIC,EAA+CD,EAAOC,6CACtDC,EAAmBF,EAAOE,iBAC1BC,EAAoBF,EAA+C,IAAM,KAE7E,MAAO,CAGL,SAAiBG,EAAaC,GAC5B,IAAI1vB,EAAIyF,EAAuBnB,MAC3BqrB,EAA0Bj2B,MAAf+1B,OAA2B/1B,EAAY+1B,EAAYN,GAClE,YAAoBz1B,IAAbi2B,EACHA,EAAS74B,KAAK24B,EAAazvB,EAAG0vB,GAC9Bta,EAActe,KAAK0I,OAAOQ,GAAIyvB,EAAaC,IAIjD,SAAUE,EAAQF,GAChB,IACIJ,GAAgDC,GACzB,iBAAjBG,IAA0E,IAA7CA,EAAatsB,QAAQosB,GAC1D,CACA,IAAIK,EAAMT,EAAgBha,EAAewa,EAAQtrB,KAAMorB,GACvD,GAAIG,EAAI7R,KAAM,OAAO6R,EAAIn1B,MAG3B,IAAIo1B,EAAKlwB,EAASgwB,GACdvN,EAAI7iB,OAAO8E,MAEXyrB,EAA4C,mBAAjBL,EAC1BK,IAAmBL,EAAelwB,OAAOkwB,IAE9C,IAAI5zB,EAASg0B,EAAGh0B,OAChB,GAAIA,EAAQ,CACV,IAAIk0B,EAAcF,EAAGxB,QACrBwB,EAAGva,UAAY,EAGjB,IADA,IAAI0a,EAAU,KACD,CACX,IAAIrxB,EAASmwB,EAAWe,EAAIzN,GAC5B,GAAe,OAAXzjB,EAAiB,MAGrB,GADAqxB,EAAQj5B,KAAK4H,IACR9C,EAAQ,MAGI,KADF0D,OAAOZ,EAAO,MACRkxB,EAAGva,UAAYuZ,EAAmBzM,EAAGpD,EAAS6Q,EAAGva,WAAYya,IAKpF,IAFA,IAtDwBzzB,EAsDpB2zB,EAAoB,GACpBC,EAAqB,EAChB35B,EAAI,EAAGA,EAAIy5B,EAAQv5B,OAAQF,IAAK,CACvCoI,EAASqxB,EAAQz5B,GAUjB,IARA,IAAI45B,EAAU5wB,OAAOZ,EAAO,IACxB0jB,EAAW0M,EAAIzpB,EAAID,EAAU1G,EAAOuX,OAAQkM,EAAE3rB,QAAS,GACvD25B,EAAW,GAMNrU,EAAI,EAAGA,EAAIpd,EAAOlI,OAAQslB,IAAKqU,EAASr5B,UAlEzC0C,KADc6C,EAmE8CqC,EAAOod,IAlEvDzf,EAAKiD,OAAOjD,IAmEhC,IAAI+zB,EAAgB1xB,EAAO2xB,OAC3B,GAAIR,EAAmB,CACrB,IAAIS,EAAe,CAACJ,GAAS7oB,OAAO8oB,EAAU/N,EAAUD,QAClC3oB,IAAlB42B,GAA6BE,EAAax5B,KAAKs5B,GACnD,IAAIxT,EAActd,OAAOkwB,EAAa7f,WAAMnW,EAAW82B,SAEvD1T,EAAc2T,EAAgBL,EAAS/N,EAAGC,EAAU+N,EAAUC,EAAeZ,GAE3EpN,GAAY6N,IACdD,GAAqB7N,EAAE1mB,MAAMw0B,EAAoB7N,GAAYxF,EAC7DqT,EAAqB7N,EAAW8N,EAAQ15B,QAG5C,OAAOw5B,EAAoB7N,EAAE1mB,MAAMw0B,KAKvC,SAASM,EAAgBL,EAAS/wB,EAAKijB,EAAU+N,EAAUC,EAAexT,GACxE,IAAI4T,EAAUpO,EAAW8N,EAAQ15B,OAC7BqD,EAAIs2B,EAAS35B,OACbi6B,EAAUzB,EAKd,YAJsBx1B,IAAlB42B,IACFA,EAAgBtR,EAASsR,GACzBK,EAAU1B,GAEL7Z,EAActe,KAAKgmB,EAAa6T,GAAS,SAAU/a,EAAOgb,GAC/D,IAAIC,EACJ,OAAQD,EAAG5tB,OAAO,IAChB,IAAK,IAAK,MAAO,IACjB,IAAK,IAAK,OAAOotB,EACjB,IAAK,IAAK,OAAO/wB,EAAI1D,MAAM,EAAG2mB,GAC9B,IAAK,IAAK,OAAOjjB,EAAI1D,MAAM+0B,GAC3B,IAAK,IACHG,EAAUP,EAAcM,EAAGj1B,MAAM,GAAI,IACrC,MACF,QACE,IAAIT,GAAK01B,EACT,GAAU,IAAN11B,EAAS,OAAO0a,EACpB,GAAI1a,EAAInB,EAAG,CACT,IAAIgG,EAAI8I,EAAM3N,EAAI,IAClB,OAAU,IAAN6E,EAAgB6V,EAChB7V,GAAKhG,OAA8BL,IAApB22B,EAAStwB,EAAI,GAAmB6wB,EAAG5tB,OAAO,GAAKqtB,EAAStwB,EAAI,GAAK6wB,EAAG5tB,OAAO,GACvF4S,EAETib,EAAUR,EAASn1B,EAAI,GAE3B,YAAmBxB,IAAZm3B,EAAwB,GAAKA,U,gBCnI1C,IAAI/0B,EAAS,EAAQ,GACjBg1B,EAAe,EAAQ,IACvBC,EAAuB,EAAQ,IAC/BzwB,EAA8B,EAAQ,GACtCwR,EAAkB,EAAQ,GAE1ByB,EAAWzB,EAAgB,YAC3BD,EAAgBC,EAAgB,eAChCkf,EAAcD,EAAqBpc,OAEvC,IAAK,IAAIsc,KAAmBH,EAAc,CACxC,IAAII,EAAap1B,EAAOm1B,GACpBE,EAAsBD,GAAcA,EAAWt6B,UACnD,GAAIu6B,EAAqB,CAEvB,GAAIA,EAAoB5d,KAAcyd,EAAa,IACjD1wB,EAA4B6wB,EAAqB5d,EAAUyd,GAC3D,MAAOp4B,GACPu4B,EAAoB5d,GAAYyd,EAKlC,GAHKG,EAAoBtf,IACvBvR,EAA4B6wB,EAAqBtf,EAAeof,GAE9DH,EAAaG,GAAkB,IAAK,IAAIjhB,KAAe+gB,EAEzD,GAAII,EAAoBnhB,KAAiB+gB,EAAqB/gB,GAAc,IAC1E1P,EAA4B6wB,EAAqBnhB,EAAa+gB,EAAqB/gB,IACnF,MAAOpX,GACPu4B,EAAoBnhB,GAAe+gB,EAAqB/gB,O,6BC3BhE,IAAI6C,EAAI,EAAQ,IACZue,EAAW,EAAQ,IAA+BhuB,QAClD0kB,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElCsJ,EAAgB,GAAGjuB,QAEnBkuB,IAAkBD,GAAiB,EAAI,CAAC,GAAGjuB,QAAQ,GAAI,GAAK,EAC5D4kB,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,UAAW,CAAE9X,WAAW,EAAM2S,EAAG,IAI9E/P,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,OAAQiwB,IAAkBtJ,IAAkBC,GAAkB,CAC9F7kB,QAAS,SAAiBmuB,GACxB,OAAOD,EAEHD,EAAcxhB,MAAMvL,KAAMxF,YAAc,EACxCsyB,EAAS9sB,KAAMitB,EAAezyB,UAAUpI,OAAS,EAAIoI,UAAU,QAAKpF,O,cCnB5ElC,EAAOD,QAAU,SAAUgF,GACzB,GAAiB,mBAANA,EACT,MAAMgD,UAAUC,OAAOjD,GAAM,sBAC7B,OAAOA,I,6BCFX,IAAIsD,EAAc,EAAQ,IACtBM,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC5I,EAAOD,QAAU,SAAU4D,EAAQH,EAAKN,GACtC,IAAI82B,EAAc3xB,EAAY7E,GAC1Bw2B,KAAer2B,EAAQgF,EAAqBJ,EAAE5E,EAAQq2B,EAAapxB,EAAyB,EAAG1F,IAC9FS,EAAOq2B,GAAe92B,I,gBCR7B,IAAIyX,EAAU,EAAQ,IAClBgB,EAAY,EAAQ,IAGpBI,EAFkB,EAAQ,EAEfzB,CAAgB,YAE/Bta,EAAOD,QAAU,SAAUgF,GACzB,GAAU7C,MAAN6C,EAAiB,OAAOA,EAAGgX,IAC1BhX,EAAG,eACH4W,EAAUhB,EAAQ5V,M,gBCTzB,IAAI8mB,EAAqB,EAAQ,IAG7Bzb,EAFc,EAAQ,IAEGL,OAAO,SAAU,aAI9ChQ,EAAQwI,EAAIpJ,OAAO86B,qBAAuB,SAA6BzxB,GACrE,OAAOqjB,EAAmBrjB,EAAG4H,K,gBCR/B,IAAItC,EAAY,EAAQ,IAEpB0pB,EAAMxyB,KAAKwyB,IACXzpB,EAAM/I,KAAK+I,IAKf/N,EAAOD,QAAU,SAAU4e,EAAOzf,GAChC,IAAIg7B,EAAUpsB,EAAU6Q,GACxB,OAAOub,EAAU,EAAI1C,EAAI0C,EAAUh7B,EAAQ,GAAK6O,EAAImsB,EAASh7B,K,6BCR/DC,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAET/D,OAAOyD,eAAe7C,EAAS,kBAAmB,CAChD8C,YAAY,EACZC,IAAK,WACH,OAAOq3B,EAAcviB,mBAGzBzY,OAAOyD,eAAe7C,EAAS,uBAAwB,CACrD8C,YAAY,EACZC,IAAK,WACH,OAAOq3B,EAAcniB,wBAGzB7Y,OAAOyD,eAAe7C,EAAS,iBAAkB,CAC/C8C,YAAY,EACZC,IAAK,WACH,OAAOs3B,EAAMC,kBAIjB,IAAIF,EAAgB,EAAQ,KAExBC,EAAQ,EAAQ,M,6BCzBpB,IAAI/e,EAAI,EAAQ,IACZif,EAAU,EAAQ,IAAgC/U,OAClDqC,EAA+B,EAAQ,IACvC2I,EAA0B,EAAQ,IAElCgK,EAAsB3S,EAA6B,UAEnD6I,EAAiBF,EAAwB,UAK7ClV,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,QAAS0wB,IAAwB9J,GAAkB,CACnFlL,OAAQ,SAAgByD,GACtB,OAAOsR,EAAQxtB,KAAMkc,EAAY1hB,UAAUpI,OAAS,EAAIoI,UAAU,QAAKpF,O,gBCf3E,IAAIuD,EAAW,EAAQ,GACnB+V,EAAiB,EAAQ,IAG7Bxb,EAAOD,QAAU,SAAUgpB,EAAOyR,EAAOC,GACvC,IAAIC,EAAWC,EAUf,OAPEnf,GAE0C,mBAAlCkf,EAAYF,EAAMx0B,cAC1B00B,IAAcD,GACdh1B,EAASk1B,EAAqBD,EAAUt7B,YACxCu7B,IAAuBF,EAAQr7B,WAC/Boc,EAAeuN,EAAO4R,GACjB5R,I,gBCfT,IAAIhgB,EAAW,EAAQ,IAEvB/I,EAAOD,QAAU,SAAU+B,EAAQb,EAAKkI,GACtC,IAAK,IAAI3F,KAAOvC,EAAK8H,EAASjH,EAAQ0B,EAAKvC,EAAIuC,GAAM2F,GACrD,OAAOrH,I,6BCHT,IAAIoG,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChB2yB,EAAa,EAAQ,IACrBC,EAA8B,EAAQ,IACtCnU,EAA6B,EAAQ,IACrCc,EAAW,EAAQ,IACnB9V,EAAgB,EAAQ,IAExBopB,EAAe37B,OAAO8L,OACtBrI,EAAiBzD,OAAOyD,eAI5B5C,EAAOD,SAAW+6B,GAAgB7yB,GAAM,WAEtC,GAAIC,GAQiB,IARF4yB,EAAa,CAAEpzB,EAAG,GAAKozB,EAAal4B,EAAe,GAAI,IAAK,CAC7EC,YAAY,EACZC,IAAK,WACHF,EAAekK,KAAM,IAAK,CACxB5J,MAAO,EACPL,YAAY,OAGd,CAAE6E,EAAG,KAAMA,EAAS,OAAO,EAE/B,IAAI2gB,EAAI,GACJ0S,EAAI,GAEJC,EAASh4B,SAIb,OAFAqlB,EAAE2S,GAAU,EADG,uBAENtuB,MAAM,IAAI/G,SAAQ,SAAUs1B,GAAOF,EAAEE,GAAOA,KACf,GAA/BH,EAAa,GAAIzS,GAAG2S,IAHZ,wBAG4BJ,EAAWE,EAAa,GAAIC,IAAIluB,KAAK,OAC7E,SAAgB/K,EAAQsH,GAM3B,IALA,IAAI8xB,EAAI1T,EAAS1lB,GACbq5B,EAAkB7zB,UAAUpI,OAC5Byf,EAAQ,EACRiN,EAAwBiP,EAA4BtyB,EACpDqS,EAAuB8L,EAA2Bne,EAC/C4yB,EAAkBxc,GAMvB,IALA,IAIInb,EAJAqnB,EAAInZ,EAAcpK,UAAUqX,MAC5B9D,EAAO+Q,EAAwBgP,EAAW/P,GAAG9a,OAAO6b,EAAsBf,IAAM+P,EAAW/P,GAC3F3rB,EAAS2b,EAAK3b,OACdslB,EAAI,EAEDtlB,EAASslB,GACdhhB,EAAMqX,EAAK2J,KACNtc,IAAe0S,EAAqBtb,KAAKurB,EAAGrnB,KAAM03B,EAAE13B,GAAOqnB,EAAErnB,IAEpE,OAAO03B,GACPJ,G,gBCnDJ,IAAIM,EAAa,EAAQ,IAEzBp7B,EAAOD,QAAUq7B,EAAW,YAAa,cAAgB,I,gBCFzD,IAAIlzB,EAAc,EAAQ,GACtBS,EAAuB,EAAQ,GAC/BP,EAAW,EAAQ,GACnBwyB,EAAa,EAAQ,IAIzB56B,EAAOD,QAAUmI,EAAc/I,OAAO0Z,iBAAmB,SAA0BrQ,EAAG4R,GACpFhS,EAASI,GAKT,IAJA,IAGIhF,EAHAqX,EAAO+f,EAAWxgB,GAClBlb,EAAS2b,EAAK3b,OACdyf,EAAQ,EAELzf,EAASyf,GAAOhW,EAAqBJ,EAAEC,EAAGhF,EAAMqX,EAAK8D,KAAUvE,EAAW5W,IACjF,OAAOgF,I,gBCdT,IAAI4yB,EAAa,EAAQ,IAEzBp7B,EAAOD,QAAUq7B,EAAW,WAAY,oB,6BCDxC,IAAIvf,EAAoB,EAAQ,IAA+BA,kBAC3DtY,EAAS,EAAQ,IACjBqF,EAA2B,EAAQ,IACnC6S,EAAiB,EAAQ,IACzBE,EAAY,EAAQ,IAEpBK,EAAa,WAAc,OAAOlP,MAEtC9M,EAAOD,QAAU,SAAUoc,EAAqBD,EAAME,GACpD,IAAI/B,EAAgB6B,EAAO,YAI3B,OAHAC,EAAoB/c,UAAYmE,EAAOsY,EAAmB,CAAEO,KAAMxT,EAAyB,EAAGwT,KAC9FX,EAAeU,EAAqB9B,GAAe,GAAO,GAC1DsB,EAAUtB,GAAiB2B,EACpBG,I,gBCdT,IAAI7B,EAAkB,EAAQ,GAC1BqB,EAAY,EAAQ,IAEpBI,EAAWzB,EAAgB,YAC3B+gB,EAAiBlb,MAAM/gB,UAG3BY,EAAOD,QAAU,SAAUgF,GACzB,YAAc7C,IAAP6C,IAAqB4W,EAAUwE,QAAUpb,GAAMs2B,EAAetf,KAAchX,K,gBCRrF,IAAIqD,EAAW,EAAQ,GAGvBpI,EAAOD,QAAU,SAAUiqB,EAAUnkB,EAAI3C,EAAOo4B,GAC9C,IACE,OAAOA,EAAUz1B,EAAGuC,EAASlF,GAAO,GAAIA,EAAM,IAAM2C,EAAG3C,GAEvD,MAAO9B,GACP,IAAIm6B,EAAevR,EAAiB,OAEpC,WADqB9nB,IAAjBq5B,GAA4BnzB,EAASmzB,EAAaj8B,KAAK0qB,IACrD5oB,K,gBCVV,IAEI2a,EAFkB,EAAQ,EAEfzB,CAAgB,YAC3BkhB,GAAe,EAEnB,IACE,IAAIC,EAAS,EACTC,EAAqB,CACvBtf,KAAM,WACJ,MAAO,CAAEoK,OAAQiV,MAEnB,OAAU,WACRD,GAAe,IAGnBE,EAAmB3f,GAAY,WAC7B,OAAOjP,MAGTqT,MAAMxM,KAAK+nB,GAAoB,WAAc,MAAM,KACnD,MAAOt6B,IAETpB,EAAOD,QAAU,SAAUsE,EAAMs3B,GAC/B,IAAKA,IAAiBH,EAAc,OAAO,EAC3C,IAAII,GAAoB,EACxB,IACE,IAAIj4B,EAAS,GACbA,EAAOoY,GAAY,WACjB,MAAO,CACLK,KAAM,WACJ,MAAO,CAAEoK,KAAMoV,GAAoB,MAIzCv3B,EAAKV,GACL,MAAOvC,IACT,OAAOw6B,I,6BCnCT,IAAIR,EAAa,EAAQ,IACrBzyB,EAAuB,EAAQ,GAC/B2R,EAAkB,EAAQ,GAC1BpS,EAAc,EAAQ,GAEtBif,EAAU7M,EAAgB,WAE9Bta,EAAOD,QAAU,SAAU87B,GACzB,IAAIxU,EAAc+T,EAAWS,GACzBj5B,EAAiB+F,EAAqBJ,EAEtCL,GAAemf,IAAgBA,EAAYF,IAC7CvkB,EAAeykB,EAAaF,EAAS,CACnC3V,cAAc,EACd1O,IAAK,WAAc,OAAOgK,U,6BCbhC,EAAQ,IACR,IAAI/D,EAAW,EAAQ,IACnBd,EAAQ,EAAQ,GAChBqS,EAAkB,EAAQ,GAC1BwhB,EAAa,EAAQ,IACrBhzB,EAA8B,EAAQ,GAEtCqe,EAAU7M,EAAgB,WAE1ByhB,GAAiC9zB,GAAM,WAIzC,IAAIoW,EAAK,IAMT,OALAA,EAAGha,KAAO,WACR,IAAI+C,EAAS,GAEb,OADAA,EAAO2xB,OAAS,CAAEtxB,EAAG,KACdL,GAEyB,MAA3B,GAAGU,QAAQuW,EAAI,WAKpB0Z,EACgC,OAA3B,IAAIjwB,QAAQ,IAAK,MAGtB6vB,EAAUrd,EAAgB,WAE1Bwd,IACE,IAAIH,IAC6B,KAA5B,IAAIA,GAAS,IAAK,MAOzBqE,GAAqC/zB,GAAM,WAC7C,IAAIoW,EAAK,OACL4d,EAAe5d,EAAGha,KACtBga,EAAGha,KAAO,WAAc,OAAO43B,EAAa5jB,MAAMvL,KAAMxF,YACxD,IAAIF,EAAS,KAAKsF,MAAM2R,GACxB,OAAyB,IAAlBjX,EAAOlI,QAA8B,MAAdkI,EAAO,IAA4B,MAAdA,EAAO,MAG5DpH,EAAOD,QAAU,SAAU2c,EAAKxd,EAAQmF,EAAMyF,GAC5C,IAAIoyB,EAAS5hB,EAAgBoC,GAEzByf,GAAuBl0B,GAAM,WAE/B,IAAIO,EAAI,GAER,OADAA,EAAE0zB,GAAU,WAAc,OAAO,GACZ,GAAd,GAAGxf,GAAKlU,MAGb4zB,EAAoBD,IAAwBl0B,GAAM,WAEpD,IAAIo0B,GAAa,EACbhe,EAAK,IAkBT,MAhBY,UAAR3B,KAIF2B,EAAK,IAGFrY,YAAc,GACjBqY,EAAGrY,YAAYmhB,GAAW,WAAc,OAAO9I,GAC/CA,EAAGE,MAAQ,GACXF,EAAG6d,GAAU,IAAIA,IAGnB7d,EAAGha,KAAO,WAAiC,OAAnBg4B,GAAa,EAAa,MAElDhe,EAAG6d,GAAQ,KACHG,KAGV,IACGF,IACAC,GACQ,YAAR1f,KACCqf,IACAhE,GACCD,IAEM,UAARpb,IAAoBsf,EACrB,CACA,IAAIM,EAAqB,IAAIJ,GACzBzf,EAAUpY,EAAK63B,EAAQ,GAAGxf,IAAM,SAAU6f,EAAcnE,EAAQvwB,EAAK20B,EAAMC,GAC7E,OAAIrE,EAAO/zB,OAASy3B,EACdK,IAAwBM,EAInB,CAAEjW,MAAM,EAAMtjB,MAAOo5B,EAAmBh9B,KAAK84B,EAAQvwB,EAAK20B,IAE5D,CAAEhW,MAAM,EAAMtjB,MAAOq5B,EAAaj9B,KAAKuI,EAAKuwB,EAAQoE,IAEtD,CAAEhW,MAAM,KACd,CACDuR,iBAAkBA,EAClBD,6CAA8CA,IAE5C4E,EAAejgB,EAAQ,GACvBkgB,EAAclgB,EAAQ,GAE1B1T,EAASf,OAAO5I,UAAWsd,EAAKggB,GAChC3zB,EAAS4U,OAAOve,UAAW88B,EAAkB,GAAVh9B,EAG/B,SAAU+nB,EAAQiB,GAAO,OAAOyU,EAAYr9B,KAAK2nB,EAAQna,KAAMob,IAG/D,SAAUjB,GAAU,OAAO0V,EAAYr9B,KAAK2nB,EAAQna,QAItDhD,GAAMhB,EAA4B6U,OAAOve,UAAU88B,GAAS,QAAQ,K,6BC1H1E,IAAI1wB,EAAS,EAAQ,IAAiCA,OAItDxL,EAAOD,QAAU,SAAU8qB,EAAGlM,EAAOmY,GACnC,OAAOnY,GAASmY,EAAUtrB,EAAOqf,EAAGlM,GAAOzf,OAAS,K,gBCNtD,IAAIyb,EAAU,EAAQ,IAClBmhB,EAAa,EAAQ,IAIzB97B,EAAOD,QAAU,SAAU4wB,EAAG9F,GAC5B,IAAIxmB,EAAOssB,EAAEtsB,KACb,GAAoB,mBAATA,EAAqB,CAC9B,IAAI+C,EAAS/C,EAAK/E,KAAKqxB,EAAG9F,GAC1B,GAAsB,iBAAXzjB,EACT,MAAMW,UAAU,sEAElB,OAAOX,EAGT,GAAmB,WAAfuT,EAAQgW,GACV,MAAM5oB,UAAU,+CAGlB,OAAO+zB,EAAWx8B,KAAKqxB,EAAG9F,K,gBCnB5B,IAAIvmB,EAAS,EAAQ,GACjB8H,EAAgB,EAAQ,IAExBiE,EAAU/L,EAAO+L,QAErBrQ,EAAOD,QAA6B,mBAAZsQ,GAA0B,cAAcgN,KAAKjR,EAAciE,K,gBCLnF,IAAI7L,EAAM,EAAQ,GACdo4B,EAAU,EAAQ,KAClBC,EAAiC,EAAQ,IACzCl0B,EAAuB,EAAQ,GAEnC3I,EAAOD,QAAU,SAAU+B,EAAQsH,GAIjC,IAHA,IAAIyR,EAAO+hB,EAAQxzB,GACfxG,EAAiB+F,EAAqBJ,EACtCM,EAA2Bg0B,EAA+Bt0B,EACrDvJ,EAAI,EAAGA,EAAI6b,EAAK3b,OAAQF,IAAK,CACpC,IAAIwE,EAAMqX,EAAK7b,GACVwF,EAAI1C,EAAQ0B,IAAMZ,EAAed,EAAQ0B,EAAKqF,EAAyBO,EAAQ5F,O,gBCXxF,IAAI43B,EAAa,EAAQ,IACrB0B,EAA4B,EAAQ,KACpCjC,EAA8B,EAAQ,IACtCzyB,EAAW,EAAQ,GAGvBpI,EAAOD,QAAUq7B,EAAW,UAAW,YAAc,SAAiBr2B,GACpE,IAAI8V,EAAOiiB,EAA0Bv0B,EAAEH,EAASrD,IAC5C6mB,EAAwBiP,EAA4BtyB,EACxD,OAAOqjB,EAAwB/Q,EAAK9K,OAAO6b,EAAsB7mB,IAAO8V,I,gBCT1E,IAAIvW,EAAS,EAAQ,GAErBtE,EAAOD,QAAUuE,G,gBCFjBtE,EAAOD,QAAU,EAAQ,M,6BCEzB,IAAI+rB,EAAQ,EAAQ,GAChBroB,EAAO,EAAQ,IACfs5B,EAAQ,EAAQ,KAChBC,EAAc,EAAQ,IAS1B,SAASC,EAAeC,GACtB,IAAInuB,EAAU,IAAIguB,EAAMG,GACpBC,EAAW15B,EAAKs5B,EAAM39B,UAAU6C,QAAS8M,GAQ7C,OALA+c,EAAMtkB,OAAO21B,EAAUJ,EAAM39B,UAAW2P,GAGxC+c,EAAMtkB,OAAO21B,EAAUpuB,GAEhBouB,EAIT,IAAIC,EAAQH,EAtBG,EAAQ,KAyBvBG,EAAML,MAAQA,EAGdK,EAAM75B,OAAS,SAAgB85B,GAC7B,OAAOJ,EAAeD,EAAYI,EAAMllB,SAAUmlB,KAIpDD,EAAMhN,OAAS,EAAQ,IACvBgN,EAAMtlB,YAAc,EAAQ,KAC5BslB,EAAMrlB,SAAW,EAAQ,IAGzBqlB,EAAM96B,IAAM,SAAanC,GACvB,OAAOG,QAAQgC,IAAInC,IAErBi9B,EAAME,OAAS,EAAQ,KAEvBt9B,EAAOD,QAAUq9B,EAGjBp9B,EAAOD,QAAQ8R,QAAUurB,G,6BClDzB,IAAItR,EAAQ,EAAQ,GAChBqB,EAAW,EAAQ,IACnBoQ,EAAqB,EAAQ,KAC7BC,EAAkB,EAAQ,KAC1BR,EAAc,EAAQ,IAO1B,SAASD,EAAMM,GACbvwB,KAAKoL,SAAWmlB,EAChBvwB,KAAK2wB,aAAe,CAClBx7B,QAAS,IAAIs7B,EACbjP,SAAU,IAAIiP,GASlBR,EAAM39B,UAAU6C,QAAU,SAAiByJ,GAGnB,iBAAXA,GACTA,EAASpE,UAAU,IAAM,IAClBwD,IAAMxD,UAAU,GAEvBoE,EAASA,GAAU,IAGrBA,EAASsxB,EAAYlwB,KAAKoL,SAAUxM,IAGzByF,OACTzF,EAAOyF,OAASzF,EAAOyF,OAAO4S,cACrBjX,KAAKoL,SAAS/G,OACvBzF,EAAOyF,OAASrE,KAAKoL,SAAS/G,OAAO4S,cAErCrY,EAAOyF,OAAS,MAIlB,IAAIusB,EAAQ,CAACF,OAAiBt7B,GAC1B7B,EAAUC,QAAQC,QAAQmL,GAU9B,IARAoB,KAAK2wB,aAAax7B,QAAQ0D,SAAQ,SAAoCg4B,GACpED,EAAME,QAAQD,EAAYE,UAAWF,EAAYG,aAGnDhxB,KAAK2wB,aAAanP,SAAS3oB,SAAQ,SAAkCg4B,GACnED,EAAMl+B,KAAKm+B,EAAYE,UAAWF,EAAYG,aAGzCJ,EAAMx+B,QACXmB,EAAUA,EAAQyU,KAAK4oB,EAAM/9B,QAAS+9B,EAAM/9B,SAG9C,OAAOU,GAGT08B,EAAM39B,UAAU2+B,OAAS,SAAgBryB,GAEvC,OADAA,EAASsxB,EAAYlwB,KAAKoL,SAAUxM,GAC7ByhB,EAASzhB,EAAOZ,IAAKY,EAAOX,OAAQW,EAAOsgB,kBAAkBlkB,QAAQ,MAAO,KAIrFgkB,EAAMnmB,QAAQ,CAAC,SAAU,MAAO,OAAQ,YAAY,SAA6BwL,GAE/E4rB,EAAM39B,UAAU+R,GAAU,SAASrG,EAAKY,GACtC,OAAOoB,KAAK7K,QAAQ6pB,EAAM3kB,MAAMuE,GAAU,GAAI,CAC5CyF,OAAQA,EACRrG,IAAKA,SAKXghB,EAAMnmB,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BwL,GAErE4rB,EAAM39B,UAAU+R,GAAU,SAASrG,EAAKnM,EAAM+M,GAC5C,OAAOoB,KAAK7K,QAAQ6pB,EAAM3kB,MAAMuE,GAAU,GAAI,CAC5CyF,OAAQA,EACRrG,IAAKA,EACLnM,KAAMA,SAKZqB,EAAOD,QAAUg9B,G,6BC3FjB,IAAIjR,EAAQ,EAAQ,GAEpB,SAASyR,IACPzwB,KAAKkxB,SAAW,GAWlBT,EAAmBn+B,UAAU6+B,IAAM,SAAaJ,EAAWC,GAKzD,OAJAhxB,KAAKkxB,SAASx+B,KAAK,CACjBq+B,UAAWA,EACXC,SAAUA,IAELhxB,KAAKkxB,SAAS9+B,OAAS,GAQhCq+B,EAAmBn+B,UAAU8+B,MAAQ,SAAe/qB,GAC9CrG,KAAKkxB,SAAS7qB,KAChBrG,KAAKkxB,SAAS7qB,GAAM,OAYxBoqB,EAAmBn+B,UAAUuG,QAAU,SAAiBE,GACtDimB,EAAMnmB,QAAQmH,KAAKkxB,UAAU,SAAwBpuB,GACzC,OAANA,GACF/J,EAAG+J,OAKT5P,EAAOD,QAAUw9B,G,6BCjDjB,IAAIzR,EAAQ,EAAQ,GAChBqS,EAAgB,EAAQ,KACxBpmB,EAAW,EAAQ,IACnBG,EAAW,EAAQ,IAKvB,SAASkmB,EAA6B1yB,GAChCA,EAAO4jB,aACT5jB,EAAO4jB,YAAY+O,mBAUvBr+B,EAAOD,QAAU,SAAyB2L,GA6BxC,OA5BA0yB,EAA6B1yB,GAG7BA,EAAOgM,QAAUhM,EAAOgM,SAAW,GAGnChM,EAAO/M,KAAOw/B,EACZzyB,EAAO/M,KACP+M,EAAOgM,QACPhM,EAAOihB,kBAITjhB,EAAOgM,QAAUoU,EAAM3kB,MACrBuE,EAAOgM,QAAQuV,QAAU,GACzBvhB,EAAOgM,QAAQhM,EAAOyF,SAAW,GACjCzF,EAAOgM,SAGToU,EAAMnmB,QACJ,CAAC,SAAU,MAAO,OAAQ,OAAQ,MAAO,QAAS,WAClD,SAA2BwL,UAClBzF,EAAOgM,QAAQvG,OAIZzF,EAAO+gB,SAAWvU,EAASuU,SAE1B/gB,GAAQoJ,MAAK,SAA6BwZ,GAUvD,OATA8P,EAA6B1yB,GAG7B4iB,EAAS3vB,KAAOw/B,EACd7P,EAAS3vB,KACT2vB,EAAS5W,QACThM,EAAOkhB,mBAGF0B,KACN,SAA4BuJ,GAc7B,OAbK9f,EAAS8f,KACZuG,EAA6B1yB,GAGzBmsB,GAAUA,EAAOvJ,WACnBuJ,EAAOvJ,SAAS3vB,KAAOw/B,EACrBtG,EAAOvJ,SAAS3vB,KAChBk5B,EAAOvJ,SAAS5W,QAChBhM,EAAOkhB,qBAKNtsB,QAAQE,OAAOq3B,Q,6BC1E1B,IAAI/L,EAAQ,EAAQ,GAUpB9rB,EAAOD,QAAU,SAAuBpB,EAAM+Y,EAAS4mB,GAMrD,OAJAxS,EAAMnmB,QAAQ24B,GAAK,SAAmBz4B,GACpClH,EAAOkH,EAAGlH,EAAM+Y,MAGX/Y,I,6BChBT,IAAImtB,EAAQ,EAAQ,GAEpB9rB,EAAOD,QAAU,SAA6B2X,EAAS6mB,GACrDzS,EAAMnmB,QAAQ+R,GAAS,SAAuBxU,EAAOlB,GAC/CA,IAASu8B,GAAkBv8B,EAAKgsB,gBAAkBuQ,EAAevQ,gBACnEtW,EAAQ6mB,GAAkBr7B,SACnBwU,EAAQ1V,S,6BCNrB,IAAIurB,EAAc,EAAQ,IAS1BvtB,EAAOD,QAAU,SAAgBQ,EAASC,EAAQ8tB,GAChD,IAAItB,EAAiBsB,EAAS5iB,OAAOshB,gBAChCA,GAAkBA,EAAesB,EAASvZ,QAC7CxU,EAAQ+tB,GAER9tB,EAAO+sB,EACL,mCAAqCe,EAASvZ,OAC9CuZ,EAAS5iB,OACT,KACA4iB,EAASrsB,QACTqsB,M,6BCTNtuB,EAAOD,QAAU,SAAsBqB,EAAOsK,EAAQikB,EAAM1tB,EAASqsB,GA4BnE,OA3BAltB,EAAMsK,OAASA,EACXikB,IACFvuB,EAAMuuB,KAAOA,GAGfvuB,EAAMa,QAAUA,EAChBb,EAAMktB,SAAWA,EACjBltB,EAAMo9B,cAAe,EAErBp9B,EAAMq9B,OAAS,WACb,MAAO,CAEL18B,QAAS+K,KAAK/K,QACdC,KAAM8K,KAAK9K,KAEX08B,YAAa5xB,KAAK4xB,YAClBC,OAAQ7xB,KAAK6xB,OAEbC,SAAU9xB,KAAK8xB,SACfC,WAAY/xB,KAAK+xB,WACjBC,aAAchyB,KAAKgyB,aACnBC,MAAOjyB,KAAKiyB,MAEZrzB,OAAQoB,KAAKpB,OACbikB,KAAM7iB,KAAK6iB,OAGRvuB,I,6BCtCT,IAAI49B,EAAgB,EAAQ,KACxBC,EAAc,EAAQ,KAW1Bj/B,EAAOD,QAAU,SAAuBguB,EAASmR,GAC/C,OAAInR,IAAYiR,EAAcE,GACrBD,EAAYlR,EAASmR,GAEvBA,I,6BCVTl/B,EAAOD,QAAU,SAAuB+K,GAItC,MAAO,gCAAgCuS,KAAKvS,K,6BCH9C9K,EAAOD,QAAU,SAAqBguB,EAASoR,GAC7C,OAAOA,EACHpR,EAAQjmB,QAAQ,OAAQ,IAAM,IAAMq3B,EAAYr3B,QAAQ,OAAQ,IAChEimB,I,6BCVN,IAAIjC,EAAQ,EAAQ,GAIhBsT,EAAoB,CACtB,MAAO,gBAAiB,iBAAkB,eAAgB,OAC1D,UAAW,OAAQ,OAAQ,oBAAqB,sBAChD,gBAAiB,WAAY,eAAgB,sBAC7C,UAAW,cAAe,cAgB5Bp/B,EAAOD,QAAU,SAAsB2X,GACrC,IACIlU,EACA+B,EACAvG,EAHAqgC,EAAS,GAKb,OAAK3nB,GAELoU,EAAMnmB,QAAQ+R,EAAQhL,MAAM,OAAO,SAAgB4yB,GAKjD,GAJAtgC,EAAIsgC,EAAK1zB,QAAQ,KACjBpI,EAAMsoB,EAAMlkB,KAAK03B,EAAKC,OAAO,EAAGvgC,IAAI+kB,cACpCxe,EAAMumB,EAAMlkB,KAAK03B,EAAKC,OAAOvgC,EAAI,IAE7BwE,EAAK,CACP,GAAI67B,EAAO77B,IAAQ47B,EAAkBxzB,QAAQpI,IAAQ,EACnD,OAGA67B,EAAO77B,GADG,eAARA,GACa67B,EAAO77B,GAAO67B,EAAO77B,GAAO,IAAIuM,OAAO,CAACxK,IAEzC85B,EAAO77B,GAAO67B,EAAO77B,GAAO,KAAO+B,EAAMA,MAKtD85B,GAnBgBA,I,6BC9BzB,IAAIvT,EAAQ,EAAQ,GAEpB9rB,EAAOD,QACL+rB,EAAM9kB,uBAIJ,WACE,IAEIw4B,EAFAC,EAAO,kBAAkBpiB,KAAKpW,UAAU6c,WACxC4b,EAAiB/+B,SAASC,cAAc,KAS5C,SAAS++B,EAAW70B,GAClB,IAAI80B,EAAO90B,EAWX,OATI20B,IAEFC,EAAe1+B,aAAa,OAAQ4+B,GACpCA,EAAOF,EAAeE,MAGxBF,EAAe1+B,aAAa,OAAQ4+B,GAG7B,CACLA,KAAMF,EAAeE,KACrBl1B,SAAUg1B,EAAeh1B,SAAWg1B,EAAeh1B,SAAS5C,QAAQ,KAAM,IAAM,GAChF6C,KAAM+0B,EAAe/0B,KACrBk1B,OAAQH,EAAeG,OAASH,EAAeG,OAAO/3B,QAAQ,MAAO,IAAM,GAC3Eg4B,KAAMJ,EAAeI,KAAOJ,EAAeI,KAAKh4B,QAAQ,KAAM,IAAM,GACpEi4B,SAAUL,EAAeK,SACzBC,KAAMN,EAAeM,KACrBC,SAAiD,MAAtCP,EAAeO,SAASz0B,OAAO,GACxCk0B,EAAeO,SACf,IAAMP,EAAeO,UAY3B,OARAT,EAAYG,EAAW17B,OAAOwG,SAASm1B,MAQhC,SAAyBM,GAC9B,IAAIb,EAAUvT,EAAMvlB,SAAS25B,GAAeP,EAAWO,GAAcA,EACrE,OAAQb,EAAO30B,WAAa80B,EAAU90B,UAClC20B,EAAO10B,OAAS60B,EAAU70B,MAhDlC,GAsDS,WACL,OAAO,I,6BC9Df,IAAImhB,EAAQ,EAAQ,GAEpB9rB,EAAOD,QACL+rB,EAAM9kB,uBAIK,CACL0S,MAAO,SAAe1X,EAAMkB,EAAOi9B,EAASpvB,EAAMuI,EAAQ8mB,GACxD,IAAIC,EAAS,GACbA,EAAO7gC,KAAKwC,EAAO,IAAMuJ,mBAAmBrI,IAExC4oB,EAAMtlB,SAAS25B,IACjBE,EAAO7gC,KAAK,WAAa,IAAI8gC,KAAKH,GAASI,eAGzCzU,EAAMvlB,SAASwK,IACjBsvB,EAAO7gC,KAAK,QAAUuR,GAGpB+a,EAAMvlB,SAAS+S,IACjB+mB,EAAO7gC,KAAK,UAAY8Z,IAGX,IAAX8mB,GACFC,EAAO7gC,KAAK,UAGdmB,SAAS0/B,OAASA,EAAOxzB,KAAK,OAGhCmiB,KAAM,SAAchtB,GAClB,IAAIoc,EAAQzd,SAAS0/B,OAAOjiB,MAAM,IAAIT,OAAO,aAAe3b,EAAO,cACnE,OAAQoc,EAAQoiB,mBAAmBpiB,EAAM,IAAM,MAGjDyG,OAAQ,SAAgB7iB,GACtB8K,KAAK4M,MAAM1X,EAAM,GAAIs+B,KAAKG,MAAQ,SAO/B,CACL/mB,MAAO,aACPsV,KAAM,WAAkB,OAAO,MAC/BnK,OAAQ,e,6BC/ChB,IAAIuL,EAAS,EAAQ,IAQrB,SAAStY,EAAY4oB,GACnB,GAAwB,mBAAbA,EACT,MAAM,IAAI34B,UAAU,gCAGtB,IAAI44B,EACJ7zB,KAAKzM,QAAU,IAAIC,SAAQ,SAAyBC,GAClDogC,EAAiBpgC,KAGnB,IAAI0X,EAAQnL,KACZ4zB,GAAS,SAAgB3+B,GACnBkW,EAAM4f,SAKV5f,EAAM4f,OAAS,IAAIzH,EAAOruB,GAC1B4+B,EAAe1oB,EAAM4f,YAOzB/f,EAAY1Y,UAAUi/B,iBAAmB,WACvC,GAAIvxB,KAAK+qB,OACP,MAAM/qB,KAAK+qB,QAQf/f,EAAY1O,OAAS,WACnB,IAAImmB,EAIJ,MAAO,CACLtX,MAJU,IAAIH,GAAY,SAAkBtV,GAC5C+sB,EAAS/sB,KAIT+sB,OAAQA,IAIZvvB,EAAOD,QAAU+X,G,6BClCjB9X,EAAOD,QAAU,SAAgB6gC,GAC/B,OAAO,SAAcC,GACnB,OAAOD,EAASvoB,MAAM,KAAMwoB,M,6BCtBhC,EAAQ,IAER1hC,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ6X,gBASR,WACE,OAAOK,GATTlY,EAAQiY,qBAYR,SAA8B8oB,GAC5BC,EAAUvhC,KAAKshC,IAXjB,IAAIrzB,EAAY,EAAQ,IAEpBuzB,EAAergC,SAAS8iB,qBAAqB,QAAQ,GACrDxL,EAAQ+oB,EAAeA,EAAaC,aAAa,qBAAuB,KACxEF,EAAY,IAWhB,EAAItzB,EAAUP,WAAW,qBAAqB,SAAUhN,GACtD+X,EAAQ/X,EAAE+X,MACV8oB,EAAUp7B,SAAQ,SAAUm7B,GAC1B,IACEA,EAAS5gC,EAAE+X,OACX,MAAO/X,GACP6D,QAAQ3C,MAAM,qCAAsClB,W,gBC/B1D,IAAIwE,EAAgB,EAAQ,IAE5B1E,EAAOD,QAAU2E,IAEX1B,OAAO8G,MAEkB,iBAAnB9G,OAAOgnB,U,6BCJnB7qB,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ6N,cAAW,EAEnB,IAAIszB,EAASC,EAAuB,EAAQ,MAExCC,EAASD,EAAuB,EAAQ,MAE5C,SAASA,EAAuBv7B,GAAO,OAAOA,GAAOA,EAAIvC,WAAauC,EAAM,CAAEiM,QAASjM,GAIvF,SAASy7B,EAAkBv/B,EAAQ2U,GAAS,IAAK,IAAIzX,EAAI,EAAGA,EAAIyX,EAAMvX,OAAQF,IAAK,CAAE,IAAIuK,EAAakN,EAAMzX,GAAIuK,EAAW1G,WAAa0G,EAAW1G,aAAc,EAAO0G,EAAWiI,cAAe,EAAU,UAAWjI,IAAYA,EAAWkI,UAAW,GAAMtS,OAAOyD,eAAed,EAAQyH,EAAW/F,IAAK+F,IAM7S,IAAI+3B,EAEO,QAyCP1zB,EAAwB,WAC1B,SAASA,EAASR,GA9CpB,IAAyBxH,EAAKpC,EAAKN,GANnC,SAAyBi6B,EAAU9V,GAAe,KAAM8V,aAAoB9V,GAAgB,MAAM,IAAItf,UAAU,qCAqD5Gw5B,CAAgBz0B,KAAMc,GA/CS1K,OAiDF,GAjDHM,EAiDJ,SAjDDoC,EAiDLkH,MAjD0C3N,OAAOyD,eAAegD,EAAKpC,EAAK,CAAEN,MAAOA,EAAOL,YAAY,EAAM2O,cAAc,EAAMC,UAAU,IAAkB7L,EAAIpC,GAAON,EAmDzJ,mBAAnBkK,EAAIo0B,aAA8B,EAAIN,EAAOrvB,SAASzE,EAAIo0B,eAE1D,EAAIJ,EAAOvvB,SAASzE,EAAIo0B,iBAAkB,EAAIJ,EAAOvvB,SAAS/E,KAAK00B,eAC5Ez9B,QAAQ4J,KAAK,oCAAsCP,EAAIo0B,aAAe,SAAW10B,KAAK00B,cAFtFz9B,QAAQ4J,KAAK,4DAKfb,KAAKM,IAAMA,EA3Df,IAAsBia,EAAaoa,EAAYC,EAoF7C,OApFoBra,EA8DPzZ,GA9DoB6zB,EA8DV,CAAC,CACtBj+B,IAAK,aACLN,MAAO,WACL,OAAOo+B,IAER,CACD99B,IAAK,YACLN,MAAO,SAAmBlB,EAAMmL,GAC9BL,KAAKM,IAAIF,UAAUlL,EAAMmL,KAE1B,CACD3J,IAAK,cACLN,MAAO,SAAqBlB,EAAMmL,GAChCL,KAAKM,IAAIC,YAAYrL,EAAMmL,KAE5B,CACD3J,IAAK,OACLN,MAAO,SAAclB,EAAMV,GACzBwL,KAAKM,IAAIE,KAAKtL,EAAMV,QAhFoD+/B,EAAkBha,EAAYjoB,UAAWqiC,GAAiBC,GAAaL,EAAkBha,EAAaqa,GAoF3K9zB,EArCmB,GAwC5B7N,EAAQ6N,SAAWA,G,gBCxGnB,MAAM6T,EAAQ,EAAQ,KAKtBzhB,EAAOD,QAJO,CAAC8K,EAAS1B,KACtB,MAAM+iB,EAAIzK,EAAM5W,EAAS1B,GACzB,OAAO+iB,EAAIA,EAAErhB,QAAU,O,gBCHzB,MAAM,WAACsQ,GAAc,EAAQ,KACvB,GAAEkD,EAAE,EAAElb,GAAM,EAAQ,IACpBqvB,EAAS,EAAQ,IAkCvBxyB,EAAOD,QAhCO,CAAC8K,EAAS1B,KAQtB,GAPKA,GAA8B,iBAAZA,IACrBA,EAAU,CACRspB,QAAStpB,EACTupB,mBAAmB,IAInB7nB,aAAmB2nB,EACrB,OAAO3nB,EAGT,GAAuB,iBAAZA,EACT,OAAO,KAGT,GAAIA,EAAQ3L,OAASic,EACnB,OAAO,KAIT,KADUhS,EAAQspB,MAAQpU,EAAGlb,EAAEwvB,OAAStU,EAAGlb,EAAEyvB,OACtCvV,KAAKxS,GACV,OAAO,KAGT,IACE,OAAO,IAAI2nB,EAAO3nB,EAAS1B,GAC3B,MAAOw4B,GACP,OAAO,Q,cChCX,MAAMC,EAAU,WACVrP,EAAqB,CAAC9qB,EAAGC,KAC7B,MAAMm6B,EAAOD,EAAQvkB,KAAK5V,GACpBq6B,EAAOF,EAAQvkB,KAAK3V,GAO1B,OALIm6B,GAAQC,IACVr6B,GAAKA,EACLC,GAAKA,GAGAD,IAAMC,EAAI,EACZm6B,IAASC,GAAS,EAClBA,IAASD,EAAQ,EAClBp6B,EAAIC,GAAK,EACT,GAKN1H,EAAOD,QAAU,CACfwyB,qBACAwP,oBAJ0B,CAACt6B,EAAGC,IAAM6qB,EAAmB7qB,EAAGD,K,gBCjB5D,MAAM+qB,EAAS,EAAQ,IAEvBxyB,EAAOD,QADO,CAAC0H,EAAGgrB,IAAU,IAAID,EAAO/qB,EAAGgrB,GAAOK,O,6BC0BjD,SAASuO,EAAkBv/B,EAAQ2U,GAAS,IAAK,IAAIzX,EAAI,EAAGA,EAAIyX,EAAMvX,OAAQF,IAAK,CAAE,IAAIuK,EAAakN,EAAMzX,GAAIuK,EAAW1G,WAAa0G,EAAW1G,aAAc,EAAO0G,EAAWiI,cAAe,EAAU,UAAWjI,IAAYA,EAAWkI,UAAW,GAAMtS,OAAOyD,eAAed,EAAQyH,EAAW/F,IAAK+F,IAzB7S,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,KAER,EAAQ,KAER,EAAQ,KAERpK,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ8N,eAAY,EAUpB,IAAIyzB,EAEO,QAyCPzzB,EAAyB,WAC3B,SAASA,IA9CX,IAAyBjI,EAAKpC,EAAKN,GANnC,SAAyBi6B,EAAU9V,GAAe,KAAM8V,aAAoB9V,GAAgB,MAAM,IAAItf,UAAU,qCAqD5Gw5B,CAAgBz0B,KAAMe,GA/CDjI,EAiDLkH,KAjDUtJ,EAiDJ,WAjDSN,EAiDG,IAAI8+B,IAjDMx+B,KAAOoC,EAAOzG,OAAOyD,eAAegD,EAAKpC,EAAK,CAAEN,MAAOA,EAAOL,YAAY,EAAM2O,cAAc,EAAMC,UAAU,IAAkB7L,EAAIpC,GAAON,EAF3L,IAAsBmkB,EAAaoa,EAAYC,EAoF7C,OApFoBra,EAsDPxZ,GAtDoB4zB,EAsDT,CAAC,CACvBj+B,IAAK,aACLN,MAAO,WACL,OAAOo+B,IAER,CACD99B,IAAK,YACLN,MAAO,SAAmBlB,EAAMmL,GAC9BL,KAAKkxB,SAAShuB,IAAIhO,GAAO8K,KAAKkxB,SAASl7B,IAAId,IAAS,IAAI+N,OAAO5C,MAEhE,CACD3J,IAAK,cACLN,MAAO,SAAqBlB,EAAMmL,GAChCL,KAAKkxB,SAAShuB,IAAIhO,GAAO8K,KAAKkxB,SAASl7B,IAAId,IAAS,IAAIujB,QAAO,SAAU3V,GACvE,OAAOA,GAAKzC,QAGf,CACD3J,IAAK,OACLN,MAAO,SAAclB,EAAMV,IACxBwL,KAAKkxB,SAASl7B,IAAId,IAAS,IAAI2D,SAAQ,SAAUiK,GAChD,IACEA,EAAEtO,GACF,MAAOpB,GACP6D,QAAQ3C,MAAM,kCAAmClB,YA9EmBmhC,EAAkBha,EAAYjoB,UAAWqiC,GAAiBC,GAAaL,EAAkBha,EAAaqa,GAoF3K7zB,EArCoB,GAwC7B9N,EAAQ8N,UAAYA,G,gBCpHpB,IAAIyM,EAAkB,EAAQ,GAC1B/W,EAAS,EAAQ,IACjBoF,EAAuB,EAAQ,GAE/Bs5B,EAAc3nB,EAAgB,eAC9B+gB,EAAiBlb,MAAM/gB,UAIQ8C,MAA/Bm5B,EAAe4G,IACjBt5B,EAAqBJ,EAAE8yB,EAAgB4G,EAAa,CAClDzwB,cAAc,EACdtO,MAAOK,EAAO,QAKlBvD,EAAOD,QAAU,SAAUyD,GACzB63B,EAAe4G,GAAaz+B,IAAO,I,gBClBrC,IAAIyE,EAAQ,EAAQ,GAEpBjI,EAAOD,SAAWkI,GAAM,WACtB,SAASkS,KAET,OADAA,EAAE/a,UAAU4G,YAAc,KACnB7G,OAAOoc,eAAe,IAAIpB,KAASA,EAAE/a,c,gBCL9C,IAAIqG,EAAW,EAAQ,GAEvBzF,EAAOD,QAAU,SAAUgF,GACzB,IAAKU,EAASV,IAAc,OAAPA,EACnB,MAAMgD,UAAU,aAAeC,OAAOjD,GAAM,mBAC5C,OAAOA,I,6BCJX,IAAIm9B,EAAa,EAAQ,KACrBC,EAAmB,EAAQ,KAI/BniC,EAAOD,QAAUmiC,EAAW,OAAO,SAAUE,GAC3C,OAAO,WAAiB,OAAOA,EAAKt1B,KAAMxF,UAAUpI,OAASoI,UAAU,QAAKpF,MAC3EigC,I,6BCPH,IAAI9mB,EAAI,EAAQ,IACZ/W,EAAS,EAAQ,GACjB4E,EAAW,EAAQ,IACnBH,EAAW,EAAQ,IACnBs5B,EAAyB,EAAQ,IACjCC,EAAU,EAAQ,IAClBC,EAAa,EAAQ,IACrB98B,EAAW,EAAQ,GACnBwC,EAAQ,EAAQ,GAChBu6B,EAA8B,EAAQ,KACtC/mB,EAAiB,EAAQ,IACzBgnB,EAAoB,EAAQ,KAEhCziC,EAAOD,QAAU,SAAU87B,EAAkB6G,EAASzV,GACpD,IAAIxE,GAA8C,IAArCoT,EAAiBjwB,QAAQ,OAClC+2B,GAAgD,IAAtC9G,EAAiBjwB,QAAQ,QACnCg3B,EAAQna,EAAS,MAAQ,MACzBoa,EAAoBv+B,EAAOu3B,GAC3BiH,EAAkBD,GAAqBA,EAAkBzjC,UACzDioB,EAAcwb,EACdE,EAAW,GAEXC,EAAY,SAAUtmB,GACxB,IAAI6f,EAAeuG,EAAgBpmB,GACnC3T,EAAS+5B,EAAiBpmB,EACjB,OAAPA,EAAe,SAAaxZ,GAE1B,OADAq5B,EAAaj9B,KAAKwN,KAAgB,IAAV5J,EAAc,EAAIA,GACnC4J,MACE,UAAP4P,EAAkB,SAAUlZ,GAC9B,QAAOm/B,IAAYl9B,EAASjC,KAAe+4B,EAAaj9B,KAAKwN,KAAc,IAARtJ,EAAY,EAAIA,IAC1E,OAAPkZ,EAAe,SAAalZ,GAC9B,OAAOm/B,IAAYl9B,EAASjC,QAAOtB,EAAYq6B,EAAaj9B,KAAKwN,KAAc,IAARtJ,EAAY,EAAIA,IAC9E,OAAPkZ,EAAe,SAAalZ,GAC9B,QAAOm/B,IAAYl9B,EAASjC,KAAe+4B,EAAaj9B,KAAKwN,KAAc,IAARtJ,EAAY,EAAIA,IACjF,SAAaA,EAAKN,GAEpB,OADAq5B,EAAaj9B,KAAKwN,KAAc,IAARtJ,EAAY,EAAIA,EAAKN,GACtC4J,QAMb,GAAI5D,EAAS2yB,EAA8C,mBAArBgH,KAAqCF,GAAWG,EAAgBn9B,UAAYsC,GAAM,YACtH,IAAI46B,GAAoB3lB,UAAUd,YAGlCiL,EAAc4F,EAAOgW,eAAeP,EAAS7G,EAAkBpT,EAAQma,GACvEP,EAAuB3N,UAAW,OAC7B,GAAIxrB,EAAS2yB,GAAkB,GAAO,CAC3C,IAAIsB,EAAW,IAAI9V,EAEf6b,EAAiB/F,EAASyF,GAAOD,EAAU,IAAM,EAAG,IAAMxF,EAE1DgG,EAAuBl7B,GAAM,WAAck1B,EAAS34B,IAAI,MAGxD4+B,EAAmBZ,GAA4B,SAAU3Y,GAAY,IAAIgZ,EAAkBhZ,MAE3FwZ,GAAcV,GAAW16B,GAAM,WAIjC,IAFA,IAAIq7B,EAAY,IAAIT,EAChBlkB,EAAQ,EACLA,KAAS2kB,EAAUV,GAAOjkB,EAAOA,GACxC,OAAQ2kB,EAAU9+B,KAAK,MAGpB4+B,KACH/b,EAAcqb,GAAQ,SAAUlI,EAAO3Q,GACrC0Y,EAAW/H,EAAOnT,EAAawU,GAC/B,IAAIzjB,EAAOqqB,EAAkB,IAAII,EAAqBrI,EAAOnT,GAE7D,OADgBnlB,MAAZ2nB,GAAuByY,EAAQzY,EAAUzR,EAAKwqB,GAAQxqB,EAAMqQ,GACzDrQ,MAEGhZ,UAAY0jC,EACxBA,EAAgB98B,YAAcqhB,IAG5B8b,GAAwBE,KAC1BL,EAAU,UACVA,EAAU,OACVva,GAAUua,EAAU,SAGlBK,GAAcH,IAAgBF,EAAUJ,GAGxCD,GAAWG,EAAgBS,cAAcT,EAAgBS,MAU/D,OAPAR,EAASlH,GAAoBxU,EAC7BhM,EAAE,CAAE/W,QAAQ,EAAMuF,OAAQwd,GAAewb,GAAqBE,GAE9DtnB,EAAe4L,EAAawU,GAEvB8G,GAAS1V,EAAOuW,UAAUnc,EAAawU,EAAkBpT,GAEvDpB,I,gBCjGT,IAAIpf,EAAQ,EAAQ,GAEpBjI,EAAOD,SAAWkI,GAAM,WACtB,OAAO9I,OAAOk1B,aAAal1B,OAAOskC,kBAAkB,S,6BCFtD,IAAI7gC,EAAiB,EAAQ,GAAuC2F,EAChEhF,EAAS,EAAQ,IACjBmgC,EAAc,EAAQ,KACtBjgC,EAAO,EAAQ,IACf8+B,EAAa,EAAQ,IACrBD,EAAU,EAAQ,IAClBlc,EAAiB,EAAQ,IACzBud,EAAa,EAAQ,KACrBz7B,EAAc,EAAQ,GACtBysB,EAAU,EAAQ,IAAkCA,QACpDtoB,EAAsB,EAAQ,IAE9Bga,EAAmBha,EAAoB2D,IACvC4zB,EAAyBv3B,EAAoBuE,UAEjD5Q,EAAOD,QAAU,CACfkjC,eAAgB,SAAUP,EAAS7G,EAAkBpT,EAAQma,GAC3D,IAAIrb,EAAImb,GAAQ,SAAUtqB,EAAMyR,GAC9B0Y,EAAWnqB,EAAMmP,EAAGsU,GACpBxV,EAAiBjO,EAAM,CACrBxW,KAAMi6B,EACNld,MAAOpb,EAAO,MACdonB,WAAOzoB,EACP2hC,UAAM3hC,EACN6oB,KAAM,IAEH7iB,IAAakQ,EAAK2S,KAAO,GACd7oB,MAAZ2nB,GAAuByY,EAAQzY,EAAUzR,EAAKwqB,GAAQxqB,EAAMqQ,MAG9Dnc,EAAmBs3B,EAAuB/H,GAE1CiI,EAAS,SAAU1rB,EAAM5U,EAAKN,GAChC,IAEI6gC,EAAUplB,EAFV7N,EAAQxE,EAAiB8L,GACzB4rB,EAAQC,EAAS7rB,EAAM5U,GAqBzB,OAlBEwgC,EACFA,EAAM9gC,MAAQA,GAGd4N,EAAM+yB,KAAOG,EAAQ,CACnBrlB,MAAOA,EAAQgW,EAAQnxB,GAAK,GAC5BA,IAAKA,EACLN,MAAOA,EACP6gC,SAAUA,EAAWjzB,EAAM+yB,KAC3BznB,UAAMla,EACNgiC,SAAS,GAENpzB,EAAM6Z,QAAO7Z,EAAM6Z,MAAQqZ,GAC5BD,IAAUA,EAAS3nB,KAAO4nB,GAC1B97B,EAAa4I,EAAMia,OAClB3S,EAAK2S,OAEI,MAAVpM,IAAe7N,EAAM6N,MAAMA,GAASqlB,IACjC5rB,GAGP6rB,EAAW,SAAU7rB,EAAM5U,GAC7B,IAGIwgC,EAHAlzB,EAAQxE,EAAiB8L,GAEzBuG,EAAQgW,EAAQnxB,GAEpB,GAAc,MAAVmb,EAAe,OAAO7N,EAAM6N,MAAMA,GAEtC,IAAKqlB,EAAQlzB,EAAM6Z,MAAOqZ,EAAOA,EAAQA,EAAM5nB,KAC7C,GAAI4nB,EAAMxgC,KAAOA,EAAK,OAAOwgC,GAiFjC,OA7EAN,EAAYnc,EAAEnoB,UAAW,CAGvBmkC,MAAO,WAKL,IAJA,IACIzyB,EAAQxE,EADDQ,MAEPnO,EAAOmS,EAAM6N,MACbqlB,EAAQlzB,EAAM6Z,MACXqZ,GACLA,EAAME,SAAU,EACZF,EAAMD,WAAUC,EAAMD,SAAWC,EAAMD,SAAS3nB,UAAOla,UACpDvD,EAAKqlC,EAAMrlB,OAClBqlB,EAAQA,EAAM5nB,KAEhBtL,EAAM6Z,MAAQ7Z,EAAM+yB,UAAO3hC,EACvBgG,EAAa4I,EAAMia,KAAO,EAXnBje,KAYDie,KAAO,GAInB,OAAU,SAAUvnB,GAClB,IACIsN,EAAQxE,EADDQ,MAEPk3B,EAAQC,EAFDn3B,KAEgBtJ,GAC3B,GAAIwgC,EAAO,CACT,IAAI5nB,EAAO4nB,EAAM5nB,KACb+nB,EAAOH,EAAMD,gBACVjzB,EAAM6N,MAAMqlB,EAAMrlB,OACzBqlB,EAAME,SAAU,EACZC,IAAMA,EAAK/nB,KAAOA,GAClBA,IAAMA,EAAK2nB,SAAWI,GACtBrzB,EAAM6Z,OAASqZ,IAAOlzB,EAAM6Z,MAAQvO,GACpCtL,EAAM+yB,MAAQG,IAAOlzB,EAAM+yB,KAAOM,GAClCj8B,EAAa4I,EAAMia,OAZdje,KAaCie,OACV,QAASiZ,GAIbr+B,QAAS,SAAiBqjB,GAIxB,IAHA,IAEIgb,EAFAlzB,EAAQxE,EAAiBQ,MACzBoc,EAAgBzlB,EAAKulB,EAAY1hB,UAAUpI,OAAS,EAAIoI,UAAU,QAAKpF,EAAW,GAE/E8hC,EAAQA,EAAQA,EAAM5nB,KAAOtL,EAAM6Z,OAGxC,IAFAzB,EAAc8a,EAAM9gC,MAAO8gC,EAAMxgC,IAAKsJ,MAE/Bk3B,GAASA,EAAME,SAASF,EAAQA,EAAMD,UAKjDv/B,IAAK,SAAahB,GAChB,QAASygC,EAASn3B,KAAMtJ,MAI5BkgC,EAAYnc,EAAEnoB,UAAWqpB,EAAS,CAEhC3lB,IAAK,SAAaU,GAChB,IAAIwgC,EAAQC,EAASn3B,KAAMtJ,GAC3B,OAAOwgC,GAASA,EAAM9gC,OAGxB8M,IAAK,SAAaxM,EAAKN,GACrB,OAAO4gC,EAAOh3B,KAAc,IAARtJ,EAAY,EAAIA,EAAKN,KAEzC,CAEFmM,IAAK,SAAanM,GAChB,OAAO4gC,EAAOh3B,KAAM5J,EAAkB,IAAVA,EAAc,EAAIA,EAAOA,MAGrDgF,GAAatF,EAAe2kB,EAAEnoB,UAAW,OAAQ,CACnD0D,IAAK,WACH,OAAOwJ,EAAiBQ,MAAMie,QAG3BxD,GAETic,UAAW,SAAUjc,EAAGsU,EAAkBpT,GACxC,IAAI2b,EAAgBvI,EAAmB,YACnCwI,EAA6BT,EAAuB/H,GACpDyI,EAA2BV,EAAuBQ,GAGtDhe,EAAemB,EAAGsU,GAAkB,SAAUvV,EAAUC,GACtDF,EAAiBvZ,KAAM,CACrBlL,KAAMwiC,EACNtiC,OAAQwkB,EACRxV,MAAOuzB,EAA2B/d,GAClCC,KAAMA,EACNsd,UAAM3hC,OAEP,WAKD,IAJA,IAAI4O,EAAQwzB,EAAyBx3B,MACjCyZ,EAAOzV,EAAMyV,KACbyd,EAAQlzB,EAAM+yB,KAEXG,GAASA,EAAME,SAASF,EAAQA,EAAMD,SAE7C,OAAKjzB,EAAMhP,SAAYgP,EAAM+yB,KAAOG,EAAQA,EAAQA,EAAM5nB,KAAOtL,EAAMA,MAAM6Z,OAMjE,QAARpE,EAAuB,CAAErjB,MAAO8gC,EAAMxgC,IAAKgjB,MAAM,GACzC,UAARD,EAAyB,CAAErjB,MAAO8gC,EAAM9gC,MAAOsjB,MAAM,GAClD,CAAEtjB,MAAO,CAAC8gC,EAAMxgC,IAAKwgC,EAAM9gC,OAAQsjB,MAAM,IAN9C1V,EAAMhP,YAASI,EACR,CAAEgB,WAAOhB,EAAWskB,MAAM,MAMlCiC,EAAS,UAAY,UAAWA,GAAQ,GAG3Ckb,EAAW9H,M,6BCtLf,IAAI5V,EAAwB,EAAQ,IAChCtL,EAAU,EAAQ,IAItB3a,EAAOD,QAAUkmB,EAAwB,GAAG5gB,SAAW,WACrD,MAAO,WAAasV,EAAQ7N,MAAQ,M,gBCPtC,IAAIxI,EAAS,EAAQ,GACjBg1B,EAAe,EAAQ,IACvB3zB,EAAU,EAAQ,IAClBmD,EAA8B,EAAQ,GAE1C,IAAK,IAAI2wB,KAAmBH,EAAc,CACxC,IAAII,EAAap1B,EAAOm1B,GACpBE,EAAsBD,GAAcA,EAAWt6B,UAEnD,GAAIu6B,GAAuBA,EAAoBh0B,UAAYA,EAAS,IAClEmD,EAA4B6wB,EAAqB,UAAWh0B,GAC5D,MAAOvE,GACPu4B,EAAoBh0B,QAAUA,K,6BCVlCxG,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQs6B,eAMR,WACE,GAAY,OAAR51B,EACF,OAAO,KAGT,MAAO,CACLA,IAAKA,EACL+P,YAAaA,IAZjB,IAAI+vB,EAAa5jC,SAAS8iB,qBAAqB,QAAQ,GACnDhf,EAAM8/B,EAAaA,EAAWtD,aAAa,aAAe,KAC1DuD,EAAqB7jC,SAAS8iB,qBAAqB,QAAQ,GAC3DjP,EAAcgwB,EAAqBA,EAAmBvD,aAAa,yBAA2B,M,6BCPlG,IAAIh5B,EAAQ,EAAQ,GAIpB,SAASw8B,EAAGrgC,EAAGmE,GACb,OAAOoV,OAAOvZ,EAAGmE,GAGnBxI,EAAQie,cAAgB/V,GAAM,WAE5B,IAAIoW,EAAKomB,EAAG,IAAK,KAEjB,OADApmB,EAAGN,UAAY,EACW,MAAnBM,EAAGha,KAAK,WAGjBtE,EAAQke,aAAehW,GAAM,WAE3B,IAAIoW,EAAKomB,EAAG,KAAM,MAElB,OADApmB,EAAGN,UAAY,EACU,MAAlBM,EAAGha,KAAK,W,6BCrBjB,mBAAmX,G,iBCEnXtE,EADkC,EAAQ,GAChC2kC,EAA4B,IAE9BllC,KAAK,CAACQ,EAAOhB,EAAI,wtDAAytD,KAElvDgB,EAAOD,QAAUA,G,6BCNjB,kHA4BM4kC,EAAgB,SAAS/D,IAE1BjgC,SAASikC,YAAsC,aAAxBjkC,SAASutB,WAAoD,YAAxBvtB,SAASutB,YADxD/rB,WAAWy+B,EAAU,GAIrCjgC,SAASwuB,iBAAiB,mBAAoByR,IAI1CiE,EAAW36B,sBAAY,cACvB46B,EAAc,SAACC,GAA+B,IAArBC,EAAqB,wDACnD,OAAIA,EACH,UAAUH,EAAV,mBAA6BE,GAE9B,UAAUF,EAAV,YAAsBE,IAGjBE,EAAmB,CAAC,YAAa,UAAW,YAAa,UAAW,eAAgB,cAAe,OAAQ,OAAQ,iBAAkB,cAAe,eAAgB,eAAgB,WAAY,WAAY,kBAAmB,eAAgB,UAAW,WAAY,QAAS,SAAU,UAAW,cAAe,SAAU,cAAe,UAAW,UAAW,mBAAoB,OAAQ,YAAa,WAAY,mBAAoB,UAAW,oBAAqB,gBAAiB,UAAW,WAAY,kBAAmB,SAAU,QAAS,WAAY,SAAU,aAAc,WAAY,SAAU,SAAU,cAAe,aAAc,WAAY,QAAS,iBAAkB,aAAc,gBAAiB,kBAAmB,OAAQ,iBAAkB,gBAAiB,SAAU,UAAW,cAAe,eAAgB,iBAAkB,cAAe,sBAAuB,SAAU,OAAQ,QAAS,WAAY,aAAc,WAAY,QAAS,aAAc,UAAW,aAAc,UAAW,OAAQ,UAAW,aAAc,aAAc,WAAY,eAAgB,UAAW,OAAQ,QAAS,QAAS,cAAe,UAAW,eAAgB,UAAW,SAAU,WAAY,SAAU,UAAW,WAAY,YAAa,SAAU,WAAY,WAAY,UAAW,SAAU,eAAgB,cAAe,OAAQ,YAAa,SAAU,SAAU,iBAAkB,gBAAiB,aAAc,eAAgB,OAAQ,YACv5CC,EAAqB,WAC1B,OAAOD,EAAiBjgC,KAAKqM,MAAMrM,KAAK+V,SAAWkqB,EAAiB/lC,W,gCC/CrE,4CASAimC,KAAoBnjB,KAAKvW,GAAG25B,cAC5BC,IAA0B55B,GAAGpB,OAAO,OAAQ,OAE5Cs6B,aAAc,WACb,IAAM9uB,EAAMlV,SAASiV,eAAe,OAAO1S,MACrCmQ,EAAW1S,SAASiV,eAAe,YAAY1S,MAC/CyS,EAAehV,SAASiV,eAAe,gBAAkBjV,SAASiV,eAAe,gBAAgB1S,MAAQ,KAE/G,GAAKyS,EAIL,GAAY,KAARE,EACHpK,GAAG8J,QAAQC,SAAS,qBAAsBuB,KAC1CtB,cACAvB,kBACM,CAEN,IAAMoxB,EAAY3kC,SAASC,cAAc,OACzC0kC,EAAUnyB,GAAK,aACFxS,SAASiV,eAAe,eAChCvT,YAAYijC,IAEwB,IAArCr4B,IAAcrB,QAAQyH,IACzB/S,QAAQgC,IAAI,CACX,6BACA,0EACEwS,MAAK,SAACuB,GACR,IAAME,EAAMF,EAAQ,GAAGxE,QACvB0E,EAAInX,UAAU+D,EAAIc,OAAOd,EACzBoT,EAAInX,UAAU6V,IAAMhR,OAAOgR,IAC3B,IAAMuB,EAASH,EAAQ,GAAGxE,QACf,IAAI0E,EAAI,CAClBnI,OAAQ,SAAAwB,GAAC,OAAIA,EAAE4G,EAAQ,CACtBC,MAAO,CACNE,QAAQ,EACRvD,WAAYuC,EACZD,KAAMrC,QAINwD,OAAOlW,SAASiV,eAAe,mBAMtCX,IAAIswB,KAAO,CACVC,qBAAsBnkB,oBAAU,OAAQ","file":"public.js","sourcesContent":[" \t// install a JSONP callback for chunk loading\n \tfunction webpackJsonpCallback(data) {\n \t\tvar chunkIds = data[0];\n \t\tvar moreModules = data[1];\n\n\n \t\t// add \"moreModules\" to the modules object,\n \t\t// then flag all \"chunkIds\" as loaded and fire callback\n \t\tvar moduleId, chunkId, i = 0, resolves = [];\n \t\tfor(;i < chunkIds.length; i++) {\n \t\t\tchunkId = chunkIds[i];\n \t\t\tif(Object.prototype.hasOwnProperty.call(installedChunks, chunkId) && installedChunks[chunkId]) {\n \t\t\t\tresolves.push(installedChunks[chunkId][0]);\n \t\t\t}\n \t\t\tinstalledChunks[chunkId] = 0;\n \t\t}\n \t\tfor(moduleId in moreModules) {\n \t\t\tif(Object.prototype.hasOwnProperty.call(moreModules, moduleId)) {\n \t\t\t\tmodules[moduleId] = moreModules[moduleId];\n \t\t\t}\n \t\t}\n \t\tif(parentJsonpFunction) parentJsonpFunction(data);\n\n \t\twhile(resolves.length) {\n \t\t\tresolves.shift()();\n \t\t}\n\n \t};\n\n\n \t// The module cache\n \tvar installedModules = {};\n\n \t// object to store loaded and loading chunks\n \t// undefined = chunk not loaded, null = chunk preloaded/prefetched\n \t// Promise = chunk loading, 0 = chunk loaded\n \tvar installedChunks = {\n \t\t195: 0\n \t};\n\n\n\n \t// script path function\n \tfunction jsonpScriptSrc(chunkId) {\n \t\treturn __webpack_require__.p + \"\" + ({\"0\":\"vendor\",\"1\":\"vendors~editor-collab~editor-guest~editor-rich~files-modal\",\"2\":\"highlight/1c\",\"3\":\"highlight/abnf\",\"4\":\"highlight/accesslog\",\"5\":\"highlight/actionscript\",\"6\":\"highlight/ada\",\"7\":\"highlight/angelscript\",\"8\":\"highlight/apache\",\"9\":\"highlight/applescript\",\"10\":\"highlight/arcade\",\"11\":\"highlight/arduino\",\"12\":\"highlight/armasm\",\"13\":\"highlight/asciidoc\",\"14\":\"highlight/aspectj\",\"15\":\"highlight/autohotkey\",\"16\":\"highlight/autoit\",\"17\":\"highlight/avrasm\",\"18\":\"highlight/awk\",\"19\":\"highlight/axapta\",\"20\":\"highlight/bash\",\"21\":\"highlight/basic\",\"22\":\"highlight/bnf\",\"23\":\"highlight/brainfuck\",\"24\":\"highlight/cal\",\"25\":\"highlight/capnproto\",\"26\":\"highlight/ceylon\",\"27\":\"highlight/clean\",\"28\":\"highlight/clojure\",\"29\":\"highlight/clojure-repl\",\"30\":\"highlight/cmake\",\"31\":\"highlight/coffeescript\",\"32\":\"highlight/coq\",\"33\":\"highlight/cos\",\"34\":\"highlight/cpp\",\"35\":\"highlight/crmsh\",\"36\":\"highlight/crystal\",\"37\":\"highlight/cs\",\"38\":\"highlight/csp\",\"39\":\"highlight/css\",\"40\":\"highlight/d\",\"41\":\"highlight/dart\",\"42\":\"highlight/delphi\",\"43\":\"highlight/diff\",\"44\":\"highlight/django\",\"45\":\"highlight/dns\",\"46\":\"highlight/dockerfile\",\"47\":\"highlight/dos\",\"48\":\"highlight/dsconfig\",\"49\":\"highlight/dts\",\"50\":\"highlight/dust\",\"51\":\"highlight/ebnf\",\"52\":\"highlight/elixir\",\"53\":\"highlight/elm\",\"54\":\"highlight/erb\",\"55\":\"highlight/erlang\",\"56\":\"highlight/erlang-repl\",\"57\":\"highlight/excel\",\"58\":\"highlight/fix\",\"59\":\"highlight/flix\",\"60\":\"highlight/fortran\",\"61\":\"highlight/fsharp\",\"62\":\"highlight/gams\",\"63\":\"highlight/gauss\",\"64\":\"highlight/gcode\",\"65\":\"highlight/gherkin\",\"66\":\"highlight/glsl\",\"67\":\"highlight/gml\",\"68\":\"highlight/go\",\"69\":\"highlight/golo\",\"70\":\"highlight/gradle\",\"71\":\"highlight/groovy\",\"72\":\"highlight/haml\",\"73\":\"highlight/handlebars\",\"74\":\"highlight/haskell\",\"75\":\"highlight/haxe\",\"76\":\"highlight/hsp\",\"77\":\"highlight/htmlbars\",\"78\":\"highlight/http\",\"79\":\"highlight/hy\",\"80\":\"highlight/inform7\",\"81\":\"highlight/ini\",\"82\":\"highlight/irpf90\",\"83\":\"highlight/isbl\",\"84\":\"highlight/java\",\"85\":\"highlight/javascript\",\"86\":\"highlight/jboss-cli\",\"87\":\"highlight/json\",\"88\":\"highlight/julia\",\"89\":\"highlight/julia-repl\",\"90\":\"highlight/kotlin\",\"91\":\"highlight/lasso\",\"92\":\"highlight/ldif\",\"93\":\"highlight/leaf\",\"94\":\"highlight/less\",\"95\":\"highlight/lisp\",\"96\":\"highlight/livecodeserver\",\"97\":\"highlight/livescript\",\"98\":\"highlight/llvm\",\"99\":\"highlight/lsl\",\"100\":\"highlight/lua\",\"101\":\"highlight/makefile\",\"102\":\"highlight/markdown\",\"103\":\"highlight/mathematica\",\"104\":\"highlight/matlab\",\"105\":\"highlight/maxima\",\"106\":\"highlight/mel\",\"107\":\"highlight/mercury\",\"108\":\"highlight/mipsasm\",\"109\":\"highlight/mizar\",\"110\":\"highlight/mojolicious\",\"111\":\"highlight/monkey\",\"112\":\"highlight/moonscript\",\"113\":\"highlight/n1ql\",\"114\":\"highlight/nginx\",\"115\":\"highlight/nimrod\",\"116\":\"highlight/nix\",\"117\":\"highlight/nsis\",\"118\":\"highlight/objectivec\",\"119\":\"highlight/ocaml\",\"120\":\"highlight/openscad\",\"121\":\"highlight/oxygene\",\"122\":\"highlight/parser3\",\"123\":\"highlight/perl\",\"124\":\"highlight/pf\",\"125\":\"highlight/pgsql\",\"126\":\"highlight/php\",\"127\":\"highlight/plaintext\",\"128\":\"highlight/pony\",\"129\":\"highlight/powershell\",\"130\":\"highlight/processing\",\"131\":\"highlight/profile\",\"132\":\"highlight/prolog\",\"133\":\"highlight/properties\",\"134\":\"highlight/protobuf\",\"135\":\"highlight/puppet\",\"136\":\"highlight/purebasic\",\"137\":\"highlight/python\",\"138\":\"highlight/q\",\"139\":\"highlight/qml\",\"140\":\"highlight/r\",\"141\":\"highlight/reasonml\",\"142\":\"highlight/rib\",\"143\":\"highlight/roboconf\",\"144\":\"highlight/routeros\",\"145\":\"highlight/rsl\",\"146\":\"highlight/ruby\",\"147\":\"highlight/ruleslanguage\",\"148\":\"highlight/rust\",\"149\":\"highlight/sas\",\"150\":\"highlight/scala\",\"151\":\"highlight/scheme\",\"152\":\"highlight/scilab\",\"153\":\"highlight/scss\",\"154\":\"highlight/shell\",\"155\":\"highlight/smali\",\"156\":\"highlight/smalltalk\",\"157\":\"highlight/sml\",\"158\":\"highlight/sqf\",\"159\":\"highlight/sql\",\"160\":\"highlight/stan\",\"161\":\"highlight/stata\",\"162\":\"highlight/step21\",\"163\":\"highlight/stylus\",\"164\":\"highlight/subunit\",\"165\":\"highlight/swift\",\"166\":\"highlight/taggerscript\",\"167\":\"highlight/tap\",\"168\":\"highlight/tcl\",\"169\":\"highlight/tex\",\"170\":\"highlight/thrift\",\"171\":\"highlight/tp\",\"172\":\"highlight/twig\",\"173\":\"highlight/typescript\",\"174\":\"highlight/vala\",\"175\":\"highlight/vbnet\",\"176\":\"highlight/vbscript\",\"177\":\"highlight/vbscript-html\",\"178\":\"highlight/verilog\",\"179\":\"highlight/vhdl\",\"180\":\"highlight/vim\",\"181\":\"highlight/x86asm\",\"182\":\"highlight/xl\",\"183\":\"highlight/xml\",\"184\":\"highlight/xquery\",\"185\":\"highlight/yaml\",\"186\":\"highlight/zephir\",\"187\":\"vendors~editor-collab~editor-guest\",\"188\":\"vendors~editor~files-modal\",\"189\":\"editor\",\"190\":\"editor-collab\",\"191\":\"editor-guest\",\"192\":\"editor-rich\",\"194\":\"files-modal\",\"197\":\"vendors~editor\",\"198\":\"vendors~editor-rich\",\"199\":\"vendors~files-modal\"}[chunkId]||chunkId) + \".js?v=\" + {\"0\":\"ed141b91c3a00e287c4c\",\"1\":\"b526403ab64d5164f29b\",\"2\":\"9cc569982de7443f974e\",\"3\":\"8934502764debdbf47fe\",\"4\":\"a95440520bd82b11c0f3\",\"5\":\"f8b1f84d39c3d1f1e3bd\",\"6\":\"e656029399ef6f83160c\",\"7\":\"104479551edd172ad73e\",\"8\":\"fbb59dc9fb3be5109bcc\",\"9\":\"5e2e0f261e76c85d3a9d\",\"10\":\"f349d212d16773240eeb\",\"11\":\"c7f7bc33077eaff25b13\",\"12\":\"b9f39d176581d2a05635\",\"13\":\"827bcc9381bce9f2a12b\",\"14\":\"3a2c10b412d047a60bd8\",\"15\":\"feb1d1e2c65a332af9bf\",\"16\":\"0cba5e452dfcc760d998\",\"17\":\"670f9f95aaff5410673d\",\"18\":\"8908b67ae2d46f588034\",\"19\":\"e0f104cf2339dde93596\",\"20\":\"61cc9cd76682ca7d6c9c\",\"21\":\"5edbbab081eede46fe8d\",\"22\":\"c308a241ae1a184f9629\",\"23\":\"69a1d83d373c8e516a75\",\"24\":\"b7ddfebfd6277dccb1ab\",\"25\":\"74038533676091b4e205\",\"26\":\"04943cf8159d3a6b7e7c\",\"27\":\"d10832fa81004232164f\",\"28\":\"c3e78ed772be50fe99d6\",\"29\":\"cb9bd3633080a139fafc\",\"30\":\"bfc695841df82d72642c\",\"31\":\"68737ba6bd067e9713bd\",\"32\":\"8edf814709e41a621c00\",\"33\":\"e6c665aab6af75c3d662\",\"34\":\"146c01012c96f04cb22e\",\"35\":\"8d010e787091697e7890\",\"36\":\"6ff571801a33c4c3d23f\",\"37\":\"c96931208c9707ba550d\",\"38\":\"06cc48e69fd68d1ce5af\",\"39\":\"30d1fed026d1d9dd19d9\",\"40\":\"804b4b3ff6753c8c1c43\",\"41\":\"e66fee2b0448bc1f6a9c\",\"42\":\"5da15a69249959cbdb60\",\"43\":\"ab32283b9049df8d470b\",\"44\":\"b053b56cf0aae271b5ee\",\"45\":\"625803695a16972bdd7d\",\"46\":\"3bc9854c21810d4e263a\",\"47\":\"c12e545c5010d19f0a58\",\"48\":\"8efbb91ad56c3968ed1f\",\"49\":\"92d947b623617aa3eece\",\"50\":\"7cea281ba25ba8179ba6\",\"51\":\"efbdc8a740fc5f59526a\",\"52\":\"0a0dc45473581e3c61a4\",\"53\":\"7f111a57a9520e4338e6\",\"54\":\"6ccc1724f23c9880b1af\",\"55\":\"1db2104639486ce6a886\",\"56\":\"0ea1e64f690e80a51ef8\",\"57\":\"f7d5755284641d7499f6\",\"58\":\"ff4b8a653e14b25452ee\",\"59\":\"e9a31c00d950fd74fd30\",\"60\":\"7b9d865da00740ad6235\",\"61\":\"e52ae1f393a85870b0db\",\"62\":\"80b8ca041bdcc1368596\",\"63\":\"bb78d535d122c148ce9f\",\"64\":\"c938bd5783c1cba9271e\",\"65\":\"34f40631f4912e010eb4\",\"66\":\"4a5b068975eb4fe5fc1a\",\"67\":\"8603d4cd1b8ec3850173\",\"68\":\"9184313b6a16567d122d\",\"69\":\"e849b1f0d657b6bcedcc\",\"70\":\"db86e40009abe2cb833f\",\"71\":\"f2c66ec3181a23a20bb3\",\"72\":\"8e8b1a6c7418a162ca0c\",\"73\":\"7f8238c2027977ba846f\",\"74\":\"8d4d5c447105b87a1561\",\"75\":\"e083aa45046ae27dd8f9\",\"76\":\"2e120e96171c74f2c192\",\"77\":\"e2fad7bd884a27dbd116\",\"78\":\"98335291648e3efa80a0\",\"79\":\"b68c0a22eafb43ae73f2\",\"80\":\"5901c54722f00ddb0fff\",\"81\":\"0c070095cd563fb283f9\",\"82\":\"fec83e19cc60434e549e\",\"83\":\"1dbac8b292d7170cad4f\",\"84\":\"e951995b14ff42ec76d5\",\"85\":\"e0920c0f4c233fc35617\",\"86\":\"909664c829c66b37ea84\",\"87\":\"b505e6d42584ab0c7485\",\"88\":\"e1bf63845c6da47deabd\",\"89\":\"dc7ad4072726ba046f85\",\"90\":\"8db560e208052754ae35\",\"91\":\"5d5ecb397905792cd093\",\"92\":\"f0cb3fbeaac6d1eef3d4\",\"93\":\"4a0551bc1bc454a08f23\",\"94\":\"20b8bc8ae962f4e3e0d3\",\"95\":\"2b754318c79c4732d941\",\"96\":\"6683a8df27a1e8e548cd\",\"97\":\"2a3b108b77565b4b13ce\",\"98\":\"de8eeeb74721bdcb4f62\",\"99\":\"3e02aba5fc7b6b630780\",\"100\":\"f4717f9fd32db6f93186\",\"101\":\"7d08093791d0dd523e0a\",\"102\":\"c87b4d23ef01e373209c\",\"103\":\"bfcff5869f59f182fad6\",\"104\":\"2ee9b6533b8cb874f361\",\"105\":\"046dd34749d3b80b55b8\",\"106\":\"216bf44da3da1098cda3\",\"107\":\"ea4494b7e22551cecffb\",\"108\":\"85abbb968434f2b20037\",\"109\":\"82f47ef94dd9d67243a3\",\"110\":\"646a52273319f1a0360e\",\"111\":\"79c7c5fb901ec2f5afba\",\"112\":\"259c468782b9a9863fc3\",\"113\":\"819e9f111e7e6fcc1b0c\",\"114\":\"9e45882f2d58060a2f02\",\"115\":\"930e05a008dfa1d6ac01\",\"116\":\"941a6c647f5f859f2333\",\"117\":\"ff1f31092556ed517fc8\",\"118\":\"11b6edb8bf4fc34b0bee\",\"119\":\"26257cd9b184fb1a5c03\",\"120\":\"7acd43d1048beb6cd2a2\",\"121\":\"078b873ba9e78fa502da\",\"122\":\"88c7a8cd25f6944801f9\",\"123\":\"f8bf6d3c46f3315f43ed\",\"124\":\"d6fb6a80350420c0a6f9\",\"125\":\"cac13aff011c525bc9d7\",\"126\":\"0eb2c247aae16b02f68d\",\"127\":\"498081833cfcab05f826\",\"128\":\"e4396af24945aecbd6d6\",\"129\":\"0cf6db049788858d088d\",\"130\":\"ffb6de30b7f89545e60c\",\"131\":\"7cbb5b2fb0da3a51e144\",\"132\":\"8108bc3f700a95263091\",\"133\":\"a559582eda72a2e1f541\",\"134\":\"88f6a3bcc077da83c858\",\"135\":\"99676849ca57736cf88c\",\"136\":\"21fd409ca5694de6309f\",\"137\":\"297b9577b7cfff307bf0\",\"138\":\"72ed8b5fc8665e5f06a9\",\"139\":\"c0c830adc1a56d1b859d\",\"140\":\"6c3dc37fcd75ccd3ec9b\",\"141\":\"696ba59a793c33686d03\",\"142\":\"16409453f26eabc69e8e\",\"143\":\"597706970806bd69104f\",\"144\":\"c16c9e14a4fae991856e\",\"145\":\"0fb08bc1cfc2e430eaff\",\"146\":\"e121f914ab1ce1f732ae\",\"147\":\"84abdf1e13c43bb56fce\",\"148\":\"daf2a80f2e0a56bedf82\",\"149\":\"ba794444b6b6c17f490b\",\"150\":\"cddb8e9bdb96da263c4f\",\"151\":\"4ac4a448fb305c6aa2d1\",\"152\":\"430c663b16533ace64db\",\"153\":\"6e2c220181580676a4c1\",\"154\":\"1b3adb8f912ffbac3be6\",\"155\":\"8f1c1ff1344ff05a9a6d\",\"156\":\"0e1ca20397f17d390f66\",\"157\":\"9759864c5643a000f457\",\"158\":\"f5d83762546e331af60e\",\"159\":\"9e602e4836c8d4411632\",\"160\":\"b78635dc2335bb0f7020\",\"161\":\"0709e61532b44bbb912d\",\"162\":\"3b98eda3d9a0629bc5d2\",\"163\":\"c872d3944a2bc3799059\",\"164\":\"2fe4efa9d54da3cab486\",\"165\":\"db5cdb7a129af4b1f4bb\",\"166\":\"2808c62683ede878eeeb\",\"167\":\"aa7ff5d3fc416ad1d3c4\",\"168\":\"b5af61b0f40933752a8c\",\"169\":\"6f1f5567056e9ef490ba\",\"170\":\"87cf85eff150505675ca\",\"171\":\"f2411c2c74270ce81d52\",\"172\":\"1e761e47062a79129dfc\",\"173\":\"8ee2ac43425e97a68b30\",\"174\":\"c596ef91f72126723c09\",\"175\":\"2f85db1ca98a0a0b2e08\",\"176\":\"b63fadbabeeffa3e7297\",\"177\":\"a7ac21a917bbd8e7c5bf\",\"178\":\"f999bb4dba18d55d2701\",\"179\":\"534165910a2e66f505b5\",\"180\":\"0930b39394e5c4e5b9c4\",\"181\":\"c14e03ac28d97e1a2d6f\",\"182\":\"79466549c0c67fec7bbc\",\"183\":\"106ae920216b54c5ba56\",\"184\":\"08968ac1851deb2d5eaa\",\"185\":\"f59154c9d8046c81c18d\",\"186\":\"5a89a2d882980e9f48e1\",\"187\":\"12d203d6dad17366477c\",\"188\":\"52bb514bab8d0c1423ed\",\"189\":\"b9981ca8a64832b3b257\",\"190\":\"bbfda5a02511351a232c\",\"191\":\"e1bf59729a8b74be4d0d\",\"192\":\"e548ee7cc67f1a27e8c9\",\"194\":\"a005c419ab452b870d8d\",\"197\":\"120d4b01f30f8b7368ba\",\"198\":\"934bbece9404fd23da49\",\"199\":\"3520de68cd23fc6b8a5b\"}[chunkId] + \"\"\n \t}\n\n \t// The require function\n \tfunction __webpack_require__(moduleId) {\n\n \t\t// Check if module is in cache\n \t\tif(installedModules[moduleId]) {\n \t\t\treturn installedModules[moduleId].exports;\n \t\t}\n \t\t// Create a new module (and put it into the cache)\n \t\tvar module = installedModules[moduleId] = {\n \t\t\ti: moduleId,\n \t\t\tl: false,\n \t\t\texports: {}\n \t\t};\n\n \t\t// Execute the module function\n \t\tmodules[moduleId].call(module.exports, module, module.exports, __webpack_require__);\n\n \t\t// Flag the module as loaded\n \t\tmodule.l = true;\n\n \t\t// Return the exports of the module\n \t\treturn module.exports;\n \t}\n\n \t// This file contains only the entry chunk.\n \t// The chunk loading function for additional chunks\n \t__webpack_require__.e = function requireEnsure(chunkId) {\n \t\tvar promises = [];\n\n\n \t\t// JSONP chunk loading for javascript\n\n \t\tvar installedChunkData = installedChunks[chunkId];\n \t\tif(installedChunkData !== 0) { // 0 means \"already installed\".\n\n \t\t\t// a Promise means \"currently loading\".\n \t\t\tif(installedChunkData) {\n \t\t\t\tpromises.push(installedChunkData[2]);\n \t\t\t} else {\n \t\t\t\t// setup Promise in chunk cache\n \t\t\t\tvar promise = new Promise(function(resolve, reject) {\n \t\t\t\t\tinstalledChunkData = installedChunks[chunkId] = [resolve, reject];\n \t\t\t\t});\n \t\t\t\tpromises.push(installedChunkData[2] = promise);\n\n \t\t\t\t// start chunk loading\n \t\t\t\tvar script = document.createElement('script');\n \t\t\t\tvar onScriptComplete;\n\n \t\t\t\tscript.charset = 'utf-8';\n \t\t\t\tscript.timeout = 120;\n \t\t\t\tif (__webpack_require__.nc) {\n \t\t\t\t\tscript.setAttribute(\"nonce\", __webpack_require__.nc);\n \t\t\t\t}\n \t\t\t\tscript.src = jsonpScriptSrc(chunkId);\n\n \t\t\t\t// create error before stack unwound to get useful stacktrace later\n \t\t\t\tvar error = new Error();\n \t\t\t\tonScriptComplete = function (event) {\n \t\t\t\t\t// avoid mem leaks in IE.\n \t\t\t\t\tscript.onerror = script.onload = null;\n \t\t\t\t\tclearTimeout(timeout);\n \t\t\t\t\tvar chunk = installedChunks[chunkId];\n \t\t\t\t\tif(chunk !== 0) {\n \t\t\t\t\t\tif(chunk) {\n \t\t\t\t\t\t\tvar errorType = event && (event.type === 'load' ? 'missing' : event.type);\n \t\t\t\t\t\t\tvar realSrc = event && event.target && event.target.src;\n \t\t\t\t\t\t\terror.message = 'Loading chunk ' + chunkId + ' failed.\\n(' + errorType + ': ' + realSrc + ')';\n \t\t\t\t\t\t\terror.name = 'ChunkLoadError';\n \t\t\t\t\t\t\terror.type = errorType;\n \t\t\t\t\t\t\terror.request = realSrc;\n \t\t\t\t\t\t\tchunk[1](error);\n \t\t\t\t\t\t}\n \t\t\t\t\t\tinstalledChunks[chunkId] = undefined;\n \t\t\t\t\t}\n \t\t\t\t};\n \t\t\t\tvar timeout = setTimeout(function(){\n \t\t\t\t\tonScriptComplete({ type: 'timeout', target: script });\n \t\t\t\t}, 120000);\n \t\t\t\tscript.onerror = script.onload = onScriptComplete;\n \t\t\t\tdocument.head.appendChild(script);\n \t\t\t}\n \t\t}\n \t\treturn Promise.all(promises);\n \t};\n\n \t// expose the modules object (__webpack_modules__)\n \t__webpack_require__.m = modules;\n\n \t// expose the module cache\n \t__webpack_require__.c = installedModules;\n\n \t// define getter function for harmony exports\n \t__webpack_require__.d = function(exports, name, getter) {\n \t\tif(!__webpack_require__.o(exports, name)) {\n \t\t\tObject.defineProperty(exports, name, { enumerable: true, get: getter });\n \t\t}\n \t};\n\n \t// define __esModule on exports\n \t__webpack_require__.r = function(exports) {\n \t\tif(typeof Symbol !== 'undefined' && Symbol.toStringTag) {\n \t\t\tObject.defineProperty(exports, Symbol.toStringTag, { value: 'Module' });\n \t\t}\n \t\tObject.defineProperty(exports, '__esModule', { value: true });\n \t};\n\n \t// create a fake namespace object\n \t// mode & 1: value is a module id, require it\n \t// mode & 2: merge all properties of value into the ns\n \t// mode & 4: return value when already ns object\n \t// mode & 8|1: behave like require\n \t__webpack_require__.t = function(value, mode) {\n \t\tif(mode & 1) value = __webpack_require__(value);\n \t\tif(mode & 8) return value;\n \t\tif((mode & 4) && typeof value === 'object' && value && value.__esModule) return value;\n \t\tvar ns = Object.create(null);\n \t\t__webpack_require__.r(ns);\n \t\tObject.defineProperty(ns, 'default', { enumerable: true, value: value });\n \t\tif(mode & 2 && typeof value != 'string') for(var key in value) __webpack_require__.d(ns, key, function(key) { return value[key]; }.bind(null, key));\n \t\treturn ns;\n \t};\n\n \t// getDefaultExport function for compatibility with non-harmony modules\n \t__webpack_require__.n = function(module) {\n \t\tvar getter = module && module.__esModule ?\n \t\t\tfunction getDefault() { return module['default']; } :\n \t\t\tfunction getModuleExports() { return module; };\n \t\t__webpack_require__.d(getter, 'a', getter);\n \t\treturn getter;\n \t};\n\n \t// Object.prototype.hasOwnProperty.call\n \t__webpack_require__.o = function(object, property) { return Object.prototype.hasOwnProperty.call(object, property); };\n\n \t// __webpack_public_path__\n \t__webpack_require__.p = \"/js/\";\n\n \t// on error function for async loading\n \t__webpack_require__.oe = function(err) { console.error(err); throw err; };\n\n \tvar jsonpArray = window[\"textWebpackJsonp\"] = window[\"textWebpackJsonp\"] || [];\n \tvar oldJsonpFunction = jsonpArray.push.bind(jsonpArray);\n \tjsonpArray.push = webpackJsonpCallback;\n \tjsonpArray = jsonpArray.slice();\n \tfor(var i = 0; i < jsonpArray.length; i++) webpackJsonpCallback(jsonpArray[i]);\n \tvar parentJsonpFunction = oldJsonpFunction;\n\n\n \t// Load entry module and return exports\n \treturn __webpack_require__(__webpack_require__.s = 171);\n","module.exports = function (exec) {\n try {\n return !!exec();\n } catch (error) {\n return true;\n }\n};\n","var global = require('../internals/global');\nvar shared = require('../internals/shared');\nvar has = require('../internals/has');\nvar uid = require('../internals/uid');\nvar NATIVE_SYMBOL = require('../internals/native-symbol');\nvar USE_SYMBOL_AS_UID = require('../internals/use-symbol-as-uid');\n\nvar WellKnownSymbolsStore = shared('wks');\nvar Symbol = global.Symbol;\nvar createWellKnownSymbol = USE_SYMBOL_AS_UID ? Symbol : Symbol && Symbol.withoutSetter || uid;\n\nmodule.exports = function (name) {\n if (!has(WellKnownSymbolsStore, name)) {\n if (NATIVE_SYMBOL && has(Symbol, name)) WellKnownSymbolsStore[name] = Symbol[name];\n else WellKnownSymbolsStore[name] = createWellKnownSymbol('Symbol.' + name);\n } return WellKnownSymbolsStore[name];\n};\n","var check = function (it) {\n return it && it.Math == Math && it;\n};\n\n// https://github.com/zloirock/core-js/issues/86#issuecomment-115759028\nmodule.exports =\n // eslint-disable-next-line no-undef\n check(typeof globalThis == 'object' && globalThis) ||\n check(typeof window == 'object' && window) ||\n check(typeof self == 'object' && self) ||\n check(typeof global == 'object' && global) ||\n // eslint-disable-next-line no-new-func\n Function('return this')();\n","'use strict';\n\nvar bind = require('./helpers/bind');\n\n/*global toString:true*/\n\n// utils is a library of generic helper functions non-specific to axios\n\nvar toString = Object.prototype.toString;\n\n/**\n * Determine if a value is an Array\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Array, otherwise false\n */\nfunction isArray(val) {\n return toString.call(val) === '[object Array]';\n}\n\n/**\n * Determine if a value is undefined\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if the value is undefined, otherwise false\n */\nfunction isUndefined(val) {\n return typeof val === 'undefined';\n}\n\n/**\n * Determine if a value is a Buffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Buffer, otherwise false\n */\nfunction isBuffer(val) {\n return val !== null && !isUndefined(val) && val.constructor !== null && !isUndefined(val.constructor)\n && typeof val.constructor.isBuffer === 'function' && val.constructor.isBuffer(val);\n}\n\n/**\n * Determine if a value is an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an ArrayBuffer, otherwise false\n */\nfunction isArrayBuffer(val) {\n return toString.call(val) === '[object ArrayBuffer]';\n}\n\n/**\n * Determine if a value is a FormData\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an FormData, otherwise false\n */\nfunction isFormData(val) {\n return (typeof FormData !== 'undefined') && (val instanceof FormData);\n}\n\n/**\n * Determine if a value is a view on an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a view on an ArrayBuffer, otherwise false\n */\nfunction isArrayBufferView(val) {\n var result;\n if ((typeof ArrayBuffer !== 'undefined') && (ArrayBuffer.isView)) {\n result = ArrayBuffer.isView(val);\n } else {\n result = (val) && (val.buffer) && (val.buffer instanceof ArrayBuffer);\n }\n return result;\n}\n\n/**\n * Determine if a value is a String\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a String, otherwise false\n */\nfunction isString(val) {\n return typeof val === 'string';\n}\n\n/**\n * Determine if a value is a Number\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Number, otherwise false\n */\nfunction isNumber(val) {\n return typeof val === 'number';\n}\n\n/**\n * Determine if a value is an Object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Object, otherwise false\n */\nfunction isObject(val) {\n return val !== null && typeof val === 'object';\n}\n\n/**\n * Determine if a value is a Date\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Date, otherwise false\n */\nfunction isDate(val) {\n return toString.call(val) === '[object Date]';\n}\n\n/**\n * Determine if a value is a File\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a File, otherwise false\n */\nfunction isFile(val) {\n return toString.call(val) === '[object File]';\n}\n\n/**\n * Determine if a value is a Blob\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Blob, otherwise false\n */\nfunction isBlob(val) {\n return toString.call(val) === '[object Blob]';\n}\n\n/**\n * Determine if a value is a Function\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Function, otherwise false\n */\nfunction isFunction(val) {\n return toString.call(val) === '[object Function]';\n}\n\n/**\n * Determine if a value is a Stream\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Stream, otherwise false\n */\nfunction isStream(val) {\n return isObject(val) && isFunction(val.pipe);\n}\n\n/**\n * Determine if a value is a URLSearchParams object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a URLSearchParams object, otherwise false\n */\nfunction isURLSearchParams(val) {\n return typeof URLSearchParams !== 'undefined' && val instanceof URLSearchParams;\n}\n\n/**\n * Trim excess whitespace off the beginning and end of a string\n *\n * @param {String} str The String to trim\n * @returns {String} The String freed of excess whitespace\n */\nfunction trim(str) {\n return str.replace(/^\\s*/, '').replace(/\\s*$/, '');\n}\n\n/**\n * Determine if we're running in a standard browser environment\n *\n * This allows axios to run in a web worker, and react-native.\n * Both environments support XMLHttpRequest, but not fully standard globals.\n *\n * web workers:\n * typeof window -> undefined\n * typeof document -> undefined\n *\n * react-native:\n * navigator.product -> 'ReactNative'\n * nativescript\n * navigator.product -> 'NativeScript' or 'NS'\n */\nfunction isStandardBrowserEnv() {\n if (typeof navigator !== 'undefined' && (navigator.product === 'ReactNative' ||\n navigator.product === 'NativeScript' ||\n navigator.product === 'NS')) {\n return false;\n }\n return (\n typeof window !== 'undefined' &&\n typeof document !== 'undefined'\n );\n}\n\n/**\n * Iterate over an Array or an Object invoking a function for each item.\n *\n * If `obj` is an Array callback will be called passing\n * the value, index, and complete array for each item.\n *\n * If 'obj' is an Object callback will be called passing\n * the value, key, and complete object for each property.\n *\n * @param {Object|Array} obj The object to iterate\n * @param {Function} fn The callback to invoke for each item\n */\nfunction forEach(obj, fn) {\n // Don't bother if no value provided\n if (obj === null || typeof obj === 'undefined') {\n return;\n }\n\n // Force an array if not already something iterable\n if (typeof obj !== 'object') {\n /*eslint no-param-reassign:0*/\n obj = [obj];\n }\n\n if (isArray(obj)) {\n // Iterate over array values\n for (var i = 0, l = obj.length; i < l; i++) {\n fn.call(null, obj[i], i, obj);\n }\n } else {\n // Iterate over object keys\n for (var key in obj) {\n if (Object.prototype.hasOwnProperty.call(obj, key)) {\n fn.call(null, obj[key], key, obj);\n }\n }\n }\n}\n\n/**\n * Accepts varargs expecting each argument to be an object, then\n * immutably merges the properties of each object and returns result.\n *\n * When multiple objects contain the same key the later object in\n * the arguments list will take precedence.\n *\n * Example:\n *\n * ```js\n * var result = merge({foo: 123}, {foo: 456});\n * console.log(result.foo); // outputs 456\n * ```\n *\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction merge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = merge(result[key], val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Function equal to merge with the difference being that no reference\n * to original objects is kept.\n *\n * @see merge\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction deepMerge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = deepMerge(result[key], val);\n } else if (typeof val === 'object') {\n result[key] = deepMerge({}, val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Extends object a by mutably adding to it the properties of object b.\n *\n * @param {Object} a The object to be extended\n * @param {Object} b The object to copy properties from\n * @param {Object} thisArg The object to bind function to\n * @return {Object} The resulting value of object a\n */\nfunction extend(a, b, thisArg) {\n forEach(b, function assignValue(val, key) {\n if (thisArg && typeof val === 'function') {\n a[key] = bind(val, thisArg);\n } else {\n a[key] = val;\n }\n });\n return a;\n}\n\nmodule.exports = {\n isArray: isArray,\n isArrayBuffer: isArrayBuffer,\n isBuffer: isBuffer,\n isFormData: isFormData,\n isArrayBufferView: isArrayBufferView,\n isString: isString,\n isNumber: isNumber,\n isObject: isObject,\n isUndefined: isUndefined,\n isDate: isDate,\n isFile: isFile,\n isBlob: isBlob,\n isFunction: isFunction,\n isStream: isStream,\n isURLSearchParams: isURLSearchParams,\n isStandardBrowserEnv: isStandardBrowserEnv,\n forEach: forEach,\n merge: merge,\n deepMerge: deepMerge,\n extend: extend,\n trim: trim\n};\n","var hasOwnProperty = {}.hasOwnProperty;\n\nmodule.exports = function (it, key) {\n return hasOwnProperty.call(it, key);\n};\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it)) {\n throw TypeError(String(it) + ' is not an object');\n } return it;\n};\n","module.exports = function (it) {\n return typeof it === 'object' ? it !== null : typeof it === 'function';\n};\n","var fails = require('../internals/fails');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !fails(function () {\n return Object.defineProperty({}, 1, { get: function () { return 7; } })[1] != 7;\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\nvar anObject = require('../internals/an-object');\nvar toPrimitive = require('../internals/to-primitive');\n\nvar nativeDefineProperty = Object.defineProperty;\n\n// `Object.defineProperty` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperty\nexports.f = DESCRIPTORS ? nativeDefineProperty : function defineProperty(O, P, Attributes) {\n anObject(O);\n P = toPrimitive(P, true);\n anObject(Attributes);\n if (IE8_DOM_DEFINE) try {\n return nativeDefineProperty(O, P, Attributes);\n } catch (error) { /* empty */ }\n if ('get' in Attributes || 'set' in Attributes) throw TypeError('Accessors not supported');\n if ('value' in Attributes) O[P] = Attributes.value;\n return O;\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = DESCRIPTORS ? function (object, key, value) {\n return definePropertyModule.f(object, key, createPropertyDescriptor(1, value));\n} : function (object, key, value) {\n object[key] = value;\n return object;\n};\n","var global = require('../internals/global');\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar setGlobal = require('../internals/set-global');\nvar copyConstructorProperties = require('../internals/copy-constructor-properties');\nvar isForced = require('../internals/is-forced');\n\n/*\n options.target - name of the target object\n options.global - target is the global object\n options.stat - export as static methods of target\n options.proto - export as prototype methods of target\n options.real - real prototype method for the `pure` version\n options.forced - export even if the native feature is available\n options.bind - bind methods to the target, required for the `pure` version\n options.wrap - wrap constructors to preventing global pollution, required for the `pure` version\n options.unsafe - use the simple assignment of property instead of delete + defineProperty\n options.sham - add a flag to not completely full polyfills\n options.enumerable - export as enumerable property\n options.noTargetGet - prevent calling a getter on target\n*/\nmodule.exports = function (options, source) {\n var TARGET = options.target;\n var GLOBAL = options.global;\n var STATIC = options.stat;\n var FORCED, target, key, targetProperty, sourceProperty, descriptor;\n if (GLOBAL) {\n target = global;\n } else if (STATIC) {\n target = global[TARGET] || setGlobal(TARGET, {});\n } else {\n target = (global[TARGET] || {}).prototype;\n }\n if (target) for (key in source) {\n sourceProperty = source[key];\n if (options.noTargetGet) {\n descriptor = getOwnPropertyDescriptor(target, key);\n targetProperty = descriptor && descriptor.value;\n } else targetProperty = target[key];\n FORCED = isForced(GLOBAL ? key : TARGET + (STATIC ? '.' : '#') + key, options.forced);\n // contained in target\n if (!FORCED && targetProperty !== undefined) {\n if (typeof sourceProperty === typeof targetProperty) continue;\n copyConstructorProperties(sourceProperty, targetProperty);\n }\n // add a flag to not completely full polyfills\n if (options.sham || (targetProperty && targetProperty.sham)) {\n createNonEnumerableProperty(sourceProperty, 'sham', true);\n }\n // extend global\n redefine(target, key, sourceProperty, options);\n }\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.index-of\");\n\nrequire(\"core-js/modules/es.object.assign\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.regexp.to-string\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRootUrl = exports.generateFilePath = exports.imagePath = exports.generateUrl = exports.generateOcsUrl = exports.generateRemoteUrl = exports.linkTo = void 0;\n\n/// <reference types=\"@nextcloud/typings\" />\n\n/**\n * Get an absolute url to a file in an app\n *\n * @param {string} app the id of the app the file belongs to\n * @param {string} file the file path relative to the app folder\n * @return {string} Absolute URL to a file\n */\nvar linkTo = function linkTo(app, file) {\n return generateFilePath(app, '', file);\n};\n/**\n * Creates a relative url for remote use\n *\n * @param {string} service id\n * @return {string} the url\n */\n\n\nexports.linkTo = linkTo;\n\nvar linkToRemoteBase = function linkToRemoteBase(service) {\n return getRootUrl() + '/remote.php/' + service;\n};\n/**\n * @brief Creates an absolute url for remote use\n * @param {string} service id\n * @return {string} the url\n */\n\n\nvar generateRemoteUrl = function generateRemoteUrl(service) {\n return window.location.protocol + '//' + window.location.host + linkToRemoteBase(service);\n};\n/**\n * Get the base path for the given OCS API service\n *\n * @param {string} service name\n * @param {int} version OCS API version\n * @return {string} OCS API base path\n */\n\n\nexports.generateRemoteUrl = generateRemoteUrl;\n\nvar generateOcsUrl = function generateOcsUrl(service, version) {\n version = version !== 2 ? 1 : 2;\n return window.location.protocol + '//' + window.location.host + getRootUrl() + '/ocs/v' + version + '.php/' + service + '/';\n};\n\nexports.generateOcsUrl = generateOcsUrl;\n\n/**\n * Generate the absolute url for the given relative url, which can contain parameters\n *\n * Parameters will be URL encoded automatically\n *\n * @return {string} Absolute URL for the given relative URL\n */\nvar generateUrl = function generateUrl(url, params, options) {\n var allOptions = Object.assign({\n escape: true,\n noRewrite: false\n }, options || {});\n\n var _build = function _build(text, vars) {\n vars = vars || {};\n return text.replace(/{([^{}]*)}/g, function (a, b) {\n var r = vars[b];\n\n if (allOptions.escape) {\n return typeof r === 'string' || typeof r === 'number' ? encodeURIComponent(r.toString()) : encodeURIComponent(a);\n } else {\n return typeof r === 'string' || typeof r === 'number' ? r.toString() : a;\n }\n });\n };\n\n if (url.charAt(0) !== '/') {\n url = '/' + url;\n }\n\n if (OC.config.modRewriteWorking === true && !allOptions.noRewrite) {\n return getRootUrl() + _build(url, params || {});\n }\n\n return getRootUrl() + '/index.php' + _build(url, params || {});\n};\n/**\n * Get the absolute path to an image file\n * if no extension is given for the image, it will automatically decide\n * between .png and .svg based on what the browser supports\n *\n * @param {string} app the app id to which the image belongs\n * @param {string} file the name of the image file\n * @return {string}\n */\n\n\nexports.generateUrl = generateUrl;\n\nvar imagePath = function imagePath(app, file) {\n if (file.indexOf('.') === -1) {\n //if no extension is given, use svg\n return generateFilePath(app, 'img', file + '.svg');\n }\n\n return generateFilePath(app, 'img', file);\n};\n/**\n * Get the absolute url for a file in an app\n *\n * @param {string} app the id of the app\n * @param {string} type the type of the file to link to (e.g. css,img,ajax.template)\n * @param {string} file the filename\n * @return {string} Absolute URL for a file in an app\n */\n\n\nexports.imagePath = imagePath;\n\nvar generateFilePath = function generateFilePath(app, type, file) {\n var isCore = OC.coreApps.indexOf(app) !== -1;\n var link = getRootUrl();\n\n if (file.substring(file.length - 3) === 'php' && !isCore) {\n link += '/index.php/apps/' + app;\n\n if (file !== 'index.php') {\n link += '/';\n\n if (type) {\n link += encodeURI(type + '/');\n }\n\n link += file;\n }\n } else if (file.substring(file.length - 3) !== 'php' && !isCore) {\n link = OC.appswebroots[app];\n\n if (type) {\n link += '/' + type + '/';\n }\n\n if (link.substring(link.length - 1) !== '/') {\n link += '/';\n }\n\n link += file;\n } else {\n if ((app === 'settings' || app === 'core' || app === 'search') && type === 'ajax') {\n link += '/index.php/';\n } else {\n link += '/';\n }\n\n if (!isCore) {\n link += 'apps/';\n }\n\n if (app !== '') {\n app += '/';\n link += app;\n }\n\n if (type) {\n link += type + '/';\n }\n\n link += file;\n }\n\n return link;\n};\n/**\n * Return the web root path where this Nextcloud instance\n * is accessible, with a leading slash.\n * For example \"/nextcloud\".\n *\n * @return {string} web root path\n */\n\n\nexports.generateFilePath = generateFilePath;\n\nvar getRootUrl = function getRootUrl() {\n return OC.webroot;\n};\n\nexports.getRootUrl = getRootUrl;\n//# sourceMappingURL=index.js.map","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar setGlobal = require('../internals/set-global');\nvar inspectSource = require('../internals/inspect-source');\nvar InternalStateModule = require('../internals/internal-state');\n\nvar getInternalState = InternalStateModule.get;\nvar enforceInternalState = InternalStateModule.enforce;\nvar TEMPLATE = String(String).split('String');\n\n(module.exports = function (O, key, value, options) {\n var unsafe = options ? !!options.unsafe : false;\n var simple = options ? !!options.enumerable : false;\n var noTargetGet = options ? !!options.noTargetGet : false;\n if (typeof value == 'function') {\n if (typeof key == 'string' && !has(value, 'name')) createNonEnumerableProperty(value, 'name', key);\n enforceInternalState(value).source = TEMPLATE.join(typeof key == 'string' ? key : '');\n }\n if (O === global) {\n if (simple) O[key] = value;\n else setGlobal(key, value);\n return;\n } else if (!unsafe) {\n delete O[key];\n } else if (!noTargetGet && O[key]) {\n simple = true;\n }\n if (simple) O[key] = value;\n else createNonEnumerableProperty(O, key, value);\n// add fake Function#toString for correct work wrapped methods / constructors with methods like LoDash isNative\n})(Function.prototype, 'toString', function toString() {\n return typeof this == 'function' && getInternalState(this).source || inspectSource(this);\n});\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nconst openMimetypesMarkdown = [\n\t'text/markdown',\n]\n\nconst openMimetypesPlainText = [\n\t'text/plain',\n\t'application/cmd',\n\t'application/x-empty',\n\t'application/x-msdos-program',\n\t'application/epub+zip',\n\t'application/javascript',\n\t'application/json',\n\t'application/x-perl',\n\t'application/x-php',\n\t'application/x-tex',\n\t'application/xml',\n\t'application/yaml',\n\t'text/css',\n\t'text/csv',\n\t'text/html',\n\t'text/x-c',\n\t'text/x-c++src',\n\t'text/x-h',\n\t'text/x-java-source',\n\t'text/x-ldif',\n\t'text/x-python',\n\t'text/x-shellscript',\n]\n\nconst openMimetypes = [...openMimetypesMarkdown, ...openMimetypesPlainText]\n\nexport {\n\topenMimetypes,\n\topenMimetypesMarkdown,\n\topenMimetypesPlainText,\n}\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.subscribe = subscribe;\nexports.unsubscribe = unsubscribe;\nexports.emit = emit;\n\nvar _ProxyBus = require(\"./ProxyBus\");\n\nvar _SimpleBus = require(\"./SimpleBus\");\n\nfunction getBus() {\n if (typeof window.OC !== 'undefined' && window.OC._eventBus && typeof window._nc_event_bus === 'undefined') {\n console.warn('found old event bus instance at OC._eventBus. Update your version!');\n window._nc_event_bus = window.OC._eventBus;\n } // Either use an existing event bus instance or create one\n\n\n if (typeof window._nc_event_bus !== 'undefined') {\n return new _ProxyBus.ProxyBus(window._nc_event_bus);\n } else {\n return window._nc_event_bus = new _SimpleBus.SimpleBus();\n }\n}\n\nvar bus = getBus();\n/**\n * Register an event listener\n *\n * @param name name of the event\n * @param handler callback invoked for every matching event emitted on the bus\n */\n\nfunction subscribe(name, handler) {\n bus.subscribe(name, handler);\n}\n/**\n * Unregister a previously registered event listener\n *\n * Note: doesn't work with anonymous functions (closures). Use method of an object or store listener function in variable.\n *\n * @param name name of the event\n * @param handler callback passed to `subscribed`\n */\n\n\nfunction unsubscribe(name, handler) {\n bus.unsubscribe(name, handler);\n}\n/**\n * Emit an event\n *\n * @param name name of the event\n * @param event event payload\n */\n\n\nfunction emit(name, event) {\n bus.emit(name, event);\n}\n//# sourceMappingURL=index.js.map","var toInteger = require('../internals/to-integer');\n\nvar min = Math.min;\n\n// `ToLength` abstract operation\n// https://tc39.github.io/ecma262/#sec-tolength\nmodule.exports = function (argument) {\n return argument > 0 ? min(toInteger(argument), 0x1FFFFFFFFFFFFF) : 0; // 2 ** 53 - 1 == 9007199254740991\n};\n","var requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `ToObject` abstract operation\n// https://tc39.github.io/ecma262/#sec-toobject\nmodule.exports = function (argument) {\n return Object(requireObjectCoercible(argument));\n};\n","module.exports = {};\n","/* globals __VUE_SSR_CONTEXT__ */\n\n// IMPORTANT: Do NOT use ES2015 features in this file (except for modules).\n// This module is a runtime utility for cleaner component module output and will\n// be included in the final webpack user bundle.\n\nexport default function normalizeComponent (\n scriptExports,\n render,\n staticRenderFns,\n functionalTemplate,\n injectStyles,\n scopeId,\n moduleIdentifier, /* server only */\n shadowMode /* vue-cli only */\n) {\n // Vue.extend constructor export interop\n var options = typeof scriptExports === 'function'\n ? scriptExports.options\n : scriptExports\n\n // render functions\n if (render) {\n options.render = render\n options.staticRenderFns = staticRenderFns\n options._compiled = true\n }\n\n // functional template\n if (functionalTemplate) {\n options.functional = true\n }\n\n // scopedId\n if (scopeId) {\n options._scopeId = 'data-v-' + scopeId\n }\n\n var hook\n if (moduleIdentifier) { // server build\n hook = function (context) {\n // 2.3 injection\n context =\n context || // cached call\n (this.$vnode && this.$vnode.ssrContext) || // stateful\n (this.parent && this.parent.$vnode && this.parent.$vnode.ssrContext) // functional\n // 2.2 with runInNewContext: true\n if (!context && typeof __VUE_SSR_CONTEXT__ !== 'undefined') {\n context = __VUE_SSR_CONTEXT__\n }\n // inject component styles\n if (injectStyles) {\n injectStyles.call(this, context)\n }\n // register component module identifier for async chunk inferrence\n if (context && context._registeredComponents) {\n context._registeredComponents.add(moduleIdentifier)\n }\n }\n // used by ssr in case component is cached and beforeCreate\n // never gets called\n options._ssrRegister = hook\n } else if (injectStyles) {\n hook = shadowMode\n ? function () {\n injectStyles.call(\n this,\n (options.functional ? this.parent : this).$root.$options.shadowRoot\n )\n }\n : injectStyles\n }\n\n if (hook) {\n if (options.functional) {\n // for template-only hot-reload because in that case the render fn doesn't\n // go through the normalizer\n options._injectStyles = hook\n // register for functional component in vue file\n var originalRender = options.render\n options.render = function renderWithStyleInjection (h, context) {\n hook.call(context)\n return originalRender(h, context)\n }\n } else {\n // inject component registration as beforeCreate hook\n var existing = options.beforeCreate\n options.beforeCreate = existing\n ? [].concat(existing, hook)\n : [hook]\n }\n }\n\n return {\n exports: scriptExports,\n options: options\n }\n}\n","var toString = {}.toString;\n\nmodule.exports = function (it) {\n return toString.call(it).slice(8, -1);\n};\n","var NATIVE_WEAK_MAP = require('../internals/native-weak-map');\nvar global = require('../internals/global');\nvar isObject = require('../internals/is-object');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar objectHas = require('../internals/has');\nvar sharedKey = require('../internals/shared-key');\nvar hiddenKeys = require('../internals/hidden-keys');\n\nvar WeakMap = global.WeakMap;\nvar set, get, has;\n\nvar enforce = function (it) {\n return has(it) ? get(it) : set(it, {});\n};\n\nvar getterFor = function (TYPE) {\n return function (it) {\n var state;\n if (!isObject(it) || (state = get(it)).type !== TYPE) {\n throw TypeError('Incompatible receiver, ' + TYPE + ' required');\n } return state;\n };\n};\n\nif (NATIVE_WEAK_MAP) {\n var store = new WeakMap();\n var wmget = store.get;\n var wmhas = store.has;\n var wmset = store.set;\n set = function (it, metadata) {\n wmset.call(store, it, metadata);\n return metadata;\n };\n get = function (it) {\n return wmget.call(store, it) || {};\n };\n has = function (it) {\n return wmhas.call(store, it);\n };\n} else {\n var STATE = sharedKey('state');\n hiddenKeys[STATE] = true;\n set = function (it, metadata) {\n createNonEnumerableProperty(it, STATE, metadata);\n return metadata;\n };\n get = function (it) {\n return objectHas(it, STATE) ? it[STATE] : {};\n };\n has = function (it) {\n return objectHas(it, STATE);\n };\n}\n\nmodule.exports = {\n set: set,\n get: get,\n has: has,\n enforce: enforce,\n getterFor: getterFor\n};\n","// `RequireObjectCoercible` abstract operation\n// https://tc39.github.io/ecma262/#sec-requireobjectcoercible\nmodule.exports = function (it) {\n if (it == undefined) throw TypeError(\"Can't call method on \" + it);\n return it;\n};\n","var path = require('../internals/path');\nvar global = require('../internals/global');\n\nvar aFunction = function (variable) {\n return typeof variable == 'function' ? variable : undefined;\n};\n\nmodule.exports = function (namespace, method) {\n return arguments.length < 2 ? aFunction(path[namespace]) || aFunction(global[namespace])\n : path[namespace] && path[namespace][method] || global[namespace] && global[namespace][method];\n};\n","var ceil = Math.ceil;\nvar floor = Math.floor;\n\n// `ToInteger` abstract operation\n// https://tc39.github.io/ecma262/#sec-tointeger\nmodule.exports = function (argument) {\n return isNaN(argument = +argument) ? 0 : (argument > 0 ? floor : ceil)(argument);\n};\n","module.exports = function (bitmap, value) {\n return {\n enumerable: !(bitmap & 1),\n configurable: !(bitmap & 2),\n writable: !(bitmap & 4),\n value: value\n };\n};\n","// toObject with fallback for non-array-like ES3 strings\nvar IndexedObject = require('../internals/indexed-object');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\nmodule.exports = function (it) {\n return IndexedObject(requireObjectCoercible(it));\n};\n","module.exports = {};\n","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"df9632ee\", content, true, {});","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div v-if=\"enabled\" id=\"rich-workspace\" :class=\"{'icon-loading': !loaded || !ready, 'focus': focus, 'dark': darkTheme, 'creatable': canCreate}\">\n\t\t<div v-if=\"showEmptyWorkspace\" class=\"empty-workspace\" @click=\"createNew\">\n\t\t\t<p class=\"placeholder\">\n\t\t\t\t{{ t('text', 'Add notes, lists or links …') }}\n\t\t\t</p>\n\t\t</div>\n\n\t\t<EditorWrapper v-if=\"file\"\n\t\t\tv-show=\"ready\"\n\t\t\t:key=\"file.id\"\n\t\t\t:file-id=\"file.id\"\n\t\t\t:relative-path=\"file.path\"\n\t\t\t:share-token=\"shareToken\"\n\t\t\t:active=\"true\"\n\t\t\t:autohide=\"true\"\n\t\t\t:mime=\"file.mimetype\"\n\t\t\t:autofocus=\"autofocus\"\n\t\t\t@ready=\"ready=true\"\n\t\t\t@focus=\"focus=true\"\n\t\t\t@blur=\"unfocus\"\n\t\t\t@error=\"reset\" />\n\t</div>\n</template>\n\n<script>\nimport axios from '@nextcloud/axios'\nimport { generateOcsUrl } from '@nextcloud/router'\nimport { subscribe } from '@nextcloud/event-bus'\n\nconst IS_PUBLIC = !!(document.getElementById('isPublic'))\nconst WORKSPACE_URL = generateOcsUrl('apps/text' + (IS_PUBLIC ? '/public' : ''), 2) + 'workspace'\n\nexport default {\n\tname: 'RichWorkspace',\n\tcomponents: {\n\t\tEditorWrapper: () => import(/* webpackChunkName: \"editor\" */'./../components/EditorWrapper'),\n\t},\n\tprops: {\n\t\tpath: {\n\t\t\ttype: String,\n\t\t\trequired: true,\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\tfocus: false,\n\t\t\tfolder: null,\n\t\t\tfile: null,\n\t\t\tloaded: false,\n\t\t\tready: false,\n\t\t\tautofocus: false,\n\t\t\tdarkTheme: OCA.Accessibility && OCA.Accessibility.theme === 'dark',\n\t\t\tenabled: OCA.Text.RichWorkspaceEnabled,\n\t\t}\n\t},\n\tcomputed: {\n\t\tshareToken() {\n\t\t\treturn document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\t\t},\n\t\tcanCreate() {\n\t\t\treturn !!(this.folder && (this.folder.permissions & OC.PERMISSION_CREATE))\n\t\t},\n\t\tshowEmptyWorkspace() {\n\t\t\treturn (!this.file || (this.autofocus && !this.ready)) && this.canCreate\n\t\t},\n\t},\n\twatch: {\n\t\tpath() {\n\t\t\tthis.getFileInfo()\n\t\t},\n\t\tfocus(newValue) {\n\t\t\tif (!newValue) {\n\t\t\t\tdocument.querySelector('#editor').scrollTo(0, 0)\n\t\t\t}\n\t\t},\n\t},\n\tasync mounted() {\n\t\tif (this.enabled) {\n\t\t\tthis.getFileInfo()\n\t\t}\n\t\tsubscribe('Text::showRichWorkspace', () => {\n\t\t\tthis.enabled = true\n\t\t\tthis.getFileInfo()\n\t\t})\n\t\tsubscribe('Text::hideRichWorkspace', () => {\n\t\t\tthis.enabled = false\n\t\t})\n\t},\n\tmethods: {\n\t\tunfocus() {\n\t\t\t// setTimeout(() => this.focus = false, 2000)\n\t\t},\n\t\treset() {\n\t\t\tthis.file = null\n\t\t\tthis.focus = false\n\t\t\tthis.$nextTick(() => {\n\t\t\t\tthis.creating = false\n\t\t\t\tthis.getFileInfo()\n\t\t\t})\n\t\t},\n\t\tgetFileInfo() {\n\t\t\tthis.loaded = false\n\t\t\tthis.autofocus = false\n\t\t\tthis.ready = false\n\t\t\tconst params = { path: this.path }\n\t\t\tif (IS_PUBLIC) {\n\t\t\t\tparams.shareToken = this.shareToken\n\t\t\t}\n\t\t\treturn axios.get(WORKSPACE_URL, { params }).then((response) => {\n\t\t\t\tconst data = response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = data.file\n\t\t\t\tthis.editing = true\n\t\t\t\tthis.loaded = true\n\t\t\t\treturn true\n\t\t\t}).catch((error) => {\n\t\t\t\tconst data = error.response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = null\n\t\t\t\tthis.loaded = true\n\t\t\t\tthis.ready = true\n\t\t\t\tthis.creating = false\n\t\t\t\treturn false\n\t\t\t})\n\t\t},\n\t\tcreateNew() {\n\t\t\tif (this.creating) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tthis.creating = true\n\t\t\tthis.getFileInfo().then((workspaceFileExists) => {\n\t\t\t\tthis.autofocus = true\n\t\t\t\tif (!workspaceFileExists) {\n\t\t\t\t\twindow.FileList.createFile('Readme.md', { scrollTo: false, animate: false }).then((status, data) => {\n\t\t\t\t\t\tthis.getFileInfo()\n\t\t\t\t\t})\n\t\t\t\t}\n\t\t\t})\n\t\t},\n\t},\n}\n</script>\n\n<style lang=\"scss\" scoped>\n\t#rich-workspace {\n\t\tpadding: 0 60px;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -24px;\n\t\ttext-align: left;\n\t\tmax-height: 0;\n\t\ttransition: max-height 0.5s cubic-bezier(0, 1, 0, 1);\n\t\t&.creatable {\n\t\t\tmin-height: 90px;\n\t\t}\n\t}\n\n\t/* For subfolders, where there are no Recommendations */\n\t#rich-workspace:only-child {\n\t\tmargin-bottom: 0;\n\t}\n\n\t.empty-workspace {\n\t\tpadding-top: 43px;\n\t\tcolor: var(--color-text-maxcontrast);\n\t\theight: 0;\n\t}\n\n\t#rich-workspace::v-deep div[contenteditable=false] {\n\t\twidth: 100%;\n\t\tpadding: 0px;\n\t\tbackground-color: var(--color-main-background);\n\t\topacity: 1;\n\t\tborder: none;\n\t}\n\n\t#rich-workspace::v-deep #editor-container {\n\t\theight: 100%;\n\t\tposition: unset !important;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper {\n\t\tposition: unset !important;\n\t\toverflow: visible;\n\t}\n\n\t#rich-workspace::v-deep #editor {\n\t\toverflow: scroll !important;\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper .ProseMirror {\n\t\tpadding: 0px;\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace::v-deep .menubar {\n\t\tz-index: 50;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -10px;\n\t}\n\n\t#rich-workspace::v-deep .menubar .menubar-icons {\n\t\tmargin-left: 0;\n\t}\n\n\t#rich-workspace::v-deep .editor__content {\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace.focus {\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace:not(.focus) {\n\t\tmax-height: 30vh;\n\t\tposition: relative;\n\t\toverflow: hidden;\n\t}\n\n\t#rich-workspace:not(.focus):not(.icon-loading):after {\n\t\tcontent: '';\n\t\tposition: absolute;\n\t\tz-index: 1;\n\t\tbottom: 0;\n\t\tleft: 0;\n\t\tpointer-events: none;\n\t\tbackground-image: linear-gradient(to bottom, rgba(255, 255, 255, 0), var(--color-main-background));\n\t\twidth: 100%;\n\t\theight: 4em;\n\t}\n\n\t#rich-workspace.dark:not(.focus):not(.icon-loading):after {\n\t\tbackground-image: linear-gradient(to bottom, rgba(0, 0, 0, 0), var(--color-main-background));\n\t}\n\n\t@media only screen and (max-width: 1024px) {\n\t\t#rich-workspace:not(.focus) {\n\t\t\tmax-height: 30vh;\n\t\t}\n\t}\n\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./RichWorkspace.vue?vue&type=template&id=4bea3b82&scoped=true&\"\nimport script from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nexport * from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nimport style0 from \"./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"4bea3b82\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return (_vm.enabled)?_c('div',{class:{'icon-loading': !_vm.loaded || !_vm.ready, 'focus': _vm.focus, 'dark': _vm.darkTheme, 'creatable': _vm.canCreate},attrs:{\"id\":\"rich-workspace\"}},[(_vm.showEmptyWorkspace)?_c('div',{staticClass:\"empty-workspace\",on:{\"click\":_vm.createNew}},[_c('p',{staticClass:\"placeholder\"},[_vm._v(\"\\n\\t\\t\\t\"+_vm._s(_vm.t('text', 'Add notes, lists or links …'))+\"\\n\\t\\t\")])]):_vm._e(),_vm._v(\" \"),(_vm.file)?_c('EditorWrapper',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.ready),expression:\"ready\"}],key:_vm.file.id,attrs:{\"file-id\":_vm.file.id,\"relative-path\":_vm.file.path,\"share-token\":_vm.shareToken,\"active\":true,\"autohide\":true,\"mime\":_vm.file.mimetype,\"autofocus\":_vm.autofocus},on:{\"ready\":function($event){_vm.ready=true},\"focus\":function($event){_vm.focus=true},\"blur\":_vm.unfocus,\"error\":_vm.reset}}):_vm._e()],1):_vm._e()}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { openMimetypes } from './mime'\nimport RichWorkspace from '../views/RichWorkspace'\nimport { imagePath } from '@nextcloud/router'\n\nconst FILE_ACTION_IDENTIFIER = 'Edit with text app'\n\nconst optimalPath = function(from, to) {\n\tconst current = from.split('/')\n\tconst target = to.split('/')\n\tcurrent.pop() // ignore filename\n\twhile (current[0] === target[0]) {\n\t\tcurrent.shift()\n\t\ttarget.shift()\n\t}\n\tconst relativePath = current.fill('..').concat(target)\n\tconst absolutePath = to.split('/')\n\treturn relativePath.length < absolutePath.length\n\t\t? relativePath.join('/')\n\t\t: to\n}\n\nconst registerFileCreate = () => {\n\tconst newFileMenuPlugin = {\n\t\tattach(menu) {\n\t\t\tconst fileList = menu.fileList\n\n\t\t\t// only attach to main file list, public view is not supported yet\n\t\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\t\treturn\n\t\t\t}\n\n\t\t\t// register the new menu entry\n\t\t\tmenu.addMenuEntry({\n\t\t\t\tid: 'file',\n\t\t\t\tdisplayName: t('text', 'New text document'),\n\t\t\t\ttemplateName: t('text', 'New text document') + '.md',\n\t\t\t\ticonClass: 'icon-filetype-text',\n\t\t\t\tfileType: 'file',\n\t\t\t\tactionHandler(name) {\n\t\t\t\t\tfileList.createFile(name).then(function(status, data) {\n\t\t\t\t\t\tconst fileInfoModel = new OCA.Files.FileInfoModel(data)\n\t\t\t\t\t\tif (typeof OCA.Viewer !== 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction('view', fileInfoModel, fileList)\n\t\t\t\t\t\t} else if (typeof OCA.Viewer === 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction(FILE_ACTION_IDENTIFIER, fileInfoModel, fileList)\n\t\t\t\t\t\t}\n\t\t\t\t\t})\n\t\t\t\t},\n\t\t\t})\n\t\t},\n\t}\n\tOC.Plugins.register('OCA.Files.NewFileMenu', newFileMenuPlugin)\n}\n\nconst registerFileActionFallback = () => {\n\tconst sharingToken = document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\tconst dir = document.getElementById('dir').value\n\n\tif (!sharingToken || dir !== '') {\n\t\tconst ViewerRoot = document.createElement('div')\n\t\tViewerRoot.id = 'text-viewer-fallback'\n\t\tdocument.body.appendChild(ViewerRoot)\n\t\tconst registerAction = (mime) => OCA.Files.fileActions.register(\n\t\t\tmime,\n\t\t\tFILE_ACTION_IDENTIFIER,\n\t\t\tOC.PERMISSION_UPDATE | OC.PERMISSION_READ,\n\t\t\timagePath('core', 'actions/rename'),\n\t\t\t(filename) => {\n\t\t\t\tconst file = window.FileList.findFile(filename)\n\t\t\t\tPromise.all([\n\t\t\t\t\timport('vue'),\n\t\t\t\t\timport(/* webpackChunkName: \"files-modal\" */'./../components/PublicFilesEditor'),\n\t\t\t\t]).then((imports) => {\n\t\t\t\t\tconst path = window.FileList.getCurrentDirectory() + '/' + filename\n\t\t\t\t\tconst Vue = imports[0].default\n\t\t\t\t\tVue.prototype.t = window.t\n\t\t\t\t\tVue.prototype.n = window.n\n\t\t\t\t\tVue.prototype.OCA = window.OCA\n\t\t\t\t\tconst Editor = imports[1].default\n\t\t\t\t\tconst vm = new Vue({\n\t\t\t\t\t\trender: h => h(Editor, {\n\t\t\t\t\t\t\tprops: {\n\t\t\t\t\t\t\t\tfileId: file ? file.id : null,\n\t\t\t\t\t\t\t\tactive: true,\n\t\t\t\t\t\t\t\tshareToken: sharingToken,\n\t\t\t\t\t\t\t\trelativePath: path,\n\t\t\t\t\t\t\t\tmimeType: file.mimetype,\n\t\t\t\t\t\t\t},\n\t\t\t\t\t\t}),\n\t\t\t\t\t})\n\t\t\t\t\tvm.$mount(ViewerRoot)\n\t\t\t\t})\n\t\t\t},\n\t\t\tt('text', 'Edit')\n\t\t)\n\n\t\tfor (let i = 0; i < openMimetypes.length; i++) {\n\t\t\tregisterAction(openMimetypes[i])\n\t\t\tOCA.Files.fileActions.setDefault(openMimetypes[i], FILE_ACTION_IDENTIFIER)\n\t\t}\n\t}\n\n}\n\nconst FilesWorkspacePlugin = {\n\n\tel: null,\n\n\tattach(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\tthis.el = document.createElement('div')\n\t\tfileList.registerHeader({\n\t\t\tid: 'workspace',\n\t\t\tel: this.el,\n\t\t\trender: this.render.bind(this),\n\t\t\tpriority: 10,\n\t\t})\n\t},\n\n\trender(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\timport('vue').then((module) => {\n\t\t\tconst Vue = module.default\n\t\t\tthis.el.id = 'files-workspace-wrapper'\n\t\t\tVue.prototype.t = window.t\n\t\t\tVue.prototype.n = window.n\n\t\t\tVue.prototype.OCA = window.OCA\n\t\t\tconst View = Vue.extend(RichWorkspace)\n\t\t\tconst vm = new View({\n\t\t\t\tpropsData: {\n\t\t\t\t\tpath: fileList.getCurrentDirectory(),\n\t\t\t\t},\n\t\t\t}).$mount(this.el)\n\n\t\t\tfileList.$el.on('changeDirectory', data => {\n\t\t\t\tvm.path = data.dir.toString()\n\t\t\t})\n\t\t})\n\t},\n}\n\nexport {\n\toptimalPath,\n\tregisterFileActionFallback,\n\tregisterFileCreate,\n\tFilesWorkspacePlugin,\n\tFILE_ACTION_IDENTIFIER,\n}\n","\"use strict\";\n\nrequire(\"core-js/modules/es.object.assign\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.default = void 0;\n\nvar _axios = _interopRequireDefault(require(\"axios\"));\n\nvar _auth = require(\"@nextcloud/auth\");\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nvar client = _axios.default.create({\n headers: {\n requesttoken: (0, _auth.getRequestToken)()\n }\n});\n\nvar cancelableClient = Object.assign(client, {\n CancelToken: _axios.default.CancelToken,\n isCancel: _axios.default.isCancel\n});\n(0, _auth.onRequestTokenUpdate)(function (token) {\n return client.defaults.headers.requesttoken = token;\n});\nvar _default = cancelableClient;\nexports.default = _default;\n//# sourceMappingURL=index.js.map","var aFunction = require('../internals/a-function');\n\n// optional / simple context binding\nmodule.exports = function (fn, that, length) {\n aFunction(fn);\n if (that === undefined) return fn;\n switch (length) {\n case 0: return function () {\n return fn.call(that);\n };\n case 1: return function (a) {\n return fn.call(that, a);\n };\n case 2: return function (a, b) {\n return fn.call(that, a, b);\n };\n case 3: return function (a, b, c) {\n return fn.call(that, a, b, c);\n };\n }\n return function (/* ...args */) {\n return fn.apply(that, arguments);\n };\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar has = require('../internals/has');\n\nvar defineProperty = Object.defineProperty;\nvar cache = {};\n\nvar thrower = function (it) { throw it; };\n\nmodule.exports = function (METHOD_NAME, options) {\n if (has(cache, METHOD_NAME)) return cache[METHOD_NAME];\n if (!options) options = {};\n var method = [][METHOD_NAME];\n var ACCESSORS = has(options, 'ACCESSORS') ? options.ACCESSORS : false;\n var argument0 = has(options, 0) ? options[0] : thrower;\n var argument1 = has(options, 1) ? options[1] : undefined;\n\n return cache[METHOD_NAME] = !!method && !fails(function () {\n if (ACCESSORS && !DESCRIPTORS) return true;\n var O = { length: -1 };\n\n if (ACCESSORS) defineProperty(O, 1, { enumerable: true, get: thrower });\n else O[1] = 1;\n\n method.call(O, argument0, argument1);\n });\n};\n","var anObject = require('../internals/an-object');\nvar defineProperties = require('../internals/object-define-properties');\nvar enumBugKeys = require('../internals/enum-bug-keys');\nvar hiddenKeys = require('../internals/hidden-keys');\nvar html = require('../internals/html');\nvar documentCreateElement = require('../internals/document-create-element');\nvar sharedKey = require('../internals/shared-key');\n\nvar GT = '>';\nvar LT = '<';\nvar PROTOTYPE = 'prototype';\nvar SCRIPT = 'script';\nvar IE_PROTO = sharedKey('IE_PROTO');\n\nvar EmptyConstructor = function () { /* empty */ };\n\nvar scriptTag = function (content) {\n return LT + SCRIPT + GT + content + LT + '/' + SCRIPT + GT;\n};\n\n// Create object with fake `null` prototype: use ActiveX Object with cleared prototype\nvar NullProtoObjectViaActiveX = function (activeXDocument) {\n activeXDocument.write(scriptTag(''));\n activeXDocument.close();\n var temp = activeXDocument.parentWindow.Object;\n activeXDocument = null; // avoid memory leak\n return temp;\n};\n\n// Create object with fake `null` prototype: use iframe Object with cleared prototype\nvar NullProtoObjectViaIFrame = function () {\n // Thrash, waste and sodomy: IE GC bug\n var iframe = documentCreateElement('iframe');\n var JS = 'java' + SCRIPT + ':';\n var iframeDocument;\n iframe.style.display = 'none';\n html.appendChild(iframe);\n // https://github.com/zloirock/core-js/issues/475\n iframe.src = String(JS);\n iframeDocument = iframe.contentWindow.document;\n iframeDocument.open();\n iframeDocument.write(scriptTag('document.F=Object'));\n iframeDocument.close();\n return iframeDocument.F;\n};\n\n// Check for document.domain and active x support\n// No need to use active x approach when document.domain is not set\n// see https://github.com/es-shims/es5-shim/issues/150\n// variation of https://github.com/kitcambridge/es5-shim/commit/4f738ac066346\n// avoid IE GC bug\nvar activeXDocument;\nvar NullProtoObject = function () {\n try {\n /* global ActiveXObject */\n activeXDocument = document.domain && new ActiveXObject('htmlfile');\n } catch (error) { /* ignore */ }\n NullProtoObject = activeXDocument ? NullProtoObjectViaActiveX(activeXDocument) : NullProtoObjectViaIFrame();\n var length = enumBugKeys.length;\n while (length--) delete NullProtoObject[PROTOTYPE][enumBugKeys[length]];\n return NullProtoObject();\n};\n\nhiddenKeys[IE_PROTO] = true;\n\n// `Object.create` method\n// https://tc39.github.io/ecma262/#sec-object.create\nmodule.exports = Object.create || function create(O, Properties) {\n var result;\n if (O !== null) {\n EmptyConstructor[PROTOTYPE] = anObject(O);\n result = new EmptyConstructor();\n EmptyConstructor[PROTOTYPE] = null;\n // add \"__proto__\" for Object.getPrototypeOf polyfill\n result[IE_PROTO] = O;\n } else result = NullProtoObject();\n return Properties === undefined ? result : defineProperties(result, Properties);\n};\n","var defineProperty = require('../internals/object-define-property').f;\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n\nmodule.exports = function (it, TAG, STATIC) {\n if (it && !has(it = STATIC ? it : it.prototype, TO_STRING_TAG)) {\n defineProperty(it, TO_STRING_TAG, { configurable: true, value: TAG });\n }\n};\n","var isObject = require('../internals/is-object');\n\n// `ToPrimitive` abstract operation\n// https://tc39.github.io/ecma262/#sec-toprimitive\n// instead of the ES6 spec version, we didn't implement @@toPrimitive case\n// and the second argument - flag - preferred type is a string\nmodule.exports = function (input, PREFERRED_STRING) {\n if (!isObject(input)) return input;\n var fn, val;\n if (PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n if (typeof (fn = input.valueOf) == 'function' && !isObject(val = fn.call(input))) return val;\n if (!PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n throw TypeError(\"Can't convert object to primitive value\");\n};\n","module.exports = false;\n","var fails = require('../internals/fails');\nvar classof = require('../internals/classof-raw');\n\nvar split = ''.split;\n\n// fallback for non-array-like ES3 and non-enumerable old V8 strings\nmodule.exports = fails(function () {\n // throws an error in rhino, see https://github.com/mozilla/rhino/issues/346\n // eslint-disable-next-line no-prototype-builtins\n return !Object('z').propertyIsEnumerable(0);\n}) ? function (it) {\n return classof(it) == 'String' ? split.call(it, '') : Object(it);\n} : Object;\n","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nmodule.exports = function (key, value) {\n try {\n createNonEnumerableProperty(global, key, value);\n } catch (error) {\n global[key] = value;\n } return value;\n};\n","var shared = require('../internals/shared');\nvar uid = require('../internals/uid');\n\nvar keys = shared('keys');\n\nmodule.exports = function (key) {\n return keys[key] || (keys[key] = uid(key));\n};\n","var id = 0;\nvar postfix = Math.random();\n\nmodule.exports = function (key) {\n return 'Symbol(' + String(key === undefined ? '' : key) + ')_' + (++id + postfix).toString(36);\n};\n","// IE8- don't enum bug keys\nmodule.exports = [\n 'constructor',\n 'hasOwnProperty',\n 'isPrototypeOf',\n 'propertyIsEnumerable',\n 'toLocaleString',\n 'toString',\n 'valueOf'\n];\n","// Note: this is the semver.org version of the spec that it implements\n// Not necessarily the package version of this code.\nconst SEMVER_SPEC_VERSION = '2.0.0'\n\nconst MAX_LENGTH = 256\nconst MAX_SAFE_INTEGER = Number.MAX_SAFE_INTEGER ||\n /* istanbul ignore next */ 9007199254740991\n\n// Max safe segment length for coercion.\nconst MAX_SAFE_COMPONENT_LENGTH = 16\n\nmodule.exports = {\n SEMVER_SPEC_VERSION,\n MAX_LENGTH,\n MAX_SAFE_INTEGER,\n MAX_SAFE_COMPONENT_LENGTH\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar createIteratorConstructor = require('../internals/create-iterator-constructor');\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\nvar Iterators = require('../internals/iterators');\nvar IteratorsCore = require('../internals/iterators-core');\n\nvar IteratorPrototype = IteratorsCore.IteratorPrototype;\nvar BUGGY_SAFARI_ITERATORS = IteratorsCore.BUGGY_SAFARI_ITERATORS;\nvar ITERATOR = wellKnownSymbol('iterator');\nvar KEYS = 'keys';\nvar VALUES = 'values';\nvar ENTRIES = 'entries';\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (Iterable, NAME, IteratorConstructor, next, DEFAULT, IS_SET, FORCED) {\n createIteratorConstructor(IteratorConstructor, NAME, next);\n\n var getIterationMethod = function (KIND) {\n if (KIND === DEFAULT && defaultIterator) return defaultIterator;\n if (!BUGGY_SAFARI_ITERATORS && KIND in IterablePrototype) return IterablePrototype[KIND];\n switch (KIND) {\n case KEYS: return function keys() { return new IteratorConstructor(this, KIND); };\n case VALUES: return function values() { return new IteratorConstructor(this, KIND); };\n case ENTRIES: return function entries() { return new IteratorConstructor(this, KIND); };\n } return function () { return new IteratorConstructor(this); };\n };\n\n var TO_STRING_TAG = NAME + ' Iterator';\n var INCORRECT_VALUES_NAME = false;\n var IterablePrototype = Iterable.prototype;\n var nativeIterator = IterablePrototype[ITERATOR]\n || IterablePrototype['@@iterator']\n || DEFAULT && IterablePrototype[DEFAULT];\n var defaultIterator = !BUGGY_SAFARI_ITERATORS && nativeIterator || getIterationMethod(DEFAULT);\n var anyNativeIterator = NAME == 'Array' ? IterablePrototype.entries || nativeIterator : nativeIterator;\n var CurrentIteratorPrototype, methods, KEY;\n\n // fix native\n if (anyNativeIterator) {\n CurrentIteratorPrototype = getPrototypeOf(anyNativeIterator.call(new Iterable()));\n if (IteratorPrototype !== Object.prototype && CurrentIteratorPrototype.next) {\n if (!IS_PURE && getPrototypeOf(CurrentIteratorPrototype) !== IteratorPrototype) {\n if (setPrototypeOf) {\n setPrototypeOf(CurrentIteratorPrototype, IteratorPrototype);\n } else if (typeof CurrentIteratorPrototype[ITERATOR] != 'function') {\n createNonEnumerableProperty(CurrentIteratorPrototype, ITERATOR, returnThis);\n }\n }\n // Set @@toStringTag to native iterators\n setToStringTag(CurrentIteratorPrototype, TO_STRING_TAG, true, true);\n if (IS_PURE) Iterators[TO_STRING_TAG] = returnThis;\n }\n }\n\n // fix Array#{values, @@iterator}.name in V8 / FF\n if (DEFAULT == VALUES && nativeIterator && nativeIterator.name !== VALUES) {\n INCORRECT_VALUES_NAME = true;\n defaultIterator = function values() { return nativeIterator.call(this); };\n }\n\n // define iterator\n if ((!IS_PURE || FORCED) && IterablePrototype[ITERATOR] !== defaultIterator) {\n createNonEnumerableProperty(IterablePrototype, ITERATOR, defaultIterator);\n }\n Iterators[NAME] = defaultIterator;\n\n // export additional methods\n if (DEFAULT) {\n methods = {\n values: getIterationMethod(VALUES),\n keys: IS_SET ? defaultIterator : getIterationMethod(KEYS),\n entries: getIterationMethod(ENTRIES)\n };\n if (FORCED) for (KEY in methods) {\n if (BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME || !(KEY in IterablePrototype)) {\n redefine(IterablePrototype, KEY, methods[KEY]);\n }\n } else $({ target: NAME, proto: true, forced: BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME }, methods);\n }\n\n return methods;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar test = {};\n\ntest[TO_STRING_TAG] = 'z';\n\nmodule.exports = String(test) === '[object z]';\n","'use strict';\nvar regexpFlags = require('./regexp-flags');\nvar stickyHelpers = require('./regexp-sticky-helpers');\n\nvar nativeExec = RegExp.prototype.exec;\n// This always refers to the native implementation, because the\n// String#replace polyfill uses ./fix-regexp-well-known-symbol-logic.js,\n// which loads this file before patching the method.\nvar nativeReplace = String.prototype.replace;\n\nvar patchedExec = nativeExec;\n\nvar UPDATES_LAST_INDEX_WRONG = (function () {\n var re1 = /a/;\n var re2 = /b*/g;\n nativeExec.call(re1, 'a');\n nativeExec.call(re2, 'a');\n return re1.lastIndex !== 0 || re2.lastIndex !== 0;\n})();\n\nvar UNSUPPORTED_Y = stickyHelpers.UNSUPPORTED_Y || stickyHelpers.BROKEN_CARET;\n\n// nonparticipating capturing group, copied from es5-shim's String#split patch.\nvar NPCG_INCLUDED = /()??/.exec('')[1] !== undefined;\n\nvar PATCH = UPDATES_LAST_INDEX_WRONG || NPCG_INCLUDED || UNSUPPORTED_Y;\n\nif (PATCH) {\n patchedExec = function exec(str) {\n var re = this;\n var lastIndex, reCopy, match, i;\n var sticky = UNSUPPORTED_Y && re.sticky;\n var flags = regexpFlags.call(re);\n var source = re.source;\n var charsAdded = 0;\n var strCopy = str;\n\n if (sticky) {\n flags = flags.replace('y', '');\n if (flags.indexOf('g') === -1) {\n flags += 'g';\n }\n\n strCopy = String(str).slice(re.lastIndex);\n // Support anchored sticky behavior.\n if (re.lastIndex > 0 && (!re.multiline || re.multiline && str[re.lastIndex - 1] !== '\\n')) {\n source = '(?: ' + source + ')';\n strCopy = ' ' + strCopy;\n charsAdded++;\n }\n // ^(? + rx + ) is needed, in combination with some str slicing, to\n // simulate the 'y' flag.\n reCopy = new RegExp('^(?:' + source + ')', flags);\n }\n\n if (NPCG_INCLUDED) {\n reCopy = new RegExp('^' + source + '$(?!\\\\s)', flags);\n }\n if (UPDATES_LAST_INDEX_WRONG) lastIndex = re.lastIndex;\n\n match = nativeExec.call(sticky ? reCopy : re, strCopy);\n\n if (sticky) {\n if (match) {\n match.input = match.input.slice(charsAdded);\n match[0] = match[0].slice(charsAdded);\n match.index = re.lastIndex;\n re.lastIndex += match[0].length;\n } else re.lastIndex = 0;\n } else if (UPDATES_LAST_INDEX_WRONG && match) {\n re.lastIndex = re.global ? match.index + match[0].length : lastIndex;\n }\n if (NPCG_INCLUDED && match && match.length > 1) {\n // Fix browsers whose `exec` methods don't consistently return `undefined`\n // for NPCG, like IE8. NOTE: This doesn' work for /(.?)?/\n nativeReplace.call(match[0], reCopy, function () {\n for (i = 1; i < arguments.length - 2; i++) {\n if (arguments[i] === undefined) match[i] = undefined;\n }\n });\n }\n\n return match;\n };\n}\n\nmodule.exports = patchedExec;\n","var g;\n\n// This works in non-strict mode\ng = (function() {\n\treturn this;\n})();\n\ntry {\n\t// This works if eval is allowed (see CSP)\n\tg = g || new Function(\"return this\")();\n} catch (e) {\n\t// This works if the window reference is available\n\tif (typeof window === \"object\") g = window;\n}\n\n// g can still be undefined, but nothing to do about it...\n// We return undefined, instead of nothing here, so it's\n// easier to handle this case. if(!global) { ...}\n\nmodule.exports = g;\n","// shim for using process in browser\nvar process = module.exports = {};\n\n// cached from whatever global is present so that test runners that stub it\n// don't break things. But we need to wrap it in a try catch in case it is\n// wrapped in strict mode code which doesn't define any globals. It's inside a\n// function because try/catches deoptimize in certain engines.\n\nvar cachedSetTimeout;\nvar cachedClearTimeout;\n\nfunction defaultSetTimout() {\n throw new Error('setTimeout has not been defined');\n}\nfunction defaultClearTimeout () {\n throw new Error('clearTimeout has not been defined');\n}\n(function () {\n try {\n if (typeof setTimeout === 'function') {\n cachedSetTimeout = setTimeout;\n } else {\n cachedSetTimeout = defaultSetTimout;\n }\n } catch (e) {\n cachedSetTimeout = defaultSetTimout;\n }\n try {\n if (typeof clearTimeout === 'function') {\n cachedClearTimeout = clearTimeout;\n } else {\n cachedClearTimeout = defaultClearTimeout;\n }\n } catch (e) {\n cachedClearTimeout = defaultClearTimeout;\n }\n} ())\nfunction runTimeout(fun) {\n if (cachedSetTimeout === setTimeout) {\n //normal enviroments in sane situations\n return setTimeout(fun, 0);\n }\n // if setTimeout wasn't available but was latter defined\n if ((cachedSetTimeout === defaultSetTimout || !cachedSetTimeout) && setTimeout) {\n cachedSetTimeout = setTimeout;\n return setTimeout(fun, 0);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedSetTimeout(fun, 0);\n } catch(e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedSetTimeout.call(null, fun, 0);\n } catch(e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error\n return cachedSetTimeout.call(this, fun, 0);\n }\n }\n\n\n}\nfunction runClearTimeout(marker) {\n if (cachedClearTimeout === clearTimeout) {\n //normal enviroments in sane situations\n return clearTimeout(marker);\n }\n // if clearTimeout wasn't available but was latter defined\n if ((cachedClearTimeout === defaultClearTimeout || !cachedClearTimeout) && clearTimeout) {\n cachedClearTimeout = clearTimeout;\n return clearTimeout(marker);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedClearTimeout(marker);\n } catch (e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedClearTimeout.call(null, marker);\n } catch (e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error.\n // Some versions of I.E. have different rules for clearTimeout vs setTimeout\n return cachedClearTimeout.call(this, marker);\n }\n }\n\n\n\n}\nvar queue = [];\nvar draining = false;\nvar currentQueue;\nvar queueIndex = -1;\n\nfunction cleanUpNextTick() {\n if (!draining || !currentQueue) {\n return;\n }\n draining = false;\n if (currentQueue.length) {\n queue = currentQueue.concat(queue);\n } else {\n queueIndex = -1;\n }\n if (queue.length) {\n drainQueue();\n }\n}\n\nfunction drainQueue() {\n if (draining) {\n return;\n }\n var timeout = runTimeout(cleanUpNextTick);\n draining = true;\n\n var len = queue.length;\n while(len) {\n currentQueue = queue;\n queue = [];\n while (++queueIndex < len) {\n if (currentQueue) {\n currentQueue[queueIndex].run();\n }\n }\n queueIndex = -1;\n len = queue.length;\n }\n currentQueue = null;\n draining = false;\n runClearTimeout(timeout);\n}\n\nprocess.nextTick = function (fun) {\n var args = new Array(arguments.length - 1);\n if (arguments.length > 1) {\n for (var i = 1; i < arguments.length; i++) {\n args[i - 1] = arguments[i];\n }\n }\n queue.push(new Item(fun, args));\n if (queue.length === 1 && !draining) {\n runTimeout(drainQueue);\n }\n};\n\n// v8 likes predictible objects\nfunction Item(fun, array) {\n this.fun = fun;\n this.array = array;\n}\nItem.prototype.run = function () {\n this.fun.apply(null, this.array);\n};\nprocess.title = 'browser';\nprocess.browser = true;\nprocess.env = {};\nprocess.argv = [];\nprocess.version = ''; // empty string to avoid regexp issues\nprocess.versions = {};\n\nfunction noop() {}\n\nprocess.on = noop;\nprocess.addListener = noop;\nprocess.once = noop;\nprocess.off = noop;\nprocess.removeListener = noop;\nprocess.removeAllListeners = noop;\nprocess.emit = noop;\nprocess.prependListener = noop;\nprocess.prependOnceListener = noop;\n\nprocess.listeners = function (name) { return [] }\n\nprocess.binding = function (name) {\n throw new Error('process.binding is not supported');\n};\n\nprocess.cwd = function () { return '/' };\nprocess.chdir = function (dir) {\n throw new Error('process.chdir is not supported');\n};\nprocess.umask = function() { return 0; };\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.concat\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.loadState = loadState;\n\n/**\n * @param app app ID, e.g. \"mail\"\n * @param key name of the property\n * @throws if the key can't be found\n */\nfunction loadState(app, key) {\n var elem = document.querySelector(\"#initial-state-\".concat(app, \"-\").concat(key));\n\n if (elem === null) {\n throw new Error(\"Could not find initial state \".concat(key, \" of \").concat(app));\n }\n\n try {\n return JSON.parse(atob(elem.value));\n } catch (e) {\n throw new Error(\"Could not parse initial state \".concat(key, \" of \").concat(app));\n }\n}\n//# sourceMappingURL=index.js.map","\"use strict\";\n\n/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n*/\n// css base code, injected by the css-loader\n// eslint-disable-next-line func-names\nmodule.exports = function (useSourceMap) {\n var list = []; // return the list of modules as css string\n\n list.toString = function toString() {\n return this.map(function (item) {\n var content = cssWithMappingToString(item, useSourceMap);\n\n if (item[2]) {\n return \"@media \".concat(item[2], \" {\").concat(content, \"}\");\n }\n\n return content;\n }).join('');\n }; // import a list of modules into the list\n // eslint-disable-next-line func-names\n\n\n list.i = function (modules, mediaQuery, dedupe) {\n if (typeof modules === 'string') {\n // eslint-disable-next-line no-param-reassign\n modules = [[null, modules, '']];\n }\n\n var alreadyImportedModules = {};\n\n if (dedupe) {\n for (var i = 0; i < this.length; i++) {\n // eslint-disable-next-line prefer-destructuring\n var id = this[i][0];\n\n if (id != null) {\n alreadyImportedModules[id] = true;\n }\n }\n }\n\n for (var _i = 0; _i < modules.length; _i++) {\n var item = [].concat(modules[_i]);\n\n if (dedupe && alreadyImportedModules[item[0]]) {\n // eslint-disable-next-line no-continue\n continue;\n }\n\n if (mediaQuery) {\n if (!item[2]) {\n item[2] = mediaQuery;\n } else {\n item[2] = \"\".concat(mediaQuery, \" and \").concat(item[2]);\n }\n }\n\n list.push(item);\n }\n };\n\n return list;\n};\n\nfunction cssWithMappingToString(item, useSourceMap) {\n var content = item[1] || ''; // eslint-disable-next-line prefer-destructuring\n\n var cssMapping = item[3];\n\n if (!cssMapping) {\n return content;\n }\n\n if (useSourceMap && typeof btoa === 'function') {\n var sourceMapping = toComment(cssMapping);\n var sourceURLs = cssMapping.sources.map(function (source) {\n return \"/*# sourceURL=\".concat(cssMapping.sourceRoot || '').concat(source, \" */\");\n });\n return [content].concat(sourceURLs).concat([sourceMapping]).join('\\n');\n }\n\n return [content].join('\\n');\n} // Adapted from convert-source-map (MIT)\n\n\nfunction toComment(sourceMap) {\n // eslint-disable-next-line no-undef\n var base64 = btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap))));\n var data = \"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(base64);\n return \"/*# \".concat(data, \" */\");\n}","/**\n * Translates the list format produced by css-loader into something\n * easier to manipulate.\n */\nexport default function listToStyles (parentId, list) {\n var styles = []\n var newStyles = {}\n for (var i = 0; i < list.length; i++) {\n var item = list[i]\n var id = item[0]\n var css = item[1]\n var media = item[2]\n var sourceMap = item[3]\n var part = {\n id: parentId + ':' + i,\n css: css,\n media: media,\n sourceMap: sourceMap\n }\n if (!newStyles[id]) {\n styles.push(newStyles[id] = { id: id, parts: [part] })\n } else {\n newStyles[id].parts.push(part)\n }\n }\n return styles\n}\n","/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n Modified by Evan You @yyx990803\n*/\n\nimport listToStyles from './listToStyles'\n\nvar hasDocument = typeof document !== 'undefined'\n\nif (typeof DEBUG !== 'undefined' && DEBUG) {\n if (!hasDocument) {\n throw new Error(\n 'vue-style-loader cannot be used in a non-browser environment. ' +\n \"Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\"\n ) }\n}\n\n/*\ntype StyleObject = {\n id: number;\n parts: Array<StyleObjectPart>\n}\n\ntype StyleObjectPart = {\n css: string;\n media: string;\n sourceMap: ?string\n}\n*/\n\nvar stylesInDom = {/*\n [id: number]: {\n id: number,\n refs: number,\n parts: Array<(obj?: StyleObjectPart) => void>\n }\n*/}\n\nvar head = hasDocument && (document.head || document.getElementsByTagName('head')[0])\nvar singletonElement = null\nvar singletonCounter = 0\nvar isProduction = false\nvar noop = function () {}\nvar options = null\nvar ssrIdKey = 'data-vue-ssr-id'\n\n// Force single-tag solution on IE6-9, which has a hard limit on the # of <style>\n// tags it will allow on a page\nvar isOldIE = typeof navigator !== 'undefined' && /msie [6-9]\\b/.test(navigator.userAgent.toLowerCase())\n\nexport default function addStylesClient (parentId, list, _isProduction, _options) {\n isProduction = _isProduction\n\n options = _options || {}\n\n var styles = listToStyles(parentId, list)\n addStylesToDom(styles)\n\n return function update (newList) {\n var mayRemove = []\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n domStyle.refs--\n mayRemove.push(domStyle)\n }\n if (newList) {\n styles = listToStyles(parentId, newList)\n addStylesToDom(styles)\n } else {\n styles = []\n }\n for (var i = 0; i < mayRemove.length; i++) {\n var domStyle = mayRemove[i]\n if (domStyle.refs === 0) {\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j]()\n }\n delete stylesInDom[domStyle.id]\n }\n }\n }\n}\n\nfunction addStylesToDom (styles /* Array<StyleObject> */) {\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n if (domStyle) {\n domStyle.refs++\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j](item.parts[j])\n }\n for (; j < item.parts.length; j++) {\n domStyle.parts.push(addStyle(item.parts[j]))\n }\n if (domStyle.parts.length > item.parts.length) {\n domStyle.parts.length = item.parts.length\n }\n } else {\n var parts = []\n for (var j = 0; j < item.parts.length; j++) {\n parts.push(addStyle(item.parts[j]))\n }\n stylesInDom[item.id] = { id: item.id, refs: 1, parts: parts }\n }\n }\n}\n\nfunction createStyleElement () {\n var styleElement = document.createElement('style')\n styleElement.type = 'text/css'\n head.appendChild(styleElement)\n return styleElement\n}\n\nfunction addStyle (obj /* StyleObjectPart */) {\n var update, remove\n var styleElement = document.querySelector('style[' + ssrIdKey + '~=\"' + obj.id + '\"]')\n\n if (styleElement) {\n if (isProduction) {\n // has SSR styles and in production mode.\n // simply do nothing.\n return noop\n } else {\n // has SSR styles but in dev mode.\n // for some reason Chrome can't handle source map in server-rendered\n // style tags - source maps in <style> only works if the style tag is\n // created and inserted dynamically. So we remove the server rendered\n // styles and inject new ones.\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n if (isOldIE) {\n // use singleton mode for IE9.\n var styleIndex = singletonCounter++\n styleElement = singletonElement || (singletonElement = createStyleElement())\n update = applyToSingletonTag.bind(null, styleElement, styleIndex, false)\n remove = applyToSingletonTag.bind(null, styleElement, styleIndex, true)\n } else {\n // use multi-style-tag mode in all other cases\n styleElement = createStyleElement()\n update = applyToTag.bind(null, styleElement)\n remove = function () {\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n update(obj)\n\n return function updateStyle (newObj /* StyleObjectPart */) {\n if (newObj) {\n if (newObj.css === obj.css &&\n newObj.media === obj.media &&\n newObj.sourceMap === obj.sourceMap) {\n return\n }\n update(obj = newObj)\n } else {\n remove()\n }\n }\n}\n\nvar replaceText = (function () {\n var textStore = []\n\n return function (index, replacement) {\n textStore[index] = replacement\n return textStore.filter(Boolean).join('\\n')\n }\n})()\n\nfunction applyToSingletonTag (styleElement, index, remove, obj) {\n var css = remove ? '' : obj.css\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = replaceText(index, css)\n } else {\n var cssNode = document.createTextNode(css)\n var childNodes = styleElement.childNodes\n if (childNodes[index]) styleElement.removeChild(childNodes[index])\n if (childNodes.length) {\n styleElement.insertBefore(cssNode, childNodes[index])\n } else {\n styleElement.appendChild(cssNode)\n }\n }\n}\n\nfunction applyToTag (styleElement, obj) {\n var css = obj.css\n var media = obj.media\n var sourceMap = obj.sourceMap\n\n if (media) {\n styleElement.setAttribute('media', media)\n }\n if (options.ssrId) {\n styleElement.setAttribute(ssrIdKey, obj.id)\n }\n\n if (sourceMap) {\n // https://developer.chrome.com/devtools/docs/javascript-debugging\n // this makes source maps inside style tags work properly in Chrome\n css += '\\n/*# sourceURL=' + sourceMap.sources[0] + ' */'\n // http://stackoverflow.com/a/26603875\n css += '\\n/*# sourceMappingURL=data:application/json;base64,' + btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap)))) + ' */'\n }\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = css\n } else {\n while (styleElement.firstChild) {\n styleElement.removeChild(styleElement.firstChild)\n }\n styleElement.appendChild(document.createTextNode(css))\n }\n}\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar redefine = require('../internals/redefine');\nvar toString = require('../internals/object-to-string');\n\n// `Object.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nif (!TO_STRING_TAG_SUPPORT) {\n redefine(Object.prototype, 'toString', toString, { unsafe: true });\n}\n","'use strict';\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar addToUnscopables = require('../internals/add-to-unscopables');\nvar Iterators = require('../internals/iterators');\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar ARRAY_ITERATOR = 'Array Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(ARRAY_ITERATOR);\n\n// `Array.prototype.entries` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.entries\n// `Array.prototype.keys` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.keys\n// `Array.prototype.values` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.values\n// `Array.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@iterator\n// `CreateArrayIterator` internal method\n// https://tc39.github.io/ecma262/#sec-createarrayiterator\nmodule.exports = defineIterator(Array, 'Array', function (iterated, kind) {\n setInternalState(this, {\n type: ARRAY_ITERATOR,\n target: toIndexedObject(iterated), // target\n index: 0, // next index\n kind: kind // kind\n });\n// `%ArrayIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%arrayiteratorprototype%.next\n}, function () {\n var state = getInternalState(this);\n var target = state.target;\n var kind = state.kind;\n var index = state.index++;\n if (!target || index >= target.length) {\n state.target = undefined;\n return { value: undefined, done: true };\n }\n if (kind == 'keys') return { value: index, done: false };\n if (kind == 'values') return { value: target[index], done: false };\n return { value: [index, target[index]], done: false };\n}, 'values');\n\n// argumentsList[@@iterator] is %ArrayProto_values%\n// https://tc39.github.io/ecma262/#sec-createunmappedargumentsobject\n// https://tc39.github.io/ecma262/#sec-createmappedargumentsobject\nIterators.Arguments = Iterators.Array;\n\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\naddToUnscopables('keys');\naddToUnscopables('values');\naddToUnscopables('entries');\n","'use strict';\nvar $ = require('../internals/export');\nvar exec = require('../internals/regexp-exec');\n\n$({ target: 'RegExp', proto: true, forced: /./.exec !== exec }, {\n exec: exec\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar toPrimitive = require('../internals/to-primitive');\nvar has = require('../internals/has');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\n\nvar nativeGetOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// `Object.getOwnPropertyDescriptor` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertydescriptor\nexports.f = DESCRIPTORS ? nativeGetOwnPropertyDescriptor : function getOwnPropertyDescriptor(O, P) {\n O = toIndexedObject(O);\n P = toPrimitive(P, true);\n if (IE8_DOM_DEFINE) try {\n return nativeGetOwnPropertyDescriptor(O, P);\n } catch (error) { /* empty */ }\n if (has(O, P)) return createPropertyDescriptor(!propertyIsEnumerableModule.f.call(O, P), O[P]);\n};\n","var fails = require('../internals/fails');\n\nvar replacement = /#|\\.prototype\\./;\n\nvar isForced = function (feature, detection) {\n var value = data[normalize(feature)];\n return value == POLYFILL ? true\n : value == NATIVE ? false\n : typeof detection == 'function' ? fails(detection)\n : !!detection;\n};\n\nvar normalize = isForced.normalize = function (string) {\n return String(string).replace(replacement, '.').toLowerCase();\n};\n\nvar data = isForced.data = {};\nvar NATIVE = isForced.NATIVE = 'N';\nvar POLYFILL = isForced.POLYFILL = 'P';\n\nmodule.exports = isForced;\n","var fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (METHOD_NAME) {\n // We can't use this feature detection in V8 since it causes\n // deoptimization and serious performance degradation\n // https://github.com/zloirock/core-js/issues/677\n return V8_VERSION >= 51 || !fails(function () {\n var array = [];\n var constructor = array.constructor = {};\n constructor[SPECIES] = function () {\n return { foo: 1 };\n };\n return array[METHOD_NAME](Boolean).foo !== 1;\n });\n};\n","module.exports = function (it, Constructor, name) {\n if (!(it instanceof Constructor)) {\n throw TypeError('Incorrect ' + (name ? name + ' ' : '') + 'invocation');\n } return it;\n};\n","var $ = require('../internals/export');\nvar assign = require('../internals/object-assign');\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\n$({ target: 'Object', stat: true, forced: Object.assign !== assign }, {\n assign: assign\n});\n","var isObject = require('../internals/is-object');\nvar isArray = require('../internals/is-array');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar SPECIES = wellKnownSymbol('species');\n\n// `ArraySpeciesCreate` abstract operation\n// https://tc39.github.io/ecma262/#sec-arrayspeciescreate\nmodule.exports = function (originalArray, length) {\n var C;\n if (isArray(originalArray)) {\n C = originalArray.constructor;\n // cross-realm fallback\n if (typeof C == 'function' && (C === Array || isArray(C.prototype))) C = undefined;\n else if (isObject(C)) {\n C = C[SPECIES];\n if (C === null) C = undefined;\n }\n } return new (C === undefined ? Array : C)(length === 0 ? 0 : length);\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar fails = require('../internals/fails');\nvar isArray = require('../internals/is-array');\nvar isObject = require('../internals/is-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar createProperty = require('../internals/create-property');\nvar arraySpeciesCreate = require('../internals/array-species-create');\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar IS_CONCAT_SPREADABLE = wellKnownSymbol('isConcatSpreadable');\nvar MAX_SAFE_INTEGER = 0x1FFFFFFFFFFFFF;\nvar MAXIMUM_ALLOWED_INDEX_EXCEEDED = 'Maximum allowed index exceeded';\n\n// We can't use this feature detection in V8 since it causes\n// deoptimization and serious performance degradation\n// https://github.com/zloirock/core-js/issues/679\nvar IS_CONCAT_SPREADABLE_SUPPORT = V8_VERSION >= 51 || !fails(function () {\n var array = [];\n array[IS_CONCAT_SPREADABLE] = false;\n return array.concat()[0] !== array;\n});\n\nvar SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('concat');\n\nvar isConcatSpreadable = function (O) {\n if (!isObject(O)) return false;\n var spreadable = O[IS_CONCAT_SPREADABLE];\n return spreadable !== undefined ? !!spreadable : isArray(O);\n};\n\nvar FORCED = !IS_CONCAT_SPREADABLE_SUPPORT || !SPECIES_SUPPORT;\n\n// `Array.prototype.concat` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.concat\n// with adding support of @@isConcatSpreadable and @@species\n$({ target: 'Array', proto: true, forced: FORCED }, {\n concat: function concat(arg) { // eslint-disable-line no-unused-vars\n var O = toObject(this);\n var A = arraySpeciesCreate(O, 0);\n var n = 0;\n var i, k, length, len, E;\n for (i = -1, length = arguments.length; i < length; i++) {\n E = i === -1 ? O : arguments[i];\n if (isConcatSpreadable(E)) {\n len = toLength(E.length);\n if (n + len > MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n for (k = 0; k < len; k++, n++) if (k in E) createProperty(A, n, E[k]);\n } else {\n if (n >= MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n createProperty(A, n++, E);\n }\n }\n A.length = n;\n return A;\n }\n});\n","var global = require('../internals/global');\nvar isObject = require('../internals/is-object');\n\nvar document = global.document;\n// typeof document.createElement is 'object' in old IE\nvar EXISTS = isObject(document) && isObject(document.createElement);\n\nmodule.exports = function (it) {\n return EXISTS ? document.createElement(it) : {};\n};\n","var store = require('../internals/shared-store');\n\nvar functionToString = Function.toString;\n\n// this helper broken in `3.4.1-3.4.4`, so we can't use `shared` helper\nif (typeof store.inspectSource != 'function') {\n store.inspectSource = function (it) {\n return functionToString.call(it);\n };\n}\n\nmodule.exports = store.inspectSource;\n","var bind = require('../internals/function-bind-context');\nvar IndexedObject = require('../internals/indexed-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar arraySpeciesCreate = require('../internals/array-species-create');\n\nvar push = [].push;\n\n// `Array.prototype.{ forEach, map, filter, some, every, find, findIndex }` methods implementation\nvar createMethod = function (TYPE) {\n var IS_MAP = TYPE == 1;\n var IS_FILTER = TYPE == 2;\n var IS_SOME = TYPE == 3;\n var IS_EVERY = TYPE == 4;\n var IS_FIND_INDEX = TYPE == 6;\n var NO_HOLES = TYPE == 5 || IS_FIND_INDEX;\n return function ($this, callbackfn, that, specificCreate) {\n var O = toObject($this);\n var self = IndexedObject(O);\n var boundFunction = bind(callbackfn, that, 3);\n var length = toLength(self.length);\n var index = 0;\n var create = specificCreate || arraySpeciesCreate;\n var target = IS_MAP ? create($this, length) : IS_FILTER ? create($this, 0) : undefined;\n var value, result;\n for (;length > index; index++) if (NO_HOLES || index in self) {\n value = self[index];\n result = boundFunction(value, index, O);\n if (TYPE) {\n if (IS_MAP) target[index] = result; // map\n else if (result) switch (TYPE) {\n case 3: return true; // some\n case 5: return value; // find\n case 6: return index; // findIndex\n case 2: push.call(target, value); // filter\n } else if (IS_EVERY) return false; // every\n }\n }\n return IS_FIND_INDEX ? -1 : IS_SOME || IS_EVERY ? IS_EVERY : target;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.forEach` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n forEach: createMethod(0),\n // `Array.prototype.map` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.map\n map: createMethod(1),\n // `Array.prototype.filter` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.filter\n filter: createMethod(2),\n // `Array.prototype.some` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.some\n some: createMethod(3),\n // `Array.prototype.every` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.every\n every: createMethod(4),\n // `Array.prototype.find` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.find\n find: createMethod(5),\n // `Array.prototype.findIndex` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.findIndex\n findIndex: createMethod(6)\n};\n","'use strict';\nvar fails = require('../internals/fails');\n\nmodule.exports = function (METHOD_NAME, argument) {\n var method = [][METHOD_NAME];\n return !!method && fails(function () {\n // eslint-disable-next-line no-useless-call,no-throw-literal\n method.call(null, argument || function () { throw 1; }, 1);\n });\n};\n","var global = require('../internals/global');\nvar userAgent = require('../internals/engine-user-agent');\n\nvar process = global.process;\nvar versions = process && process.versions;\nvar v8 = versions && versions.v8;\nvar match, version;\n\nif (v8) {\n match = v8.split('.');\n version = match[0] + match[1];\n} else if (userAgent) {\n match = userAgent.match(/Edge\\/(\\d+)/);\n if (!match || match[1] >= 74) {\n match = userAgent.match(/Chrome\\/(\\d+)/);\n if (match) version = match[1];\n }\n}\n\nmodule.exports = version && +version;\n","var anObject = require('../internals/an-object');\nvar isArrayIteratorMethod = require('../internals/is-array-iterator-method');\nvar toLength = require('../internals/to-length');\nvar bind = require('../internals/function-bind-context');\nvar getIteratorMethod = require('../internals/get-iterator-method');\nvar callWithSafeIterationClosing = require('../internals/call-with-safe-iteration-closing');\n\nvar Result = function (stopped, result) {\n this.stopped = stopped;\n this.result = result;\n};\n\nvar iterate = module.exports = function (iterable, fn, that, AS_ENTRIES, IS_ITERATOR) {\n var boundFunction = bind(fn, that, AS_ENTRIES ? 2 : 1);\n var iterator, iterFn, index, length, result, next, step;\n\n if (IS_ITERATOR) {\n iterator = iterable;\n } else {\n iterFn = getIteratorMethod(iterable);\n if (typeof iterFn != 'function') throw TypeError('Target is not iterable');\n // optimisation for array iterators\n if (isArrayIteratorMethod(iterFn)) {\n for (index = 0, length = toLength(iterable.length); length > index; index++) {\n result = AS_ENTRIES\n ? boundFunction(anObject(step = iterable[index])[0], step[1])\n : boundFunction(iterable[index]);\n if (result && result instanceof Result) return result;\n } return new Result(false);\n }\n iterator = iterFn.call(iterable);\n }\n\n next = iterator.next;\n while (!(step = next.call(iterator)).done) {\n result = callWithSafeIterationClosing(iterator, boundFunction, step.value, AS_ENTRIES);\n if (typeof result == 'object' && result && result instanceof Result) return result;\n } return new Result(false);\n};\n\niterate.stop = function (result) {\n return new Result(true, result);\n};\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classofRaw = require('../internals/classof-raw');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n// ES3 wrong here\nvar CORRECT_ARGUMENTS = classofRaw(function () { return arguments; }()) == 'Arguments';\n\n// fallback for IE11 Script Access Denied error\nvar tryGet = function (it, key) {\n try {\n return it[key];\n } catch (error) { /* empty */ }\n};\n\n// getting tag from ES6+ `Object.prototype.toString`\nmodule.exports = TO_STRING_TAG_SUPPORT ? classofRaw : function (it) {\n var O, tag, result;\n return it === undefined ? 'Undefined' : it === null ? 'Null'\n // @@toStringTag case\n : typeof (tag = tryGet(O = Object(it), TO_STRING_TAG)) == 'string' ? tag\n // builtinTag case\n : CORRECT_ARGUMENTS ? classofRaw(O)\n // ES3 arguments fallback\n : (result = classofRaw(O)) == 'Object' && typeof O.callee == 'function' ? 'Arguments' : result;\n};\n","var toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `String.prototype.{ codePointAt, at }` methods implementation\nvar createMethod = function (CONVERT_TO_STRING) {\n return function ($this, pos) {\n var S = String(requireObjectCoercible($this));\n var position = toInteger(pos);\n var size = S.length;\n var first, second;\n if (position < 0 || position >= size) return CONVERT_TO_STRING ? '' : undefined;\n first = S.charCodeAt(position);\n return first < 0xD800 || first > 0xDBFF || position + 1 === size\n || (second = S.charCodeAt(position + 1)) < 0xDC00 || second > 0xDFFF\n ? CONVERT_TO_STRING ? S.charAt(position) : first\n : CONVERT_TO_STRING ? S.slice(position, position + 2) : (first - 0xD800 << 10) + (second - 0xDC00) + 0x10000;\n };\n};\n\nmodule.exports = {\n // `String.prototype.codePointAt` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.codepointat\n codeAt: createMethod(false),\n // `String.prototype.at` method\n // https://github.com/mathiasbynens/String.prototype.at\n charAt: createMethod(true)\n};\n","'use strict';\nvar nativePropertyIsEnumerable = {}.propertyIsEnumerable;\nvar getOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// Nashorn ~ JDK8 bug\nvar NASHORN_BUG = getOwnPropertyDescriptor && !nativePropertyIsEnumerable.call({ 1: 2 }, 1);\n\n// `Object.prototype.propertyIsEnumerable` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.propertyisenumerable\nexports.f = NASHORN_BUG ? function propertyIsEnumerable(V) {\n var descriptor = getOwnPropertyDescriptor(this, V);\n return !!descriptor && descriptor.enumerable;\n} : nativePropertyIsEnumerable;\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar createElement = require('../internals/document-create-element');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !DESCRIPTORS && !fails(function () {\n return Object.defineProperty(createElement('div'), 'a', {\n get: function () { return 7; }\n }).a != 7;\n});\n","var global = require('../internals/global');\nvar setGlobal = require('../internals/set-global');\n\nvar SHARED = '__core-js_shared__';\nvar store = global[SHARED] || setGlobal(SHARED, {});\n\nmodule.exports = store;\n","var IS_PURE = require('../internals/is-pure');\nvar store = require('../internals/shared-store');\n\n(module.exports = function (key, value) {\n return store[key] || (store[key] = value !== undefined ? value : {});\n})('versions', []).push({\n version: '3.6.5',\n mode: IS_PURE ? 'pure' : 'global',\n copyright: '© 2020 Denis Pushkarev (zloirock.ru)'\n});\n","var has = require('../internals/has');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar indexOf = require('../internals/array-includes').indexOf;\nvar hiddenKeys = require('../internals/hidden-keys');\n\nmodule.exports = function (object, names) {\n var O = toIndexedObject(object);\n var i = 0;\n var result = [];\n var key;\n for (key in O) !has(hiddenKeys, key) && has(O, key) && result.push(key);\n // Don't enum bug & hidden keys\n while (names.length > i) if (has(O, key = names[i++])) {\n ~indexOf(result, key) || result.push(key);\n }\n return result;\n};\n","var toIndexedObject = require('../internals/to-indexed-object');\nvar toLength = require('../internals/to-length');\nvar toAbsoluteIndex = require('../internals/to-absolute-index');\n\n// `Array.prototype.{ indexOf, includes }` methods implementation\nvar createMethod = function (IS_INCLUDES) {\n return function ($this, el, fromIndex) {\n var O = toIndexedObject($this);\n var length = toLength(O.length);\n var index = toAbsoluteIndex(fromIndex, length);\n var value;\n // Array#includes uses SameValueZero equality algorithm\n // eslint-disable-next-line no-self-compare\n if (IS_INCLUDES && el != el) while (length > index) {\n value = O[index++];\n // eslint-disable-next-line no-self-compare\n if (value != value) return true;\n // Array#indexOf ignores holes, Array#includes - not\n } else for (;length > index; index++) {\n if ((IS_INCLUDES || index in O) && O[index] === el) return IS_INCLUDES || index || 0;\n } return !IS_INCLUDES && -1;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.includes` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.includes\n includes: createMethod(true),\n // `Array.prototype.indexOf` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n indexOf: createMethod(false)\n};\n","exports.f = Object.getOwnPropertySymbols;\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\n// `Object.keys` method\n// https://tc39.github.io/ecma262/#sec-object.keys\nmodule.exports = Object.keys || function keys(O) {\n return internalObjectKeys(O, enumBugKeys);\n};\n","'use strict';\n\nmodule.exports = function bind(fn, thisArg) {\n return function wrap() {\n var args = new Array(arguments.length);\n for (var i = 0; i < args.length; i++) {\n args[i] = arguments[i];\n }\n return fn.apply(thisArg, args);\n };\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction encode(val) {\n return encodeURIComponent(val).\n replace(/%40/gi, '@').\n replace(/%3A/gi, ':').\n replace(/%24/g, '$').\n replace(/%2C/gi, ',').\n replace(/%20/g, '+').\n replace(/%5B/gi, '[').\n replace(/%5D/gi, ']');\n}\n\n/**\n * Build a URL by appending params to the end\n *\n * @param {string} url The base of the url (e.g., http://www.google.com)\n * @param {object} [params] The params to be appended\n * @returns {string} The formatted url\n */\nmodule.exports = function buildURL(url, params, paramsSerializer) {\n /*eslint no-param-reassign:0*/\n if (!params) {\n return url;\n }\n\n var serializedParams;\n if (paramsSerializer) {\n serializedParams = paramsSerializer(params);\n } else if (utils.isURLSearchParams(params)) {\n serializedParams = params.toString();\n } else {\n var parts = [];\n\n utils.forEach(params, function serialize(val, key) {\n if (val === null || typeof val === 'undefined') {\n return;\n }\n\n if (utils.isArray(val)) {\n key = key + '[]';\n } else {\n val = [val];\n }\n\n utils.forEach(val, function parseValue(v) {\n if (utils.isDate(v)) {\n v = v.toISOString();\n } else if (utils.isObject(v)) {\n v = JSON.stringify(v);\n }\n parts.push(encode(key) + '=' + encode(v));\n });\n });\n\n serializedParams = parts.join('&');\n }\n\n if (serializedParams) {\n var hashmarkIndex = url.indexOf('#');\n if (hashmarkIndex !== -1) {\n url = url.slice(0, hashmarkIndex);\n }\n\n url += (url.indexOf('?') === -1 ? '?' : '&') + serializedParams;\n }\n\n return url;\n};\n","'use strict';\n\nmodule.exports = function isCancel(value) {\n return !!(value && value.__CANCEL__);\n};\n","'use strict';\n\nvar utils = require('./utils');\nvar normalizeHeaderName = require('./helpers/normalizeHeaderName');\n\nvar DEFAULT_CONTENT_TYPE = {\n 'Content-Type': 'application/x-www-form-urlencoded'\n};\n\nfunction setContentTypeIfUnset(headers, value) {\n if (!utils.isUndefined(headers) && utils.isUndefined(headers['Content-Type'])) {\n headers['Content-Type'] = value;\n }\n}\n\nfunction getDefaultAdapter() {\n var adapter;\n if (typeof XMLHttpRequest !== 'undefined') {\n // For browsers use XHR adapter\n adapter = require('./adapters/xhr');\n } else if (typeof process !== 'undefined' && Object.prototype.toString.call(process) === '[object process]') {\n // For node use HTTP adapter\n adapter = require('./adapters/http');\n }\n return adapter;\n}\n\nvar defaults = {\n adapter: getDefaultAdapter(),\n\n transformRequest: [function transformRequest(data, headers) {\n normalizeHeaderName(headers, 'Accept');\n normalizeHeaderName(headers, 'Content-Type');\n if (utils.isFormData(data) ||\n utils.isArrayBuffer(data) ||\n utils.isBuffer(data) ||\n utils.isStream(data) ||\n utils.isFile(data) ||\n utils.isBlob(data)\n ) {\n return data;\n }\n if (utils.isArrayBufferView(data)) {\n return data.buffer;\n }\n if (utils.isURLSearchParams(data)) {\n setContentTypeIfUnset(headers, 'application/x-www-form-urlencoded;charset=utf-8');\n return data.toString();\n }\n if (utils.isObject(data)) {\n setContentTypeIfUnset(headers, 'application/json;charset=utf-8');\n return JSON.stringify(data);\n }\n return data;\n }],\n\n transformResponse: [function transformResponse(data) {\n /*eslint no-param-reassign:0*/\n if (typeof data === 'string') {\n try {\n data = JSON.parse(data);\n } catch (e) { /* Ignore */ }\n }\n return data;\n }],\n\n /**\n * A timeout in milliseconds to abort a request. If set to 0 (default) a\n * timeout is not created.\n */\n timeout: 0,\n\n xsrfCookieName: 'XSRF-TOKEN',\n xsrfHeaderName: 'X-XSRF-TOKEN',\n\n maxContentLength: -1,\n\n validateStatus: function validateStatus(status) {\n return status >= 200 && status < 300;\n }\n};\n\ndefaults.headers = {\n common: {\n 'Accept': 'application/json, text/plain, */*'\n }\n};\n\nutils.forEach(['delete', 'get', 'head'], function forEachMethodNoData(method) {\n defaults.headers[method] = {};\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n defaults.headers[method] = utils.merge(DEFAULT_CONTENT_TYPE);\n});\n\nmodule.exports = defaults;\n","'use strict';\n\nvar utils = require('./../utils');\nvar settle = require('./../core/settle');\nvar buildURL = require('./../helpers/buildURL');\nvar buildFullPath = require('../core/buildFullPath');\nvar parseHeaders = require('./../helpers/parseHeaders');\nvar isURLSameOrigin = require('./../helpers/isURLSameOrigin');\nvar createError = require('../core/createError');\n\nmodule.exports = function xhrAdapter(config) {\n return new Promise(function dispatchXhrRequest(resolve, reject) {\n var requestData = config.data;\n var requestHeaders = config.headers;\n\n if (utils.isFormData(requestData)) {\n delete requestHeaders['Content-Type']; // Let the browser set it\n }\n\n var request = new XMLHttpRequest();\n\n // HTTP basic authentication\n if (config.auth) {\n var username = config.auth.username || '';\n var password = config.auth.password || '';\n requestHeaders.Authorization = 'Basic ' + btoa(username + ':' + password);\n }\n\n var fullPath = buildFullPath(config.baseURL, config.url);\n request.open(config.method.toUpperCase(), buildURL(fullPath, config.params, config.paramsSerializer), true);\n\n // Set the request timeout in MS\n request.timeout = config.timeout;\n\n // Listen for ready state\n request.onreadystatechange = function handleLoad() {\n if (!request || request.readyState !== 4) {\n return;\n }\n\n // The request errored out and we didn't get a response, this will be\n // handled by onerror instead\n // With one exception: request that using file: protocol, most browsers\n // will return status as 0 even though it's a successful request\n if (request.status === 0 && !(request.responseURL && request.responseURL.indexOf('file:') === 0)) {\n return;\n }\n\n // Prepare the response\n var responseHeaders = 'getAllResponseHeaders' in request ? parseHeaders(request.getAllResponseHeaders()) : null;\n var responseData = !config.responseType || config.responseType === 'text' ? request.responseText : request.response;\n var response = {\n data: responseData,\n status: request.status,\n statusText: request.statusText,\n headers: responseHeaders,\n config: config,\n request: request\n };\n\n settle(resolve, reject, response);\n\n // Clean up request\n request = null;\n };\n\n // Handle browser request cancellation (as opposed to a manual cancellation)\n request.onabort = function handleAbort() {\n if (!request) {\n return;\n }\n\n reject(createError('Request aborted', config, 'ECONNABORTED', request));\n\n // Clean up request\n request = null;\n };\n\n // Handle low level network errors\n request.onerror = function handleError() {\n // Real errors are hidden from us by the browser\n // onerror should only fire if it's a network error\n reject(createError('Network Error', config, null, request));\n\n // Clean up request\n request = null;\n };\n\n // Handle timeout\n request.ontimeout = function handleTimeout() {\n var timeoutErrorMessage = 'timeout of ' + config.timeout + 'ms exceeded';\n if (config.timeoutErrorMessage) {\n timeoutErrorMessage = config.timeoutErrorMessage;\n }\n reject(createError(timeoutErrorMessage, config, 'ECONNABORTED',\n request));\n\n // Clean up request\n request = null;\n };\n\n // Add xsrf header\n // This is only done if running in a standard browser environment.\n // Specifically not if we're in a web worker, or react-native.\n if (utils.isStandardBrowserEnv()) {\n var cookies = require('./../helpers/cookies');\n\n // Add xsrf header\n var xsrfValue = (config.withCredentials || isURLSameOrigin(fullPath)) && config.xsrfCookieName ?\n cookies.read(config.xsrfCookieName) :\n undefined;\n\n if (xsrfValue) {\n requestHeaders[config.xsrfHeaderName] = xsrfValue;\n }\n }\n\n // Add headers to the request\n if ('setRequestHeader' in request) {\n utils.forEach(requestHeaders, function setRequestHeader(val, key) {\n if (typeof requestData === 'undefined' && key.toLowerCase() === 'content-type') {\n // Remove Content-Type if data is undefined\n delete requestHeaders[key];\n } else {\n // Otherwise add header to the request\n request.setRequestHeader(key, val);\n }\n });\n }\n\n // Add withCredentials to request if needed\n if (!utils.isUndefined(config.withCredentials)) {\n request.withCredentials = !!config.withCredentials;\n }\n\n // Add responseType to request if needed\n if (config.responseType) {\n try {\n request.responseType = config.responseType;\n } catch (e) {\n // Expected DOMException thrown by browsers not compatible XMLHttpRequest Level 2.\n // But, this can be suppressed for 'json' type as it can be parsed by default 'transformResponse' function.\n if (config.responseType !== 'json') {\n throw e;\n }\n }\n }\n\n // Handle progress if needed\n if (typeof config.onDownloadProgress === 'function') {\n request.addEventListener('progress', config.onDownloadProgress);\n }\n\n // Not all browsers support upload events\n if (typeof config.onUploadProgress === 'function' && request.upload) {\n request.upload.addEventListener('progress', config.onUploadProgress);\n }\n\n if (config.cancelToken) {\n // Handle cancellation\n config.cancelToken.promise.then(function onCanceled(cancel) {\n if (!request) {\n return;\n }\n\n request.abort();\n reject(cancel);\n // Clean up request\n request = null;\n });\n }\n\n if (requestData === undefined) {\n requestData = null;\n }\n\n // Send the request\n request.send(requestData);\n });\n};\n","'use strict';\n\nvar enhanceError = require('./enhanceError');\n\n/**\n * Create an Error with the specified message, config, error code, request and response.\n *\n * @param {string} message The error message.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The created error.\n */\nmodule.exports = function createError(message, config, code, request, response) {\n var error = new Error(message);\n return enhanceError(error, config, code, request, response);\n};\n","'use strict';\n\nvar utils = require('../utils');\n\n/**\n * Config-specific merge-function which creates a new config-object\n * by merging two configuration objects together.\n *\n * @param {Object} config1\n * @param {Object} config2\n * @returns {Object} New object resulting from merging config2 to config1\n */\nmodule.exports = function mergeConfig(config1, config2) {\n // eslint-disable-next-line no-param-reassign\n config2 = config2 || {};\n var config = {};\n\n var valueFromConfig2Keys = ['url', 'method', 'params', 'data'];\n var mergeDeepPropertiesKeys = ['headers', 'auth', 'proxy'];\n var defaultToConfig2Keys = [\n 'baseURL', 'url', 'transformRequest', 'transformResponse', 'paramsSerializer',\n 'timeout', 'withCredentials', 'adapter', 'responseType', 'xsrfCookieName',\n 'xsrfHeaderName', 'onUploadProgress', 'onDownloadProgress',\n 'maxContentLength', 'validateStatus', 'maxRedirects', 'httpAgent',\n 'httpsAgent', 'cancelToken', 'socketPath'\n ];\n\n utils.forEach(valueFromConfig2Keys, function valueFromConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n }\n });\n\n utils.forEach(mergeDeepPropertiesKeys, function mergeDeepProperties(prop) {\n if (utils.isObject(config2[prop])) {\n config[prop] = utils.deepMerge(config1[prop], config2[prop]);\n } else if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (utils.isObject(config1[prop])) {\n config[prop] = utils.deepMerge(config1[prop]);\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n utils.forEach(defaultToConfig2Keys, function defaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n var axiosKeys = valueFromConfig2Keys\n .concat(mergeDeepPropertiesKeys)\n .concat(defaultToConfig2Keys);\n\n var otherKeys = Object\n .keys(config2)\n .filter(function filterAxiosKeys(key) {\n return axiosKeys.indexOf(key) === -1;\n });\n\n utils.forEach(otherKeys, function otherKeysDefaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n return config;\n};\n","'use strict';\n\n/**\n * A `Cancel` is an object that is thrown when an operation is canceled.\n *\n * @class\n * @param {string=} message The message.\n */\nfunction Cancel(message) {\n this.message = message;\n}\n\nCancel.prototype.toString = function toString() {\n return 'Cancel' + (this.message ? ': ' + this.message : '');\n};\n\nCancel.prototype.__CANCEL__ = true;\n\nmodule.exports = Cancel;\n","'use strict';\nvar $ = require('../internals/export');\nvar forEach = require('../internals/array-for-each');\n\n// `Array.prototype.forEach` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n$({ target: 'Array', proto: true, forced: [].forEach != forEach }, {\n forEach: forEach\n});\n","'use strict';\nvar $forEach = require('../internals/array-iteration').forEach;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar STRICT_METHOD = arrayMethodIsStrict('forEach');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('forEach');\n\n// `Array.prototype.forEach` method implementation\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\nmodule.exports = (!STRICT_METHOD || !USES_TO_LENGTH) ? function forEach(callbackfn /* , thisArg */) {\n return $forEach(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n} : [].forEach;\n","var classof = require('../internals/classof-raw');\n\n// `IsArray` abstract operation\n// https://tc39.github.io/ecma262/#sec-isarray\nmodule.exports = Array.isArray || function isArray(arg) {\n return classof(arg) == 'Array';\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !!Object.getOwnPropertySymbols && !fails(function () {\n // Chrome 38 Symbol has incorrect toString conversion\n // eslint-disable-next-line no-undef\n return !String(Symbol());\n});\n","const { MAX_SAFE_COMPONENT_LENGTH } = require('./constants')\nconst debug = require('./debug')\nexports = module.exports = {}\n\n// The actual regexps go on exports.re\nconst re = exports.re = []\nconst src = exports.src = []\nconst t = exports.t = {}\nlet R = 0\n\nconst createToken = (name, value, isGlobal) => {\n const index = R++\n debug(index, value)\n t[name] = index\n src[index] = value\n re[index] = new RegExp(value, isGlobal ? 'g' : undefined)\n}\n\n// The following Regular Expressions can be used for tokenizing,\n// validating, and parsing SemVer version strings.\n\n// ## Numeric Identifier\n// A single `0`, or a non-zero digit followed by zero or more digits.\n\ncreateToken('NUMERICIDENTIFIER', '0|[1-9]\\\\d*')\ncreateToken('NUMERICIDENTIFIERLOOSE', '[0-9]+')\n\n// ## Non-numeric Identifier\n// Zero or more digits, followed by a letter or hyphen, and then zero or\n// more letters, digits, or hyphens.\n\ncreateToken('NONNUMERICIDENTIFIER', '\\\\d*[a-zA-Z-][a-zA-Z0-9-]*')\n\n// ## Main Version\n// Three dot-separated numeric identifiers.\n\ncreateToken('MAINVERSION', `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})`)\n\ncreateToken('MAINVERSIONLOOSE', `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})`)\n\n// ## Pre-release Version Identifier\n// A numeric identifier, or a non-numeric identifier.\n\ncreateToken('PRERELEASEIDENTIFIER', `(?:${src[t.NUMERICIDENTIFIER]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\ncreateToken('PRERELEASEIDENTIFIERLOOSE', `(?:${src[t.NUMERICIDENTIFIERLOOSE]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\n// ## Pre-release Version\n// Hyphen, followed by one or more dot-separated pre-release version\n// identifiers.\n\ncreateToken('PRERELEASE', `(?:-(${src[t.PRERELEASEIDENTIFIER]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIER]})*))`)\n\ncreateToken('PRERELEASELOOSE', `(?:-?(${src[t.PRERELEASEIDENTIFIERLOOSE]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIERLOOSE]})*))`)\n\n// ## Build Metadata Identifier\n// Any combination of digits, letters, or hyphens.\n\ncreateToken('BUILDIDENTIFIER', '[0-9A-Za-z-]+')\n\n// ## Build Metadata\n// Plus sign, followed by one or more period-separated build metadata\n// identifiers.\n\ncreateToken('BUILD', `(?:\\\\+(${src[t.BUILDIDENTIFIER]\n}(?:\\\\.${src[t.BUILDIDENTIFIER]})*))`)\n\n// ## Full Version String\n// A main version, followed optionally by a pre-release version and\n// build metadata.\n\n// Note that the only major, minor, patch, and pre-release sections of\n// the version string are capturing groups. The build metadata is not a\n// capturing group, because it should not ever be used in version\n// comparison.\n\ncreateToken('FULLPLAIN', `v?${src[t.MAINVERSION]\n}${src[t.PRERELEASE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('FULL', `^${src[t.FULLPLAIN]}$`)\n\n// like full, but allows v1.2.3 and =1.2.3, which people do sometimes.\n// also, 1.0.0alpha1 (prerelease without the hyphen) which is pretty\n// common in the npm registry.\ncreateToken('LOOSEPLAIN', `[v=\\\\s]*${src[t.MAINVERSIONLOOSE]\n}${src[t.PRERELEASELOOSE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('LOOSE', `^${src[t.LOOSEPLAIN]}$`)\n\ncreateToken('GTLT', '((?:<|>)?=?)')\n\n// Something like \"2.*\" or \"1.2.x\".\n// Note that \"x.x\" is a valid xRange identifer, meaning \"any version\"\n// Only the first item is strictly required.\ncreateToken('XRANGEIDENTIFIERLOOSE', `${src[t.NUMERICIDENTIFIERLOOSE]}|x|X|\\\\*`)\ncreateToken('XRANGEIDENTIFIER', `${src[t.NUMERICIDENTIFIER]}|x|X|\\\\*`)\n\ncreateToken('XRANGEPLAIN', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:${src[t.PRERELEASE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGEPLAINLOOSE', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:${src[t.PRERELEASELOOSE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAIN]}$`)\ncreateToken('XRANGELOOSE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Coercion.\n// Extract anything that could conceivably be a part of a valid semver\ncreateToken('COERCE', `${'(^|[^\\\\d])' +\n '(\\\\d{1,'}${MAX_SAFE_COMPONENT_LENGTH}})` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:$|[^\\\\d])`)\ncreateToken('COERCERTL', src[t.COERCE], true)\n\n// Tilde ranges.\n// Meaning is \"reasonably at or greater than\"\ncreateToken('LONETILDE', '(?:~>?)')\n\ncreateToken('TILDETRIM', `(\\\\s*)${src[t.LONETILDE]}\\\\s+`, true)\nexports.tildeTrimReplace = '$1~'\n\ncreateToken('TILDE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('TILDELOOSE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Caret ranges.\n// Meaning is \"at least and backwards compatible with\"\ncreateToken('LONECARET', '(?:\\\\^)')\n\ncreateToken('CARETTRIM', `(\\\\s*)${src[t.LONECARET]}\\\\s+`, true)\nexports.caretTrimReplace = '$1^'\n\ncreateToken('CARET', `^${src[t.LONECARET]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('CARETLOOSE', `^${src[t.LONECARET]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// A simple gt/lt/eq thing, or just \"\" to indicate \"any version\"\ncreateToken('COMPARATORLOOSE', `^${src[t.GTLT]}\\\\s*(${src[t.LOOSEPLAIN]})$|^$`)\ncreateToken('COMPARATOR', `^${src[t.GTLT]}\\\\s*(${src[t.FULLPLAIN]})$|^$`)\n\n// An expression to strip any whitespace between the gtlt and the thing\n// it modifies, so that `> 1.2.3` ==> `>1.2.3`\ncreateToken('COMPARATORTRIM', `(\\\\s*)${src[t.GTLT]\n}\\\\s*(${src[t.LOOSEPLAIN]}|${src[t.XRANGEPLAIN]})`, true)\nexports.comparatorTrimReplace = '$1$2$3'\n\n// Something like `1.2.3 - 1.2.4`\n// Note that these all use the loose form, because they'll be\n// checked against either the strict or loose comparator form\n// later.\ncreateToken('HYPHENRANGE', `^\\\\s*(${src[t.XRANGEPLAIN]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAIN]})` +\n `\\\\s*$`)\n\ncreateToken('HYPHENRANGELOOSE', `^\\\\s*(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s*$`)\n\n// Star ranges basically just allow anything at all.\ncreateToken('STAR', '(<|>)?=?\\\\s*\\\\*')\n// >=0.0.0 is like a star\ncreateToken('GTE0', '^\\\\s*>=\\\\s*0\\.0\\.0\\\\s*$')\ncreateToken('GTE0PRE', '^\\\\s*>=\\\\s*0\\.0\\.0-0\\\\s*$')\n","const debug = (\n typeof process === 'object' &&\n process.env &&\n process.env.NODE_DEBUG &&\n /\\bsemver\\b/i.test(process.env.NODE_DEBUG)\n) ? (...args) => console.error('SEMVER', ...args)\n : () => {}\n\nmodule.exports = debug\n","const debug = require('../internal/debug')\nconst { MAX_LENGTH, MAX_SAFE_INTEGER } = require('../internal/constants')\nconst { re, t } = require('../internal/re')\n\nconst { compareIdentifiers } = require('../internal/identifiers')\nclass SemVer {\n constructor (version, options) {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n if (version instanceof SemVer) {\n if (version.loose === !!options.loose &&\n version.includePrerelease === !!options.includePrerelease) {\n return version\n } else {\n version = version.version\n }\n } else if (typeof version !== 'string') {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n if (version.length > MAX_LENGTH) {\n throw new TypeError(\n `version is longer than ${MAX_LENGTH} characters`\n )\n }\n\n debug('SemVer', version, options)\n this.options = options\n this.loose = !!options.loose\n // this isn't actually relevant for versions, but keep it so that we\n // don't run into trouble passing this.options around.\n this.includePrerelease = !!options.includePrerelease\n\n const m = version.trim().match(options.loose ? re[t.LOOSE] : re[t.FULL])\n\n if (!m) {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n this.raw = version\n\n // these are actually numbers\n this.major = +m[1]\n this.minor = +m[2]\n this.patch = +m[3]\n\n if (this.major > MAX_SAFE_INTEGER || this.major < 0) {\n throw new TypeError('Invalid major version')\n }\n\n if (this.minor > MAX_SAFE_INTEGER || this.minor < 0) {\n throw new TypeError('Invalid minor version')\n }\n\n if (this.patch > MAX_SAFE_INTEGER || this.patch < 0) {\n throw new TypeError('Invalid patch version')\n }\n\n // numberify any prerelease numeric ids\n if (!m[4]) {\n this.prerelease = []\n } else {\n this.prerelease = m[4].split('.').map((id) => {\n if (/^[0-9]+$/.test(id)) {\n const num = +id\n if (num >= 0 && num < MAX_SAFE_INTEGER) {\n return num\n }\n }\n return id\n })\n }\n\n this.build = m[5] ? m[5].split('.') : []\n this.format()\n }\n\n format () {\n this.version = `${this.major}.${this.minor}.${this.patch}`\n if (this.prerelease.length) {\n this.version += `-${this.prerelease.join('.')}`\n }\n return this.version\n }\n\n toString () {\n return this.version\n }\n\n compare (other) {\n debug('SemVer.compare', this.version, this.options, other)\n if (!(other instanceof SemVer)) {\n if (typeof other === 'string' && other === this.version) {\n return 0\n }\n other = new SemVer(other, this.options)\n }\n\n if (other.version === this.version) {\n return 0\n }\n\n return this.compareMain(other) || this.comparePre(other)\n }\n\n compareMain (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n return (\n compareIdentifiers(this.major, other.major) ||\n compareIdentifiers(this.minor, other.minor) ||\n compareIdentifiers(this.patch, other.patch)\n )\n }\n\n comparePre (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n // NOT having a prerelease is > having one\n if (this.prerelease.length && !other.prerelease.length) {\n return -1\n } else if (!this.prerelease.length && other.prerelease.length) {\n return 1\n } else if (!this.prerelease.length && !other.prerelease.length) {\n return 0\n }\n\n let i = 0\n do {\n const a = this.prerelease[i]\n const b = other.prerelease[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n compareBuild (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n let i = 0\n do {\n const a = this.build[i]\n const b = other.build[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n // preminor will bump the version up to the next minor release, and immediately\n // down to pre-release. premajor and prepatch work the same way.\n inc (release, identifier) {\n switch (release) {\n case 'premajor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor = 0\n this.major++\n this.inc('pre', identifier)\n break\n case 'preminor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor++\n this.inc('pre', identifier)\n break\n case 'prepatch':\n // If this is already a prerelease, it will bump to the next version\n // drop any prereleases that might already exist, since they are not\n // relevant at this point.\n this.prerelease.length = 0\n this.inc('patch', identifier)\n this.inc('pre', identifier)\n break\n // If the input is a non-prerelease version, this acts the same as\n // prepatch.\n case 'prerelease':\n if (this.prerelease.length === 0) {\n this.inc('patch', identifier)\n }\n this.inc('pre', identifier)\n break\n\n case 'major':\n // If this is a pre-major version, bump up to the same major version.\n // Otherwise increment major.\n // 1.0.0-5 bumps to 1.0.0\n // 1.1.0 bumps to 2.0.0\n if (\n this.minor !== 0 ||\n this.patch !== 0 ||\n this.prerelease.length === 0\n ) {\n this.major++\n }\n this.minor = 0\n this.patch = 0\n this.prerelease = []\n break\n case 'minor':\n // If this is a pre-minor version, bump up to the same minor version.\n // Otherwise increment minor.\n // 1.2.0-5 bumps to 1.2.0\n // 1.2.1 bumps to 1.3.0\n if (this.patch !== 0 || this.prerelease.length === 0) {\n this.minor++\n }\n this.patch = 0\n this.prerelease = []\n break\n case 'patch':\n // If this is not a pre-release version, it will increment the patch.\n // If it is a pre-release it will bump up to the same patch version.\n // 1.2.0-5 patches to 1.2.0\n // 1.2.0 patches to 1.2.1\n if (this.prerelease.length === 0) {\n this.patch++\n }\n this.prerelease = []\n break\n // This probably shouldn't be used publicly.\n // 1.0.0 'pre' would become 1.0.0-0 which is the wrong direction.\n case 'pre':\n if (this.prerelease.length === 0) {\n this.prerelease = [0]\n } else {\n let i = this.prerelease.length\n while (--i >= 0) {\n if (typeof this.prerelease[i] === 'number') {\n this.prerelease[i]++\n i = -2\n }\n }\n if (i === -1) {\n // didn't increment anything\n this.prerelease.push(0)\n }\n }\n if (identifier) {\n // 1.2.0-beta.1 bumps to 1.2.0-beta.2,\n // 1.2.0-beta.fooblz or 1.2.0-beta bumps to 1.2.0-beta.0\n if (this.prerelease[0] === identifier) {\n if (isNaN(this.prerelease[1])) {\n this.prerelease = [identifier, 0]\n }\n } else {\n this.prerelease = [identifier, 0]\n }\n }\n break\n\n default:\n throw new Error(`invalid increment argument: ${release}`)\n }\n this.format()\n this.raw = this.version\n return this\n }\n}\n\nmodule.exports = SemVer\n","'use strict';\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar BUGGY_SAFARI_ITERATORS = false;\n\nvar returnThis = function () { return this; };\n\n// `%IteratorPrototype%` object\n// https://tc39.github.io/ecma262/#sec-%iteratorprototype%-object\nvar IteratorPrototype, PrototypeOfArrayIteratorPrototype, arrayIterator;\n\nif ([].keys) {\n arrayIterator = [].keys();\n // Safari 8 has buggy iterators w/o `next`\n if (!('next' in arrayIterator)) BUGGY_SAFARI_ITERATORS = true;\n else {\n PrototypeOfArrayIteratorPrototype = getPrototypeOf(getPrototypeOf(arrayIterator));\n if (PrototypeOfArrayIteratorPrototype !== Object.prototype) IteratorPrototype = PrototypeOfArrayIteratorPrototype;\n }\n}\n\nif (IteratorPrototype == undefined) IteratorPrototype = {};\n\n// 25.1.2.1.1 %IteratorPrototype%[@@iterator]()\nif (!IS_PURE && !has(IteratorPrototype, ITERATOR)) {\n createNonEnumerableProperty(IteratorPrototype, ITERATOR, returnThis);\n}\n\nmodule.exports = {\n IteratorPrototype: IteratorPrototype,\n BUGGY_SAFARI_ITERATORS: BUGGY_SAFARI_ITERATORS\n};\n","var has = require('../internals/has');\nvar toObject = require('../internals/to-object');\nvar sharedKey = require('../internals/shared-key');\nvar CORRECT_PROTOTYPE_GETTER = require('../internals/correct-prototype-getter');\n\nvar IE_PROTO = sharedKey('IE_PROTO');\nvar ObjectPrototype = Object.prototype;\n\n// `Object.getPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.getprototypeof\nmodule.exports = CORRECT_PROTOTYPE_GETTER ? Object.getPrototypeOf : function (O) {\n O = toObject(O);\n if (has(O, IE_PROTO)) return O[IE_PROTO];\n if (typeof O.constructor == 'function' && O instanceof O.constructor) {\n return O.constructor.prototype;\n } return O instanceof Object ? ObjectPrototype : null;\n};\n","var anObject = require('../internals/an-object');\nvar aPossiblePrototype = require('../internals/a-possible-prototype');\n\n// `Object.setPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.setprototypeof\n// Works with __proto__ only. Old v8 can't work with null proto objects.\n/* eslint-disable no-proto */\nmodule.exports = Object.setPrototypeOf || ('__proto__' in {} ? function () {\n var CORRECT_SETTER = false;\n var test = {};\n var setter;\n try {\n setter = Object.getOwnPropertyDescriptor(Object.prototype, '__proto__').set;\n setter.call(test, []);\n CORRECT_SETTER = test instanceof Array;\n } catch (error) { /* empty */ }\n return function setPrototypeOf(O, proto) {\n anObject(O);\n aPossiblePrototype(proto);\n if (CORRECT_SETTER) setter.call(O, proto);\n else O.__proto__ = proto;\n return O;\n };\n}() : undefined);\n","var hiddenKeys = require('../internals/hidden-keys');\nvar isObject = require('../internals/is-object');\nvar has = require('../internals/has');\nvar defineProperty = require('../internals/object-define-property').f;\nvar uid = require('../internals/uid');\nvar FREEZING = require('../internals/freezing');\n\nvar METADATA = uid('meta');\nvar id = 0;\n\nvar isExtensible = Object.isExtensible || function () {\n return true;\n};\n\nvar setMetadata = function (it) {\n defineProperty(it, METADATA, { value: {\n objectID: 'O' + ++id, // object ID\n weakData: {} // weak collections IDs\n } });\n};\n\nvar fastKey = function (it, create) {\n // return a primitive with prefix\n if (!isObject(it)) return typeof it == 'symbol' ? it : (typeof it == 'string' ? 'S' : 'P') + it;\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return 'F';\n // not necessary to add metadata\n if (!create) return 'E';\n // add missing metadata\n setMetadata(it);\n // return object ID\n } return it[METADATA].objectID;\n};\n\nvar getWeakData = function (it, create) {\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return true;\n // not necessary to add metadata\n if (!create) return false;\n // add missing metadata\n setMetadata(it);\n // return the store of weak collections IDs\n } return it[METADATA].weakData;\n};\n\n// add metadata on freeze-family methods calling\nvar onFreeze = function (it) {\n if (FREEZING && meta.REQUIRED && isExtensible(it) && !has(it, METADATA)) setMetadata(it);\n return it;\n};\n\nvar meta = module.exports = {\n REQUIRED: false,\n fastKey: fastKey,\n getWeakData: getWeakData,\n onFreeze: onFreeze\n};\n\nhiddenKeys[METADATA] = true;\n","// iterable DOM collections\n// flag - `iterable` interface - 'entries', 'keys', 'values', 'forEach' methods\nmodule.exports = {\n CSSRuleList: 0,\n CSSStyleDeclaration: 0,\n CSSValueList: 0,\n ClientRectList: 0,\n DOMRectList: 0,\n DOMStringList: 0,\n DOMTokenList: 1,\n DataTransferItemList: 0,\n FileList: 0,\n HTMLAllCollection: 0,\n HTMLCollection: 0,\n HTMLFormElement: 0,\n HTMLSelectElement: 0,\n MediaList: 0,\n MimeTypeArray: 0,\n NamedNodeMap: 0,\n NodeList: 1,\n PaintRequestList: 0,\n Plugin: 0,\n PluginArray: 0,\n SVGLengthList: 0,\n SVGNumberList: 0,\n SVGPathSegList: 0,\n SVGPointList: 0,\n SVGStringList: 0,\n SVGTransformList: 0,\n SourceBufferList: 0,\n StyleSheetList: 0,\n TextTrackCueList: 0,\n TextTrackList: 0,\n TouchList: 0\n};\n","'use strict';\nvar anObject = require('../internals/an-object');\n\n// `RegExp.prototype.flags` getter implementation\n// https://tc39.github.io/ecma262/#sec-get-regexp.prototype.flags\nmodule.exports = function () {\n var that = anObject(this);\n var result = '';\n if (that.global) result += 'g';\n if (that.ignoreCase) result += 'i';\n if (that.multiline) result += 'm';\n if (that.dotAll) result += 's';\n if (that.unicode) result += 'u';\n if (that.sticky) result += 'y';\n return result;\n};\n","'use strict';\nvar redefine = require('../internals/redefine');\nvar anObject = require('../internals/an-object');\nvar fails = require('../internals/fails');\nvar flags = require('../internals/regexp-flags');\n\nvar TO_STRING = 'toString';\nvar RegExpPrototype = RegExp.prototype;\nvar nativeToString = RegExpPrototype[TO_STRING];\n\nvar NOT_GENERIC = fails(function () { return nativeToString.call({ source: 'a', flags: 'b' }) != '/a/b'; });\n// FF44- RegExp#toString has a wrong name\nvar INCORRECT_NAME = nativeToString.name != TO_STRING;\n\n// `RegExp.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-regexp.prototype.tostring\nif (NOT_GENERIC || INCORRECT_NAME) {\n redefine(RegExp.prototype, TO_STRING, function toString() {\n var R = anObject(this);\n var p = String(R.source);\n var rf = R.flags;\n var f = String(rf === undefined && R instanceof RegExp && !('flags' in RegExpPrototype) ? flags.call(R) : rf);\n return '/' + p + '/' + f;\n }, { unsafe: true });\n}\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar STRING_ITERATOR = 'String Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(STRING_ITERATOR);\n\n// `String.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-string.prototype-@@iterator\ndefineIterator(String, 'String', function (iterated) {\n setInternalState(this, {\n type: STRING_ITERATOR,\n string: String(iterated),\n index: 0\n });\n// `%StringIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%stringiteratorprototype%.next\n}, function next() {\n var state = getInternalState(this);\n var string = state.string;\n var index = state.index;\n var point;\n if (index >= string.length) return { value: undefined, done: true };\n point = charAt(string, index);\n state.index += point.length;\n return { value: point, done: false };\n});\n","'use strict';\nvar fixRegExpWellKnownSymbolLogic = require('../internals/fix-regexp-well-known-symbol-logic');\nvar anObject = require('../internals/an-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\nvar advanceStringIndex = require('../internals/advance-string-index');\nvar regExpExec = require('../internals/regexp-exec-abstract');\n\nvar max = Math.max;\nvar min = Math.min;\nvar floor = Math.floor;\nvar SUBSTITUTION_SYMBOLS = /\\$([$&'`]|\\d\\d?|<[^>]*>)/g;\nvar SUBSTITUTION_SYMBOLS_NO_NAMED = /\\$([$&'`]|\\d\\d?)/g;\n\nvar maybeToString = function (it) {\n return it === undefined ? it : String(it);\n};\n\n// @@replace logic\nfixRegExpWellKnownSymbolLogic('replace', 2, function (REPLACE, nativeReplace, maybeCallNative, reason) {\n var REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = reason.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE;\n var REPLACE_KEEPS_$0 = reason.REPLACE_KEEPS_$0;\n var UNSAFE_SUBSTITUTE = REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE ? '$' : '$0';\n\n return [\n // `String.prototype.replace` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.replace\n function replace(searchValue, replaceValue) {\n var O = requireObjectCoercible(this);\n var replacer = searchValue == undefined ? undefined : searchValue[REPLACE];\n return replacer !== undefined\n ? replacer.call(searchValue, O, replaceValue)\n : nativeReplace.call(String(O), searchValue, replaceValue);\n },\n // `RegExp.prototype[@@replace]` method\n // https://tc39.github.io/ecma262/#sec-regexp.prototype-@@replace\n function (regexp, replaceValue) {\n if (\n (!REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE && REPLACE_KEEPS_$0) ||\n (typeof replaceValue === 'string' && replaceValue.indexOf(UNSAFE_SUBSTITUTE) === -1)\n ) {\n var res = maybeCallNative(nativeReplace, regexp, this, replaceValue);\n if (res.done) return res.value;\n }\n\n var rx = anObject(regexp);\n var S = String(this);\n\n var functionalReplace = typeof replaceValue === 'function';\n if (!functionalReplace) replaceValue = String(replaceValue);\n\n var global = rx.global;\n if (global) {\n var fullUnicode = rx.unicode;\n rx.lastIndex = 0;\n }\n var results = [];\n while (true) {\n var result = regExpExec(rx, S);\n if (result === null) break;\n\n results.push(result);\n if (!global) break;\n\n var matchStr = String(result[0]);\n if (matchStr === '') rx.lastIndex = advanceStringIndex(S, toLength(rx.lastIndex), fullUnicode);\n }\n\n var accumulatedResult = '';\n var nextSourcePosition = 0;\n for (var i = 0; i < results.length; i++) {\n result = results[i];\n\n var matched = String(result[0]);\n var position = max(min(toInteger(result.index), S.length), 0);\n var captures = [];\n // NOTE: This is equivalent to\n // captures = result.slice(1).map(maybeToString)\n // but for some reason `nativeSlice.call(result, 1, result.length)` (called in\n // the slice polyfill when slicing native arrays) \"doesn't work\" in safari 9 and\n // causes a crash (https://pastebin.com/N21QzeQA) when trying to debug it.\n for (var j = 1; j < result.length; j++) captures.push(maybeToString(result[j]));\n var namedCaptures = result.groups;\n if (functionalReplace) {\n var replacerArgs = [matched].concat(captures, position, S);\n if (namedCaptures !== undefined) replacerArgs.push(namedCaptures);\n var replacement = String(replaceValue.apply(undefined, replacerArgs));\n } else {\n replacement = getSubstitution(matched, S, position, captures, namedCaptures, replaceValue);\n }\n if (position >= nextSourcePosition) {\n accumulatedResult += S.slice(nextSourcePosition, position) + replacement;\n nextSourcePosition = position + matched.length;\n }\n }\n return accumulatedResult + S.slice(nextSourcePosition);\n }\n ];\n\n // https://tc39.github.io/ecma262/#sec-getsubstitution\n function getSubstitution(matched, str, position, captures, namedCaptures, replacement) {\n var tailPos = position + matched.length;\n var m = captures.length;\n var symbols = SUBSTITUTION_SYMBOLS_NO_NAMED;\n if (namedCaptures !== undefined) {\n namedCaptures = toObject(namedCaptures);\n symbols = SUBSTITUTION_SYMBOLS;\n }\n return nativeReplace.call(replacement, symbols, function (match, ch) {\n var capture;\n switch (ch.charAt(0)) {\n case '$': return '$';\n case '&': return matched;\n case '`': return str.slice(0, position);\n case \"'\": return str.slice(tailPos);\n case '<':\n capture = namedCaptures[ch.slice(1, -1)];\n break;\n default: // \\d\\d?\n var n = +ch;\n if (n === 0) return match;\n if (n > m) {\n var f = floor(n / 10);\n if (f === 0) return match;\n if (f <= m) return captures[f - 1] === undefined ? ch.charAt(1) : captures[f - 1] + ch.charAt(1);\n return match;\n }\n capture = captures[n - 1];\n }\n return capture === undefined ? '' : capture;\n });\n }\n});\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar ArrayIteratorMethods = require('../modules/es.array.iterator');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar ArrayValues = ArrayIteratorMethods.values;\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n if (CollectionPrototype) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[ITERATOR] !== ArrayValues) try {\n createNonEnumerableProperty(CollectionPrototype, ITERATOR, ArrayValues);\n } catch (error) {\n CollectionPrototype[ITERATOR] = ArrayValues;\n }\n if (!CollectionPrototype[TO_STRING_TAG]) {\n createNonEnumerableProperty(CollectionPrototype, TO_STRING_TAG, COLLECTION_NAME);\n }\n if (DOMIterables[COLLECTION_NAME]) for (var METHOD_NAME in ArrayIteratorMethods) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[METHOD_NAME] !== ArrayIteratorMethods[METHOD_NAME]) try {\n createNonEnumerableProperty(CollectionPrototype, METHOD_NAME, ArrayIteratorMethods[METHOD_NAME]);\n } catch (error) {\n CollectionPrototype[METHOD_NAME] = ArrayIteratorMethods[METHOD_NAME];\n }\n }\n }\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar $indexOf = require('../internals/array-includes').indexOf;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar nativeIndexOf = [].indexOf;\n\nvar NEGATIVE_ZERO = !!nativeIndexOf && 1 / [1].indexOf(1, -0) < 0;\nvar STRICT_METHOD = arrayMethodIsStrict('indexOf');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('indexOf', { ACCESSORS: true, 1: 0 });\n\n// `Array.prototype.indexOf` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n$({ target: 'Array', proto: true, forced: NEGATIVE_ZERO || !STRICT_METHOD || !USES_TO_LENGTH }, {\n indexOf: function indexOf(searchElement /* , fromIndex = 0 */) {\n return NEGATIVE_ZERO\n // convert -0 to +0\n ? nativeIndexOf.apply(this, arguments) || 0\n : $indexOf(this, searchElement, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","module.exports = function (it) {\n if (typeof it != 'function') {\n throw TypeError(String(it) + ' is not a function');\n } return it;\n};\n","'use strict';\nvar toPrimitive = require('../internals/to-primitive');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = function (object, key, value) {\n var propertyKey = toPrimitive(key);\n if (propertyKey in object) definePropertyModule.f(object, propertyKey, createPropertyDescriptor(0, value));\n else object[propertyKey] = value;\n};\n","var classof = require('../internals/classof');\nvar Iterators = require('../internals/iterators');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\n\nmodule.exports = function (it) {\n if (it != undefined) return it[ITERATOR]\n || it['@@iterator']\n || Iterators[classof(it)];\n};\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\nvar hiddenKeys = enumBugKeys.concat('length', 'prototype');\n\n// `Object.getOwnPropertyNames` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertynames\nexports.f = Object.getOwnPropertyNames || function getOwnPropertyNames(O) {\n return internalObjectKeys(O, hiddenKeys);\n};\n","var toInteger = require('../internals/to-integer');\n\nvar max = Math.max;\nvar min = Math.min;\n\n// Helper for a popular repeating case of the spec:\n// Let integer be ? ToInteger(index).\n// If integer < 0, let result be max((length + integer), 0); else let result be min(integer, length).\nmodule.exports = function (index, length) {\n var integer = toInteger(index);\n return integer < 0 ? max(integer + length, 0) : min(integer, length);\n};\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nObject.defineProperty(exports, \"getRequestToken\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.getRequestToken;\n }\n});\nObject.defineProperty(exports, \"onRequestTokenUpdate\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.onRequestTokenUpdate;\n }\n});\nObject.defineProperty(exports, \"getCurrentUser\", {\n enumerable: true,\n get: function get() {\n return _user.getCurrentUser;\n }\n});\n\nvar _requesttoken = require(\"./requesttoken\");\n\nvar _user = require(\"./user\");\n//# sourceMappingURL=index.js.map","'use strict';\nvar $ = require('../internals/export');\nvar $filter = require('../internals/array-iteration').filter;\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('filter');\n// Edge 14- issue\nvar USES_TO_LENGTH = arrayMethodUsesToLength('filter');\n\n// `Array.prototype.filter` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.filter\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n filter: function filter(callbackfn /* , thisArg */) {\n return $filter(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","var isObject = require('../internals/is-object');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\n\n// makes subclassing work correct for wrapped built-ins\nmodule.exports = function ($this, dummy, Wrapper) {\n var NewTarget, NewTargetPrototype;\n if (\n // it can work only with native `setPrototypeOf`\n setPrototypeOf &&\n // we haven't completely correct pre-ES6 way for getting `new.target`, so use this\n typeof (NewTarget = dummy.constructor) == 'function' &&\n NewTarget !== Wrapper &&\n isObject(NewTargetPrototype = NewTarget.prototype) &&\n NewTargetPrototype !== Wrapper.prototype\n ) setPrototypeOf($this, NewTargetPrototype);\n return $this;\n};\n","var redefine = require('../internals/redefine');\n\nmodule.exports = function (target, src, options) {\n for (var key in src) redefine(target, key, src[key], options);\n return target;\n};\n","'use strict';\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar objectKeys = require('../internals/object-keys');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar toObject = require('../internals/to-object');\nvar IndexedObject = require('../internals/indexed-object');\n\nvar nativeAssign = Object.assign;\nvar defineProperty = Object.defineProperty;\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\nmodule.exports = !nativeAssign || fails(function () {\n // should have correct order of operations (Edge bug)\n if (DESCRIPTORS && nativeAssign({ b: 1 }, nativeAssign(defineProperty({}, 'a', {\n enumerable: true,\n get: function () {\n defineProperty(this, 'b', {\n value: 3,\n enumerable: false\n });\n }\n }), { b: 2 })).b !== 1) return true;\n // should work with symbols and should have deterministic property order (V8 bug)\n var A = {};\n var B = {};\n // eslint-disable-next-line no-undef\n var symbol = Symbol();\n var alphabet = 'abcdefghijklmnopqrst';\n A[symbol] = 7;\n alphabet.split('').forEach(function (chr) { B[chr] = chr; });\n return nativeAssign({}, A)[symbol] != 7 || objectKeys(nativeAssign({}, B)).join('') != alphabet;\n}) ? function assign(target, source) { // eslint-disable-line no-unused-vars\n var T = toObject(target);\n var argumentsLength = arguments.length;\n var index = 1;\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n var propertyIsEnumerable = propertyIsEnumerableModule.f;\n while (argumentsLength > index) {\n var S = IndexedObject(arguments[index++]);\n var keys = getOwnPropertySymbols ? objectKeys(S).concat(getOwnPropertySymbols(S)) : objectKeys(S);\n var length = keys.length;\n var j = 0;\n var key;\n while (length > j) {\n key = keys[j++];\n if (!DESCRIPTORS || propertyIsEnumerable.call(S, key)) T[key] = S[key];\n }\n } return T;\n} : nativeAssign;\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('navigator', 'userAgent') || '';\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar anObject = require('../internals/an-object');\nvar objectKeys = require('../internals/object-keys');\n\n// `Object.defineProperties` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperties\nmodule.exports = DESCRIPTORS ? Object.defineProperties : function defineProperties(O, Properties) {\n anObject(O);\n var keys = objectKeys(Properties);\n var length = keys.length;\n var index = 0;\n var key;\n while (length > index) definePropertyModule.f(O, key = keys[index++], Properties[key]);\n return O;\n};\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('document', 'documentElement');\n","'use strict';\nvar IteratorPrototype = require('../internals/iterators-core').IteratorPrototype;\nvar create = require('../internals/object-create');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar Iterators = require('../internals/iterators');\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (IteratorConstructor, NAME, next) {\n var TO_STRING_TAG = NAME + ' Iterator';\n IteratorConstructor.prototype = create(IteratorPrototype, { next: createPropertyDescriptor(1, next) });\n setToStringTag(IteratorConstructor, TO_STRING_TAG, false, true);\n Iterators[TO_STRING_TAG] = returnThis;\n return IteratorConstructor;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar Iterators = require('../internals/iterators');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar ArrayPrototype = Array.prototype;\n\n// check on default Array iterator\nmodule.exports = function (it) {\n return it !== undefined && (Iterators.Array === it || ArrayPrototype[ITERATOR] === it);\n};\n","var anObject = require('../internals/an-object');\n\n// call something on iterator step with safe closing on error\nmodule.exports = function (iterator, fn, value, ENTRIES) {\n try {\n return ENTRIES ? fn(anObject(value)[0], value[1]) : fn(value);\n // 7.4.6 IteratorClose(iterator, completion)\n } catch (error) {\n var returnMethod = iterator['return'];\n if (returnMethod !== undefined) anObject(returnMethod.call(iterator));\n throw error;\n }\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar SAFE_CLOSING = false;\n\ntry {\n var called = 0;\n var iteratorWithReturn = {\n next: function () {\n return { done: !!called++ };\n },\n 'return': function () {\n SAFE_CLOSING = true;\n }\n };\n iteratorWithReturn[ITERATOR] = function () {\n return this;\n };\n // eslint-disable-next-line no-throw-literal\n Array.from(iteratorWithReturn, function () { throw 2; });\n} catch (error) { /* empty */ }\n\nmodule.exports = function (exec, SKIP_CLOSING) {\n if (!SKIP_CLOSING && !SAFE_CLOSING) return false;\n var ITERATION_SUPPORT = false;\n try {\n var object = {};\n object[ITERATOR] = function () {\n return {\n next: function () {\n return { done: ITERATION_SUPPORT = true };\n }\n };\n };\n exec(object);\n } catch (error) { /* empty */ }\n return ITERATION_SUPPORT;\n};\n","'use strict';\nvar getBuiltIn = require('../internals/get-built-in');\nvar definePropertyModule = require('../internals/object-define-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar DESCRIPTORS = require('../internals/descriptors');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (CONSTRUCTOR_NAME) {\n var Constructor = getBuiltIn(CONSTRUCTOR_NAME);\n var defineProperty = definePropertyModule.f;\n\n if (DESCRIPTORS && Constructor && !Constructor[SPECIES]) {\n defineProperty(Constructor, SPECIES, {\n configurable: true,\n get: function () { return this; }\n });\n }\n};\n","'use strict';\n// TODO: Remove from `core-js@4` since it's moved to entry points\nrequire('../modules/es.regexp.exec');\nvar redefine = require('../internals/redefine');\nvar fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar regexpExec = require('../internals/regexp-exec');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nvar SPECIES = wellKnownSymbol('species');\n\nvar REPLACE_SUPPORTS_NAMED_GROUPS = !fails(function () {\n // #replace needs built-in support for named groups.\n // #match works fine because it just return the exec results, even if it has\n // a \"grops\" property.\n var re = /./;\n re.exec = function () {\n var result = [];\n result.groups = { a: '7' };\n return result;\n };\n return ''.replace(re, '$<a>') !== '7';\n});\n\n// IE <= 11 replaces $0 with the whole match, as if it was $&\n// https://stackoverflow.com/questions/6024666/getting-ie-to-replace-a-regex-with-the-literal-string-0\nvar REPLACE_KEEPS_$0 = (function () {\n return 'a'.replace(/./, '$0') === '$0';\n})();\n\nvar REPLACE = wellKnownSymbol('replace');\n// Safari <= 13.0.3(?) substitutes nth capture where n>m with an empty string\nvar REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = (function () {\n if (/./[REPLACE]) {\n return /./[REPLACE]('a', '$0') === '';\n }\n return false;\n})();\n\n// Chrome 51 has a buggy \"split\" implementation when RegExp#exec !== nativeExec\n// Weex JS has frozen built-in prototypes, so use try / catch wrapper\nvar SPLIT_WORKS_WITH_OVERWRITTEN_EXEC = !fails(function () {\n var re = /(?:)/;\n var originalExec = re.exec;\n re.exec = function () { return originalExec.apply(this, arguments); };\n var result = 'ab'.split(re);\n return result.length !== 2 || result[0] !== 'a' || result[1] !== 'b';\n});\n\nmodule.exports = function (KEY, length, exec, sham) {\n var SYMBOL = wellKnownSymbol(KEY);\n\n var DELEGATES_TO_SYMBOL = !fails(function () {\n // String methods call symbol-named RegEp methods\n var O = {};\n O[SYMBOL] = function () { return 7; };\n return ''[KEY](O) != 7;\n });\n\n var DELEGATES_TO_EXEC = DELEGATES_TO_SYMBOL && !fails(function () {\n // Symbol-named RegExp methods call .exec\n var execCalled = false;\n var re = /a/;\n\n if (KEY === 'split') {\n // We can't use real regex here since it causes deoptimization\n // and serious performance degradation in V8\n // https://github.com/zloirock/core-js/issues/306\n re = {};\n // RegExp[@@split] doesn't call the regex's exec method, but first creates\n // a new one. We need to return the patched regex when creating the new one.\n re.constructor = {};\n re.constructor[SPECIES] = function () { return re; };\n re.flags = '';\n re[SYMBOL] = /./[SYMBOL];\n }\n\n re.exec = function () { execCalled = true; return null; };\n\n re[SYMBOL]('');\n return !execCalled;\n });\n\n if (\n !DELEGATES_TO_SYMBOL ||\n !DELEGATES_TO_EXEC ||\n (KEY === 'replace' && !(\n REPLACE_SUPPORTS_NAMED_GROUPS &&\n REPLACE_KEEPS_$0 &&\n !REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n )) ||\n (KEY === 'split' && !SPLIT_WORKS_WITH_OVERWRITTEN_EXEC)\n ) {\n var nativeRegExpMethod = /./[SYMBOL];\n var methods = exec(SYMBOL, ''[KEY], function (nativeMethod, regexp, str, arg2, forceStringMethod) {\n if (regexp.exec === regexpExec) {\n if (DELEGATES_TO_SYMBOL && !forceStringMethod) {\n // The native String method already delegates to @@method (this\n // polyfilled function), leasing to infinite recursion.\n // We avoid it by directly calling the native @@method method.\n return { done: true, value: nativeRegExpMethod.call(regexp, str, arg2) };\n }\n return { done: true, value: nativeMethod.call(str, regexp, arg2) };\n }\n return { done: false };\n }, {\n REPLACE_KEEPS_$0: REPLACE_KEEPS_$0,\n REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE: REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n });\n var stringMethod = methods[0];\n var regexMethod = methods[1];\n\n redefine(String.prototype, KEY, stringMethod);\n redefine(RegExp.prototype, SYMBOL, length == 2\n // 21.2.5.8 RegExp.prototype[@@replace](string, replaceValue)\n // 21.2.5.11 RegExp.prototype[@@split](string, limit)\n ? function (string, arg) { return regexMethod.call(string, this, arg); }\n // 21.2.5.6 RegExp.prototype[@@match](string)\n // 21.2.5.9 RegExp.prototype[@@search](string)\n : function (string) { return regexMethod.call(string, this); }\n );\n }\n\n if (sham) createNonEnumerableProperty(RegExp.prototype[SYMBOL], 'sham', true);\n};\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\n\n// `AdvanceStringIndex` abstract operation\n// https://tc39.github.io/ecma262/#sec-advancestringindex\nmodule.exports = function (S, index, unicode) {\n return index + (unicode ? charAt(S, index).length : 1);\n};\n","var classof = require('./classof-raw');\nvar regexpExec = require('./regexp-exec');\n\n// `RegExpExec` abstract operation\n// https://tc39.github.io/ecma262/#sec-regexpexec\nmodule.exports = function (R, S) {\n var exec = R.exec;\n if (typeof exec === 'function') {\n var result = exec.call(R, S);\n if (typeof result !== 'object') {\n throw TypeError('RegExp exec method returned something other than an Object or null');\n }\n return result;\n }\n\n if (classof(R) !== 'RegExp') {\n throw TypeError('RegExp#exec called on incompatible receiver');\n }\n\n return regexpExec.call(R, S);\n};\n\n","var global = require('../internals/global');\nvar inspectSource = require('../internals/inspect-source');\n\nvar WeakMap = global.WeakMap;\n\nmodule.exports = typeof WeakMap === 'function' && /native code/.test(inspectSource(WeakMap));\n","var has = require('../internals/has');\nvar ownKeys = require('../internals/own-keys');\nvar getOwnPropertyDescriptorModule = require('../internals/object-get-own-property-descriptor');\nvar definePropertyModule = require('../internals/object-define-property');\n\nmodule.exports = function (target, source) {\n var keys = ownKeys(source);\n var defineProperty = definePropertyModule.f;\n var getOwnPropertyDescriptor = getOwnPropertyDescriptorModule.f;\n for (var i = 0; i < keys.length; i++) {\n var key = keys[i];\n if (!has(target, key)) defineProperty(target, key, getOwnPropertyDescriptor(source, key));\n }\n};\n","var getBuiltIn = require('../internals/get-built-in');\nvar getOwnPropertyNamesModule = require('../internals/object-get-own-property-names');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar anObject = require('../internals/an-object');\n\n// all object keys, includes non-enumerable and symbols\nmodule.exports = getBuiltIn('Reflect', 'ownKeys') || function ownKeys(it) {\n var keys = getOwnPropertyNamesModule.f(anObject(it));\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n return getOwnPropertySymbols ? keys.concat(getOwnPropertySymbols(it)) : keys;\n};\n","var global = require('../internals/global');\n\nmodule.exports = global;\n","module.exports = require('./lib/axios');","'use strict';\n\nvar utils = require('./utils');\nvar bind = require('./helpers/bind');\nvar Axios = require('./core/Axios');\nvar mergeConfig = require('./core/mergeConfig');\nvar defaults = require('./defaults');\n\n/**\n * Create an instance of Axios\n *\n * @param {Object} defaultConfig The default config for the instance\n * @return {Axios} A new instance of Axios\n */\nfunction createInstance(defaultConfig) {\n var context = new Axios(defaultConfig);\n var instance = bind(Axios.prototype.request, context);\n\n // Copy axios.prototype to instance\n utils.extend(instance, Axios.prototype, context);\n\n // Copy context to instance\n utils.extend(instance, context);\n\n return instance;\n}\n\n// Create the default instance to be exported\nvar axios = createInstance(defaults);\n\n// Expose Axios class to allow class inheritance\naxios.Axios = Axios;\n\n// Factory for creating new instances\naxios.create = function create(instanceConfig) {\n return createInstance(mergeConfig(axios.defaults, instanceConfig));\n};\n\n// Expose Cancel & CancelToken\naxios.Cancel = require('./cancel/Cancel');\naxios.CancelToken = require('./cancel/CancelToken');\naxios.isCancel = require('./cancel/isCancel');\n\n// Expose all/spread\naxios.all = function all(promises) {\n return Promise.all(promises);\n};\naxios.spread = require('./helpers/spread');\n\nmodule.exports = axios;\n\n// Allow use of default import syntax in TypeScript\nmodule.exports.default = axios;\n","'use strict';\n\nvar utils = require('./../utils');\nvar buildURL = require('../helpers/buildURL');\nvar InterceptorManager = require('./InterceptorManager');\nvar dispatchRequest = require('./dispatchRequest');\nvar mergeConfig = require('./mergeConfig');\n\n/**\n * Create a new instance of Axios\n *\n * @param {Object} instanceConfig The default config for the instance\n */\nfunction Axios(instanceConfig) {\n this.defaults = instanceConfig;\n this.interceptors = {\n request: new InterceptorManager(),\n response: new InterceptorManager()\n };\n}\n\n/**\n * Dispatch a request\n *\n * @param {Object} config The config specific for this request (merged with this.defaults)\n */\nAxios.prototype.request = function request(config) {\n /*eslint no-param-reassign:0*/\n // Allow for axios('example/url'[, config]) a la fetch API\n if (typeof config === 'string') {\n config = arguments[1] || {};\n config.url = arguments[0];\n } else {\n config = config || {};\n }\n\n config = mergeConfig(this.defaults, config);\n\n // Set config.method\n if (config.method) {\n config.method = config.method.toLowerCase();\n } else if (this.defaults.method) {\n config.method = this.defaults.method.toLowerCase();\n } else {\n config.method = 'get';\n }\n\n // Hook up interceptors middleware\n var chain = [dispatchRequest, undefined];\n var promise = Promise.resolve(config);\n\n this.interceptors.request.forEach(function unshiftRequestInterceptors(interceptor) {\n chain.unshift(interceptor.fulfilled, interceptor.rejected);\n });\n\n this.interceptors.response.forEach(function pushResponseInterceptors(interceptor) {\n chain.push(interceptor.fulfilled, interceptor.rejected);\n });\n\n while (chain.length) {\n promise = promise.then(chain.shift(), chain.shift());\n }\n\n return promise;\n};\n\nAxios.prototype.getUri = function getUri(config) {\n config = mergeConfig(this.defaults, config);\n return buildURL(config.url, config.params, config.paramsSerializer).replace(/^\\?/, '');\n};\n\n// Provide aliases for supported request methods\nutils.forEach(['delete', 'get', 'head', 'options'], function forEachMethodNoData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url\n }));\n };\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, data, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url,\n data: data\n }));\n };\n});\n\nmodule.exports = Axios;\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction InterceptorManager() {\n this.handlers = [];\n}\n\n/**\n * Add a new interceptor to the stack\n *\n * @param {Function} fulfilled The function to handle `then` for a `Promise`\n * @param {Function} rejected The function to handle `reject` for a `Promise`\n *\n * @return {Number} An ID used to remove interceptor later\n */\nInterceptorManager.prototype.use = function use(fulfilled, rejected) {\n this.handlers.push({\n fulfilled: fulfilled,\n rejected: rejected\n });\n return this.handlers.length - 1;\n};\n\n/**\n * Remove an interceptor from the stack\n *\n * @param {Number} id The ID that was returned by `use`\n */\nInterceptorManager.prototype.eject = function eject(id) {\n if (this.handlers[id]) {\n this.handlers[id] = null;\n }\n};\n\n/**\n * Iterate over all the registered interceptors\n *\n * This method is particularly useful for skipping over any\n * interceptors that may have become `null` calling `eject`.\n *\n * @param {Function} fn The function to call for each interceptor\n */\nInterceptorManager.prototype.forEach = function forEach(fn) {\n utils.forEach(this.handlers, function forEachHandler(h) {\n if (h !== null) {\n fn(h);\n }\n });\n};\n\nmodule.exports = InterceptorManager;\n","'use strict';\n\nvar utils = require('./../utils');\nvar transformData = require('./transformData');\nvar isCancel = require('../cancel/isCancel');\nvar defaults = require('../defaults');\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nfunction throwIfCancellationRequested(config) {\n if (config.cancelToken) {\n config.cancelToken.throwIfRequested();\n }\n}\n\n/**\n * Dispatch a request to the server using the configured adapter.\n *\n * @param {object} config The config that is to be used for the request\n * @returns {Promise} The Promise to be fulfilled\n */\nmodule.exports = function dispatchRequest(config) {\n throwIfCancellationRequested(config);\n\n // Ensure headers exist\n config.headers = config.headers || {};\n\n // Transform request data\n config.data = transformData(\n config.data,\n config.headers,\n config.transformRequest\n );\n\n // Flatten headers\n config.headers = utils.merge(\n config.headers.common || {},\n config.headers[config.method] || {},\n config.headers\n );\n\n utils.forEach(\n ['delete', 'get', 'head', 'post', 'put', 'patch', 'common'],\n function cleanHeaderConfig(method) {\n delete config.headers[method];\n }\n );\n\n var adapter = config.adapter || defaults.adapter;\n\n return adapter(config).then(function onAdapterResolution(response) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n response.data = transformData(\n response.data,\n response.headers,\n config.transformResponse\n );\n\n return response;\n }, function onAdapterRejection(reason) {\n if (!isCancel(reason)) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n if (reason && reason.response) {\n reason.response.data = transformData(\n reason.response.data,\n reason.response.headers,\n config.transformResponse\n );\n }\n }\n\n return Promise.reject(reason);\n });\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n/**\n * Transform the data for a request or a response\n *\n * @param {Object|String} data The data to be transformed\n * @param {Array} headers The headers for the request or response\n * @param {Array|Function} fns A single function or Array of functions\n * @returns {*} The resulting transformed data\n */\nmodule.exports = function transformData(data, headers, fns) {\n /*eslint no-param-reassign:0*/\n utils.forEach(fns, function transform(fn) {\n data = fn(data, headers);\n });\n\n return data;\n};\n","'use strict';\n\nvar utils = require('../utils');\n\nmodule.exports = function normalizeHeaderName(headers, normalizedName) {\n utils.forEach(headers, function processHeader(value, name) {\n if (name !== normalizedName && name.toUpperCase() === normalizedName.toUpperCase()) {\n headers[normalizedName] = value;\n delete headers[name];\n }\n });\n};\n","'use strict';\n\nvar createError = require('./createError');\n\n/**\n * Resolve or reject a Promise based on response status.\n *\n * @param {Function} resolve A function that resolves the promise.\n * @param {Function} reject A function that rejects the promise.\n * @param {object} response The response.\n */\nmodule.exports = function settle(resolve, reject, response) {\n var validateStatus = response.config.validateStatus;\n if (!validateStatus || validateStatus(response.status)) {\n resolve(response);\n } else {\n reject(createError(\n 'Request failed with status code ' + response.status,\n response.config,\n null,\n response.request,\n response\n ));\n }\n};\n","'use strict';\n\n/**\n * Update an Error with the specified config, error code, and response.\n *\n * @param {Error} error The error to update.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The error.\n */\nmodule.exports = function enhanceError(error, config, code, request, response) {\n error.config = config;\n if (code) {\n error.code = code;\n }\n\n error.request = request;\n error.response = response;\n error.isAxiosError = true;\n\n error.toJSON = function() {\n return {\n // Standard\n message: this.message,\n name: this.name,\n // Microsoft\n description: this.description,\n number: this.number,\n // Mozilla\n fileName: this.fileName,\n lineNumber: this.lineNumber,\n columnNumber: this.columnNumber,\n stack: this.stack,\n // Axios\n config: this.config,\n code: this.code\n };\n };\n return error;\n};\n","'use strict';\n\nvar isAbsoluteURL = require('../helpers/isAbsoluteURL');\nvar combineURLs = require('../helpers/combineURLs');\n\n/**\n * Creates a new URL by combining the baseURL with the requestedURL,\n * only when the requestedURL is not already an absolute URL.\n * If the requestURL is absolute, this function returns the requestedURL untouched.\n *\n * @param {string} baseURL The base URL\n * @param {string} requestedURL Absolute or relative URL to combine\n * @returns {string} The combined full path\n */\nmodule.exports = function buildFullPath(baseURL, requestedURL) {\n if (baseURL && !isAbsoluteURL(requestedURL)) {\n return combineURLs(baseURL, requestedURL);\n }\n return requestedURL;\n};\n","'use strict';\n\n/**\n * Determines whether the specified URL is absolute\n *\n * @param {string} url The URL to test\n * @returns {boolean} True if the specified URL is absolute, otherwise false\n */\nmodule.exports = function isAbsoluteURL(url) {\n // A URL is considered absolute if it begins with \"<scheme>://\" or \"//\" (protocol-relative URL).\n // RFC 3986 defines scheme name as a sequence of characters beginning with a letter and followed\n // by any combination of letters, digits, plus, period, or hyphen.\n return /^([a-z][a-z\\d\\+\\-\\.]*:)?\\/\\//i.test(url);\n};\n","'use strict';\n\n/**\n * Creates a new URL by combining the specified URLs\n *\n * @param {string} baseURL The base URL\n * @param {string} relativeURL The relative URL\n * @returns {string} The combined URL\n */\nmodule.exports = function combineURLs(baseURL, relativeURL) {\n return relativeURL\n ? baseURL.replace(/\\/+$/, '') + '/' + relativeURL.replace(/^\\/+/, '')\n : baseURL;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n// Headers whose duplicates are ignored by node\n// c.f. https://nodejs.org/api/http.html#http_message_headers\nvar ignoreDuplicateOf = [\n 'age', 'authorization', 'content-length', 'content-type', 'etag',\n 'expires', 'from', 'host', 'if-modified-since', 'if-unmodified-since',\n 'last-modified', 'location', 'max-forwards', 'proxy-authorization',\n 'referer', 'retry-after', 'user-agent'\n];\n\n/**\n * Parse headers into an object\n *\n * ```\n * Date: Wed, 27 Aug 2014 08:58:49 GMT\n * Content-Type: application/json\n * Connection: keep-alive\n * Transfer-Encoding: chunked\n * ```\n *\n * @param {String} headers Headers needing to be parsed\n * @returns {Object} Headers parsed into an object\n */\nmodule.exports = function parseHeaders(headers) {\n var parsed = {};\n var key;\n var val;\n var i;\n\n if (!headers) { return parsed; }\n\n utils.forEach(headers.split('\\n'), function parser(line) {\n i = line.indexOf(':');\n key = utils.trim(line.substr(0, i)).toLowerCase();\n val = utils.trim(line.substr(i + 1));\n\n if (key) {\n if (parsed[key] && ignoreDuplicateOf.indexOf(key) >= 0) {\n return;\n }\n if (key === 'set-cookie') {\n parsed[key] = (parsed[key] ? parsed[key] : []).concat([val]);\n } else {\n parsed[key] = parsed[key] ? parsed[key] + ', ' + val : val;\n }\n }\n });\n\n return parsed;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs have full support of the APIs needed to test\n // whether the request URL is of the same origin as current location.\n (function standardBrowserEnv() {\n var msie = /(msie|trident)/i.test(navigator.userAgent);\n var urlParsingNode = document.createElement('a');\n var originURL;\n\n /**\n * Parse a URL to discover it's components\n *\n * @param {String} url The URL to be parsed\n * @returns {Object}\n */\n function resolveURL(url) {\n var href = url;\n\n if (msie) {\n // IE needs attribute set twice to normalize properties\n urlParsingNode.setAttribute('href', href);\n href = urlParsingNode.href;\n }\n\n urlParsingNode.setAttribute('href', href);\n\n // urlParsingNode provides the UrlUtils interface - http://url.spec.whatwg.org/#urlutils\n return {\n href: urlParsingNode.href,\n protocol: urlParsingNode.protocol ? urlParsingNode.protocol.replace(/:$/, '') : '',\n host: urlParsingNode.host,\n search: urlParsingNode.search ? urlParsingNode.search.replace(/^\\?/, '') : '',\n hash: urlParsingNode.hash ? urlParsingNode.hash.replace(/^#/, '') : '',\n hostname: urlParsingNode.hostname,\n port: urlParsingNode.port,\n pathname: (urlParsingNode.pathname.charAt(0) === '/') ?\n urlParsingNode.pathname :\n '/' + urlParsingNode.pathname\n };\n }\n\n originURL = resolveURL(window.location.href);\n\n /**\n * Determine if a URL shares the same origin as the current location\n *\n * @param {String} requestURL The URL to test\n * @returns {boolean} True if URL shares the same origin, otherwise false\n */\n return function isURLSameOrigin(requestURL) {\n var parsed = (utils.isString(requestURL)) ? resolveURL(requestURL) : requestURL;\n return (parsed.protocol === originURL.protocol &&\n parsed.host === originURL.host);\n };\n })() :\n\n // Non standard browser envs (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return function isURLSameOrigin() {\n return true;\n };\n })()\n);\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs support document.cookie\n (function standardBrowserEnv() {\n return {\n write: function write(name, value, expires, path, domain, secure) {\n var cookie = [];\n cookie.push(name + '=' + encodeURIComponent(value));\n\n if (utils.isNumber(expires)) {\n cookie.push('expires=' + new Date(expires).toGMTString());\n }\n\n if (utils.isString(path)) {\n cookie.push('path=' + path);\n }\n\n if (utils.isString(domain)) {\n cookie.push('domain=' + domain);\n }\n\n if (secure === true) {\n cookie.push('secure');\n }\n\n document.cookie = cookie.join('; ');\n },\n\n read: function read(name) {\n var match = document.cookie.match(new RegExp('(^|;\\\\s*)(' + name + ')=([^;]*)'));\n return (match ? decodeURIComponent(match[3]) : null);\n },\n\n remove: function remove(name) {\n this.write(name, '', Date.now() - 86400000);\n }\n };\n })() :\n\n // Non standard browser env (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return {\n write: function write() {},\n read: function read() { return null; },\n remove: function remove() {}\n };\n })()\n);\n","'use strict';\n\nvar Cancel = require('./Cancel');\n\n/**\n * A `CancelToken` is an object that can be used to request cancellation of an operation.\n *\n * @class\n * @param {Function} executor The executor function.\n */\nfunction CancelToken(executor) {\n if (typeof executor !== 'function') {\n throw new TypeError('executor must be a function.');\n }\n\n var resolvePromise;\n this.promise = new Promise(function promiseExecutor(resolve) {\n resolvePromise = resolve;\n });\n\n var token = this;\n executor(function cancel(message) {\n if (token.reason) {\n // Cancellation has already been requested\n return;\n }\n\n token.reason = new Cancel(message);\n resolvePromise(token.reason);\n });\n}\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nCancelToken.prototype.throwIfRequested = function throwIfRequested() {\n if (this.reason) {\n throw this.reason;\n }\n};\n\n/**\n * Returns an object that contains a new `CancelToken` and a function that, when called,\n * cancels the `CancelToken`.\n */\nCancelToken.source = function source() {\n var cancel;\n var token = new CancelToken(function executor(c) {\n cancel = c;\n });\n return {\n token: token,\n cancel: cancel\n };\n};\n\nmodule.exports = CancelToken;\n","'use strict';\n\n/**\n * Syntactic sugar for invoking a function and expanding an array for arguments.\n *\n * Common use case would be to use `Function.prototype.apply`.\n *\n * ```js\n * function f(x, y, z) {}\n * var args = [1, 2, 3];\n * f.apply(null, args);\n * ```\n *\n * With `spread` this example can be re-written.\n *\n * ```js\n * spread(function(x, y, z) {})([1, 2, 3]);\n * ```\n *\n * @param {Function} callback\n * @returns {Function}\n */\nmodule.exports = function spread(callback) {\n return function wrap(arr) {\n return callback.apply(null, arr);\n };\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRequestToken = getRequestToken;\nexports.onRequestTokenUpdate = onRequestTokenUpdate;\n\nvar _eventBus = require(\"@nextcloud/event-bus\");\n\nvar tokenElement = document.getElementsByTagName('head')[0];\nvar token = tokenElement ? tokenElement.getAttribute('data-requesttoken') : null;\nvar observers = [];\n\nfunction getRequestToken() {\n return token;\n}\n\nfunction onRequestTokenUpdate(observer) {\n observers.push(observer);\n} // Listen to server event and keep token in sync\n\n\n(0, _eventBus.subscribe)('csrf-token-update', function (e) {\n token = e.token;\n observers.forEach(function (observer) {\n try {\n observer(e.token);\n } catch (e) {\n console.error('error updating CSRF token observer', e);\n }\n });\n});\n//# sourceMappingURL=requesttoken.js.map","var NATIVE_SYMBOL = require('../internals/native-symbol');\n\nmodule.exports = NATIVE_SYMBOL\n // eslint-disable-next-line no-undef\n && !Symbol.sham\n // eslint-disable-next-line no-undef\n && typeof Symbol.iterator == 'symbol';\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.ProxyBus = void 0;\n\nvar _valid = _interopRequireDefault(require(\"semver/functions/valid\"));\n\nvar _major = _interopRequireDefault(require(\"semver/functions/major\"));\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar ProxyBus = /*#__PURE__*/function () {\n function ProxyBus(bus) {\n _classCallCheck(this, ProxyBus);\n\n _defineProperty(this, \"bus\", void 0);\n\n if (typeof bus.getVersion !== 'function' || !(0, _valid.default)(bus.getVersion())) {\n console.warn('Proxying an event bus with an unknown or invalid version');\n } else if ((0, _major.default)(bus.getVersion()) !== (0, _major.default)(this.getVersion())) {\n console.warn('Proxying an event bus of version ' + bus.getVersion() + ' with ' + this.getVersion());\n }\n\n this.bus = bus;\n }\n\n _createClass(ProxyBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.bus.subscribe(name, handler);\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.bus.unsubscribe(name, handler);\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n this.bus.emit(name, event);\n }\n }]);\n\n return ProxyBus;\n}();\n\nexports.ProxyBus = ProxyBus;\n//# sourceMappingURL=ProxyBus.js.map","const parse = require('./parse')\nconst valid = (version, options) => {\n const v = parse(version, options)\n return v ? v.version : null\n}\nmodule.exports = valid\n","const {MAX_LENGTH} = require('../internal/constants')\nconst { re, t } = require('../internal/re')\nconst SemVer = require('../classes/semver')\n\nconst parse = (version, options) => {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n\n if (version instanceof SemVer) {\n return version\n }\n\n if (typeof version !== 'string') {\n return null\n }\n\n if (version.length > MAX_LENGTH) {\n return null\n }\n\n const r = options.loose ? re[t.LOOSE] : re[t.FULL]\n if (!r.test(version)) {\n return null\n }\n\n try {\n return new SemVer(version, options)\n } catch (er) {\n return null\n }\n}\n\nmodule.exports = parse\n","const numeric = /^[0-9]+$/\nconst compareIdentifiers = (a, b) => {\n const anum = numeric.test(a)\n const bnum = numeric.test(b)\n\n if (anum && bnum) {\n a = +a\n b = +b\n }\n\n return a === b ? 0\n : (anum && !bnum) ? -1\n : (bnum && !anum) ? 1\n : a < b ? -1\n : 1\n}\n\nconst rcompareIdentifiers = (a, b) => compareIdentifiers(b, a)\n\nmodule.exports = {\n compareIdentifiers,\n rcompareIdentifiers\n}\n","const SemVer = require('../classes/semver')\nconst major = (a, loose) => new SemVer(a, loose).major\nmodule.exports = major\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.concat\");\n\nrequire(\"core-js/modules/es.array.filter\");\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nrequire(\"core-js/modules/es.array.iterator\");\n\nrequire(\"core-js/modules/es.map\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.string.iterator\");\n\nrequire(\"core-js/modules/web.dom-collections.for-each\");\n\nrequire(\"core-js/modules/web.dom-collections.iterator\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.SimpleBus = void 0;\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar SimpleBus = /*#__PURE__*/function () {\n function SimpleBus() {\n _classCallCheck(this, SimpleBus);\n\n _defineProperty(this, \"handlers\", new Map());\n }\n\n _createClass(SimpleBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).concat(handler));\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).filter(function (h) {\n return h != handler;\n }));\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n (this.handlers.get(name) || []).forEach(function (h) {\n try {\n h(event);\n } catch (e) {\n console.error('could not invoke event listener', e);\n }\n });\n }\n }]);\n\n return SimpleBus;\n}();\n\nexports.SimpleBus = SimpleBus;\n//# sourceMappingURL=SimpleBus.js.map","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar create = require('../internals/object-create');\nvar definePropertyModule = require('../internals/object-define-property');\n\nvar UNSCOPABLES = wellKnownSymbol('unscopables');\nvar ArrayPrototype = Array.prototype;\n\n// Array.prototype[@@unscopables]\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\nif (ArrayPrototype[UNSCOPABLES] == undefined) {\n definePropertyModule.f(ArrayPrototype, UNSCOPABLES, {\n configurable: true,\n value: create(null)\n });\n}\n\n// add a key to Array.prototype[@@unscopables]\nmodule.exports = function (key) {\n ArrayPrototype[UNSCOPABLES][key] = true;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n function F() { /* empty */ }\n F.prototype.constructor = null;\n return Object.getPrototypeOf(new F()) !== F.prototype;\n});\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it) && it !== null) {\n throw TypeError(\"Can't set \" + String(it) + ' as a prototype');\n } return it;\n};\n","'use strict';\nvar collection = require('../internals/collection');\nvar collectionStrong = require('../internals/collection-strong');\n\n// `Map` constructor\n// https://tc39.github.io/ecma262/#sec-map-objects\nmodule.exports = collection('Map', function (init) {\n return function Map() { return init(this, arguments.length ? arguments[0] : undefined); };\n}, collectionStrong);\n","'use strict';\nvar $ = require('../internals/export');\nvar global = require('../internals/global');\nvar isForced = require('../internals/is-forced');\nvar redefine = require('../internals/redefine');\nvar InternalMetadataModule = require('../internals/internal-metadata');\nvar iterate = require('../internals/iterate');\nvar anInstance = require('../internals/an-instance');\nvar isObject = require('../internals/is-object');\nvar fails = require('../internals/fails');\nvar checkCorrectnessOfIteration = require('../internals/check-correctness-of-iteration');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar inheritIfRequired = require('../internals/inherit-if-required');\n\nmodule.exports = function (CONSTRUCTOR_NAME, wrapper, common) {\n var IS_MAP = CONSTRUCTOR_NAME.indexOf('Map') !== -1;\n var IS_WEAK = CONSTRUCTOR_NAME.indexOf('Weak') !== -1;\n var ADDER = IS_MAP ? 'set' : 'add';\n var NativeConstructor = global[CONSTRUCTOR_NAME];\n var NativePrototype = NativeConstructor && NativeConstructor.prototype;\n var Constructor = NativeConstructor;\n var exported = {};\n\n var fixMethod = function (KEY) {\n var nativeMethod = NativePrototype[KEY];\n redefine(NativePrototype, KEY,\n KEY == 'add' ? function add(value) {\n nativeMethod.call(this, value === 0 ? 0 : value);\n return this;\n } : KEY == 'delete' ? function (key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'get' ? function get(key) {\n return IS_WEAK && !isObject(key) ? undefined : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'has' ? function has(key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : function set(key, value) {\n nativeMethod.call(this, key === 0 ? 0 : key, value);\n return this;\n }\n );\n };\n\n // eslint-disable-next-line max-len\n if (isForced(CONSTRUCTOR_NAME, typeof NativeConstructor != 'function' || !(IS_WEAK || NativePrototype.forEach && !fails(function () {\n new NativeConstructor().entries().next();\n })))) {\n // create collection constructor\n Constructor = common.getConstructor(wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER);\n InternalMetadataModule.REQUIRED = true;\n } else if (isForced(CONSTRUCTOR_NAME, true)) {\n var instance = new Constructor();\n // early implementations not supports chaining\n var HASNT_CHAINING = instance[ADDER](IS_WEAK ? {} : -0, 1) != instance;\n // V8 ~ Chromium 40- weak-collections throws on primitives, but should return false\n var THROWS_ON_PRIMITIVES = fails(function () { instance.has(1); });\n // most early implementations doesn't supports iterables, most modern - not close it correctly\n // eslint-disable-next-line no-new\n var ACCEPT_ITERABLES = checkCorrectnessOfIteration(function (iterable) { new NativeConstructor(iterable); });\n // for early implementations -0 and +0 not the same\n var BUGGY_ZERO = !IS_WEAK && fails(function () {\n // V8 ~ Chromium 42- fails only with 5+ elements\n var $instance = new NativeConstructor();\n var index = 5;\n while (index--) $instance[ADDER](index, index);\n return !$instance.has(-0);\n });\n\n if (!ACCEPT_ITERABLES) {\n Constructor = wrapper(function (dummy, iterable) {\n anInstance(dummy, Constructor, CONSTRUCTOR_NAME);\n var that = inheritIfRequired(new NativeConstructor(), dummy, Constructor);\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n return that;\n });\n Constructor.prototype = NativePrototype;\n NativePrototype.constructor = Constructor;\n }\n\n if (THROWS_ON_PRIMITIVES || BUGGY_ZERO) {\n fixMethod('delete');\n fixMethod('has');\n IS_MAP && fixMethod('get');\n }\n\n if (BUGGY_ZERO || HASNT_CHAINING) fixMethod(ADDER);\n\n // weak collections should not contains .clear method\n if (IS_WEAK && NativePrototype.clear) delete NativePrototype.clear;\n }\n\n exported[CONSTRUCTOR_NAME] = Constructor;\n $({ global: true, forced: Constructor != NativeConstructor }, exported);\n\n setToStringTag(Constructor, CONSTRUCTOR_NAME);\n\n if (!IS_WEAK) common.setStrong(Constructor, CONSTRUCTOR_NAME, IS_MAP);\n\n return Constructor;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n return Object.isExtensible(Object.preventExtensions({}));\n});\n","'use strict';\nvar defineProperty = require('../internals/object-define-property').f;\nvar create = require('../internals/object-create');\nvar redefineAll = require('../internals/redefine-all');\nvar bind = require('../internals/function-bind-context');\nvar anInstance = require('../internals/an-instance');\nvar iterate = require('../internals/iterate');\nvar defineIterator = require('../internals/define-iterator');\nvar setSpecies = require('../internals/set-species');\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fastKey = require('../internals/internal-metadata').fastKey;\nvar InternalStateModule = require('../internals/internal-state');\n\nvar setInternalState = InternalStateModule.set;\nvar internalStateGetterFor = InternalStateModule.getterFor;\n\nmodule.exports = {\n getConstructor: function (wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER) {\n var C = wrapper(function (that, iterable) {\n anInstance(that, C, CONSTRUCTOR_NAME);\n setInternalState(that, {\n type: CONSTRUCTOR_NAME,\n index: create(null),\n first: undefined,\n last: undefined,\n size: 0\n });\n if (!DESCRIPTORS) that.size = 0;\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n });\n\n var getInternalState = internalStateGetterFor(CONSTRUCTOR_NAME);\n\n var define = function (that, key, value) {\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n var previous, index;\n // change existing entry\n if (entry) {\n entry.value = value;\n // create new entry\n } else {\n state.last = entry = {\n index: index = fastKey(key, true),\n key: key,\n value: value,\n previous: previous = state.last,\n next: undefined,\n removed: false\n };\n if (!state.first) state.first = entry;\n if (previous) previous.next = entry;\n if (DESCRIPTORS) state.size++;\n else that.size++;\n // add to index\n if (index !== 'F') state.index[index] = entry;\n } return that;\n };\n\n var getEntry = function (that, key) {\n var state = getInternalState(that);\n // fast case\n var index = fastKey(key);\n var entry;\n if (index !== 'F') return state.index[index];\n // frozen object case\n for (entry = state.first; entry; entry = entry.next) {\n if (entry.key == key) return entry;\n }\n };\n\n redefineAll(C.prototype, {\n // 23.1.3.1 Map.prototype.clear()\n // 23.2.3.2 Set.prototype.clear()\n clear: function clear() {\n var that = this;\n var state = getInternalState(that);\n var data = state.index;\n var entry = state.first;\n while (entry) {\n entry.removed = true;\n if (entry.previous) entry.previous = entry.previous.next = undefined;\n delete data[entry.index];\n entry = entry.next;\n }\n state.first = state.last = undefined;\n if (DESCRIPTORS) state.size = 0;\n else that.size = 0;\n },\n // 23.1.3.3 Map.prototype.delete(key)\n // 23.2.3.4 Set.prototype.delete(value)\n 'delete': function (key) {\n var that = this;\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n if (entry) {\n var next = entry.next;\n var prev = entry.previous;\n delete state.index[entry.index];\n entry.removed = true;\n if (prev) prev.next = next;\n if (next) next.previous = prev;\n if (state.first == entry) state.first = next;\n if (state.last == entry) state.last = prev;\n if (DESCRIPTORS) state.size--;\n else that.size--;\n } return !!entry;\n },\n // 23.2.3.6 Set.prototype.forEach(callbackfn, thisArg = undefined)\n // 23.1.3.5 Map.prototype.forEach(callbackfn, thisArg = undefined)\n forEach: function forEach(callbackfn /* , that = undefined */) {\n var state = getInternalState(this);\n var boundFunction = bind(callbackfn, arguments.length > 1 ? arguments[1] : undefined, 3);\n var entry;\n while (entry = entry ? entry.next : state.first) {\n boundFunction(entry.value, entry.key, this);\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n }\n },\n // 23.1.3.7 Map.prototype.has(key)\n // 23.2.3.7 Set.prototype.has(value)\n has: function has(key) {\n return !!getEntry(this, key);\n }\n });\n\n redefineAll(C.prototype, IS_MAP ? {\n // 23.1.3.6 Map.prototype.get(key)\n get: function get(key) {\n var entry = getEntry(this, key);\n return entry && entry.value;\n },\n // 23.1.3.9 Map.prototype.set(key, value)\n set: function set(key, value) {\n return define(this, key === 0 ? 0 : key, value);\n }\n } : {\n // 23.2.3.1 Set.prototype.add(value)\n add: function add(value) {\n return define(this, value = value === 0 ? 0 : value, value);\n }\n });\n if (DESCRIPTORS) defineProperty(C.prototype, 'size', {\n get: function () {\n return getInternalState(this).size;\n }\n });\n return C;\n },\n setStrong: function (C, CONSTRUCTOR_NAME, IS_MAP) {\n var ITERATOR_NAME = CONSTRUCTOR_NAME + ' Iterator';\n var getInternalCollectionState = internalStateGetterFor(CONSTRUCTOR_NAME);\n var getInternalIteratorState = internalStateGetterFor(ITERATOR_NAME);\n // add .keys, .values, .entries, [@@iterator]\n // 23.1.3.4, 23.1.3.8, 23.1.3.11, 23.1.3.12, 23.2.3.5, 23.2.3.8, 23.2.3.10, 23.2.3.11\n defineIterator(C, CONSTRUCTOR_NAME, function (iterated, kind) {\n setInternalState(this, {\n type: ITERATOR_NAME,\n target: iterated,\n state: getInternalCollectionState(iterated),\n kind: kind,\n last: undefined\n });\n }, function () {\n var state = getInternalIteratorState(this);\n var kind = state.kind;\n var entry = state.last;\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n // get next entry\n if (!state.target || !(state.last = entry = entry ? entry.next : state.state.first)) {\n // or finish the iteration\n state.target = undefined;\n return { value: undefined, done: true };\n }\n // return step by kind\n if (kind == 'keys') return { value: entry.key, done: false };\n if (kind == 'values') return { value: entry.value, done: false };\n return { value: [entry.key, entry.value], done: false };\n }, IS_MAP ? 'entries' : 'values', !IS_MAP, true);\n\n // add [@@species], 23.1.2.2, 23.2.2.2\n setSpecies(CONSTRUCTOR_NAME);\n }\n};\n","'use strict';\nvar TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classof = require('../internals/classof');\n\n// `Object.prototype.toString` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nmodule.exports = TO_STRING_TAG_SUPPORT ? {}.toString : function toString() {\n return '[object ' + classof(this) + ']';\n};\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar forEach = require('../internals/array-for-each');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype && CollectionPrototype.forEach !== forEach) try {\n createNonEnumerableProperty(CollectionPrototype, 'forEach', forEach);\n } catch (error) {\n CollectionPrototype.forEach = forEach;\n }\n}\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getCurrentUser = getCurrentUser;\nvar uidElement = document.getElementsByTagName('head')[0];\nvar uid = uidElement ? uidElement.getAttribute('data-user') : null;\nvar displayNameElement = document.getElementsByTagName('head')[0];\nvar displayName = displayNameElement ? displayNameElement.getAttribute('data-user-displayname') : null;\n\nfunction getCurrentUser() {\n if (uid === null) {\n return null;\n }\n\n return {\n uid: uid,\n displayName: displayName\n };\n}\n//# sourceMappingURL=user.js.map","'use strict';\n\nvar fails = require('./fails');\n\n// babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError,\n// so we use an intermediate function.\nfunction RE(s, f) {\n return RegExp(s, f);\n}\n\nexports.UNSUPPORTED_Y = fails(function () {\n // babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError\n var re = RE('a', 'y');\n re.lastIndex = 2;\n return re.exec('abcd') != null;\n});\n\nexports.BROKEN_CARET = fails(function () {\n // https://bugzilla.mozilla.org/show_bug.cgi?id=773687\n var re = RE('^r', 'gy');\n re.lastIndex = 2;\n return re.exec('str') != null;\n});\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\n/**\n * Callback that should be executed after the document is ready\n * @param callback\n */\nimport { generateUrl } from '@nextcloud/router'\n\nconst documentReady = function(callback) {\n\tconst fn = () => setTimeout(callback, 0)\n\tif (document.attachEvent ? document.readyState === 'complete' : document.readyState !== 'loading') {\n\t\tfn()\n\t} else {\n\t\tdocument.addEventListener('DOMContentLoaded', callback)\n\t}\n}\n\nconst _baseUrl = generateUrl('/apps/text')\nconst endpointUrl = (endpoint, isPublic = false) => {\n\tif (isPublic) {\n\t\treturn `${_baseUrl}/public/${endpoint}`\n\t}\n\treturn `${_baseUrl}/${endpoint}`\n}\n\nconst randomGuestNames = ['Artichoke', 'Arugula', 'Asparagus', 'Avocado', 'Bamboo Shoot', 'Bean Sprout', 'Bean', 'Beet', 'Belgian Endive', 'Bell Pepper', 'Bitter Melon', 'Bitter Gourd', 'Bok Choy', 'Broccoli', 'Brussels Sprout', 'Burdock Root', 'Cabbage', 'Calabash', 'Caper', 'Carrot', 'Cassava', 'Cauliflower', 'Celery', 'Celery Root', 'Celtuce', 'Chayote', 'Chinese Broccoli', 'Corn', 'Baby Corn', 'Cucumber', 'English Cucumber', 'Gherkin', 'Pickling Cucumber', 'Daikon Radish', 'Edamame', 'Eggplant', 'Elephant Garlic', 'Endive', 'Curly', 'Escarole', 'Fennel', 'Fiddlehead', 'Galangal', 'Garlic', 'Ginger', 'Grape Leave', 'Green Bean', 'Wax Bean', 'Green', 'Amaranth Leave', 'Beet Green', 'Collard Green', 'Dandelion Green', 'Kale', 'Kohlrabi Green', 'Mustard Green', 'Rapini', 'Spinach', 'Swiss Chard', 'Turnip Green', 'Hearts of Palm', 'Horseradish', 'Jerusalem Artichoke', 'Jícama', 'Kale', 'Curly', 'Lacinato', 'Ornamental', 'Kohlrabi', 'Leeks', 'Lemongrass', 'Lettuce', 'Butterhead', 'Iceberg', 'Leaf', 'Romaine', 'Lotus Root', 'Lotus Seed', 'Mushroom', 'Napa Cabbage', 'Nopales', 'Okra', 'Olive', 'Onion', 'Green Onion', 'Parsley', 'Parsley Root', 'Parsnip', 'Pepper', 'Plantain', 'Potato', 'Pumpkin', 'Purslane', 'Radicchio', 'Radish', 'Rutabaga', 'Shallots', 'Spinach', 'Squash', 'Sweet Potato', 'Swiss Chard', 'Taro', 'Tomatillo', 'Tomato', 'Turnip', 'Water Chestnut', 'Water Spinach', 'Watercress', 'Winter Melon', 'Yams', 'Zucchini']\nconst getRandomGuestName = () => {\n\treturn randomGuestNames[Math.floor(Math.random() * randomGuestNames.length)]\n}\n\nexport {\n\tdocumentReady,\n\tendpointUrl,\n\tgetRandomGuestName,\n}\n","import { documentReady } from './helpers'\nimport {\n\tFilesWorkspacePlugin,\n\tregisterFileActionFallback,\n\tregisterFileCreate,\n} from './helpers/files'\nimport { openMimetypes } from './helpers/mime'\nimport { loadState } from '@nextcloud/initial-state'\n\n__webpack_nonce__ = btoa(OC.requestToken) // eslint-disable-line\n__webpack_public_path__ = OC.linkTo('text', 'js/') // eslint-disable-line\n\ndocumentReady(() => {\n\tconst dir = document.getElementById('dir').value\n\tconst mimetype = document.getElementById('mimetype').value\n\tconst sharingToken = document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\n\tif (!sharingToken) {\n\t\treturn\n\t}\n\n\tif (dir !== '') {\n\t\tOC.Plugins.register('OCA.Files.FileList', FilesWorkspacePlugin)\n\t\tregisterFileActionFallback()\n\t\tregisterFileCreate()\n\t} else {\n\t\t// single file share\n\t\tconst container = document.createElement('div')\n\t\tcontainer.id = 'texteditor'\n\t\tconst body = document.getElementById('app-content')\n\t\tbody.appendChild(container)\n\n\t\tif (openMimetypes.indexOf(mimetype) !== -1) {\n\t\t\tPromise.all([\n\t\t\t\timport(/* webpackChunkName: \"vendor\" */'vue'),\n\t\t\t\timport(/* webpackChunkName: \"editor\" */'./components/EditorWrapper'),\n\t\t\t]).then((imports) => {\n\t\t\t\tconst Vue = imports[0].default\n\t\t\t\tVue.prototype.t = window.t\n\t\t\t\tVue.prototype.OCA = window.OCA\n\t\t\t\tconst Editor = imports[1].default\n\t\t\t\tconst vm = new Vue({\n\t\t\t\t\trender: h => h(Editor, {\n\t\t\t\t\t\tprops: {\n\t\t\t\t\t\t\tactive: true,\n\t\t\t\t\t\t\tshareToken: sharingToken,\n\t\t\t\t\t\t\tmime: mimetype,\n\t\t\t\t\t\t},\n\t\t\t\t\t}),\n\t\t\t\t})\n\t\t\t\tvm.$mount(document.getElementById('preview'))\n\t\t\t})\n\t\t}\n\t}\n})\n\nOCA.Text = {\n\tRichWorkspaceEnabled: loadState('text', 'workspace_available'),\n}\n"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///webpack/bootstrap","webpack:///./node_modules/core-js/internals/fails.js","webpack:///./node_modules/core-js/internals/well-known-symbol.js","webpack:///./node_modules/core-js/internals/global.js","webpack:///./node_modules/axios/lib/utils.js","webpack:///./node_modules/core-js/internals/has.js","webpack:///./node_modules/core-js/internals/an-object.js","webpack:///./node_modules/core-js/internals/is-object.js","webpack:///./node_modules/core-js/internals/descriptors.js","webpack:///./node_modules/core-js/internals/object-define-property.js","webpack:///./node_modules/core-js/internals/create-non-enumerable-property.js","webpack:///./node_modules/core-js/internals/export.js","webpack:///./node_modules/@nextcloud/router/dist/index.js","webpack:///./node_modules/core-js/internals/redefine.js","webpack:///./src/helpers/mime.js","webpack:///./node_modules/@nextcloud/event-bus/dist/index.js","webpack:///./node_modules/core-js/internals/to-length.js","webpack:///./node_modules/core-js/internals/to-object.js","webpack:///./node_modules/core-js/internals/iterators.js","webpack:///./node_modules/vue-loader/lib/runtime/componentNormalizer.js","webpack:///./node_modules/core-js/internals/classof-raw.js","webpack:///./node_modules/core-js/internals/internal-state.js","webpack:///./node_modules/core-js/internals/require-object-coercible.js","webpack:///./node_modules/core-js/internals/get-built-in.js","webpack:///./node_modules/core-js/internals/to-integer.js","webpack:///./node_modules/core-js/internals/create-property-descriptor.js","webpack:///./node_modules/core-js/internals/to-indexed-object.js","webpack:///./node_modules/core-js/internals/hidden-keys.js","webpack:///./src/views/RichWorkspace.vue?c293","webpack:///src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?d0bc","webpack:///./src/views/RichWorkspace.vue","webpack:///./src/views/RichWorkspace.vue?97cd","webpack:///./src/helpers/files.js","webpack:///./node_modules/@nextcloud/axios/dist/index.js","webpack:///./node_modules/core-js/internals/function-bind-context.js","webpack:///./node_modules/core-js/internals/array-method-uses-to-length.js","webpack:///./node_modules/core-js/internals/object-create.js","webpack:///./node_modules/core-js/internals/set-to-string-tag.js","webpack:///./node_modules/core-js/internals/to-primitive.js","webpack:///./node_modules/core-js/internals/is-pure.js","webpack:///./node_modules/core-js/internals/indexed-object.js","webpack:///./node_modules/core-js/internals/set-global.js","webpack:///./node_modules/core-js/internals/shared-key.js","webpack:///./node_modules/core-js/internals/uid.js","webpack:///./node_modules/core-js/internals/enum-bug-keys.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/constants.js","webpack:///./node_modules/core-js/internals/define-iterator.js","webpack:///./node_modules/core-js/internals/to-string-tag-support.js","webpack:///./node_modules/core-js/internals/regexp-exec.js","webpack:///(webpack)/buildin/global.js","webpack:///./node_modules/process/browser.js","webpack:///./node_modules/@nextcloud/initial-state/dist/index.js","webpack:///./node_modules/css-loader/dist/runtime/api.js","webpack:///./node_modules/vue-style-loader/lib/listToStyles.js","webpack:///./node_modules/vue-style-loader/lib/addStylesClient.js","webpack:///./node_modules/core-js/modules/es.object.to-string.js","webpack:///./node_modules/core-js/modules/es.array.iterator.js","webpack:///./node_modules/core-js/modules/es.regexp.exec.js","webpack:///./node_modules/core-js/internals/object-get-own-property-descriptor.js","webpack:///./node_modules/core-js/internals/is-forced.js","webpack:///./node_modules/core-js/internals/array-method-has-species-support.js","webpack:///./node_modules/core-js/internals/an-instance.js","webpack:///./node_modules/core-js/modules/es.object.assign.js","webpack:///./node_modules/core-js/internals/array-species-create.js","webpack:///./node_modules/core-js/modules/es.array.concat.js","webpack:///./node_modules/core-js/internals/document-create-element.js","webpack:///./node_modules/core-js/internals/inspect-source.js","webpack:///./node_modules/core-js/internals/array-iteration.js","webpack:///./node_modules/core-js/internals/array-method-is-strict.js","webpack:///./node_modules/core-js/internals/engine-v8-version.js","webpack:///./node_modules/core-js/internals/iterate.js","webpack:///./node_modules/core-js/internals/classof.js","webpack:///./node_modules/core-js/internals/string-multibyte.js","webpack:///./node_modules/core-js/internals/object-property-is-enumerable.js","webpack:///./node_modules/core-js/internals/ie8-dom-define.js","webpack:///./node_modules/core-js/internals/shared-store.js","webpack:///./node_modules/core-js/internals/shared.js","webpack:///./node_modules/core-js/internals/object-keys-internal.js","webpack:///./node_modules/core-js/internals/array-includes.js","webpack:///./node_modules/core-js/internals/object-get-own-property-symbols.js","webpack:///./node_modules/core-js/internals/object-keys.js","webpack:///./node_modules/axios/lib/helpers/bind.js","webpack:///./node_modules/axios/lib/helpers/buildURL.js","webpack:///./node_modules/axios/lib/cancel/isCancel.js","webpack:///./node_modules/axios/lib/defaults.js","webpack:///./node_modules/axios/lib/adapters/xhr.js","webpack:///./node_modules/axios/lib/core/createError.js","webpack:///./node_modules/axios/lib/core/mergeConfig.js","webpack:///./node_modules/axios/lib/cancel/Cancel.js","webpack:///./node_modules/core-js/modules/es.array.for-each.js","webpack:///./node_modules/core-js/internals/array-for-each.js","webpack:///./node_modules/core-js/internals/is-array.js","webpack:///./node_modules/core-js/internals/native-symbol.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/re.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/debug.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/classes/semver.js","webpack:///./node_modules/core-js/internals/iterators-core.js","webpack:///./node_modules/core-js/internals/object-get-prototype-of.js","webpack:///./node_modules/core-js/internals/object-set-prototype-of.js","webpack:///./node_modules/core-js/internals/internal-metadata.js","webpack:///./node_modules/core-js/internals/dom-iterables.js","webpack:///./node_modules/core-js/internals/regexp-flags.js","webpack:///./node_modules/core-js/modules/es.regexp.to-string.js","webpack:///./node_modules/core-js/modules/es.string.iterator.js","webpack:///./node_modules/core-js/modules/es.string.replace.js","webpack:///./node_modules/core-js/modules/web.dom-collections.iterator.js","webpack:///./node_modules/core-js/modules/es.array.index-of.js","webpack:///./node_modules/core-js/internals/a-function.js","webpack:///./node_modules/core-js/internals/create-property.js","webpack:///./node_modules/core-js/internals/get-iterator-method.js","webpack:///./node_modules/core-js/internals/object-get-own-property-names.js","webpack:///./node_modules/core-js/internals/to-absolute-index.js","webpack:///./node_modules/@nextcloud/auth/dist/index.js","webpack:///./node_modules/core-js/modules/es.array.filter.js","webpack:///./node_modules/core-js/internals/inherit-if-required.js","webpack:///./node_modules/core-js/internals/redefine-all.js","webpack:///./node_modules/core-js/internals/object-assign.js","webpack:///./node_modules/core-js/internals/engine-user-agent.js","webpack:///./node_modules/core-js/internals/object-define-properties.js","webpack:///./node_modules/core-js/internals/html.js","webpack:///./node_modules/core-js/internals/create-iterator-constructor.js","webpack:///./node_modules/core-js/internals/is-array-iterator-method.js","webpack:///./node_modules/core-js/internals/call-with-safe-iteration-closing.js","webpack:///./node_modules/core-js/internals/check-correctness-of-iteration.js","webpack:///./node_modules/core-js/internals/set-species.js","webpack:///./node_modules/core-js/internals/fix-regexp-well-known-symbol-logic.js","webpack:///./node_modules/core-js/internals/advance-string-index.js","webpack:///./node_modules/core-js/internals/regexp-exec-abstract.js","webpack:///./node_modules/core-js/internals/native-weak-map.js","webpack:///./node_modules/core-js/internals/copy-constructor-properties.js","webpack:///./node_modules/core-js/internals/own-keys.js","webpack:///./node_modules/core-js/internals/path.js","webpack:///./node_modules/axios/index.js","webpack:///./node_modules/axios/lib/axios.js","webpack:///./node_modules/axios/lib/core/Axios.js","webpack:///./node_modules/axios/lib/core/InterceptorManager.js","webpack:///./node_modules/axios/lib/core/dispatchRequest.js","webpack:///./node_modules/axios/lib/core/transformData.js","webpack:///./node_modules/axios/lib/helpers/normalizeHeaderName.js","webpack:///./node_modules/axios/lib/core/settle.js","webpack:///./node_modules/axios/lib/core/enhanceError.js","webpack:///./node_modules/axios/lib/core/buildFullPath.js","webpack:///./node_modules/axios/lib/helpers/isAbsoluteURL.js","webpack:///./node_modules/axios/lib/helpers/combineURLs.js","webpack:///./node_modules/axios/lib/helpers/parseHeaders.js","webpack:///./node_modules/axios/lib/helpers/isURLSameOrigin.js","webpack:///./node_modules/axios/lib/helpers/cookies.js","webpack:///./node_modules/axios/lib/cancel/CancelToken.js","webpack:///./node_modules/axios/lib/helpers/spread.js","webpack:///./node_modules/@nextcloud/auth/dist/requesttoken.js","webpack:///./node_modules/core-js/internals/use-symbol-as-uid.js","webpack:///./node_modules/@nextcloud/event-bus/dist/ProxyBus.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/valid.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/parse.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/identifiers.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/major.js","webpack:///./node_modules/@nextcloud/event-bus/dist/SimpleBus.js","webpack:///./node_modules/core-js/internals/add-to-unscopables.js","webpack:///./node_modules/core-js/internals/correct-prototype-getter.js","webpack:///./node_modules/core-js/internals/a-possible-prototype.js","webpack:///./node_modules/core-js/modules/es.map.js","webpack:///./node_modules/core-js/internals/collection.js","webpack:///./node_modules/core-js/internals/freezing.js","webpack:///./node_modules/core-js/internals/collection-strong.js","webpack:///./node_modules/core-js/internals/object-to-string.js","webpack:///./node_modules/core-js/modules/web.dom-collections.for-each.js","webpack:///./node_modules/@nextcloud/auth/dist/user.js","webpack:///./node_modules/core-js/internals/regexp-sticky-helpers.js","webpack:///./src/views/RichWorkspace.vue?f1c0","webpack:///./src/views/RichWorkspace.vue?8915","webpack:///./src/helpers/index.js","webpack:///./src/public.js"],"names":["webpackJsonpCallback","data","moduleId","chunkId","chunkIds","moreModules","i","resolves","length","Object","prototype","hasOwnProperty","call","installedChunks","push","modules","parentJsonpFunction","shift","installedModules","195","__webpack_require__","exports","module","l","e","promises","installedChunkData","promise","Promise","resolve","reject","onScriptComplete","script","document","createElement","charset","timeout","nc","setAttribute","src","p","jsonpScriptSrc","error","Error","event","onerror","onload","clearTimeout","chunk","errorType","type","realSrc","target","message","name","request","undefined","setTimeout","head","appendChild","all","m","c","d","getter","o","defineProperty","enumerable","get","r","Symbol","toStringTag","value","t","mode","__esModule","ns","create","key","bind","n","object","property","oe","err","console","jsonpArray","window","oldJsonpFunction","slice","s","exec","global","shared","has","uid","NATIVE_SYMBOL","USE_SYMBOL_AS_UID","WellKnownSymbolsStore","createWellKnownSymbol","withoutSetter","it","Math","check","globalThis","self","Function","toString","isArray","val","isUndefined","isObject","isFunction","forEach","obj","fn","isArrayBuffer","isBuffer","constructor","isFormData","FormData","isArrayBufferView","ArrayBuffer","isView","buffer","isString","isNumber","isDate","isFile","isBlob","isStream","pipe","isURLSearchParams","URLSearchParams","isStandardBrowserEnv","navigator","product","merge","result","assignValue","arguments","deepMerge","extend","a","b","thisArg","trim","str","replace","TypeError","String","fails","DESCRIPTORS","IE8_DOM_DEFINE","anObject","toPrimitive","nativeDefineProperty","f","O","P","Attributes","definePropertyModule","createPropertyDescriptor","getOwnPropertyDescriptor","createNonEnumerableProperty","redefine","setGlobal","copyConstructorProperties","isForced","options","source","targetProperty","sourceProperty","descriptor","TARGET","GLOBAL","STATIC","stat","noTargetGet","forced","sham","getRootUrl","generateFilePath","imagePath","generateUrl","generateOcsUrl","generateRemoteUrl","linkTo","app","file","service","location","protocol","host","linkToRemoteBase","version","url","params","allOptions","assign","escape","noRewrite","_build","text","vars","encodeURIComponent","charAt","OC","config","modRewriteWorking","indexOf","isCore","coreApps","link","substring","appswebroots","encodeURI","webroot","inspectSource","InternalStateModule","getInternalState","enforceInternalState","enforce","TEMPLATE","split","unsafe","simple","join","this","openMimetypesMarkdown","openMimetypesPlainText","openMimetypes","subscribe","handler","bus","unsubscribe","emit","_ProxyBus","_SimpleBus","_eventBus","_nc_event_bus","warn","ProxyBus","SimpleBus","toInteger","min","argument","requireObjectCoercible","normalizeComponent","scriptExports","render","staticRenderFns","functionalTemplate","injectStyles","scopeId","moduleIdentifier","shadowMode","hook","_compiled","functional","_scopeId","context","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","originalRender","h","existing","beforeCreate","concat","set","NATIVE_WEAK_MAP","objectHas","sharedKey","hiddenKeys","WeakMap","store","wmget","wmhas","wmset","metadata","STATE","getterFor","TYPE","state","path","aFunction","variable","namespace","method","ceil","floor","isNaN","bitmap","configurable","writable","IndexedObject","content","locals","default","_vm","_h","$createElement","_c","_self","class","loaded","ready","focus","darkTheme","canCreate","attrs","staticClass","on","createNew","_v","_s","_e","directives","rawName","expression","id","shareToken","mimetype","autofocus","$event","unfocus","reset","optimalPath","from","to","current","pop","relativePath","fill","absolutePath","registerFileCreate","newFileMenuPlugin","attach","menu","fileList","addMenuEntry","displayName","templateName","iconClass","fileType","actionHandler","createFile","then","status","fileInfoModel","OCA","Files","FileInfoModel","Viewer","fileActions","triggerAction","Plugins","register","registerFileActionFallback","mime","sharingToken","getElementById","dir","ViewerRoot","body","PERMISSION_UPDATE","PERMISSION_READ","filename","FileList","findFile","imports","getCurrentDirectory","Vue","Editor","props","fileId","active","mimeType","$mount","setDefault","FilesWorkspacePlugin","el","registerHeader","priority","vm","RichWorkspace","propsData","$el","_axios","_auth","client","headers","requesttoken","getRequestToken","cancelableClient","CancelToken","isCancel","onRequestTokenUpdate","token","defaults","_default","that","apply","cache","thrower","METHOD_NAME","ACCESSORS","argument0","argument1","activeXDocument","defineProperties","enumBugKeys","html","documentCreateElement","IE_PROTO","EmptyConstructor","scriptTag","LT","NullProtoObject","domain","ActiveXObject","iframeDocument","iframe","write","close","temp","parentWindow","NullProtoObjectViaActiveX","style","display","contentWindow","open","F","Properties","TO_STRING_TAG","wellKnownSymbol","TAG","input","PREFERRED_STRING","valueOf","classof","propertyIsEnumerable","keys","postfix","random","MAX_SAFE_INTEGER","Number","SEMVER_SPEC_VERSION","MAX_LENGTH","MAX_SAFE_COMPONENT_LENGTH","$","createIteratorConstructor","getPrototypeOf","setPrototypeOf","setToStringTag","IS_PURE","Iterators","IteratorsCore","IteratorPrototype","BUGGY_SAFARI_ITERATORS","ITERATOR","returnThis","Iterable","NAME","IteratorConstructor","next","DEFAULT","IS_SET","FORCED","CurrentIteratorPrototype","methods","KEY","getIterationMethod","KIND","defaultIterator","IterablePrototype","INCORRECT_VALUES_NAME","nativeIterator","anyNativeIterator","entries","values","proto","test","re1","re2","regexpFlags","stickyHelpers","nativeExec","RegExp","nativeReplace","patchedExec","UPDATES_LAST_INDEX_WRONG","lastIndex","UNSUPPORTED_Y","BROKEN_CARET","NPCG_INCLUDED","reCopy","match","re","sticky","flags","charsAdded","strCopy","multiline","index","g","cachedSetTimeout","cachedClearTimeout","process","defaultSetTimout","defaultClearTimeout","runTimeout","fun","currentQueue","queue","draining","queueIndex","cleanUpNextTick","drainQueue","len","run","marker","runClearTimeout","Item","array","noop","nextTick","args","Array","title","browser","env","argv","versions","addListener","once","off","removeListener","removeAllListeners","prependListener","prependOnceListener","listeners","binding","cwd","chdir","umask","loadState","elem","querySelector","JSON","parse","atob","useSourceMap","list","map","item","cssMapping","btoa","sourceMapping","sourceMap","base64","unescape","stringify","sourceURLs","sources","sourceRoot","cssWithMappingToString","mediaQuery","dedupe","alreadyImportedModules","_i","listToStyles","parentId","styles","newStyles","part","css","media","parts","hasDocument","DEBUG","stylesInDom","getElementsByTagName","singletonElement","singletonCounter","isProduction","isOldIE","userAgent","toLowerCase","addStylesClient","_isProduction","_options","addStylesToDom","newList","mayRemove","domStyle","refs","j","addStyle","createStyleElement","styleElement","update","remove","parentNode","removeChild","styleIndex","applyToSingletonTag","applyToTag","newObj","textStore","replaceText","replacement","filter","Boolean","styleSheet","cssText","cssNode","createTextNode","childNodes","insertBefore","ssrId","firstChild","TO_STRING_TAG_SUPPORT","toIndexedObject","addToUnscopables","defineIterator","setInternalState","iterated","kind","done","Arguments","propertyIsEnumerableModule","nativeGetOwnPropertyDescriptor","feature","detection","normalize","POLYFILL","NATIVE","string","V8_VERSION","SPECIES","foo","Constructor","originalArray","C","toObject","toLength","createProperty","arraySpeciesCreate","arrayMethodHasSpeciesSupport","IS_CONCAT_SPREADABLE","IS_CONCAT_SPREADABLE_SUPPORT","SPECIES_SUPPORT","isConcatSpreadable","spreadable","arg","k","E","A","EXISTS","functionToString","createMethod","IS_MAP","IS_FILTER","IS_SOME","IS_EVERY","IS_FIND_INDEX","NO_HOLES","$this","callbackfn","specificCreate","boundFunction","some","every","find","findIndex","v8","isArrayIteratorMethod","getIteratorMethod","callWithSafeIterationClosing","Result","stopped","iterable","AS_ENTRIES","IS_ITERATOR","iterator","iterFn","step","stop","classofRaw","CORRECT_ARGUMENTS","tag","tryGet","callee","CONVERT_TO_STRING","pos","first","second","S","position","size","charCodeAt","codeAt","nativePropertyIsEnumerable","NASHORN_BUG","1","V","copyright","names","toAbsoluteIndex","IS_INCLUDES","fromIndex","includes","getOwnPropertySymbols","internalObjectKeys","utils","encode","paramsSerializer","serializedParams","v","toISOString","hashmarkIndex","__CANCEL__","normalizeHeaderName","DEFAULT_CONTENT_TYPE","setContentTypeIfUnset","adapter","XMLHttpRequest","transformRequest","transformResponse","xsrfCookieName","xsrfHeaderName","maxContentLength","validateStatus","common","settle","buildURL","buildFullPath","parseHeaders","isURLSameOrigin","createError","requestData","requestHeaders","auth","username","password","Authorization","fullPath","baseURL","toUpperCase","onreadystatechange","readyState","responseURL","responseHeaders","getAllResponseHeaders","response","responseType","responseText","statusText","onabort","ontimeout","timeoutErrorMessage","cookies","xsrfValue","withCredentials","read","setRequestHeader","onDownloadProgress","addEventListener","onUploadProgress","upload","cancelToken","cancel","abort","send","enhanceError","code","config1","config2","valueFromConfig2Keys","mergeDeepPropertiesKeys","defaultToConfig2Keys","prop","axiosKeys","otherKeys","Cancel","$forEach","arrayMethodIsStrict","arrayMethodUsesToLength","STRICT_METHOD","USES_TO_LENGTH","debug","R","createToken","isGlobal","NUMERICIDENTIFIER","NUMERICIDENTIFIERLOOSE","NONNUMERICIDENTIFIER","PRERELEASEIDENTIFIER","PRERELEASEIDENTIFIERLOOSE","BUILDIDENTIFIER","MAINVERSION","PRERELEASE","BUILD","FULLPLAIN","MAINVERSIONLOOSE","PRERELEASELOOSE","LOOSEPLAIN","XRANGEIDENTIFIER","XRANGEIDENTIFIERLOOSE","GTLT","XRANGEPLAIN","XRANGEPLAINLOOSE","COERCE","LONETILDE","tildeTrimReplace","LONECARET","caretTrimReplace","comparatorTrimReplace","NODE_DEBUG","compareIdentifiers","SemVer","loose","includePrerelease","LOOSE","FULL","raw","major","minor","patch","prerelease","num","build","format","other","compareMain","comparePre","release","identifier","inc","PrototypeOfArrayIteratorPrototype","arrayIterator","CORRECT_PROTOTYPE_GETTER","ObjectPrototype","aPossiblePrototype","setter","CORRECT_SETTER","__proto__","FREEZING","METADATA","isExtensible","setMetadata","objectID","weakData","meta","REQUIRED","fastKey","getWeakData","onFreeze","CSSRuleList","CSSStyleDeclaration","CSSValueList","ClientRectList","DOMRectList","DOMStringList","DOMTokenList","DataTransferItemList","HTMLAllCollection","HTMLCollection","HTMLFormElement","HTMLSelectElement","MediaList","MimeTypeArray","NamedNodeMap","NodeList","PaintRequestList","Plugin","PluginArray","SVGLengthList","SVGNumberList","SVGPathSegList","SVGPointList","SVGStringList","SVGTransformList","SourceBufferList","StyleSheetList","TextTrackCueList","TextTrackList","TouchList","ignoreCase","dotAll","unicode","RegExpPrototype","nativeToString","NOT_GENERIC","INCORRECT_NAME","rf","point","fixRegExpWellKnownSymbolLogic","advanceStringIndex","regExpExec","max","SUBSTITUTION_SYMBOLS","SUBSTITUTION_SYMBOLS_NO_NAMED","REPLACE","maybeCallNative","reason","REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE","REPLACE_KEEPS_$0","UNSAFE_SUBSTITUTE","searchValue","replaceValue","replacer","regexp","res","rx","functionalReplace","fullUnicode","results","accumulatedResult","nextSourcePosition","matched","captures","namedCaptures","groups","replacerArgs","getSubstitution","tailPos","symbols","ch","capture","DOMIterables","ArrayIteratorMethods","ArrayValues","COLLECTION_NAME","Collection","CollectionPrototype","$indexOf","nativeIndexOf","NEGATIVE_ZERO","searchElement","propertyKey","getOwnPropertyNames","integer","_requesttoken","_user","getCurrentUser","$filter","HAS_SPECIES_SUPPORT","dummy","Wrapper","NewTarget","NewTargetPrototype","objectKeys","getOwnPropertySymbolsModule","nativeAssign","B","symbol","chr","T","argumentsLength","getBuiltIn","ArrayPrototype","ENTRIES","returnMethod","SAFE_CLOSING","called","iteratorWithReturn","SKIP_CLOSING","ITERATION_SUPPORT","CONSTRUCTOR_NAME","regexpExec","REPLACE_SUPPORTS_NAMED_GROUPS","SPLIT_WORKS_WITH_OVERWRITTEN_EXEC","originalExec","SYMBOL","DELEGATES_TO_SYMBOL","DELEGATES_TO_EXEC","execCalled","nativeRegExpMethod","nativeMethod","arg2","forceStringMethod","stringMethod","regexMethod","ownKeys","getOwnPropertyDescriptorModule","getOwnPropertyNamesModule","Axios","mergeConfig","createInstance","defaultConfig","instance","axios","instanceConfig","spread","InterceptorManager","dispatchRequest","interceptors","chain","interceptor","unshift","fulfilled","rejected","getUri","handlers","use","eject","transformData","throwIfCancellationRequested","throwIfRequested","fns","normalizedName","isAxiosError","toJSON","description","number","fileName","lineNumber","columnNumber","stack","isAbsoluteURL","combineURLs","requestedURL","relativeURL","ignoreDuplicateOf","parsed","line","substr","originURL","msie","urlParsingNode","resolveURL","href","search","hash","hostname","port","pathname","requestURL","expires","secure","cookie","Date","toGMTString","decodeURIComponent","now","executor","resolvePromise","callback","arr","observer","observers","tokenElement","getAttribute","_valid","_interopRequireDefault","_major","_defineProperties","packageJson","_classCallCheck","getVersion","protoProps","staticProps","er","numeric","anum","bnum","rcompareIdentifiers","Map","UNSCOPABLES","collection","collectionStrong","init","InternalMetadataModule","iterate","anInstance","checkCorrectnessOfIteration","inheritIfRequired","wrapper","IS_WEAK","ADDER","NativeConstructor","NativePrototype","exported","fixMethod","getConstructor","HASNT_CHAINING","THROWS_ON_PRIMITIVES","ACCEPT_ITERABLES","BUGGY_ZERO","$instance","clear","setStrong","preventExtensions","redefineAll","setSpecies","internalStateGetterFor","last","define","previous","entry","getEntry","removed","prev","ITERATOR_NAME","getInternalCollectionState","getInternalIteratorState","isAdmin","uidElement","displayNameElement","isUserAdmin","RE","___CSS_LOADER_API_IMPORT___","documentReady","attachEvent","_baseUrl","endpointUrl","endpoint","isPublic","randomGuestNames","getRandomGuestName","__webpack_nonce__","requestToken","__webpack_public_path__","container","Text","RichWorkspaceEnabled"],"mappings":"aACE,SAASA,EAAqBC,GAQ7B,IAPA,IAMIC,EAAUC,EANVC,EAAWH,EAAK,GAChBI,EAAcJ,EAAK,GAKAK,EAAI,EAAGC,EAAW,GACpCD,EAAIF,EAASI,OAAQF,IACzBH,EAAUC,EAASE,GAChBG,OAAOC,UAAUC,eAAeC,KAAKC,EAAiBV,IAAYU,EAAgBV,IACpFI,EAASO,KAAKD,EAAgBV,GAAS,IAExCU,EAAgBV,GAAW,EAE5B,IAAID,KAAYG,EACZI,OAAOC,UAAUC,eAAeC,KAAKP,EAAaH,KACpDa,EAAQb,GAAYG,EAAYH,IAKlC,IAFGc,GAAqBA,EAAoBf,GAEtCM,EAASC,QACdD,EAASU,OAATV,GAOF,IAAIW,EAAmB,GAKnBL,EAAkB,CACrBM,IAAK,GAWN,SAASC,EAAoBlB,GAG5B,GAAGgB,EAAiBhB,GACnB,OAAOgB,EAAiBhB,GAAUmB,QAGnC,IAAIC,EAASJ,EAAiBhB,GAAY,CACzCI,EAAGJ,EACHqB,GAAG,EACHF,QAAS,IAUV,OANAN,EAAQb,GAAUU,KAAKU,EAAOD,QAASC,EAAQA,EAAOD,QAASD,GAG/DE,EAAOC,GAAI,EAGJD,EAAOD,QAKfD,EAAoBI,EAAI,SAAuBrB,GAC9C,IAAIsB,EAAW,GAKXC,EAAqBb,EAAgBV,GACzC,GAA0B,IAAvBuB,EAGF,GAAGA,EACFD,EAASX,KAAKY,EAAmB,QAC3B,CAEN,IAAIC,EAAU,IAAIC,SAAQ,SAASC,EAASC,GAC3CJ,EAAqBb,EAAgBV,GAAW,CAAC0B,EAASC,MAE3DL,EAASX,KAAKY,EAAmB,GAAKC,GAGtC,IACII,EADAC,EAASC,SAASC,cAAc,UAGpCF,EAAOG,QAAU,QACjBH,EAAOI,QAAU,IACbhB,EAAoBiB,IACvBL,EAAOM,aAAa,QAASlB,EAAoBiB,IAElDL,EAAOO,IA1DV,SAAwBpC,GACvB,OAAOiB,EAAoBoB,EAAI,IAAM,CAAC,EAAI,SAAS,EAAI,6DAA6D,EAAI,eAAe,EAAI,iBAAiB,EAAI,sBAAsB,EAAI,yBAAyB,EAAI,gBAAgB,EAAI,wBAAwB,EAAI,mBAAmB,EAAI,wBAAwB,GAAK,mBAAmB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,qBAAqB,GAAK,oBAAoB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,yBAAyB,GAAK,kBAAkB,GAAK,yBAAyB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,eAAe,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,cAAc,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,uBAAuB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,wBAAwB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,eAAe,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,iBAAiB,GAAK,eAAe,GAAK,oBAAoB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,sBAAsB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,2BAA2B,GAAK,uBAAuB,GAAK,iBAAiB,GAAK,gBAAgB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,eAAe,IAAM,kBAAkB,IAAM,gBAAgB,IAAM,sBAAsB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,oBAAoB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,qBAAqB,IAAM,mBAAmB,IAAM,sBAAsB,IAAM,mBAAmB,IAAM,cAAc,IAAM,gBAAgB,IAAM,cAAc,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,0BAA0B,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,kBAAkB,IAAM,sBAAsB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,yBAAyB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,0BAA0B,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,mBAAmB,IAAM,qCAAqC,IAAM,6BAA6B,IAAM,SAAS,IAAM,gBAAgB,IAAM,eAAe,IAAM,cAAc,IAAM,cAAc,IAAM,iBAAiB,IAAM,sBAAsB,IAAM,uBAAuBrC,IAAUA,GAAW,SAAW,CAAC,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,wBAAwBA,GAyDztUsC,CAAetC,GAG5B,IAAIuC,EAAQ,IAAIC,MAChBZ,EAAmB,SAAUa,GAE5BZ,EAAOa,QAAUb,EAAOc,OAAS,KACjCC,aAAaX,GACb,IAAIY,EAAQnC,EAAgBV,GAC5B,GAAa,IAAV6C,EAAa,CACf,GAAGA,EAAO,CACT,IAAIC,EAAYL,IAAyB,SAAfA,EAAMM,KAAkB,UAAYN,EAAMM,MAChEC,EAAUP,GAASA,EAAMQ,QAAUR,EAAMQ,OAAOb,IACpDG,EAAMW,QAAU,iBAAmBlD,EAAU,cAAgB8C,EAAY,KAAOE,EAAU,IAC1FT,EAAMY,KAAO,iBACbZ,EAAMQ,KAAOD,EACbP,EAAMa,QAAUJ,EAChBH,EAAM,GAAGN,GAEV7B,EAAgBV,QAAWqD,IAG7B,IAAIpB,EAAUqB,YAAW,WACxB1B,EAAiB,CAAEmB,KAAM,UAAWE,OAAQpB,MAC1C,MACHA,EAAOa,QAAUb,EAAOc,OAASf,EACjCE,SAASyB,KAAKC,YAAY3B,GAG5B,OAAOJ,QAAQgC,IAAInC,IAIpBL,EAAoByC,EAAI9C,EAGxBK,EAAoB0C,EAAI5C,EAGxBE,EAAoB2C,EAAI,SAAS1C,EAASiC,EAAMU,GAC3C5C,EAAoB6C,EAAE5C,EAASiC,IAClC7C,OAAOyD,eAAe7C,EAASiC,EAAM,CAAEa,YAAY,EAAMC,IAAKJ,KAKhE5C,EAAoBiD,EAAI,SAAShD,GACX,oBAAXiD,QAA0BA,OAAOC,aAC1C9D,OAAOyD,eAAe7C,EAASiD,OAAOC,YAAa,CAAEC,MAAO,WAE7D/D,OAAOyD,eAAe7C,EAAS,aAAc,CAAEmD,OAAO,KAQvDpD,EAAoBqD,EAAI,SAASD,EAAOE,GAEvC,GADU,EAAPA,IAAUF,EAAQpD,EAAoBoD,IAC/B,EAAPE,EAAU,OAAOF,EACpB,GAAW,EAAPE,GAA8B,iBAAVF,GAAsBA,GAASA,EAAMG,WAAY,OAAOH,EAChF,IAAII,EAAKnE,OAAOoE,OAAO,MAGvB,GAFAzD,EAAoBiD,EAAEO,GACtBnE,OAAOyD,eAAeU,EAAI,UAAW,CAAET,YAAY,EAAMK,MAAOA,IACtD,EAAPE,GAA4B,iBAATF,EAAmB,IAAI,IAAIM,KAAON,EAAOpD,EAAoB2C,EAAEa,EAAIE,EAAK,SAASA,GAAO,OAAON,EAAMM,IAAQC,KAAK,KAAMD,IAC9I,OAAOF,GAIRxD,EAAoB4D,EAAI,SAAS1D,GAChC,IAAI0C,EAAS1C,GAAUA,EAAOqD,WAC7B,WAAwB,OAAOrD,EAAgB,SAC/C,WAA8B,OAAOA,GAEtC,OADAF,EAAoB2C,EAAEC,EAAQ,IAAKA,GAC5BA,GAIR5C,EAAoB6C,EAAI,SAASgB,EAAQC,GAAY,OAAOzE,OAAOC,UAAUC,eAAeC,KAAKqE,EAAQC,IAGzG9D,EAAoBoB,EAAI,OAGxBpB,EAAoB+D,GAAK,SAASC,GAA2B,MAApBC,QAAQ3C,MAAM0C,GAAYA,GAEnE,IAAIE,EAAaC,OAAyB,iBAAIA,OAAyB,kBAAK,GACxEC,EAAmBF,EAAWxE,KAAKiE,KAAKO,GAC5CA,EAAWxE,KAAOd,EAClBsF,EAAaA,EAAWG,QACxB,IAAI,IAAInF,EAAI,EAAGA,EAAIgF,EAAW9E,OAAQF,IAAKN,EAAqBsF,EAAWhF,IAC3E,IAAIU,EAAsBwE,EAInBpE,EAAoBA,EAAoBsE,EAAI,K,gBCrMrDpE,EAAOD,QAAU,SAAUsE,GACzB,IACE,QAASA,IACT,MAAOjD,GACP,OAAO,K,gBCJX,IAAIkD,EAAS,EAAQ,GACjBC,EAAS,EAAQ,IACjBC,EAAM,EAAQ,GACdC,EAAM,EAAQ,IACdC,EAAgB,EAAQ,IACxBC,EAAoB,EAAQ,KAE5BC,EAAwBL,EAAO,OAC/BvB,EAASsB,EAAOtB,OAChB6B,EAAwBF,EAAoB3B,EAASA,GAAUA,EAAO8B,eAAiBL,EAE3FzE,EAAOD,QAAU,SAAUiC,GAIvB,OAHGwC,EAAII,EAAuB5C,KAC1B0C,GAAiBF,EAAIxB,EAAQhB,GAAO4C,EAAsB5C,GAAQgB,EAAOhB,GACxE4C,EAAsB5C,GAAQ6C,EAAsB,UAAY7C,IAC9D4C,EAAsB5C,K,iBCfjC,8BACE,OAAO+C,GAAMA,EAAGC,MAAQA,MAAQD,GAIlC/E,EAAOD,QAELkF,EAA2B,iBAAdC,YAA0BA,aACvCD,EAAuB,iBAAVhB,QAAsBA,SACnCgB,EAAqB,iBAARE,MAAoBA,OACjCF,EAAuB,iBAAVX,GAAsBA,IAEnCc,SAAS,cAATA,K,+CCVF,IAAI3B,EAAO,EAAQ,IAMf4B,EAAWlG,OAAOC,UAAUiG,SAQhC,SAASC,EAAQC,GACf,MAA8B,mBAAvBF,EAAS/F,KAAKiG,GASvB,SAASC,EAAYD,GACnB,YAAsB,IAARA,EA4EhB,SAASE,EAASF,GAChB,OAAe,OAARA,GAA+B,iBAARA,EAuChC,SAASG,EAAWH,GAClB,MAA8B,sBAAvBF,EAAS/F,KAAKiG,GAwEvB,SAASI,EAAQC,EAAKC,GAEpB,GAAID,QAUJ,GALmB,iBAARA,IAETA,EAAM,CAACA,IAGLN,EAAQM,GAEV,IAAK,IAAI5G,EAAI,EAAGiB,EAAI2F,EAAI1G,OAAQF,EAAIiB,EAAGjB,IACrC6G,EAAGvG,KAAK,KAAMsG,EAAI5G,GAAIA,EAAG4G,QAI3B,IAAK,IAAIpC,KAAOoC,EACVzG,OAAOC,UAAUC,eAAeC,KAAKsG,EAAKpC,IAC5CqC,EAAGvG,KAAK,KAAMsG,EAAIpC,GAAMA,EAAKoC,GAoFrC5F,EAAOD,QAAU,CACfuF,QAASA,EACTQ,cApRF,SAAuBP,GACrB,MAA8B,yBAAvBF,EAAS/F,KAAKiG,IAoRrBQ,SAhSF,SAAkBR,GAChB,OAAe,OAARA,IAAiBC,EAAYD,IAA4B,OAApBA,EAAIS,cAAyBR,EAAYD,EAAIS,cAChD,mBAA7BT,EAAIS,YAAYD,UAA2BR,EAAIS,YAAYD,SAASR,IA+RhFU,WA5QF,SAAoBV,GAClB,MAA4B,oBAAbW,UAA8BX,aAAeW,UA4Q5DC,kBAnQF,SAA2BZ,GAOzB,MAL4B,oBAAhBa,aAAiCA,YAAkB,OACpDA,YAAYC,OAAOd,GAEnB,GAAUA,EAAU,QAAMA,EAAIe,kBAAkBF,aA+P3DG,SApPF,SAAkBhB,GAChB,MAAsB,iBAARA,GAoPdiB,SA3OF,SAAkBjB,GAChB,MAAsB,iBAARA,GA2OdE,SAAUA,EACVD,YAAaA,EACbiB,OA1NF,SAAgBlB,GACd,MAA8B,kBAAvBF,EAAS/F,KAAKiG,IA0NrBmB,OAjNF,SAAgBnB,GACd,MAA8B,kBAAvBF,EAAS/F,KAAKiG,IAiNrBoB,OAxMF,SAAgBpB,GACd,MAA8B,kBAAvBF,EAAS/F,KAAKiG,IAwMrBG,WAAYA,EACZkB,SAtLF,SAAkBrB,GAChB,OAAOE,EAASF,IAAQG,EAAWH,EAAIsB,OAsLvCC,kBA7KF,SAA2BvB,GACzB,MAAkC,oBAApBwB,iBAAmCxB,aAAewB,iBA6KhEC,qBAjJF,WACE,OAAyB,oBAAdC,WAAoD,gBAAtBA,UAAUC,SACY,iBAAtBD,UAAUC,SACY,OAAtBD,UAAUC,WAI/B,oBAAXjD,QACa,oBAAbtD,WA0ITgF,QAASA,EACTwB,MA/EF,SAASA,IACP,IAAIC,EAAS,GACb,SAASC,EAAY9B,EAAK/B,GACG,iBAAhB4D,EAAO5D,IAAoC,iBAAR+B,EAC5C6B,EAAO5D,GAAO2D,EAAMC,EAAO5D,GAAM+B,GAEjC6B,EAAO5D,GAAO+B,EAIlB,IAAK,IAAIvG,EAAI,EAAGiB,EAAIqH,UAAUpI,OAAQF,EAAIiB,EAAGjB,IAC3C2G,EAAQ2B,UAAUtI,GAAIqI,GAExB,OAAOD,GAmEPG,UAxDF,SAASA,IACP,IAAIH,EAAS,GACb,SAASC,EAAY9B,EAAK/B,GACG,iBAAhB4D,EAAO5D,IAAoC,iBAAR+B,EAC5C6B,EAAO5D,GAAO+D,EAAUH,EAAO5D,GAAM+B,GAErC6B,EAAO5D,GADiB,iBAAR+B,EACFgC,EAAU,GAAIhC,GAEdA,EAIlB,IAAK,IAAIvG,EAAI,EAAGiB,EAAIqH,UAAUpI,OAAQF,EAAIiB,EAAGjB,IAC3C2G,EAAQ2B,UAAUtI,GAAIqI,GAExB,OAAOD,GA0CPI,OA/BF,SAAgBC,EAAGC,EAAGC,GAQpB,OAPAhC,EAAQ+B,GAAG,SAAqBnC,EAAK/B,GAEjCiE,EAAEjE,GADAmE,GAA0B,mBAARpC,EACX9B,EAAK8B,EAAKoC,GAEVpC,KAGNkC,GAwBPG,KAzKF,SAAcC,GACZ,OAAOA,EAAIC,QAAQ,OAAQ,IAAIA,QAAQ,OAAQ,O,cC9KjD,IAAIzI,EAAiB,GAAGA,eAExBW,EAAOD,QAAU,SAAUgF,EAAIvB,GAC7B,OAAOnE,EAAeC,KAAKyF,EAAIvB,K,gBCHjC,IAAIiC,EAAW,EAAQ,GAEvBzF,EAAOD,QAAU,SAAUgF,GACzB,IAAKU,EAASV,GACZ,MAAMgD,UAAUC,OAAOjD,GAAM,qBAC7B,OAAOA,I,cCLX/E,EAAOD,QAAU,SAAUgF,GACzB,MAAqB,iBAAPA,EAAyB,OAAPA,EAA4B,mBAAPA,I,gBCDvD,IAAIkD,EAAQ,EAAQ,GAGpBjI,EAAOD,SAAWkI,GAAM,WACtB,OAA8E,GAAvE9I,OAAOyD,eAAe,GAAI,EAAG,CAAEE,IAAK,WAAc,OAAO,KAAQ,O,gBCJ1E,IAAIoF,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,IACzBC,EAAW,EAAQ,GACnBC,EAAc,EAAQ,IAEtBC,EAAuBnJ,OAAOyD,eAIlC7C,EAAQwI,EAAIL,EAAcI,EAAuB,SAAwBE,EAAGC,EAAGC,GAI7E,GAHAN,EAASI,GACTC,EAAIJ,EAAYI,GAAG,GACnBL,EAASM,GACLP,EAAgB,IAClB,OAAOG,EAAqBE,EAAGC,EAAGC,GAClC,MAAOtH,IACT,GAAI,QAASsH,GAAc,QAASA,EAAY,MAAMX,UAAU,2BAEhE,MADI,UAAWW,IAAYF,EAAEC,GAAKC,EAAWxF,OACtCsF,I,gBClBT,IAAIN,EAAc,EAAQ,GACtBS,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC5I,EAAOD,QAAUmI,EAAc,SAAUvE,EAAQH,EAAKN,GACpD,OAAOyF,EAAqBJ,EAAE5E,EAAQH,EAAKoF,EAAyB,EAAG1F,KACrE,SAAUS,EAAQH,EAAKN,GAEzB,OADAS,EAAOH,GAAON,EACPS,I,gBCRT,IAAIW,EAAS,EAAQ,GACjBuE,EAA2B,EAAQ,IAAmDN,EACtFO,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBC,EAAY,EAAQ,IACpBC,EAA4B,EAAQ,KACpCC,EAAW,EAAQ,IAgBvBlJ,EAAOD,QAAU,SAAUoJ,EAASC,GAClC,IAGYtH,EAAQ0B,EAAK6F,EAAgBC,EAAgBC,EAHrDC,EAASL,EAAQrH,OACjB2H,EAASN,EAAQ7E,OACjBoF,EAASP,EAAQQ,KASrB,GANE7H,EADE2H,EACOnF,EACAoF,EACApF,EAAOkF,IAAWR,EAAUQ,EAAQ,KAEnClF,EAAOkF,IAAW,IAAIpK,UAEtB,IAAKoE,KAAO4F,EAAQ,CAQ9B,GAPAE,EAAiBF,EAAO5F,GAGtB6F,EAFEF,EAAQS,aACVL,EAAaV,EAAyB/G,EAAQ0B,KACf+F,EAAWrG,MACpBpB,EAAO0B,IACtB0F,EAASO,EAASjG,EAAMgG,GAAUE,EAAS,IAAM,KAAOlG,EAAK2F,EAAQU,cAE5C3H,IAAnBmH,EAA8B,CAC3C,UAAWC,UAA0BD,EAAgB,SACrDJ,EAA0BK,EAAgBD,IAGxCF,EAAQW,MAAST,GAAkBA,EAAeS,OACpDhB,EAA4BQ,EAAgB,QAAQ,GAGtDP,EAASjH,EAAQ0B,EAAK8F,EAAgBH,M,6BCjD1C,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAERhK,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQgK,WAAahK,EAAQiK,iBAAmBjK,EAAQkK,UAAYlK,EAAQmK,YAAcnK,EAAQoK,eAAiBpK,EAAQqK,kBAAoBrK,EAAQsK,YAAS,EAsBhKtK,EAAQsK,OAXK,SAAgBC,EAAKC,GAChC,OAAOP,EAAiBM,EAAK,GAAIC,IAkCnCxK,EAAQqK,kBAZgB,SAA2BI,GACjD,OAAOvG,OAAOwG,SAASC,SAAW,KAAOzG,OAAOwG,SAASE,KAXpC,SAA0BH,GAC/C,OAAOT,IAAe,eAAiBS,EAUyBI,CAAiBJ,IAkBnFzK,EAAQoK,eALa,SAAwBK,EAASK,GAEpD,OADAA,EAAsB,IAAZA,EAAgB,EAAI,EACvB5G,OAAOwG,SAASC,SAAW,KAAOzG,OAAOwG,SAASE,KAAOZ,IAAe,SAAWc,EAAU,QAAUL,EAAU,KAoD1HzK,EAAQmK,YAxCU,SAAqBY,EAAKC,EAAQ5B,GAClD,IAAI6B,EAAa7L,OAAO8L,OAAO,CAC7BC,QAAQ,EACRC,WAAW,GACVhC,GAAW,IAEViC,EAAS,SAAgBC,EAAMC,GAEjC,OADAA,EAAOA,GAAQ,GACRD,EAAKvD,QAAQ,eAAe,SAAUL,EAAGC,GAC9C,IAAI3E,EAAIuI,EAAK5D,GAEb,OAAIsD,EAAWE,OACO,iBAANnI,GAA+B,iBAANA,EAAiBwI,mBAAmBxI,EAAEsC,YAAckG,mBAAmB9D,GAE1F,iBAAN1E,GAA+B,iBAANA,EAAiBA,EAAEsC,WAAaoC,MAS7E,MAJsB,MAAlBqD,EAAIU,OAAO,KACbV,EAAM,IAAMA,IAGsB,IAAhCW,GAAGC,OAAOC,mBAA+BX,EAAWG,UAIjDpB,IAAe,aAAeqB,EAAON,EAAKC,GAAU,IAHlDhB,IAAeqB,EAAON,EAAKC,GAAU,KAoChDhL,EAAQkK,UAlBQ,SAAmBK,EAAKC,GACtC,OAA2B,IAAvBA,EAAKqB,QAAQ,KAER5B,EAAiBM,EAAK,MAAOC,EAAO,QAGtCP,EAAiBM,EAAK,MAAOC,IActC,IAAIP,EAAmB,SAA0BM,EAAK1I,EAAM2I,GAC1D,IAAIsB,GAAuC,IAA9BJ,GAAGK,SAASF,QAAQtB,GAC7ByB,EAAOhC,IAiDX,MA/CwC,QAApCQ,EAAKyB,UAAUzB,EAAKrL,OAAS,IAAiB2M,EAYH,QAApCtB,EAAKyB,UAAUzB,EAAKrL,OAAS,IAAiB2M,GAgBrDE,GAHW,aAARzB,GAA8B,SAARA,GAA0B,WAARA,GAA8B,SAAT1I,EAGxD,IAFA,cAKLiK,IACHE,GAAQ,SAGE,KAARzB,IAEFyB,GADAzB,GAAO,KAIL1I,IACFmK,GAAQnK,EAAO,KAGjBmK,GAAQxB,IA/BRwB,EAAON,GAAGQ,aAAa3B,GAEnB1I,IACFmK,GAAQ,IAAMnK,EAAO,KAGiB,MAApCmK,EAAKC,UAAUD,EAAK7M,OAAS,KAC/B6M,GAAQ,KAGVA,GAAQxB,IAtBRwB,GAAQ,mBAAqBzB,EAEhB,cAATC,IACFwB,GAAQ,IAEJnK,IACFmK,GAAQG,UAAUtK,EAAO,MAG3BmK,GAAQxB,IAqCLwB,GAWThM,EAAQiK,iBAAmBA,EAE3B,IAAID,EAAa,WACf,OAAO0B,GAAGU,SAGZpM,EAAQgK,WAAaA,G,gBCjNrB,IAAIzF,EAAS,EAAQ,GACjBwE,EAA8B,EAAQ,GACtCtE,EAAM,EAAQ,GACdwE,EAAY,EAAQ,IACpBoD,EAAgB,EAAQ,IACxBC,EAAsB,EAAQ,IAE9BC,EAAmBD,EAAoBvJ,IACvCyJ,EAAuBF,EAAoBG,QAC3CC,EAAWzE,OAAOA,QAAQ0E,MAAM,WAEnC1M,EAAOD,QAAU,SAAUyI,EAAGhF,EAAKN,EAAOiG,GACzC,IAAIwD,IAASxD,KAAYA,EAAQwD,OAC7BC,IAASzD,KAAYA,EAAQtG,WAC7B+G,IAAcT,KAAYA,EAAQS,YAClB,mBAAT1G,IACS,iBAAPM,GAAoBgB,EAAItB,EAAO,SAAS4F,EAA4B5F,EAAO,OAAQM,GAC9F+I,EAAqBrJ,GAAOkG,OAASqD,EAASI,KAAmB,iBAAPrJ,EAAkBA,EAAM,KAEhFgF,IAAMlE,GAIEqI,GAEA/C,GAAepB,EAAEhF,KAC3BoJ,GAAS,UAFFpE,EAAEhF,GAIPoJ,EAAQpE,EAAEhF,GAAON,EAChB4F,EAA4BN,EAAGhF,EAAKN,IATnC0J,EAAQpE,EAAEhF,GAAON,EAChB8F,EAAUxF,EAAKN,KAUrBkC,SAAShG,UAAW,YAAY,WACjC,MAAsB,mBAAR0N,MAAsBR,EAAiBQ,MAAM1D,QAAUgD,EAAcU,U,6BChCrF;;;;;;;;;;;;;;;;;;;;;;AAsBA,IAAMC,EAAwB,CAC7B,iBAGKC,EAAyB,CAC9B,aACA,kBACA,sBACA,8BACA,uBACA,yBACA,mBACA,qBACA,oBACA,oBACA,kBACA,mBACA,WACA,WACA,YACA,WACA,gBACA,WACA,qBACA,cACA,gBACA,sBAGKC,EAAgB,GAAH,OAAOF,EAA0BC,I,6BCjDpD7N,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQmN,UA8BR,SAAmBlL,EAAMmL,GACvBC,EAAIF,UAAUlL,EAAMmL,IA9BtBpN,EAAQsN,YA0CR,SAAqBrL,EAAMmL,GACzBC,EAAIC,YAAYrL,EAAMmL,IA1CxBpN,EAAQuN,KAoDR,SAActL,EAAMV,GAClB8L,EAAIE,KAAKtL,EAAMV,IAnDjB,IAAIiM,EAAY,EAAQ,KAEpBC,EAAa,EAAQ,KAgBzB,IAAIJ,QAbuB,IAAdnJ,OAAOwH,IAAsBxH,OAAOwH,GAAGgC,gBAA6C,IAAzBxJ,OAAOyJ,gBAC3E3J,QAAQ4J,KAAK,sEACb1J,OAAOyJ,cAAgBzJ,OAAOwH,GAAGgC,gBAIC,IAAzBxJ,OAAOyJ,cACT,IAAIH,EAAUK,SAAS3J,OAAOyJ,eAE9BzJ,OAAOyJ,cAAgB,IAAIF,EAAWK,Y,gBCvBjD,IAAIC,EAAY,EAAQ,IAEpBC,EAAM/I,KAAK+I,IAIf/N,EAAOD,QAAU,SAAUiO,GACzB,OAAOA,EAAW,EAAID,EAAID,EAAUE,GAAW,kBAAoB,I,gBCPrE,IAAIC,EAAyB,EAAQ,IAIrCjO,EAAOD,QAAU,SAAUiO,GACzB,OAAO7O,OAAO8O,EAAuBD,M,cCLvChO,EAAOD,QAAU,I,6BCMF,SAASmO,EACtBC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,GAGA,IAqBIC,EArBAxF,EAAmC,mBAAlBgF,EACjBA,EAAchF,QACdgF,EAsDJ,GAnDIC,IACFjF,EAAQiF,OAASA,EACjBjF,EAAQkF,gBAAkBA,EAC1BlF,EAAQyF,WAAY,GAIlBN,IACFnF,EAAQ0F,YAAa,GAInBL,IACFrF,EAAQ2F,SAAW,UAAYN,GAI7BC,GACFE,EAAO,SAAUI,IAEfA,EACEA,GACCjC,KAAKkC,QAAUlC,KAAKkC,OAAOC,YAC3BnC,KAAKoC,QAAUpC,KAAKoC,OAAOF,QAAUlC,KAAKoC,OAAOF,OAAOC,aAEZ,oBAAxBE,sBACrBJ,EAAUI,qBAGRZ,GACFA,EAAajP,KAAKwN,KAAMiC,GAGtBA,GAAWA,EAAQK,uBACrBL,EAAQK,sBAAsBC,IAAIZ,IAKtCtF,EAAQmG,aAAeX,GACdJ,IACTI,EAAOD,EACH,WACAH,EAAajP,KACXwN,MACC3D,EAAQ0F,WAAa/B,KAAKoC,OAASpC,MAAMyC,MAAMC,SAASC,aAG3DlB,GAGFI,EACF,GAAIxF,EAAQ0F,WAAY,CAGtB1F,EAAQuG,cAAgBf,EAExB,IAAIgB,EAAiBxG,EAAQiF,OAC7BjF,EAAQiF,OAAS,SAAmCwB,EAAGb,GAErD,OADAJ,EAAKrP,KAAKyP,GACHY,EAAeC,EAAGb,QAEtB,CAEL,IAAIc,EAAW1G,EAAQ2G,aACvB3G,EAAQ2G,aAAeD,EACnB,GAAGE,OAAOF,EAAUlB,GACpB,CAACA,GAIT,MAAO,CACL5O,QAASoO,EACThF,QAASA,GA/Fb,mC,cCAA,IAAI9D,EAAW,GAAGA,SAElBrF,EAAOD,QAAU,SAAUgF,GACzB,OAAOM,EAAS/F,KAAKyF,GAAIZ,MAAM,GAAI,K,gBCHrC,IASI6L,EAAKlN,EAAK0B,EATVyL,EAAkB,EAAQ,KAC1B3L,EAAS,EAAQ,GACjBmB,EAAW,EAAQ,GACnBqD,EAA8B,EAAQ,GACtCoH,EAAY,EAAQ,GACpBC,EAAY,EAAQ,IACpBC,EAAa,EAAQ,IAErBC,EAAU/L,EAAO+L,QAgBrB,GAAIJ,EAAiB,CACnB,IAAIK,EAAQ,IAAID,EACZE,EAAQD,EAAMxN,IACd0N,EAAQF,EAAM9L,IACdiM,EAAQH,EAAMN,IAClBA,EAAM,SAAUjL,EAAI2L,GAElB,OADAD,EAAMnR,KAAKgR,EAAOvL,EAAI2L,GACfA,GAET5N,EAAM,SAAUiC,GACd,OAAOwL,EAAMjR,KAAKgR,EAAOvL,IAAO,IAElCP,EAAM,SAAUO,GACd,OAAOyL,EAAMlR,KAAKgR,EAAOvL,QAEtB,CACL,IAAI4L,EAAQR,EAAU,SACtBC,EAAWO,IAAS,EACpBX,EAAM,SAAUjL,EAAI2L,GAElB,OADA5H,EAA4B/D,EAAI4L,EAAOD,GAChCA,GAET5N,EAAM,SAAUiC,GACd,OAAOmL,EAAUnL,EAAI4L,GAAS5L,EAAG4L,GAAS,IAE5CnM,EAAM,SAAUO,GACd,OAAOmL,EAAUnL,EAAI4L,IAIzB3Q,EAAOD,QAAU,CACfiQ,IAAKA,EACLlN,IAAKA,EACL0B,IAAKA,EACLgI,QA/CY,SAAUzH,GACtB,OAAOP,EAAIO,GAAMjC,EAAIiC,GAAMiL,EAAIjL,EAAI,KA+CnC6L,UA5Cc,SAAUC,GACxB,OAAO,SAAU9L,GACf,IAAI+L,EACJ,IAAKrL,EAASV,KAAQ+L,EAAQhO,EAAIiC,IAAKnD,OAASiP,EAC9C,MAAM9I,UAAU,0BAA4B8I,EAAO,aACnD,OAAOC,M,cClBb9Q,EAAOD,QAAU,SAAUgF,GACzB,GAAU7C,MAAN6C,EAAiB,MAAMgD,UAAU,wBAA0BhD,GAC/D,OAAOA,I,gBCJT,IAAIgM,EAAO,EAAQ,KACfzM,EAAS,EAAQ,GAEjB0M,EAAY,SAAUC,GACxB,MAA0B,mBAAZA,EAAyBA,OAAW/O,GAGpDlC,EAAOD,QAAU,SAAUmR,EAAWC,GACpC,OAAO7J,UAAUpI,OAAS,EAAI8R,EAAUD,EAAKG,KAAeF,EAAU1M,EAAO4M,IACzEH,EAAKG,IAAcH,EAAKG,GAAWC,IAAW7M,EAAO4M,IAAc5M,EAAO4M,GAAWC,K,cCT3F,IAAIC,EAAOpM,KAAKoM,KACZC,EAAQrM,KAAKqM,MAIjBrR,EAAOD,QAAU,SAAUiO,GACzB,OAAOsD,MAAMtD,GAAYA,GAAY,GAAKA,EAAW,EAAIqD,EAAQD,GAAMpD,K,cCNzEhO,EAAOD,QAAU,SAAUwR,EAAQrO,GACjC,MAAO,CACLL,aAAuB,EAAT0O,GACdC,eAAyB,EAATD,GAChBE,WAAqB,EAATF,GACZrO,MAAOA,K,gBCJX,IAAIwO,EAAgB,EAAQ,IACxBzD,EAAyB,EAAQ,IAErCjO,EAAOD,QAAU,SAAUgF,GACzB,OAAO2M,EAAczD,EAAuBlJ,M,cCL9C/E,EAAOD,QAAU,I,gBCGjB,IAAI4R,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAAC3R,EAAOhB,EAAI2S,EAAS,MAC7DA,EAAQC,SAAQ5R,EAAOD,QAAU4R,EAAQC,SAG/BvC,EADH,EAAQ,IAA+DwC,SAChE,WAAYF,GAAS,EAAM,K,2UC4C5C,4CACA,uECrD6L,EDuD7L,CACA,qBACA,YACA,0GAEA,OACA,MACA,YACA,cAGA,KAXA,WAYA,OACA,SACA,YACA,UACA,UACA,SACA,aACA,8DACA,wCAGA,UACA,WADA,WAEA,mGAEA,UAJA,WAKA,qEAEA,mBAPA,WAQA,kEAGA,OACA,KADA,WAEA,oBAEA,MAJA,SAIA,GACA,GACA,kDAIA,QA5CA,WA4CA,I,EAAA,c,EAAA,sHACA,WACA,gBAEA,0DACA,aACA,mBAEA,0DACA,gBATA,0C,kLAYA,SACA,QADA,aAIA,MAJA,WAIA,WACA,eACA,cACA,2BACA,cACA,oBAGA,YAZA,WAYA,WACA,eACA,kBACA,cACA,uBAIA,OAHA,IACA,8BAEA,wCACA,sBAKA,OAJA,wBACA,cACA,aACA,aACA,KACA,mBACA,+BAMA,OALA,wBACA,YACA,YACA,WACA,eACA,MAGA,UArCA,WAqCA,WACA,gBAGA,iBACA,qCACA,eACA,GACA,qFACA,0B,iBE1Ie,EAXC,YACd,GCTW,WAAa,IAAIG,EAAIhF,KAASiF,EAAGD,EAAIE,eAAmBC,EAAGH,EAAII,MAAMD,IAAIF,EAAG,OAAQD,EAAW,QAAEG,EAAG,MAAM,CAACE,MAAM,CAAC,gBAAiBL,EAAIM,SAAWN,EAAIO,MAAO,MAASP,EAAIQ,MAAO,KAAQR,EAAIS,UAAW,UAAaT,EAAIU,WAAWC,MAAM,CAAC,GAAK,mBAAmB,CAAEX,EAAsB,mBAAEG,EAAG,MAAM,CAACS,YAAY,kBAAkBC,GAAG,CAAC,MAAQb,EAAIc,YAAY,CAACX,EAAG,IAAI,CAACS,YAAY,eAAe,CAACZ,EAAIe,GAAG,WAAWf,EAAIgB,GAAGhB,EAAI3O,EAAE,OAAQ,gCAAgC,cAAc2O,EAAIiB,KAAKjB,EAAIe,GAAG,KAAMf,EAAQ,KAAEG,EAAG,gBAAgB,CAACe,WAAW,CAAC,CAAChR,KAAK,OAAOiR,QAAQ,SAAS/P,MAAO4O,EAAS,MAAEoB,WAAW,UAAU1P,IAAIsO,EAAIvH,KAAK4I,GAAGV,MAAM,CAAC,UAAUX,EAAIvH,KAAK4I,GAAG,gBAAgBrB,EAAIvH,KAAKwG,KAAK,cAAce,EAAIsB,WAAW,QAAS,EAAK,UAAW,EAAK,KAAOtB,EAAIvH,KAAK8I,SAAS,UAAYvB,EAAIwB,WAAWX,GAAG,CAAC,MAAQ,SAASY,GAAQzB,EAAIO,OAAM,GAAM,MAAQ,SAASkB,GAAQzB,EAAIQ,OAAM,GAAM,KAAOR,EAAI0B,QAAQ,MAAQ1B,EAAI2B,SAAS3B,EAAIiB,MAAM,GAAGjB,EAAIiB,OACh6B,IDWpB,EACA,KACA,WACA,M,QEaIW,EAAc,SAASC,EAAMC,GAClC,IAAMC,EAAUF,EAAKjH,MAAM,KACrB5K,EAAS8R,EAAGlH,MAAM,KAExB,IADAmH,EAAQC,MACDD,EAAQ,KAAO/R,EAAO,IAC5B+R,EAAQlU,QACRmC,EAAOnC,QAER,IAAMoU,EAAeF,EAAQG,KAAK,MAAMjE,OAAOjO,GACzCmS,EAAeL,EAAGlH,MAAM,KAC9B,OAAOqH,EAAa7U,OAAS+U,EAAa/U,OACvC6U,EAAalH,KAAK,KAClB+G,GAGEM,EAAqB,WAC1B,IAAMC,EAAoB,CACzBC,OADyB,SAClBC,GACN,IAAMC,EAAWD,EAAKC,SAGF,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,IAKxCkB,EAAKE,aAAa,CACjBpB,GAAI,OACJqB,YAAarR,EAAE,OAAQ,qBACvBsR,aAActR,EAAE,OAAQ,qBAAuB,MAC/CuR,UAAW,qBACXC,SAAU,OACVC,cANiB,SAMH5S,GACbsS,EAASO,WAAW7S,GAAM8S,MAAK,SAASC,EAAQpW,GAC/C,IAAMqW,EAAgB,IAAIC,IAAIC,MAAMC,cAAcxW,QACxB,IAAfsW,IAAIG,OACdH,IAAIC,MAAMG,YAAYC,cAAc,OAAQN,EAAeV,QAC3B,IAAfW,IAAIG,QACrBH,IAAIC,MAAMG,YAAYC,cAxCE,qBAwCoCN,EAAeV,WAOjF7I,GAAG8J,QAAQC,SAAS,wBAAyBrB,IAGxCsB,EAA6B,WAClC,IAOyBC,EAPnBC,EAAehV,SAASiV,eAAe,gBAAkBjV,SAASiV,eAAe,gBAAgB1S,MAAQ,KACzG2S,EAAMlV,SAASiV,eAAe,OAAO1S,MAE3C,IAAKyS,GAAwB,KAARE,EAAY,CAChC,IAAMC,EAAanV,SAASC,cAAc,OAC1CkV,EAAW3C,GAAK,uBAChBxS,SAASoV,KAAK1T,YAAYyT,GAmC1B,IAlCA,IAkCS9W,EAAI,EAAGA,EAAIiO,IAAc/N,OAAQF,IAlClB0W,EAmCRzI,IAAcjO,GAnCGiW,IAAIC,MAAMG,YAAYG,SACtDE,EA3D4B,qBA6D5BjK,GAAGuK,kBAAoBvK,GAAGwK,gBAC1BhM,oBAAU,OAAQ,mBAClB,SAACiM,GACA,IAAM3L,EAAOtG,OAAOkS,SAASC,SAASF,GACtC5V,QAAQgC,IAAI,CACX,6BACA,iFACEwS,MAAK,SAACuB,GACR,IAAMtF,EAAO9M,OAAOkS,SAASG,sBAAwB,IAAMJ,EACrDK,EAAMF,EAAQ,GAAGxE,QACvB0E,EAAInX,UAAU+D,EAAIc,OAAOd,EACzBoT,EAAInX,UAAUsE,EAAIO,OAAOP,EACzB6S,EAAInX,UAAU6V,IAAMhR,OAAOgR,IAC3B,IAAMuB,EAASH,EAAQ,GAAGxE,QACf,IAAI0E,EAAI,CAClBnI,OAAQ,SAAAwB,GAAC,OAAIA,EAAE4G,EAAQ,CACtBC,MAAO,CACNC,OAAQnM,EAAOA,EAAK4I,GAAK,KACzBwD,QAAQ,EACRvD,WAAYuC,EACZ5B,aAAchD,EACd6F,SAAUrM,EAAK8I,eAIfwD,OAAOf,QAGZ3S,EAAE,OAAQ,SAKV8R,IAAIC,MAAMG,YAAYyB,WAAW7J,IAAcjO,GA9FnB,wBAoGzB+X,EAAuB,CAE5BC,GAAI,KAEJ5C,OAJ4B,SAIrBE,GACc,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,KAIxCrG,KAAKkK,GAAKrW,SAASC,cAAc,OACjC0T,EAAS2C,eAAe,CACvB9D,GAAI,YACJ6D,GAAIlK,KAAKkK,GACT5I,OAAQtB,KAAKsB,OAAO3K,KAAKqJ,MACzBoK,SAAU,OAIZ9I,OAlB4B,SAkBrBkG,GAAU,WACI,UAAhBA,EAASnB,IAAkC,iBAAhBmB,EAASnB,IAIxC,6BAAc2B,MAAK,SAAC9U,GACnB,IAAMuW,EAAMvW,EAAO6R,QACnB,EAAKmF,GAAG7D,GAAK,0BACboD,EAAInX,UAAU+D,EAAIc,OAAOd,EACzBoT,EAAInX,UAAUsE,EAAIO,OAAOP,EACzB6S,EAAInX,UAAU6V,IAAMhR,OAAOgR,IAC3B,IACMkC,EAAK,IADEZ,EAAI/O,OAAO4P,GACb,CAAS,CACnBC,UAAW,CACVtG,KAAMuD,EAASgC,yBAEdO,OAAO,EAAKG,IAEf1C,EAASgD,IAAI3E,GAAG,mBAAmB,SAAAhU,GAClCwY,EAAGpG,KAAOpS,EAAKkX,IAAIxQ,oB,6BCjKvB,EAAQ,IAERlG,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ8R,aAAU,EAElB,IAIgCjM,EAJ5B2R,GAI4B3R,EAJI,EAAQ,OAISA,EAAIvC,WAAauC,EAAM,CAAEiM,QAASjM,GAFnF4R,EAAQ,EAAQ,KAIpB,IAAIC,EAASF,EAAO1F,QAAQtO,OAAO,CACjCmU,QAAS,CACPC,cAAc,EAAIH,EAAMI,sBAIxBC,EAAmB1Y,OAAO8L,OAAOwM,EAAQ,CAC3CK,YAAaP,EAAO1F,QAAQiG,YAC5BC,SAAUR,EAAO1F,QAAQkG,YAE3B,EAAIP,EAAMQ,uBAAsB,SAAUC,GACxC,OAAOR,EAAOS,SAASR,QAAQC,aAAeM,KAEhD,IAAIE,EAAWN,EACf9X,EAAQ8R,QAAUsG,G,gBC7BlB,IAAInH,EAAY,EAAQ,KAGxBhR,EAAOD,QAAU,SAAU8F,EAAIuS,EAAMlZ,GAEnC,GADA8R,EAAUnL,QACG3D,IAATkW,EAAoB,OAAOvS,EAC/B,OAAQ3G,GACN,KAAK,EAAG,OAAO,WACb,OAAO2G,EAAGvG,KAAK8Y,IAEjB,KAAK,EAAG,OAAO,SAAU3Q,GACvB,OAAO5B,EAAGvG,KAAK8Y,EAAM3Q,IAEvB,KAAK,EAAG,OAAO,SAAUA,EAAGC,GAC1B,OAAO7B,EAAGvG,KAAK8Y,EAAM3Q,EAAGC,IAE1B,KAAK,EAAG,OAAO,SAAUD,EAAGC,EAAGlF,GAC7B,OAAOqD,EAAGvG,KAAK8Y,EAAM3Q,EAAGC,EAAGlF,IAG/B,OAAO,WACL,OAAOqD,EAAGwS,MAAMD,EAAM9Q,c,gBCrB1B,IAAIY,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChBzD,EAAM,EAAQ,GAEd5B,EAAiBzD,OAAOyD,eACxB0V,EAAQ,GAERC,EAAU,SAAUxT,GAAM,MAAMA,GAEpC/E,EAAOD,QAAU,SAAUyY,EAAarP,GACtC,GAAI3E,EAAI8T,EAAOE,GAAc,OAAOF,EAAME,GACrCrP,IAASA,EAAU,IACxB,IAAIgI,EAAS,GAAGqH,GACZC,IAAYjU,EAAI2E,EAAS,cAAeA,EAAQsP,UAChDC,EAAYlU,EAAI2E,EAAS,GAAKA,EAAQ,GAAKoP,EAC3CI,EAAYnU,EAAI2E,EAAS,GAAKA,EAAQ,QAAKjH,EAE/C,OAAOoW,EAAME,KAAiBrH,IAAWlJ,GAAM,WAC7C,GAAIwQ,IAAcvQ,EAAa,OAAO,EACtC,IAAIM,EAAI,CAAEtJ,QAAS,GAEfuZ,EAAW7V,EAAe4F,EAAG,EAAG,CAAE3F,YAAY,EAAMC,IAAKyV,IACxD/P,EAAE,GAAK,EAEZ2I,EAAO7R,KAAKkJ,EAAGkQ,EAAWC,Q,gBCxB9B,IAmDIC,EAnDAxQ,EAAW,EAAQ,GACnByQ,EAAmB,EAAQ,KAC3BC,EAAc,EAAQ,IACtB1I,EAAa,EAAQ,IACrB2I,EAAO,EAAQ,KACfC,EAAwB,EAAQ,IAChC7I,EAAY,EAAQ,IAMpB8I,EAAW9I,EAAU,YAErB+I,EAAmB,aAEnBC,EAAY,SAAUxH,GACxB,MAAOyH,WAAmBzH,EAAnByH,cAmCLC,EAAkB,WACpB,IAEET,EAAkBjY,SAAS2Y,QAAU,IAAIC,cAAc,YACvD,MAAOnY,IA1BoB,IAIzBoY,EAFAC,EAyBJJ,EAAkBT,EApCY,SAAUA,GACxCA,EAAgBc,MAAMP,EAAU,KAChCP,EAAgBe,QAChB,IAAIC,EAAOhB,EAAgBiB,aAAa1a,OAExC,OADAyZ,EAAkB,KACXgB,EA+B6BE,CAA0BlB,KAzB1Da,EAAST,EAAsB,WAG5Be,MAAMC,QAAU,OACvBjB,EAAK1W,YAAYoX,GAEjBA,EAAOxY,IAAM+G,OALJ,gBAMTwR,EAAiBC,EAAOQ,cAActZ,UACvBuZ,OACfV,EAAeE,MAAMP,EAAU,sBAC/BK,EAAeG,QACRH,EAAeW,GAgBtB,IADA,IAAIjb,EAAS4Z,EAAY5Z,OAClBA,YAAiBma,EAAyB,UAAEP,EAAY5Z,IAC/D,OAAOma,KAGTjJ,EAAW6I,IAAY,EAIvBjZ,EAAOD,QAAUZ,OAAOoE,QAAU,SAAgBiF,EAAG4R,GACnD,IAAIhT,EAQJ,OAPU,OAANoB,GACF0Q,EAA0B,UAAI9Q,EAASI,GACvCpB,EAAS,IAAI8R,EACbA,EAA0B,UAAI,KAE9B9R,EAAO6R,GAAYzQ,GACdpB,EAASiS,SACMnX,IAAfkY,EAA2BhT,EAASyR,EAAiBzR,EAAQgT,K,gBC5EtE,IAAIxX,EAAiB,EAAQ,GAAuC2F,EAChE/D,EAAM,EAAQ,GAGd6V,EAFkB,EAAQ,EAEVC,CAAgB,eAEpCta,EAAOD,QAAU,SAAUgF,EAAIwV,EAAK7Q,GAC9B3E,IAAOP,EAAIO,EAAK2E,EAAS3E,EAAKA,EAAG3F,UAAWib,IAC9CzX,EAAemC,EAAIsV,EAAe,CAAE7I,cAAc,EAAMtO,MAAOqX,M,gBCRnE,IAAI9U,EAAW,EAAQ,GAMvBzF,EAAOD,QAAU,SAAUya,EAAOC,GAChC,IAAKhV,EAAS+U,GAAQ,OAAOA,EAC7B,IAAI3U,EAAIN,EACR,GAAIkV,GAAoD,mBAAxB5U,EAAK2U,EAAMnV,YAA4BI,EAASF,EAAMM,EAAGvG,KAAKkb,IAAS,OAAOjV,EAC9G,GAAmC,mBAAvBM,EAAK2U,EAAME,WAA2BjV,EAASF,EAAMM,EAAGvG,KAAKkb,IAAS,OAAOjV,EACzF,IAAKkV,GAAoD,mBAAxB5U,EAAK2U,EAAMnV,YAA4BI,EAASF,EAAMM,EAAGvG,KAAKkb,IAAS,OAAOjV,EAC/G,MAAMwC,UAAU,6C,cCZlB/H,EAAOD,SAAU,G,gBCAjB,IAAIkI,EAAQ,EAAQ,GAChB0S,EAAU,EAAQ,IAElBjO,EAAQ,GAAGA,MAGf1M,EAAOD,QAAUkI,GAAM,WAGrB,OAAQ9I,OAAO,KAAKyb,qBAAqB,MACtC,SAAU7V,GACb,MAAsB,UAAf4V,EAAQ5V,GAAkB2H,EAAMpN,KAAKyF,EAAI,IAAM5F,OAAO4F,IAC3D5F,Q,gBCZJ,IAAImF,EAAS,EAAQ,GACjBwE,EAA8B,EAAQ,GAE1C9I,EAAOD,QAAU,SAAUyD,EAAKN,GAC9B,IACE4F,EAA4BxE,EAAQd,EAAKN,GACzC,MAAO9B,GACPkD,EAAOd,GAAON,EACd,OAAOA,I,gBCRX,IAAIqB,EAAS,EAAQ,IACjBE,EAAM,EAAQ,IAEdoW,EAAOtW,EAAO,QAElBvE,EAAOD,QAAU,SAAUyD,GACzB,OAAOqX,EAAKrX,KAASqX,EAAKrX,GAAOiB,EAAIjB,M,cCNvC,IAAI2P,EAAK,EACL2H,EAAU9V,KAAK+V,SAEnB/a,EAAOD,QAAU,SAAUyD,GACzB,MAAO,UAAYwE,YAAe9F,IAARsB,EAAoB,GAAKA,GAAO,QAAU2P,EAAK2H,GAASzV,SAAS,M,cCH7FrF,EAAOD,QAAU,CACf,cACA,iBACA,gBACA,uBACA,iBACA,WACA,Y,cCNF,MAGMib,EAAmBC,OAAOD,kBACH,iBAK7Bhb,EAAOD,QAAU,CACfmb,oBAV0B,QAW1BC,WATiB,IAUjBH,mBACAI,0BANgC,K,6BCRlC,IAAIC,EAAI,EAAQ,IACZC,EAA4B,EAAQ,KACpCC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzB3S,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBuR,EAAkB,EAAQ,GAC1BoB,EAAU,EAAQ,IAClBC,EAAY,EAAQ,IACpBC,EAAgB,EAAQ,IAExBC,EAAoBD,EAAcC,kBAClCC,EAAyBF,EAAcE,uBACvCC,EAAWzB,EAAgB,YAK3B0B,EAAa,WAAc,OAAOlP,MAEtC9M,EAAOD,QAAU,SAAUkc,EAAUC,EAAMC,EAAqBC,EAAMC,EAASC,EAAQC,GACrFjB,EAA0Ba,EAAqBD,EAAME,GAErD,IAkBII,EAA0BC,EAASC,EAlBnCC,EAAqB,SAAUC,GACjC,GAAIA,IAASP,GAAWQ,EAAiB,OAAOA,EAChD,IAAKf,GAA0Bc,KAAQE,EAAmB,OAAOA,EAAkBF,GACnF,OAAQA,GACN,IAbK,OAcL,IAbO,SAcP,IAbQ,UAaM,OAAO,WAAqB,OAAO,IAAIT,EAAoBrP,KAAM8P,IAC/E,OAAO,WAAc,OAAO,IAAIT,EAAoBrP,QAGpDuN,EAAgB6B,EAAO,YACvBa,GAAwB,EACxBD,EAAoBb,EAAS7c,UAC7B4d,EAAiBF,EAAkBf,IAClCe,EAAkB,eAClBT,GAAWS,EAAkBT,GAC9BQ,GAAmBf,GAA0BkB,GAAkBL,EAAmBN,GAClFY,EAA4B,SAARf,GAAkBY,EAAkBI,SAA4BF,EAiCxF,GA7BIC,IACFT,EAA2BjB,EAAe0B,EAAkB3d,KAAK,IAAI2c,IACjEJ,IAAsB1c,OAAOC,WAAaod,EAAyBJ,OAChEV,GAAWH,EAAeiB,KAA8BX,IACvDL,EACFA,EAAegB,EAA0BX,GACa,mBAAtCW,EAAyBT,IACzCjT,EAA4B0T,EAA0BT,EAAUC,IAIpEP,EAAee,EAA0BnC,GAAe,GAAM,GAC1DqB,IAASC,EAAUtB,GAAiB2B,KAzCjC,UA8CPK,GAAqBW,GA9Cd,WA8CgCA,EAAehb,OACxD+a,GAAwB,EACxBF,EAAkB,WAAoB,OAAOG,EAAe1d,KAAKwN,QAI7D4O,IAAWa,GAAWO,EAAkBf,KAAcc,GAC1D/T,EAA4BgU,EAAmBf,EAAUc,GAE3DlB,EAAUO,GAAQW,EAGdR,EAMF,GALAI,EAAU,CACRU,OAAQR,EA5DD,UA6DP9B,KAAMyB,EAASO,EAAkBF,EA9D5B,QA+DLO,QAASP,EA7DD,YA+DNJ,EAAQ,IAAKG,KAAOD,GAClBX,GAA0BiB,KAA2BL,KAAOI,KAC9D/T,EAAS+T,EAAmBJ,EAAKD,EAAQC,SAEtCrB,EAAE,CAAEvZ,OAAQoa,EAAMkB,OAAO,EAAMvT,OAAQiS,GAA0BiB,GAAyBN,GAGnG,OAAOA,I,gBCxFT,IAGIY,EAAO,GAEXA,EALsB,EAAQ,EAEV/C,CAAgB,gBAGd,IAEtBta,EAAOD,QAA2B,eAAjBiI,OAAOqV,I,6BCNxB,IAYMC,EACAC,EAbFC,EAAc,EAAQ,IACtBC,EAAgB,EAAQ,KAExBC,EAAaC,OAAOve,UAAUiF,KAI9BuZ,EAAgB5V,OAAO5I,UAAU0I,QAEjC+V,EAAcH,EAEdI,GACER,EAAM,IACNC,EAAM,MACVG,EAAWpe,KAAKge,EAAK,KACrBI,EAAWpe,KAAKie,EAAK,KACI,IAAlBD,EAAIS,WAAqC,IAAlBR,EAAIQ,WAGhCC,EAAgBP,EAAcO,eAAiBP,EAAcQ,aAG7DC,OAAuChc,IAAvB,OAAOmC,KAAK,IAAI,IAExByZ,GAA4BI,GAAiBF,KAGvDH,EAAc,SAAchW,GAC1B,IACIkW,EAAWI,EAAQC,EAAOpf,EAD1Bqf,EAAKvR,KAELwR,EAASN,GAAiBK,EAAGC,OAC7BC,EAAQf,EAAYle,KAAK+e,GACzBjV,EAASiV,EAAGjV,OACZoV,EAAa,EACbC,EAAU5W,EA+Cd,OA7CIyW,KAE0B,KAD5BC,EAAQA,EAAMzW,QAAQ,IAAK,KACjB8D,QAAQ,OAChB2S,GAAS,KAGXE,EAAUzW,OAAOH,GAAK1D,MAAMka,EAAGN,WAE3BM,EAAGN,UAAY,KAAOM,EAAGK,WAAaL,EAAGK,WAAuC,OAA1B7W,EAAIwW,EAAGN,UAAY,MAC3E3U,EAAS,OAASA,EAAS,IAC3BqV,EAAU,IAAMA,EAChBD,KAIFL,EAAS,IAAIR,OAAO,OAASvU,EAAS,IAAKmV,IAGzCL,IACFC,EAAS,IAAIR,OAAO,IAAMvU,EAAS,WAAYmV,IAE7CT,IAA0BC,EAAYM,EAAGN,WAE7CK,EAAQV,EAAWpe,KAAKgf,EAASH,EAASE,EAAII,GAE1CH,EACEF,GACFA,EAAM5D,MAAQ4D,EAAM5D,MAAMrW,MAAMqa,GAChCJ,EAAM,GAAKA,EAAM,GAAGja,MAAMqa,GAC1BJ,EAAMO,MAAQN,EAAGN,UACjBM,EAAGN,WAAaK,EAAM,GAAGlf,QACpBmf,EAAGN,UAAY,EACbD,GAA4BM,IACrCC,EAAGN,UAAYM,EAAG/Z,OAAS8Z,EAAMO,MAAQP,EAAM,GAAGlf,OAAS6e,GAEzDG,GAAiBE,GAASA,EAAMlf,OAAS,GAG3C0e,EAActe,KAAK8e,EAAM,GAAID,GAAQ,WACnC,IAAKnf,EAAI,EAAGA,EAAIsI,UAAUpI,OAAS,EAAGF,SACfkD,IAAjBoF,UAAUtI,KAAkBof,EAAMpf,QAAKkD,MAK1Ckc,IAIXpe,EAAOD,QAAU8d,G,eCtFjB,IAAIe,EAGJA,EAAI,WACH,OAAO9R,KADJ,GAIJ,IAEC8R,EAAIA,GAAK,IAAIxZ,SAAS,cAAb,GACR,MAAOlF,GAEc,iBAAX+D,SAAqB2a,EAAI3a,QAOrCjE,EAAOD,QAAU6e,G,cClBjB,IAOIC,EACAC,EARAC,EAAU/e,EAAOD,QAAU,GAU/B,SAASif,IACL,MAAM,IAAI3d,MAAM,mCAEpB,SAAS4d,IACL,MAAM,IAAI5d,MAAM,qCAsBpB,SAAS6d,EAAWC,GAChB,GAAIN,IAAqB1c,WAErB,OAAOA,WAAWgd,EAAK,GAG3B,IAAKN,IAAqBG,IAAqBH,IAAqB1c,WAEhE,OADA0c,EAAmB1c,WACZA,WAAWgd,EAAK,GAE3B,IAEI,OAAON,EAAiBM,EAAK,GAC/B,MAAMjf,GACJ,IAEI,OAAO2e,EAAiBvf,KAAK,KAAM6f,EAAK,GAC1C,MAAMjf,GAEJ,OAAO2e,EAAiBvf,KAAKwN,KAAMqS,EAAK,MAvCnD,WACG,IAEQN,EADsB,mBAAf1c,WACYA,WAEA6c,EAEzB,MAAO9e,GACL2e,EAAmBG,EAEvB,IAEQF,EADwB,mBAAjBrd,aACcA,aAEAwd,EAE3B,MAAO/e,GACL4e,EAAqBG,GAjB7B,GAwEA,IAEIG,EAFAC,EAAQ,GACRC,GAAW,EAEXC,GAAc,EAElB,SAASC,IACAF,GAAaF,IAGlBE,GAAW,EACPF,EAAalgB,OACbmgB,EAAQD,EAAarP,OAAOsP,GAE5BE,GAAc,EAEdF,EAAMngB,QACNugB,KAIR,SAASA,IACL,IAAIH,EAAJ,CAGA,IAAIxe,EAAUoe,EAAWM,GACzBF,GAAW,EAGX,IADA,IAAII,EAAML,EAAMngB,OACVwgB,GAAK,CAGP,IAFAN,EAAeC,EACfA,EAAQ,KACCE,EAAaG,GACdN,GACAA,EAAaG,GAAYI,MAGjCJ,GAAc,EACdG,EAAML,EAAMngB,OAEhBkgB,EAAe,KACfE,GAAW,EAnEf,SAAyBM,GACrB,GAAId,IAAuBrd,aAEvB,OAAOA,aAAame,GAGxB,IAAKd,IAAuBG,IAAwBH,IAAuBrd,aAEvE,OADAqd,EAAqBrd,aACdA,aAAame,GAExB,IAEWd,EAAmBc,GAC5B,MAAO1f,GACL,IAEI,OAAO4e,EAAmBxf,KAAK,KAAMsgB,GACvC,MAAO1f,GAGL,OAAO4e,EAAmBxf,KAAKwN,KAAM8S,KAgD7CC,CAAgB/e,IAiBpB,SAASgf,EAAKX,EAAKY,GACfjT,KAAKqS,IAAMA,EACXrS,KAAKiT,MAAQA,EAYjB,SAASC,KA5BTjB,EAAQkB,SAAW,SAAUd,GACzB,IAAIe,EAAO,IAAIC,MAAM7Y,UAAUpI,OAAS,GACxC,GAAIoI,UAAUpI,OAAS,EACnB,IAAK,IAAIF,EAAI,EAAGA,EAAIsI,UAAUpI,OAAQF,IAClCkhB,EAAKlhB,EAAI,GAAKsI,UAAUtI,GAGhCqgB,EAAM7f,KAAK,IAAIsgB,EAAKX,EAAKe,IACJ,IAAjBb,EAAMngB,QAAiBogB,GACvBJ,EAAWO,IASnBK,EAAK1gB,UAAUugB,IAAM,WACjB7S,KAAKqS,IAAI9G,MAAM,KAAMvL,KAAKiT,QAE9BhB,EAAQqB,MAAQ,UAChBrB,EAAQsB,SAAU,EAClBtB,EAAQuB,IAAM,GACdvB,EAAQwB,KAAO,GACfxB,EAAQlU,QAAU,GAClBkU,EAAQyB,SAAW,GAInBzB,EAAQpM,GAAKqN,EACbjB,EAAQ0B,YAAcT,EACtBjB,EAAQ2B,KAAOV,EACfjB,EAAQ4B,IAAMX,EACdjB,EAAQ6B,eAAiBZ,EACzBjB,EAAQ8B,mBAAqBb,EAC7BjB,EAAQzR,KAAO0S,EACfjB,EAAQ+B,gBAAkBd,EAC1BjB,EAAQgC,oBAAsBf,EAE9BjB,EAAQiC,UAAY,SAAUhf,GAAQ,MAAO,IAE7C+c,EAAQkC,QAAU,SAAUjf,GACxB,MAAM,IAAIX,MAAM,qCAGpB0d,EAAQmC,IAAM,WAAc,MAAO,KACnCnC,EAAQoC,MAAQ,SAAUtL,GACtB,MAAM,IAAIxU,MAAM,mCAEpB0d,EAAQqC,MAAQ,WAAa,OAAO,I,6BCrLpC,EAAQ,IAERjiB,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQshB,UAOR,SAAmB/W,EAAK9G,GACtB,IAAI8d,EAAO3gB,SAAS4gB,cAAc,kBAAkBxR,OAAOzF,EAAK,KAAKyF,OAAOvM,IAE5E,GAAa,OAAT8d,EACF,MAAM,IAAIjgB,MAAM,gCAAgC0O,OAAOvM,EAAK,QAAQuM,OAAOzF,IAG7E,IACE,OAAOkX,KAAKC,MAAMC,KAAKJ,EAAKpe,QAC5B,MAAOhD,GACP,MAAM,IAAImB,MAAM,iCAAiC0O,OAAOvM,EAAK,QAAQuM,OAAOzF,O,6BChBhFtK,EAAOD,QAAU,SAAU4hB,GACzB,IAAIC,EAAO,GAuDX,OArDAA,EAAKvc,SAAW,WACd,OAAOyH,KAAK+U,KAAI,SAAUC,GACxB,IAAInQ,EAsDV,SAAgCmQ,EAAMH,GACpC,IAAIhQ,EAAUmQ,EAAK,IAAM,GAErBC,EAAaD,EAAK,GAEtB,IAAKC,EACH,OAAOpQ,EAGT,GAAIgQ,GAAgC,mBAATK,KAAqB,CAC9C,IAAIC,GAWWC,EAXeH,EAa5BI,EAASH,KAAKI,SAAS7W,mBAAmBiW,KAAKa,UAAUH,MACzDvjB,EAAO,+DAA+DoR,OAAOoS,GAC1E,OAAOpS,OAAOpR,EAAM,QAdrB2jB,EAAaP,EAAWQ,QAAQV,KAAI,SAAUzY,GAChD,MAAO,iBAAiB2G,OAAOgS,EAAWS,YAAc,IAAIzS,OAAO3G,EAAQ,UAE7E,MAAO,CAACuI,GAAS5B,OAAOuS,GAAYvS,OAAO,CAACkS,IAAgBpV,KAAK,MAOrE,IAAmBqV,EAEbC,EACAxjB,EAPJ,MAAO,CAACgT,GAAS9E,KAAK,MAvEJ4V,CAAuBX,EAAMH,GAE3C,OAAIG,EAAK,GACA,UAAU/R,OAAO+R,EAAK,GAAI,MAAM/R,OAAO4B,EAAS,KAGlDA,KACN9E,KAAK,KAKV+U,EAAK5iB,EAAI,SAAUS,EAASijB,EAAYC,GACf,iBAAZljB,IAETA,EAAU,CAAC,CAAC,KAAMA,EAAS,MAG7B,IAAImjB,EAAyB,GAE7B,GAAID,EACF,IAAK,IAAI3jB,EAAI,EAAGA,EAAI8N,KAAK5N,OAAQF,IAAK,CAEpC,IAAImU,EAAKrG,KAAK9N,GAAG,GAEP,MAANmU,IACFyP,EAAuBzP,IAAM,GAKnC,IAAK,IAAI0P,EAAK,EAAGA,EAAKpjB,EAAQP,OAAQ2jB,IAAM,CAC1C,IAAIf,EAAO,GAAG/R,OAAOtQ,EAAQojB,IAEzBF,GAAUC,EAAuBd,EAAK,MAKtCY,IACGZ,EAAK,GAGRA,EAAK,GAAK,GAAG/R,OAAO2S,EAAY,SAAS3S,OAAO+R,EAAK,IAFrDA,EAAK,GAAKY,GAMdd,EAAKpiB,KAAKsiB,MAIPF,I,6BC5DM,SAASkB,EAAcC,EAAUnB,GAG9C,IAFA,IAAIoB,EAAS,GACTC,EAAY,GACPjkB,EAAI,EAAGA,EAAI4iB,EAAK1iB,OAAQF,IAAK,CACpC,IAAI8iB,EAAOF,EAAK5iB,GACZmU,EAAK2O,EAAK,GAIVoB,EAAO,CACT/P,GAAI4P,EAAW,IAAM/jB,EACrBmkB,IALQrB,EAAK,GAMbsB,MALUtB,EAAK,GAMfI,UALcJ,EAAK,IAOhBmB,EAAU9P,GAGb8P,EAAU9P,GAAIkQ,MAAM7jB,KAAK0jB,GAFzBF,EAAOxjB,KAAKyjB,EAAU9P,GAAM,CAAEA,GAAIA,EAAIkQ,MAAO,CAACH,KAKlD,OAAOF,E,+CCjBT,IAAIM,EAAkC,oBAAb3iB,SAEzB,GAAqB,oBAAV4iB,OAAyBA,QAC7BD,EACH,MAAM,IAAIjiB,MACV,2JAkBJ,IAAImiB,EAAc,GAQdphB,EAAOkhB,IAAgB3iB,SAASyB,MAAQzB,SAAS8iB,qBAAqB,QAAQ,IAC9EC,EAAmB,KACnBC,EAAmB,EACnBC,GAAe,EACf5D,EAAO,aACP7W,EAAU,KAKV0a,EAA+B,oBAAd5c,WAA6B,eAAeoW,KAAKpW,UAAU6c,UAAUC,eAE3E,SAASC,EAAiBjB,EAAUnB,EAAMqC,EAAeC,GACtEN,EAAeK,EAEf9a,EAAU+a,GAAY,GAEtB,IAAIlB,EAASF,EAAaC,EAAUnB,GAGpC,OAFAuC,EAAenB,GAER,SAAiBoB,GAEtB,IADA,IAAIC,EAAY,GACPrlB,EAAI,EAAGA,EAAIgkB,EAAO9jB,OAAQF,IAAK,CACtC,IAAI8iB,EAAOkB,EAAOhkB,IACdslB,EAAWd,EAAY1B,EAAK3O,KACvBoR,OACTF,EAAU7kB,KAAK8kB,GAEbF,EAEFD,EADAnB,EAASF,EAAaC,EAAUqB,IAGhCpB,EAAS,GAEX,IAAShkB,EAAI,EAAGA,EAAIqlB,EAAUnlB,OAAQF,IAAK,CACzC,IAAIslB,EACJ,GAAsB,KADlBA,EAAWD,EAAUrlB,IACZulB,KAAY,CACvB,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASjB,MAAMnkB,OAAQslB,IACzCF,EAASjB,MAAMmB,YAEVhB,EAAYc,EAASnR,OAMpC,SAASgR,EAAgBnB,GACvB,IAAK,IAAIhkB,EAAI,EAAGA,EAAIgkB,EAAO9jB,OAAQF,IAAK,CACtC,IAAI8iB,EAAOkB,EAAOhkB,GACdslB,EAAWd,EAAY1B,EAAK3O,IAChC,GAAImR,EAAU,CACZA,EAASC,OACT,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASjB,MAAMnkB,OAAQslB,IACzCF,EAASjB,MAAMmB,GAAG1C,EAAKuB,MAAMmB,IAE/B,KAAOA,EAAI1C,EAAKuB,MAAMnkB,OAAQslB,IAC5BF,EAASjB,MAAM7jB,KAAKilB,EAAS3C,EAAKuB,MAAMmB,KAEtCF,EAASjB,MAAMnkB,OAAS4iB,EAAKuB,MAAMnkB,SACrColB,EAASjB,MAAMnkB,OAAS4iB,EAAKuB,MAAMnkB,YAEhC,CACL,IAAImkB,EAAQ,GACZ,IAASmB,EAAI,EAAGA,EAAI1C,EAAKuB,MAAMnkB,OAAQslB,IACrCnB,EAAM7jB,KAAKilB,EAAS3C,EAAKuB,MAAMmB,KAEjChB,EAAY1B,EAAK3O,IAAM,CAAEA,GAAI2O,EAAK3O,GAAIoR,KAAM,EAAGlB,MAAOA,KAK5D,SAASqB,IACP,IAAIC,EAAehkB,SAASC,cAAc,SAG1C,OAFA+jB,EAAa/iB,KAAO,WACpBQ,EAAKC,YAAYsiB,GACVA,EAGT,SAASF,EAAU7e,GACjB,IAAIgf,EAAQC,EACRF,EAAehkB,SAAS4gB,cAAc,2BAA8B3b,EAAIuN,GAAK,MAEjF,GAAIwR,EAAc,CAChB,GAAIf,EAGF,OAAO5D,EAOP2E,EAAaG,WAAWC,YAAYJ,GAIxC,GAAId,EAAS,CAEX,IAAImB,EAAarB,IACjBgB,EAAejB,IAAqBA,EAAmBgB,KACvDE,EAASK,EAAoBxhB,KAAK,KAAMkhB,EAAcK,GAAY,GAClEH,EAASI,EAAoBxhB,KAAK,KAAMkhB,EAAcK,GAAY,QAGlEL,EAAeD,IACfE,EAASM,EAAWzhB,KAAK,KAAMkhB,GAC/BE,EAAS,WACPF,EAAaG,WAAWC,YAAYJ,IAMxC,OAFAC,EAAOhf,GAEA,SAAsBuf,GAC3B,GAAIA,EAAQ,CACV,GAAIA,EAAOhC,MAAQvd,EAAIud,KACnBgC,EAAO/B,QAAUxd,EAAIwd,OACrB+B,EAAOjD,YAActc,EAAIsc,UAC3B,OAEF0C,EAAOhf,EAAMuf,QAEbN,KAKN,IACMO,EADFC,GACED,EAAY,GAET,SAAUzG,EAAO2G,GAEtB,OADAF,EAAUzG,GAAS2G,EACZF,EAAUG,OAAOC,SAAS3Y,KAAK,QAI1C,SAASoY,EAAqBN,EAAchG,EAAOkG,EAAQjf,GACzD,IAAIud,EAAM0B,EAAS,GAAKjf,EAAIud,IAE5B,GAAIwB,EAAac,WACfd,EAAac,WAAWC,QAAUL,EAAY1G,EAAOwE,OAChD,CACL,IAAIwC,EAAUhlB,SAASilB,eAAezC,GAClC0C,EAAalB,EAAakB,WAC1BA,EAAWlH,IAAQgG,EAAaI,YAAYc,EAAWlH,IACvDkH,EAAW3mB,OACbylB,EAAamB,aAAaH,EAASE,EAAWlH,IAE9CgG,EAAatiB,YAAYsjB,IAK/B,SAAST,EAAYP,EAAc/e,GACjC,IAAIud,EAAMvd,EAAIud,IACVC,EAAQxd,EAAIwd,MACZlB,EAAYtc,EAAIsc,UAiBpB,GAfIkB,GACFuB,EAAa3jB,aAAa,QAASoiB,GAEjCja,EAAQ4c,OACVpB,EAAa3jB,aA7JF,kBA6JyB4E,EAAIuN,IAGtC+O,IAGFiB,GAAO,mBAAqBjB,EAAUK,QAAQ,GAAK,MAEnDY,GAAO,uDAAyDnB,KAAKI,SAAS7W,mBAAmBiW,KAAKa,UAAUH,MAAgB,OAG9HyC,EAAac,WACfd,EAAac,WAAWC,QAAUvC,MAC7B,CACL,KAAOwB,EAAaqB,YAClBrB,EAAaI,YAAYJ,EAAaqB,YAExCrB,EAAatiB,YAAY1B,SAASilB,eAAezC,O,gBC3NrD,IAAI8C,EAAwB,EAAQ,IAChCld,EAAW,EAAQ,IACnB1D,EAAW,EAAQ,KAIlB4gB,GACHld,EAAS5J,OAAOC,UAAW,WAAYiG,EAAU,CAAEsH,QAAQ,K,6BCN7D,IAAIuZ,EAAkB,EAAQ,IAC1BC,EAAmB,EAAQ,KAC3BxK,EAAY,EAAQ,IACpBtP,EAAsB,EAAQ,IAC9B+Z,EAAiB,EAAQ,IAGzBC,EAAmBha,EAAoB2D,IACvC1D,EAAmBD,EAAoBuE,UAFtB,kBAcrB5Q,EAAOD,QAAUqmB,EAAejG,MAAO,SAAS,SAAUmG,EAAUC,GAClEF,EAAiBvZ,KAAM,CACrBlL,KAhBiB,iBAiBjBE,OAAQokB,EAAgBI,GACxB3H,MAAO,EACP4H,KAAMA,OAIP,WACD,IAAIzV,EAAQxE,EAAiBQ,MACzBhL,EAASgP,EAAMhP,OACfykB,EAAOzV,EAAMyV,KACb5H,EAAQ7N,EAAM6N,QAClB,OAAK7c,GAAU6c,GAAS7c,EAAO5C,QAC7B4R,EAAMhP,YAASI,EACR,CAAEgB,WAAOhB,EAAWskB,MAAM,IAEvB,QAARD,EAAuB,CAAErjB,MAAOyb,EAAO6H,MAAM,GACrC,UAARD,EAAyB,CAAErjB,MAAOpB,EAAO6c,GAAQ6H,MAAM,GACpD,CAAEtjB,MAAO,CAACyb,EAAO7c,EAAO6c,IAAS6H,MAAM,KAC7C,UAKH7K,EAAU8K,UAAY9K,EAAUwE,MAGhCgG,EAAiB,QACjBA,EAAiB,UACjBA,EAAiB,Y,6BCnDjB,IAAI9K,EAAI,EAAQ,IACZhX,EAAO,EAAQ,IAEnBgX,EAAE,CAAEvZ,OAAQ,SAAUsb,OAAO,EAAMvT,OAAQ,IAAIxF,OAASA,GAAQ,CAC9DA,KAAMA,K,gBCLR,IAAI6D,EAAc,EAAQ,GACtBwe,EAA6B,EAAQ,IACrC9d,EAA2B,EAAQ,IACnCsd,EAAkB,EAAQ,IAC1B7d,EAAc,EAAQ,IACtB7D,EAAM,EAAQ,GACd2D,EAAiB,EAAQ,IAEzBwe,EAAiCxnB,OAAO0J,yBAI5C9I,EAAQwI,EAAIL,EAAcye,EAAiC,SAAkCne,EAAGC,GAG9F,GAFAD,EAAI0d,EAAgB1d,GACpBC,EAAIJ,EAAYI,GAAG,GACfN,EAAgB,IAClB,OAAOwe,EAA+Bne,EAAGC,GACzC,MAAOrH,IACT,GAAIoD,EAAIgE,EAAGC,GAAI,OAAOG,GAA0B8d,EAA2Bne,EAAEjJ,KAAKkJ,EAAGC,GAAID,EAAEC,M,gBClB7F,IAAIR,EAAQ,EAAQ,GAEhBqd,EAAc,kBAEdpc,EAAW,SAAU0d,EAASC,GAChC,IAAI3jB,EAAQvE,EAAKmoB,EAAUF,IAC3B,OAAO1jB,GAAS6jB,GACZ7jB,GAAS8jB,IACW,mBAAbH,EAA0B5e,EAAM4e,KACrCA,IAGJC,EAAY5d,EAAS4d,UAAY,SAAUG,GAC7C,OAAOjf,OAAOif,GAAQnf,QAAQwd,EAAa,KAAKvB,eAG9CplB,EAAOuK,EAASvK,KAAO,GACvBqoB,EAAS9d,EAAS8d,OAAS,IAC3BD,EAAW7d,EAAS6d,SAAW,IAEnC/mB,EAAOD,QAAUmJ,G,gBCpBjB,IAAIjB,EAAQ,EAAQ,GAChBqS,EAAkB,EAAQ,GAC1B4M,EAAa,EAAQ,IAErBC,EAAU7M,EAAgB,WAE9Bta,EAAOD,QAAU,SAAUyY,GAIzB,OAAO0O,GAAc,KAAOjf,GAAM,WAChC,IAAI8X,EAAQ,GAKZ,OAJkBA,EAAM/Z,YAAc,IAC1BmhB,GAAW,WACrB,MAAO,CAAEC,IAAK,IAE2B,IAApCrH,EAAMvH,GAAagN,SAAS4B,S,cChBvCpnB,EAAOD,QAAU,SAAUgF,EAAIsiB,EAAarlB,GAC1C,KAAM+C,aAAcsiB,GAClB,MAAMtf,UAAU,cAAgB/F,EAAOA,EAAO,IAAM,IAAM,cAC1D,OAAO+C,I,gBCHX,IAAIsW,EAAI,EAAQ,IACZpQ,EAAS,EAAQ,KAIrBoQ,EAAE,CAAEvZ,OAAQ,SAAU6H,MAAM,EAAME,OAAQ1K,OAAO8L,SAAWA,GAAU,CACpEA,OAAQA,K,gBCNV,IAAIxF,EAAW,EAAQ,GACnBH,EAAU,EAAQ,IAGlB6hB,EAFkB,EAAQ,EAEhB7M,CAAgB,WAI9Bta,EAAOD,QAAU,SAAUunB,EAAepoB,GACxC,IAAIqoB,EASF,OAREjiB,EAAQgiB,KAGM,mBAFhBC,EAAID,EAActhB,cAEauhB,IAAMpH,QAAS7a,EAAQiiB,EAAEnoB,WAC/CqG,EAAS8hB,IAEN,QADVA,EAAIA,EAAEJ,MACUI,OAAIrlB,GAH+CqlB,OAAIrlB,GAKlE,SAAWA,IAANqlB,EAAkBpH,MAAQoH,GAAc,IAAXroB,EAAe,EAAIA,K,6BCjBhE,IAAImc,EAAI,EAAQ,IACZpT,EAAQ,EAAQ,GAChB3C,EAAU,EAAQ,IAClBG,EAAW,EAAQ,GACnB+hB,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAiB,EAAQ,KACzBC,EAAqB,EAAQ,IAC7BC,EAA+B,EAAQ,IACvCtN,EAAkB,EAAQ,GAC1B4M,EAAa,EAAQ,IAErBW,EAAuBvN,EAAgB,sBAOvCwN,EAA+BZ,GAAc,KAAOjf,GAAM,WAC5D,IAAI8X,EAAQ,GAEZ,OADAA,EAAM8H,IAAwB,EACvB9H,EAAMhQ,SAAS,KAAOgQ,KAG3BgI,EAAkBH,EAA6B,UAE/CI,EAAqB,SAAUxf,GACjC,IAAK/C,EAAS+C,GAAI,OAAO,EACzB,IAAIyf,EAAazf,EAAEqf,GACnB,YAAsB3lB,IAAf+lB,IAA6BA,EAAa3iB,EAAQkD,IAQ3D6S,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,QALpBie,IAAiCC,GAKK,CAClDhY,OAAQ,SAAgBmY,GACtB,IAGIlpB,EAAGmpB,EAAGjpB,EAAQwgB,EAAK0I,EAHnB5f,EAAIgf,EAAS1a,MACbub,EAAIV,EAAmBnf,EAAG,GAC1B9E,EAAI,EAER,IAAK1E,GAAK,EAAGE,EAASoI,UAAUpI,OAAQF,EAAIE,EAAQF,IAElD,GAAIgpB,EADJI,GAAW,IAAPppB,EAAWwJ,EAAIlB,UAAUtI,IACF,CAEzB,GAAI0E,GADJgc,EAAM+H,EAASW,EAAElpB,SAlCF,iBAmCiB,MAAM6I,UAlCT,kCAmC7B,IAAKogB,EAAI,EAAGA,EAAIzI,EAAKyI,IAAKzkB,IAASykB,KAAKC,GAAGV,EAAeW,EAAG3kB,EAAG0kB,EAAED,QAC7D,CACL,GAAIzkB,GAtCW,iBAsCY,MAAMqE,UArCJ,kCAsC7B2f,EAAeW,EAAG3kB,IAAK0kB,GAI3B,OADAC,EAAEnpB,OAASwE,EACJ2kB,M,gBCzDX,IAAI/jB,EAAS,EAAQ,GACjBmB,EAAW,EAAQ,GAEnB9E,EAAW2D,EAAO3D,SAElB2nB,EAAS7iB,EAAS9E,IAAa8E,EAAS9E,EAASC,eAErDZ,EAAOD,QAAU,SAAUgF,GACzB,OAAOujB,EAAS3nB,EAASC,cAAcmE,GAAM,K,gBCR/C,IAAIuL,EAAQ,EAAQ,IAEhBiY,EAAmBnjB,SAASC,SAGE,mBAAvBiL,EAAMlE,gBACfkE,EAAMlE,cAAgB,SAAUrH,GAC9B,OAAOwjB,EAAiBjpB,KAAKyF,KAIjC/E,EAAOD,QAAUuQ,EAAMlE,e,gBCXvB,IAAI3I,EAAO,EAAQ,IACfiO,EAAgB,EAAQ,IACxB8V,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBE,EAAqB,EAAQ,IAE7BnoB,EAAO,GAAGA,KAGVgpB,EAAe,SAAU3X,GAC3B,IAAI4X,EAAiB,GAAR5X,EACT6X,EAAoB,GAAR7X,EACZ8X,EAAkB,GAAR9X,EACV+X,EAAmB,GAAR/X,EACXgY,EAAwB,GAARhY,EAChBiY,EAAmB,GAARjY,GAAagY,EAC5B,OAAO,SAAUE,EAAOC,EAAY5Q,EAAM6Q,GASxC,IARA,IAOI/lB,EAAOkE,EAPPoB,EAAIgf,EAASuB,GACb5jB,EAAOuM,EAAclJ,GACrB0gB,EAAgBzlB,EAAKulB,EAAY5Q,EAAM,GACvClZ,EAASuoB,EAAStiB,EAAKjG,QACvByf,EAAQ,EACRpb,EAAS0lB,GAAkBtB,EAC3B7lB,EAAS2mB,EAASllB,EAAOwlB,EAAO7pB,GAAUwpB,EAAYnlB,EAAOwlB,EAAO,QAAK7mB,EAEvEhD,EAASyf,EAAOA,IAAS,IAAImK,GAAYnK,KAASxZ,KAEtDiC,EAAS8hB,EADThmB,EAAQiC,EAAKwZ,GACiBA,EAAOnW,GACjCqI,GACF,GAAI4X,EAAQ3mB,EAAO6c,GAASvX,OACvB,GAAIA,EAAQ,OAAQyJ,GACvB,KAAK,EAAG,OAAO,EACf,KAAK,EAAG,OAAO3N,EACf,KAAK,EAAG,OAAOyb,EACf,KAAK,EAAGnf,EAAKF,KAAKwC,EAAQoB,QACrB,GAAI0lB,EAAU,OAAO,EAGhC,OAAOC,GAAiB,EAAIF,GAAWC,EAAWA,EAAW9mB,IAIjE9B,EAAOD,QAAU,CAGf4F,QAAS6iB,EAAa,GAGtB3G,IAAK2G,EAAa,GAGlBjD,OAAQiD,EAAa,GAGrBW,KAAMX,EAAa,GAGnBY,MAAOZ,EAAa,GAGpBa,KAAMb,EAAa,GAGnBc,UAAWd,EAAa,K,6BC9D1B,IAAIvgB,EAAQ,EAAQ,GAEpBjI,EAAOD,QAAU,SAAUyY,EAAaxK,GACtC,IAAImD,EAAS,GAAGqH,GAChB,QAASrH,GAAUlJ,GAAM,WAEvBkJ,EAAO7R,KAAK,KAAM0O,GAAY,WAAc,MAAM,GAAM,Q,gBCP5D,IAMIoQ,EAAOvT,EANPvG,EAAS,EAAQ,GACjBwf,EAAY,EAAQ,KAEpB/E,EAAUza,EAAOya,QACjByB,EAAWzB,GAAWA,EAAQyB,SAC9B+I,EAAK/I,GAAYA,EAAS+I,GAG1BA,EAEF1e,GADAuT,EAAQmL,EAAG7c,MAAM,MACD,GAAK0R,EAAM,GAClB0F,MACT1F,EAAQ0F,EAAU1F,MAAM,iBACVA,EAAM,IAAM,MACxBA,EAAQ0F,EAAU1F,MAAM,oBACbvT,EAAUuT,EAAM,IAI/Bpe,EAAOD,QAAU8K,IAAYA,G,gBCnB7B,IAAIzC,EAAW,EAAQ,GACnBohB,EAAwB,EAAQ,KAChC/B,EAAW,EAAQ,IACnBhkB,EAAO,EAAQ,IACfgmB,EAAoB,EAAQ,KAC5BC,EAA+B,EAAQ,KAEvCC,EAAS,SAAUC,EAASxiB,GAC9B0F,KAAK8c,QAAUA,EACf9c,KAAK1F,OAASA,IAGFpH,EAAOD,QAAU,SAAU8pB,EAAUhkB,EAAIuS,EAAM0R,EAAYC,GACvE,IACIC,EAAUC,EAAQtL,EAAOzf,EAAQkI,EAAQgV,EAAM8N,EAD/ChB,EAAgBzlB,EAAKoC,EAAIuS,EAAM0R,EAAa,EAAI,GAGpD,GAAIC,EACFC,EAAWH,MACN,CAEL,GAAqB,mBADrBI,EAASR,EAAkBI,IACM,MAAM9hB,UAAU,0BAEjD,GAAIyhB,EAAsBS,GAAS,CACjC,IAAKtL,EAAQ,EAAGzf,EAASuoB,EAASoC,EAAS3qB,QAASA,EAASyf,EAAOA,IAIlE,IAHAvX,EAAS0iB,EACLZ,EAAc9gB,EAAS8hB,EAAOL,EAASlL,IAAQ,GAAIuL,EAAK,IACxDhB,EAAcW,EAASlL,MACbvX,aAAkBuiB,EAAQ,OAAOviB,EAC/C,OAAO,IAAIuiB,GAAO,GAEtBK,EAAWC,EAAO3qB,KAAKuqB,GAIzB,IADAzN,EAAO4N,EAAS5N,OACP8N,EAAO9N,EAAK9c,KAAK0qB,IAAWxD,MAEnC,GAAqB,iBADrBpf,EAASsiB,EAA6BM,EAAUd,EAAegB,EAAKhnB,MAAO4mB,KAC1C1iB,GAAUA,aAAkBuiB,EAAQ,OAAOviB,EAC5E,OAAO,IAAIuiB,GAAO,KAGdQ,KAAO,SAAU/iB,GACvB,OAAO,IAAIuiB,GAAO,EAAMviB,K,gBCzC1B,IAAI6e,EAAwB,EAAQ,IAChCmE,EAAa,EAAQ,IAGrB/P,EAFkB,EAAQ,EAEVC,CAAgB,eAEhC+P,EAAuE,aAAnDD,EAAW,WAAc,OAAO9iB,UAArB,IAUnCtH,EAAOD,QAAUkmB,EAAwBmE,EAAa,SAAUrlB,GAC9D,IAAIyD,EAAG8hB,EAAKljB,EACZ,YAAclF,IAAP6C,EAAmB,YAAqB,OAAPA,EAAc,OAEM,iBAAhDulB,EAXD,SAAUvlB,EAAIvB,GACzB,IACE,OAAOuB,EAAGvB,GACV,MAAOpC,KAQSmpB,CAAO/hB,EAAIrJ,OAAO4F,GAAKsV,IAA8BiQ,EAEnED,EAAoBD,EAAW5hB,GAEH,WAA3BpB,EAASgjB,EAAW5hB,KAAsC,mBAAZA,EAAEgiB,OAAuB,YAAcpjB,I,gBCxB5F,IAAI0G,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IAGjCua,EAAe,SAAUiC,GAC3B,OAAO,SAAU1B,EAAO2B,GACtB,IAGIC,EAAOC,EAHPC,EAAI7iB,OAAOiG,EAAuB8a,IAClC+B,EAAWhd,EAAU4c,GACrBK,EAAOF,EAAE3rB,OAEb,OAAI4rB,EAAW,GAAKA,GAAYC,EAAaN,EAAoB,QAAKvoB,GACtEyoB,EAAQE,EAAEG,WAAWF,IACN,OAAUH,EAAQ,OAAUG,EAAW,IAAMC,IACtDH,EAASC,EAAEG,WAAWF,EAAW,IAAM,OAAUF,EAAS,MAC1DH,EAAoBI,EAAErf,OAAOsf,GAAYH,EACzCF,EAAoBI,EAAE1mB,MAAM2mB,EAAUA,EAAW,GAA+BF,EAAS,OAAlCD,EAAQ,OAAU,IAA0B,QAI7G3qB,EAAOD,QAAU,CAGfkrB,OAAQzC,GAAa,GAGrBhd,OAAQgd,GAAa,K,6BCxBvB,IAAI0C,EAA6B,GAAGtQ,qBAChC/R,EAA2B1J,OAAO0J,yBAGlCsiB,EAActiB,IAA6BqiB,EAA2B5rB,KAAK,CAAE8rB,EAAG,GAAK,GAIzFrrB,EAAQwI,EAAI4iB,EAAc,SAA8BE,GACtD,IAAI9hB,EAAaV,EAAyBiE,KAAMue,GAChD,QAAS9hB,GAAcA,EAAW1G,YAChCqoB,G,gBCZJ,IAAIhjB,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChBrH,EAAgB,EAAQ,IAG5BZ,EAAOD,SAAWmI,IAAgBD,GAAM,WACtC,OAEQ,GAFD9I,OAAOyD,eAAehC,EAAc,OAAQ,IAAK,CACtDkC,IAAK,WAAc,OAAO,KACzB2E,M,gBCRL,IAAInD,EAAS,EAAQ,GACjB0E,EAAY,EAAQ,IAGpBsH,EAAQhM,EADC,uBACiB0E,EADjB,qBACmC,IAEhDhJ,EAAOD,QAAUuQ,G,gBCNjB,IAAIoL,EAAU,EAAQ,IAClBpL,EAAQ,EAAQ,KAEnBtQ,EAAOD,QAAU,SAAUyD,EAAKN,GAC/B,OAAOoN,EAAM9M,KAAS8M,EAAM9M,QAAiBtB,IAAVgB,EAAsBA,EAAQ,MAChE,WAAY,IAAI1D,KAAK,CACtBqL,QAAS,QACTzH,KAAMsY,EAAU,OAAS,SACzB4P,UAAW,0C,gBCRb,IAAI9mB,EAAM,EAAQ,GACd0hB,EAAkB,EAAQ,IAC1Bta,EAAU,EAAQ,IAA+BA,QACjDwE,EAAa,EAAQ,IAEzBpQ,EAAOD,QAAU,SAAU4D,EAAQ4nB,GACjC,IAGI/nB,EAHAgF,EAAI0d,EAAgBviB,GACpB3E,EAAI,EACJoI,EAAS,GAEb,IAAK5D,KAAOgF,GAAIhE,EAAI4L,EAAY5M,IAAQgB,EAAIgE,EAAGhF,IAAQ4D,EAAO5H,KAAKgE,GAEnE,KAAO+nB,EAAMrsB,OAASF,GAAOwF,EAAIgE,EAAGhF,EAAM+nB,EAAMvsB,SAC7C4M,EAAQxE,EAAQ5D,IAAQ4D,EAAO5H,KAAKgE,IAEvC,OAAO4D,I,gBCfT,IAAI8e,EAAkB,EAAQ,IAC1BuB,EAAW,EAAQ,IACnB+D,EAAkB,EAAQ,KAG1BhD,EAAe,SAAUiD,GAC3B,OAAO,SAAU1C,EAAO/R,EAAI0U,GAC1B,IAGIxoB,EAHAsF,EAAI0d,EAAgB6C,GACpB7pB,EAASuoB,EAASjf,EAAEtJ,QACpByf,EAAQ6M,EAAgBE,EAAWxsB,GAIvC,GAAIusB,GAAezU,GAAMA,GAAI,KAAO9X,EAASyf,GAG3C,IAFAzb,EAAQsF,EAAEmW,OAEGzb,EAAO,OAAO,OAEtB,KAAMhE,EAASyf,EAAOA,IAC3B,IAAK8M,GAAe9M,KAASnW,IAAMA,EAAEmW,KAAW3H,EAAI,OAAOyU,GAAe9M,GAAS,EACnF,OAAQ8M,IAAgB,IAI9BzrB,EAAOD,QAAU,CAGf4rB,SAAUnD,GAAa,GAGvB5c,QAAS4c,GAAa,K,cC9BxBzoB,EAAQwI,EAAIpJ,OAAOysB,uB,gBCAnB,IAAIC,EAAqB,EAAQ,IAC7B/S,EAAc,EAAQ,IAI1B9Y,EAAOD,QAAUZ,OAAO0b,MAAQ,SAAcrS,GAC5C,OAAOqjB,EAAmBrjB,EAAGsQ,K,6BCJ/B9Y,EAAOD,QAAU,SAAc8F,EAAI8B,GACjC,OAAO,WAEL,IADA,IAAIuY,EAAO,IAAIC,MAAM7Y,UAAUpI,QACtBF,EAAI,EAAGA,EAAIkhB,EAAKhhB,OAAQF,IAC/BkhB,EAAKlhB,GAAKsI,UAAUtI,GAEtB,OAAO6G,EAAGwS,MAAM1Q,EAASuY,M,6BCN7B,IAAI4L,EAAQ,EAAQ,GAEpB,SAASC,EAAOxmB,GACd,OAAOgG,mBAAmBhG,GACxBuC,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KAUrB9H,EAAOD,QAAU,SAAkB+K,EAAKC,EAAQihB,GAE9C,IAAKjhB,EACH,OAAOD,EAGT,IAAImhB,EACJ,GAAID,EACFC,EAAmBD,EAAiBjhB,QAC/B,GAAI+gB,EAAMhlB,kBAAkBiE,GACjCkhB,EAAmBlhB,EAAO1F,eACrB,CACL,IAAIge,EAAQ,GAEZyI,EAAMnmB,QAAQoF,GAAQ,SAAmBxF,EAAK/B,GACxC+B,UAIAumB,EAAMxmB,QAAQC,GAChB/B,GAAY,KAEZ+B,EAAM,CAACA,GAGTumB,EAAMnmB,QAAQJ,GAAK,SAAoB2mB,GACjCJ,EAAMrlB,OAAOylB,GACfA,EAAIA,EAAEC,cACGL,EAAMrmB,SAASymB,KACxBA,EAAI1K,KAAKa,UAAU6J,IAErB7I,EAAM7jB,KAAKusB,EAAOvoB,GAAO,IAAMuoB,EAAOG,WAI1CD,EAAmB5I,EAAMxW,KAAK,KAGhC,GAAIof,EAAkB,CACpB,IAAIG,EAAgBthB,EAAIc,QAAQ,MACT,IAAnBwgB,IACFthB,EAAMA,EAAI3G,MAAM,EAAGioB,IAGrBthB,KAA8B,IAAtBA,EAAIc,QAAQ,KAAc,IAAM,KAAOqgB,EAGjD,OAAOnhB,I,6BCnET9K,EAAOD,QAAU,SAAkBmD,GACjC,SAAUA,IAASA,EAAMmpB,c,8BCH3B,YAEA,IAAIP,EAAQ,EAAQ,GAChBQ,EAAsB,EAAQ,KAE9BC,EAAuB,CACzB,eAAgB,qCAGlB,SAASC,EAAsB9U,EAASxU,IACjC4oB,EAAMtmB,YAAYkS,IAAYoU,EAAMtmB,YAAYkS,EAAQ,mBAC3DA,EAAQ,gBAAkBxU,GAgB9B,IAXMupB,EAWFvU,EAAW,CACbuU,UAX8B,oBAAnBC,qBAGmB,IAAZ3N,GAAuE,qBAA5C5f,OAAOC,UAAUiG,SAAS/F,KAAKyf,MAD1E0N,EAAU,EAAQ,KAKbA,GAMPE,iBAAkB,CAAC,SAA0BhuB,EAAM+Y,GAGjD,OAFA4U,EAAoB5U,EAAS,UAC7B4U,EAAoB5U,EAAS,gBACzBoU,EAAM7lB,WAAWtH,IACnBmtB,EAAMhmB,cAAcnH,IACpBmtB,EAAM/lB,SAASpH,IACfmtB,EAAMllB,SAASjI,IACfmtB,EAAMplB,OAAO/H,IACbmtB,EAAMnlB,OAAOhI,GAENA,EAELmtB,EAAM3lB,kBAAkBxH,GACnBA,EAAK2H,OAEVwlB,EAAMhlB,kBAAkBnI,IAC1B6tB,EAAsB9U,EAAS,mDACxB/Y,EAAK0G,YAEVymB,EAAMrmB,SAAS9G,IACjB6tB,EAAsB9U,EAAS,kCACxB8J,KAAKa,UAAU1jB,IAEjBA,IAGTiuB,kBAAmB,CAAC,SAA2BjuB,GAE7C,GAAoB,iBAATA,EACT,IACEA,EAAO6iB,KAAKC,MAAM9iB,GAClB,MAAOuB,IAEX,OAAOvB,IAOTmC,QAAS,EAET+rB,eAAgB,aAChBC,eAAgB,eAEhBC,kBAAmB,EAEnBC,eAAgB,SAAwBjY,GACtC,OAAOA,GAAU,KAAOA,EAAS,MAIrCmD,EAASR,QAAU,CACjBuV,OAAQ,CACN,OAAU,sCAIdnB,EAAMnmB,QAAQ,CAAC,SAAU,MAAO,SAAS,SAA6BwL,GACpE+G,EAASR,QAAQvG,GAAU,MAG7B2a,EAAMnmB,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BwL,GACrE+G,EAASR,QAAQvG,GAAU2a,EAAM3kB,MAAMolB,MAGzCvsB,EAAOD,QAAUmY,I,+CC9FjB,IAAI4T,EAAQ,EAAQ,GAChBoB,EAAS,EAAQ,KACjBC,EAAW,EAAQ,IACnBC,EAAgB,EAAQ,KACxBC,EAAe,EAAQ,KACvBC,EAAkB,EAAQ,KAC1BC,EAAc,EAAQ,IAE1BvtB,EAAOD,QAAU,SAAoB2L,GACnC,OAAO,IAAIpL,SAAQ,SAA4BC,EAASC,GACtD,IAAIgtB,EAAc9hB,EAAO/M,KACrB8uB,EAAiB/hB,EAAOgM,QAExBoU,EAAM7lB,WAAWunB,WACZC,EAAe,gBAGxB,IAAIxrB,EAAU,IAAIyqB,eAGlB,GAAIhhB,EAAOgiB,KAAM,CACf,IAAIC,EAAWjiB,EAAOgiB,KAAKC,UAAY,GACnCC,EAAWliB,EAAOgiB,KAAKE,UAAY,GACvCH,EAAeI,cAAgB,SAAW7L,KAAK2L,EAAW,IAAMC,GAGlE,IAAIE,EAAWV,EAAc1hB,EAAOqiB,QAASriB,EAAOZ,KA4EpD,GA3EA7I,EAAQiY,KAAKxO,EAAOyF,OAAO6c,cAAeb,EAASW,EAAUpiB,EAAOX,OAAQW,EAAOsgB,mBAAmB,GAGtG/pB,EAAQnB,QAAU4K,EAAO5K,QAGzBmB,EAAQgsB,mBAAqB,WAC3B,GAAKhsB,GAAkC,IAAvBA,EAAQisB,aAQD,IAAnBjsB,EAAQ8S,QAAkB9S,EAAQksB,aAAwD,IAAzClsB,EAAQksB,YAAYviB,QAAQ,UAAjF,CAKA,IAAIwiB,EAAkB,0BAA2BnsB,EAAUorB,EAAaprB,EAAQosB,yBAA2B,KAEvGC,EAAW,CACb3vB,KAFkB+M,EAAO6iB,cAAwC,SAAxB7iB,EAAO6iB,aAAiDtsB,EAAQqsB,SAA/BrsB,EAAQusB,aAGlFzZ,OAAQ9S,EAAQ8S,OAChB0Z,WAAYxsB,EAAQwsB,WACpB/W,QAAS0W,EACT1iB,OAAQA,EACRzJ,QAASA,GAGXirB,EAAO3sB,EAASC,EAAQ8tB,GAGxBrsB,EAAU,OAIZA,EAAQysB,QAAU,WACXzsB,IAILzB,EAAO+sB,EAAY,kBAAmB7hB,EAAQ,eAAgBzJ,IAG9DA,EAAU,OAIZA,EAAQV,QAAU,WAGhBf,EAAO+sB,EAAY,gBAAiB7hB,EAAQ,KAAMzJ,IAGlDA,EAAU,MAIZA,EAAQ0sB,UAAY,WAClB,IAAIC,EAAsB,cAAgBljB,EAAO5K,QAAU,cACvD4K,EAAOkjB,sBACTA,EAAsBljB,EAAOkjB,qBAE/BpuB,EAAO+sB,EAAYqB,EAAqBljB,EAAQ,eAC9CzJ,IAGFA,EAAU,MAMR6pB,EAAM9kB,uBAAwB,CAChC,IAAI6nB,EAAU,EAAQ,KAGlBC,GAAapjB,EAAOqjB,iBAAmBzB,EAAgBQ,KAAcpiB,EAAOmhB,eAC9EgC,EAAQG,KAAKtjB,EAAOmhB,qBACpB3qB,EAEE4sB,IACFrB,EAAe/hB,EAAOohB,gBAAkBgC,GAuB5C,GAlBI,qBAAsB7sB,GACxB6pB,EAAMnmB,QAAQ8nB,GAAgB,SAA0BloB,EAAK/B,QAChC,IAAhBgqB,GAAqD,iBAAtBhqB,EAAIugB,qBAErC0J,EAAejqB,GAGtBvB,EAAQgtB,iBAAiBzrB,EAAK+B,MAM/BumB,EAAMtmB,YAAYkG,EAAOqjB,mBAC5B9sB,EAAQ8sB,kBAAoBrjB,EAAOqjB,iBAIjCrjB,EAAO6iB,aACT,IACEtsB,EAAQssB,aAAe7iB,EAAO6iB,aAC9B,MAAOruB,GAGP,GAA4B,SAAxBwL,EAAO6iB,aACT,MAAMruB,EAM6B,mBAA9BwL,EAAOwjB,oBAChBjtB,EAAQktB,iBAAiB,WAAYzjB,EAAOwjB,oBAIP,mBAA5BxjB,EAAO0jB,kBAAmCntB,EAAQotB,QAC3DptB,EAAQotB,OAAOF,iBAAiB,WAAYzjB,EAAO0jB,kBAGjD1jB,EAAO4jB,aAET5jB,EAAO4jB,YAAYjvB,QAAQyU,MAAK,SAAoBya,GAC7CttB,IAILA,EAAQutB,QACRhvB,EAAO+uB,GAEPttB,EAAU,cAIMC,IAAhBsrB,IACFA,EAAc,MAIhBvrB,EAAQwtB,KAAKjC,Q,6BC/KjB,IAAIkC,EAAe,EAAQ,KAY3B1vB,EAAOD,QAAU,SAAqBgC,EAAS2J,EAAQikB,EAAM1tB,EAASqsB,GACpE,IAAIltB,EAAQ,IAAIC,MAAMU,GACtB,OAAO2tB,EAAatuB,EAAOsK,EAAQikB,EAAM1tB,EAASqsB,K,6BCdpD,IAAIxC,EAAQ,EAAQ,GAUpB9rB,EAAOD,QAAU,SAAqB6vB,EAASC,GAE7CA,EAAUA,GAAW,GACrB,IAAInkB,EAAS,GAETokB,EAAuB,CAAC,MAAO,SAAU,SAAU,QACnDC,EAA0B,CAAC,UAAW,OAAQ,SAC9CC,EAAuB,CACzB,UAAW,MAAO,mBAAoB,oBAAqB,mBAC3D,UAAW,kBAAmB,UAAW,eAAgB,iBACzD,iBAAkB,mBAAoB,qBACtC,mBAAoB,iBAAkB,eAAgB,YACtD,aAAc,cAAe,cAG/BlE,EAAMnmB,QAAQmqB,GAAsB,SAA0BG,QAC/B,IAAlBJ,EAAQI,KACjBvkB,EAAOukB,GAAQJ,EAAQI,OAI3BnE,EAAMnmB,QAAQoqB,GAAyB,SAA6BE,GAC9DnE,EAAMrmB,SAASoqB,EAAQI,IACzBvkB,EAAOukB,GAAQnE,EAAMvkB,UAAUqoB,EAAQK,GAAOJ,EAAQI,SACpB,IAAlBJ,EAAQI,GACxBvkB,EAAOukB,GAAQJ,EAAQI,GACdnE,EAAMrmB,SAASmqB,EAAQK,IAChCvkB,EAAOukB,GAAQnE,EAAMvkB,UAAUqoB,EAAQK,SACL,IAAlBL,EAAQK,KACxBvkB,EAAOukB,GAAQL,EAAQK,OAI3BnE,EAAMnmB,QAAQqqB,GAAsB,SAA0BC,QAC/B,IAAlBJ,EAAQI,GACjBvkB,EAAOukB,GAAQJ,EAAQI,QACW,IAAlBL,EAAQK,KACxBvkB,EAAOukB,GAAQL,EAAQK,OAI3B,IAAIC,EAAYJ,EACb/f,OAAOggB,GACPhgB,OAAOigB,GAENG,EAAYhxB,OACb0b,KAAKgV,GACLtK,QAAO,SAAyB/hB,GAC/B,OAAmC,IAA5B0sB,EAAUtkB,QAAQpI,MAW7B,OARAsoB,EAAMnmB,QAAQwqB,GAAW,SAAmCF,QAC7B,IAAlBJ,EAAQI,GACjBvkB,EAAOukB,GAAQJ,EAAQI,QACW,IAAlBL,EAAQK,KACxBvkB,EAAOukB,GAAQL,EAAQK,OAIpBvkB,I,6BC/DT,SAAS0kB,EAAOruB,GACd+K,KAAK/K,QAAUA,EAGjBquB,EAAOhxB,UAAUiG,SAAW,WAC1B,MAAO,UAAYyH,KAAK/K,QAAU,KAAO+K,KAAK/K,QAAU,KAG1DquB,EAAOhxB,UAAUitB,YAAa,EAE9BrsB,EAAOD,QAAUqwB,G,6BCjBjB,IAAI/U,EAAI,EAAQ,IACZ1V,EAAU,EAAQ,IAItB0V,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,OAAQ,GAAGlE,SAAWA,GAAW,CACjEA,QAASA,K,6BCNX,IAAI0qB,EAAW,EAAQ,IAAgC1qB,QACnD2qB,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElCC,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,WAI7CvwB,EAAOD,QAAYywB,GAAkBC,EAEjC,GAAG9qB,QAFgD,SAAiBqjB,GACtE,OAAOqH,EAASvjB,KAAMkc,EAAY1hB,UAAUpI,OAAS,EAAIoI,UAAU,QAAKpF,K,gBCX1E,IAAIyY,EAAU,EAAQ,IAItB3a,EAAOD,QAAUogB,MAAM7a,SAAW,SAAiB4iB,GACjD,MAAuB,SAAhBvN,EAAQuN,K,gBCLjB,IAAIjgB,EAAQ,EAAQ,GAEpBjI,EAAOD,UAAYZ,OAAOysB,wBAA0B3jB,GAAM,WAGxD,OAAQD,OAAOhF,c,gBCLjB,MAAM,0BAAEoY,GAA8B,EAAQ,IACxCsV,EAAQ,EAAQ,IAIhBrS,GAHNte,EAAUC,EAAOD,QAAU,IAGRse,GAAK,GAClBpd,EAAMlB,EAAQkB,IAAM,GACpBkC,EAAIpD,EAAQoD,EAAI,GACtB,IAAIwtB,EAAI,EAER,MAAMC,EAAc,CAAC5uB,EAAMkB,EAAO2tB,KAChC,MAAMlS,EAAQgS,IACdD,EAAM/R,EAAOzb,GACbC,EAAEnB,GAAQ2c,EACV1d,EAAI0d,GAASzb,EACbmb,EAAGM,GAAS,IAAIhB,OAAOza,EAAO2tB,EAAW,SAAM3uB,IASjD0uB,EAAY,oBAAqB,eACjCA,EAAY,yBAA0B,UAMtCA,EAAY,uBAAwB,8BAKpCA,EAAY,cAAe,IAAI3vB,EAAIkC,EAAE2tB,0BACd7vB,EAAIkC,EAAE2tB,0BACN7vB,EAAIkC,EAAE2tB,uBAE7BF,EAAY,mBAAoB,IAAI3vB,EAAIkC,EAAE4tB,+BACd9vB,EAAIkC,EAAE4tB,+BACN9vB,EAAIkC,EAAE4tB,4BAKlCH,EAAY,uBAAwB,MAAM3vB,EAAIkC,EAAE2tB,sBAC5C7vB,EAAIkC,EAAE6tB,0BAEVJ,EAAY,4BAA6B,MAAM3vB,EAAIkC,EAAE4tB,2BACjD9vB,EAAIkC,EAAE6tB,0BAMVJ,EAAY,aAAc,QAAQ3vB,EAAIkC,EAAE8tB,8BAC/BhwB,EAAIkC,EAAE8tB,6BAEfL,EAAY,kBAAmB,SAAS3vB,EAAIkC,EAAE+tB,mCACrCjwB,EAAIkC,EAAE+tB,kCAKfN,EAAY,kBAAmB,iBAM/BA,EAAY,QAAS,UAAU3vB,EAAIkC,EAAEguB,yBAC5BlwB,EAAIkC,EAAEguB,wBAWfP,EAAY,YAAa,KAAK3vB,EAAIkC,EAAEiuB,eACjCnwB,EAAIkC,EAAEkuB,eACPpwB,EAAIkC,EAAEmuB,WAERV,EAAY,OAAQ,IAAI3vB,EAAIkC,EAAEouB,eAK9BX,EAAY,aAAc,WAAW3vB,EAAIkC,EAAEquB,oBACxCvwB,EAAIkC,EAAEsuB,oBACPxwB,EAAIkC,EAAEmuB,WAERV,EAAY,QAAS,IAAI3vB,EAAIkC,EAAEuuB,gBAE/Bd,EAAY,OAAQ,gBAKpBA,EAAY,wBAA4B3vB,EAAIkC,EAAE4tB,wBAAT,YACrCH,EAAY,mBAAuB3vB,EAAIkC,EAAE2tB,mBAAT,YAEhCF,EAAY,cAAe,YAAY3vB,EAAIkC,EAAEwuB,4BAChB1wB,EAAIkC,EAAEwuB,4BACN1wB,EAAIkC,EAAEwuB,wBACV1wB,EAAIkC,EAAEkuB,gBACVpwB,EAAIkC,EAAEmuB,eAG3BV,EAAY,mBAAoB,YAAY3vB,EAAIkC,EAAEyuB,iCAChB3wB,EAAIkC,EAAEyuB,iCACN3wB,EAAIkC,EAAEyuB,6BACV3wB,EAAIkC,EAAEsuB,qBACVxwB,EAAIkC,EAAEmuB,eAGhCV,EAAY,SAAU,IAAI3vB,EAAIkC,EAAE0uB,YAAY5wB,EAAIkC,EAAE2uB,iBAClDlB,EAAY,cAAe,IAAI3vB,EAAIkC,EAAE0uB,YAAY5wB,EAAIkC,EAAE4uB,sBAIvDnB,EAAY,SAAU,oBACIxV,mBACIA,qBACAA,qBAE9BwV,EAAY,YAAa3vB,EAAIkC,EAAE6uB,SAAS,GAIxCpB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAAS3vB,EAAIkC,EAAE8uB,kBAAkB,GAC1DlyB,EAAQmyB,iBAAmB,MAE3BtB,EAAY,QAAS,IAAI3vB,EAAIkC,EAAE8uB,aAAahxB,EAAIkC,EAAE2uB,iBAClDlB,EAAY,aAAc,IAAI3vB,EAAIkC,EAAE8uB,aAAahxB,EAAIkC,EAAE4uB,sBAIvDnB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAAS3vB,EAAIkC,EAAEgvB,kBAAkB,GAC1DpyB,EAAQqyB,iBAAmB,MAE3BxB,EAAY,QAAS,IAAI3vB,EAAIkC,EAAEgvB,aAAalxB,EAAIkC,EAAE2uB,iBAClDlB,EAAY,aAAc,IAAI3vB,EAAIkC,EAAEgvB,aAAalxB,EAAIkC,EAAE4uB,sBAGvDnB,EAAY,kBAAmB,IAAI3vB,EAAIkC,EAAE0uB,aAAa5wB,EAAIkC,EAAEuuB,oBAC5Dd,EAAY,aAAc,IAAI3vB,EAAIkC,EAAE0uB,aAAa5wB,EAAIkC,EAAEouB,mBAIvDX,EAAY,iBAAkB,SAAS3vB,EAAIkC,EAAE0uB,aACrC5wB,EAAIkC,EAAEuuB,eAAezwB,EAAIkC,EAAE2uB,iBAAiB,GACpD/xB,EAAQsyB,sBAAwB,SAMhCzB,EAAY,cAAe,SAAS3vB,EAAIkC,EAAE2uB,0BAEnB7wB,EAAIkC,EAAE2uB,sBAG7BlB,EAAY,mBAAoB,SAAS3vB,EAAIkC,EAAE4uB,+BAEnB9wB,EAAIkC,EAAE4uB,2BAIlCnB,EAAY,OAAQ,mBAEpBA,EAAY,OAAQ,yBACpBA,EAAY,UAAW,4B,iBCrLvB,oBACqB,iBAAZ7R,GACPA,EAAQuB,KACRvB,EAAQuB,IAAIgS,YACZ,cAAcjV,KAAK0B,EAAQuB,IAAIgS,YAC7B,IAAIpS,IAASnc,QAAQ3C,MAAM,YAAa8e,GACxC,OAEJlgB,EAAOD,QAAU2wB,I,kCCRjB,MAAMA,EAAQ,EAAQ,KAChB,WAAEvV,EAAU,iBAAEH,GAAqB,EAAQ,KAC3C,GAAEqD,EAAE,EAAElb,GAAM,EAAQ,KAEpB,mBAAEovB,GAAuB,EAAQ,KACvC,MAAMC,EACJ,YAAa3nB,EAAS1B,GAOpB,GANKA,GAA8B,iBAAZA,IACrBA,EAAU,CACRspB,QAAStpB,EACTupB,mBAAmB,IAGnB7nB,aAAmB2nB,EAAQ,CAC7B,GAAI3nB,EAAQ4nB,UAAYtpB,EAAQspB,OAC5B5nB,EAAQ6nB,sBAAwBvpB,EAAQupB,kBAC1C,OAAO7nB,EAEPA,EAAUA,EAAQA,aAEf,GAAuB,iBAAZA,EAChB,MAAM,IAAI9C,UAAU,oBAAoB8C,GAG1C,GAAIA,EAAQ3L,OAASic,EACnB,MAAM,IAAIpT,UACR,0BAA0BoT,gBAI9BuV,EAAM,SAAU7lB,EAAS1B,GACzB2D,KAAK3D,QAAUA,EACf2D,KAAK2lB,QAAUtpB,EAAQspB,MAGvB3lB,KAAK4lB,oBAAsBvpB,EAAQupB,kBAEnC,MAAMnwB,EAAIsI,EAAQjD,OAAOwW,MAAMjV,EAAQspB,MAAQpU,EAAGlb,EAAEwvB,OAAStU,EAAGlb,EAAEyvB,OAElE,IAAKrwB,EACH,MAAM,IAAIwF,UAAU,oBAAoB8C,GAU1C,GAPAiC,KAAK+lB,IAAMhoB,EAGXiC,KAAKgmB,OAASvwB,EAAE,GAChBuK,KAAKimB,OAASxwB,EAAE,GAChBuK,KAAKkmB,OAASzwB,EAAE,GAEZuK,KAAKgmB,MAAQ9X,GAAoBlO,KAAKgmB,MAAQ,EAChD,MAAM,IAAI/qB,UAAU,yBAGtB,GAAI+E,KAAKimB,MAAQ/X,GAAoBlO,KAAKimB,MAAQ,EAChD,MAAM,IAAIhrB,UAAU,yBAGtB,GAAI+E,KAAKkmB,MAAQhY,GAAoBlO,KAAKkmB,MAAQ,EAChD,MAAM,IAAIjrB,UAAU,yBAIjBxF,EAAE,GAGLuK,KAAKmmB,WAAa1wB,EAAE,GAAGmK,MAAM,KAAKmV,IAAK1O,IACrC,GAAI,WAAWkK,KAAKlK,GAAK,CACvB,MAAM+f,GAAO/f,EACb,GAAI+f,GAAO,GAAKA,EAAMlY,EACpB,OAAOkY,EAGX,OAAO/f,IATTrG,KAAKmmB,WAAa,GAapBnmB,KAAKqmB,MAAQ5wB,EAAE,GAAKA,EAAE,GAAGmK,MAAM,KAAO,GACtCI,KAAKsmB,SAGP,SAKE,OAJAtmB,KAAKjC,QAAU,GAAGiC,KAAKgmB,SAAShmB,KAAKimB,SAASjmB,KAAKkmB,QAC/ClmB,KAAKmmB,WAAW/zB,SAClB4N,KAAKjC,SAAW,IAAIiC,KAAKmmB,WAAWpmB,KAAK,MAEpCC,KAAKjC,QAGd,WACE,OAAOiC,KAAKjC,QAGd,QAASwoB,GAEP,GADA3C,EAAM,iBAAkB5jB,KAAKjC,QAASiC,KAAK3D,QAASkqB,KAC9CA,aAAiBb,GAAS,CAC9B,GAAqB,iBAAVa,GAAsBA,IAAUvmB,KAAKjC,QAC9C,OAAO,EAETwoB,EAAQ,IAAIb,EAAOa,EAAOvmB,KAAK3D,SAGjC,OAAIkqB,EAAMxoB,UAAYiC,KAAKjC,QAClB,EAGFiC,KAAKwmB,YAAYD,IAAUvmB,KAAKymB,WAAWF,GAGpD,YAAaA,GAKX,OAJMA,aAAiBb,IACrBa,EAAQ,IAAIb,EAAOa,EAAOvmB,KAAK3D,UAI/BopB,EAAmBzlB,KAAKgmB,MAAOO,EAAMP,QACrCP,EAAmBzlB,KAAKimB,MAAOM,EAAMN,QACrCR,EAAmBzlB,KAAKkmB,MAAOK,EAAML,OAIzC,WAAYK,GAMV,GALMA,aAAiBb,IACrBa,EAAQ,IAAIb,EAAOa,EAAOvmB,KAAK3D,UAI7B2D,KAAKmmB,WAAW/zB,SAAWm0B,EAAMJ,WAAW/zB,OAC9C,OAAQ,EACH,IAAK4N,KAAKmmB,WAAW/zB,QAAUm0B,EAAMJ,WAAW/zB,OACrD,OAAO,EACF,IAAK4N,KAAKmmB,WAAW/zB,SAAWm0B,EAAMJ,WAAW/zB,OACtD,OAAO,EAGT,IAAIF,EAAI,EACR,EAAG,CACD,MAAMyI,EAAIqF,KAAKmmB,WAAWj0B,GACpB0I,EAAI2rB,EAAMJ,WAAWj0B,GAE3B,GADA0xB,EAAM,qBAAsB1xB,EAAGyI,EAAGC,QACxBxF,IAANuF,QAAyBvF,IAANwF,EACrB,OAAO,EACF,QAAUxF,IAANwF,EACT,OAAO,EACF,QAAUxF,IAANuF,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAO6qB,EAAmB9qB,EAAGC,WAEtB1I,GAGb,aAAcq0B,GACNA,aAAiBb,IACrBa,EAAQ,IAAIb,EAAOa,EAAOvmB,KAAK3D,UAGjC,IAAInK,EAAI,EACR,EAAG,CACD,MAAMyI,EAAIqF,KAAKqmB,MAAMn0B,GACf0I,EAAI2rB,EAAMF,MAAMn0B,GAEtB,GADA0xB,EAAM,qBAAsB1xB,EAAGyI,EAAGC,QACxBxF,IAANuF,QAAyBvF,IAANwF,EACrB,OAAO,EACF,QAAUxF,IAANwF,EACT,OAAO,EACF,QAAUxF,IAANuF,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAO6qB,EAAmB9qB,EAAGC,WAEtB1I,GAKb,IAAKw0B,EAASC,GACZ,OAAQD,GACN,IAAK,WACH1mB,KAAKmmB,WAAW/zB,OAAS,EACzB4N,KAAKkmB,MAAQ,EACblmB,KAAKimB,MAAQ,EACbjmB,KAAKgmB,QACLhmB,KAAK4mB,IAAI,MAAOD,GAChB,MACF,IAAK,WACH3mB,KAAKmmB,WAAW/zB,OAAS,EACzB4N,KAAKkmB,MAAQ,EACblmB,KAAKimB,QACLjmB,KAAK4mB,IAAI,MAAOD,GAChB,MACF,IAAK,WAIH3mB,KAAKmmB,WAAW/zB,OAAS,EACzB4N,KAAK4mB,IAAI,QAASD,GAClB3mB,KAAK4mB,IAAI,MAAOD,GAChB,MAGF,IAAK,aAC4B,IAA3B3mB,KAAKmmB,WAAW/zB,QAClB4N,KAAK4mB,IAAI,QAASD,GAEpB3mB,KAAK4mB,IAAI,MAAOD,GAChB,MAEF,IAAK,QAMc,IAAf3mB,KAAKimB,OACU,IAAfjmB,KAAKkmB,OACsB,IAA3BlmB,KAAKmmB,WAAW/zB,QAEhB4N,KAAKgmB,QAEPhmB,KAAKimB,MAAQ,EACbjmB,KAAKkmB,MAAQ,EACblmB,KAAKmmB,WAAa,GAClB,MACF,IAAK,QAKgB,IAAfnmB,KAAKkmB,OAA0C,IAA3BlmB,KAAKmmB,WAAW/zB,QACtC4N,KAAKimB,QAEPjmB,KAAKkmB,MAAQ,EACblmB,KAAKmmB,WAAa,GAClB,MACF,IAAK,QAK4B,IAA3BnmB,KAAKmmB,WAAW/zB,QAClB4N,KAAKkmB,QAEPlmB,KAAKmmB,WAAa,GAClB,MAGF,IAAK,MACH,GAA+B,IAA3BnmB,KAAKmmB,WAAW/zB,OAClB4N,KAAKmmB,WAAa,CAAC,OACd,CACL,IAAIj0B,EAAI8N,KAAKmmB,WAAW/zB,OACxB,OAASF,GAAK,GACsB,iBAAvB8N,KAAKmmB,WAAWj0B,KACzB8N,KAAKmmB,WAAWj0B,KAChBA,GAAK,IAGE,IAAPA,GAEF8N,KAAKmmB,WAAWzzB,KAAK,GAGrBi0B,IAGE3mB,KAAKmmB,WAAW,KAAOQ,EACrBniB,MAAMxE,KAAKmmB,WAAW,MACxBnmB,KAAKmmB,WAAa,CAACQ,EAAY,IAGjC3mB,KAAKmmB,WAAa,CAACQ,EAAY,IAGnC,MAEF,QACE,MAAM,IAAIpyB,MAAM,+BAA+BmyB,GAInD,OAFA1mB,KAAKsmB,SACLtmB,KAAK+lB,IAAM/lB,KAAKjC,QACTiC,MAIX9M,EAAOD,QAAUyyB,G,6BChSjB,IAaI3W,EAAmB8X,EAAmCC,EAbtDrY,EAAiB,EAAQ,IACzBzS,EAA8B,EAAQ,GACtCtE,EAAM,EAAQ,GACd8V,EAAkB,EAAQ,GAC1BoB,EAAU,EAAQ,IAElBK,EAAWzB,EAAgB,YAC3BwB,GAAyB,EAQzB,GAAGjB,OAGC,SAFN+Y,EAAgB,GAAG/Y,SAIjB8Y,EAAoCpY,EAAeA,EAAeqY,OACxBz0B,OAAOC,YAAWyc,EAAoB8X,GAHlD7X,GAAyB,GAOlC5Z,MAArB2Z,IAAgCA,EAAoB,IAGnDH,GAAYlX,EAAIqX,EAAmBE,IACtCjT,EAA4B+S,EAAmBE,GApBhC,WAAc,OAAOjP,QAuBtC9M,EAAOD,QAAU,CACf8b,kBAAmBA,EACnBC,uBAAwBA,I,gBCnC1B,IAAItX,EAAM,EAAQ,GACdgjB,EAAW,EAAQ,IACnBrX,EAAY,EAAQ,IACpB0jB,EAA2B,EAAQ,KAEnC5a,EAAW9I,EAAU,YACrB2jB,EAAkB30B,OAAOC,UAI7BY,EAAOD,QAAU8zB,EAA2B10B,OAAOoc,eAAiB,SAAU/S,GAE5E,OADAA,EAAIgf,EAAShf,GACThE,EAAIgE,EAAGyQ,GAAkBzQ,EAAEyQ,GACH,mBAAjBzQ,EAAExC,aAA6BwC,aAAaA,EAAExC,YAChDwC,EAAExC,YAAY5G,UACdoJ,aAAarJ,OAAS20B,EAAkB,O,gBCfnD,IAAI1rB,EAAW,EAAQ,GACnB2rB,EAAqB,EAAQ,KAMjC/zB,EAAOD,QAAUZ,OAAOqc,iBAAmB,aAAe,GAAK,WAC7D,IAEIwY,EAFAC,GAAiB,EACjB5W,EAAO,GAEX,KACE2W,EAAS70B,OAAO0J,yBAAyB1J,OAAOC,UAAW,aAAa4Q,KACjE1Q,KAAK+d,EAAM,IAClB4W,EAAiB5W,aAAgB8C,MACjC,MAAO/e,IACT,OAAO,SAAwBoH,EAAG4U,GAKhC,OAJAhV,EAASI,GACTurB,EAAmB3W,GACf6W,EAAgBD,EAAO10B,KAAKkJ,EAAG4U,GAC9B5U,EAAE0rB,UAAY9W,EACZ5U,GAdoD,QAgBzDtG,I,gBCvBN,IAAIkO,EAAa,EAAQ,IACrB3K,EAAW,EAAQ,GACnBjB,EAAM,EAAQ,GACd5B,EAAiB,EAAQ,GAAuC2F,EAChE9D,EAAM,EAAQ,IACd0vB,EAAW,EAAQ,KAEnBC,EAAW3vB,EAAI,QACf0O,EAAK,EAELkhB,EAAel1B,OAAOk1B,cAAgB,WACxC,OAAO,GAGLC,EAAc,SAAUvvB,GAC1BnC,EAAemC,EAAIqvB,EAAU,CAAElxB,MAAO,CACpCqxB,SAAU,OAAQphB,EAClBqhB,SAAU,OAoCVC,EAAOz0B,EAAOD,QAAU,CAC1B20B,UAAU,EACVC,QAlCY,SAAU5vB,EAAIxB,GAE1B,IAAKkC,EAASV,GAAK,MAAoB,iBAANA,EAAiBA,GAAmB,iBAANA,EAAiB,IAAM,KAAOA,EAC7F,IAAKP,EAAIO,EAAIqvB,GAAW,CAEtB,IAAKC,EAAatvB,GAAK,MAAO,IAE9B,IAAKxB,EAAQ,MAAO,IAEpB+wB,EAAYvvB,GAEZ,OAAOA,EAAGqvB,GAAUG,UAwBtBK,YArBgB,SAAU7vB,EAAIxB,GAC9B,IAAKiB,EAAIO,EAAIqvB,GAAW,CAEtB,IAAKC,EAAatvB,GAAK,OAAO,EAE9B,IAAKxB,EAAQ,OAAO,EAEpB+wB,EAAYvvB,GAEZ,OAAOA,EAAGqvB,GAAUI,UAatBK,SATa,SAAU9vB,GAEvB,OADIovB,GAAYM,EAAKC,UAAYL,EAAatvB,KAAQP,EAAIO,EAAIqvB,IAAWE,EAAYvvB,GAC9EA,IAUTqL,EAAWgkB,IAAY,G,cC1DvBp0B,EAAOD,QAAU,CACf+0B,YAAa,EACbC,oBAAqB,EACrBC,aAAc,EACdC,eAAgB,EAChBC,YAAa,EACbC,cAAe,EACfC,aAAc,EACdC,qBAAsB,EACtBlf,SAAU,EACVmf,kBAAmB,EACnBC,eAAgB,EAChBC,gBAAiB,EACjBC,kBAAmB,EACnBC,UAAW,EACXC,cAAe,EACfC,aAAc,EACdC,SAAU,EACVC,iBAAkB,EAClBC,OAAQ,EACRC,YAAa,EACbC,cAAe,EACfC,cAAe,EACfC,eAAgB,EAChBC,aAAc,EACdC,cAAe,EACfC,iBAAkB,EAClBC,iBAAkB,EAClBC,eAAgB,EAChBC,iBAAkB,EAClBC,cAAe,EACfC,UAAW,I,6BChCb,IAAIvuB,EAAW,EAAQ,GAIvBpI,EAAOD,QAAU,WACf,IAAIqY,EAAOhQ,EAAS0E,MAChB1F,EAAS,GAOb,OANIgR,EAAK9T,SAAQ8C,GAAU,KACvBgR,EAAKwe,aAAYxvB,GAAU,KAC3BgR,EAAKsG,YAAWtX,GAAU,KAC1BgR,EAAKye,SAAQzvB,GAAU,KACvBgR,EAAK0e,UAAS1vB,GAAU,KACxBgR,EAAKkG,SAAQlX,GAAU,KACpBA,I,8BCbT,IAAI2B,EAAW,EAAQ,IACnBX,EAAW,EAAQ,GACnBH,EAAQ,EAAQ,GAChBsW,EAAQ,EAAQ,IAGhBwY,EAAkBpZ,OAAOve,UACzB43B,EAAiBD,EAAyB,SAE1CE,EAAchvB,GAAM,WAAc,MAA2D,QAApD+uB,EAAe13B,KAAK,CAAE8J,OAAQ,IAAKmV,MAAO,SAEnF2Y,EANY,YAMKF,EAAeh1B,MAIhCi1B,GAAeC,IACjBnuB,EAAS4U,OAAOve,UAXF,YAWwB,WACpC,IAAIuxB,EAAIvoB,EAAS0E,MACb5L,EAAI8G,OAAO2oB,EAAEvnB,QACb+tB,EAAKxG,EAAEpS,MAEX,MAAO,IAAMrd,EAAI,IADT8G,YAAc9F,IAAPi1B,GAAoBxG,aAAahT,UAAY,UAAWoZ,GAAmBxY,EAAMjf,KAAKqxB,GAAKwG,KAEzG,CAAExqB,QAAQ,K,6BCtBf,IAAInB,EAAS,EAAQ,IAAiCA,OAClDa,EAAsB,EAAQ,IAC9B+Z,EAAiB,EAAQ,IAGzBC,EAAmBha,EAAoB2D,IACvC1D,EAAmBD,EAAoBuE,UAFrB,mBAMtBwV,EAAepe,OAAQ,UAAU,SAAUse,GACzCD,EAAiBvZ,KAAM,CACrBlL,KARkB,kBASlBqlB,OAAQjf,OAAOse,GACf3H,MAAO,OAIR,WACD,IAGIyY,EAHAtmB,EAAQxE,EAAiBQ,MACzBma,EAASnW,EAAMmW,OACftI,EAAQ7N,EAAM6N,MAElB,OAAIA,GAASsI,EAAO/nB,OAAe,CAAEgE,WAAOhB,EAAWskB,MAAM,IAC7D4Q,EAAQ5rB,EAAOyb,EAAQtI,GACvB7N,EAAM6N,OAASyY,EAAMl4B,OACd,CAAEgE,MAAOk0B,EAAO5Q,MAAM,Q,6BC1B/B,IAAI6Q,EAAgC,EAAQ,KACxCjvB,EAAW,EAAQ,GACnBof,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnB3Z,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IACjCqpB,EAAqB,EAAQ,KAC7BC,EAAa,EAAQ,KAErBC,EAAMxyB,KAAKwyB,IACXzpB,EAAM/I,KAAK+I,IACXsD,EAAQrM,KAAKqM,MACbomB,EAAuB,4BACvBC,EAAgC,oBAOpCL,EAA8B,UAAW,GAAG,SAAUM,EAAS/Z,EAAega,EAAiBC,GAC7F,IAAIC,EAA+CD,EAAOC,6CACtDC,EAAmBF,EAAOE,iBAC1BC,EAAoBF,EAA+C,IAAM,KAE7E,MAAO,CAGL,SAAiBG,EAAaC,GAC5B,IAAI1vB,EAAIyF,EAAuBnB,MAC3BqrB,EAA0Bj2B,MAAf+1B,OAA2B/1B,EAAY+1B,EAAYN,GAClE,YAAoBz1B,IAAbi2B,EACHA,EAAS74B,KAAK24B,EAAazvB,EAAG0vB,GAC9Bta,EAActe,KAAK0I,OAAOQ,GAAIyvB,EAAaC,IAIjD,SAAUE,EAAQF,GAChB,IACIJ,GAAgDC,GACzB,iBAAjBG,IAA0E,IAA7CA,EAAatsB,QAAQosB,GAC1D,CACA,IAAIK,EAAMT,EAAgBha,EAAewa,EAAQtrB,KAAMorB,GACvD,GAAIG,EAAI7R,KAAM,OAAO6R,EAAIn1B,MAG3B,IAAIo1B,EAAKlwB,EAASgwB,GACdvN,EAAI7iB,OAAO8E,MAEXyrB,EAA4C,mBAAjBL,EAC1BK,IAAmBL,EAAelwB,OAAOkwB,IAE9C,IAAI5zB,EAASg0B,EAAGh0B,OAChB,GAAIA,EAAQ,CACV,IAAIk0B,EAAcF,EAAGxB,QACrBwB,EAAGva,UAAY,EAGjB,IADA,IAAI0a,EAAU,KACD,CACX,IAAIrxB,EAASmwB,EAAWe,EAAIzN,GAC5B,GAAe,OAAXzjB,EAAiB,MAGrB,GADAqxB,EAAQj5B,KAAK4H,IACR9C,EAAQ,MAGI,KADF0D,OAAOZ,EAAO,MACRkxB,EAAGva,UAAYuZ,EAAmBzM,EAAGpD,EAAS6Q,EAAGva,WAAYya,IAKpF,IAFA,IAtDwBzzB,EAsDpB2zB,EAAoB,GACpBC,EAAqB,EAChB35B,EAAI,EAAGA,EAAIy5B,EAAQv5B,OAAQF,IAAK,CACvCoI,EAASqxB,EAAQz5B,GAUjB,IARA,IAAI45B,EAAU5wB,OAAOZ,EAAO,IACxB0jB,EAAW0M,EAAIzpB,EAAID,EAAU1G,EAAOuX,OAAQkM,EAAE3rB,QAAS,GACvD25B,EAAW,GAMNrU,EAAI,EAAGA,EAAIpd,EAAOlI,OAAQslB,IAAKqU,EAASr5B,UAlEzC0C,KADc6C,EAmE8CqC,EAAOod,IAlEvDzf,EAAKiD,OAAOjD,IAmEhC,IAAI+zB,EAAgB1xB,EAAO2xB,OAC3B,GAAIR,EAAmB,CACrB,IAAIS,EAAe,CAACJ,GAAS7oB,OAAO8oB,EAAU/N,EAAUD,QAClC3oB,IAAlB42B,GAA6BE,EAAax5B,KAAKs5B,GACnD,IAAIxT,EAActd,OAAOkwB,EAAa7f,WAAMnW,EAAW82B,SAEvD1T,EAAc2T,EAAgBL,EAAS/N,EAAGC,EAAU+N,EAAUC,EAAeZ,GAE3EpN,GAAY6N,IACdD,GAAqB7N,EAAE1mB,MAAMw0B,EAAoB7N,GAAYxF,EAC7DqT,EAAqB7N,EAAW8N,EAAQ15B,QAG5C,OAAOw5B,EAAoB7N,EAAE1mB,MAAMw0B,KAKvC,SAASM,EAAgBL,EAAS/wB,EAAKijB,EAAU+N,EAAUC,EAAexT,GACxE,IAAI4T,EAAUpO,EAAW8N,EAAQ15B,OAC7BqD,EAAIs2B,EAAS35B,OACbi6B,EAAUzB,EAKd,YAJsBx1B,IAAlB42B,IACFA,EAAgBtR,EAASsR,GACzBK,EAAU1B,GAEL7Z,EAActe,KAAKgmB,EAAa6T,GAAS,SAAU/a,EAAOgb,GAC/D,IAAIC,EACJ,OAAQD,EAAG5tB,OAAO,IAChB,IAAK,IAAK,MAAO,IACjB,IAAK,IAAK,OAAOotB,EACjB,IAAK,IAAK,OAAO/wB,EAAI1D,MAAM,EAAG2mB,GAC9B,IAAK,IAAK,OAAOjjB,EAAI1D,MAAM+0B,GAC3B,IAAK,IACHG,EAAUP,EAAcM,EAAGj1B,MAAM,GAAI,IACrC,MACF,QACE,IAAIT,GAAK01B,EACT,GAAU,IAAN11B,EAAS,OAAO0a,EACpB,GAAI1a,EAAInB,EAAG,CACT,IAAIgG,EAAI8I,EAAM3N,EAAI,IAClB,OAAU,IAAN6E,EAAgB6V,EAChB7V,GAAKhG,OAA8BL,IAApB22B,EAAStwB,EAAI,GAAmB6wB,EAAG5tB,OAAO,GAAKqtB,EAAStwB,EAAI,GAAK6wB,EAAG5tB,OAAO,GACvF4S,EAETib,EAAUR,EAASn1B,EAAI,GAE3B,YAAmBxB,IAAZm3B,EAAwB,GAAKA,U,gBCnI1C,IAAI/0B,EAAS,EAAQ,GACjBg1B,EAAe,EAAQ,IACvBC,EAAuB,EAAQ,IAC/BzwB,EAA8B,EAAQ,GACtCwR,EAAkB,EAAQ,GAE1ByB,EAAWzB,EAAgB,YAC3BD,EAAgBC,EAAgB,eAChCkf,EAAcD,EAAqBpc,OAEvC,IAAK,IAAIsc,KAAmBH,EAAc,CACxC,IAAII,EAAap1B,EAAOm1B,GACpBE,EAAsBD,GAAcA,EAAWt6B,UACnD,GAAIu6B,EAAqB,CAEvB,GAAIA,EAAoB5d,KAAcyd,EAAa,IACjD1wB,EAA4B6wB,EAAqB5d,EAAUyd,GAC3D,MAAOp4B,GACPu4B,EAAoB5d,GAAYyd,EAKlC,GAHKG,EAAoBtf,IACvBvR,EAA4B6wB,EAAqBtf,EAAeof,GAE9DH,EAAaG,GAAkB,IAAK,IAAIjhB,KAAe+gB,EAEzD,GAAII,EAAoBnhB,KAAiB+gB,EAAqB/gB,GAAc,IAC1E1P,EAA4B6wB,EAAqBnhB,EAAa+gB,EAAqB/gB,IACnF,MAAOpX,GACPu4B,EAAoBnhB,GAAe+gB,EAAqB/gB,O,6BC3BhE,IAAI6C,EAAI,EAAQ,IACZue,EAAW,EAAQ,IAA+BhuB,QAClD0kB,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElCsJ,EAAgB,GAAGjuB,QAEnBkuB,IAAkBD,GAAiB,EAAI,CAAC,GAAGjuB,QAAQ,GAAI,GAAK,EAC5D4kB,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,UAAW,CAAE9X,WAAW,EAAM2S,EAAG,IAI9E/P,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,OAAQiwB,IAAkBtJ,IAAkBC,GAAkB,CAC9F7kB,QAAS,SAAiBmuB,GACxB,OAAOD,EAEHD,EAAcxhB,MAAMvL,KAAMxF,YAAc,EACxCsyB,EAAS9sB,KAAMitB,EAAezyB,UAAUpI,OAAS,EAAIoI,UAAU,QAAKpF,O,cCnB5ElC,EAAOD,QAAU,SAAUgF,GACzB,GAAiB,mBAANA,EACT,MAAMgD,UAAUC,OAAOjD,GAAM,sBAC7B,OAAOA,I,6BCFX,IAAIsD,EAAc,EAAQ,IACtBM,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC5I,EAAOD,QAAU,SAAU4D,EAAQH,EAAKN,GACtC,IAAI82B,EAAc3xB,EAAY7E,GAC1Bw2B,KAAer2B,EAAQgF,EAAqBJ,EAAE5E,EAAQq2B,EAAapxB,EAAyB,EAAG1F,IAC9FS,EAAOq2B,GAAe92B,I,gBCR7B,IAAIyX,EAAU,EAAQ,IAClBgB,EAAY,EAAQ,IAGpBI,EAFkB,EAAQ,EAEfzB,CAAgB,YAE/Bta,EAAOD,QAAU,SAAUgF,GACzB,GAAU7C,MAAN6C,EAAiB,OAAOA,EAAGgX,IAC1BhX,EAAG,eACH4W,EAAUhB,EAAQ5V,M,gBCTzB,IAAI8mB,EAAqB,EAAQ,IAG7Bzb,EAFc,EAAQ,IAEGL,OAAO,SAAU,aAI9ChQ,EAAQwI,EAAIpJ,OAAO86B,qBAAuB,SAA6BzxB,GACrE,OAAOqjB,EAAmBrjB,EAAG4H,K,gBCR/B,IAAItC,EAAY,EAAQ,IAEpB0pB,EAAMxyB,KAAKwyB,IACXzpB,EAAM/I,KAAK+I,IAKf/N,EAAOD,QAAU,SAAU4e,EAAOzf,GAChC,IAAIg7B,EAAUpsB,EAAU6Q,GACxB,OAAOub,EAAU,EAAI1C,EAAI0C,EAAUh7B,EAAQ,GAAK6O,EAAImsB,EAASh7B,K,6BCR/DC,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAET/D,OAAOyD,eAAe7C,EAAS,kBAAmB,CAChD8C,YAAY,EACZC,IAAK,WACH,OAAOq3B,EAAcviB,mBAGzBzY,OAAOyD,eAAe7C,EAAS,uBAAwB,CACrD8C,YAAY,EACZC,IAAK,WACH,OAAOq3B,EAAcniB,wBAGzB7Y,OAAOyD,eAAe7C,EAAS,iBAAkB,CAC/C8C,YAAY,EACZC,IAAK,WACH,OAAOs3B,EAAMC,kBAIjB,IAAIF,EAAgB,EAAQ,KAExBC,EAAQ,EAAQ,M,6BCzBpB,IAAI/e,EAAI,EAAQ,IACZif,EAAU,EAAQ,IAAgC/U,OAClDqC,EAA+B,EAAQ,IACvC2I,EAA0B,EAAQ,IAElCgK,EAAsB3S,EAA6B,UAEnD6I,EAAiBF,EAAwB,UAK7ClV,EAAE,CAAEvZ,OAAQ,QAASsb,OAAO,EAAMvT,QAAS0wB,IAAwB9J,GAAkB,CACnFlL,OAAQ,SAAgByD,GACtB,OAAOsR,EAAQxtB,KAAMkc,EAAY1hB,UAAUpI,OAAS,EAAIoI,UAAU,QAAKpF,O,gBCf3E,IAAIuD,EAAW,EAAQ,GACnB+V,EAAiB,EAAQ,IAG7Bxb,EAAOD,QAAU,SAAUgpB,EAAOyR,EAAOC,GACvC,IAAIC,EAAWC,EAUf,OAPEnf,GAE0C,mBAAlCkf,EAAYF,EAAMx0B,cAC1B00B,IAAcD,GACdh1B,EAASk1B,EAAqBD,EAAUt7B,YACxCu7B,IAAuBF,EAAQr7B,WAC/Boc,EAAeuN,EAAO4R,GACjB5R,I,gBCfT,IAAIhgB,EAAW,EAAQ,IAEvB/I,EAAOD,QAAU,SAAU+B,EAAQb,EAAKkI,GACtC,IAAK,IAAI3F,KAAOvC,EAAK8H,EAASjH,EAAQ0B,EAAKvC,EAAIuC,GAAM2F,GACrD,OAAOrH,I,6BCHT,IAAIoG,EAAc,EAAQ,GACtBD,EAAQ,EAAQ,GAChB2yB,EAAa,EAAQ,IACrBC,EAA8B,EAAQ,IACtCnU,EAA6B,EAAQ,IACrCc,EAAW,EAAQ,IACnB9V,EAAgB,EAAQ,IAExBopB,EAAe37B,OAAO8L,OACtBrI,EAAiBzD,OAAOyD,eAI5B5C,EAAOD,SAAW+6B,GAAgB7yB,GAAM,WAEtC,GAAIC,GAQiB,IARF4yB,EAAa,CAAEpzB,EAAG,GAAKozB,EAAal4B,EAAe,GAAI,IAAK,CAC7EC,YAAY,EACZC,IAAK,WACHF,EAAekK,KAAM,IAAK,CACxB5J,MAAO,EACPL,YAAY,OAGd,CAAE6E,EAAG,KAAMA,EAAS,OAAO,EAE/B,IAAI2gB,EAAI,GACJ0S,EAAI,GAEJC,EAASh4B,SAIb,OAFAqlB,EAAE2S,GAAU,EADG,uBAENtuB,MAAM,IAAI/G,SAAQ,SAAUs1B,GAAOF,EAAEE,GAAOA,KACf,GAA/BH,EAAa,GAAIzS,GAAG2S,IAHZ,wBAG4BJ,EAAWE,EAAa,GAAIC,IAAIluB,KAAK,OAC7E,SAAgB/K,EAAQsH,GAM3B,IALA,IAAI8xB,EAAI1T,EAAS1lB,GACbq5B,EAAkB7zB,UAAUpI,OAC5Byf,EAAQ,EACRiN,EAAwBiP,EAA4BtyB,EACpDqS,EAAuB8L,EAA2Bne,EAC/C4yB,EAAkBxc,GAMvB,IALA,IAIInb,EAJAqnB,EAAInZ,EAAcpK,UAAUqX,MAC5B9D,EAAO+Q,EAAwBgP,EAAW/P,GAAG9a,OAAO6b,EAAsBf,IAAM+P,EAAW/P,GAC3F3rB,EAAS2b,EAAK3b,OACdslB,EAAI,EAEDtlB,EAASslB,GACdhhB,EAAMqX,EAAK2J,KACNtc,IAAe0S,EAAqBtb,KAAKurB,EAAGrnB,KAAM03B,EAAE13B,GAAOqnB,EAAErnB,IAEpE,OAAO03B,GACPJ,G,gBCnDJ,IAAIM,EAAa,EAAQ,IAEzBp7B,EAAOD,QAAUq7B,EAAW,YAAa,cAAgB,I,gBCFzD,IAAIlzB,EAAc,EAAQ,GACtBS,EAAuB,EAAQ,GAC/BP,EAAW,EAAQ,GACnBwyB,EAAa,EAAQ,IAIzB56B,EAAOD,QAAUmI,EAAc/I,OAAO0Z,iBAAmB,SAA0BrQ,EAAG4R,GACpFhS,EAASI,GAKT,IAJA,IAGIhF,EAHAqX,EAAO+f,EAAWxgB,GAClBlb,EAAS2b,EAAK3b,OACdyf,EAAQ,EAELzf,EAASyf,GAAOhW,EAAqBJ,EAAEC,EAAGhF,EAAMqX,EAAK8D,KAAUvE,EAAW5W,IACjF,OAAOgF,I,gBCdT,IAAI4yB,EAAa,EAAQ,IAEzBp7B,EAAOD,QAAUq7B,EAAW,WAAY,oB,6BCDxC,IAAIvf,EAAoB,EAAQ,IAA+BA,kBAC3DtY,EAAS,EAAQ,IACjBqF,EAA2B,EAAQ,IACnC6S,EAAiB,EAAQ,IACzBE,EAAY,EAAQ,IAEpBK,EAAa,WAAc,OAAOlP,MAEtC9M,EAAOD,QAAU,SAAUoc,EAAqBD,EAAME,GACpD,IAAI/B,EAAgB6B,EAAO,YAI3B,OAHAC,EAAoB/c,UAAYmE,EAAOsY,EAAmB,CAAEO,KAAMxT,EAAyB,EAAGwT,KAC9FX,EAAeU,EAAqB9B,GAAe,GAAO,GAC1DsB,EAAUtB,GAAiB2B,EACpBG,I,gBCdT,IAAI7B,EAAkB,EAAQ,GAC1BqB,EAAY,EAAQ,IAEpBI,EAAWzB,EAAgB,YAC3B+gB,EAAiBlb,MAAM/gB,UAG3BY,EAAOD,QAAU,SAAUgF,GACzB,YAAc7C,IAAP6C,IAAqB4W,EAAUwE,QAAUpb,GAAMs2B,EAAetf,KAAchX,K,gBCRrF,IAAIqD,EAAW,EAAQ,GAGvBpI,EAAOD,QAAU,SAAUiqB,EAAUnkB,EAAI3C,EAAOo4B,GAC9C,IACE,OAAOA,EAAUz1B,EAAGuC,EAASlF,GAAO,GAAIA,EAAM,IAAM2C,EAAG3C,GAEvD,MAAO9B,GACP,IAAIm6B,EAAevR,EAAiB,OAEpC,WADqB9nB,IAAjBq5B,GAA4BnzB,EAASmzB,EAAaj8B,KAAK0qB,IACrD5oB,K,gBCVV,IAEI2a,EAFkB,EAAQ,EAEfzB,CAAgB,YAC3BkhB,GAAe,EAEnB,IACE,IAAIC,EAAS,EACTC,EAAqB,CACvBtf,KAAM,WACJ,MAAO,CAAEoK,OAAQiV,MAEnB,OAAU,WACRD,GAAe,IAGnBE,EAAmB3f,GAAY,WAC7B,OAAOjP,MAGTqT,MAAMxM,KAAK+nB,GAAoB,WAAc,MAAM,KACnD,MAAOt6B,IAETpB,EAAOD,QAAU,SAAUsE,EAAMs3B,GAC/B,IAAKA,IAAiBH,EAAc,OAAO,EAC3C,IAAII,GAAoB,EACxB,IACE,IAAIj4B,EAAS,GACbA,EAAOoY,GAAY,WACjB,MAAO,CACLK,KAAM,WACJ,MAAO,CAAEoK,KAAMoV,GAAoB,MAIzCv3B,EAAKV,GACL,MAAOvC,IACT,OAAOw6B,I,6BCnCT,IAAIR,EAAa,EAAQ,IACrBzyB,EAAuB,EAAQ,GAC/B2R,EAAkB,EAAQ,GAC1BpS,EAAc,EAAQ,GAEtBif,EAAU7M,EAAgB,WAE9Bta,EAAOD,QAAU,SAAU87B,GACzB,IAAIxU,EAAc+T,EAAWS,GACzBj5B,EAAiB+F,EAAqBJ,EAEtCL,GAAemf,IAAgBA,EAAYF,IAC7CvkB,EAAeykB,EAAaF,EAAS,CACnC3V,cAAc,EACd1O,IAAK,WAAc,OAAOgK,U,6BCbhC,EAAQ,IACR,IAAI/D,EAAW,EAAQ,IACnBd,EAAQ,EAAQ,GAChBqS,EAAkB,EAAQ,GAC1BwhB,EAAa,EAAQ,IACrBhzB,EAA8B,EAAQ,GAEtCqe,EAAU7M,EAAgB,WAE1ByhB,GAAiC9zB,GAAM,WAIzC,IAAIoW,EAAK,IAMT,OALAA,EAAGha,KAAO,WACR,IAAI+C,EAAS,GAEb,OADAA,EAAO2xB,OAAS,CAAEtxB,EAAG,KACdL,GAEyB,MAA3B,GAAGU,QAAQuW,EAAI,WAKpB0Z,EACgC,OAA3B,IAAIjwB,QAAQ,IAAK,MAGtB6vB,EAAUrd,EAAgB,WAE1Bwd,IACE,IAAIH,IAC6B,KAA5B,IAAIA,GAAS,IAAK,MAOzBqE,GAAqC/zB,GAAM,WAC7C,IAAIoW,EAAK,OACL4d,EAAe5d,EAAGha,KACtBga,EAAGha,KAAO,WAAc,OAAO43B,EAAa5jB,MAAMvL,KAAMxF,YACxD,IAAIF,EAAS,KAAKsF,MAAM2R,GACxB,OAAyB,IAAlBjX,EAAOlI,QAA8B,MAAdkI,EAAO,IAA4B,MAAdA,EAAO,MAG5DpH,EAAOD,QAAU,SAAU2c,EAAKxd,EAAQmF,EAAMyF,GAC5C,IAAIoyB,EAAS5hB,EAAgBoC,GAEzByf,GAAuBl0B,GAAM,WAE/B,IAAIO,EAAI,GAER,OADAA,EAAE0zB,GAAU,WAAc,OAAO,GACZ,GAAd,GAAGxf,GAAKlU,MAGb4zB,EAAoBD,IAAwBl0B,GAAM,WAEpD,IAAIo0B,GAAa,EACbhe,EAAK,IAkBT,MAhBY,UAAR3B,KAIF2B,EAAK,IAGFrY,YAAc,GACjBqY,EAAGrY,YAAYmhB,GAAW,WAAc,OAAO9I,GAC/CA,EAAGE,MAAQ,GACXF,EAAG6d,GAAU,IAAIA,IAGnB7d,EAAGha,KAAO,WAAiC,OAAnBg4B,GAAa,EAAa,MAElDhe,EAAG6d,GAAQ,KACHG,KAGV,IACGF,IACAC,GACQ,YAAR1f,KACCqf,IACAhE,GACCD,IAEM,UAARpb,IAAoBsf,EACrB,CACA,IAAIM,EAAqB,IAAIJ,GACzBzf,EAAUpY,EAAK63B,EAAQ,GAAGxf,IAAM,SAAU6f,EAAcnE,EAAQvwB,EAAK20B,EAAMC,GAC7E,OAAIrE,EAAO/zB,OAASy3B,EACdK,IAAwBM,EAInB,CAAEjW,MAAM,EAAMtjB,MAAOo5B,EAAmBh9B,KAAK84B,EAAQvwB,EAAK20B,IAE5D,CAAEhW,MAAM,EAAMtjB,MAAOq5B,EAAaj9B,KAAKuI,EAAKuwB,EAAQoE,IAEtD,CAAEhW,MAAM,KACd,CACDuR,iBAAkBA,EAClBD,6CAA8CA,IAE5C4E,EAAejgB,EAAQ,GACvBkgB,EAAclgB,EAAQ,GAE1B1T,EAASf,OAAO5I,UAAWsd,EAAKggB,GAChC3zB,EAAS4U,OAAOve,UAAW88B,EAAkB,GAAVh9B,EAG/B,SAAU+nB,EAAQiB,GAAO,OAAOyU,EAAYr9B,KAAK2nB,EAAQna,KAAMob,IAG/D,SAAUjB,GAAU,OAAO0V,EAAYr9B,KAAK2nB,EAAQna,QAItDhD,GAAMhB,EAA4B6U,OAAOve,UAAU88B,GAAS,QAAQ,K,6BC1H1E,IAAI1wB,EAAS,EAAQ,IAAiCA,OAItDxL,EAAOD,QAAU,SAAU8qB,EAAGlM,EAAOmY,GACnC,OAAOnY,GAASmY,EAAUtrB,EAAOqf,EAAGlM,GAAOzf,OAAS,K,gBCNtD,IAAIyb,EAAU,EAAQ,IAClBmhB,EAAa,EAAQ,IAIzB97B,EAAOD,QAAU,SAAU4wB,EAAG9F,GAC5B,IAAIxmB,EAAOssB,EAAEtsB,KACb,GAAoB,mBAATA,EAAqB,CAC9B,IAAI+C,EAAS/C,EAAK/E,KAAKqxB,EAAG9F,GAC1B,GAAsB,iBAAXzjB,EACT,MAAMW,UAAU,sEAElB,OAAOX,EAGT,GAAmB,WAAfuT,EAAQgW,GACV,MAAM5oB,UAAU,+CAGlB,OAAO+zB,EAAWx8B,KAAKqxB,EAAG9F,K,gBCnB5B,IAAIvmB,EAAS,EAAQ,GACjB8H,EAAgB,EAAQ,IAExBiE,EAAU/L,EAAO+L,QAErBrQ,EAAOD,QAA6B,mBAAZsQ,GAA0B,cAAcgN,KAAKjR,EAAciE,K,gBCLnF,IAAI7L,EAAM,EAAQ,GACdo4B,EAAU,EAAQ,KAClBC,EAAiC,EAAQ,IACzCl0B,EAAuB,EAAQ,GAEnC3I,EAAOD,QAAU,SAAU+B,EAAQsH,GAIjC,IAHA,IAAIyR,EAAO+hB,EAAQxzB,GACfxG,EAAiB+F,EAAqBJ,EACtCM,EAA2Bg0B,EAA+Bt0B,EACrDvJ,EAAI,EAAGA,EAAI6b,EAAK3b,OAAQF,IAAK,CACpC,IAAIwE,EAAMqX,EAAK7b,GACVwF,EAAI1C,EAAQ0B,IAAMZ,EAAed,EAAQ0B,EAAKqF,EAAyBO,EAAQ5F,O,gBCXxF,IAAI43B,EAAa,EAAQ,IACrB0B,EAA4B,EAAQ,KACpCjC,EAA8B,EAAQ,IACtCzyB,EAAW,EAAQ,GAGvBpI,EAAOD,QAAUq7B,EAAW,UAAW,YAAc,SAAiBr2B,GACpE,IAAI8V,EAAOiiB,EAA0Bv0B,EAAEH,EAASrD,IAC5C6mB,EAAwBiP,EAA4BtyB,EACxD,OAAOqjB,EAAwB/Q,EAAK9K,OAAO6b,EAAsB7mB,IAAO8V,I,gBCT1E,IAAIvW,EAAS,EAAQ,GAErBtE,EAAOD,QAAUuE,G,gBCFjBtE,EAAOD,QAAU,EAAQ,M,6BCEzB,IAAI+rB,EAAQ,EAAQ,GAChBroB,EAAO,EAAQ,IACfs5B,EAAQ,EAAQ,KAChBC,EAAc,EAAQ,IAS1B,SAASC,EAAeC,GACtB,IAAInuB,EAAU,IAAIguB,EAAMG,GACpBC,EAAW15B,EAAKs5B,EAAM39B,UAAU6C,QAAS8M,GAQ7C,OALA+c,EAAMtkB,OAAO21B,EAAUJ,EAAM39B,UAAW2P,GAGxC+c,EAAMtkB,OAAO21B,EAAUpuB,GAEhBouB,EAIT,IAAIC,EAAQH,EAtBG,EAAQ,KAyBvBG,EAAML,MAAQA,EAGdK,EAAM75B,OAAS,SAAgB85B,GAC7B,OAAOJ,EAAeD,EAAYI,EAAMllB,SAAUmlB,KAIpDD,EAAMhN,OAAS,EAAQ,IACvBgN,EAAMtlB,YAAc,EAAQ,KAC5BslB,EAAMrlB,SAAW,EAAQ,IAGzBqlB,EAAM96B,IAAM,SAAanC,GACvB,OAAOG,QAAQgC,IAAInC,IAErBi9B,EAAME,OAAS,EAAQ,KAEvBt9B,EAAOD,QAAUq9B,EAGjBp9B,EAAOD,QAAQ8R,QAAUurB,G,6BClDzB,IAAItR,EAAQ,EAAQ,GAChBqB,EAAW,EAAQ,IACnBoQ,EAAqB,EAAQ,KAC7BC,EAAkB,EAAQ,KAC1BR,EAAc,EAAQ,IAO1B,SAASD,EAAMM,GACbvwB,KAAKoL,SAAWmlB,EAChBvwB,KAAK2wB,aAAe,CAClBx7B,QAAS,IAAIs7B,EACbjP,SAAU,IAAIiP,GASlBR,EAAM39B,UAAU6C,QAAU,SAAiByJ,GAGnB,iBAAXA,GACTA,EAASpE,UAAU,IAAM,IAClBwD,IAAMxD,UAAU,GAEvBoE,EAASA,GAAU,IAGrBA,EAASsxB,EAAYlwB,KAAKoL,SAAUxM,IAGzByF,OACTzF,EAAOyF,OAASzF,EAAOyF,OAAO4S,cACrBjX,KAAKoL,SAAS/G,OACvBzF,EAAOyF,OAASrE,KAAKoL,SAAS/G,OAAO4S,cAErCrY,EAAOyF,OAAS,MAIlB,IAAIusB,EAAQ,CAACF,OAAiBt7B,GAC1B7B,EAAUC,QAAQC,QAAQmL,GAU9B,IARAoB,KAAK2wB,aAAax7B,QAAQ0D,SAAQ,SAAoCg4B,GACpED,EAAME,QAAQD,EAAYE,UAAWF,EAAYG,aAGnDhxB,KAAK2wB,aAAanP,SAAS3oB,SAAQ,SAAkCg4B,GACnED,EAAMl+B,KAAKm+B,EAAYE,UAAWF,EAAYG,aAGzCJ,EAAMx+B,QACXmB,EAAUA,EAAQyU,KAAK4oB,EAAM/9B,QAAS+9B,EAAM/9B,SAG9C,OAAOU,GAGT08B,EAAM39B,UAAU2+B,OAAS,SAAgBryB,GAEvC,OADAA,EAASsxB,EAAYlwB,KAAKoL,SAAUxM,GAC7ByhB,EAASzhB,EAAOZ,IAAKY,EAAOX,OAAQW,EAAOsgB,kBAAkBlkB,QAAQ,MAAO,KAIrFgkB,EAAMnmB,QAAQ,CAAC,SAAU,MAAO,OAAQ,YAAY,SAA6BwL,GAE/E4rB,EAAM39B,UAAU+R,GAAU,SAASrG,EAAKY,GACtC,OAAOoB,KAAK7K,QAAQ6pB,EAAM3kB,MAAMuE,GAAU,GAAI,CAC5CyF,OAAQA,EACRrG,IAAKA,SAKXghB,EAAMnmB,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BwL,GAErE4rB,EAAM39B,UAAU+R,GAAU,SAASrG,EAAKnM,EAAM+M,GAC5C,OAAOoB,KAAK7K,QAAQ6pB,EAAM3kB,MAAMuE,GAAU,GAAI,CAC5CyF,OAAQA,EACRrG,IAAKA,EACLnM,KAAMA,SAKZqB,EAAOD,QAAUg9B,G,6BC3FjB,IAAIjR,EAAQ,EAAQ,GAEpB,SAASyR,IACPzwB,KAAKkxB,SAAW,GAWlBT,EAAmBn+B,UAAU6+B,IAAM,SAAaJ,EAAWC,GAKzD,OAJAhxB,KAAKkxB,SAASx+B,KAAK,CACjBq+B,UAAWA,EACXC,SAAUA,IAELhxB,KAAKkxB,SAAS9+B,OAAS,GAQhCq+B,EAAmBn+B,UAAU8+B,MAAQ,SAAe/qB,GAC9CrG,KAAKkxB,SAAS7qB,KAChBrG,KAAKkxB,SAAS7qB,GAAM,OAYxBoqB,EAAmBn+B,UAAUuG,QAAU,SAAiBE,GACtDimB,EAAMnmB,QAAQmH,KAAKkxB,UAAU,SAAwBpuB,GACzC,OAANA,GACF/J,EAAG+J,OAKT5P,EAAOD,QAAUw9B,G,6BCjDjB,IAAIzR,EAAQ,EAAQ,GAChBqS,EAAgB,EAAQ,KACxBpmB,EAAW,EAAQ,IACnBG,EAAW,EAAQ,IAKvB,SAASkmB,EAA6B1yB,GAChCA,EAAO4jB,aACT5jB,EAAO4jB,YAAY+O,mBAUvBr+B,EAAOD,QAAU,SAAyB2L,GA6BxC,OA5BA0yB,EAA6B1yB,GAG7BA,EAAOgM,QAAUhM,EAAOgM,SAAW,GAGnChM,EAAO/M,KAAOw/B,EACZzyB,EAAO/M,KACP+M,EAAOgM,QACPhM,EAAOihB,kBAITjhB,EAAOgM,QAAUoU,EAAM3kB,MACrBuE,EAAOgM,QAAQuV,QAAU,GACzBvhB,EAAOgM,QAAQhM,EAAOyF,SAAW,GACjCzF,EAAOgM,SAGToU,EAAMnmB,QACJ,CAAC,SAAU,MAAO,OAAQ,OAAQ,MAAO,QAAS,WAClD,SAA2BwL,UAClBzF,EAAOgM,QAAQvG,OAIZzF,EAAO+gB,SAAWvU,EAASuU,SAE1B/gB,GAAQoJ,MAAK,SAA6BwZ,GAUvD,OATA8P,EAA6B1yB,GAG7B4iB,EAAS3vB,KAAOw/B,EACd7P,EAAS3vB,KACT2vB,EAAS5W,QACThM,EAAOkhB,mBAGF0B,KACN,SAA4BuJ,GAc7B,OAbK9f,EAAS8f,KACZuG,EAA6B1yB,GAGzBmsB,GAAUA,EAAOvJ,WACnBuJ,EAAOvJ,SAAS3vB,KAAOw/B,EACrBtG,EAAOvJ,SAAS3vB,KAChBk5B,EAAOvJ,SAAS5W,QAChBhM,EAAOkhB,qBAKNtsB,QAAQE,OAAOq3B,Q,6BC1E1B,IAAI/L,EAAQ,EAAQ,GAUpB9rB,EAAOD,QAAU,SAAuBpB,EAAM+Y,EAAS4mB,GAMrD,OAJAxS,EAAMnmB,QAAQ24B,GAAK,SAAmBz4B,GACpClH,EAAOkH,EAAGlH,EAAM+Y,MAGX/Y,I,6BChBT,IAAImtB,EAAQ,EAAQ,GAEpB9rB,EAAOD,QAAU,SAA6B2X,EAAS6mB,GACrDzS,EAAMnmB,QAAQ+R,GAAS,SAAuBxU,EAAOlB,GAC/CA,IAASu8B,GAAkBv8B,EAAKgsB,gBAAkBuQ,EAAevQ,gBACnEtW,EAAQ6mB,GAAkBr7B,SACnBwU,EAAQ1V,S,6BCNrB,IAAIurB,EAAc,EAAQ,IAS1BvtB,EAAOD,QAAU,SAAgBQ,EAASC,EAAQ8tB,GAChD,IAAItB,EAAiBsB,EAAS5iB,OAAOshB,gBAChCA,GAAkBA,EAAesB,EAASvZ,QAC7CxU,EAAQ+tB,GAER9tB,EAAO+sB,EACL,mCAAqCe,EAASvZ,OAC9CuZ,EAAS5iB,OACT,KACA4iB,EAASrsB,QACTqsB,M,6BCTNtuB,EAAOD,QAAU,SAAsBqB,EAAOsK,EAAQikB,EAAM1tB,EAASqsB,GA4BnE,OA3BAltB,EAAMsK,OAASA,EACXikB,IACFvuB,EAAMuuB,KAAOA,GAGfvuB,EAAMa,QAAUA,EAChBb,EAAMktB,SAAWA,EACjBltB,EAAMo9B,cAAe,EAErBp9B,EAAMq9B,OAAS,WACb,MAAO,CAEL18B,QAAS+K,KAAK/K,QACdC,KAAM8K,KAAK9K,KAEX08B,YAAa5xB,KAAK4xB,YAClBC,OAAQ7xB,KAAK6xB,OAEbC,SAAU9xB,KAAK8xB,SACfC,WAAY/xB,KAAK+xB,WACjBC,aAAchyB,KAAKgyB,aACnBC,MAAOjyB,KAAKiyB,MAEZrzB,OAAQoB,KAAKpB,OACbikB,KAAM7iB,KAAK6iB,OAGRvuB,I,6BCtCT,IAAI49B,EAAgB,EAAQ,KACxBC,EAAc,EAAQ,KAW1Bj/B,EAAOD,QAAU,SAAuBguB,EAASmR,GAC/C,OAAInR,IAAYiR,EAAcE,GACrBD,EAAYlR,EAASmR,GAEvBA,I,6BCVTl/B,EAAOD,QAAU,SAAuB+K,GAItC,MAAO,gCAAgCuS,KAAKvS,K,6BCH9C9K,EAAOD,QAAU,SAAqBguB,EAASoR,GAC7C,OAAOA,EACHpR,EAAQjmB,QAAQ,OAAQ,IAAM,IAAMq3B,EAAYr3B,QAAQ,OAAQ,IAChEimB,I,6BCVN,IAAIjC,EAAQ,EAAQ,GAIhBsT,EAAoB,CACtB,MAAO,gBAAiB,iBAAkB,eAAgB,OAC1D,UAAW,OAAQ,OAAQ,oBAAqB,sBAChD,gBAAiB,WAAY,eAAgB,sBAC7C,UAAW,cAAe,cAgB5Bp/B,EAAOD,QAAU,SAAsB2X,GACrC,IACIlU,EACA+B,EACAvG,EAHAqgC,EAAS,GAKb,OAAK3nB,GAELoU,EAAMnmB,QAAQ+R,EAAQhL,MAAM,OAAO,SAAgB4yB,GAKjD,GAJAtgC,EAAIsgC,EAAK1zB,QAAQ,KACjBpI,EAAMsoB,EAAMlkB,KAAK03B,EAAKC,OAAO,EAAGvgC,IAAI+kB,cACpCxe,EAAMumB,EAAMlkB,KAAK03B,EAAKC,OAAOvgC,EAAI,IAE7BwE,EAAK,CACP,GAAI67B,EAAO77B,IAAQ47B,EAAkBxzB,QAAQpI,IAAQ,EACnD,OAGA67B,EAAO77B,GADG,eAARA,GACa67B,EAAO77B,GAAO67B,EAAO77B,GAAO,IAAIuM,OAAO,CAACxK,IAEzC85B,EAAO77B,GAAO67B,EAAO77B,GAAO,KAAO+B,EAAMA,MAKtD85B,GAnBgBA,I,6BC9BzB,IAAIvT,EAAQ,EAAQ,GAEpB9rB,EAAOD,QACL+rB,EAAM9kB,uBAIJ,WACE,IAEIw4B,EAFAC,EAAO,kBAAkBpiB,KAAKpW,UAAU6c,WACxC4b,EAAiB/+B,SAASC,cAAc,KAS5C,SAAS++B,EAAW70B,GAClB,IAAI80B,EAAO90B,EAWX,OATI20B,IAEFC,EAAe1+B,aAAa,OAAQ4+B,GACpCA,EAAOF,EAAeE,MAGxBF,EAAe1+B,aAAa,OAAQ4+B,GAG7B,CACLA,KAAMF,EAAeE,KACrBl1B,SAAUg1B,EAAeh1B,SAAWg1B,EAAeh1B,SAAS5C,QAAQ,KAAM,IAAM,GAChF6C,KAAM+0B,EAAe/0B,KACrBk1B,OAAQH,EAAeG,OAASH,EAAeG,OAAO/3B,QAAQ,MAAO,IAAM,GAC3Eg4B,KAAMJ,EAAeI,KAAOJ,EAAeI,KAAKh4B,QAAQ,KAAM,IAAM,GACpEi4B,SAAUL,EAAeK,SACzBC,KAAMN,EAAeM,KACrBC,SAAiD,MAAtCP,EAAeO,SAASz0B,OAAO,GACxCk0B,EAAeO,SACf,IAAMP,EAAeO,UAY3B,OARAT,EAAYG,EAAW17B,OAAOwG,SAASm1B,MAQhC,SAAyBM,GAC9B,IAAIb,EAAUvT,EAAMvlB,SAAS25B,GAAeP,EAAWO,GAAcA,EACrE,OAAQb,EAAO30B,WAAa80B,EAAU90B,UAClC20B,EAAO10B,OAAS60B,EAAU70B,MAhDlC,GAsDS,WACL,OAAO,I,6BC9Df,IAAImhB,EAAQ,EAAQ,GAEpB9rB,EAAOD,QACL+rB,EAAM9kB,uBAIK,CACL0S,MAAO,SAAe1X,EAAMkB,EAAOi9B,EAASpvB,EAAMuI,EAAQ8mB,GACxD,IAAIC,EAAS,GACbA,EAAO7gC,KAAKwC,EAAO,IAAMuJ,mBAAmBrI,IAExC4oB,EAAMtlB,SAAS25B,IACjBE,EAAO7gC,KAAK,WAAa,IAAI8gC,KAAKH,GAASI,eAGzCzU,EAAMvlB,SAASwK,IACjBsvB,EAAO7gC,KAAK,QAAUuR,GAGpB+a,EAAMvlB,SAAS+S,IACjB+mB,EAAO7gC,KAAK,UAAY8Z,IAGX,IAAX8mB,GACFC,EAAO7gC,KAAK,UAGdmB,SAAS0/B,OAASA,EAAOxzB,KAAK,OAGhCmiB,KAAM,SAAchtB,GAClB,IAAIoc,EAAQzd,SAAS0/B,OAAOjiB,MAAM,IAAIT,OAAO,aAAe3b,EAAO,cACnE,OAAQoc,EAAQoiB,mBAAmBpiB,EAAM,IAAM,MAGjDyG,OAAQ,SAAgB7iB,GACtB8K,KAAK4M,MAAM1X,EAAM,GAAIs+B,KAAKG,MAAQ,SAO/B,CACL/mB,MAAO,aACPsV,KAAM,WAAkB,OAAO,MAC/BnK,OAAQ,e,6BC/ChB,IAAIuL,EAAS,EAAQ,IAQrB,SAAStY,EAAY4oB,GACnB,GAAwB,mBAAbA,EACT,MAAM,IAAI34B,UAAU,gCAGtB,IAAI44B,EACJ7zB,KAAKzM,QAAU,IAAIC,SAAQ,SAAyBC,GAClDogC,EAAiBpgC,KAGnB,IAAI0X,EAAQnL,KACZ4zB,GAAS,SAAgB3+B,GACnBkW,EAAM4f,SAKV5f,EAAM4f,OAAS,IAAIzH,EAAOruB,GAC1B4+B,EAAe1oB,EAAM4f,YAOzB/f,EAAY1Y,UAAUi/B,iBAAmB,WACvC,GAAIvxB,KAAK+qB,OACP,MAAM/qB,KAAK+qB,QAQf/f,EAAY1O,OAAS,WACnB,IAAImmB,EAIJ,MAAO,CACLtX,MAJU,IAAIH,GAAY,SAAkBtV,GAC5C+sB,EAAS/sB,KAIT+sB,OAAQA,IAIZvvB,EAAOD,QAAU+X,G,6BClCjB9X,EAAOD,QAAU,SAAgB6gC,GAC/B,OAAO,SAAcC,GACnB,OAAOD,EAASvoB,MAAM,KAAMwoB,M,6BCtBhC,EAAQ,IAER1hC,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ6X,gBASR,WACE,OAAOK,GATTlY,EAAQiY,qBAYR,SAA8B8oB,GAC5BC,EAAUvhC,KAAKshC,IAXjB,IAAIrzB,EAAY,EAAQ,IAEpBuzB,EAAergC,SAAS8iB,qBAAqB,QAAQ,GACrDxL,EAAQ+oB,EAAeA,EAAaC,aAAa,qBAAuB,KACxEF,EAAY,IAWhB,EAAItzB,EAAUP,WAAW,qBAAqB,SAAUhN,GACtD+X,EAAQ/X,EAAE+X,MACV8oB,EAAUp7B,SAAQ,SAAUm7B,GAC1B,IACEA,EAAS5gC,EAAE+X,OACX,MAAO/X,GACP6D,QAAQ3C,MAAM,qCAAsClB,W,gBC/B1D,IAAIwE,EAAgB,EAAQ,IAE5B1E,EAAOD,QAAU2E,IAEX1B,OAAO8G,MAEkB,iBAAnB9G,OAAOgnB,U,6BCJnB7qB,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ6N,cAAW,EAEnB,IAAIszB,EAASC,EAAuB,EAAQ,MAExCC,EAASD,EAAuB,EAAQ,MAE5C,SAASA,EAAuBv7B,GAAO,OAAOA,GAAOA,EAAIvC,WAAauC,EAAM,CAAEiM,QAASjM,GAIvF,SAASy7B,EAAkBv/B,EAAQ2U,GAAS,IAAK,IAAIzX,EAAI,EAAGA,EAAIyX,EAAMvX,OAAQF,IAAK,CAAE,IAAIuK,EAAakN,EAAMzX,GAAIuK,EAAW1G,WAAa0G,EAAW1G,aAAc,EAAO0G,EAAWiI,cAAe,EAAU,UAAWjI,IAAYA,EAAWkI,UAAW,GAAMtS,OAAOyD,eAAed,EAAQyH,EAAW/F,IAAK+F,IAM7S,IAAI+3B,EAEO,QAyCP1zB,EAAwB,WAC1B,SAASA,EAASR,GA9CpB,IAAyBxH,EAAKpC,EAAKN,GANnC,SAAyBi6B,EAAU9V,GAAe,KAAM8V,aAAoB9V,GAAgB,MAAM,IAAItf,UAAU,qCAqD5Gw5B,CAAgBz0B,KAAMc,GA/CS1K,OAiDF,GAjDHM,EAiDJ,SAjDDoC,EAiDLkH,MAjD0C3N,OAAOyD,eAAegD,EAAKpC,EAAK,CAAEN,MAAOA,EAAOL,YAAY,EAAM2O,cAAc,EAAMC,UAAU,IAAkB7L,EAAIpC,GAAON,EAmDzJ,mBAAnBkK,EAAIo0B,aAA8B,EAAIN,EAAOrvB,SAASzE,EAAIo0B,eAE1D,EAAIJ,EAAOvvB,SAASzE,EAAIo0B,iBAAkB,EAAIJ,EAAOvvB,SAAS/E,KAAK00B,eAC5Ez9B,QAAQ4J,KAAK,oCAAsCP,EAAIo0B,aAAe,SAAW10B,KAAK00B,cAFtFz9B,QAAQ4J,KAAK,4DAKfb,KAAKM,IAAMA,EA3Df,IAAsBia,EAAaoa,EAAYC,EAoF7C,OApFoBra,EA8DPzZ,GA9DoB6zB,EA8DV,CAAC,CACtBj+B,IAAK,aACLN,MAAO,WACL,OAAOo+B,IAER,CACD99B,IAAK,YACLN,MAAO,SAAmBlB,EAAMmL,GAC9BL,KAAKM,IAAIF,UAAUlL,EAAMmL,KAE1B,CACD3J,IAAK,cACLN,MAAO,SAAqBlB,EAAMmL,GAChCL,KAAKM,IAAIC,YAAYrL,EAAMmL,KAE5B,CACD3J,IAAK,OACLN,MAAO,SAAclB,EAAMV,GACzBwL,KAAKM,IAAIE,KAAKtL,EAAMV,QAhFoD+/B,EAAkBha,EAAYjoB,UAAWqiC,GAAiBC,GAAaL,EAAkBha,EAAaqa,GAoF3K9zB,EArCmB,GAwC5B7N,EAAQ6N,SAAWA,G,gBCxGnB,MAAM6T,EAAQ,EAAQ,KAKtBzhB,EAAOD,QAJO,CAAC8K,EAAS1B,KACtB,MAAM+iB,EAAIzK,EAAM5W,EAAS1B,GACzB,OAAO+iB,EAAIA,EAAErhB,QAAU,O,gBCHzB,MAAM,WAACsQ,GAAc,EAAQ,KACvB,GAAEkD,EAAE,EAAElb,GAAM,EAAQ,IACpBqvB,EAAS,EAAQ,IAkCvBxyB,EAAOD,QAhCO,CAAC8K,EAAS1B,KAQtB,GAPKA,GAA8B,iBAAZA,IACrBA,EAAU,CACRspB,QAAStpB,EACTupB,mBAAmB,IAInB7nB,aAAmB2nB,EACrB,OAAO3nB,EAGT,GAAuB,iBAAZA,EACT,OAAO,KAGT,GAAIA,EAAQ3L,OAASic,EACnB,OAAO,KAIT,KADUhS,EAAQspB,MAAQpU,EAAGlb,EAAEwvB,OAAStU,EAAGlb,EAAEyvB,OACtCvV,KAAKxS,GACV,OAAO,KAGT,IACE,OAAO,IAAI2nB,EAAO3nB,EAAS1B,GAC3B,MAAOw4B,GACP,OAAO,Q,cChCX,MAAMC,EAAU,WACVrP,EAAqB,CAAC9qB,EAAGC,KAC7B,MAAMm6B,EAAOD,EAAQvkB,KAAK5V,GACpBq6B,EAAOF,EAAQvkB,KAAK3V,GAO1B,OALIm6B,GAAQC,IACVr6B,GAAKA,EACLC,GAAKA,GAGAD,IAAMC,EAAI,EACZm6B,IAASC,GAAS,EAClBA,IAASD,EAAQ,EAClBp6B,EAAIC,GAAK,EACT,GAKN1H,EAAOD,QAAU,CACfwyB,qBACAwP,oBAJ0B,CAACt6B,EAAGC,IAAM6qB,EAAmB7qB,EAAGD,K,gBCjB5D,MAAM+qB,EAAS,EAAQ,IAEvBxyB,EAAOD,QADO,CAAC0H,EAAGgrB,IAAU,IAAID,EAAO/qB,EAAGgrB,GAAOK,O,6BC0BjD,SAASuO,EAAkBv/B,EAAQ2U,GAAS,IAAK,IAAIzX,EAAI,EAAGA,EAAIyX,EAAMvX,OAAQF,IAAK,CAAE,IAAIuK,EAAakN,EAAMzX,GAAIuK,EAAW1G,WAAa0G,EAAW1G,aAAc,EAAO0G,EAAWiI,cAAe,EAAU,UAAWjI,IAAYA,EAAWkI,UAAW,GAAMtS,OAAOyD,eAAed,EAAQyH,EAAW/F,IAAK+F,IAzB7S,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,KAER,EAAQ,KAER,EAAQ,KAERpK,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQ8N,eAAY,EAUpB,IAAIyzB,EAEO,QAyCPzzB,EAAyB,WAC3B,SAASA,IA9CX,IAAyBjI,EAAKpC,EAAKN,GANnC,SAAyBi6B,EAAU9V,GAAe,KAAM8V,aAAoB9V,GAAgB,MAAM,IAAItf,UAAU,qCAqD5Gw5B,CAAgBz0B,KAAMe,GA/CDjI,EAiDLkH,KAjDUtJ,EAiDJ,WAjDSN,EAiDG,IAAI8+B,IAjDMx+B,KAAOoC,EAAOzG,OAAOyD,eAAegD,EAAKpC,EAAK,CAAEN,MAAOA,EAAOL,YAAY,EAAM2O,cAAc,EAAMC,UAAU,IAAkB7L,EAAIpC,GAAON,EAF3L,IAAsBmkB,EAAaoa,EAAYC,EAoF7C,OApFoBra,EAsDPxZ,GAtDoB4zB,EAsDT,CAAC,CACvBj+B,IAAK,aACLN,MAAO,WACL,OAAOo+B,IAER,CACD99B,IAAK,YACLN,MAAO,SAAmBlB,EAAMmL,GAC9BL,KAAKkxB,SAAShuB,IAAIhO,GAAO8K,KAAKkxB,SAASl7B,IAAId,IAAS,IAAI+N,OAAO5C,MAEhE,CACD3J,IAAK,cACLN,MAAO,SAAqBlB,EAAMmL,GAChCL,KAAKkxB,SAAShuB,IAAIhO,GAAO8K,KAAKkxB,SAASl7B,IAAId,IAAS,IAAIujB,QAAO,SAAU3V,GACvE,OAAOA,GAAKzC,QAGf,CACD3J,IAAK,OACLN,MAAO,SAAclB,EAAMV,IACxBwL,KAAKkxB,SAASl7B,IAAId,IAAS,IAAI2D,SAAQ,SAAUiK,GAChD,IACEA,EAAEtO,GACF,MAAOpB,GACP6D,QAAQ3C,MAAM,kCAAmClB,YA9EmBmhC,EAAkBha,EAAYjoB,UAAWqiC,GAAiBC,GAAaL,EAAkBha,EAAaqa,GAoF3K7zB,EArCoB,GAwC7B9N,EAAQ8N,UAAYA,G,gBCpHpB,IAAIyM,EAAkB,EAAQ,GAC1B/W,EAAS,EAAQ,IACjBoF,EAAuB,EAAQ,GAE/Bs5B,EAAc3nB,EAAgB,eAC9B+gB,EAAiBlb,MAAM/gB,UAIQ8C,MAA/Bm5B,EAAe4G,IACjBt5B,EAAqBJ,EAAE8yB,EAAgB4G,EAAa,CAClDzwB,cAAc,EACdtO,MAAOK,EAAO,QAKlBvD,EAAOD,QAAU,SAAUyD,GACzB63B,EAAe4G,GAAaz+B,IAAO,I,gBClBrC,IAAIyE,EAAQ,EAAQ,GAEpBjI,EAAOD,SAAWkI,GAAM,WACtB,SAASkS,KAET,OADAA,EAAE/a,UAAU4G,YAAc,KACnB7G,OAAOoc,eAAe,IAAIpB,KAASA,EAAE/a,c,gBCL9C,IAAIqG,EAAW,EAAQ,GAEvBzF,EAAOD,QAAU,SAAUgF,GACzB,IAAKU,EAASV,IAAc,OAAPA,EACnB,MAAMgD,UAAU,aAAeC,OAAOjD,GAAM,mBAC5C,OAAOA,I,6BCJX,IAAIm9B,EAAa,EAAQ,KACrBC,EAAmB,EAAQ,KAI/BniC,EAAOD,QAAUmiC,EAAW,OAAO,SAAUE,GAC3C,OAAO,WAAiB,OAAOA,EAAKt1B,KAAMxF,UAAUpI,OAASoI,UAAU,QAAKpF,MAC3EigC,I,6BCPH,IAAI9mB,EAAI,EAAQ,IACZ/W,EAAS,EAAQ,GACjB4E,EAAW,EAAQ,IACnBH,EAAW,EAAQ,IACnBs5B,EAAyB,EAAQ,IACjCC,EAAU,EAAQ,IAClBC,EAAa,EAAQ,IACrB98B,EAAW,EAAQ,GACnBwC,EAAQ,EAAQ,GAChBu6B,EAA8B,EAAQ,KACtC/mB,EAAiB,EAAQ,IACzBgnB,EAAoB,EAAQ,KAEhCziC,EAAOD,QAAU,SAAU87B,EAAkB6G,EAASzV,GACpD,IAAIxE,GAA8C,IAArCoT,EAAiBjwB,QAAQ,OAClC+2B,GAAgD,IAAtC9G,EAAiBjwB,QAAQ,QACnCg3B,EAAQna,EAAS,MAAQ,MACzBoa,EAAoBv+B,EAAOu3B,GAC3BiH,EAAkBD,GAAqBA,EAAkBzjC,UACzDioB,EAAcwb,EACdE,EAAW,GAEXC,EAAY,SAAUtmB,GACxB,IAAI6f,EAAeuG,EAAgBpmB,GACnC3T,EAAS+5B,EAAiBpmB,EACjB,OAAPA,EAAe,SAAaxZ,GAE1B,OADAq5B,EAAaj9B,KAAKwN,KAAgB,IAAV5J,EAAc,EAAIA,GACnC4J,MACE,UAAP4P,EAAkB,SAAUlZ,GAC9B,QAAOm/B,IAAYl9B,EAASjC,KAAe+4B,EAAaj9B,KAAKwN,KAAc,IAARtJ,EAAY,EAAIA,IAC1E,OAAPkZ,EAAe,SAAalZ,GAC9B,OAAOm/B,IAAYl9B,EAASjC,QAAOtB,EAAYq6B,EAAaj9B,KAAKwN,KAAc,IAARtJ,EAAY,EAAIA,IAC9E,OAAPkZ,EAAe,SAAalZ,GAC9B,QAAOm/B,IAAYl9B,EAASjC,KAAe+4B,EAAaj9B,KAAKwN,KAAc,IAARtJ,EAAY,EAAIA,IACjF,SAAaA,EAAKN,GAEpB,OADAq5B,EAAaj9B,KAAKwN,KAAc,IAARtJ,EAAY,EAAIA,EAAKN,GACtC4J,QAMb,GAAI5D,EAAS2yB,EAA8C,mBAArBgH,KAAqCF,GAAWG,EAAgBn9B,UAAYsC,GAAM,YACtH,IAAI46B,GAAoB3lB,UAAUd,YAGlCiL,EAAc4F,EAAOgW,eAAeP,EAAS7G,EAAkBpT,EAAQma,GACvEP,EAAuB3N,UAAW,OAC7B,GAAIxrB,EAAS2yB,GAAkB,GAAO,CAC3C,IAAIsB,EAAW,IAAI9V,EAEf6b,EAAiB/F,EAASyF,GAAOD,EAAU,IAAM,EAAG,IAAMxF,EAE1DgG,EAAuBl7B,GAAM,WAAck1B,EAAS34B,IAAI,MAGxD4+B,EAAmBZ,GAA4B,SAAU3Y,GAAY,IAAIgZ,EAAkBhZ,MAE3FwZ,GAAcV,GAAW16B,GAAM,WAIjC,IAFA,IAAIq7B,EAAY,IAAIT,EAChBlkB,EAAQ,EACLA,KAAS2kB,EAAUV,GAAOjkB,EAAOA,GACxC,OAAQ2kB,EAAU9+B,KAAK,MAGpB4+B,KACH/b,EAAcqb,GAAQ,SAAUlI,EAAO3Q,GACrC0Y,EAAW/H,EAAOnT,EAAawU,GAC/B,IAAIzjB,EAAOqqB,EAAkB,IAAII,EAAqBrI,EAAOnT,GAE7D,OADgBnlB,MAAZ2nB,GAAuByY,EAAQzY,EAAUzR,EAAKwqB,GAAQxqB,EAAMqQ,GACzDrQ,MAEGhZ,UAAY0jC,EACxBA,EAAgB98B,YAAcqhB,IAG5B8b,GAAwBE,KAC1BL,EAAU,UACVA,EAAU,OACVva,GAAUua,EAAU,SAGlBK,GAAcH,IAAgBF,EAAUJ,GAGxCD,GAAWG,EAAgBS,cAAcT,EAAgBS,MAU/D,OAPAR,EAASlH,GAAoBxU,EAC7BhM,EAAE,CAAE/W,QAAQ,EAAMuF,OAAQwd,GAAewb,GAAqBE,GAE9DtnB,EAAe4L,EAAawU,GAEvB8G,GAAS1V,EAAOuW,UAAUnc,EAAawU,EAAkBpT,GAEvDpB,I,gBCjGT,IAAIpf,EAAQ,EAAQ,GAEpBjI,EAAOD,SAAWkI,GAAM,WACtB,OAAO9I,OAAOk1B,aAAal1B,OAAOskC,kBAAkB,S,6BCFtD,IAAI7gC,EAAiB,EAAQ,GAAuC2F,EAChEhF,EAAS,EAAQ,IACjBmgC,EAAc,EAAQ,KACtBjgC,EAAO,EAAQ,IACf8+B,EAAa,EAAQ,IACrBD,EAAU,EAAQ,IAClBlc,EAAiB,EAAQ,IACzBud,EAAa,EAAQ,KACrBz7B,EAAc,EAAQ,GACtBysB,EAAU,EAAQ,IAAkCA,QACpDtoB,EAAsB,EAAQ,IAE9Bga,EAAmBha,EAAoB2D,IACvC4zB,EAAyBv3B,EAAoBuE,UAEjD5Q,EAAOD,QAAU,CACfkjC,eAAgB,SAAUP,EAAS7G,EAAkBpT,EAAQma,GAC3D,IAAIrb,EAAImb,GAAQ,SAAUtqB,EAAMyR,GAC9B0Y,EAAWnqB,EAAMmP,EAAGsU,GACpBxV,EAAiBjO,EAAM,CACrBxW,KAAMi6B,EACNld,MAAOpb,EAAO,MACdonB,WAAOzoB,EACP2hC,UAAM3hC,EACN6oB,KAAM,IAEH7iB,IAAakQ,EAAK2S,KAAO,GACd7oB,MAAZ2nB,GAAuByY,EAAQzY,EAAUzR,EAAKwqB,GAAQxqB,EAAMqQ,MAG9Dnc,EAAmBs3B,EAAuB/H,GAE1CiI,EAAS,SAAU1rB,EAAM5U,EAAKN,GAChC,IAEI6gC,EAAUplB,EAFV7N,EAAQxE,EAAiB8L,GACzB4rB,EAAQC,EAAS7rB,EAAM5U,GAqBzB,OAlBEwgC,EACFA,EAAM9gC,MAAQA,GAGd4N,EAAM+yB,KAAOG,EAAQ,CACnBrlB,MAAOA,EAAQgW,EAAQnxB,GAAK,GAC5BA,IAAKA,EACLN,MAAOA,EACP6gC,SAAUA,EAAWjzB,EAAM+yB,KAC3BznB,UAAMla,EACNgiC,SAAS,GAENpzB,EAAM6Z,QAAO7Z,EAAM6Z,MAAQqZ,GAC5BD,IAAUA,EAAS3nB,KAAO4nB,GAC1B97B,EAAa4I,EAAMia,OAClB3S,EAAK2S,OAEI,MAAVpM,IAAe7N,EAAM6N,MAAMA,GAASqlB,IACjC5rB,GAGP6rB,EAAW,SAAU7rB,EAAM5U,GAC7B,IAGIwgC,EAHAlzB,EAAQxE,EAAiB8L,GAEzBuG,EAAQgW,EAAQnxB,GAEpB,GAAc,MAAVmb,EAAe,OAAO7N,EAAM6N,MAAMA,GAEtC,IAAKqlB,EAAQlzB,EAAM6Z,MAAOqZ,EAAOA,EAAQA,EAAM5nB,KAC7C,GAAI4nB,EAAMxgC,KAAOA,EAAK,OAAOwgC,GAiFjC,OA7EAN,EAAYnc,EAAEnoB,UAAW,CAGvBmkC,MAAO,WAKL,IAJA,IACIzyB,EAAQxE,EADDQ,MAEPnO,EAAOmS,EAAM6N,MACbqlB,EAAQlzB,EAAM6Z,MACXqZ,GACLA,EAAME,SAAU,EACZF,EAAMD,WAAUC,EAAMD,SAAWC,EAAMD,SAAS3nB,UAAOla,UACpDvD,EAAKqlC,EAAMrlB,OAClBqlB,EAAQA,EAAM5nB,KAEhBtL,EAAM6Z,MAAQ7Z,EAAM+yB,UAAO3hC,EACvBgG,EAAa4I,EAAMia,KAAO,EAXnBje,KAYDie,KAAO,GAInB,OAAU,SAAUvnB,GAClB,IACIsN,EAAQxE,EADDQ,MAEPk3B,EAAQC,EAFDn3B,KAEgBtJ,GAC3B,GAAIwgC,EAAO,CACT,IAAI5nB,EAAO4nB,EAAM5nB,KACb+nB,EAAOH,EAAMD,gBACVjzB,EAAM6N,MAAMqlB,EAAMrlB,OACzBqlB,EAAME,SAAU,EACZC,IAAMA,EAAK/nB,KAAOA,GAClBA,IAAMA,EAAK2nB,SAAWI,GACtBrzB,EAAM6Z,OAASqZ,IAAOlzB,EAAM6Z,MAAQvO,GACpCtL,EAAM+yB,MAAQG,IAAOlzB,EAAM+yB,KAAOM,GAClCj8B,EAAa4I,EAAMia,OAZdje,KAaCie,OACV,QAASiZ,GAIbr+B,QAAS,SAAiBqjB,GAIxB,IAHA,IAEIgb,EAFAlzB,EAAQxE,EAAiBQ,MACzBoc,EAAgBzlB,EAAKulB,EAAY1hB,UAAUpI,OAAS,EAAIoI,UAAU,QAAKpF,EAAW,GAE/E8hC,EAAQA,EAAQA,EAAM5nB,KAAOtL,EAAM6Z,OAGxC,IAFAzB,EAAc8a,EAAM9gC,MAAO8gC,EAAMxgC,IAAKsJ,MAE/Bk3B,GAASA,EAAME,SAASF,EAAQA,EAAMD,UAKjDv/B,IAAK,SAAahB,GAChB,QAASygC,EAASn3B,KAAMtJ,MAI5BkgC,EAAYnc,EAAEnoB,UAAWqpB,EAAS,CAEhC3lB,IAAK,SAAaU,GAChB,IAAIwgC,EAAQC,EAASn3B,KAAMtJ,GAC3B,OAAOwgC,GAASA,EAAM9gC,OAGxB8M,IAAK,SAAaxM,EAAKN,GACrB,OAAO4gC,EAAOh3B,KAAc,IAARtJ,EAAY,EAAIA,EAAKN,KAEzC,CAEFmM,IAAK,SAAanM,GAChB,OAAO4gC,EAAOh3B,KAAM5J,EAAkB,IAAVA,EAAc,EAAIA,EAAOA,MAGrDgF,GAAatF,EAAe2kB,EAAEnoB,UAAW,OAAQ,CACnD0D,IAAK,WACH,OAAOwJ,EAAiBQ,MAAMie,QAG3BxD,GAETic,UAAW,SAAUjc,EAAGsU,EAAkBpT,GACxC,IAAI2b,EAAgBvI,EAAmB,YACnCwI,EAA6BT,EAAuB/H,GACpDyI,EAA2BV,EAAuBQ,GAGtDhe,EAAemB,EAAGsU,GAAkB,SAAUvV,EAAUC,GACtDF,EAAiBvZ,KAAM,CACrBlL,KAAMwiC,EACNtiC,OAAQwkB,EACRxV,MAAOuzB,EAA2B/d,GAClCC,KAAMA,EACNsd,UAAM3hC,OAEP,WAKD,IAJA,IAAI4O,EAAQwzB,EAAyBx3B,MACjCyZ,EAAOzV,EAAMyV,KACbyd,EAAQlzB,EAAM+yB,KAEXG,GAASA,EAAME,SAASF,EAAQA,EAAMD,SAE7C,OAAKjzB,EAAMhP,SAAYgP,EAAM+yB,KAAOG,EAAQA,EAAQA,EAAM5nB,KAAOtL,EAAMA,MAAM6Z,OAMjE,QAARpE,EAAuB,CAAErjB,MAAO8gC,EAAMxgC,IAAKgjB,MAAM,GACzC,UAARD,EAAyB,CAAErjB,MAAO8gC,EAAM9gC,MAAOsjB,MAAM,GAClD,CAAEtjB,MAAO,CAAC8gC,EAAMxgC,IAAKwgC,EAAM9gC,OAAQsjB,MAAM,IAN9C1V,EAAMhP,YAASI,EACR,CAAEgB,WAAOhB,EAAWskB,MAAM,MAMlCiC,EAAS,UAAY,UAAWA,GAAQ,GAG3Ckb,EAAW9H,M,6BCtLf,IAAI5V,EAAwB,EAAQ,IAChCtL,EAAU,EAAQ,IAItB3a,EAAOD,QAAUkmB,EAAwB,GAAG5gB,SAAW,WACrD,MAAO,WAAasV,EAAQ7N,MAAQ,M,gBCPtC,IAAIxI,EAAS,EAAQ,GACjBg1B,EAAe,EAAQ,IACvB3zB,EAAU,EAAQ,IAClBmD,EAA8B,EAAQ,GAE1C,IAAK,IAAI2wB,KAAmBH,EAAc,CACxC,IAAII,EAAap1B,EAAOm1B,GACpBE,EAAsBD,GAAcA,EAAWt6B,UAEnD,GAAIu6B,GAAuBA,EAAoBh0B,UAAYA,EAAS,IAClEmD,EAA4B6wB,EAAqB,UAAWh0B,GAC5D,MAAOvE,GACPu4B,EAAoBh0B,QAAUA,K,6BCVlCxG,OAAOyD,eAAe7C,EAAS,aAAc,CAC3CmD,OAAO,IAETnD,EAAQs6B,eAQR,WACE,GAAY,OAAR51B,EACF,OAAO,KAGT,MAAO,CACLA,IAAKA,EACL+P,YAAaA,EACb+vB,QAASA,IAdb,IAAIC,EAAa7jC,SAAS8iB,qBAAqB,QAAQ,GACnDhf,EAAM+/B,EAAaA,EAAWvD,aAAa,aAAe,KAC1DwD,EAAqB9jC,SAAS8iB,qBAAqB,QAAQ,GAC3DjP,EAAciwB,EAAqBA,EAAmBxD,aAAa,yBAA2B,KAC9FsD,EAAwB,oBAAP94B,IAA6BA,GAAGi5B,e,6BCTrD,IAAIz8B,EAAQ,EAAQ,GAIpB,SAAS08B,EAAGvgC,EAAGmE,GACb,OAAOoV,OAAOvZ,EAAGmE,GAGnBxI,EAAQie,cAAgB/V,GAAM,WAE5B,IAAIoW,EAAKsmB,EAAG,IAAK,KAEjB,OADAtmB,EAAGN,UAAY,EACW,MAAnBM,EAAGha,KAAK,WAGjBtE,EAAQke,aAAehW,GAAM,WAE3B,IAAIoW,EAAKsmB,EAAG,KAAM,MAElB,OADAtmB,EAAGN,UAAY,EACU,MAAlBM,EAAGha,KAAK,W,6BCrBjB,mBAAmX,G,iBCEnXtE,EADkC,EAAQ,GAChC6kC,EAA4B,IAE9BplC,KAAK,CAACQ,EAAOhB,EAAI,wtDAAytD,KAElvDgB,EAAOD,QAAUA,G,6BCNjB,kHA4BM8kC,EAAgB,SAASjE,IAE1BjgC,SAASmkC,YAAsC,aAAxBnkC,SAASutB,WAAoD,YAAxBvtB,SAASutB,YADxD/rB,WAAWy+B,EAAU,GAIrCjgC,SAASwuB,iBAAiB,mBAAoByR,IAI1CmE,EAAW76B,sBAAY,cACvB86B,EAAc,SAACC,GAA+B,IAArBC,EAAqB,wDACnD,OAAIA,EACH,UAAUH,EAAV,mBAA6BE,GAE9B,UAAUF,EAAV,YAAsBE,IAGjBE,EAAmB,CAAC,YAAa,UAAW,YAAa,UAAW,eAAgB,cAAe,OAAQ,OAAQ,iBAAkB,cAAe,eAAgB,eAAgB,WAAY,WAAY,kBAAmB,eAAgB,UAAW,WAAY,QAAS,SAAU,UAAW,cAAe,SAAU,cAAe,UAAW,UAAW,mBAAoB,OAAQ,YAAa,WAAY,mBAAoB,UAAW,oBAAqB,gBAAiB,UAAW,WAAY,kBAAmB,SAAU,QAAS,WAAY,SAAU,aAAc,WAAY,SAAU,SAAU,cAAe,aAAc,WAAY,QAAS,iBAAkB,aAAc,gBAAiB,kBAAmB,OAAQ,iBAAkB,gBAAiB,SAAU,UAAW,cAAe,eAAgB,iBAAkB,cAAe,sBAAuB,SAAU,OAAQ,QAAS,WAAY,aAAc,WAAY,QAAS,aAAc,UAAW,aAAc,UAAW,OAAQ,UAAW,aAAc,aAAc,WAAY,eAAgB,UAAW,OAAQ,QAAS,QAAS,cAAe,UAAW,eAAgB,UAAW,SAAU,WAAY,SAAU,UAAW,WAAY,YAAa,SAAU,WAAY,WAAY,UAAW,SAAU,eAAgB,cAAe,OAAQ,YAAa,SAAU,SAAU,iBAAkB,gBAAiB,aAAc,eAAgB,OAAQ,YACv5CC,EAAqB,WAC1B,OAAOD,EAAiBngC,KAAKqM,MAAMrM,KAAK+V,SAAWoqB,EAAiBjmC,W,gCC/CrE,4CASAmmC,KAAoBrjB,KAAKvW,GAAG65B,cAC5BC,IAA0B95B,GAAGpB,OAAO,OAAQ,OAE5Cw6B,aAAc,WACb,IAAMhvB,EAAMlV,SAASiV,eAAe,OAAO1S,MACrCmQ,EAAW1S,SAASiV,eAAe,YAAY1S,MAC/CyS,EAAehV,SAASiV,eAAe,gBAAkBjV,SAASiV,eAAe,gBAAgB1S,MAAQ,KAE/G,GAAKyS,EAIL,GAAY,KAARE,EACHpK,GAAG8J,QAAQC,SAAS,qBAAsBuB,KAC1CtB,cACAvB,kBACM,CAEN,IAAMsxB,EAAY7kC,SAASC,cAAc,OACzC4kC,EAAUryB,GAAK,aACFxS,SAASiV,eAAe,eAChCvT,YAAYmjC,IAEwB,IAArCv4B,IAAcrB,QAAQyH,IACzB/S,QAAQgC,IAAI,CACX,6BACA,0EACEwS,MAAK,SAACuB,GACR,IAAME,EAAMF,EAAQ,GAAGxE,QACvB0E,EAAInX,UAAU+D,EAAIc,OAAOd,EACzBoT,EAAInX,UAAU6V,IAAMhR,OAAOgR,IAC3B,IAAMuB,EAASH,EAAQ,GAAGxE,QACf,IAAI0E,EAAI,CAClBnI,OAAQ,SAAAwB,GAAC,OAAIA,EAAE4G,EAAQ,CACtBC,MAAO,CACNE,QAAQ,EACRvD,WAAYuC,EACZD,KAAMrC,QAINwD,OAAOlW,SAASiV,eAAe,mBAMtCX,IAAIwwB,KAAO,CACVC,qBAAsBrkB,oBAAU,OAAQ","file":"public.js","sourcesContent":[" \t// install a JSONP callback for chunk loading\n \tfunction webpackJsonpCallback(data) {\n \t\tvar chunkIds = data[0];\n \t\tvar moreModules = data[1];\n\n\n \t\t// add \"moreModules\" to the modules object,\n \t\t// then flag all \"chunkIds\" as loaded and fire callback\n \t\tvar moduleId, chunkId, i = 0, resolves = [];\n \t\tfor(;i < chunkIds.length; i++) {\n \t\t\tchunkId = chunkIds[i];\n \t\t\tif(Object.prototype.hasOwnProperty.call(installedChunks, chunkId) && installedChunks[chunkId]) {\n \t\t\t\tresolves.push(installedChunks[chunkId][0]);\n \t\t\t}\n \t\t\tinstalledChunks[chunkId] = 0;\n \t\t}\n \t\tfor(moduleId in moreModules) {\n \t\t\tif(Object.prototype.hasOwnProperty.call(moreModules, moduleId)) {\n \t\t\t\tmodules[moduleId] = moreModules[moduleId];\n \t\t\t}\n \t\t}\n \t\tif(parentJsonpFunction) parentJsonpFunction(data);\n\n \t\twhile(resolves.length) {\n \t\t\tresolves.shift()();\n \t\t}\n\n \t};\n\n\n \t// The module cache\n \tvar installedModules = {};\n\n \t// object to store loaded and loading chunks\n \t// undefined = chunk not loaded, null = chunk preloaded/prefetched\n \t// Promise = chunk loading, 0 = chunk loaded\n \tvar installedChunks = {\n \t\t195: 0\n \t};\n\n\n\n \t// script path function\n \tfunction jsonpScriptSrc(chunkId) {\n \t\treturn __webpack_require__.p + \"\" + ({\"0\":\"vendor\",\"1\":\"vendors~editor-collab~editor-guest~editor-rich~files-modal\",\"2\":\"highlight/1c\",\"3\":\"highlight/abnf\",\"4\":\"highlight/accesslog\",\"5\":\"highlight/actionscript\",\"6\":\"highlight/ada\",\"7\":\"highlight/angelscript\",\"8\":\"highlight/apache\",\"9\":\"highlight/applescript\",\"10\":\"highlight/arcade\",\"11\":\"highlight/arduino\",\"12\":\"highlight/armasm\",\"13\":\"highlight/asciidoc\",\"14\":\"highlight/aspectj\",\"15\":\"highlight/autohotkey\",\"16\":\"highlight/autoit\",\"17\":\"highlight/avrasm\",\"18\":\"highlight/awk\",\"19\":\"highlight/axapta\",\"20\":\"highlight/bash\",\"21\":\"highlight/basic\",\"22\":\"highlight/bnf\",\"23\":\"highlight/brainfuck\",\"24\":\"highlight/cal\",\"25\":\"highlight/capnproto\",\"26\":\"highlight/ceylon\",\"27\":\"highlight/clean\",\"28\":\"highlight/clojure\",\"29\":\"highlight/clojure-repl\",\"30\":\"highlight/cmake\",\"31\":\"highlight/coffeescript\",\"32\":\"highlight/coq\",\"33\":\"highlight/cos\",\"34\":\"highlight/cpp\",\"35\":\"highlight/crmsh\",\"36\":\"highlight/crystal\",\"37\":\"highlight/cs\",\"38\":\"highlight/csp\",\"39\":\"highlight/css\",\"40\":\"highlight/d\",\"41\":\"highlight/dart\",\"42\":\"highlight/delphi\",\"43\":\"highlight/diff\",\"44\":\"highlight/django\",\"45\":\"highlight/dns\",\"46\":\"highlight/dockerfile\",\"47\":\"highlight/dos\",\"48\":\"highlight/dsconfig\",\"49\":\"highlight/dts\",\"50\":\"highlight/dust\",\"51\":\"highlight/ebnf\",\"52\":\"highlight/elixir\",\"53\":\"highlight/elm\",\"54\":\"highlight/erb\",\"55\":\"highlight/erlang\",\"56\":\"highlight/erlang-repl\",\"57\":\"highlight/excel\",\"58\":\"highlight/fix\",\"59\":\"highlight/flix\",\"60\":\"highlight/fortran\",\"61\":\"highlight/fsharp\",\"62\":\"highlight/gams\",\"63\":\"highlight/gauss\",\"64\":\"highlight/gcode\",\"65\":\"highlight/gherkin\",\"66\":\"highlight/glsl\",\"67\":\"highlight/gml\",\"68\":\"highlight/go\",\"69\":\"highlight/golo\",\"70\":\"highlight/gradle\",\"71\":\"highlight/groovy\",\"72\":\"highlight/haml\",\"73\":\"highlight/handlebars\",\"74\":\"highlight/haskell\",\"75\":\"highlight/haxe\",\"76\":\"highlight/hsp\",\"77\":\"highlight/htmlbars\",\"78\":\"highlight/http\",\"79\":\"highlight/hy\",\"80\":\"highlight/inform7\",\"81\":\"highlight/ini\",\"82\":\"highlight/irpf90\",\"83\":\"highlight/isbl\",\"84\":\"highlight/java\",\"85\":\"highlight/javascript\",\"86\":\"highlight/jboss-cli\",\"87\":\"highlight/json\",\"88\":\"highlight/julia\",\"89\":\"highlight/julia-repl\",\"90\":\"highlight/kotlin\",\"91\":\"highlight/lasso\",\"92\":\"highlight/ldif\",\"93\":\"highlight/leaf\",\"94\":\"highlight/less\",\"95\":\"highlight/lisp\",\"96\":\"highlight/livecodeserver\",\"97\":\"highlight/livescript\",\"98\":\"highlight/llvm\",\"99\":\"highlight/lsl\",\"100\":\"highlight/lua\",\"101\":\"highlight/makefile\",\"102\":\"highlight/markdown\",\"103\":\"highlight/mathematica\",\"104\":\"highlight/matlab\",\"105\":\"highlight/maxima\",\"106\":\"highlight/mel\",\"107\":\"highlight/mercury\",\"108\":\"highlight/mipsasm\",\"109\":\"highlight/mizar\",\"110\":\"highlight/mojolicious\",\"111\":\"highlight/monkey\",\"112\":\"highlight/moonscript\",\"113\":\"highlight/n1ql\",\"114\":\"highlight/nginx\",\"115\":\"highlight/nimrod\",\"116\":\"highlight/nix\",\"117\":\"highlight/nsis\",\"118\":\"highlight/objectivec\",\"119\":\"highlight/ocaml\",\"120\":\"highlight/openscad\",\"121\":\"highlight/oxygene\",\"122\":\"highlight/parser3\",\"123\":\"highlight/perl\",\"124\":\"highlight/pf\",\"125\":\"highlight/pgsql\",\"126\":\"highlight/php\",\"127\":\"highlight/plaintext\",\"128\":\"highlight/pony\",\"129\":\"highlight/powershell\",\"130\":\"highlight/processing\",\"131\":\"highlight/profile\",\"132\":\"highlight/prolog\",\"133\":\"highlight/properties\",\"134\":\"highlight/protobuf\",\"135\":\"highlight/puppet\",\"136\":\"highlight/purebasic\",\"137\":\"highlight/python\",\"138\":\"highlight/q\",\"139\":\"highlight/qml\",\"140\":\"highlight/r\",\"141\":\"highlight/reasonml\",\"142\":\"highlight/rib\",\"143\":\"highlight/roboconf\",\"144\":\"highlight/routeros\",\"145\":\"highlight/rsl\",\"146\":\"highlight/ruby\",\"147\":\"highlight/ruleslanguage\",\"148\":\"highlight/rust\",\"149\":\"highlight/sas\",\"150\":\"highlight/scala\",\"151\":\"highlight/scheme\",\"152\":\"highlight/scilab\",\"153\":\"highlight/scss\",\"154\":\"highlight/shell\",\"155\":\"highlight/smali\",\"156\":\"highlight/smalltalk\",\"157\":\"highlight/sml\",\"158\":\"highlight/sqf\",\"159\":\"highlight/sql\",\"160\":\"highlight/stan\",\"161\":\"highlight/stata\",\"162\":\"highlight/step21\",\"163\":\"highlight/stylus\",\"164\":\"highlight/subunit\",\"165\":\"highlight/swift\",\"166\":\"highlight/taggerscript\",\"167\":\"highlight/tap\",\"168\":\"highlight/tcl\",\"169\":\"highlight/tex\",\"170\":\"highlight/thrift\",\"171\":\"highlight/tp\",\"172\":\"highlight/twig\",\"173\":\"highlight/typescript\",\"174\":\"highlight/vala\",\"175\":\"highlight/vbnet\",\"176\":\"highlight/vbscript\",\"177\":\"highlight/vbscript-html\",\"178\":\"highlight/verilog\",\"179\":\"highlight/vhdl\",\"180\":\"highlight/vim\",\"181\":\"highlight/x86asm\",\"182\":\"highlight/xl\",\"183\":\"highlight/xml\",\"184\":\"highlight/xquery\",\"185\":\"highlight/yaml\",\"186\":\"highlight/zephir\",\"187\":\"vendors~editor-collab~editor-guest\",\"188\":\"vendors~editor~files-modal\",\"189\":\"editor\",\"190\":\"editor-collab\",\"191\":\"editor-guest\",\"192\":\"editor-rich\",\"194\":\"files-modal\",\"197\":\"vendors~editor\",\"198\":\"vendors~editor-rich\",\"199\":\"vendors~files-modal\"}[chunkId]||chunkId) + \".js?v=\" + {\"0\":\"b6a87e35c196cb18a193\",\"1\":\"feb5918c44017d3ba256\",\"2\":\"071b3beac1c09e6dc1f3\",\"3\":\"02b6bf25401bff70e1ce\",\"4\":\"06349a10fcba1fef2b08\",\"5\":\"6f038c3b24b5205c8575\",\"6\":\"facf339fc268c8300b58\",\"7\":\"af2f947766977426f44f\",\"8\":\"3a8af9aa690812789651\",\"9\":\"3fa6a2dd879cbdba71ff\",\"10\":\"24c8182859e17c1c1fca\",\"11\":\"3cc7f34e3359919e423e\",\"12\":\"c0eb0a244d0cb39704e6\",\"13\":\"ca2812e6dfd669b9ed9e\",\"14\":\"80494a33a16258364dd1\",\"15\":\"eb67f56dc4c79e97cfb0\",\"16\":\"b1c2c197768faebf2efc\",\"17\":\"03440adea0408be2ab13\",\"18\":\"f14a658a37d21c4300ae\",\"19\":\"06a1e9a27797e6036fb9\",\"20\":\"63e8c97d235cf8f2ef15\",\"21\":\"05e2ec59a02550149906\",\"22\":\"4d6660fa6ec96528db4a\",\"23\":\"bb63714d6fef384603dd\",\"24\":\"6f4fd50b6a017394d4ef\",\"25\":\"407e150d0cddfc330a11\",\"26\":\"ce3ee1e47a64b4c9d026\",\"27\":\"2d664db16483c452e781\",\"28\":\"a3a920317593707a929f\",\"29\":\"1202ed203adda685cb20\",\"30\":\"09e7844c496dacc56656\",\"31\":\"3cdfa9538f890fa46bb1\",\"32\":\"ebfcf67e77ab98acbcd1\",\"33\":\"b8d0058fb8891ff39f37\",\"34\":\"30d813319f34a0cddfeb\",\"35\":\"1f15edbd9461b481ce4c\",\"36\":\"897ee94b0f1b39f3d24a\",\"37\":\"f492adc31805a0412549\",\"38\":\"fe3bd1b963f4b889cf8e\",\"39\":\"239e072113e160b67e91\",\"40\":\"f39807cf7bdaf8526a15\",\"41\":\"9b1df6b3d1dd1115e1e8\",\"42\":\"09b2d711deb25f958472\",\"43\":\"0a4af5e0ac26e74fb458\",\"44\":\"eca9bda3374febe1408f\",\"45\":\"bfd30fc702acf9b5b234\",\"46\":\"459bafbfedeedec393f2\",\"47\":\"e8fe346d7dd1651dc9fc\",\"48\":\"a7a41eca2b432ecb456c\",\"49\":\"78f8dd3917437cc93e18\",\"50\":\"ee32b8fb945aa398bec1\",\"51\":\"bcbee3a03044a8950774\",\"52\":\"7b450fbefd2e3706ec70\",\"53\":\"2926d9f5b9996ea173dc\",\"54\":\"528937053c9973030a88\",\"55\":\"2b7f25a3f54ef89fa6e3\",\"56\":\"9260d01a166e0b5a57a6\",\"57\":\"be8879bc01887c64e79b\",\"58\":\"996d2460737c6c1b0e81\",\"59\":\"9a35d6dc0ded8bce2582\",\"60\":\"a4301b687567a5797eae\",\"61\":\"fa47cb385b5375960fea\",\"62\":\"9a0bf8a54e622f03528d\",\"63\":\"3cee1c94793426c6fe0c\",\"64\":\"10f4e9c5ca4207cf2739\",\"65\":\"3985cce434296c7eb5c2\",\"66\":\"f199328703a109721e77\",\"67\":\"3e195b8b02db26ba5c7a\",\"68\":\"8ba3db8152267cfaeb1b\",\"69\":\"2dbea908dd7c4a0e74a1\",\"70\":\"c4208dc434db3ef75467\",\"71\":\"1bea4a55bf6330dd337c\",\"72\":\"5403fd41ba6dadfb0069\",\"73\":\"c6726e29b97cafb89aa2\",\"74\":\"b50375f6d30f874d112b\",\"75\":\"18918d37d2462dedbe24\",\"76\":\"104df33b1e29b92c7e05\",\"77\":\"230f0f7e75220878d753\",\"78\":\"3620b0f6777c0d97d088\",\"79\":\"e5519677d9528132d1b2\",\"80\":\"6a6088db96341850bc6c\",\"81\":\"5e0594b511ddf2286b2b\",\"82\":\"a5f154fc0aec26d1af48\",\"83\":\"4fea3cfbb47bcfc112f0\",\"84\":\"6365dabe44f0ef66ded3\",\"85\":\"0e1f9cfb325c38778c49\",\"86\":\"737c3cc7bf7ec7e294eb\",\"87\":\"829ab49f163323ee3597\",\"88\":\"8dd0066b61f6587f1521\",\"89\":\"8e6c3e163fdfd0858593\",\"90\":\"908502cdb9c2f5fa2f14\",\"91\":\"bb9a0eb8fe9fc64468cd\",\"92\":\"b691a0396ca5de03ccd0\",\"93\":\"9b1fcbab9c153d40a96b\",\"94\":\"40d3ddabc330eb532413\",\"95\":\"6bf858fab0e8d2e0283c\",\"96\":\"4fe5a2c2f2d3e9759ff9\",\"97\":\"12080a42229846062a9e\",\"98\":\"53196626494a177837ab\",\"99\":\"e6b4f915ea180b8d3b8a\",\"100\":\"3a5f0e3c9c3b2d169fa5\",\"101\":\"f20d61205575024461a4\",\"102\":\"c176b1db2cd4865699c5\",\"103\":\"483c94b31a85fa90f286\",\"104\":\"e8ce50b033797edb4dab\",\"105\":\"fac046a1f51230c115ad\",\"106\":\"93c1bcf6baa80a731571\",\"107\":\"414e423c5fed49ecb7a7\",\"108\":\"9d5609deeea481d092a0\",\"109\":\"6a29b8b667b4e9803831\",\"110\":\"85f3d6a6fa8c94b59441\",\"111\":\"4a3f216687a251cca431\",\"112\":\"55d2478df875c48f0655\",\"113\":\"3eadea73e515fd20b16e\",\"114\":\"49b0f012825d96845ad4\",\"115\":\"614d2341ad81de37a0a4\",\"116\":\"543a514ee50dabba2c30\",\"117\":\"5399d10990ccbbed2bc7\",\"118\":\"dc7961e08feeaf5cdc07\",\"119\":\"a02034d17acf2dfff765\",\"120\":\"1a3f064db30c34258c09\",\"121\":\"ba5037471c571831e853\",\"122\":\"339b50117d4d287cf385\",\"123\":\"bd941caa5d2f53d1d4b0\",\"124\":\"07ab8fb74ff9bea845d5\",\"125\":\"d9951f80e532cb8787b6\",\"126\":\"8e97e0f2a5e2dbf035c8\",\"127\":\"fbdfa92af2a410a157a5\",\"128\":\"646d098d07d6d4da4fed\",\"129\":\"3b4d9a58526a7741dcc7\",\"130\":\"7948820b23eb0c62404f\",\"131\":\"fdf3ed035e0369367eeb\",\"132\":\"cdd8ccb02fffc1349738\",\"133\":\"233db3ec5ccf7b2f5678\",\"134\":\"3bf8fd57b29d88129b8f\",\"135\":\"c492283b4dd2f97fcc07\",\"136\":\"6c054c58d6a66b30d59f\",\"137\":\"84414e9cc771f4cd88cf\",\"138\":\"cfc52fa64ad538a93956\",\"139\":\"a084023e960fba8dd47a\",\"140\":\"10eec06a86dfeed3f165\",\"141\":\"fe58cb5669ed32875a14\",\"142\":\"58da31919b9b8a36647c\",\"143\":\"6f6f8504ef26f304d74a\",\"144\":\"98591744cbe1686b2e09\",\"145\":\"54ebb876ef10f26f55f1\",\"146\":\"cd038323b8dc2746f9ff\",\"147\":\"ed71a0b324668c95b36d\",\"148\":\"a3b4445f438d4ad1a303\",\"149\":\"e42f265b747fb02b2df0\",\"150\":\"fdb9f0a690d8cedb78c0\",\"151\":\"4b3f9672baef038f2fbb\",\"152\":\"4ed66f30d02c3d664950\",\"153\":\"96dd81098350c7a5d92f\",\"154\":\"1f4a8a17e05fbb11d688\",\"155\":\"e3e6a82a8a5388a962b5\",\"156\":\"1ca3a978cfdc9b49c6ec\",\"157\":\"5da35776878ca5d6695f\",\"158\":\"c99e663a86f2f2812d8c\",\"159\":\"90c3cd67631dc8669de1\",\"160\":\"9dd3a4734930fcff429e\",\"161\":\"e4dfcdbed07b2fc923a4\",\"162\":\"27eb26d60b4ed52a8cfe\",\"163\":\"56a827ad5ecdb729d8e0\",\"164\":\"77e46e77ce7f848e97e0\",\"165\":\"e53f833abdedc4f118f9\",\"166\":\"2e6a7aab79d8f765e78d\",\"167\":\"82205764ac320b4a5907\",\"168\":\"e5184691fd9e113a0b51\",\"169\":\"57946c72e9f255a3184d\",\"170\":\"b299c11dfb1a95970d0e\",\"171\":\"d2822f4dfe393b7f225f\",\"172\":\"be02ab9602ea44f5b8b7\",\"173\":\"3edb6a685de2ba7d9067\",\"174\":\"4b5209018d66028ae9d5\",\"175\":\"e77f394c4ae6478b2c82\",\"176\":\"63548036ec688dd55835\",\"177\":\"73060daa5328bd173d80\",\"178\":\"3f5c84f2ffb2818d5b42\",\"179\":\"ba92adfe7ff24de3a002\",\"180\":\"b85fdd69c3163b23cd4f\",\"181\":\"f0569dd5247f481195bd\",\"182\":\"f957f27aa1e0aad8b63e\",\"183\":\"b4ed61a972de16719173\",\"184\":\"b4400b1574e079783a8c\",\"185\":\"5bccbb3a33a0c2898445\",\"186\":\"b7ed8a9b4a93f789e4bd\",\"187\":\"c9868841dbd0da14f4c2\",\"188\":\"941e6f21e484ae4d0350\",\"189\":\"6ee3c36190dc54802157\",\"190\":\"7113b14aff5f5f406e65\",\"191\":\"18fd63d85d2025596fb4\",\"192\":\"e3894d020f96c922f4df\",\"194\":\"10f4a3e4df93b5fd8e9a\",\"197\":\"4ca0f6ac0902477b585a\",\"198\":\"29d438f918f0be3868ae\",\"199\":\"974d8a5edd0f4a8a98d0\"}[chunkId] + \"\"\n \t}\n\n \t// The require function\n \tfunction __webpack_require__(moduleId) {\n\n \t\t// Check if module is in cache\n \t\tif(installedModules[moduleId]) {\n \t\t\treturn installedModules[moduleId].exports;\n \t\t}\n \t\t// Create a new module (and put it into the cache)\n \t\tvar module = installedModules[moduleId] = {\n \t\t\ti: moduleId,\n \t\t\tl: false,\n \t\t\texports: {}\n \t\t};\n\n \t\t// Execute the module function\n \t\tmodules[moduleId].call(module.exports, module, module.exports, __webpack_require__);\n\n \t\t// Flag the module as loaded\n \t\tmodule.l = true;\n\n \t\t// Return the exports of the module\n \t\treturn module.exports;\n \t}\n\n \t// This file contains only the entry chunk.\n \t// The chunk loading function for additional chunks\n \t__webpack_require__.e = function requireEnsure(chunkId) {\n \t\tvar promises = [];\n\n\n \t\t// JSONP chunk loading for javascript\n\n \t\tvar installedChunkData = installedChunks[chunkId];\n \t\tif(installedChunkData !== 0) { // 0 means \"already installed\".\n\n \t\t\t// a Promise means \"currently loading\".\n \t\t\tif(installedChunkData) {\n \t\t\t\tpromises.push(installedChunkData[2]);\n \t\t\t} else {\n \t\t\t\t// setup Promise in chunk cache\n \t\t\t\tvar promise = new Promise(function(resolve, reject) {\n \t\t\t\t\tinstalledChunkData = installedChunks[chunkId] = [resolve, reject];\n \t\t\t\t});\n \t\t\t\tpromises.push(installedChunkData[2] = promise);\n\n \t\t\t\t// start chunk loading\n \t\t\t\tvar script = document.createElement('script');\n \t\t\t\tvar onScriptComplete;\n\n \t\t\t\tscript.charset = 'utf-8';\n \t\t\t\tscript.timeout = 120;\n \t\t\t\tif (__webpack_require__.nc) {\n \t\t\t\t\tscript.setAttribute(\"nonce\", __webpack_require__.nc);\n \t\t\t\t}\n \t\t\t\tscript.src = jsonpScriptSrc(chunkId);\n\n \t\t\t\t// create error before stack unwound to get useful stacktrace later\n \t\t\t\tvar error = new Error();\n \t\t\t\tonScriptComplete = function (event) {\n \t\t\t\t\t// avoid mem leaks in IE.\n \t\t\t\t\tscript.onerror = script.onload = null;\n \t\t\t\t\tclearTimeout(timeout);\n \t\t\t\t\tvar chunk = installedChunks[chunkId];\n \t\t\t\t\tif(chunk !== 0) {\n \t\t\t\t\t\tif(chunk) {\n \t\t\t\t\t\t\tvar errorType = event && (event.type === 'load' ? 'missing' : event.type);\n \t\t\t\t\t\t\tvar realSrc = event && event.target && event.target.src;\n \t\t\t\t\t\t\terror.message = 'Loading chunk ' + chunkId + ' failed.\\n(' + errorType + ': ' + realSrc + ')';\n \t\t\t\t\t\t\terror.name = 'ChunkLoadError';\n \t\t\t\t\t\t\terror.type = errorType;\n \t\t\t\t\t\t\terror.request = realSrc;\n \t\t\t\t\t\t\tchunk[1](error);\n \t\t\t\t\t\t}\n \t\t\t\t\t\tinstalledChunks[chunkId] = undefined;\n \t\t\t\t\t}\n \t\t\t\t};\n \t\t\t\tvar timeout = setTimeout(function(){\n \t\t\t\t\tonScriptComplete({ type: 'timeout', target: script });\n \t\t\t\t}, 120000);\n \t\t\t\tscript.onerror = script.onload = onScriptComplete;\n \t\t\t\tdocument.head.appendChild(script);\n \t\t\t}\n \t\t}\n \t\treturn Promise.all(promises);\n \t};\n\n \t// expose the modules object (__webpack_modules__)\n \t__webpack_require__.m = modules;\n\n \t// expose the module cache\n \t__webpack_require__.c = installedModules;\n\n \t// define getter function for harmony exports\n \t__webpack_require__.d = function(exports, name, getter) {\n \t\tif(!__webpack_require__.o(exports, name)) {\n \t\t\tObject.defineProperty(exports, name, { enumerable: true, get: getter });\n \t\t}\n \t};\n\n \t// define __esModule on exports\n \t__webpack_require__.r = function(exports) {\n \t\tif(typeof Symbol !== 'undefined' && Symbol.toStringTag) {\n \t\t\tObject.defineProperty(exports, Symbol.toStringTag, { value: 'Module' });\n \t\t}\n \t\tObject.defineProperty(exports, '__esModule', { value: true });\n \t};\n\n \t// create a fake namespace object\n \t// mode & 1: value is a module id, require it\n \t// mode & 2: merge all properties of value into the ns\n \t// mode & 4: return value when already ns object\n \t// mode & 8|1: behave like require\n \t__webpack_require__.t = function(value, mode) {\n \t\tif(mode & 1) value = __webpack_require__(value);\n \t\tif(mode & 8) return value;\n \t\tif((mode & 4) && typeof value === 'object' && value && value.__esModule) return value;\n \t\tvar ns = Object.create(null);\n \t\t__webpack_require__.r(ns);\n \t\tObject.defineProperty(ns, 'default', { enumerable: true, value: value });\n \t\tif(mode & 2 && typeof value != 'string') for(var key in value) __webpack_require__.d(ns, key, function(key) { return value[key]; }.bind(null, key));\n \t\treturn ns;\n \t};\n\n \t// getDefaultExport function for compatibility with non-harmony modules\n \t__webpack_require__.n = function(module) {\n \t\tvar getter = module && module.__esModule ?\n \t\t\tfunction getDefault() { return module['default']; } :\n \t\t\tfunction getModuleExports() { return module; };\n \t\t__webpack_require__.d(getter, 'a', getter);\n \t\treturn getter;\n \t};\n\n \t// Object.prototype.hasOwnProperty.call\n \t__webpack_require__.o = function(object, property) { return Object.prototype.hasOwnProperty.call(object, property); };\n\n \t// __webpack_public_path__\n \t__webpack_require__.p = \"/js/\";\n\n \t// on error function for async loading\n \t__webpack_require__.oe = function(err) { console.error(err); throw err; };\n\n \tvar jsonpArray = window[\"textWebpackJsonp\"] = window[\"textWebpackJsonp\"] || [];\n \tvar oldJsonpFunction = jsonpArray.push.bind(jsonpArray);\n \tjsonpArray.push = webpackJsonpCallback;\n \tjsonpArray = jsonpArray.slice();\n \tfor(var i = 0; i < jsonpArray.length; i++) webpackJsonpCallback(jsonpArray[i]);\n \tvar parentJsonpFunction = oldJsonpFunction;\n\n\n \t// Load entry module and return exports\n \treturn __webpack_require__(__webpack_require__.s = 171);\n","module.exports = function (exec) {\n try {\n return !!exec();\n } catch (error) {\n return true;\n }\n};\n","var global = require('../internals/global');\nvar shared = require('../internals/shared');\nvar has = require('../internals/has');\nvar uid = require('../internals/uid');\nvar NATIVE_SYMBOL = require('../internals/native-symbol');\nvar USE_SYMBOL_AS_UID = require('../internals/use-symbol-as-uid');\n\nvar WellKnownSymbolsStore = shared('wks');\nvar Symbol = global.Symbol;\nvar createWellKnownSymbol = USE_SYMBOL_AS_UID ? Symbol : Symbol && Symbol.withoutSetter || uid;\n\nmodule.exports = function (name) {\n if (!has(WellKnownSymbolsStore, name)) {\n if (NATIVE_SYMBOL && has(Symbol, name)) WellKnownSymbolsStore[name] = Symbol[name];\n else WellKnownSymbolsStore[name] = createWellKnownSymbol('Symbol.' + name);\n } return WellKnownSymbolsStore[name];\n};\n","var check = function (it) {\n return it && it.Math == Math && it;\n};\n\n// https://github.com/zloirock/core-js/issues/86#issuecomment-115759028\nmodule.exports =\n // eslint-disable-next-line no-undef\n check(typeof globalThis == 'object' && globalThis) ||\n check(typeof window == 'object' && window) ||\n check(typeof self == 'object' && self) ||\n check(typeof global == 'object' && global) ||\n // eslint-disable-next-line no-new-func\n Function('return this')();\n","'use strict';\n\nvar bind = require('./helpers/bind');\n\n/*global toString:true*/\n\n// utils is a library of generic helper functions non-specific to axios\n\nvar toString = Object.prototype.toString;\n\n/**\n * Determine if a value is an Array\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Array, otherwise false\n */\nfunction isArray(val) {\n return toString.call(val) === '[object Array]';\n}\n\n/**\n * Determine if a value is undefined\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if the value is undefined, otherwise false\n */\nfunction isUndefined(val) {\n return typeof val === 'undefined';\n}\n\n/**\n * Determine if a value is a Buffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Buffer, otherwise false\n */\nfunction isBuffer(val) {\n return val !== null && !isUndefined(val) && val.constructor !== null && !isUndefined(val.constructor)\n && typeof val.constructor.isBuffer === 'function' && val.constructor.isBuffer(val);\n}\n\n/**\n * Determine if a value is an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an ArrayBuffer, otherwise false\n */\nfunction isArrayBuffer(val) {\n return toString.call(val) === '[object ArrayBuffer]';\n}\n\n/**\n * Determine if a value is a FormData\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an FormData, otherwise false\n */\nfunction isFormData(val) {\n return (typeof FormData !== 'undefined') && (val instanceof FormData);\n}\n\n/**\n * Determine if a value is a view on an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a view on an ArrayBuffer, otherwise false\n */\nfunction isArrayBufferView(val) {\n var result;\n if ((typeof ArrayBuffer !== 'undefined') && (ArrayBuffer.isView)) {\n result = ArrayBuffer.isView(val);\n } else {\n result = (val) && (val.buffer) && (val.buffer instanceof ArrayBuffer);\n }\n return result;\n}\n\n/**\n * Determine if a value is a String\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a String, otherwise false\n */\nfunction isString(val) {\n return typeof val === 'string';\n}\n\n/**\n * Determine if a value is a Number\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Number, otherwise false\n */\nfunction isNumber(val) {\n return typeof val === 'number';\n}\n\n/**\n * Determine if a value is an Object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Object, otherwise false\n */\nfunction isObject(val) {\n return val !== null && typeof val === 'object';\n}\n\n/**\n * Determine if a value is a Date\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Date, otherwise false\n */\nfunction isDate(val) {\n return toString.call(val) === '[object Date]';\n}\n\n/**\n * Determine if a value is a File\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a File, otherwise false\n */\nfunction isFile(val) {\n return toString.call(val) === '[object File]';\n}\n\n/**\n * Determine if a value is a Blob\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Blob, otherwise false\n */\nfunction isBlob(val) {\n return toString.call(val) === '[object Blob]';\n}\n\n/**\n * Determine if a value is a Function\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Function, otherwise false\n */\nfunction isFunction(val) {\n return toString.call(val) === '[object Function]';\n}\n\n/**\n * Determine if a value is a Stream\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Stream, otherwise false\n */\nfunction isStream(val) {\n return isObject(val) && isFunction(val.pipe);\n}\n\n/**\n * Determine if a value is a URLSearchParams object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a URLSearchParams object, otherwise false\n */\nfunction isURLSearchParams(val) {\n return typeof URLSearchParams !== 'undefined' && val instanceof URLSearchParams;\n}\n\n/**\n * Trim excess whitespace off the beginning and end of a string\n *\n * @param {String} str The String to trim\n * @returns {String} The String freed of excess whitespace\n */\nfunction trim(str) {\n return str.replace(/^\\s*/, '').replace(/\\s*$/, '');\n}\n\n/**\n * Determine if we're running in a standard browser environment\n *\n * This allows axios to run in a web worker, and react-native.\n * Both environments support XMLHttpRequest, but not fully standard globals.\n *\n * web workers:\n * typeof window -> undefined\n * typeof document -> undefined\n *\n * react-native:\n * navigator.product -> 'ReactNative'\n * nativescript\n * navigator.product -> 'NativeScript' or 'NS'\n */\nfunction isStandardBrowserEnv() {\n if (typeof navigator !== 'undefined' && (navigator.product === 'ReactNative' ||\n navigator.product === 'NativeScript' ||\n navigator.product === 'NS')) {\n return false;\n }\n return (\n typeof window !== 'undefined' &&\n typeof document !== 'undefined'\n );\n}\n\n/**\n * Iterate over an Array or an Object invoking a function for each item.\n *\n * If `obj` is an Array callback will be called passing\n * the value, index, and complete array for each item.\n *\n * If 'obj' is an Object callback will be called passing\n * the value, key, and complete object for each property.\n *\n * @param {Object|Array} obj The object to iterate\n * @param {Function} fn The callback to invoke for each item\n */\nfunction forEach(obj, fn) {\n // Don't bother if no value provided\n if (obj === null || typeof obj === 'undefined') {\n return;\n }\n\n // Force an array if not already something iterable\n if (typeof obj !== 'object') {\n /*eslint no-param-reassign:0*/\n obj = [obj];\n }\n\n if (isArray(obj)) {\n // Iterate over array values\n for (var i = 0, l = obj.length; i < l; i++) {\n fn.call(null, obj[i], i, obj);\n }\n } else {\n // Iterate over object keys\n for (var key in obj) {\n if (Object.prototype.hasOwnProperty.call(obj, key)) {\n fn.call(null, obj[key], key, obj);\n }\n }\n }\n}\n\n/**\n * Accepts varargs expecting each argument to be an object, then\n * immutably merges the properties of each object and returns result.\n *\n * When multiple objects contain the same key the later object in\n * the arguments list will take precedence.\n *\n * Example:\n *\n * ```js\n * var result = merge({foo: 123}, {foo: 456});\n * console.log(result.foo); // outputs 456\n * ```\n *\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction merge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = merge(result[key], val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Function equal to merge with the difference being that no reference\n * to original objects is kept.\n *\n * @see merge\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction deepMerge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = deepMerge(result[key], val);\n } else if (typeof val === 'object') {\n result[key] = deepMerge({}, val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Extends object a by mutably adding to it the properties of object b.\n *\n * @param {Object} a The object to be extended\n * @param {Object} b The object to copy properties from\n * @param {Object} thisArg The object to bind function to\n * @return {Object} The resulting value of object a\n */\nfunction extend(a, b, thisArg) {\n forEach(b, function assignValue(val, key) {\n if (thisArg && typeof val === 'function') {\n a[key] = bind(val, thisArg);\n } else {\n a[key] = val;\n }\n });\n return a;\n}\n\nmodule.exports = {\n isArray: isArray,\n isArrayBuffer: isArrayBuffer,\n isBuffer: isBuffer,\n isFormData: isFormData,\n isArrayBufferView: isArrayBufferView,\n isString: isString,\n isNumber: isNumber,\n isObject: isObject,\n isUndefined: isUndefined,\n isDate: isDate,\n isFile: isFile,\n isBlob: isBlob,\n isFunction: isFunction,\n isStream: isStream,\n isURLSearchParams: isURLSearchParams,\n isStandardBrowserEnv: isStandardBrowserEnv,\n forEach: forEach,\n merge: merge,\n deepMerge: deepMerge,\n extend: extend,\n trim: trim\n};\n","var hasOwnProperty = {}.hasOwnProperty;\n\nmodule.exports = function (it, key) {\n return hasOwnProperty.call(it, key);\n};\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it)) {\n throw TypeError(String(it) + ' is not an object');\n } return it;\n};\n","module.exports = function (it) {\n return typeof it === 'object' ? it !== null : typeof it === 'function';\n};\n","var fails = require('../internals/fails');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !fails(function () {\n return Object.defineProperty({}, 1, { get: function () { return 7; } })[1] != 7;\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\nvar anObject = require('../internals/an-object');\nvar toPrimitive = require('../internals/to-primitive');\n\nvar nativeDefineProperty = Object.defineProperty;\n\n// `Object.defineProperty` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperty\nexports.f = DESCRIPTORS ? nativeDefineProperty : function defineProperty(O, P, Attributes) {\n anObject(O);\n P = toPrimitive(P, true);\n anObject(Attributes);\n if (IE8_DOM_DEFINE) try {\n return nativeDefineProperty(O, P, Attributes);\n } catch (error) { /* empty */ }\n if ('get' in Attributes || 'set' in Attributes) throw TypeError('Accessors not supported');\n if ('value' in Attributes) O[P] = Attributes.value;\n return O;\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = DESCRIPTORS ? function (object, key, value) {\n return definePropertyModule.f(object, key, createPropertyDescriptor(1, value));\n} : function (object, key, value) {\n object[key] = value;\n return object;\n};\n","var global = require('../internals/global');\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar setGlobal = require('../internals/set-global');\nvar copyConstructorProperties = require('../internals/copy-constructor-properties');\nvar isForced = require('../internals/is-forced');\n\n/*\n options.target - name of the target object\n options.global - target is the global object\n options.stat - export as static methods of target\n options.proto - export as prototype methods of target\n options.real - real prototype method for the `pure` version\n options.forced - export even if the native feature is available\n options.bind - bind methods to the target, required for the `pure` version\n options.wrap - wrap constructors to preventing global pollution, required for the `pure` version\n options.unsafe - use the simple assignment of property instead of delete + defineProperty\n options.sham - add a flag to not completely full polyfills\n options.enumerable - export as enumerable property\n options.noTargetGet - prevent calling a getter on target\n*/\nmodule.exports = function (options, source) {\n var TARGET = options.target;\n var GLOBAL = options.global;\n var STATIC = options.stat;\n var FORCED, target, key, targetProperty, sourceProperty, descriptor;\n if (GLOBAL) {\n target = global;\n } else if (STATIC) {\n target = global[TARGET] || setGlobal(TARGET, {});\n } else {\n target = (global[TARGET] || {}).prototype;\n }\n if (target) for (key in source) {\n sourceProperty = source[key];\n if (options.noTargetGet) {\n descriptor = getOwnPropertyDescriptor(target, key);\n targetProperty = descriptor && descriptor.value;\n } else targetProperty = target[key];\n FORCED = isForced(GLOBAL ? key : TARGET + (STATIC ? '.' : '#') + key, options.forced);\n // contained in target\n if (!FORCED && targetProperty !== undefined) {\n if (typeof sourceProperty === typeof targetProperty) continue;\n copyConstructorProperties(sourceProperty, targetProperty);\n }\n // add a flag to not completely full polyfills\n if (options.sham || (targetProperty && targetProperty.sham)) {\n createNonEnumerableProperty(sourceProperty, 'sham', true);\n }\n // extend global\n redefine(target, key, sourceProperty, options);\n }\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.index-of\");\n\nrequire(\"core-js/modules/es.object.assign\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.regexp.to-string\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRootUrl = exports.generateFilePath = exports.imagePath = exports.generateUrl = exports.generateOcsUrl = exports.generateRemoteUrl = exports.linkTo = void 0;\n\n/// <reference types=\"@nextcloud/typings\" />\n\n/**\n * Get an absolute url to a file in an app\n *\n * @param {string} app the id of the app the file belongs to\n * @param {string} file the file path relative to the app folder\n * @return {string} Absolute URL to a file\n */\nvar linkTo = function linkTo(app, file) {\n return generateFilePath(app, '', file);\n};\n/**\n * Creates a relative url for remote use\n *\n * @param {string} service id\n * @return {string} the url\n */\n\n\nexports.linkTo = linkTo;\n\nvar linkToRemoteBase = function linkToRemoteBase(service) {\n return getRootUrl() + '/remote.php/' + service;\n};\n/**\n * @brief Creates an absolute url for remote use\n * @param {string} service id\n * @return {string} the url\n */\n\n\nvar generateRemoteUrl = function generateRemoteUrl(service) {\n return window.location.protocol + '//' + window.location.host + linkToRemoteBase(service);\n};\n/**\n * Get the base path for the given OCS API service\n *\n * @param {string} service name\n * @param {int} version OCS API version\n * @return {string} OCS API base path\n */\n\n\nexports.generateRemoteUrl = generateRemoteUrl;\n\nvar generateOcsUrl = function generateOcsUrl(service, version) {\n version = version !== 2 ? 1 : 2;\n return window.location.protocol + '//' + window.location.host + getRootUrl() + '/ocs/v' + version + '.php/' + service + '/';\n};\n\nexports.generateOcsUrl = generateOcsUrl;\n\n/**\n * Generate the absolute url for the given relative url, which can contain parameters\n *\n * Parameters will be URL encoded automatically\n *\n * @return {string} Absolute URL for the given relative URL\n */\nvar generateUrl = function generateUrl(url, params, options) {\n var allOptions = Object.assign({\n escape: true,\n noRewrite: false\n }, options || {});\n\n var _build = function _build(text, vars) {\n vars = vars || {};\n return text.replace(/{([^{}]*)}/g, function (a, b) {\n var r = vars[b];\n\n if (allOptions.escape) {\n return typeof r === 'string' || typeof r === 'number' ? encodeURIComponent(r.toString()) : encodeURIComponent(a);\n } else {\n return typeof r === 'string' || typeof r === 'number' ? r.toString() : a;\n }\n });\n };\n\n if (url.charAt(0) !== '/') {\n url = '/' + url;\n }\n\n if (OC.config.modRewriteWorking === true && !allOptions.noRewrite) {\n return getRootUrl() + _build(url, params || {});\n }\n\n return getRootUrl() + '/index.php' + _build(url, params || {});\n};\n/**\n * Get the absolute path to an image file\n * if no extension is given for the image, it will automatically decide\n * between .png and .svg based on what the browser supports\n *\n * @param {string} app the app id to which the image belongs\n * @param {string} file the name of the image file\n * @return {string}\n */\n\n\nexports.generateUrl = generateUrl;\n\nvar imagePath = function imagePath(app, file) {\n if (file.indexOf('.') === -1) {\n //if no extension is given, use svg\n return generateFilePath(app, 'img', file + '.svg');\n }\n\n return generateFilePath(app, 'img', file);\n};\n/**\n * Get the absolute url for a file in an app\n *\n * @param {string} app the id of the app\n * @param {string} type the type of the file to link to (e.g. css,img,ajax.template)\n * @param {string} file the filename\n * @return {string} Absolute URL for a file in an app\n */\n\n\nexports.imagePath = imagePath;\n\nvar generateFilePath = function generateFilePath(app, type, file) {\n var isCore = OC.coreApps.indexOf(app) !== -1;\n var link = getRootUrl();\n\n if (file.substring(file.length - 3) === 'php' && !isCore) {\n link += '/index.php/apps/' + app;\n\n if (file !== 'index.php') {\n link += '/';\n\n if (type) {\n link += encodeURI(type + '/');\n }\n\n link += file;\n }\n } else if (file.substring(file.length - 3) !== 'php' && !isCore) {\n link = OC.appswebroots[app];\n\n if (type) {\n link += '/' + type + '/';\n }\n\n if (link.substring(link.length - 1) !== '/') {\n link += '/';\n }\n\n link += file;\n } else {\n if ((app === 'settings' || app === 'core' || app === 'search') && type === 'ajax') {\n link += '/index.php/';\n } else {\n link += '/';\n }\n\n if (!isCore) {\n link += 'apps/';\n }\n\n if (app !== '') {\n app += '/';\n link += app;\n }\n\n if (type) {\n link += type + '/';\n }\n\n link += file;\n }\n\n return link;\n};\n/**\n * Return the web root path where this Nextcloud instance\n * is accessible, with a leading slash.\n * For example \"/nextcloud\".\n *\n * @return {string} web root path\n */\n\n\nexports.generateFilePath = generateFilePath;\n\nvar getRootUrl = function getRootUrl() {\n return OC.webroot;\n};\n\nexports.getRootUrl = getRootUrl;\n//# sourceMappingURL=index.js.map","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar setGlobal = require('../internals/set-global');\nvar inspectSource = require('../internals/inspect-source');\nvar InternalStateModule = require('../internals/internal-state');\n\nvar getInternalState = InternalStateModule.get;\nvar enforceInternalState = InternalStateModule.enforce;\nvar TEMPLATE = String(String).split('String');\n\n(module.exports = function (O, key, value, options) {\n var unsafe = options ? !!options.unsafe : false;\n var simple = options ? !!options.enumerable : false;\n var noTargetGet = options ? !!options.noTargetGet : false;\n if (typeof value == 'function') {\n if (typeof key == 'string' && !has(value, 'name')) createNonEnumerableProperty(value, 'name', key);\n enforceInternalState(value).source = TEMPLATE.join(typeof key == 'string' ? key : '');\n }\n if (O === global) {\n if (simple) O[key] = value;\n else setGlobal(key, value);\n return;\n } else if (!unsafe) {\n delete O[key];\n } else if (!noTargetGet && O[key]) {\n simple = true;\n }\n if (simple) O[key] = value;\n else createNonEnumerableProperty(O, key, value);\n// add fake Function#toString for correct work wrapped methods / constructors with methods like LoDash isNative\n})(Function.prototype, 'toString', function toString() {\n return typeof this == 'function' && getInternalState(this).source || inspectSource(this);\n});\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nconst openMimetypesMarkdown = [\n\t'text/markdown',\n]\n\nconst openMimetypesPlainText = [\n\t'text/plain',\n\t'application/cmd',\n\t'application/x-empty',\n\t'application/x-msdos-program',\n\t'application/epub+zip',\n\t'application/javascript',\n\t'application/json',\n\t'application/x-perl',\n\t'application/x-php',\n\t'application/x-tex',\n\t'application/xml',\n\t'application/yaml',\n\t'text/css',\n\t'text/csv',\n\t'text/html',\n\t'text/x-c',\n\t'text/x-c++src',\n\t'text/x-h',\n\t'text/x-java-source',\n\t'text/x-ldif',\n\t'text/x-python',\n\t'text/x-shellscript',\n]\n\nconst openMimetypes = [...openMimetypesMarkdown, ...openMimetypesPlainText]\n\nexport {\n\topenMimetypes,\n\topenMimetypesMarkdown,\n\topenMimetypesPlainText,\n}\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.subscribe = subscribe;\nexports.unsubscribe = unsubscribe;\nexports.emit = emit;\n\nvar _ProxyBus = require(\"./ProxyBus\");\n\nvar _SimpleBus = require(\"./SimpleBus\");\n\nfunction getBus() {\n if (typeof window.OC !== 'undefined' && window.OC._eventBus && typeof window._nc_event_bus === 'undefined') {\n console.warn('found old event bus instance at OC._eventBus. Update your version!');\n window._nc_event_bus = window.OC._eventBus;\n } // Either use an existing event bus instance or create one\n\n\n if (typeof window._nc_event_bus !== 'undefined') {\n return new _ProxyBus.ProxyBus(window._nc_event_bus);\n } else {\n return window._nc_event_bus = new _SimpleBus.SimpleBus();\n }\n}\n\nvar bus = getBus();\n/**\n * Register an event listener\n *\n * @param name name of the event\n * @param handler callback invoked for every matching event emitted on the bus\n */\n\nfunction subscribe(name, handler) {\n bus.subscribe(name, handler);\n}\n/**\n * Unregister a previously registered event listener\n *\n * Note: doesn't work with anonymous functions (closures). Use method of an object or store listener function in variable.\n *\n * @param name name of the event\n * @param handler callback passed to `subscribed`\n */\n\n\nfunction unsubscribe(name, handler) {\n bus.unsubscribe(name, handler);\n}\n/**\n * Emit an event\n *\n * @param name name of the event\n * @param event event payload\n */\n\n\nfunction emit(name, event) {\n bus.emit(name, event);\n}\n//# sourceMappingURL=index.js.map","var toInteger = require('../internals/to-integer');\n\nvar min = Math.min;\n\n// `ToLength` abstract operation\n// https://tc39.github.io/ecma262/#sec-tolength\nmodule.exports = function (argument) {\n return argument > 0 ? min(toInteger(argument), 0x1FFFFFFFFFFFFF) : 0; // 2 ** 53 - 1 == 9007199254740991\n};\n","var requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `ToObject` abstract operation\n// https://tc39.github.io/ecma262/#sec-toobject\nmodule.exports = function (argument) {\n return Object(requireObjectCoercible(argument));\n};\n","module.exports = {};\n","/* globals __VUE_SSR_CONTEXT__ */\n\n// IMPORTANT: Do NOT use ES2015 features in this file (except for modules).\n// This module is a runtime utility for cleaner component module output and will\n// be included in the final webpack user bundle.\n\nexport default function normalizeComponent (\n scriptExports,\n render,\n staticRenderFns,\n functionalTemplate,\n injectStyles,\n scopeId,\n moduleIdentifier, /* server only */\n shadowMode /* vue-cli only */\n) {\n // Vue.extend constructor export interop\n var options = typeof scriptExports === 'function'\n ? scriptExports.options\n : scriptExports\n\n // render functions\n if (render) {\n options.render = render\n options.staticRenderFns = staticRenderFns\n options._compiled = true\n }\n\n // functional template\n if (functionalTemplate) {\n options.functional = true\n }\n\n // scopedId\n if (scopeId) {\n options._scopeId = 'data-v-' + scopeId\n }\n\n var hook\n if (moduleIdentifier) { // server build\n hook = function (context) {\n // 2.3 injection\n context =\n context || // cached call\n (this.$vnode && this.$vnode.ssrContext) || // stateful\n (this.parent && this.parent.$vnode && this.parent.$vnode.ssrContext) // functional\n // 2.2 with runInNewContext: true\n if (!context && typeof __VUE_SSR_CONTEXT__ !== 'undefined') {\n context = __VUE_SSR_CONTEXT__\n }\n // inject component styles\n if (injectStyles) {\n injectStyles.call(this, context)\n }\n // register component module identifier for async chunk inferrence\n if (context && context._registeredComponents) {\n context._registeredComponents.add(moduleIdentifier)\n }\n }\n // used by ssr in case component is cached and beforeCreate\n // never gets called\n options._ssrRegister = hook\n } else if (injectStyles) {\n hook = shadowMode\n ? function () {\n injectStyles.call(\n this,\n (options.functional ? this.parent : this).$root.$options.shadowRoot\n )\n }\n : injectStyles\n }\n\n if (hook) {\n if (options.functional) {\n // for template-only hot-reload because in that case the render fn doesn't\n // go through the normalizer\n options._injectStyles = hook\n // register for functional component in vue file\n var originalRender = options.render\n options.render = function renderWithStyleInjection (h, context) {\n hook.call(context)\n return originalRender(h, context)\n }\n } else {\n // inject component registration as beforeCreate hook\n var existing = options.beforeCreate\n options.beforeCreate = existing\n ? [].concat(existing, hook)\n : [hook]\n }\n }\n\n return {\n exports: scriptExports,\n options: options\n }\n}\n","var toString = {}.toString;\n\nmodule.exports = function (it) {\n return toString.call(it).slice(8, -1);\n};\n","var NATIVE_WEAK_MAP = require('../internals/native-weak-map');\nvar global = require('../internals/global');\nvar isObject = require('../internals/is-object');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar objectHas = require('../internals/has');\nvar sharedKey = require('../internals/shared-key');\nvar hiddenKeys = require('../internals/hidden-keys');\n\nvar WeakMap = global.WeakMap;\nvar set, get, has;\n\nvar enforce = function (it) {\n return has(it) ? get(it) : set(it, {});\n};\n\nvar getterFor = function (TYPE) {\n return function (it) {\n var state;\n if (!isObject(it) || (state = get(it)).type !== TYPE) {\n throw TypeError('Incompatible receiver, ' + TYPE + ' required');\n } return state;\n };\n};\n\nif (NATIVE_WEAK_MAP) {\n var store = new WeakMap();\n var wmget = store.get;\n var wmhas = store.has;\n var wmset = store.set;\n set = function (it, metadata) {\n wmset.call(store, it, metadata);\n return metadata;\n };\n get = function (it) {\n return wmget.call(store, it) || {};\n };\n has = function (it) {\n return wmhas.call(store, it);\n };\n} else {\n var STATE = sharedKey('state');\n hiddenKeys[STATE] = true;\n set = function (it, metadata) {\n createNonEnumerableProperty(it, STATE, metadata);\n return metadata;\n };\n get = function (it) {\n return objectHas(it, STATE) ? it[STATE] : {};\n };\n has = function (it) {\n return objectHas(it, STATE);\n };\n}\n\nmodule.exports = {\n set: set,\n get: get,\n has: has,\n enforce: enforce,\n getterFor: getterFor\n};\n","// `RequireObjectCoercible` abstract operation\n// https://tc39.github.io/ecma262/#sec-requireobjectcoercible\nmodule.exports = function (it) {\n if (it == undefined) throw TypeError(\"Can't call method on \" + it);\n return it;\n};\n","var path = require('../internals/path');\nvar global = require('../internals/global');\n\nvar aFunction = function (variable) {\n return typeof variable == 'function' ? variable : undefined;\n};\n\nmodule.exports = function (namespace, method) {\n return arguments.length < 2 ? aFunction(path[namespace]) || aFunction(global[namespace])\n : path[namespace] && path[namespace][method] || global[namespace] && global[namespace][method];\n};\n","var ceil = Math.ceil;\nvar floor = Math.floor;\n\n// `ToInteger` abstract operation\n// https://tc39.github.io/ecma262/#sec-tointeger\nmodule.exports = function (argument) {\n return isNaN(argument = +argument) ? 0 : (argument > 0 ? floor : ceil)(argument);\n};\n","module.exports = function (bitmap, value) {\n return {\n enumerable: !(bitmap & 1),\n configurable: !(bitmap & 2),\n writable: !(bitmap & 4),\n value: value\n };\n};\n","// toObject with fallback for non-array-like ES3 strings\nvar IndexedObject = require('../internals/indexed-object');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\nmodule.exports = function (it) {\n return IndexedObject(requireObjectCoercible(it));\n};\n","module.exports = {};\n","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"df9632ee\", content, true, {});","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<div v-if=\"enabled\" id=\"rich-workspace\" :class=\"{'icon-loading': !loaded || !ready, 'focus': focus, 'dark': darkTheme, 'creatable': canCreate}\">\n\t\t<div v-if=\"showEmptyWorkspace\" class=\"empty-workspace\" @click=\"createNew\">\n\t\t\t<p class=\"placeholder\">\n\t\t\t\t{{ t('text', 'Add notes, lists or links …') }}\n\t\t\t</p>\n\t\t</div>\n\n\t\t<EditorWrapper v-if=\"file\"\n\t\t\tv-show=\"ready\"\n\t\t\t:key=\"file.id\"\n\t\t\t:file-id=\"file.id\"\n\t\t\t:relative-path=\"file.path\"\n\t\t\t:share-token=\"shareToken\"\n\t\t\t:active=\"true\"\n\t\t\t:autohide=\"true\"\n\t\t\t:mime=\"file.mimetype\"\n\t\t\t:autofocus=\"autofocus\"\n\t\t\t@ready=\"ready=true\"\n\t\t\t@focus=\"focus=true\"\n\t\t\t@blur=\"unfocus\"\n\t\t\t@error=\"reset\" />\n\t</div>\n</template>\n\n<script>\nimport axios from '@nextcloud/axios'\nimport { generateOcsUrl } from '@nextcloud/router'\nimport { subscribe } from '@nextcloud/event-bus'\n\nconst IS_PUBLIC = !!(document.getElementById('isPublic'))\nconst WORKSPACE_URL = generateOcsUrl('apps/text' + (IS_PUBLIC ? '/public' : ''), 2) + 'workspace'\n\nexport default {\n\tname: 'RichWorkspace',\n\tcomponents: {\n\t\tEditorWrapper: () => import(/* webpackChunkName: \"editor\" */'./../components/EditorWrapper'),\n\t},\n\tprops: {\n\t\tpath: {\n\t\t\ttype: String,\n\t\t\trequired: true,\n\t\t},\n\t},\n\tdata() {\n\t\treturn {\n\t\t\tfocus: false,\n\t\t\tfolder: null,\n\t\t\tfile: null,\n\t\t\tloaded: false,\n\t\t\tready: false,\n\t\t\tautofocus: false,\n\t\t\tdarkTheme: OCA.Accessibility && OCA.Accessibility.theme === 'dark',\n\t\t\tenabled: OCA.Text.RichWorkspaceEnabled,\n\t\t}\n\t},\n\tcomputed: {\n\t\tshareToken() {\n\t\t\treturn document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\t\t},\n\t\tcanCreate() {\n\t\t\treturn !!(this.folder && (this.folder.permissions & OC.PERMISSION_CREATE))\n\t\t},\n\t\tshowEmptyWorkspace() {\n\t\t\treturn (!this.file || (this.autofocus && !this.ready)) && this.canCreate\n\t\t},\n\t},\n\twatch: {\n\t\tpath() {\n\t\t\tthis.getFileInfo()\n\t\t},\n\t\tfocus(newValue) {\n\t\t\tif (!newValue) {\n\t\t\t\tdocument.querySelector('#editor').scrollTo(0, 0)\n\t\t\t}\n\t\t},\n\t},\n\tasync mounted() {\n\t\tif (this.enabled) {\n\t\t\tthis.getFileInfo()\n\t\t}\n\t\tsubscribe('Text::showRichWorkspace', () => {\n\t\t\tthis.enabled = true\n\t\t\tthis.getFileInfo()\n\t\t})\n\t\tsubscribe('Text::hideRichWorkspace', () => {\n\t\t\tthis.enabled = false\n\t\t})\n\t},\n\tmethods: {\n\t\tunfocus() {\n\t\t\t// setTimeout(() => this.focus = false, 2000)\n\t\t},\n\t\treset() {\n\t\t\tthis.file = null\n\t\t\tthis.focus = false\n\t\t\tthis.$nextTick(() => {\n\t\t\t\tthis.creating = false\n\t\t\t\tthis.getFileInfo()\n\t\t\t})\n\t\t},\n\t\tgetFileInfo() {\n\t\t\tthis.loaded = false\n\t\t\tthis.autofocus = false\n\t\t\tthis.ready = false\n\t\t\tconst params = { path: this.path }\n\t\t\tif (IS_PUBLIC) {\n\t\t\t\tparams.shareToken = this.shareToken\n\t\t\t}\n\t\t\treturn axios.get(WORKSPACE_URL, { params }).then((response) => {\n\t\t\t\tconst data = response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = data.file\n\t\t\t\tthis.editing = true\n\t\t\t\tthis.loaded = true\n\t\t\t\treturn true\n\t\t\t}).catch((error) => {\n\t\t\t\tconst data = error.response.data.ocs.data\n\t\t\t\tthis.folder = data.folder || null\n\t\t\t\tthis.file = null\n\t\t\t\tthis.loaded = true\n\t\t\t\tthis.ready = true\n\t\t\t\tthis.creating = false\n\t\t\t\treturn false\n\t\t\t})\n\t\t},\n\t\tcreateNew() {\n\t\t\tif (this.creating) {\n\t\t\t\treturn\n\t\t\t}\n\t\t\tthis.creating = true\n\t\t\tthis.getFileInfo().then((workspaceFileExists) => {\n\t\t\t\tthis.autofocus = true\n\t\t\t\tif (!workspaceFileExists) {\n\t\t\t\t\twindow.FileList.createFile('Readme.md', { scrollTo: false, animate: false }).then((status, data) => {\n\t\t\t\t\t\tthis.getFileInfo()\n\t\t\t\t\t})\n\t\t\t\t}\n\t\t\t})\n\t\t},\n\t},\n}\n</script>\n\n<style lang=\"scss\" scoped>\n\t#rich-workspace {\n\t\tpadding: 0 60px;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -24px;\n\t\ttext-align: left;\n\t\tmax-height: 0;\n\t\ttransition: max-height 0.5s cubic-bezier(0, 1, 0, 1);\n\t\t&.creatable {\n\t\t\tmin-height: 90px;\n\t\t}\n\t}\n\n\t/* For subfolders, where there are no Recommendations */\n\t#rich-workspace:only-child {\n\t\tmargin-bottom: 0;\n\t}\n\n\t.empty-workspace {\n\t\tpadding-top: 43px;\n\t\tcolor: var(--color-text-maxcontrast);\n\t\theight: 0;\n\t}\n\n\t#rich-workspace::v-deep div[contenteditable=false] {\n\t\twidth: 100%;\n\t\tpadding: 0px;\n\t\tbackground-color: var(--color-main-background);\n\t\topacity: 1;\n\t\tborder: none;\n\t}\n\n\t#rich-workspace::v-deep #editor-container {\n\t\theight: 100%;\n\t\tposition: unset !important;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper {\n\t\tposition: unset !important;\n\t\toverflow: visible;\n\t}\n\n\t#rich-workspace::v-deep #editor {\n\t\toverflow: scroll !important;\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace::v-deep #editor-wrapper .ProseMirror {\n\t\tpadding: 0px;\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace::v-deep .menubar {\n\t\tz-index: 50;\n\t\t/* Slightly reduce vertical space */\n\t\tmargin-bottom: -10px;\n\t}\n\n\t#rich-workspace::v-deep .menubar .menubar-icons {\n\t\tmargin-left: 0;\n\t}\n\n\t#rich-workspace::v-deep .editor__content {\n\t\tmargin: 0;\n\t}\n\n\t#rich-workspace.focus {\n\t\tmax-height: 50vh;\n\t}\n\n\t#rich-workspace:not(.focus) {\n\t\tmax-height: 30vh;\n\t\tposition: relative;\n\t\toverflow: hidden;\n\t}\n\n\t#rich-workspace:not(.focus):not(.icon-loading):after {\n\t\tcontent: '';\n\t\tposition: absolute;\n\t\tz-index: 1;\n\t\tbottom: 0;\n\t\tleft: 0;\n\t\tpointer-events: none;\n\t\tbackground-image: linear-gradient(to bottom, rgba(255, 255, 255, 0), var(--color-main-background));\n\t\twidth: 100%;\n\t\theight: 4em;\n\t}\n\n\t#rich-workspace.dark:not(.focus):not(.icon-loading):after {\n\t\tbackground-image: linear-gradient(to bottom, rgba(0, 0, 0, 0), var(--color-main-background));\n\t}\n\n\t@media only screen and (max-width: 1024px) {\n\t\t#rich-workspace:not(.focus) {\n\t\t\tmax-height: 30vh;\n\t\t}\n\t}\n\n</style>\n","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=script&lang=js&\"","import { render, staticRenderFns } from \"./RichWorkspace.vue?vue&type=template&id=4bea3b82&scoped=true&\"\nimport script from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nexport * from \"./RichWorkspace.vue?vue&type=script&lang=js&\"\nimport style0 from \"./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n \"4bea3b82\",\n null\n \n)\n\nexport default component.exports","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return (_vm.enabled)?_c('div',{class:{'icon-loading': !_vm.loaded || !_vm.ready, 'focus': _vm.focus, 'dark': _vm.darkTheme, 'creatable': _vm.canCreate},attrs:{\"id\":\"rich-workspace\"}},[(_vm.showEmptyWorkspace)?_c('div',{staticClass:\"empty-workspace\",on:{\"click\":_vm.createNew}},[_c('p',{staticClass:\"placeholder\"},[_vm._v(\"\\n\\t\\t\\t\"+_vm._s(_vm.t('text', 'Add notes, lists or links …'))+\"\\n\\t\\t\")])]):_vm._e(),_vm._v(\" \"),(_vm.file)?_c('EditorWrapper',{directives:[{name:\"show\",rawName:\"v-show\",value:(_vm.ready),expression:\"ready\"}],key:_vm.file.id,attrs:{\"file-id\":_vm.file.id,\"relative-path\":_vm.file.path,\"share-token\":_vm.shareToken,\"active\":true,\"autohide\":true,\"mime\":_vm.file.mimetype,\"autofocus\":_vm.autofocus},on:{\"ready\":function($event){_vm.ready=true},\"focus\":function($event){_vm.focus=true},\"blur\":_vm.unfocus,\"error\":_vm.reset}}):_vm._e()],1):_vm._e()}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport { openMimetypes } from './mime'\nimport RichWorkspace from '../views/RichWorkspace'\nimport { imagePath } from '@nextcloud/router'\n\nconst FILE_ACTION_IDENTIFIER = 'Edit with text app'\n\nconst optimalPath = function(from, to) {\n\tconst current = from.split('/')\n\tconst target = to.split('/')\n\tcurrent.pop() // ignore filename\n\twhile (current[0] === target[0]) {\n\t\tcurrent.shift()\n\t\ttarget.shift()\n\t}\n\tconst relativePath = current.fill('..').concat(target)\n\tconst absolutePath = to.split('/')\n\treturn relativePath.length < absolutePath.length\n\t\t? relativePath.join('/')\n\t\t: to\n}\n\nconst registerFileCreate = () => {\n\tconst newFileMenuPlugin = {\n\t\tattach(menu) {\n\t\t\tconst fileList = menu.fileList\n\n\t\t\t// only attach to main file list, public view is not supported yet\n\t\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\t\treturn\n\t\t\t}\n\n\t\t\t// register the new menu entry\n\t\t\tmenu.addMenuEntry({\n\t\t\t\tid: 'file',\n\t\t\t\tdisplayName: t('text', 'New text document'),\n\t\t\t\ttemplateName: t('text', 'New text document') + '.md',\n\t\t\t\ticonClass: 'icon-filetype-text',\n\t\t\t\tfileType: 'file',\n\t\t\t\tactionHandler(name) {\n\t\t\t\t\tfileList.createFile(name).then(function(status, data) {\n\t\t\t\t\t\tconst fileInfoModel = new OCA.Files.FileInfoModel(data)\n\t\t\t\t\t\tif (typeof OCA.Viewer !== 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction('view', fileInfoModel, fileList)\n\t\t\t\t\t\t} else if (typeof OCA.Viewer === 'undefined') {\n\t\t\t\t\t\t\tOCA.Files.fileActions.triggerAction(FILE_ACTION_IDENTIFIER, fileInfoModel, fileList)\n\t\t\t\t\t\t}\n\t\t\t\t\t})\n\t\t\t\t},\n\t\t\t})\n\t\t},\n\t}\n\tOC.Plugins.register('OCA.Files.NewFileMenu', newFileMenuPlugin)\n}\n\nconst registerFileActionFallback = () => {\n\tconst sharingToken = document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\tconst dir = document.getElementById('dir').value\n\n\tif (!sharingToken || dir !== '') {\n\t\tconst ViewerRoot = document.createElement('div')\n\t\tViewerRoot.id = 'text-viewer-fallback'\n\t\tdocument.body.appendChild(ViewerRoot)\n\t\tconst registerAction = (mime) => OCA.Files.fileActions.register(\n\t\t\tmime,\n\t\t\tFILE_ACTION_IDENTIFIER,\n\t\t\tOC.PERMISSION_UPDATE | OC.PERMISSION_READ,\n\t\t\timagePath('core', 'actions/rename'),\n\t\t\t(filename) => {\n\t\t\t\tconst file = window.FileList.findFile(filename)\n\t\t\t\tPromise.all([\n\t\t\t\t\timport('vue'),\n\t\t\t\t\timport(/* webpackChunkName: \"files-modal\" */'./../components/PublicFilesEditor'),\n\t\t\t\t]).then((imports) => {\n\t\t\t\t\tconst path = window.FileList.getCurrentDirectory() + '/' + filename\n\t\t\t\t\tconst Vue = imports[0].default\n\t\t\t\t\tVue.prototype.t = window.t\n\t\t\t\t\tVue.prototype.n = window.n\n\t\t\t\t\tVue.prototype.OCA = window.OCA\n\t\t\t\t\tconst Editor = imports[1].default\n\t\t\t\t\tconst vm = new Vue({\n\t\t\t\t\t\trender: h => h(Editor, {\n\t\t\t\t\t\t\tprops: {\n\t\t\t\t\t\t\t\tfileId: file ? file.id : null,\n\t\t\t\t\t\t\t\tactive: true,\n\t\t\t\t\t\t\t\tshareToken: sharingToken,\n\t\t\t\t\t\t\t\trelativePath: path,\n\t\t\t\t\t\t\t\tmimeType: file.mimetype,\n\t\t\t\t\t\t\t},\n\t\t\t\t\t\t}),\n\t\t\t\t\t})\n\t\t\t\t\tvm.$mount(ViewerRoot)\n\t\t\t\t})\n\t\t\t},\n\t\t\tt('text', 'Edit')\n\t\t)\n\n\t\tfor (let i = 0; i < openMimetypes.length; i++) {\n\t\t\tregisterAction(openMimetypes[i])\n\t\t\tOCA.Files.fileActions.setDefault(openMimetypes[i], FILE_ACTION_IDENTIFIER)\n\t\t}\n\t}\n\n}\n\nconst FilesWorkspacePlugin = {\n\n\tel: null,\n\n\tattach(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\tthis.el = document.createElement('div')\n\t\tfileList.registerHeader({\n\t\t\tid: 'workspace',\n\t\t\tel: this.el,\n\t\t\trender: this.render.bind(this),\n\t\t\tpriority: 10,\n\t\t})\n\t},\n\n\trender(fileList) {\n\t\tif (fileList.id !== 'files' && fileList.id !== 'files.public') {\n\t\t\treturn\n\t\t}\n\n\t\timport('vue').then((module) => {\n\t\t\tconst Vue = module.default\n\t\t\tthis.el.id = 'files-workspace-wrapper'\n\t\t\tVue.prototype.t = window.t\n\t\t\tVue.prototype.n = window.n\n\t\t\tVue.prototype.OCA = window.OCA\n\t\t\tconst View = Vue.extend(RichWorkspace)\n\t\t\tconst vm = new View({\n\t\t\t\tpropsData: {\n\t\t\t\t\tpath: fileList.getCurrentDirectory(),\n\t\t\t\t},\n\t\t\t}).$mount(this.el)\n\n\t\t\tfileList.$el.on('changeDirectory', data => {\n\t\t\t\tvm.path = data.dir.toString()\n\t\t\t})\n\t\t})\n\t},\n}\n\nexport {\n\toptimalPath,\n\tregisterFileActionFallback,\n\tregisterFileCreate,\n\tFilesWorkspacePlugin,\n\tFILE_ACTION_IDENTIFIER,\n}\n","\"use strict\";\n\nrequire(\"core-js/modules/es.object.assign\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.default = void 0;\n\nvar _axios = _interopRequireDefault(require(\"axios\"));\n\nvar _auth = require(\"@nextcloud/auth\");\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nvar client = _axios.default.create({\n headers: {\n requesttoken: (0, _auth.getRequestToken)()\n }\n});\n\nvar cancelableClient = Object.assign(client, {\n CancelToken: _axios.default.CancelToken,\n isCancel: _axios.default.isCancel\n});\n(0, _auth.onRequestTokenUpdate)(function (token) {\n return client.defaults.headers.requesttoken = token;\n});\nvar _default = cancelableClient;\nexports.default = _default;\n//# sourceMappingURL=index.js.map","var aFunction = require('../internals/a-function');\n\n// optional / simple context binding\nmodule.exports = function (fn, that, length) {\n aFunction(fn);\n if (that === undefined) return fn;\n switch (length) {\n case 0: return function () {\n return fn.call(that);\n };\n case 1: return function (a) {\n return fn.call(that, a);\n };\n case 2: return function (a, b) {\n return fn.call(that, a, b);\n };\n case 3: return function (a, b, c) {\n return fn.call(that, a, b, c);\n };\n }\n return function (/* ...args */) {\n return fn.apply(that, arguments);\n };\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar has = require('../internals/has');\n\nvar defineProperty = Object.defineProperty;\nvar cache = {};\n\nvar thrower = function (it) { throw it; };\n\nmodule.exports = function (METHOD_NAME, options) {\n if (has(cache, METHOD_NAME)) return cache[METHOD_NAME];\n if (!options) options = {};\n var method = [][METHOD_NAME];\n var ACCESSORS = has(options, 'ACCESSORS') ? options.ACCESSORS : false;\n var argument0 = has(options, 0) ? options[0] : thrower;\n var argument1 = has(options, 1) ? options[1] : undefined;\n\n return cache[METHOD_NAME] = !!method && !fails(function () {\n if (ACCESSORS && !DESCRIPTORS) return true;\n var O = { length: -1 };\n\n if (ACCESSORS) defineProperty(O, 1, { enumerable: true, get: thrower });\n else O[1] = 1;\n\n method.call(O, argument0, argument1);\n });\n};\n","var anObject = require('../internals/an-object');\nvar defineProperties = require('../internals/object-define-properties');\nvar enumBugKeys = require('../internals/enum-bug-keys');\nvar hiddenKeys = require('../internals/hidden-keys');\nvar html = require('../internals/html');\nvar documentCreateElement = require('../internals/document-create-element');\nvar sharedKey = require('../internals/shared-key');\n\nvar GT = '>';\nvar LT = '<';\nvar PROTOTYPE = 'prototype';\nvar SCRIPT = 'script';\nvar IE_PROTO = sharedKey('IE_PROTO');\n\nvar EmptyConstructor = function () { /* empty */ };\n\nvar scriptTag = function (content) {\n return LT + SCRIPT + GT + content + LT + '/' + SCRIPT + GT;\n};\n\n// Create object with fake `null` prototype: use ActiveX Object with cleared prototype\nvar NullProtoObjectViaActiveX = function (activeXDocument) {\n activeXDocument.write(scriptTag(''));\n activeXDocument.close();\n var temp = activeXDocument.parentWindow.Object;\n activeXDocument = null; // avoid memory leak\n return temp;\n};\n\n// Create object with fake `null` prototype: use iframe Object with cleared prototype\nvar NullProtoObjectViaIFrame = function () {\n // Thrash, waste and sodomy: IE GC bug\n var iframe = documentCreateElement('iframe');\n var JS = 'java' + SCRIPT + ':';\n var iframeDocument;\n iframe.style.display = 'none';\n html.appendChild(iframe);\n // https://github.com/zloirock/core-js/issues/475\n iframe.src = String(JS);\n iframeDocument = iframe.contentWindow.document;\n iframeDocument.open();\n iframeDocument.write(scriptTag('document.F=Object'));\n iframeDocument.close();\n return iframeDocument.F;\n};\n\n// Check for document.domain and active x support\n// No need to use active x approach when document.domain is not set\n// see https://github.com/es-shims/es5-shim/issues/150\n// variation of https://github.com/kitcambridge/es5-shim/commit/4f738ac066346\n// avoid IE GC bug\nvar activeXDocument;\nvar NullProtoObject = function () {\n try {\n /* global ActiveXObject */\n activeXDocument = document.domain && new ActiveXObject('htmlfile');\n } catch (error) { /* ignore */ }\n NullProtoObject = activeXDocument ? NullProtoObjectViaActiveX(activeXDocument) : NullProtoObjectViaIFrame();\n var length = enumBugKeys.length;\n while (length--) delete NullProtoObject[PROTOTYPE][enumBugKeys[length]];\n return NullProtoObject();\n};\n\nhiddenKeys[IE_PROTO] = true;\n\n// `Object.create` method\n// https://tc39.github.io/ecma262/#sec-object.create\nmodule.exports = Object.create || function create(O, Properties) {\n var result;\n if (O !== null) {\n EmptyConstructor[PROTOTYPE] = anObject(O);\n result = new EmptyConstructor();\n EmptyConstructor[PROTOTYPE] = null;\n // add \"__proto__\" for Object.getPrototypeOf polyfill\n result[IE_PROTO] = O;\n } else result = NullProtoObject();\n return Properties === undefined ? result : defineProperties(result, Properties);\n};\n","var defineProperty = require('../internals/object-define-property').f;\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n\nmodule.exports = function (it, TAG, STATIC) {\n if (it && !has(it = STATIC ? it : it.prototype, TO_STRING_TAG)) {\n defineProperty(it, TO_STRING_TAG, { configurable: true, value: TAG });\n }\n};\n","var isObject = require('../internals/is-object');\n\n// `ToPrimitive` abstract operation\n// https://tc39.github.io/ecma262/#sec-toprimitive\n// instead of the ES6 spec version, we didn't implement @@toPrimitive case\n// and the second argument - flag - preferred type is a string\nmodule.exports = function (input, PREFERRED_STRING) {\n if (!isObject(input)) return input;\n var fn, val;\n if (PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n if (typeof (fn = input.valueOf) == 'function' && !isObject(val = fn.call(input))) return val;\n if (!PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n throw TypeError(\"Can't convert object to primitive value\");\n};\n","module.exports = false;\n","var fails = require('../internals/fails');\nvar classof = require('../internals/classof-raw');\n\nvar split = ''.split;\n\n// fallback for non-array-like ES3 and non-enumerable old V8 strings\nmodule.exports = fails(function () {\n // throws an error in rhino, see https://github.com/mozilla/rhino/issues/346\n // eslint-disable-next-line no-prototype-builtins\n return !Object('z').propertyIsEnumerable(0);\n}) ? function (it) {\n return classof(it) == 'String' ? split.call(it, '') : Object(it);\n} : Object;\n","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nmodule.exports = function (key, value) {\n try {\n createNonEnumerableProperty(global, key, value);\n } catch (error) {\n global[key] = value;\n } return value;\n};\n","var shared = require('../internals/shared');\nvar uid = require('../internals/uid');\n\nvar keys = shared('keys');\n\nmodule.exports = function (key) {\n return keys[key] || (keys[key] = uid(key));\n};\n","var id = 0;\nvar postfix = Math.random();\n\nmodule.exports = function (key) {\n return 'Symbol(' + String(key === undefined ? '' : key) + ')_' + (++id + postfix).toString(36);\n};\n","// IE8- don't enum bug keys\nmodule.exports = [\n 'constructor',\n 'hasOwnProperty',\n 'isPrototypeOf',\n 'propertyIsEnumerable',\n 'toLocaleString',\n 'toString',\n 'valueOf'\n];\n","// Note: this is the semver.org version of the spec that it implements\n// Not necessarily the package version of this code.\nconst SEMVER_SPEC_VERSION = '2.0.0'\n\nconst MAX_LENGTH = 256\nconst MAX_SAFE_INTEGER = Number.MAX_SAFE_INTEGER ||\n /* istanbul ignore next */ 9007199254740991\n\n// Max safe segment length for coercion.\nconst MAX_SAFE_COMPONENT_LENGTH = 16\n\nmodule.exports = {\n SEMVER_SPEC_VERSION,\n MAX_LENGTH,\n MAX_SAFE_INTEGER,\n MAX_SAFE_COMPONENT_LENGTH\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar createIteratorConstructor = require('../internals/create-iterator-constructor');\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\nvar Iterators = require('../internals/iterators');\nvar IteratorsCore = require('../internals/iterators-core');\n\nvar IteratorPrototype = IteratorsCore.IteratorPrototype;\nvar BUGGY_SAFARI_ITERATORS = IteratorsCore.BUGGY_SAFARI_ITERATORS;\nvar ITERATOR = wellKnownSymbol('iterator');\nvar KEYS = 'keys';\nvar VALUES = 'values';\nvar ENTRIES = 'entries';\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (Iterable, NAME, IteratorConstructor, next, DEFAULT, IS_SET, FORCED) {\n createIteratorConstructor(IteratorConstructor, NAME, next);\n\n var getIterationMethod = function (KIND) {\n if (KIND === DEFAULT && defaultIterator) return defaultIterator;\n if (!BUGGY_SAFARI_ITERATORS && KIND in IterablePrototype) return IterablePrototype[KIND];\n switch (KIND) {\n case KEYS: return function keys() { return new IteratorConstructor(this, KIND); };\n case VALUES: return function values() { return new IteratorConstructor(this, KIND); };\n case ENTRIES: return function entries() { return new IteratorConstructor(this, KIND); };\n } return function () { return new IteratorConstructor(this); };\n };\n\n var TO_STRING_TAG = NAME + ' Iterator';\n var INCORRECT_VALUES_NAME = false;\n var IterablePrototype = Iterable.prototype;\n var nativeIterator = IterablePrototype[ITERATOR]\n || IterablePrototype['@@iterator']\n || DEFAULT && IterablePrototype[DEFAULT];\n var defaultIterator = !BUGGY_SAFARI_ITERATORS && nativeIterator || getIterationMethod(DEFAULT);\n var anyNativeIterator = NAME == 'Array' ? IterablePrototype.entries || nativeIterator : nativeIterator;\n var CurrentIteratorPrototype, methods, KEY;\n\n // fix native\n if (anyNativeIterator) {\n CurrentIteratorPrototype = getPrototypeOf(anyNativeIterator.call(new Iterable()));\n if (IteratorPrototype !== Object.prototype && CurrentIteratorPrototype.next) {\n if (!IS_PURE && getPrototypeOf(CurrentIteratorPrototype) !== IteratorPrototype) {\n if (setPrototypeOf) {\n setPrototypeOf(CurrentIteratorPrototype, IteratorPrototype);\n } else if (typeof CurrentIteratorPrototype[ITERATOR] != 'function') {\n createNonEnumerableProperty(CurrentIteratorPrototype, ITERATOR, returnThis);\n }\n }\n // Set @@toStringTag to native iterators\n setToStringTag(CurrentIteratorPrototype, TO_STRING_TAG, true, true);\n if (IS_PURE) Iterators[TO_STRING_TAG] = returnThis;\n }\n }\n\n // fix Array#{values, @@iterator}.name in V8 / FF\n if (DEFAULT == VALUES && nativeIterator && nativeIterator.name !== VALUES) {\n INCORRECT_VALUES_NAME = true;\n defaultIterator = function values() { return nativeIterator.call(this); };\n }\n\n // define iterator\n if ((!IS_PURE || FORCED) && IterablePrototype[ITERATOR] !== defaultIterator) {\n createNonEnumerableProperty(IterablePrototype, ITERATOR, defaultIterator);\n }\n Iterators[NAME] = defaultIterator;\n\n // export additional methods\n if (DEFAULT) {\n methods = {\n values: getIterationMethod(VALUES),\n keys: IS_SET ? defaultIterator : getIterationMethod(KEYS),\n entries: getIterationMethod(ENTRIES)\n };\n if (FORCED) for (KEY in methods) {\n if (BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME || !(KEY in IterablePrototype)) {\n redefine(IterablePrototype, KEY, methods[KEY]);\n }\n } else $({ target: NAME, proto: true, forced: BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME }, methods);\n }\n\n return methods;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar test = {};\n\ntest[TO_STRING_TAG] = 'z';\n\nmodule.exports = String(test) === '[object z]';\n","'use strict';\nvar regexpFlags = require('./regexp-flags');\nvar stickyHelpers = require('./regexp-sticky-helpers');\n\nvar nativeExec = RegExp.prototype.exec;\n// This always refers to the native implementation, because the\n// String#replace polyfill uses ./fix-regexp-well-known-symbol-logic.js,\n// which loads this file before patching the method.\nvar nativeReplace = String.prototype.replace;\n\nvar patchedExec = nativeExec;\n\nvar UPDATES_LAST_INDEX_WRONG = (function () {\n var re1 = /a/;\n var re2 = /b*/g;\n nativeExec.call(re1, 'a');\n nativeExec.call(re2, 'a');\n return re1.lastIndex !== 0 || re2.lastIndex !== 0;\n})();\n\nvar UNSUPPORTED_Y = stickyHelpers.UNSUPPORTED_Y || stickyHelpers.BROKEN_CARET;\n\n// nonparticipating capturing group, copied from es5-shim's String#split patch.\nvar NPCG_INCLUDED = /()??/.exec('')[1] !== undefined;\n\nvar PATCH = UPDATES_LAST_INDEX_WRONG || NPCG_INCLUDED || UNSUPPORTED_Y;\n\nif (PATCH) {\n patchedExec = function exec(str) {\n var re = this;\n var lastIndex, reCopy, match, i;\n var sticky = UNSUPPORTED_Y && re.sticky;\n var flags = regexpFlags.call(re);\n var source = re.source;\n var charsAdded = 0;\n var strCopy = str;\n\n if (sticky) {\n flags = flags.replace('y', '');\n if (flags.indexOf('g') === -1) {\n flags += 'g';\n }\n\n strCopy = String(str).slice(re.lastIndex);\n // Support anchored sticky behavior.\n if (re.lastIndex > 0 && (!re.multiline || re.multiline && str[re.lastIndex - 1] !== '\\n')) {\n source = '(?: ' + source + ')';\n strCopy = ' ' + strCopy;\n charsAdded++;\n }\n // ^(? + rx + ) is needed, in combination with some str slicing, to\n // simulate the 'y' flag.\n reCopy = new RegExp('^(?:' + source + ')', flags);\n }\n\n if (NPCG_INCLUDED) {\n reCopy = new RegExp('^' + source + '$(?!\\\\s)', flags);\n }\n if (UPDATES_LAST_INDEX_WRONG) lastIndex = re.lastIndex;\n\n match = nativeExec.call(sticky ? reCopy : re, strCopy);\n\n if (sticky) {\n if (match) {\n match.input = match.input.slice(charsAdded);\n match[0] = match[0].slice(charsAdded);\n match.index = re.lastIndex;\n re.lastIndex += match[0].length;\n } else re.lastIndex = 0;\n } else if (UPDATES_LAST_INDEX_WRONG && match) {\n re.lastIndex = re.global ? match.index + match[0].length : lastIndex;\n }\n if (NPCG_INCLUDED && match && match.length > 1) {\n // Fix browsers whose `exec` methods don't consistently return `undefined`\n // for NPCG, like IE8. NOTE: This doesn' work for /(.?)?/\n nativeReplace.call(match[0], reCopy, function () {\n for (i = 1; i < arguments.length - 2; i++) {\n if (arguments[i] === undefined) match[i] = undefined;\n }\n });\n }\n\n return match;\n };\n}\n\nmodule.exports = patchedExec;\n","var g;\n\n// This works in non-strict mode\ng = (function() {\n\treturn this;\n})();\n\ntry {\n\t// This works if eval is allowed (see CSP)\n\tg = g || new Function(\"return this\")();\n} catch (e) {\n\t// This works if the window reference is available\n\tif (typeof window === \"object\") g = window;\n}\n\n// g can still be undefined, but nothing to do about it...\n// We return undefined, instead of nothing here, so it's\n// easier to handle this case. if(!global) { ...}\n\nmodule.exports = g;\n","// shim for using process in browser\nvar process = module.exports = {};\n\n// cached from whatever global is present so that test runners that stub it\n// don't break things. But we need to wrap it in a try catch in case it is\n// wrapped in strict mode code which doesn't define any globals. It's inside a\n// function because try/catches deoptimize in certain engines.\n\nvar cachedSetTimeout;\nvar cachedClearTimeout;\n\nfunction defaultSetTimout() {\n throw new Error('setTimeout has not been defined');\n}\nfunction defaultClearTimeout () {\n throw new Error('clearTimeout has not been defined');\n}\n(function () {\n try {\n if (typeof setTimeout === 'function') {\n cachedSetTimeout = setTimeout;\n } else {\n cachedSetTimeout = defaultSetTimout;\n }\n } catch (e) {\n cachedSetTimeout = defaultSetTimout;\n }\n try {\n if (typeof clearTimeout === 'function') {\n cachedClearTimeout = clearTimeout;\n } else {\n cachedClearTimeout = defaultClearTimeout;\n }\n } catch (e) {\n cachedClearTimeout = defaultClearTimeout;\n }\n} ())\nfunction runTimeout(fun) {\n if (cachedSetTimeout === setTimeout) {\n //normal enviroments in sane situations\n return setTimeout(fun, 0);\n }\n // if setTimeout wasn't available but was latter defined\n if ((cachedSetTimeout === defaultSetTimout || !cachedSetTimeout) && setTimeout) {\n cachedSetTimeout = setTimeout;\n return setTimeout(fun, 0);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedSetTimeout(fun, 0);\n } catch(e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedSetTimeout.call(null, fun, 0);\n } catch(e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error\n return cachedSetTimeout.call(this, fun, 0);\n }\n }\n\n\n}\nfunction runClearTimeout(marker) {\n if (cachedClearTimeout === clearTimeout) {\n //normal enviroments in sane situations\n return clearTimeout(marker);\n }\n // if clearTimeout wasn't available but was latter defined\n if ((cachedClearTimeout === defaultClearTimeout || !cachedClearTimeout) && clearTimeout) {\n cachedClearTimeout = clearTimeout;\n return clearTimeout(marker);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedClearTimeout(marker);\n } catch (e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedClearTimeout.call(null, marker);\n } catch (e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error.\n // Some versions of I.E. have different rules for clearTimeout vs setTimeout\n return cachedClearTimeout.call(this, marker);\n }\n }\n\n\n\n}\nvar queue = [];\nvar draining = false;\nvar currentQueue;\nvar queueIndex = -1;\n\nfunction cleanUpNextTick() {\n if (!draining || !currentQueue) {\n return;\n }\n draining = false;\n if (currentQueue.length) {\n queue = currentQueue.concat(queue);\n } else {\n queueIndex = -1;\n }\n if (queue.length) {\n drainQueue();\n }\n}\n\nfunction drainQueue() {\n if (draining) {\n return;\n }\n var timeout = runTimeout(cleanUpNextTick);\n draining = true;\n\n var len = queue.length;\n while(len) {\n currentQueue = queue;\n queue = [];\n while (++queueIndex < len) {\n if (currentQueue) {\n currentQueue[queueIndex].run();\n }\n }\n queueIndex = -1;\n len = queue.length;\n }\n currentQueue = null;\n draining = false;\n runClearTimeout(timeout);\n}\n\nprocess.nextTick = function (fun) {\n var args = new Array(arguments.length - 1);\n if (arguments.length > 1) {\n for (var i = 1; i < arguments.length; i++) {\n args[i - 1] = arguments[i];\n }\n }\n queue.push(new Item(fun, args));\n if (queue.length === 1 && !draining) {\n runTimeout(drainQueue);\n }\n};\n\n// v8 likes predictible objects\nfunction Item(fun, array) {\n this.fun = fun;\n this.array = array;\n}\nItem.prototype.run = function () {\n this.fun.apply(null, this.array);\n};\nprocess.title = 'browser';\nprocess.browser = true;\nprocess.env = {};\nprocess.argv = [];\nprocess.version = ''; // empty string to avoid regexp issues\nprocess.versions = {};\n\nfunction noop() {}\n\nprocess.on = noop;\nprocess.addListener = noop;\nprocess.once = noop;\nprocess.off = noop;\nprocess.removeListener = noop;\nprocess.removeAllListeners = noop;\nprocess.emit = noop;\nprocess.prependListener = noop;\nprocess.prependOnceListener = noop;\n\nprocess.listeners = function (name) { return [] }\n\nprocess.binding = function (name) {\n throw new Error('process.binding is not supported');\n};\n\nprocess.cwd = function () { return '/' };\nprocess.chdir = function (dir) {\n throw new Error('process.chdir is not supported');\n};\nprocess.umask = function() { return 0; };\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.concat\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.loadState = loadState;\n\n/**\n * @param app app ID, e.g. \"mail\"\n * @param key name of the property\n * @throws if the key can't be found\n */\nfunction loadState(app, key) {\n var elem = document.querySelector(\"#initial-state-\".concat(app, \"-\").concat(key));\n\n if (elem === null) {\n throw new Error(\"Could not find initial state \".concat(key, \" of \").concat(app));\n }\n\n try {\n return JSON.parse(atob(elem.value));\n } catch (e) {\n throw new Error(\"Could not parse initial state \".concat(key, \" of \").concat(app));\n }\n}\n//# sourceMappingURL=index.js.map","\"use strict\";\n\n/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n*/\n// css base code, injected by the css-loader\n// eslint-disable-next-line func-names\nmodule.exports = function (useSourceMap) {\n var list = []; // return the list of modules as css string\n\n list.toString = function toString() {\n return this.map(function (item) {\n var content = cssWithMappingToString(item, useSourceMap);\n\n if (item[2]) {\n return \"@media \".concat(item[2], \" {\").concat(content, \"}\");\n }\n\n return content;\n }).join('');\n }; // import a list of modules into the list\n // eslint-disable-next-line func-names\n\n\n list.i = function (modules, mediaQuery, dedupe) {\n if (typeof modules === 'string') {\n // eslint-disable-next-line no-param-reassign\n modules = [[null, modules, '']];\n }\n\n var alreadyImportedModules = {};\n\n if (dedupe) {\n for (var i = 0; i < this.length; i++) {\n // eslint-disable-next-line prefer-destructuring\n var id = this[i][0];\n\n if (id != null) {\n alreadyImportedModules[id] = true;\n }\n }\n }\n\n for (var _i = 0; _i < modules.length; _i++) {\n var item = [].concat(modules[_i]);\n\n if (dedupe && alreadyImportedModules[item[0]]) {\n // eslint-disable-next-line no-continue\n continue;\n }\n\n if (mediaQuery) {\n if (!item[2]) {\n item[2] = mediaQuery;\n } else {\n item[2] = \"\".concat(mediaQuery, \" and \").concat(item[2]);\n }\n }\n\n list.push(item);\n }\n };\n\n return list;\n};\n\nfunction cssWithMappingToString(item, useSourceMap) {\n var content = item[1] || ''; // eslint-disable-next-line prefer-destructuring\n\n var cssMapping = item[3];\n\n if (!cssMapping) {\n return content;\n }\n\n if (useSourceMap && typeof btoa === 'function') {\n var sourceMapping = toComment(cssMapping);\n var sourceURLs = cssMapping.sources.map(function (source) {\n return \"/*# sourceURL=\".concat(cssMapping.sourceRoot || '').concat(source, \" */\");\n });\n return [content].concat(sourceURLs).concat([sourceMapping]).join('\\n');\n }\n\n return [content].join('\\n');\n} // Adapted from convert-source-map (MIT)\n\n\nfunction toComment(sourceMap) {\n // eslint-disable-next-line no-undef\n var base64 = btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap))));\n var data = \"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(base64);\n return \"/*# \".concat(data, \" */\");\n}","/**\n * Translates the list format produced by css-loader into something\n * easier to manipulate.\n */\nexport default function listToStyles (parentId, list) {\n var styles = []\n var newStyles = {}\n for (var i = 0; i < list.length; i++) {\n var item = list[i]\n var id = item[0]\n var css = item[1]\n var media = item[2]\n var sourceMap = item[3]\n var part = {\n id: parentId + ':' + i,\n css: css,\n media: media,\n sourceMap: sourceMap\n }\n if (!newStyles[id]) {\n styles.push(newStyles[id] = { id: id, parts: [part] })\n } else {\n newStyles[id].parts.push(part)\n }\n }\n return styles\n}\n","/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n Modified by Evan You @yyx990803\n*/\n\nimport listToStyles from './listToStyles'\n\nvar hasDocument = typeof document !== 'undefined'\n\nif (typeof DEBUG !== 'undefined' && DEBUG) {\n if (!hasDocument) {\n throw new Error(\n 'vue-style-loader cannot be used in a non-browser environment. ' +\n \"Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\"\n ) }\n}\n\n/*\ntype StyleObject = {\n id: number;\n parts: Array<StyleObjectPart>\n}\n\ntype StyleObjectPart = {\n css: string;\n media: string;\n sourceMap: ?string\n}\n*/\n\nvar stylesInDom = {/*\n [id: number]: {\n id: number,\n refs: number,\n parts: Array<(obj?: StyleObjectPart) => void>\n }\n*/}\n\nvar head = hasDocument && (document.head || document.getElementsByTagName('head')[0])\nvar singletonElement = null\nvar singletonCounter = 0\nvar isProduction = false\nvar noop = function () {}\nvar options = null\nvar ssrIdKey = 'data-vue-ssr-id'\n\n// Force single-tag solution on IE6-9, which has a hard limit on the # of <style>\n// tags it will allow on a page\nvar isOldIE = typeof navigator !== 'undefined' && /msie [6-9]\\b/.test(navigator.userAgent.toLowerCase())\n\nexport default function addStylesClient (parentId, list, _isProduction, _options) {\n isProduction = _isProduction\n\n options = _options || {}\n\n var styles = listToStyles(parentId, list)\n addStylesToDom(styles)\n\n return function update (newList) {\n var mayRemove = []\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n domStyle.refs--\n mayRemove.push(domStyle)\n }\n if (newList) {\n styles = listToStyles(parentId, newList)\n addStylesToDom(styles)\n } else {\n styles = []\n }\n for (var i = 0; i < mayRemove.length; i++) {\n var domStyle = mayRemove[i]\n if (domStyle.refs === 0) {\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j]()\n }\n delete stylesInDom[domStyle.id]\n }\n }\n }\n}\n\nfunction addStylesToDom (styles /* Array<StyleObject> */) {\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n if (domStyle) {\n domStyle.refs++\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j](item.parts[j])\n }\n for (; j < item.parts.length; j++) {\n domStyle.parts.push(addStyle(item.parts[j]))\n }\n if (domStyle.parts.length > item.parts.length) {\n domStyle.parts.length = item.parts.length\n }\n } else {\n var parts = []\n for (var j = 0; j < item.parts.length; j++) {\n parts.push(addStyle(item.parts[j]))\n }\n stylesInDom[item.id] = { id: item.id, refs: 1, parts: parts }\n }\n }\n}\n\nfunction createStyleElement () {\n var styleElement = document.createElement('style')\n styleElement.type = 'text/css'\n head.appendChild(styleElement)\n return styleElement\n}\n\nfunction addStyle (obj /* StyleObjectPart */) {\n var update, remove\n var styleElement = document.querySelector('style[' + ssrIdKey + '~=\"' + obj.id + '\"]')\n\n if (styleElement) {\n if (isProduction) {\n // has SSR styles and in production mode.\n // simply do nothing.\n return noop\n } else {\n // has SSR styles but in dev mode.\n // for some reason Chrome can't handle source map in server-rendered\n // style tags - source maps in <style> only works if the style tag is\n // created and inserted dynamically. So we remove the server rendered\n // styles and inject new ones.\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n if (isOldIE) {\n // use singleton mode for IE9.\n var styleIndex = singletonCounter++\n styleElement = singletonElement || (singletonElement = createStyleElement())\n update = applyToSingletonTag.bind(null, styleElement, styleIndex, false)\n remove = applyToSingletonTag.bind(null, styleElement, styleIndex, true)\n } else {\n // use multi-style-tag mode in all other cases\n styleElement = createStyleElement()\n update = applyToTag.bind(null, styleElement)\n remove = function () {\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n update(obj)\n\n return function updateStyle (newObj /* StyleObjectPart */) {\n if (newObj) {\n if (newObj.css === obj.css &&\n newObj.media === obj.media &&\n newObj.sourceMap === obj.sourceMap) {\n return\n }\n update(obj = newObj)\n } else {\n remove()\n }\n }\n}\n\nvar replaceText = (function () {\n var textStore = []\n\n return function (index, replacement) {\n textStore[index] = replacement\n return textStore.filter(Boolean).join('\\n')\n }\n})()\n\nfunction applyToSingletonTag (styleElement, index, remove, obj) {\n var css = remove ? '' : obj.css\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = replaceText(index, css)\n } else {\n var cssNode = document.createTextNode(css)\n var childNodes = styleElement.childNodes\n if (childNodes[index]) styleElement.removeChild(childNodes[index])\n if (childNodes.length) {\n styleElement.insertBefore(cssNode, childNodes[index])\n } else {\n styleElement.appendChild(cssNode)\n }\n }\n}\n\nfunction applyToTag (styleElement, obj) {\n var css = obj.css\n var media = obj.media\n var sourceMap = obj.sourceMap\n\n if (media) {\n styleElement.setAttribute('media', media)\n }\n if (options.ssrId) {\n styleElement.setAttribute(ssrIdKey, obj.id)\n }\n\n if (sourceMap) {\n // https://developer.chrome.com/devtools/docs/javascript-debugging\n // this makes source maps inside style tags work properly in Chrome\n css += '\\n/*# sourceURL=' + sourceMap.sources[0] + ' */'\n // http://stackoverflow.com/a/26603875\n css += '\\n/*# sourceMappingURL=data:application/json;base64,' + btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap)))) + ' */'\n }\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = css\n } else {\n while (styleElement.firstChild) {\n styleElement.removeChild(styleElement.firstChild)\n }\n styleElement.appendChild(document.createTextNode(css))\n }\n}\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar redefine = require('../internals/redefine');\nvar toString = require('../internals/object-to-string');\n\n// `Object.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nif (!TO_STRING_TAG_SUPPORT) {\n redefine(Object.prototype, 'toString', toString, { unsafe: true });\n}\n","'use strict';\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar addToUnscopables = require('../internals/add-to-unscopables');\nvar Iterators = require('../internals/iterators');\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar ARRAY_ITERATOR = 'Array Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(ARRAY_ITERATOR);\n\n// `Array.prototype.entries` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.entries\n// `Array.prototype.keys` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.keys\n// `Array.prototype.values` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.values\n// `Array.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@iterator\n// `CreateArrayIterator` internal method\n// https://tc39.github.io/ecma262/#sec-createarrayiterator\nmodule.exports = defineIterator(Array, 'Array', function (iterated, kind) {\n setInternalState(this, {\n type: ARRAY_ITERATOR,\n target: toIndexedObject(iterated), // target\n index: 0, // next index\n kind: kind // kind\n });\n// `%ArrayIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%arrayiteratorprototype%.next\n}, function () {\n var state = getInternalState(this);\n var target = state.target;\n var kind = state.kind;\n var index = state.index++;\n if (!target || index >= target.length) {\n state.target = undefined;\n return { value: undefined, done: true };\n }\n if (kind == 'keys') return { value: index, done: false };\n if (kind == 'values') return { value: target[index], done: false };\n return { value: [index, target[index]], done: false };\n}, 'values');\n\n// argumentsList[@@iterator] is %ArrayProto_values%\n// https://tc39.github.io/ecma262/#sec-createunmappedargumentsobject\n// https://tc39.github.io/ecma262/#sec-createmappedargumentsobject\nIterators.Arguments = Iterators.Array;\n\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\naddToUnscopables('keys');\naddToUnscopables('values');\naddToUnscopables('entries');\n","'use strict';\nvar $ = require('../internals/export');\nvar exec = require('../internals/regexp-exec');\n\n$({ target: 'RegExp', proto: true, forced: /./.exec !== exec }, {\n exec: exec\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar toPrimitive = require('../internals/to-primitive');\nvar has = require('../internals/has');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\n\nvar nativeGetOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// `Object.getOwnPropertyDescriptor` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertydescriptor\nexports.f = DESCRIPTORS ? nativeGetOwnPropertyDescriptor : function getOwnPropertyDescriptor(O, P) {\n O = toIndexedObject(O);\n P = toPrimitive(P, true);\n if (IE8_DOM_DEFINE) try {\n return nativeGetOwnPropertyDescriptor(O, P);\n } catch (error) { /* empty */ }\n if (has(O, P)) return createPropertyDescriptor(!propertyIsEnumerableModule.f.call(O, P), O[P]);\n};\n","var fails = require('../internals/fails');\n\nvar replacement = /#|\\.prototype\\./;\n\nvar isForced = function (feature, detection) {\n var value = data[normalize(feature)];\n return value == POLYFILL ? true\n : value == NATIVE ? false\n : typeof detection == 'function' ? fails(detection)\n : !!detection;\n};\n\nvar normalize = isForced.normalize = function (string) {\n return String(string).replace(replacement, '.').toLowerCase();\n};\n\nvar data = isForced.data = {};\nvar NATIVE = isForced.NATIVE = 'N';\nvar POLYFILL = isForced.POLYFILL = 'P';\n\nmodule.exports = isForced;\n","var fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (METHOD_NAME) {\n // We can't use this feature detection in V8 since it causes\n // deoptimization and serious performance degradation\n // https://github.com/zloirock/core-js/issues/677\n return V8_VERSION >= 51 || !fails(function () {\n var array = [];\n var constructor = array.constructor = {};\n constructor[SPECIES] = function () {\n return { foo: 1 };\n };\n return array[METHOD_NAME](Boolean).foo !== 1;\n });\n};\n","module.exports = function (it, Constructor, name) {\n if (!(it instanceof Constructor)) {\n throw TypeError('Incorrect ' + (name ? name + ' ' : '') + 'invocation');\n } return it;\n};\n","var $ = require('../internals/export');\nvar assign = require('../internals/object-assign');\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\n$({ target: 'Object', stat: true, forced: Object.assign !== assign }, {\n assign: assign\n});\n","var isObject = require('../internals/is-object');\nvar isArray = require('../internals/is-array');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar SPECIES = wellKnownSymbol('species');\n\n// `ArraySpeciesCreate` abstract operation\n// https://tc39.github.io/ecma262/#sec-arrayspeciescreate\nmodule.exports = function (originalArray, length) {\n var C;\n if (isArray(originalArray)) {\n C = originalArray.constructor;\n // cross-realm fallback\n if (typeof C == 'function' && (C === Array || isArray(C.prototype))) C = undefined;\n else if (isObject(C)) {\n C = C[SPECIES];\n if (C === null) C = undefined;\n }\n } return new (C === undefined ? Array : C)(length === 0 ? 0 : length);\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar fails = require('../internals/fails');\nvar isArray = require('../internals/is-array');\nvar isObject = require('../internals/is-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar createProperty = require('../internals/create-property');\nvar arraySpeciesCreate = require('../internals/array-species-create');\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar IS_CONCAT_SPREADABLE = wellKnownSymbol('isConcatSpreadable');\nvar MAX_SAFE_INTEGER = 0x1FFFFFFFFFFFFF;\nvar MAXIMUM_ALLOWED_INDEX_EXCEEDED = 'Maximum allowed index exceeded';\n\n// We can't use this feature detection in V8 since it causes\n// deoptimization and serious performance degradation\n// https://github.com/zloirock/core-js/issues/679\nvar IS_CONCAT_SPREADABLE_SUPPORT = V8_VERSION >= 51 || !fails(function () {\n var array = [];\n array[IS_CONCAT_SPREADABLE] = false;\n return array.concat()[0] !== array;\n});\n\nvar SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('concat');\n\nvar isConcatSpreadable = function (O) {\n if (!isObject(O)) return false;\n var spreadable = O[IS_CONCAT_SPREADABLE];\n return spreadable !== undefined ? !!spreadable : isArray(O);\n};\n\nvar FORCED = !IS_CONCAT_SPREADABLE_SUPPORT || !SPECIES_SUPPORT;\n\n// `Array.prototype.concat` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.concat\n// with adding support of @@isConcatSpreadable and @@species\n$({ target: 'Array', proto: true, forced: FORCED }, {\n concat: function concat(arg) { // eslint-disable-line no-unused-vars\n var O = toObject(this);\n var A = arraySpeciesCreate(O, 0);\n var n = 0;\n var i, k, length, len, E;\n for (i = -1, length = arguments.length; i < length; i++) {\n E = i === -1 ? O : arguments[i];\n if (isConcatSpreadable(E)) {\n len = toLength(E.length);\n if (n + len > MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n for (k = 0; k < len; k++, n++) if (k in E) createProperty(A, n, E[k]);\n } else {\n if (n >= MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n createProperty(A, n++, E);\n }\n }\n A.length = n;\n return A;\n }\n});\n","var global = require('../internals/global');\nvar isObject = require('../internals/is-object');\n\nvar document = global.document;\n// typeof document.createElement is 'object' in old IE\nvar EXISTS = isObject(document) && isObject(document.createElement);\n\nmodule.exports = function (it) {\n return EXISTS ? document.createElement(it) : {};\n};\n","var store = require('../internals/shared-store');\n\nvar functionToString = Function.toString;\n\n// this helper broken in `3.4.1-3.4.4`, so we can't use `shared` helper\nif (typeof store.inspectSource != 'function') {\n store.inspectSource = function (it) {\n return functionToString.call(it);\n };\n}\n\nmodule.exports = store.inspectSource;\n","var bind = require('../internals/function-bind-context');\nvar IndexedObject = require('../internals/indexed-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar arraySpeciesCreate = require('../internals/array-species-create');\n\nvar push = [].push;\n\n// `Array.prototype.{ forEach, map, filter, some, every, find, findIndex }` methods implementation\nvar createMethod = function (TYPE) {\n var IS_MAP = TYPE == 1;\n var IS_FILTER = TYPE == 2;\n var IS_SOME = TYPE == 3;\n var IS_EVERY = TYPE == 4;\n var IS_FIND_INDEX = TYPE == 6;\n var NO_HOLES = TYPE == 5 || IS_FIND_INDEX;\n return function ($this, callbackfn, that, specificCreate) {\n var O = toObject($this);\n var self = IndexedObject(O);\n var boundFunction = bind(callbackfn, that, 3);\n var length = toLength(self.length);\n var index = 0;\n var create = specificCreate || arraySpeciesCreate;\n var target = IS_MAP ? create($this, length) : IS_FILTER ? create($this, 0) : undefined;\n var value, result;\n for (;length > index; index++) if (NO_HOLES || index in self) {\n value = self[index];\n result = boundFunction(value, index, O);\n if (TYPE) {\n if (IS_MAP) target[index] = result; // map\n else if (result) switch (TYPE) {\n case 3: return true; // some\n case 5: return value; // find\n case 6: return index; // findIndex\n case 2: push.call(target, value); // filter\n } else if (IS_EVERY) return false; // every\n }\n }\n return IS_FIND_INDEX ? -1 : IS_SOME || IS_EVERY ? IS_EVERY : target;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.forEach` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n forEach: createMethod(0),\n // `Array.prototype.map` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.map\n map: createMethod(1),\n // `Array.prototype.filter` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.filter\n filter: createMethod(2),\n // `Array.prototype.some` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.some\n some: createMethod(3),\n // `Array.prototype.every` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.every\n every: createMethod(4),\n // `Array.prototype.find` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.find\n find: createMethod(5),\n // `Array.prototype.findIndex` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.findIndex\n findIndex: createMethod(6)\n};\n","'use strict';\nvar fails = require('../internals/fails');\n\nmodule.exports = function (METHOD_NAME, argument) {\n var method = [][METHOD_NAME];\n return !!method && fails(function () {\n // eslint-disable-next-line no-useless-call,no-throw-literal\n method.call(null, argument || function () { throw 1; }, 1);\n });\n};\n","var global = require('../internals/global');\nvar userAgent = require('../internals/engine-user-agent');\n\nvar process = global.process;\nvar versions = process && process.versions;\nvar v8 = versions && versions.v8;\nvar match, version;\n\nif (v8) {\n match = v8.split('.');\n version = match[0] + match[1];\n} else if (userAgent) {\n match = userAgent.match(/Edge\\/(\\d+)/);\n if (!match || match[1] >= 74) {\n match = userAgent.match(/Chrome\\/(\\d+)/);\n if (match) version = match[1];\n }\n}\n\nmodule.exports = version && +version;\n","var anObject = require('../internals/an-object');\nvar isArrayIteratorMethod = require('../internals/is-array-iterator-method');\nvar toLength = require('../internals/to-length');\nvar bind = require('../internals/function-bind-context');\nvar getIteratorMethod = require('../internals/get-iterator-method');\nvar callWithSafeIterationClosing = require('../internals/call-with-safe-iteration-closing');\n\nvar Result = function (stopped, result) {\n this.stopped = stopped;\n this.result = result;\n};\n\nvar iterate = module.exports = function (iterable, fn, that, AS_ENTRIES, IS_ITERATOR) {\n var boundFunction = bind(fn, that, AS_ENTRIES ? 2 : 1);\n var iterator, iterFn, index, length, result, next, step;\n\n if (IS_ITERATOR) {\n iterator = iterable;\n } else {\n iterFn = getIteratorMethod(iterable);\n if (typeof iterFn != 'function') throw TypeError('Target is not iterable');\n // optimisation for array iterators\n if (isArrayIteratorMethod(iterFn)) {\n for (index = 0, length = toLength(iterable.length); length > index; index++) {\n result = AS_ENTRIES\n ? boundFunction(anObject(step = iterable[index])[0], step[1])\n : boundFunction(iterable[index]);\n if (result && result instanceof Result) return result;\n } return new Result(false);\n }\n iterator = iterFn.call(iterable);\n }\n\n next = iterator.next;\n while (!(step = next.call(iterator)).done) {\n result = callWithSafeIterationClosing(iterator, boundFunction, step.value, AS_ENTRIES);\n if (typeof result == 'object' && result && result instanceof Result) return result;\n } return new Result(false);\n};\n\niterate.stop = function (result) {\n return new Result(true, result);\n};\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classofRaw = require('../internals/classof-raw');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n// ES3 wrong here\nvar CORRECT_ARGUMENTS = classofRaw(function () { return arguments; }()) == 'Arguments';\n\n// fallback for IE11 Script Access Denied error\nvar tryGet = function (it, key) {\n try {\n return it[key];\n } catch (error) { /* empty */ }\n};\n\n// getting tag from ES6+ `Object.prototype.toString`\nmodule.exports = TO_STRING_TAG_SUPPORT ? classofRaw : function (it) {\n var O, tag, result;\n return it === undefined ? 'Undefined' : it === null ? 'Null'\n // @@toStringTag case\n : typeof (tag = tryGet(O = Object(it), TO_STRING_TAG)) == 'string' ? tag\n // builtinTag case\n : CORRECT_ARGUMENTS ? classofRaw(O)\n // ES3 arguments fallback\n : (result = classofRaw(O)) == 'Object' && typeof O.callee == 'function' ? 'Arguments' : result;\n};\n","var toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `String.prototype.{ codePointAt, at }` methods implementation\nvar createMethod = function (CONVERT_TO_STRING) {\n return function ($this, pos) {\n var S = String(requireObjectCoercible($this));\n var position = toInteger(pos);\n var size = S.length;\n var first, second;\n if (position < 0 || position >= size) return CONVERT_TO_STRING ? '' : undefined;\n first = S.charCodeAt(position);\n return first < 0xD800 || first > 0xDBFF || position + 1 === size\n || (second = S.charCodeAt(position + 1)) < 0xDC00 || second > 0xDFFF\n ? CONVERT_TO_STRING ? S.charAt(position) : first\n : CONVERT_TO_STRING ? S.slice(position, position + 2) : (first - 0xD800 << 10) + (second - 0xDC00) + 0x10000;\n };\n};\n\nmodule.exports = {\n // `String.prototype.codePointAt` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.codepointat\n codeAt: createMethod(false),\n // `String.prototype.at` method\n // https://github.com/mathiasbynens/String.prototype.at\n charAt: createMethod(true)\n};\n","'use strict';\nvar nativePropertyIsEnumerable = {}.propertyIsEnumerable;\nvar getOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// Nashorn ~ JDK8 bug\nvar NASHORN_BUG = getOwnPropertyDescriptor && !nativePropertyIsEnumerable.call({ 1: 2 }, 1);\n\n// `Object.prototype.propertyIsEnumerable` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.propertyisenumerable\nexports.f = NASHORN_BUG ? function propertyIsEnumerable(V) {\n var descriptor = getOwnPropertyDescriptor(this, V);\n return !!descriptor && descriptor.enumerable;\n} : nativePropertyIsEnumerable;\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar createElement = require('../internals/document-create-element');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !DESCRIPTORS && !fails(function () {\n return Object.defineProperty(createElement('div'), 'a', {\n get: function () { return 7; }\n }).a != 7;\n});\n","var global = require('../internals/global');\nvar setGlobal = require('../internals/set-global');\n\nvar SHARED = '__core-js_shared__';\nvar store = global[SHARED] || setGlobal(SHARED, {});\n\nmodule.exports = store;\n","var IS_PURE = require('../internals/is-pure');\nvar store = require('../internals/shared-store');\n\n(module.exports = function (key, value) {\n return store[key] || (store[key] = value !== undefined ? value : {});\n})('versions', []).push({\n version: '3.6.5',\n mode: IS_PURE ? 'pure' : 'global',\n copyright: '© 2020 Denis Pushkarev (zloirock.ru)'\n});\n","var has = require('../internals/has');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar indexOf = require('../internals/array-includes').indexOf;\nvar hiddenKeys = require('../internals/hidden-keys');\n\nmodule.exports = function (object, names) {\n var O = toIndexedObject(object);\n var i = 0;\n var result = [];\n var key;\n for (key in O) !has(hiddenKeys, key) && has(O, key) && result.push(key);\n // Don't enum bug & hidden keys\n while (names.length > i) if (has(O, key = names[i++])) {\n ~indexOf(result, key) || result.push(key);\n }\n return result;\n};\n","var toIndexedObject = require('../internals/to-indexed-object');\nvar toLength = require('../internals/to-length');\nvar toAbsoluteIndex = require('../internals/to-absolute-index');\n\n// `Array.prototype.{ indexOf, includes }` methods implementation\nvar createMethod = function (IS_INCLUDES) {\n return function ($this, el, fromIndex) {\n var O = toIndexedObject($this);\n var length = toLength(O.length);\n var index = toAbsoluteIndex(fromIndex, length);\n var value;\n // Array#includes uses SameValueZero equality algorithm\n // eslint-disable-next-line no-self-compare\n if (IS_INCLUDES && el != el) while (length > index) {\n value = O[index++];\n // eslint-disable-next-line no-self-compare\n if (value != value) return true;\n // Array#indexOf ignores holes, Array#includes - not\n } else for (;length > index; index++) {\n if ((IS_INCLUDES || index in O) && O[index] === el) return IS_INCLUDES || index || 0;\n } return !IS_INCLUDES && -1;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.includes` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.includes\n includes: createMethod(true),\n // `Array.prototype.indexOf` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n indexOf: createMethod(false)\n};\n","exports.f = Object.getOwnPropertySymbols;\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\n// `Object.keys` method\n// https://tc39.github.io/ecma262/#sec-object.keys\nmodule.exports = Object.keys || function keys(O) {\n return internalObjectKeys(O, enumBugKeys);\n};\n","'use strict';\n\nmodule.exports = function bind(fn, thisArg) {\n return function wrap() {\n var args = new Array(arguments.length);\n for (var i = 0; i < args.length; i++) {\n args[i] = arguments[i];\n }\n return fn.apply(thisArg, args);\n };\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction encode(val) {\n return encodeURIComponent(val).\n replace(/%40/gi, '@').\n replace(/%3A/gi, ':').\n replace(/%24/g, '$').\n replace(/%2C/gi, ',').\n replace(/%20/g, '+').\n replace(/%5B/gi, '[').\n replace(/%5D/gi, ']');\n}\n\n/**\n * Build a URL by appending params to the end\n *\n * @param {string} url The base of the url (e.g., http://www.google.com)\n * @param {object} [params] The params to be appended\n * @returns {string} The formatted url\n */\nmodule.exports = function buildURL(url, params, paramsSerializer) {\n /*eslint no-param-reassign:0*/\n if (!params) {\n return url;\n }\n\n var serializedParams;\n if (paramsSerializer) {\n serializedParams = paramsSerializer(params);\n } else if (utils.isURLSearchParams(params)) {\n serializedParams = params.toString();\n } else {\n var parts = [];\n\n utils.forEach(params, function serialize(val, key) {\n if (val === null || typeof val === 'undefined') {\n return;\n }\n\n if (utils.isArray(val)) {\n key = key + '[]';\n } else {\n val = [val];\n }\n\n utils.forEach(val, function parseValue(v) {\n if (utils.isDate(v)) {\n v = v.toISOString();\n } else if (utils.isObject(v)) {\n v = JSON.stringify(v);\n }\n parts.push(encode(key) + '=' + encode(v));\n });\n });\n\n serializedParams = parts.join('&');\n }\n\n if (serializedParams) {\n var hashmarkIndex = url.indexOf('#');\n if (hashmarkIndex !== -1) {\n url = url.slice(0, hashmarkIndex);\n }\n\n url += (url.indexOf('?') === -1 ? '?' : '&') + serializedParams;\n }\n\n return url;\n};\n","'use strict';\n\nmodule.exports = function isCancel(value) {\n return !!(value && value.__CANCEL__);\n};\n","'use strict';\n\nvar utils = require('./utils');\nvar normalizeHeaderName = require('./helpers/normalizeHeaderName');\n\nvar DEFAULT_CONTENT_TYPE = {\n 'Content-Type': 'application/x-www-form-urlencoded'\n};\n\nfunction setContentTypeIfUnset(headers, value) {\n if (!utils.isUndefined(headers) && utils.isUndefined(headers['Content-Type'])) {\n headers['Content-Type'] = value;\n }\n}\n\nfunction getDefaultAdapter() {\n var adapter;\n if (typeof XMLHttpRequest !== 'undefined') {\n // For browsers use XHR adapter\n adapter = require('./adapters/xhr');\n } else if (typeof process !== 'undefined' && Object.prototype.toString.call(process) === '[object process]') {\n // For node use HTTP adapter\n adapter = require('./adapters/http');\n }\n return adapter;\n}\n\nvar defaults = {\n adapter: getDefaultAdapter(),\n\n transformRequest: [function transformRequest(data, headers) {\n normalizeHeaderName(headers, 'Accept');\n normalizeHeaderName(headers, 'Content-Type');\n if (utils.isFormData(data) ||\n utils.isArrayBuffer(data) ||\n utils.isBuffer(data) ||\n utils.isStream(data) ||\n utils.isFile(data) ||\n utils.isBlob(data)\n ) {\n return data;\n }\n if (utils.isArrayBufferView(data)) {\n return data.buffer;\n }\n if (utils.isURLSearchParams(data)) {\n setContentTypeIfUnset(headers, 'application/x-www-form-urlencoded;charset=utf-8');\n return data.toString();\n }\n if (utils.isObject(data)) {\n setContentTypeIfUnset(headers, 'application/json;charset=utf-8');\n return JSON.stringify(data);\n }\n return data;\n }],\n\n transformResponse: [function transformResponse(data) {\n /*eslint no-param-reassign:0*/\n if (typeof data === 'string') {\n try {\n data = JSON.parse(data);\n } catch (e) { /* Ignore */ }\n }\n return data;\n }],\n\n /**\n * A timeout in milliseconds to abort a request. If set to 0 (default) a\n * timeout is not created.\n */\n timeout: 0,\n\n xsrfCookieName: 'XSRF-TOKEN',\n xsrfHeaderName: 'X-XSRF-TOKEN',\n\n maxContentLength: -1,\n\n validateStatus: function validateStatus(status) {\n return status >= 200 && status < 300;\n }\n};\n\ndefaults.headers = {\n common: {\n 'Accept': 'application/json, text/plain, */*'\n }\n};\n\nutils.forEach(['delete', 'get', 'head'], function forEachMethodNoData(method) {\n defaults.headers[method] = {};\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n defaults.headers[method] = utils.merge(DEFAULT_CONTENT_TYPE);\n});\n\nmodule.exports = defaults;\n","'use strict';\n\nvar utils = require('./../utils');\nvar settle = require('./../core/settle');\nvar buildURL = require('./../helpers/buildURL');\nvar buildFullPath = require('../core/buildFullPath');\nvar parseHeaders = require('./../helpers/parseHeaders');\nvar isURLSameOrigin = require('./../helpers/isURLSameOrigin');\nvar createError = require('../core/createError');\n\nmodule.exports = function xhrAdapter(config) {\n return new Promise(function dispatchXhrRequest(resolve, reject) {\n var requestData = config.data;\n var requestHeaders = config.headers;\n\n if (utils.isFormData(requestData)) {\n delete requestHeaders['Content-Type']; // Let the browser set it\n }\n\n var request = new XMLHttpRequest();\n\n // HTTP basic authentication\n if (config.auth) {\n var username = config.auth.username || '';\n var password = config.auth.password || '';\n requestHeaders.Authorization = 'Basic ' + btoa(username + ':' + password);\n }\n\n var fullPath = buildFullPath(config.baseURL, config.url);\n request.open(config.method.toUpperCase(), buildURL(fullPath, config.params, config.paramsSerializer), true);\n\n // Set the request timeout in MS\n request.timeout = config.timeout;\n\n // Listen for ready state\n request.onreadystatechange = function handleLoad() {\n if (!request || request.readyState !== 4) {\n return;\n }\n\n // The request errored out and we didn't get a response, this will be\n // handled by onerror instead\n // With one exception: request that using file: protocol, most browsers\n // will return status as 0 even though it's a successful request\n if (request.status === 0 && !(request.responseURL && request.responseURL.indexOf('file:') === 0)) {\n return;\n }\n\n // Prepare the response\n var responseHeaders = 'getAllResponseHeaders' in request ? parseHeaders(request.getAllResponseHeaders()) : null;\n var responseData = !config.responseType || config.responseType === 'text' ? request.responseText : request.response;\n var response = {\n data: responseData,\n status: request.status,\n statusText: request.statusText,\n headers: responseHeaders,\n config: config,\n request: request\n };\n\n settle(resolve, reject, response);\n\n // Clean up request\n request = null;\n };\n\n // Handle browser request cancellation (as opposed to a manual cancellation)\n request.onabort = function handleAbort() {\n if (!request) {\n return;\n }\n\n reject(createError('Request aborted', config, 'ECONNABORTED', request));\n\n // Clean up request\n request = null;\n };\n\n // Handle low level network errors\n request.onerror = function handleError() {\n // Real errors are hidden from us by the browser\n // onerror should only fire if it's a network error\n reject(createError('Network Error', config, null, request));\n\n // Clean up request\n request = null;\n };\n\n // Handle timeout\n request.ontimeout = function handleTimeout() {\n var timeoutErrorMessage = 'timeout of ' + config.timeout + 'ms exceeded';\n if (config.timeoutErrorMessage) {\n timeoutErrorMessage = config.timeoutErrorMessage;\n }\n reject(createError(timeoutErrorMessage, config, 'ECONNABORTED',\n request));\n\n // Clean up request\n request = null;\n };\n\n // Add xsrf header\n // This is only done if running in a standard browser environment.\n // Specifically not if we're in a web worker, or react-native.\n if (utils.isStandardBrowserEnv()) {\n var cookies = require('./../helpers/cookies');\n\n // Add xsrf header\n var xsrfValue = (config.withCredentials || isURLSameOrigin(fullPath)) && config.xsrfCookieName ?\n cookies.read(config.xsrfCookieName) :\n undefined;\n\n if (xsrfValue) {\n requestHeaders[config.xsrfHeaderName] = xsrfValue;\n }\n }\n\n // Add headers to the request\n if ('setRequestHeader' in request) {\n utils.forEach(requestHeaders, function setRequestHeader(val, key) {\n if (typeof requestData === 'undefined' && key.toLowerCase() === 'content-type') {\n // Remove Content-Type if data is undefined\n delete requestHeaders[key];\n } else {\n // Otherwise add header to the request\n request.setRequestHeader(key, val);\n }\n });\n }\n\n // Add withCredentials to request if needed\n if (!utils.isUndefined(config.withCredentials)) {\n request.withCredentials = !!config.withCredentials;\n }\n\n // Add responseType to request if needed\n if (config.responseType) {\n try {\n request.responseType = config.responseType;\n } catch (e) {\n // Expected DOMException thrown by browsers not compatible XMLHttpRequest Level 2.\n // But, this can be suppressed for 'json' type as it can be parsed by default 'transformResponse' function.\n if (config.responseType !== 'json') {\n throw e;\n }\n }\n }\n\n // Handle progress if needed\n if (typeof config.onDownloadProgress === 'function') {\n request.addEventListener('progress', config.onDownloadProgress);\n }\n\n // Not all browsers support upload events\n if (typeof config.onUploadProgress === 'function' && request.upload) {\n request.upload.addEventListener('progress', config.onUploadProgress);\n }\n\n if (config.cancelToken) {\n // Handle cancellation\n config.cancelToken.promise.then(function onCanceled(cancel) {\n if (!request) {\n return;\n }\n\n request.abort();\n reject(cancel);\n // Clean up request\n request = null;\n });\n }\n\n if (requestData === undefined) {\n requestData = null;\n }\n\n // Send the request\n request.send(requestData);\n });\n};\n","'use strict';\n\nvar enhanceError = require('./enhanceError');\n\n/**\n * Create an Error with the specified message, config, error code, request and response.\n *\n * @param {string} message The error message.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The created error.\n */\nmodule.exports = function createError(message, config, code, request, response) {\n var error = new Error(message);\n return enhanceError(error, config, code, request, response);\n};\n","'use strict';\n\nvar utils = require('../utils');\n\n/**\n * Config-specific merge-function which creates a new config-object\n * by merging two configuration objects together.\n *\n * @param {Object} config1\n * @param {Object} config2\n * @returns {Object} New object resulting from merging config2 to config1\n */\nmodule.exports = function mergeConfig(config1, config2) {\n // eslint-disable-next-line no-param-reassign\n config2 = config2 || {};\n var config = {};\n\n var valueFromConfig2Keys = ['url', 'method', 'params', 'data'];\n var mergeDeepPropertiesKeys = ['headers', 'auth', 'proxy'];\n var defaultToConfig2Keys = [\n 'baseURL', 'url', 'transformRequest', 'transformResponse', 'paramsSerializer',\n 'timeout', 'withCredentials', 'adapter', 'responseType', 'xsrfCookieName',\n 'xsrfHeaderName', 'onUploadProgress', 'onDownloadProgress',\n 'maxContentLength', 'validateStatus', 'maxRedirects', 'httpAgent',\n 'httpsAgent', 'cancelToken', 'socketPath'\n ];\n\n utils.forEach(valueFromConfig2Keys, function valueFromConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n }\n });\n\n utils.forEach(mergeDeepPropertiesKeys, function mergeDeepProperties(prop) {\n if (utils.isObject(config2[prop])) {\n config[prop] = utils.deepMerge(config1[prop], config2[prop]);\n } else if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (utils.isObject(config1[prop])) {\n config[prop] = utils.deepMerge(config1[prop]);\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n utils.forEach(defaultToConfig2Keys, function defaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n var axiosKeys = valueFromConfig2Keys\n .concat(mergeDeepPropertiesKeys)\n .concat(defaultToConfig2Keys);\n\n var otherKeys = Object\n .keys(config2)\n .filter(function filterAxiosKeys(key) {\n return axiosKeys.indexOf(key) === -1;\n });\n\n utils.forEach(otherKeys, function otherKeysDefaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n return config;\n};\n","'use strict';\n\n/**\n * A `Cancel` is an object that is thrown when an operation is canceled.\n *\n * @class\n * @param {string=} message The message.\n */\nfunction Cancel(message) {\n this.message = message;\n}\n\nCancel.prototype.toString = function toString() {\n return 'Cancel' + (this.message ? ': ' + this.message : '');\n};\n\nCancel.prototype.__CANCEL__ = true;\n\nmodule.exports = Cancel;\n","'use strict';\nvar $ = require('../internals/export');\nvar forEach = require('../internals/array-for-each');\n\n// `Array.prototype.forEach` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n$({ target: 'Array', proto: true, forced: [].forEach != forEach }, {\n forEach: forEach\n});\n","'use strict';\nvar $forEach = require('../internals/array-iteration').forEach;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar STRICT_METHOD = arrayMethodIsStrict('forEach');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('forEach');\n\n// `Array.prototype.forEach` method implementation\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\nmodule.exports = (!STRICT_METHOD || !USES_TO_LENGTH) ? function forEach(callbackfn /* , thisArg */) {\n return $forEach(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n} : [].forEach;\n","var classof = require('../internals/classof-raw');\n\n// `IsArray` abstract operation\n// https://tc39.github.io/ecma262/#sec-isarray\nmodule.exports = Array.isArray || function isArray(arg) {\n return classof(arg) == 'Array';\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !!Object.getOwnPropertySymbols && !fails(function () {\n // Chrome 38 Symbol has incorrect toString conversion\n // eslint-disable-next-line no-undef\n return !String(Symbol());\n});\n","const { MAX_SAFE_COMPONENT_LENGTH } = require('./constants')\nconst debug = require('./debug')\nexports = module.exports = {}\n\n// The actual regexps go on exports.re\nconst re = exports.re = []\nconst src = exports.src = []\nconst t = exports.t = {}\nlet R = 0\n\nconst createToken = (name, value, isGlobal) => {\n const index = R++\n debug(index, value)\n t[name] = index\n src[index] = value\n re[index] = new RegExp(value, isGlobal ? 'g' : undefined)\n}\n\n// The following Regular Expressions can be used for tokenizing,\n// validating, and parsing SemVer version strings.\n\n// ## Numeric Identifier\n// A single `0`, or a non-zero digit followed by zero or more digits.\n\ncreateToken('NUMERICIDENTIFIER', '0|[1-9]\\\\d*')\ncreateToken('NUMERICIDENTIFIERLOOSE', '[0-9]+')\n\n// ## Non-numeric Identifier\n// Zero or more digits, followed by a letter or hyphen, and then zero or\n// more letters, digits, or hyphens.\n\ncreateToken('NONNUMERICIDENTIFIER', '\\\\d*[a-zA-Z-][a-zA-Z0-9-]*')\n\n// ## Main Version\n// Three dot-separated numeric identifiers.\n\ncreateToken('MAINVERSION', `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})`)\n\ncreateToken('MAINVERSIONLOOSE', `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})`)\n\n// ## Pre-release Version Identifier\n// A numeric identifier, or a non-numeric identifier.\n\ncreateToken('PRERELEASEIDENTIFIER', `(?:${src[t.NUMERICIDENTIFIER]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\ncreateToken('PRERELEASEIDENTIFIERLOOSE', `(?:${src[t.NUMERICIDENTIFIERLOOSE]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\n// ## Pre-release Version\n// Hyphen, followed by one or more dot-separated pre-release version\n// identifiers.\n\ncreateToken('PRERELEASE', `(?:-(${src[t.PRERELEASEIDENTIFIER]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIER]})*))`)\n\ncreateToken('PRERELEASELOOSE', `(?:-?(${src[t.PRERELEASEIDENTIFIERLOOSE]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIERLOOSE]})*))`)\n\n// ## Build Metadata Identifier\n// Any combination of digits, letters, or hyphens.\n\ncreateToken('BUILDIDENTIFIER', '[0-9A-Za-z-]+')\n\n// ## Build Metadata\n// Plus sign, followed by one or more period-separated build metadata\n// identifiers.\n\ncreateToken('BUILD', `(?:\\\\+(${src[t.BUILDIDENTIFIER]\n}(?:\\\\.${src[t.BUILDIDENTIFIER]})*))`)\n\n// ## Full Version String\n// A main version, followed optionally by a pre-release version and\n// build metadata.\n\n// Note that the only major, minor, patch, and pre-release sections of\n// the version string are capturing groups. The build metadata is not a\n// capturing group, because it should not ever be used in version\n// comparison.\n\ncreateToken('FULLPLAIN', `v?${src[t.MAINVERSION]\n}${src[t.PRERELEASE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('FULL', `^${src[t.FULLPLAIN]}$`)\n\n// like full, but allows v1.2.3 and =1.2.3, which people do sometimes.\n// also, 1.0.0alpha1 (prerelease without the hyphen) which is pretty\n// common in the npm registry.\ncreateToken('LOOSEPLAIN', `[v=\\\\s]*${src[t.MAINVERSIONLOOSE]\n}${src[t.PRERELEASELOOSE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('LOOSE', `^${src[t.LOOSEPLAIN]}$`)\n\ncreateToken('GTLT', '((?:<|>)?=?)')\n\n// Something like \"2.*\" or \"1.2.x\".\n// Note that \"x.x\" is a valid xRange identifer, meaning \"any version\"\n// Only the first item is strictly required.\ncreateToken('XRANGEIDENTIFIERLOOSE', `${src[t.NUMERICIDENTIFIERLOOSE]}|x|X|\\\\*`)\ncreateToken('XRANGEIDENTIFIER', `${src[t.NUMERICIDENTIFIER]}|x|X|\\\\*`)\n\ncreateToken('XRANGEPLAIN', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:${src[t.PRERELEASE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGEPLAINLOOSE', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:${src[t.PRERELEASELOOSE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAIN]}$`)\ncreateToken('XRANGELOOSE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Coercion.\n// Extract anything that could conceivably be a part of a valid semver\ncreateToken('COERCE', `${'(^|[^\\\\d])' +\n '(\\\\d{1,'}${MAX_SAFE_COMPONENT_LENGTH}})` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:$|[^\\\\d])`)\ncreateToken('COERCERTL', src[t.COERCE], true)\n\n// Tilde ranges.\n// Meaning is \"reasonably at or greater than\"\ncreateToken('LONETILDE', '(?:~>?)')\n\ncreateToken('TILDETRIM', `(\\\\s*)${src[t.LONETILDE]}\\\\s+`, true)\nexports.tildeTrimReplace = '$1~'\n\ncreateToken('TILDE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('TILDELOOSE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Caret ranges.\n// Meaning is \"at least and backwards compatible with\"\ncreateToken('LONECARET', '(?:\\\\^)')\n\ncreateToken('CARETTRIM', `(\\\\s*)${src[t.LONECARET]}\\\\s+`, true)\nexports.caretTrimReplace = '$1^'\n\ncreateToken('CARET', `^${src[t.LONECARET]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('CARETLOOSE', `^${src[t.LONECARET]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// A simple gt/lt/eq thing, or just \"\" to indicate \"any version\"\ncreateToken('COMPARATORLOOSE', `^${src[t.GTLT]}\\\\s*(${src[t.LOOSEPLAIN]})$|^$`)\ncreateToken('COMPARATOR', `^${src[t.GTLT]}\\\\s*(${src[t.FULLPLAIN]})$|^$`)\n\n// An expression to strip any whitespace between the gtlt and the thing\n// it modifies, so that `> 1.2.3` ==> `>1.2.3`\ncreateToken('COMPARATORTRIM', `(\\\\s*)${src[t.GTLT]\n}\\\\s*(${src[t.LOOSEPLAIN]}|${src[t.XRANGEPLAIN]})`, true)\nexports.comparatorTrimReplace = '$1$2$3'\n\n// Something like `1.2.3 - 1.2.4`\n// Note that these all use the loose form, because they'll be\n// checked against either the strict or loose comparator form\n// later.\ncreateToken('HYPHENRANGE', `^\\\\s*(${src[t.XRANGEPLAIN]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAIN]})` +\n `\\\\s*$`)\n\ncreateToken('HYPHENRANGELOOSE', `^\\\\s*(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s*$`)\n\n// Star ranges basically just allow anything at all.\ncreateToken('STAR', '(<|>)?=?\\\\s*\\\\*')\n// >=0.0.0 is like a star\ncreateToken('GTE0', '^\\\\s*>=\\\\s*0\\.0\\.0\\\\s*$')\ncreateToken('GTE0PRE', '^\\\\s*>=\\\\s*0\\.0\\.0-0\\\\s*$')\n","const debug = (\n typeof process === 'object' &&\n process.env &&\n process.env.NODE_DEBUG &&\n /\\bsemver\\b/i.test(process.env.NODE_DEBUG)\n) ? (...args) => console.error('SEMVER', ...args)\n : () => {}\n\nmodule.exports = debug\n","const debug = require('../internal/debug')\nconst { MAX_LENGTH, MAX_SAFE_INTEGER } = require('../internal/constants')\nconst { re, t } = require('../internal/re')\n\nconst { compareIdentifiers } = require('../internal/identifiers')\nclass SemVer {\n constructor (version, options) {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n if (version instanceof SemVer) {\n if (version.loose === !!options.loose &&\n version.includePrerelease === !!options.includePrerelease) {\n return version\n } else {\n version = version.version\n }\n } else if (typeof version !== 'string') {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n if (version.length > MAX_LENGTH) {\n throw new TypeError(\n `version is longer than ${MAX_LENGTH} characters`\n )\n }\n\n debug('SemVer', version, options)\n this.options = options\n this.loose = !!options.loose\n // this isn't actually relevant for versions, but keep it so that we\n // don't run into trouble passing this.options around.\n this.includePrerelease = !!options.includePrerelease\n\n const m = version.trim().match(options.loose ? re[t.LOOSE] : re[t.FULL])\n\n if (!m) {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n this.raw = version\n\n // these are actually numbers\n this.major = +m[1]\n this.minor = +m[2]\n this.patch = +m[3]\n\n if (this.major > MAX_SAFE_INTEGER || this.major < 0) {\n throw new TypeError('Invalid major version')\n }\n\n if (this.minor > MAX_SAFE_INTEGER || this.minor < 0) {\n throw new TypeError('Invalid minor version')\n }\n\n if (this.patch > MAX_SAFE_INTEGER || this.patch < 0) {\n throw new TypeError('Invalid patch version')\n }\n\n // numberify any prerelease numeric ids\n if (!m[4]) {\n this.prerelease = []\n } else {\n this.prerelease = m[4].split('.').map((id) => {\n if (/^[0-9]+$/.test(id)) {\n const num = +id\n if (num >= 0 && num < MAX_SAFE_INTEGER) {\n return num\n }\n }\n return id\n })\n }\n\n this.build = m[5] ? m[5].split('.') : []\n this.format()\n }\n\n format () {\n this.version = `${this.major}.${this.minor}.${this.patch}`\n if (this.prerelease.length) {\n this.version += `-${this.prerelease.join('.')}`\n }\n return this.version\n }\n\n toString () {\n return this.version\n }\n\n compare (other) {\n debug('SemVer.compare', this.version, this.options, other)\n if (!(other instanceof SemVer)) {\n if (typeof other === 'string' && other === this.version) {\n return 0\n }\n other = new SemVer(other, this.options)\n }\n\n if (other.version === this.version) {\n return 0\n }\n\n return this.compareMain(other) || this.comparePre(other)\n }\n\n compareMain (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n return (\n compareIdentifiers(this.major, other.major) ||\n compareIdentifiers(this.minor, other.minor) ||\n compareIdentifiers(this.patch, other.patch)\n )\n }\n\n comparePre (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n // NOT having a prerelease is > having one\n if (this.prerelease.length && !other.prerelease.length) {\n return -1\n } else if (!this.prerelease.length && other.prerelease.length) {\n return 1\n } else if (!this.prerelease.length && !other.prerelease.length) {\n return 0\n }\n\n let i = 0\n do {\n const a = this.prerelease[i]\n const b = other.prerelease[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n compareBuild (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n let i = 0\n do {\n const a = this.build[i]\n const b = other.build[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n // preminor will bump the version up to the next minor release, and immediately\n // down to pre-release. premajor and prepatch work the same way.\n inc (release, identifier) {\n switch (release) {\n case 'premajor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor = 0\n this.major++\n this.inc('pre', identifier)\n break\n case 'preminor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor++\n this.inc('pre', identifier)\n break\n case 'prepatch':\n // If this is already a prerelease, it will bump to the next version\n // drop any prereleases that might already exist, since they are not\n // relevant at this point.\n this.prerelease.length = 0\n this.inc('patch', identifier)\n this.inc('pre', identifier)\n break\n // If the input is a non-prerelease version, this acts the same as\n // prepatch.\n case 'prerelease':\n if (this.prerelease.length === 0) {\n this.inc('patch', identifier)\n }\n this.inc('pre', identifier)\n break\n\n case 'major':\n // If this is a pre-major version, bump up to the same major version.\n // Otherwise increment major.\n // 1.0.0-5 bumps to 1.0.0\n // 1.1.0 bumps to 2.0.0\n if (\n this.minor !== 0 ||\n this.patch !== 0 ||\n this.prerelease.length === 0\n ) {\n this.major++\n }\n this.minor = 0\n this.patch = 0\n this.prerelease = []\n break\n case 'minor':\n // If this is a pre-minor version, bump up to the same minor version.\n // Otherwise increment minor.\n // 1.2.0-5 bumps to 1.2.0\n // 1.2.1 bumps to 1.3.0\n if (this.patch !== 0 || this.prerelease.length === 0) {\n this.minor++\n }\n this.patch = 0\n this.prerelease = []\n break\n case 'patch':\n // If this is not a pre-release version, it will increment the patch.\n // If it is a pre-release it will bump up to the same patch version.\n // 1.2.0-5 patches to 1.2.0\n // 1.2.0 patches to 1.2.1\n if (this.prerelease.length === 0) {\n this.patch++\n }\n this.prerelease = []\n break\n // This probably shouldn't be used publicly.\n // 1.0.0 'pre' would become 1.0.0-0 which is the wrong direction.\n case 'pre':\n if (this.prerelease.length === 0) {\n this.prerelease = [0]\n } else {\n let i = this.prerelease.length\n while (--i >= 0) {\n if (typeof this.prerelease[i] === 'number') {\n this.prerelease[i]++\n i = -2\n }\n }\n if (i === -1) {\n // didn't increment anything\n this.prerelease.push(0)\n }\n }\n if (identifier) {\n // 1.2.0-beta.1 bumps to 1.2.0-beta.2,\n // 1.2.0-beta.fooblz or 1.2.0-beta bumps to 1.2.0-beta.0\n if (this.prerelease[0] === identifier) {\n if (isNaN(this.prerelease[1])) {\n this.prerelease = [identifier, 0]\n }\n } else {\n this.prerelease = [identifier, 0]\n }\n }\n break\n\n default:\n throw new Error(`invalid increment argument: ${release}`)\n }\n this.format()\n this.raw = this.version\n return this\n }\n}\n\nmodule.exports = SemVer\n","'use strict';\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar BUGGY_SAFARI_ITERATORS = false;\n\nvar returnThis = function () { return this; };\n\n// `%IteratorPrototype%` object\n// https://tc39.github.io/ecma262/#sec-%iteratorprototype%-object\nvar IteratorPrototype, PrototypeOfArrayIteratorPrototype, arrayIterator;\n\nif ([].keys) {\n arrayIterator = [].keys();\n // Safari 8 has buggy iterators w/o `next`\n if (!('next' in arrayIterator)) BUGGY_SAFARI_ITERATORS = true;\n else {\n PrototypeOfArrayIteratorPrototype = getPrototypeOf(getPrototypeOf(arrayIterator));\n if (PrototypeOfArrayIteratorPrototype !== Object.prototype) IteratorPrototype = PrototypeOfArrayIteratorPrototype;\n }\n}\n\nif (IteratorPrototype == undefined) IteratorPrototype = {};\n\n// 25.1.2.1.1 %IteratorPrototype%[@@iterator]()\nif (!IS_PURE && !has(IteratorPrototype, ITERATOR)) {\n createNonEnumerableProperty(IteratorPrototype, ITERATOR, returnThis);\n}\n\nmodule.exports = {\n IteratorPrototype: IteratorPrototype,\n BUGGY_SAFARI_ITERATORS: BUGGY_SAFARI_ITERATORS\n};\n","var has = require('../internals/has');\nvar toObject = require('../internals/to-object');\nvar sharedKey = require('../internals/shared-key');\nvar CORRECT_PROTOTYPE_GETTER = require('../internals/correct-prototype-getter');\n\nvar IE_PROTO = sharedKey('IE_PROTO');\nvar ObjectPrototype = Object.prototype;\n\n// `Object.getPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.getprototypeof\nmodule.exports = CORRECT_PROTOTYPE_GETTER ? Object.getPrototypeOf : function (O) {\n O = toObject(O);\n if (has(O, IE_PROTO)) return O[IE_PROTO];\n if (typeof O.constructor == 'function' && O instanceof O.constructor) {\n return O.constructor.prototype;\n } return O instanceof Object ? ObjectPrototype : null;\n};\n","var anObject = require('../internals/an-object');\nvar aPossiblePrototype = require('../internals/a-possible-prototype');\n\n// `Object.setPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.setprototypeof\n// Works with __proto__ only. Old v8 can't work with null proto objects.\n/* eslint-disable no-proto */\nmodule.exports = Object.setPrototypeOf || ('__proto__' in {} ? function () {\n var CORRECT_SETTER = false;\n var test = {};\n var setter;\n try {\n setter = Object.getOwnPropertyDescriptor(Object.prototype, '__proto__').set;\n setter.call(test, []);\n CORRECT_SETTER = test instanceof Array;\n } catch (error) { /* empty */ }\n return function setPrototypeOf(O, proto) {\n anObject(O);\n aPossiblePrototype(proto);\n if (CORRECT_SETTER) setter.call(O, proto);\n else O.__proto__ = proto;\n return O;\n };\n}() : undefined);\n","var hiddenKeys = require('../internals/hidden-keys');\nvar isObject = require('../internals/is-object');\nvar has = require('../internals/has');\nvar defineProperty = require('../internals/object-define-property').f;\nvar uid = require('../internals/uid');\nvar FREEZING = require('../internals/freezing');\n\nvar METADATA = uid('meta');\nvar id = 0;\n\nvar isExtensible = Object.isExtensible || function () {\n return true;\n};\n\nvar setMetadata = function (it) {\n defineProperty(it, METADATA, { value: {\n objectID: 'O' + ++id, // object ID\n weakData: {} // weak collections IDs\n } });\n};\n\nvar fastKey = function (it, create) {\n // return a primitive with prefix\n if (!isObject(it)) return typeof it == 'symbol' ? it : (typeof it == 'string' ? 'S' : 'P') + it;\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return 'F';\n // not necessary to add metadata\n if (!create) return 'E';\n // add missing metadata\n setMetadata(it);\n // return object ID\n } return it[METADATA].objectID;\n};\n\nvar getWeakData = function (it, create) {\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return true;\n // not necessary to add metadata\n if (!create) return false;\n // add missing metadata\n setMetadata(it);\n // return the store of weak collections IDs\n } return it[METADATA].weakData;\n};\n\n// add metadata on freeze-family methods calling\nvar onFreeze = function (it) {\n if (FREEZING && meta.REQUIRED && isExtensible(it) && !has(it, METADATA)) setMetadata(it);\n return it;\n};\n\nvar meta = module.exports = {\n REQUIRED: false,\n fastKey: fastKey,\n getWeakData: getWeakData,\n onFreeze: onFreeze\n};\n\nhiddenKeys[METADATA] = true;\n","// iterable DOM collections\n// flag - `iterable` interface - 'entries', 'keys', 'values', 'forEach' methods\nmodule.exports = {\n CSSRuleList: 0,\n CSSStyleDeclaration: 0,\n CSSValueList: 0,\n ClientRectList: 0,\n DOMRectList: 0,\n DOMStringList: 0,\n DOMTokenList: 1,\n DataTransferItemList: 0,\n FileList: 0,\n HTMLAllCollection: 0,\n HTMLCollection: 0,\n HTMLFormElement: 0,\n HTMLSelectElement: 0,\n MediaList: 0,\n MimeTypeArray: 0,\n NamedNodeMap: 0,\n NodeList: 1,\n PaintRequestList: 0,\n Plugin: 0,\n PluginArray: 0,\n SVGLengthList: 0,\n SVGNumberList: 0,\n SVGPathSegList: 0,\n SVGPointList: 0,\n SVGStringList: 0,\n SVGTransformList: 0,\n SourceBufferList: 0,\n StyleSheetList: 0,\n TextTrackCueList: 0,\n TextTrackList: 0,\n TouchList: 0\n};\n","'use strict';\nvar anObject = require('../internals/an-object');\n\n// `RegExp.prototype.flags` getter implementation\n// https://tc39.github.io/ecma262/#sec-get-regexp.prototype.flags\nmodule.exports = function () {\n var that = anObject(this);\n var result = '';\n if (that.global) result += 'g';\n if (that.ignoreCase) result += 'i';\n if (that.multiline) result += 'm';\n if (that.dotAll) result += 's';\n if (that.unicode) result += 'u';\n if (that.sticky) result += 'y';\n return result;\n};\n","'use strict';\nvar redefine = require('../internals/redefine');\nvar anObject = require('../internals/an-object');\nvar fails = require('../internals/fails');\nvar flags = require('../internals/regexp-flags');\n\nvar TO_STRING = 'toString';\nvar RegExpPrototype = RegExp.prototype;\nvar nativeToString = RegExpPrototype[TO_STRING];\n\nvar NOT_GENERIC = fails(function () { return nativeToString.call({ source: 'a', flags: 'b' }) != '/a/b'; });\n// FF44- RegExp#toString has a wrong name\nvar INCORRECT_NAME = nativeToString.name != TO_STRING;\n\n// `RegExp.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-regexp.prototype.tostring\nif (NOT_GENERIC || INCORRECT_NAME) {\n redefine(RegExp.prototype, TO_STRING, function toString() {\n var R = anObject(this);\n var p = String(R.source);\n var rf = R.flags;\n var f = String(rf === undefined && R instanceof RegExp && !('flags' in RegExpPrototype) ? flags.call(R) : rf);\n return '/' + p + '/' + f;\n }, { unsafe: true });\n}\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar STRING_ITERATOR = 'String Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(STRING_ITERATOR);\n\n// `String.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-string.prototype-@@iterator\ndefineIterator(String, 'String', function (iterated) {\n setInternalState(this, {\n type: STRING_ITERATOR,\n string: String(iterated),\n index: 0\n });\n// `%StringIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%stringiteratorprototype%.next\n}, function next() {\n var state = getInternalState(this);\n var string = state.string;\n var index = state.index;\n var point;\n if (index >= string.length) return { value: undefined, done: true };\n point = charAt(string, index);\n state.index += point.length;\n return { value: point, done: false };\n});\n","'use strict';\nvar fixRegExpWellKnownSymbolLogic = require('../internals/fix-regexp-well-known-symbol-logic');\nvar anObject = require('../internals/an-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\nvar advanceStringIndex = require('../internals/advance-string-index');\nvar regExpExec = require('../internals/regexp-exec-abstract');\n\nvar max = Math.max;\nvar min = Math.min;\nvar floor = Math.floor;\nvar SUBSTITUTION_SYMBOLS = /\\$([$&'`]|\\d\\d?|<[^>]*>)/g;\nvar SUBSTITUTION_SYMBOLS_NO_NAMED = /\\$([$&'`]|\\d\\d?)/g;\n\nvar maybeToString = function (it) {\n return it === undefined ? it : String(it);\n};\n\n// @@replace logic\nfixRegExpWellKnownSymbolLogic('replace', 2, function (REPLACE, nativeReplace, maybeCallNative, reason) {\n var REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = reason.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE;\n var REPLACE_KEEPS_$0 = reason.REPLACE_KEEPS_$0;\n var UNSAFE_SUBSTITUTE = REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE ? '$' : '$0';\n\n return [\n // `String.prototype.replace` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.replace\n function replace(searchValue, replaceValue) {\n var O = requireObjectCoercible(this);\n var replacer = searchValue == undefined ? undefined : searchValue[REPLACE];\n return replacer !== undefined\n ? replacer.call(searchValue, O, replaceValue)\n : nativeReplace.call(String(O), searchValue, replaceValue);\n },\n // `RegExp.prototype[@@replace]` method\n // https://tc39.github.io/ecma262/#sec-regexp.prototype-@@replace\n function (regexp, replaceValue) {\n if (\n (!REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE && REPLACE_KEEPS_$0) ||\n (typeof replaceValue === 'string' && replaceValue.indexOf(UNSAFE_SUBSTITUTE) === -1)\n ) {\n var res = maybeCallNative(nativeReplace, regexp, this, replaceValue);\n if (res.done) return res.value;\n }\n\n var rx = anObject(regexp);\n var S = String(this);\n\n var functionalReplace = typeof replaceValue === 'function';\n if (!functionalReplace) replaceValue = String(replaceValue);\n\n var global = rx.global;\n if (global) {\n var fullUnicode = rx.unicode;\n rx.lastIndex = 0;\n }\n var results = [];\n while (true) {\n var result = regExpExec(rx, S);\n if (result === null) break;\n\n results.push(result);\n if (!global) break;\n\n var matchStr = String(result[0]);\n if (matchStr === '') rx.lastIndex = advanceStringIndex(S, toLength(rx.lastIndex), fullUnicode);\n }\n\n var accumulatedResult = '';\n var nextSourcePosition = 0;\n for (var i = 0; i < results.length; i++) {\n result = results[i];\n\n var matched = String(result[0]);\n var position = max(min(toInteger(result.index), S.length), 0);\n var captures = [];\n // NOTE: This is equivalent to\n // captures = result.slice(1).map(maybeToString)\n // but for some reason `nativeSlice.call(result, 1, result.length)` (called in\n // the slice polyfill when slicing native arrays) \"doesn't work\" in safari 9 and\n // causes a crash (https://pastebin.com/N21QzeQA) when trying to debug it.\n for (var j = 1; j < result.length; j++) captures.push(maybeToString(result[j]));\n var namedCaptures = result.groups;\n if (functionalReplace) {\n var replacerArgs = [matched].concat(captures, position, S);\n if (namedCaptures !== undefined) replacerArgs.push(namedCaptures);\n var replacement = String(replaceValue.apply(undefined, replacerArgs));\n } else {\n replacement = getSubstitution(matched, S, position, captures, namedCaptures, replaceValue);\n }\n if (position >= nextSourcePosition) {\n accumulatedResult += S.slice(nextSourcePosition, position) + replacement;\n nextSourcePosition = position + matched.length;\n }\n }\n return accumulatedResult + S.slice(nextSourcePosition);\n }\n ];\n\n // https://tc39.github.io/ecma262/#sec-getsubstitution\n function getSubstitution(matched, str, position, captures, namedCaptures, replacement) {\n var tailPos = position + matched.length;\n var m = captures.length;\n var symbols = SUBSTITUTION_SYMBOLS_NO_NAMED;\n if (namedCaptures !== undefined) {\n namedCaptures = toObject(namedCaptures);\n symbols = SUBSTITUTION_SYMBOLS;\n }\n return nativeReplace.call(replacement, symbols, function (match, ch) {\n var capture;\n switch (ch.charAt(0)) {\n case '$': return '$';\n case '&': return matched;\n case '`': return str.slice(0, position);\n case \"'\": return str.slice(tailPos);\n case '<':\n capture = namedCaptures[ch.slice(1, -1)];\n break;\n default: // \\d\\d?\n var n = +ch;\n if (n === 0) return match;\n if (n > m) {\n var f = floor(n / 10);\n if (f === 0) return match;\n if (f <= m) return captures[f - 1] === undefined ? ch.charAt(1) : captures[f - 1] + ch.charAt(1);\n return match;\n }\n capture = captures[n - 1];\n }\n return capture === undefined ? '' : capture;\n });\n }\n});\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar ArrayIteratorMethods = require('../modules/es.array.iterator');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar ArrayValues = ArrayIteratorMethods.values;\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n if (CollectionPrototype) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[ITERATOR] !== ArrayValues) try {\n createNonEnumerableProperty(CollectionPrototype, ITERATOR, ArrayValues);\n } catch (error) {\n CollectionPrototype[ITERATOR] = ArrayValues;\n }\n if (!CollectionPrototype[TO_STRING_TAG]) {\n createNonEnumerableProperty(CollectionPrototype, TO_STRING_TAG, COLLECTION_NAME);\n }\n if (DOMIterables[COLLECTION_NAME]) for (var METHOD_NAME in ArrayIteratorMethods) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[METHOD_NAME] !== ArrayIteratorMethods[METHOD_NAME]) try {\n createNonEnumerableProperty(CollectionPrototype, METHOD_NAME, ArrayIteratorMethods[METHOD_NAME]);\n } catch (error) {\n CollectionPrototype[METHOD_NAME] = ArrayIteratorMethods[METHOD_NAME];\n }\n }\n }\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar $indexOf = require('../internals/array-includes').indexOf;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar nativeIndexOf = [].indexOf;\n\nvar NEGATIVE_ZERO = !!nativeIndexOf && 1 / [1].indexOf(1, -0) < 0;\nvar STRICT_METHOD = arrayMethodIsStrict('indexOf');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('indexOf', { ACCESSORS: true, 1: 0 });\n\n// `Array.prototype.indexOf` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n$({ target: 'Array', proto: true, forced: NEGATIVE_ZERO || !STRICT_METHOD || !USES_TO_LENGTH }, {\n indexOf: function indexOf(searchElement /* , fromIndex = 0 */) {\n return NEGATIVE_ZERO\n // convert -0 to +0\n ? nativeIndexOf.apply(this, arguments) || 0\n : $indexOf(this, searchElement, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","module.exports = function (it) {\n if (typeof it != 'function') {\n throw TypeError(String(it) + ' is not a function');\n } return it;\n};\n","'use strict';\nvar toPrimitive = require('../internals/to-primitive');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = function (object, key, value) {\n var propertyKey = toPrimitive(key);\n if (propertyKey in object) definePropertyModule.f(object, propertyKey, createPropertyDescriptor(0, value));\n else object[propertyKey] = value;\n};\n","var classof = require('../internals/classof');\nvar Iterators = require('../internals/iterators');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\n\nmodule.exports = function (it) {\n if (it != undefined) return it[ITERATOR]\n || it['@@iterator']\n || Iterators[classof(it)];\n};\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\nvar hiddenKeys = enumBugKeys.concat('length', 'prototype');\n\n// `Object.getOwnPropertyNames` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertynames\nexports.f = Object.getOwnPropertyNames || function getOwnPropertyNames(O) {\n return internalObjectKeys(O, hiddenKeys);\n};\n","var toInteger = require('../internals/to-integer');\n\nvar max = Math.max;\nvar min = Math.min;\n\n// Helper for a popular repeating case of the spec:\n// Let integer be ? ToInteger(index).\n// If integer < 0, let result be max((length + integer), 0); else let result be min(integer, length).\nmodule.exports = function (index, length) {\n var integer = toInteger(index);\n return integer < 0 ? max(integer + length, 0) : min(integer, length);\n};\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nObject.defineProperty(exports, \"getRequestToken\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.getRequestToken;\n }\n});\nObject.defineProperty(exports, \"onRequestTokenUpdate\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.onRequestTokenUpdate;\n }\n});\nObject.defineProperty(exports, \"getCurrentUser\", {\n enumerable: true,\n get: function get() {\n return _user.getCurrentUser;\n }\n});\n\nvar _requesttoken = require(\"./requesttoken\");\n\nvar _user = require(\"./user\");\n//# sourceMappingURL=index.js.map","'use strict';\nvar $ = require('../internals/export');\nvar $filter = require('../internals/array-iteration').filter;\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('filter');\n// Edge 14- issue\nvar USES_TO_LENGTH = arrayMethodUsesToLength('filter');\n\n// `Array.prototype.filter` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.filter\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n filter: function filter(callbackfn /* , thisArg */) {\n return $filter(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","var isObject = require('../internals/is-object');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\n\n// makes subclassing work correct for wrapped built-ins\nmodule.exports = function ($this, dummy, Wrapper) {\n var NewTarget, NewTargetPrototype;\n if (\n // it can work only with native `setPrototypeOf`\n setPrototypeOf &&\n // we haven't completely correct pre-ES6 way for getting `new.target`, so use this\n typeof (NewTarget = dummy.constructor) == 'function' &&\n NewTarget !== Wrapper &&\n isObject(NewTargetPrototype = NewTarget.prototype) &&\n NewTargetPrototype !== Wrapper.prototype\n ) setPrototypeOf($this, NewTargetPrototype);\n return $this;\n};\n","var redefine = require('../internals/redefine');\n\nmodule.exports = function (target, src, options) {\n for (var key in src) redefine(target, key, src[key], options);\n return target;\n};\n","'use strict';\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar objectKeys = require('../internals/object-keys');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar toObject = require('../internals/to-object');\nvar IndexedObject = require('../internals/indexed-object');\n\nvar nativeAssign = Object.assign;\nvar defineProperty = Object.defineProperty;\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\nmodule.exports = !nativeAssign || fails(function () {\n // should have correct order of operations (Edge bug)\n if (DESCRIPTORS && nativeAssign({ b: 1 }, nativeAssign(defineProperty({}, 'a', {\n enumerable: true,\n get: function () {\n defineProperty(this, 'b', {\n value: 3,\n enumerable: false\n });\n }\n }), { b: 2 })).b !== 1) return true;\n // should work with symbols and should have deterministic property order (V8 bug)\n var A = {};\n var B = {};\n // eslint-disable-next-line no-undef\n var symbol = Symbol();\n var alphabet = 'abcdefghijklmnopqrst';\n A[symbol] = 7;\n alphabet.split('').forEach(function (chr) { B[chr] = chr; });\n return nativeAssign({}, A)[symbol] != 7 || objectKeys(nativeAssign({}, B)).join('') != alphabet;\n}) ? function assign(target, source) { // eslint-disable-line no-unused-vars\n var T = toObject(target);\n var argumentsLength = arguments.length;\n var index = 1;\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n var propertyIsEnumerable = propertyIsEnumerableModule.f;\n while (argumentsLength > index) {\n var S = IndexedObject(arguments[index++]);\n var keys = getOwnPropertySymbols ? objectKeys(S).concat(getOwnPropertySymbols(S)) : objectKeys(S);\n var length = keys.length;\n var j = 0;\n var key;\n while (length > j) {\n key = keys[j++];\n if (!DESCRIPTORS || propertyIsEnumerable.call(S, key)) T[key] = S[key];\n }\n } return T;\n} : nativeAssign;\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('navigator', 'userAgent') || '';\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar anObject = require('../internals/an-object');\nvar objectKeys = require('../internals/object-keys');\n\n// `Object.defineProperties` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperties\nmodule.exports = DESCRIPTORS ? Object.defineProperties : function defineProperties(O, Properties) {\n anObject(O);\n var keys = objectKeys(Properties);\n var length = keys.length;\n var index = 0;\n var key;\n while (length > index) definePropertyModule.f(O, key = keys[index++], Properties[key]);\n return O;\n};\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('document', 'documentElement');\n","'use strict';\nvar IteratorPrototype = require('../internals/iterators-core').IteratorPrototype;\nvar create = require('../internals/object-create');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar Iterators = require('../internals/iterators');\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (IteratorConstructor, NAME, next) {\n var TO_STRING_TAG = NAME + ' Iterator';\n IteratorConstructor.prototype = create(IteratorPrototype, { next: createPropertyDescriptor(1, next) });\n setToStringTag(IteratorConstructor, TO_STRING_TAG, false, true);\n Iterators[TO_STRING_TAG] = returnThis;\n return IteratorConstructor;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar Iterators = require('../internals/iterators');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar ArrayPrototype = Array.prototype;\n\n// check on default Array iterator\nmodule.exports = function (it) {\n return it !== undefined && (Iterators.Array === it || ArrayPrototype[ITERATOR] === it);\n};\n","var anObject = require('../internals/an-object');\n\n// call something on iterator step with safe closing on error\nmodule.exports = function (iterator, fn, value, ENTRIES) {\n try {\n return ENTRIES ? fn(anObject(value)[0], value[1]) : fn(value);\n // 7.4.6 IteratorClose(iterator, completion)\n } catch (error) {\n var returnMethod = iterator['return'];\n if (returnMethod !== undefined) anObject(returnMethod.call(iterator));\n throw error;\n }\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar SAFE_CLOSING = false;\n\ntry {\n var called = 0;\n var iteratorWithReturn = {\n next: function () {\n return { done: !!called++ };\n },\n 'return': function () {\n SAFE_CLOSING = true;\n }\n };\n iteratorWithReturn[ITERATOR] = function () {\n return this;\n };\n // eslint-disable-next-line no-throw-literal\n Array.from(iteratorWithReturn, function () { throw 2; });\n} catch (error) { /* empty */ }\n\nmodule.exports = function (exec, SKIP_CLOSING) {\n if (!SKIP_CLOSING && !SAFE_CLOSING) return false;\n var ITERATION_SUPPORT = false;\n try {\n var object = {};\n object[ITERATOR] = function () {\n return {\n next: function () {\n return { done: ITERATION_SUPPORT = true };\n }\n };\n };\n exec(object);\n } catch (error) { /* empty */ }\n return ITERATION_SUPPORT;\n};\n","'use strict';\nvar getBuiltIn = require('../internals/get-built-in');\nvar definePropertyModule = require('../internals/object-define-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar DESCRIPTORS = require('../internals/descriptors');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (CONSTRUCTOR_NAME) {\n var Constructor = getBuiltIn(CONSTRUCTOR_NAME);\n var defineProperty = definePropertyModule.f;\n\n if (DESCRIPTORS && Constructor && !Constructor[SPECIES]) {\n defineProperty(Constructor, SPECIES, {\n configurable: true,\n get: function () { return this; }\n });\n }\n};\n","'use strict';\n// TODO: Remove from `core-js@4` since it's moved to entry points\nrequire('../modules/es.regexp.exec');\nvar redefine = require('../internals/redefine');\nvar fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar regexpExec = require('../internals/regexp-exec');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nvar SPECIES = wellKnownSymbol('species');\n\nvar REPLACE_SUPPORTS_NAMED_GROUPS = !fails(function () {\n // #replace needs built-in support for named groups.\n // #match works fine because it just return the exec results, even if it has\n // a \"grops\" property.\n var re = /./;\n re.exec = function () {\n var result = [];\n result.groups = { a: '7' };\n return result;\n };\n return ''.replace(re, '$<a>') !== '7';\n});\n\n// IE <= 11 replaces $0 with the whole match, as if it was $&\n// https://stackoverflow.com/questions/6024666/getting-ie-to-replace-a-regex-with-the-literal-string-0\nvar REPLACE_KEEPS_$0 = (function () {\n return 'a'.replace(/./, '$0') === '$0';\n})();\n\nvar REPLACE = wellKnownSymbol('replace');\n// Safari <= 13.0.3(?) substitutes nth capture where n>m with an empty string\nvar REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = (function () {\n if (/./[REPLACE]) {\n return /./[REPLACE]('a', '$0') === '';\n }\n return false;\n})();\n\n// Chrome 51 has a buggy \"split\" implementation when RegExp#exec !== nativeExec\n// Weex JS has frozen built-in prototypes, so use try / catch wrapper\nvar SPLIT_WORKS_WITH_OVERWRITTEN_EXEC = !fails(function () {\n var re = /(?:)/;\n var originalExec = re.exec;\n re.exec = function () { return originalExec.apply(this, arguments); };\n var result = 'ab'.split(re);\n return result.length !== 2 || result[0] !== 'a' || result[1] !== 'b';\n});\n\nmodule.exports = function (KEY, length, exec, sham) {\n var SYMBOL = wellKnownSymbol(KEY);\n\n var DELEGATES_TO_SYMBOL = !fails(function () {\n // String methods call symbol-named RegEp methods\n var O = {};\n O[SYMBOL] = function () { return 7; };\n return ''[KEY](O) != 7;\n });\n\n var DELEGATES_TO_EXEC = DELEGATES_TO_SYMBOL && !fails(function () {\n // Symbol-named RegExp methods call .exec\n var execCalled = false;\n var re = /a/;\n\n if (KEY === 'split') {\n // We can't use real regex here since it causes deoptimization\n // and serious performance degradation in V8\n // https://github.com/zloirock/core-js/issues/306\n re = {};\n // RegExp[@@split] doesn't call the regex's exec method, but first creates\n // a new one. We need to return the patched regex when creating the new one.\n re.constructor = {};\n re.constructor[SPECIES] = function () { return re; };\n re.flags = '';\n re[SYMBOL] = /./[SYMBOL];\n }\n\n re.exec = function () { execCalled = true; return null; };\n\n re[SYMBOL]('');\n return !execCalled;\n });\n\n if (\n !DELEGATES_TO_SYMBOL ||\n !DELEGATES_TO_EXEC ||\n (KEY === 'replace' && !(\n REPLACE_SUPPORTS_NAMED_GROUPS &&\n REPLACE_KEEPS_$0 &&\n !REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n )) ||\n (KEY === 'split' && !SPLIT_WORKS_WITH_OVERWRITTEN_EXEC)\n ) {\n var nativeRegExpMethod = /./[SYMBOL];\n var methods = exec(SYMBOL, ''[KEY], function (nativeMethod, regexp, str, arg2, forceStringMethod) {\n if (regexp.exec === regexpExec) {\n if (DELEGATES_TO_SYMBOL && !forceStringMethod) {\n // The native String method already delegates to @@method (this\n // polyfilled function), leasing to infinite recursion.\n // We avoid it by directly calling the native @@method method.\n return { done: true, value: nativeRegExpMethod.call(regexp, str, arg2) };\n }\n return { done: true, value: nativeMethod.call(str, regexp, arg2) };\n }\n return { done: false };\n }, {\n REPLACE_KEEPS_$0: REPLACE_KEEPS_$0,\n REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE: REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n });\n var stringMethod = methods[0];\n var regexMethod = methods[1];\n\n redefine(String.prototype, KEY, stringMethod);\n redefine(RegExp.prototype, SYMBOL, length == 2\n // 21.2.5.8 RegExp.prototype[@@replace](string, replaceValue)\n // 21.2.5.11 RegExp.prototype[@@split](string, limit)\n ? function (string, arg) { return regexMethod.call(string, this, arg); }\n // 21.2.5.6 RegExp.prototype[@@match](string)\n // 21.2.5.9 RegExp.prototype[@@search](string)\n : function (string) { return regexMethod.call(string, this); }\n );\n }\n\n if (sham) createNonEnumerableProperty(RegExp.prototype[SYMBOL], 'sham', true);\n};\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\n\n// `AdvanceStringIndex` abstract operation\n// https://tc39.github.io/ecma262/#sec-advancestringindex\nmodule.exports = function (S, index, unicode) {\n return index + (unicode ? charAt(S, index).length : 1);\n};\n","var classof = require('./classof-raw');\nvar regexpExec = require('./regexp-exec');\n\n// `RegExpExec` abstract operation\n// https://tc39.github.io/ecma262/#sec-regexpexec\nmodule.exports = function (R, S) {\n var exec = R.exec;\n if (typeof exec === 'function') {\n var result = exec.call(R, S);\n if (typeof result !== 'object') {\n throw TypeError('RegExp exec method returned something other than an Object or null');\n }\n return result;\n }\n\n if (classof(R) !== 'RegExp') {\n throw TypeError('RegExp#exec called on incompatible receiver');\n }\n\n return regexpExec.call(R, S);\n};\n\n","var global = require('../internals/global');\nvar inspectSource = require('../internals/inspect-source');\n\nvar WeakMap = global.WeakMap;\n\nmodule.exports = typeof WeakMap === 'function' && /native code/.test(inspectSource(WeakMap));\n","var has = require('../internals/has');\nvar ownKeys = require('../internals/own-keys');\nvar getOwnPropertyDescriptorModule = require('../internals/object-get-own-property-descriptor');\nvar definePropertyModule = require('../internals/object-define-property');\n\nmodule.exports = function (target, source) {\n var keys = ownKeys(source);\n var defineProperty = definePropertyModule.f;\n var getOwnPropertyDescriptor = getOwnPropertyDescriptorModule.f;\n for (var i = 0; i < keys.length; i++) {\n var key = keys[i];\n if (!has(target, key)) defineProperty(target, key, getOwnPropertyDescriptor(source, key));\n }\n};\n","var getBuiltIn = require('../internals/get-built-in');\nvar getOwnPropertyNamesModule = require('../internals/object-get-own-property-names');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar anObject = require('../internals/an-object');\n\n// all object keys, includes non-enumerable and symbols\nmodule.exports = getBuiltIn('Reflect', 'ownKeys') || function ownKeys(it) {\n var keys = getOwnPropertyNamesModule.f(anObject(it));\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n return getOwnPropertySymbols ? keys.concat(getOwnPropertySymbols(it)) : keys;\n};\n","var global = require('../internals/global');\n\nmodule.exports = global;\n","module.exports = require('./lib/axios');","'use strict';\n\nvar utils = require('./utils');\nvar bind = require('./helpers/bind');\nvar Axios = require('./core/Axios');\nvar mergeConfig = require('./core/mergeConfig');\nvar defaults = require('./defaults');\n\n/**\n * Create an instance of Axios\n *\n * @param {Object} defaultConfig The default config for the instance\n * @return {Axios} A new instance of Axios\n */\nfunction createInstance(defaultConfig) {\n var context = new Axios(defaultConfig);\n var instance = bind(Axios.prototype.request, context);\n\n // Copy axios.prototype to instance\n utils.extend(instance, Axios.prototype, context);\n\n // Copy context to instance\n utils.extend(instance, context);\n\n return instance;\n}\n\n// Create the default instance to be exported\nvar axios = createInstance(defaults);\n\n// Expose Axios class to allow class inheritance\naxios.Axios = Axios;\n\n// Factory for creating new instances\naxios.create = function create(instanceConfig) {\n return createInstance(mergeConfig(axios.defaults, instanceConfig));\n};\n\n// Expose Cancel & CancelToken\naxios.Cancel = require('./cancel/Cancel');\naxios.CancelToken = require('./cancel/CancelToken');\naxios.isCancel = require('./cancel/isCancel');\n\n// Expose all/spread\naxios.all = function all(promises) {\n return Promise.all(promises);\n};\naxios.spread = require('./helpers/spread');\n\nmodule.exports = axios;\n\n// Allow use of default import syntax in TypeScript\nmodule.exports.default = axios;\n","'use strict';\n\nvar utils = require('./../utils');\nvar buildURL = require('../helpers/buildURL');\nvar InterceptorManager = require('./InterceptorManager');\nvar dispatchRequest = require('./dispatchRequest');\nvar mergeConfig = require('./mergeConfig');\n\n/**\n * Create a new instance of Axios\n *\n * @param {Object} instanceConfig The default config for the instance\n */\nfunction Axios(instanceConfig) {\n this.defaults = instanceConfig;\n this.interceptors = {\n request: new InterceptorManager(),\n response: new InterceptorManager()\n };\n}\n\n/**\n * Dispatch a request\n *\n * @param {Object} config The config specific for this request (merged with this.defaults)\n */\nAxios.prototype.request = function request(config) {\n /*eslint no-param-reassign:0*/\n // Allow for axios('example/url'[, config]) a la fetch API\n if (typeof config === 'string') {\n config = arguments[1] || {};\n config.url = arguments[0];\n } else {\n config = config || {};\n }\n\n config = mergeConfig(this.defaults, config);\n\n // Set config.method\n if (config.method) {\n config.method = config.method.toLowerCase();\n } else if (this.defaults.method) {\n config.method = this.defaults.method.toLowerCase();\n } else {\n config.method = 'get';\n }\n\n // Hook up interceptors middleware\n var chain = [dispatchRequest, undefined];\n var promise = Promise.resolve(config);\n\n this.interceptors.request.forEach(function unshiftRequestInterceptors(interceptor) {\n chain.unshift(interceptor.fulfilled, interceptor.rejected);\n });\n\n this.interceptors.response.forEach(function pushResponseInterceptors(interceptor) {\n chain.push(interceptor.fulfilled, interceptor.rejected);\n });\n\n while (chain.length) {\n promise = promise.then(chain.shift(), chain.shift());\n }\n\n return promise;\n};\n\nAxios.prototype.getUri = function getUri(config) {\n config = mergeConfig(this.defaults, config);\n return buildURL(config.url, config.params, config.paramsSerializer).replace(/^\\?/, '');\n};\n\n// Provide aliases for supported request methods\nutils.forEach(['delete', 'get', 'head', 'options'], function forEachMethodNoData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url\n }));\n };\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, data, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url,\n data: data\n }));\n };\n});\n\nmodule.exports = Axios;\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction InterceptorManager() {\n this.handlers = [];\n}\n\n/**\n * Add a new interceptor to the stack\n *\n * @param {Function} fulfilled The function to handle `then` for a `Promise`\n * @param {Function} rejected The function to handle `reject` for a `Promise`\n *\n * @return {Number} An ID used to remove interceptor later\n */\nInterceptorManager.prototype.use = function use(fulfilled, rejected) {\n this.handlers.push({\n fulfilled: fulfilled,\n rejected: rejected\n });\n return this.handlers.length - 1;\n};\n\n/**\n * Remove an interceptor from the stack\n *\n * @param {Number} id The ID that was returned by `use`\n */\nInterceptorManager.prototype.eject = function eject(id) {\n if (this.handlers[id]) {\n this.handlers[id] = null;\n }\n};\n\n/**\n * Iterate over all the registered interceptors\n *\n * This method is particularly useful for skipping over any\n * interceptors that may have become `null` calling `eject`.\n *\n * @param {Function} fn The function to call for each interceptor\n */\nInterceptorManager.prototype.forEach = function forEach(fn) {\n utils.forEach(this.handlers, function forEachHandler(h) {\n if (h !== null) {\n fn(h);\n }\n });\n};\n\nmodule.exports = InterceptorManager;\n","'use strict';\n\nvar utils = require('./../utils');\nvar transformData = require('./transformData');\nvar isCancel = require('../cancel/isCancel');\nvar defaults = require('../defaults');\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nfunction throwIfCancellationRequested(config) {\n if (config.cancelToken) {\n config.cancelToken.throwIfRequested();\n }\n}\n\n/**\n * Dispatch a request to the server using the configured adapter.\n *\n * @param {object} config The config that is to be used for the request\n * @returns {Promise} The Promise to be fulfilled\n */\nmodule.exports = function dispatchRequest(config) {\n throwIfCancellationRequested(config);\n\n // Ensure headers exist\n config.headers = config.headers || {};\n\n // Transform request data\n config.data = transformData(\n config.data,\n config.headers,\n config.transformRequest\n );\n\n // Flatten headers\n config.headers = utils.merge(\n config.headers.common || {},\n config.headers[config.method] || {},\n config.headers\n );\n\n utils.forEach(\n ['delete', 'get', 'head', 'post', 'put', 'patch', 'common'],\n function cleanHeaderConfig(method) {\n delete config.headers[method];\n }\n );\n\n var adapter = config.adapter || defaults.adapter;\n\n return adapter(config).then(function onAdapterResolution(response) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n response.data = transformData(\n response.data,\n response.headers,\n config.transformResponse\n );\n\n return response;\n }, function onAdapterRejection(reason) {\n if (!isCancel(reason)) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n if (reason && reason.response) {\n reason.response.data = transformData(\n reason.response.data,\n reason.response.headers,\n config.transformResponse\n );\n }\n }\n\n return Promise.reject(reason);\n });\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n/**\n * Transform the data for a request or a response\n *\n * @param {Object|String} data The data to be transformed\n * @param {Array} headers The headers for the request or response\n * @param {Array|Function} fns A single function or Array of functions\n * @returns {*} The resulting transformed data\n */\nmodule.exports = function transformData(data, headers, fns) {\n /*eslint no-param-reassign:0*/\n utils.forEach(fns, function transform(fn) {\n data = fn(data, headers);\n });\n\n return data;\n};\n","'use strict';\n\nvar utils = require('../utils');\n\nmodule.exports = function normalizeHeaderName(headers, normalizedName) {\n utils.forEach(headers, function processHeader(value, name) {\n if (name !== normalizedName && name.toUpperCase() === normalizedName.toUpperCase()) {\n headers[normalizedName] = value;\n delete headers[name];\n }\n });\n};\n","'use strict';\n\nvar createError = require('./createError');\n\n/**\n * Resolve or reject a Promise based on response status.\n *\n * @param {Function} resolve A function that resolves the promise.\n * @param {Function} reject A function that rejects the promise.\n * @param {object} response The response.\n */\nmodule.exports = function settle(resolve, reject, response) {\n var validateStatus = response.config.validateStatus;\n if (!validateStatus || validateStatus(response.status)) {\n resolve(response);\n } else {\n reject(createError(\n 'Request failed with status code ' + response.status,\n response.config,\n null,\n response.request,\n response\n ));\n }\n};\n","'use strict';\n\n/**\n * Update an Error with the specified config, error code, and response.\n *\n * @param {Error} error The error to update.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The error.\n */\nmodule.exports = function enhanceError(error, config, code, request, response) {\n error.config = config;\n if (code) {\n error.code = code;\n }\n\n error.request = request;\n error.response = response;\n error.isAxiosError = true;\n\n error.toJSON = function() {\n return {\n // Standard\n message: this.message,\n name: this.name,\n // Microsoft\n description: this.description,\n number: this.number,\n // Mozilla\n fileName: this.fileName,\n lineNumber: this.lineNumber,\n columnNumber: this.columnNumber,\n stack: this.stack,\n // Axios\n config: this.config,\n code: this.code\n };\n };\n return error;\n};\n","'use strict';\n\nvar isAbsoluteURL = require('../helpers/isAbsoluteURL');\nvar combineURLs = require('../helpers/combineURLs');\n\n/**\n * Creates a new URL by combining the baseURL with the requestedURL,\n * only when the requestedURL is not already an absolute URL.\n * If the requestURL is absolute, this function returns the requestedURL untouched.\n *\n * @param {string} baseURL The base URL\n * @param {string} requestedURL Absolute or relative URL to combine\n * @returns {string} The combined full path\n */\nmodule.exports = function buildFullPath(baseURL, requestedURL) {\n if (baseURL && !isAbsoluteURL(requestedURL)) {\n return combineURLs(baseURL, requestedURL);\n }\n return requestedURL;\n};\n","'use strict';\n\n/**\n * Determines whether the specified URL is absolute\n *\n * @param {string} url The URL to test\n * @returns {boolean} True if the specified URL is absolute, otherwise false\n */\nmodule.exports = function isAbsoluteURL(url) {\n // A URL is considered absolute if it begins with \"<scheme>://\" or \"//\" (protocol-relative URL).\n // RFC 3986 defines scheme name as a sequence of characters beginning with a letter and followed\n // by any combination of letters, digits, plus, period, or hyphen.\n return /^([a-z][a-z\\d\\+\\-\\.]*:)?\\/\\//i.test(url);\n};\n","'use strict';\n\n/**\n * Creates a new URL by combining the specified URLs\n *\n * @param {string} baseURL The base URL\n * @param {string} relativeURL The relative URL\n * @returns {string} The combined URL\n */\nmodule.exports = function combineURLs(baseURL, relativeURL) {\n return relativeURL\n ? baseURL.replace(/\\/+$/, '') + '/' + relativeURL.replace(/^\\/+/, '')\n : baseURL;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n// Headers whose duplicates are ignored by node\n// c.f. https://nodejs.org/api/http.html#http_message_headers\nvar ignoreDuplicateOf = [\n 'age', 'authorization', 'content-length', 'content-type', 'etag',\n 'expires', 'from', 'host', 'if-modified-since', 'if-unmodified-since',\n 'last-modified', 'location', 'max-forwards', 'proxy-authorization',\n 'referer', 'retry-after', 'user-agent'\n];\n\n/**\n * Parse headers into an object\n *\n * ```\n * Date: Wed, 27 Aug 2014 08:58:49 GMT\n * Content-Type: application/json\n * Connection: keep-alive\n * Transfer-Encoding: chunked\n * ```\n *\n * @param {String} headers Headers needing to be parsed\n * @returns {Object} Headers parsed into an object\n */\nmodule.exports = function parseHeaders(headers) {\n var parsed = {};\n var key;\n var val;\n var i;\n\n if (!headers) { return parsed; }\n\n utils.forEach(headers.split('\\n'), function parser(line) {\n i = line.indexOf(':');\n key = utils.trim(line.substr(0, i)).toLowerCase();\n val = utils.trim(line.substr(i + 1));\n\n if (key) {\n if (parsed[key] && ignoreDuplicateOf.indexOf(key) >= 0) {\n return;\n }\n if (key === 'set-cookie') {\n parsed[key] = (parsed[key] ? parsed[key] : []).concat([val]);\n } else {\n parsed[key] = parsed[key] ? parsed[key] + ', ' + val : val;\n }\n }\n });\n\n return parsed;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs have full support of the APIs needed to test\n // whether the request URL is of the same origin as current location.\n (function standardBrowserEnv() {\n var msie = /(msie|trident)/i.test(navigator.userAgent);\n var urlParsingNode = document.createElement('a');\n var originURL;\n\n /**\n * Parse a URL to discover it's components\n *\n * @param {String} url The URL to be parsed\n * @returns {Object}\n */\n function resolveURL(url) {\n var href = url;\n\n if (msie) {\n // IE needs attribute set twice to normalize properties\n urlParsingNode.setAttribute('href', href);\n href = urlParsingNode.href;\n }\n\n urlParsingNode.setAttribute('href', href);\n\n // urlParsingNode provides the UrlUtils interface - http://url.spec.whatwg.org/#urlutils\n return {\n href: urlParsingNode.href,\n protocol: urlParsingNode.protocol ? urlParsingNode.protocol.replace(/:$/, '') : '',\n host: urlParsingNode.host,\n search: urlParsingNode.search ? urlParsingNode.search.replace(/^\\?/, '') : '',\n hash: urlParsingNode.hash ? urlParsingNode.hash.replace(/^#/, '') : '',\n hostname: urlParsingNode.hostname,\n port: urlParsingNode.port,\n pathname: (urlParsingNode.pathname.charAt(0) === '/') ?\n urlParsingNode.pathname :\n '/' + urlParsingNode.pathname\n };\n }\n\n originURL = resolveURL(window.location.href);\n\n /**\n * Determine if a URL shares the same origin as the current location\n *\n * @param {String} requestURL The URL to test\n * @returns {boolean} True if URL shares the same origin, otherwise false\n */\n return function isURLSameOrigin(requestURL) {\n var parsed = (utils.isString(requestURL)) ? resolveURL(requestURL) : requestURL;\n return (parsed.protocol === originURL.protocol &&\n parsed.host === originURL.host);\n };\n })() :\n\n // Non standard browser envs (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return function isURLSameOrigin() {\n return true;\n };\n })()\n);\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs support document.cookie\n (function standardBrowserEnv() {\n return {\n write: function write(name, value, expires, path, domain, secure) {\n var cookie = [];\n cookie.push(name + '=' + encodeURIComponent(value));\n\n if (utils.isNumber(expires)) {\n cookie.push('expires=' + new Date(expires).toGMTString());\n }\n\n if (utils.isString(path)) {\n cookie.push('path=' + path);\n }\n\n if (utils.isString(domain)) {\n cookie.push('domain=' + domain);\n }\n\n if (secure === true) {\n cookie.push('secure');\n }\n\n document.cookie = cookie.join('; ');\n },\n\n read: function read(name) {\n var match = document.cookie.match(new RegExp('(^|;\\\\s*)(' + name + ')=([^;]*)'));\n return (match ? decodeURIComponent(match[3]) : null);\n },\n\n remove: function remove(name) {\n this.write(name, '', Date.now() - 86400000);\n }\n };\n })() :\n\n // Non standard browser env (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return {\n write: function write() {},\n read: function read() { return null; },\n remove: function remove() {}\n };\n })()\n);\n","'use strict';\n\nvar Cancel = require('./Cancel');\n\n/**\n * A `CancelToken` is an object that can be used to request cancellation of an operation.\n *\n * @class\n * @param {Function} executor The executor function.\n */\nfunction CancelToken(executor) {\n if (typeof executor !== 'function') {\n throw new TypeError('executor must be a function.');\n }\n\n var resolvePromise;\n this.promise = new Promise(function promiseExecutor(resolve) {\n resolvePromise = resolve;\n });\n\n var token = this;\n executor(function cancel(message) {\n if (token.reason) {\n // Cancellation has already been requested\n return;\n }\n\n token.reason = new Cancel(message);\n resolvePromise(token.reason);\n });\n}\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nCancelToken.prototype.throwIfRequested = function throwIfRequested() {\n if (this.reason) {\n throw this.reason;\n }\n};\n\n/**\n * Returns an object that contains a new `CancelToken` and a function that, when called,\n * cancels the `CancelToken`.\n */\nCancelToken.source = function source() {\n var cancel;\n var token = new CancelToken(function executor(c) {\n cancel = c;\n });\n return {\n token: token,\n cancel: cancel\n };\n};\n\nmodule.exports = CancelToken;\n","'use strict';\n\n/**\n * Syntactic sugar for invoking a function and expanding an array for arguments.\n *\n * Common use case would be to use `Function.prototype.apply`.\n *\n * ```js\n * function f(x, y, z) {}\n * var args = [1, 2, 3];\n * f.apply(null, args);\n * ```\n *\n * With `spread` this example can be re-written.\n *\n * ```js\n * spread(function(x, y, z) {})([1, 2, 3]);\n * ```\n *\n * @param {Function} callback\n * @returns {Function}\n */\nmodule.exports = function spread(callback) {\n return function wrap(arr) {\n return callback.apply(null, arr);\n };\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRequestToken = getRequestToken;\nexports.onRequestTokenUpdate = onRequestTokenUpdate;\n\nvar _eventBus = require(\"@nextcloud/event-bus\");\n\nvar tokenElement = document.getElementsByTagName('head')[0];\nvar token = tokenElement ? tokenElement.getAttribute('data-requesttoken') : null;\nvar observers = [];\n\nfunction getRequestToken() {\n return token;\n}\n\nfunction onRequestTokenUpdate(observer) {\n observers.push(observer);\n} // Listen to server event and keep token in sync\n\n\n(0, _eventBus.subscribe)('csrf-token-update', function (e) {\n token = e.token;\n observers.forEach(function (observer) {\n try {\n observer(e.token);\n } catch (e) {\n console.error('error updating CSRF token observer', e);\n }\n });\n});\n//# sourceMappingURL=requesttoken.js.map","var NATIVE_SYMBOL = require('../internals/native-symbol');\n\nmodule.exports = NATIVE_SYMBOL\n // eslint-disable-next-line no-undef\n && !Symbol.sham\n // eslint-disable-next-line no-undef\n && typeof Symbol.iterator == 'symbol';\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.ProxyBus = void 0;\n\nvar _valid = _interopRequireDefault(require(\"semver/functions/valid\"));\n\nvar _major = _interopRequireDefault(require(\"semver/functions/major\"));\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar ProxyBus = /*#__PURE__*/function () {\n function ProxyBus(bus) {\n _classCallCheck(this, ProxyBus);\n\n _defineProperty(this, \"bus\", void 0);\n\n if (typeof bus.getVersion !== 'function' || !(0, _valid.default)(bus.getVersion())) {\n console.warn('Proxying an event bus with an unknown or invalid version');\n } else if ((0, _major.default)(bus.getVersion()) !== (0, _major.default)(this.getVersion())) {\n console.warn('Proxying an event bus of version ' + bus.getVersion() + ' with ' + this.getVersion());\n }\n\n this.bus = bus;\n }\n\n _createClass(ProxyBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.bus.subscribe(name, handler);\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.bus.unsubscribe(name, handler);\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n this.bus.emit(name, event);\n }\n }]);\n\n return ProxyBus;\n}();\n\nexports.ProxyBus = ProxyBus;\n//# sourceMappingURL=ProxyBus.js.map","const parse = require('./parse')\nconst valid = (version, options) => {\n const v = parse(version, options)\n return v ? v.version : null\n}\nmodule.exports = valid\n","const {MAX_LENGTH} = require('../internal/constants')\nconst { re, t } = require('../internal/re')\nconst SemVer = require('../classes/semver')\n\nconst parse = (version, options) => {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n\n if (version instanceof SemVer) {\n return version\n }\n\n if (typeof version !== 'string') {\n return null\n }\n\n if (version.length > MAX_LENGTH) {\n return null\n }\n\n const r = options.loose ? re[t.LOOSE] : re[t.FULL]\n if (!r.test(version)) {\n return null\n }\n\n try {\n return new SemVer(version, options)\n } catch (er) {\n return null\n }\n}\n\nmodule.exports = parse\n","const numeric = /^[0-9]+$/\nconst compareIdentifiers = (a, b) => {\n const anum = numeric.test(a)\n const bnum = numeric.test(b)\n\n if (anum && bnum) {\n a = +a\n b = +b\n }\n\n return a === b ? 0\n : (anum && !bnum) ? -1\n : (bnum && !anum) ? 1\n : a < b ? -1\n : 1\n}\n\nconst rcompareIdentifiers = (a, b) => compareIdentifiers(b, a)\n\nmodule.exports = {\n compareIdentifiers,\n rcompareIdentifiers\n}\n","const SemVer = require('../classes/semver')\nconst major = (a, loose) => new SemVer(a, loose).major\nmodule.exports = major\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.concat\");\n\nrequire(\"core-js/modules/es.array.filter\");\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nrequire(\"core-js/modules/es.array.iterator\");\n\nrequire(\"core-js/modules/es.map\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.string.iterator\");\n\nrequire(\"core-js/modules/web.dom-collections.for-each\");\n\nrequire(\"core-js/modules/web.dom-collections.iterator\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.SimpleBus = void 0;\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar SimpleBus = /*#__PURE__*/function () {\n function SimpleBus() {\n _classCallCheck(this, SimpleBus);\n\n _defineProperty(this, \"handlers\", new Map());\n }\n\n _createClass(SimpleBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).concat(handler));\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).filter(function (h) {\n return h != handler;\n }));\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n (this.handlers.get(name) || []).forEach(function (h) {\n try {\n h(event);\n } catch (e) {\n console.error('could not invoke event listener', e);\n }\n });\n }\n }]);\n\n return SimpleBus;\n}();\n\nexports.SimpleBus = SimpleBus;\n//# sourceMappingURL=SimpleBus.js.map","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar create = require('../internals/object-create');\nvar definePropertyModule = require('../internals/object-define-property');\n\nvar UNSCOPABLES = wellKnownSymbol('unscopables');\nvar ArrayPrototype = Array.prototype;\n\n// Array.prototype[@@unscopables]\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\nif (ArrayPrototype[UNSCOPABLES] == undefined) {\n definePropertyModule.f(ArrayPrototype, UNSCOPABLES, {\n configurable: true,\n value: create(null)\n });\n}\n\n// add a key to Array.prototype[@@unscopables]\nmodule.exports = function (key) {\n ArrayPrototype[UNSCOPABLES][key] = true;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n function F() { /* empty */ }\n F.prototype.constructor = null;\n return Object.getPrototypeOf(new F()) !== F.prototype;\n});\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it) && it !== null) {\n throw TypeError(\"Can't set \" + String(it) + ' as a prototype');\n } return it;\n};\n","'use strict';\nvar collection = require('../internals/collection');\nvar collectionStrong = require('../internals/collection-strong');\n\n// `Map` constructor\n// https://tc39.github.io/ecma262/#sec-map-objects\nmodule.exports = collection('Map', function (init) {\n return function Map() { return init(this, arguments.length ? arguments[0] : undefined); };\n}, collectionStrong);\n","'use strict';\nvar $ = require('../internals/export');\nvar global = require('../internals/global');\nvar isForced = require('../internals/is-forced');\nvar redefine = require('../internals/redefine');\nvar InternalMetadataModule = require('../internals/internal-metadata');\nvar iterate = require('../internals/iterate');\nvar anInstance = require('../internals/an-instance');\nvar isObject = require('../internals/is-object');\nvar fails = require('../internals/fails');\nvar checkCorrectnessOfIteration = require('../internals/check-correctness-of-iteration');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar inheritIfRequired = require('../internals/inherit-if-required');\n\nmodule.exports = function (CONSTRUCTOR_NAME, wrapper, common) {\n var IS_MAP = CONSTRUCTOR_NAME.indexOf('Map') !== -1;\n var IS_WEAK = CONSTRUCTOR_NAME.indexOf('Weak') !== -1;\n var ADDER = IS_MAP ? 'set' : 'add';\n var NativeConstructor = global[CONSTRUCTOR_NAME];\n var NativePrototype = NativeConstructor && NativeConstructor.prototype;\n var Constructor = NativeConstructor;\n var exported = {};\n\n var fixMethod = function (KEY) {\n var nativeMethod = NativePrototype[KEY];\n redefine(NativePrototype, KEY,\n KEY == 'add' ? function add(value) {\n nativeMethod.call(this, value === 0 ? 0 : value);\n return this;\n } : KEY == 'delete' ? function (key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'get' ? function get(key) {\n return IS_WEAK && !isObject(key) ? undefined : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'has' ? function has(key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : function set(key, value) {\n nativeMethod.call(this, key === 0 ? 0 : key, value);\n return this;\n }\n );\n };\n\n // eslint-disable-next-line max-len\n if (isForced(CONSTRUCTOR_NAME, typeof NativeConstructor != 'function' || !(IS_WEAK || NativePrototype.forEach && !fails(function () {\n new NativeConstructor().entries().next();\n })))) {\n // create collection constructor\n Constructor = common.getConstructor(wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER);\n InternalMetadataModule.REQUIRED = true;\n } else if (isForced(CONSTRUCTOR_NAME, true)) {\n var instance = new Constructor();\n // early implementations not supports chaining\n var HASNT_CHAINING = instance[ADDER](IS_WEAK ? {} : -0, 1) != instance;\n // V8 ~ Chromium 40- weak-collections throws on primitives, but should return false\n var THROWS_ON_PRIMITIVES = fails(function () { instance.has(1); });\n // most early implementations doesn't supports iterables, most modern - not close it correctly\n // eslint-disable-next-line no-new\n var ACCEPT_ITERABLES = checkCorrectnessOfIteration(function (iterable) { new NativeConstructor(iterable); });\n // for early implementations -0 and +0 not the same\n var BUGGY_ZERO = !IS_WEAK && fails(function () {\n // V8 ~ Chromium 42- fails only with 5+ elements\n var $instance = new NativeConstructor();\n var index = 5;\n while (index--) $instance[ADDER](index, index);\n return !$instance.has(-0);\n });\n\n if (!ACCEPT_ITERABLES) {\n Constructor = wrapper(function (dummy, iterable) {\n anInstance(dummy, Constructor, CONSTRUCTOR_NAME);\n var that = inheritIfRequired(new NativeConstructor(), dummy, Constructor);\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n return that;\n });\n Constructor.prototype = NativePrototype;\n NativePrototype.constructor = Constructor;\n }\n\n if (THROWS_ON_PRIMITIVES || BUGGY_ZERO) {\n fixMethod('delete');\n fixMethod('has');\n IS_MAP && fixMethod('get');\n }\n\n if (BUGGY_ZERO || HASNT_CHAINING) fixMethod(ADDER);\n\n // weak collections should not contains .clear method\n if (IS_WEAK && NativePrototype.clear) delete NativePrototype.clear;\n }\n\n exported[CONSTRUCTOR_NAME] = Constructor;\n $({ global: true, forced: Constructor != NativeConstructor }, exported);\n\n setToStringTag(Constructor, CONSTRUCTOR_NAME);\n\n if (!IS_WEAK) common.setStrong(Constructor, CONSTRUCTOR_NAME, IS_MAP);\n\n return Constructor;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n return Object.isExtensible(Object.preventExtensions({}));\n});\n","'use strict';\nvar defineProperty = require('../internals/object-define-property').f;\nvar create = require('../internals/object-create');\nvar redefineAll = require('../internals/redefine-all');\nvar bind = require('../internals/function-bind-context');\nvar anInstance = require('../internals/an-instance');\nvar iterate = require('../internals/iterate');\nvar defineIterator = require('../internals/define-iterator');\nvar setSpecies = require('../internals/set-species');\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fastKey = require('../internals/internal-metadata').fastKey;\nvar InternalStateModule = require('../internals/internal-state');\n\nvar setInternalState = InternalStateModule.set;\nvar internalStateGetterFor = InternalStateModule.getterFor;\n\nmodule.exports = {\n getConstructor: function (wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER) {\n var C = wrapper(function (that, iterable) {\n anInstance(that, C, CONSTRUCTOR_NAME);\n setInternalState(that, {\n type: CONSTRUCTOR_NAME,\n index: create(null),\n first: undefined,\n last: undefined,\n size: 0\n });\n if (!DESCRIPTORS) that.size = 0;\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n });\n\n var getInternalState = internalStateGetterFor(CONSTRUCTOR_NAME);\n\n var define = function (that, key, value) {\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n var previous, index;\n // change existing entry\n if (entry) {\n entry.value = value;\n // create new entry\n } else {\n state.last = entry = {\n index: index = fastKey(key, true),\n key: key,\n value: value,\n previous: previous = state.last,\n next: undefined,\n removed: false\n };\n if (!state.first) state.first = entry;\n if (previous) previous.next = entry;\n if (DESCRIPTORS) state.size++;\n else that.size++;\n // add to index\n if (index !== 'F') state.index[index] = entry;\n } return that;\n };\n\n var getEntry = function (that, key) {\n var state = getInternalState(that);\n // fast case\n var index = fastKey(key);\n var entry;\n if (index !== 'F') return state.index[index];\n // frozen object case\n for (entry = state.first; entry; entry = entry.next) {\n if (entry.key == key) return entry;\n }\n };\n\n redefineAll(C.prototype, {\n // 23.1.3.1 Map.prototype.clear()\n // 23.2.3.2 Set.prototype.clear()\n clear: function clear() {\n var that = this;\n var state = getInternalState(that);\n var data = state.index;\n var entry = state.first;\n while (entry) {\n entry.removed = true;\n if (entry.previous) entry.previous = entry.previous.next = undefined;\n delete data[entry.index];\n entry = entry.next;\n }\n state.first = state.last = undefined;\n if (DESCRIPTORS) state.size = 0;\n else that.size = 0;\n },\n // 23.1.3.3 Map.prototype.delete(key)\n // 23.2.3.4 Set.prototype.delete(value)\n 'delete': function (key) {\n var that = this;\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n if (entry) {\n var next = entry.next;\n var prev = entry.previous;\n delete state.index[entry.index];\n entry.removed = true;\n if (prev) prev.next = next;\n if (next) next.previous = prev;\n if (state.first == entry) state.first = next;\n if (state.last == entry) state.last = prev;\n if (DESCRIPTORS) state.size--;\n else that.size--;\n } return !!entry;\n },\n // 23.2.3.6 Set.prototype.forEach(callbackfn, thisArg = undefined)\n // 23.1.3.5 Map.prototype.forEach(callbackfn, thisArg = undefined)\n forEach: function forEach(callbackfn /* , that = undefined */) {\n var state = getInternalState(this);\n var boundFunction = bind(callbackfn, arguments.length > 1 ? arguments[1] : undefined, 3);\n var entry;\n while (entry = entry ? entry.next : state.first) {\n boundFunction(entry.value, entry.key, this);\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n }\n },\n // 23.1.3.7 Map.prototype.has(key)\n // 23.2.3.7 Set.prototype.has(value)\n has: function has(key) {\n return !!getEntry(this, key);\n }\n });\n\n redefineAll(C.prototype, IS_MAP ? {\n // 23.1.3.6 Map.prototype.get(key)\n get: function get(key) {\n var entry = getEntry(this, key);\n return entry && entry.value;\n },\n // 23.1.3.9 Map.prototype.set(key, value)\n set: function set(key, value) {\n return define(this, key === 0 ? 0 : key, value);\n }\n } : {\n // 23.2.3.1 Set.prototype.add(value)\n add: function add(value) {\n return define(this, value = value === 0 ? 0 : value, value);\n }\n });\n if (DESCRIPTORS) defineProperty(C.prototype, 'size', {\n get: function () {\n return getInternalState(this).size;\n }\n });\n return C;\n },\n setStrong: function (C, CONSTRUCTOR_NAME, IS_MAP) {\n var ITERATOR_NAME = CONSTRUCTOR_NAME + ' Iterator';\n var getInternalCollectionState = internalStateGetterFor(CONSTRUCTOR_NAME);\n var getInternalIteratorState = internalStateGetterFor(ITERATOR_NAME);\n // add .keys, .values, .entries, [@@iterator]\n // 23.1.3.4, 23.1.3.8, 23.1.3.11, 23.1.3.12, 23.2.3.5, 23.2.3.8, 23.2.3.10, 23.2.3.11\n defineIterator(C, CONSTRUCTOR_NAME, function (iterated, kind) {\n setInternalState(this, {\n type: ITERATOR_NAME,\n target: iterated,\n state: getInternalCollectionState(iterated),\n kind: kind,\n last: undefined\n });\n }, function () {\n var state = getInternalIteratorState(this);\n var kind = state.kind;\n var entry = state.last;\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n // get next entry\n if (!state.target || !(state.last = entry = entry ? entry.next : state.state.first)) {\n // or finish the iteration\n state.target = undefined;\n return { value: undefined, done: true };\n }\n // return step by kind\n if (kind == 'keys') return { value: entry.key, done: false };\n if (kind == 'values') return { value: entry.value, done: false };\n return { value: [entry.key, entry.value], done: false };\n }, IS_MAP ? 'entries' : 'values', !IS_MAP, true);\n\n // add [@@species], 23.1.2.2, 23.2.2.2\n setSpecies(CONSTRUCTOR_NAME);\n }\n};\n","'use strict';\nvar TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classof = require('../internals/classof');\n\n// `Object.prototype.toString` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nmodule.exports = TO_STRING_TAG_SUPPORT ? {}.toString : function toString() {\n return '[object ' + classof(this) + ']';\n};\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar forEach = require('../internals/array-for-each');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype && CollectionPrototype.forEach !== forEach) try {\n createNonEnumerableProperty(CollectionPrototype, 'forEach', forEach);\n } catch (error) {\n CollectionPrototype.forEach = forEach;\n }\n}\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getCurrentUser = getCurrentUser;\n/// <reference types=\"@nextcloud/typings\" />\nvar uidElement = document.getElementsByTagName('head')[0];\nvar uid = uidElement ? uidElement.getAttribute('data-user') : null;\nvar displayNameElement = document.getElementsByTagName('head')[0];\nvar displayName = displayNameElement ? displayNameElement.getAttribute('data-user-displayname') : null;\nvar isAdmin = typeof OC === 'undefined' ? false : OC.isUserAdmin();\n\nfunction getCurrentUser() {\n if (uid === null) {\n return null;\n }\n\n return {\n uid: uid,\n displayName: displayName,\n isAdmin: isAdmin\n };\n}\n//# sourceMappingURL=user.js.map","'use strict';\n\nvar fails = require('./fails');\n\n// babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError,\n// so we use an intermediate function.\nfunction RE(s, f) {\n return RegExp(s, f);\n}\n\nexports.UNSUPPORTED_Y = fails(function () {\n // babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError\n var re = RE('a', 'y');\n re.lastIndex = 2;\n return re.exec('abcd') != null;\n});\n\nexports.BROKEN_CARET = fails(function () {\n // https://bugzilla.mozilla.org/show_bug.cgi?id=773687\n var re = RE('^r', 'gy');\n re.lastIndex = 2;\n return re.exec('str') != null;\n});\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/sass-loader/dist/cjs.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./RichWorkspace.vue?vue&type=style&index=0&id=4bea3b82&lang=scss&scoped=true&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"#rich-workspace[data-v-4bea3b82]{padding:0 60px;margin-bottom:-24px;text-align:left;max-height:0;transition:max-height 0.5s cubic-bezier(0, 1, 0, 1)}#rich-workspace.creatable[data-v-4bea3b82]{min-height:90px}#rich-workspace[data-v-4bea3b82]:only-child{margin-bottom:0}.empty-workspace[data-v-4bea3b82]{padding-top:43px;color:var(--color-text-maxcontrast);height:0}#rich-workspace[data-v-4bea3b82] div[contenteditable=false]{width:100%;padding:0px;background-color:var(--color-main-background);opacity:1;border:none}#rich-workspace[data-v-4bea3b82] #editor-container{height:100%;position:unset !important}#rich-workspace[data-v-4bea3b82] #editor-wrapper{position:unset !important;overflow:visible}#rich-workspace[data-v-4bea3b82] #editor{overflow:scroll !important;max-height:50vh}#rich-workspace[data-v-4bea3b82] #editor-wrapper .ProseMirror{padding:0px;margin:0}#rich-workspace[data-v-4bea3b82] .menubar{z-index:50;margin-bottom:-10px}#rich-workspace[data-v-4bea3b82] .menubar .menubar-icons{margin-left:0}#rich-workspace[data-v-4bea3b82] .editor__content{margin:0}#rich-workspace.focus[data-v-4bea3b82]{max-height:50vh}#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh;position:relative;overflow:hidden}#rich-workspace[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{content:'';position:absolute;z-index:1;bottom:0;left:0;pointer-events:none;background-image:linear-gradient(to bottom, rgba(255,255,255,0), var(--color-main-background));width:100%;height:4em}#rich-workspace.dark[data-v-4bea3b82]:not(.focus):not(.icon-loading):after{background-image:linear-gradient(to bottom, rgba(0,0,0,0), var(--color-main-background))}@media only screen and (max-width: 1024px){#rich-workspace[data-v-4bea3b82]:not(.focus){max-height:30vh}}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\n/**\n * Callback that should be executed after the document is ready\n * @param callback\n */\nimport { generateUrl } from '@nextcloud/router'\n\nconst documentReady = function(callback) {\n\tconst fn = () => setTimeout(callback, 0)\n\tif (document.attachEvent ? document.readyState === 'complete' : document.readyState !== 'loading') {\n\t\tfn()\n\t} else {\n\t\tdocument.addEventListener('DOMContentLoaded', callback)\n\t}\n}\n\nconst _baseUrl = generateUrl('/apps/text')\nconst endpointUrl = (endpoint, isPublic = false) => {\n\tif (isPublic) {\n\t\treturn `${_baseUrl}/public/${endpoint}`\n\t}\n\treturn `${_baseUrl}/${endpoint}`\n}\n\nconst randomGuestNames = ['Artichoke', 'Arugula', 'Asparagus', 'Avocado', 'Bamboo Shoot', 'Bean Sprout', 'Bean', 'Beet', 'Belgian Endive', 'Bell Pepper', 'Bitter Melon', 'Bitter Gourd', 'Bok Choy', 'Broccoli', 'Brussels Sprout', 'Burdock Root', 'Cabbage', 'Calabash', 'Caper', 'Carrot', 'Cassava', 'Cauliflower', 'Celery', 'Celery Root', 'Celtuce', 'Chayote', 'Chinese Broccoli', 'Corn', 'Baby Corn', 'Cucumber', 'English Cucumber', 'Gherkin', 'Pickling Cucumber', 'Daikon Radish', 'Edamame', 'Eggplant', 'Elephant Garlic', 'Endive', 'Curly', 'Escarole', 'Fennel', 'Fiddlehead', 'Galangal', 'Garlic', 'Ginger', 'Grape Leave', 'Green Bean', 'Wax Bean', 'Green', 'Amaranth Leave', 'Beet Green', 'Collard Green', 'Dandelion Green', 'Kale', 'Kohlrabi Green', 'Mustard Green', 'Rapini', 'Spinach', 'Swiss Chard', 'Turnip Green', 'Hearts of Palm', 'Horseradish', 'Jerusalem Artichoke', 'Jícama', 'Kale', 'Curly', 'Lacinato', 'Ornamental', 'Kohlrabi', 'Leeks', 'Lemongrass', 'Lettuce', 'Butterhead', 'Iceberg', 'Leaf', 'Romaine', 'Lotus Root', 'Lotus Seed', 'Mushroom', 'Napa Cabbage', 'Nopales', 'Okra', 'Olive', 'Onion', 'Green Onion', 'Parsley', 'Parsley Root', 'Parsnip', 'Pepper', 'Plantain', 'Potato', 'Pumpkin', 'Purslane', 'Radicchio', 'Radish', 'Rutabaga', 'Shallots', 'Spinach', 'Squash', 'Sweet Potato', 'Swiss Chard', 'Taro', 'Tomatillo', 'Tomato', 'Turnip', 'Water Chestnut', 'Water Spinach', 'Watercress', 'Winter Melon', 'Yams', 'Zucchini']\nconst getRandomGuestName = () => {\n\treturn randomGuestNames[Math.floor(Math.random() * randomGuestNames.length)]\n}\n\nexport {\n\tdocumentReady,\n\tendpointUrl,\n\tgetRandomGuestName,\n}\n","import { documentReady } from './helpers'\nimport {\n\tFilesWorkspacePlugin,\n\tregisterFileActionFallback,\n\tregisterFileCreate,\n} from './helpers/files'\nimport { openMimetypes } from './helpers/mime'\nimport { loadState } from '@nextcloud/initial-state'\n\n__webpack_nonce__ = btoa(OC.requestToken) // eslint-disable-line\n__webpack_public_path__ = OC.linkTo('text', 'js/') // eslint-disable-line\n\ndocumentReady(() => {\n\tconst dir = document.getElementById('dir').value\n\tconst mimetype = document.getElementById('mimetype').value\n\tconst sharingToken = document.getElementById('sharingToken') ? document.getElementById('sharingToken').value : null\n\n\tif (!sharingToken) {\n\t\treturn\n\t}\n\n\tif (dir !== '') {\n\t\tOC.Plugins.register('OCA.Files.FileList', FilesWorkspacePlugin)\n\t\tregisterFileActionFallback()\n\t\tregisterFileCreate()\n\t} else {\n\t\t// single file share\n\t\tconst container = document.createElement('div')\n\t\tcontainer.id = 'texteditor'\n\t\tconst body = document.getElementById('app-content')\n\t\tbody.appendChild(container)\n\n\t\tif (openMimetypes.indexOf(mimetype) !== -1) {\n\t\t\tPromise.all([\n\t\t\t\timport(/* webpackChunkName: \"vendor\" */'vue'),\n\t\t\t\timport(/* webpackChunkName: \"editor\" */'./components/EditorWrapper'),\n\t\t\t]).then((imports) => {\n\t\t\t\tconst Vue = imports[0].default\n\t\t\t\tVue.prototype.t = window.t\n\t\t\t\tVue.prototype.OCA = window.OCA\n\t\t\t\tconst Editor = imports[1].default\n\t\t\t\tconst vm = new Vue({\n\t\t\t\t\trender: h => h(Editor, {\n\t\t\t\t\t\tprops: {\n\t\t\t\t\t\t\tactive: true,\n\t\t\t\t\t\t\tshareToken: sharingToken,\n\t\t\t\t\t\t\tmime: mimetype,\n\t\t\t\t\t\t},\n\t\t\t\t\t}),\n\t\t\t\t})\n\t\t\t\tvm.$mount(document.getElementById('preview'))\n\t\t\t})\n\t\t}\n\t}\n})\n\nOCA.Text = {\n\tRichWorkspaceEnabled: loadState('text', 'workspace_available'),\n}\n"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/text.js b/js/text.js
index bb09dc411..d61890a40 100644
--- a/js/text.js
+++ b/js/text.js
@@ -1,2 +1,2 @@
-!function(h){function i(i){for(var e,a,t=i[0],c=i[1],l=0,f=[];l<t.length;l++)a=t[l],Object.prototype.hasOwnProperty.call(g,a)&&g[a]&&f.push(g[a][0]),g[a]=0;for(e in c)Object.prototype.hasOwnProperty.call(c,e)&&(h[e]=c[e]);for(d&&d(i);f.length;)f.shift()()}var e={},g={196:0};function a(i){if(e[i])return e[i].exports;var g=e[i]={i:i,l:!1,exports:{}};return h[i].call(g.exports,g,g.exports,a),g.l=!0,g.exports}a.e=function(h){var i=[],e=g[h];if(0!==e)if(e)i.push(e[2]);else{var t=new Promise((function(i,a){e=g[h]=[i,a]}));i.push(e[2]=t);var c,l=document.createElement("script");l.charset="utf-8",l.timeout=120,a.nc&&l.setAttribute("nonce",a.nc),l.src=function(h){return a.p+""+({0:"vendor",1:"vendors~editor-collab~editor-guest~editor-rich~files-modal",2:"highlight/1c",3:"highlight/abnf",4:"highlight/accesslog",5:"highlight/actionscript",6:"highlight/ada",7:"highlight/angelscript",8:"highlight/apache",9:"highlight/applescript",10:"highlight/arcade",11:"highlight/arduino",12:"highlight/armasm",13:"highlight/asciidoc",14:"highlight/aspectj",15:"highlight/autohotkey",16:"highlight/autoit",17:"highlight/avrasm",18:"highlight/awk",19:"highlight/axapta",20:"highlight/bash",21:"highlight/basic",22:"highlight/bnf",23:"highlight/brainfuck",24:"highlight/cal",25:"highlight/capnproto",26:"highlight/ceylon",27:"highlight/clean",28:"highlight/clojure",29:"highlight/clojure-repl",30:"highlight/cmake",31:"highlight/coffeescript",32:"highlight/coq",33:"highlight/cos",34:"highlight/cpp",35:"highlight/crmsh",36:"highlight/crystal",37:"highlight/cs",38:"highlight/csp",39:"highlight/css",40:"highlight/d",41:"highlight/dart",42:"highlight/delphi",43:"highlight/diff",44:"highlight/django",45:"highlight/dns",46:"highlight/dockerfile",47:"highlight/dos",48:"highlight/dsconfig",49:"highlight/dts",50:"highlight/dust",51:"highlight/ebnf",52:"highlight/elixir",53:"highlight/elm",54:"highlight/erb",55:"highlight/erlang",56:"highlight/erlang-repl",57:"highlight/excel",58:"highlight/fix",59:"highlight/flix",60:"highlight/fortran",61:"highlight/fsharp",62:"highlight/gams",63:"highlight/gauss",64:"highlight/gcode",65:"highlight/gherkin",66:"highlight/glsl",67:"highlight/gml",68:"highlight/go",69:"highlight/golo",70:"highlight/gradle",71:"highlight/groovy",72:"highlight/haml",73:"highlight/handlebars",74:"highlight/haskell",75:"highlight/haxe",76:"highlight/hsp",77:"highlight/htmlbars",78:"highlight/http",79:"highlight/hy",80:"highlight/inform7",81:"highlight/ini",82:"highlight/irpf90",83:"highlight/isbl",84:"highlight/java",85:"highlight/javascript",86:"highlight/jboss-cli",87:"highlight/json",88:"highlight/julia",89:"highlight/julia-repl",90:"highlight/kotlin",91:"highlight/lasso",92:"highlight/ldif",93:"highlight/leaf",94:"highlight/less",95:"highlight/lisp",96:"highlight/livecodeserver",97:"highlight/livescript",98:"highlight/llvm",99:"highlight/lsl",100:"highlight/lua",101:"highlight/makefile",102:"highlight/markdown",103:"highlight/mathematica",104:"highlight/matlab",105:"highlight/maxima",106:"highlight/mel",107:"highlight/mercury",108:"highlight/mipsasm",109:"highlight/mizar",110:"highlight/mojolicious",111:"highlight/monkey",112:"highlight/moonscript",113:"highlight/n1ql",114:"highlight/nginx",115:"highlight/nimrod",116:"highlight/nix",117:"highlight/nsis",118:"highlight/objectivec",119:"highlight/ocaml",120:"highlight/openscad",121:"highlight/oxygene",122:"highlight/parser3",123:"highlight/perl",124:"highlight/pf",125:"highlight/pgsql",126:"highlight/php",127:"highlight/plaintext",128:"highlight/pony",129:"highlight/powershell",130:"highlight/processing",131:"highlight/profile",132:"highlight/prolog",133:"highlight/properties",134:"highlight/protobuf",135:"highlight/puppet",136:"highlight/purebasic",137:"highlight/python",138:"highlight/q",139:"highlight/qml",140:"highlight/r",141:"highlight/reasonml",142:"highlight/rib",143:"highlight/roboconf",144:"highlight/routeros",145:"highlight/rsl",146:"highlight/ruby",147:"highlight/ruleslanguage",148:"highlight/rust",149:"highlight/sas",150:"highlight/scala",151:"highlight/scheme",152:"highlight/scilab",153:"highlight/scss",154:"highlight/shell",155:"highlight/smali",156:"highlight/smalltalk",157:"highlight/sml",158:"highlight/sqf",159:"highlight/sql",160:"highlight/stan",161:"highlight/stata",162:"highlight/step21",163:"highlight/stylus",164:"highlight/subunit",165:"highlight/swift",166:"highlight/taggerscript",167:"highlight/tap",168:"highlight/tcl",169:"highlight/tex",170:"highlight/thrift",171:"highlight/tp",172:"highlight/twig",173:"highlight/typescript",174:"highlight/vala",175:"highlight/vbnet",176:"highlight/vbscript",177:"highlight/vbscript-html",178:"highlight/verilog",179:"highlight/vhdl",180:"highlight/vim",181:"highlight/x86asm",182:"highlight/xl",183:"highlight/xml",184:"highlight/xquery",185:"highlight/yaml",186:"highlight/zephir",187:"vendors~editor-collab~editor-guest",188:"vendors~editor~files-modal",189:"editor",190:"editor-collab",191:"editor-guest",192:"editor-rich",194:"files-modal",197:"vendors~editor",198:"vendors~editor-rich",199:"vendors~files-modal"}[h]||h)+".js?v="+{0:"ed141b91c3a00e287c4c",1:"b526403ab64d5164f29b",2:"9cc569982de7443f974e",3:"8934502764debdbf47fe",4:"a95440520bd82b11c0f3",5:"f8b1f84d39c3d1f1e3bd",6:"e656029399ef6f83160c",7:"104479551edd172ad73e",8:"fbb59dc9fb3be5109bcc",9:"5e2e0f261e76c85d3a9d",10:"f349d212d16773240eeb",11:"c7f7bc33077eaff25b13",12:"b9f39d176581d2a05635",13:"827bcc9381bce9f2a12b",14:"3a2c10b412d047a60bd8",15:"feb1d1e2c65a332af9bf",16:"0cba5e452dfcc760d998",17:"670f9f95aaff5410673d",18:"8908b67ae2d46f588034",19:"e0f104cf2339dde93596",20:"61cc9cd76682ca7d6c9c",21:"5edbbab081eede46fe8d",22:"c308a241ae1a184f9629",23:"69a1d83d373c8e516a75",24:"b7ddfebfd6277dccb1ab",25:"74038533676091b4e205",26:"04943cf8159d3a6b7e7c",27:"d10832fa81004232164f",28:"c3e78ed772be50fe99d6",29:"cb9bd3633080a139fafc",30:"bfc695841df82d72642c",31:"68737ba6bd067e9713bd",32:"8edf814709e41a621c00",33:"e6c665aab6af75c3d662",34:"146c01012c96f04cb22e",35:"8d010e787091697e7890",36:"6ff571801a33c4c3d23f",37:"c96931208c9707ba550d",38:"06cc48e69fd68d1ce5af",39:"30d1fed026d1d9dd19d9",40:"804b4b3ff6753c8c1c43",41:"e66fee2b0448bc1f6a9c",42:"5da15a69249959cbdb60",43:"ab32283b9049df8d470b",44:"b053b56cf0aae271b5ee",45:"625803695a16972bdd7d",46:"3bc9854c21810d4e263a",47:"c12e545c5010d19f0a58",48:"8efbb91ad56c3968ed1f",49:"92d947b623617aa3eece",50:"7cea281ba25ba8179ba6",51:"efbdc8a740fc5f59526a",52:"0a0dc45473581e3c61a4",53:"7f111a57a9520e4338e6",54:"6ccc1724f23c9880b1af",55:"1db2104639486ce6a886",56:"0ea1e64f690e80a51ef8",57:"f7d5755284641d7499f6",58:"ff4b8a653e14b25452ee",59:"e9a31c00d950fd74fd30",60:"7b9d865da00740ad6235",61:"e52ae1f393a85870b0db",62:"80b8ca041bdcc1368596",63:"bb78d535d122c148ce9f",64:"c938bd5783c1cba9271e",65:"34f40631f4912e010eb4",66:"4a5b068975eb4fe5fc1a",67:"8603d4cd1b8ec3850173",68:"9184313b6a16567d122d",69:"e849b1f0d657b6bcedcc",70:"db86e40009abe2cb833f",71:"f2c66ec3181a23a20bb3",72:"8e8b1a6c7418a162ca0c",73:"7f8238c2027977ba846f",74:"8d4d5c447105b87a1561",75:"e083aa45046ae27dd8f9",76:"2e120e96171c74f2c192",77:"e2fad7bd884a27dbd116",78:"98335291648e3efa80a0",79:"b68c0a22eafb43ae73f2",80:"5901c54722f00ddb0fff",81:"0c070095cd563fb283f9",82:"fec83e19cc60434e549e",83:"1dbac8b292d7170cad4f",84:"e951995b14ff42ec76d5",85:"e0920c0f4c233fc35617",86:"909664c829c66b37ea84",87:"b505e6d42584ab0c7485",88:"e1bf63845c6da47deabd",89:"dc7ad4072726ba046f85",90:"8db560e208052754ae35",91:"5d5ecb397905792cd093",92:"f0cb3fbeaac6d1eef3d4",93:"4a0551bc1bc454a08f23",94:"20b8bc8ae962f4e3e0d3",95:"2b754318c79c4732d941",96:"6683a8df27a1e8e548cd",97:"2a3b108b77565b4b13ce",98:"de8eeeb74721bdcb4f62",99:"3e02aba5fc7b6b630780",100:"f4717f9fd32db6f93186",101:"7d08093791d0dd523e0a",102:"c87b4d23ef01e373209c",103:"bfcff5869f59f182fad6",104:"2ee9b6533b8cb874f361",105:"046dd34749d3b80b55b8",106:"216bf44da3da1098cda3",107:"ea4494b7e22551cecffb",108:"85abbb968434f2b20037",109:"82f47ef94dd9d67243a3",110:"646a52273319f1a0360e",111:"79c7c5fb901ec2f5afba",112:"259c468782b9a9863fc3",113:"819e9f111e7e6fcc1b0c",114:"9e45882f2d58060a2f02",115:"930e05a008dfa1d6ac01",116:"941a6c647f5f859f2333",117:"ff1f31092556ed517fc8",118:"11b6edb8bf4fc34b0bee",119:"26257cd9b184fb1a5c03",120:"7acd43d1048beb6cd2a2",121:"078b873ba9e78fa502da",122:"88c7a8cd25f6944801f9",123:"f8bf6d3c46f3315f43ed",124:"d6fb6a80350420c0a6f9",125:"cac13aff011c525bc9d7",126:"0eb2c247aae16b02f68d",127:"498081833cfcab05f826",128:"e4396af24945aecbd6d6",129:"0cf6db049788858d088d",130:"ffb6de30b7f89545e60c",131:"7cbb5b2fb0da3a51e144",132:"8108bc3f700a95263091",133:"a559582eda72a2e1f541",134:"88f6a3bcc077da83c858",135:"99676849ca57736cf88c",136:"21fd409ca5694de6309f",137:"297b9577b7cfff307bf0",138:"72ed8b5fc8665e5f06a9",139:"c0c830adc1a56d1b859d",140:"6c3dc37fcd75ccd3ec9b",141:"696ba59a793c33686d03",142:"16409453f26eabc69e8e",143:"597706970806bd69104f",144:"c16c9e14a4fae991856e",145:"0fb08bc1cfc2e430eaff",146:"e121f914ab1ce1f732ae",147:"84abdf1e13c43bb56fce",148:"daf2a80f2e0a56bedf82",149:"ba794444b6b6c17f490b",150:"cddb8e9bdb96da263c4f",151:"4ac4a448fb305c6aa2d1",152:"430c663b16533ace64db",153:"6e2c220181580676a4c1",154:"1b3adb8f912ffbac3be6",155:"8f1c1ff1344ff05a9a6d",156:"0e1ca20397f17d390f66",157:"9759864c5643a000f457",158:"f5d83762546e331af60e",159:"9e602e4836c8d4411632",160:"b78635dc2335bb0f7020",161:"0709e61532b44bbb912d",162:"3b98eda3d9a0629bc5d2",163:"c872d3944a2bc3799059",164:"2fe4efa9d54da3cab486",165:"db5cdb7a129af4b1f4bb",166:"2808c62683ede878eeeb",167:"aa7ff5d3fc416ad1d3c4",168:"b5af61b0f40933752a8c",169:"6f1f5567056e9ef490ba",170:"87cf85eff150505675ca",171:"f2411c2c74270ce81d52",172:"1e761e47062a79129dfc",173:"8ee2ac43425e97a68b30",174:"c596ef91f72126723c09",175:"2f85db1ca98a0a0b2e08",176:"b63fadbabeeffa3e7297",177:"a7ac21a917bbd8e7c5bf",178:"f999bb4dba18d55d2701",179:"534165910a2e66f505b5",180:"0930b39394e5c4e5b9c4",181:"c14e03ac28d97e1a2d6f",182:"79466549c0c67fec7bbc",183:"106ae920216b54c5ba56",184:"08968ac1851deb2d5eaa",185:"f59154c9d8046c81c18d",186:"5a89a2d882980e9f48e1",187:"12d203d6dad17366477c",188:"52bb514bab8d0c1423ed",189:"b9981ca8a64832b3b257",190:"bbfda5a02511351a232c",191:"e1bf59729a8b74be4d0d",192:"e548ee7cc67f1a27e8c9",194:"a005c419ab452b870d8d",197:"120d4b01f30f8b7368ba",198:"934bbece9404fd23da49",199:"3520de68cd23fc6b8a5b"}[h]}(h);var d=new Error;c=function(i){l.onerror=l.onload=null,clearTimeout(f);var e=g[h];if(0!==e){if(e){var a=i&&("load"===i.type?"missing":i.type),t=i&&i.target&&i.target.src;d.message="Loading chunk "+h+" failed.\n("+a+": "+t+")",d.name="ChunkLoadError",d.type=a,d.request=t,e[1](d)}g[h]=void 0}};var f=setTimeout((function(){c({type:"timeout",target:l})}),12e4);l.onerror=l.onload=c,document.head.appendChild(l)}return Promise.all(i)},a.m=h,a.c=e,a.d=function(h,i,e){a.o(h,i)||Object.defineProperty(h,i,{enumerable:!0,get:e})},a.r=function(h){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(h,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(h,"__esModule",{value:!0})},a.t=function(h,i){if(1&i&&(h=a(h)),8&i)return h;if(4&i&&"object"==typeof h&&h&&h.__esModule)return h;var e=Object.create(null);if(a.r(e),Object.defineProperty(e,"default",{enumerable:!0,value:h}),2&i&&"string"!=typeof h)for(var g in h)a.d(e,g,function(i){return h[i]}.bind(null,g));return e},a.n=function(h){var i=h&&h.__esModule?function(){return h.default}:function(){return h};return a.d(i,"a",i),i},a.o=function(h,i){return Object.prototype.hasOwnProperty.call(h,i)},a.p="/js/",a.oe=function(h){throw console.error(h),h};var t=window.textWebpackJsonp=window.textWebpackJsonp||[],c=t.push.bind(t);t.push=i,t=t.slice();for(var l=0;l<t.length;l++)i(t[l]);var d=c;a(a.s=170)}({170:function(h,i,e){e.nc=btoa(OC.requestToken),e.p=OC.linkTo("text","js/"),document.getElementById("app-content")&&Promise.all([Promise.all([e.e(0),e.e(188),e.e(197),e.e(189)]).then(e.bind(null,45)),Promise.all([e.e(0),e.e(188),e.e(197),e.e(189)]).then(e.bind(null,177))]).then((function(h){var i=h[0].default;i.prototype.t=window.t,i.prototype.OCA=window.OCA;var e=h[1].default;new i({render:function(h){return h(e)}}).$mount(document.getElementById("app-content"))}))}});
+!function(h){function i(i){for(var e,a,t=i[0],d=i[1],f=0,l=[];f<t.length;f++)a=t[f],Object.prototype.hasOwnProperty.call(g,a)&&g[a]&&l.push(g[a][0]),g[a]=0;for(e in d)Object.prototype.hasOwnProperty.call(d,e)&&(h[e]=d[e]);for(c&&c(i);l.length;)l.shift()()}var e={},g={196:0};function a(i){if(e[i])return e[i].exports;var g=e[i]={i:i,l:!1,exports:{}};return h[i].call(g.exports,g,g.exports,a),g.l=!0,g.exports}a.e=function(h){var i=[],e=g[h];if(0!==e)if(e)i.push(e[2]);else{var t=new Promise((function(i,a){e=g[h]=[i,a]}));i.push(e[2]=t);var d,f=document.createElement("script");f.charset="utf-8",f.timeout=120,a.nc&&f.setAttribute("nonce",a.nc),f.src=function(h){return a.p+""+({0:"vendor",1:"vendors~editor-collab~editor-guest~editor-rich~files-modal",2:"highlight/1c",3:"highlight/abnf",4:"highlight/accesslog",5:"highlight/actionscript",6:"highlight/ada",7:"highlight/angelscript",8:"highlight/apache",9:"highlight/applescript",10:"highlight/arcade",11:"highlight/arduino",12:"highlight/armasm",13:"highlight/asciidoc",14:"highlight/aspectj",15:"highlight/autohotkey",16:"highlight/autoit",17:"highlight/avrasm",18:"highlight/awk",19:"highlight/axapta",20:"highlight/bash",21:"highlight/basic",22:"highlight/bnf",23:"highlight/brainfuck",24:"highlight/cal",25:"highlight/capnproto",26:"highlight/ceylon",27:"highlight/clean",28:"highlight/clojure",29:"highlight/clojure-repl",30:"highlight/cmake",31:"highlight/coffeescript",32:"highlight/coq",33:"highlight/cos",34:"highlight/cpp",35:"highlight/crmsh",36:"highlight/crystal",37:"highlight/cs",38:"highlight/csp",39:"highlight/css",40:"highlight/d",41:"highlight/dart",42:"highlight/delphi",43:"highlight/diff",44:"highlight/django",45:"highlight/dns",46:"highlight/dockerfile",47:"highlight/dos",48:"highlight/dsconfig",49:"highlight/dts",50:"highlight/dust",51:"highlight/ebnf",52:"highlight/elixir",53:"highlight/elm",54:"highlight/erb",55:"highlight/erlang",56:"highlight/erlang-repl",57:"highlight/excel",58:"highlight/fix",59:"highlight/flix",60:"highlight/fortran",61:"highlight/fsharp",62:"highlight/gams",63:"highlight/gauss",64:"highlight/gcode",65:"highlight/gherkin",66:"highlight/glsl",67:"highlight/gml",68:"highlight/go",69:"highlight/golo",70:"highlight/gradle",71:"highlight/groovy",72:"highlight/haml",73:"highlight/handlebars",74:"highlight/haskell",75:"highlight/haxe",76:"highlight/hsp",77:"highlight/htmlbars",78:"highlight/http",79:"highlight/hy",80:"highlight/inform7",81:"highlight/ini",82:"highlight/irpf90",83:"highlight/isbl",84:"highlight/java",85:"highlight/javascript",86:"highlight/jboss-cli",87:"highlight/json",88:"highlight/julia",89:"highlight/julia-repl",90:"highlight/kotlin",91:"highlight/lasso",92:"highlight/ldif",93:"highlight/leaf",94:"highlight/less",95:"highlight/lisp",96:"highlight/livecodeserver",97:"highlight/livescript",98:"highlight/llvm",99:"highlight/lsl",100:"highlight/lua",101:"highlight/makefile",102:"highlight/markdown",103:"highlight/mathematica",104:"highlight/matlab",105:"highlight/maxima",106:"highlight/mel",107:"highlight/mercury",108:"highlight/mipsasm",109:"highlight/mizar",110:"highlight/mojolicious",111:"highlight/monkey",112:"highlight/moonscript",113:"highlight/n1ql",114:"highlight/nginx",115:"highlight/nimrod",116:"highlight/nix",117:"highlight/nsis",118:"highlight/objectivec",119:"highlight/ocaml",120:"highlight/openscad",121:"highlight/oxygene",122:"highlight/parser3",123:"highlight/perl",124:"highlight/pf",125:"highlight/pgsql",126:"highlight/php",127:"highlight/plaintext",128:"highlight/pony",129:"highlight/powershell",130:"highlight/processing",131:"highlight/profile",132:"highlight/prolog",133:"highlight/properties",134:"highlight/protobuf",135:"highlight/puppet",136:"highlight/purebasic",137:"highlight/python",138:"highlight/q",139:"highlight/qml",140:"highlight/r",141:"highlight/reasonml",142:"highlight/rib",143:"highlight/roboconf",144:"highlight/routeros",145:"highlight/rsl",146:"highlight/ruby",147:"highlight/ruleslanguage",148:"highlight/rust",149:"highlight/sas",150:"highlight/scala",151:"highlight/scheme",152:"highlight/scilab",153:"highlight/scss",154:"highlight/shell",155:"highlight/smali",156:"highlight/smalltalk",157:"highlight/sml",158:"highlight/sqf",159:"highlight/sql",160:"highlight/stan",161:"highlight/stata",162:"highlight/step21",163:"highlight/stylus",164:"highlight/subunit",165:"highlight/swift",166:"highlight/taggerscript",167:"highlight/tap",168:"highlight/tcl",169:"highlight/tex",170:"highlight/thrift",171:"highlight/tp",172:"highlight/twig",173:"highlight/typescript",174:"highlight/vala",175:"highlight/vbnet",176:"highlight/vbscript",177:"highlight/vbscript-html",178:"highlight/verilog",179:"highlight/vhdl",180:"highlight/vim",181:"highlight/x86asm",182:"highlight/xl",183:"highlight/xml",184:"highlight/xquery",185:"highlight/yaml",186:"highlight/zephir",187:"vendors~editor-collab~editor-guest",188:"vendors~editor~files-modal",189:"editor",190:"editor-collab",191:"editor-guest",192:"editor-rich",194:"files-modal",197:"vendors~editor",198:"vendors~editor-rich",199:"vendors~files-modal"}[h]||h)+".js?v="+{0:"b6a87e35c196cb18a193",1:"feb5918c44017d3ba256",2:"071b3beac1c09e6dc1f3",3:"02b6bf25401bff70e1ce",4:"06349a10fcba1fef2b08",5:"6f038c3b24b5205c8575",6:"facf339fc268c8300b58",7:"af2f947766977426f44f",8:"3a8af9aa690812789651",9:"3fa6a2dd879cbdba71ff",10:"24c8182859e17c1c1fca",11:"3cc7f34e3359919e423e",12:"c0eb0a244d0cb39704e6",13:"ca2812e6dfd669b9ed9e",14:"80494a33a16258364dd1",15:"eb67f56dc4c79e97cfb0",16:"b1c2c197768faebf2efc",17:"03440adea0408be2ab13",18:"f14a658a37d21c4300ae",19:"06a1e9a27797e6036fb9",20:"63e8c97d235cf8f2ef15",21:"05e2ec59a02550149906",22:"4d6660fa6ec96528db4a",23:"bb63714d6fef384603dd",24:"6f4fd50b6a017394d4ef",25:"407e150d0cddfc330a11",26:"ce3ee1e47a64b4c9d026",27:"2d664db16483c452e781",28:"a3a920317593707a929f",29:"1202ed203adda685cb20",30:"09e7844c496dacc56656",31:"3cdfa9538f890fa46bb1",32:"ebfcf67e77ab98acbcd1",33:"b8d0058fb8891ff39f37",34:"30d813319f34a0cddfeb",35:"1f15edbd9461b481ce4c",36:"897ee94b0f1b39f3d24a",37:"f492adc31805a0412549",38:"fe3bd1b963f4b889cf8e",39:"239e072113e160b67e91",40:"f39807cf7bdaf8526a15",41:"9b1df6b3d1dd1115e1e8",42:"09b2d711deb25f958472",43:"0a4af5e0ac26e74fb458",44:"eca9bda3374febe1408f",45:"bfd30fc702acf9b5b234",46:"459bafbfedeedec393f2",47:"e8fe346d7dd1651dc9fc",48:"a7a41eca2b432ecb456c",49:"78f8dd3917437cc93e18",50:"ee32b8fb945aa398bec1",51:"bcbee3a03044a8950774",52:"7b450fbefd2e3706ec70",53:"2926d9f5b9996ea173dc",54:"528937053c9973030a88",55:"2b7f25a3f54ef89fa6e3",56:"9260d01a166e0b5a57a6",57:"be8879bc01887c64e79b",58:"996d2460737c6c1b0e81",59:"9a35d6dc0ded8bce2582",60:"a4301b687567a5797eae",61:"fa47cb385b5375960fea",62:"9a0bf8a54e622f03528d",63:"3cee1c94793426c6fe0c",64:"10f4e9c5ca4207cf2739",65:"3985cce434296c7eb5c2",66:"f199328703a109721e77",67:"3e195b8b02db26ba5c7a",68:"8ba3db8152267cfaeb1b",69:"2dbea908dd7c4a0e74a1",70:"c4208dc434db3ef75467",71:"1bea4a55bf6330dd337c",72:"5403fd41ba6dadfb0069",73:"c6726e29b97cafb89aa2",74:"b50375f6d30f874d112b",75:"18918d37d2462dedbe24",76:"104df33b1e29b92c7e05",77:"230f0f7e75220878d753",78:"3620b0f6777c0d97d088",79:"e5519677d9528132d1b2",80:"6a6088db96341850bc6c",81:"5e0594b511ddf2286b2b",82:"a5f154fc0aec26d1af48",83:"4fea3cfbb47bcfc112f0",84:"6365dabe44f0ef66ded3",85:"0e1f9cfb325c38778c49",86:"737c3cc7bf7ec7e294eb",87:"829ab49f163323ee3597",88:"8dd0066b61f6587f1521",89:"8e6c3e163fdfd0858593",90:"908502cdb9c2f5fa2f14",91:"bb9a0eb8fe9fc64468cd",92:"b691a0396ca5de03ccd0",93:"9b1fcbab9c153d40a96b",94:"40d3ddabc330eb532413",95:"6bf858fab0e8d2e0283c",96:"4fe5a2c2f2d3e9759ff9",97:"12080a42229846062a9e",98:"53196626494a177837ab",99:"e6b4f915ea180b8d3b8a",100:"3a5f0e3c9c3b2d169fa5",101:"f20d61205575024461a4",102:"c176b1db2cd4865699c5",103:"483c94b31a85fa90f286",104:"e8ce50b033797edb4dab",105:"fac046a1f51230c115ad",106:"93c1bcf6baa80a731571",107:"414e423c5fed49ecb7a7",108:"9d5609deeea481d092a0",109:"6a29b8b667b4e9803831",110:"85f3d6a6fa8c94b59441",111:"4a3f216687a251cca431",112:"55d2478df875c48f0655",113:"3eadea73e515fd20b16e",114:"49b0f012825d96845ad4",115:"614d2341ad81de37a0a4",116:"543a514ee50dabba2c30",117:"5399d10990ccbbed2bc7",118:"dc7961e08feeaf5cdc07",119:"a02034d17acf2dfff765",120:"1a3f064db30c34258c09",121:"ba5037471c571831e853",122:"339b50117d4d287cf385",123:"bd941caa5d2f53d1d4b0",124:"07ab8fb74ff9bea845d5",125:"d9951f80e532cb8787b6",126:"8e97e0f2a5e2dbf035c8",127:"fbdfa92af2a410a157a5",128:"646d098d07d6d4da4fed",129:"3b4d9a58526a7741dcc7",130:"7948820b23eb0c62404f",131:"fdf3ed035e0369367eeb",132:"cdd8ccb02fffc1349738",133:"233db3ec5ccf7b2f5678",134:"3bf8fd57b29d88129b8f",135:"c492283b4dd2f97fcc07",136:"6c054c58d6a66b30d59f",137:"84414e9cc771f4cd88cf",138:"cfc52fa64ad538a93956",139:"a084023e960fba8dd47a",140:"10eec06a86dfeed3f165",141:"fe58cb5669ed32875a14",142:"58da31919b9b8a36647c",143:"6f6f8504ef26f304d74a",144:"98591744cbe1686b2e09",145:"54ebb876ef10f26f55f1",146:"cd038323b8dc2746f9ff",147:"ed71a0b324668c95b36d",148:"a3b4445f438d4ad1a303",149:"e42f265b747fb02b2df0",150:"fdb9f0a690d8cedb78c0",151:"4b3f9672baef038f2fbb",152:"4ed66f30d02c3d664950",153:"96dd81098350c7a5d92f",154:"1f4a8a17e05fbb11d688",155:"e3e6a82a8a5388a962b5",156:"1ca3a978cfdc9b49c6ec",157:"5da35776878ca5d6695f",158:"c99e663a86f2f2812d8c",159:"90c3cd67631dc8669de1",160:"9dd3a4734930fcff429e",161:"e4dfcdbed07b2fc923a4",162:"27eb26d60b4ed52a8cfe",163:"56a827ad5ecdb729d8e0",164:"77e46e77ce7f848e97e0",165:"e53f833abdedc4f118f9",166:"2e6a7aab79d8f765e78d",167:"82205764ac320b4a5907",168:"e5184691fd9e113a0b51",169:"57946c72e9f255a3184d",170:"b299c11dfb1a95970d0e",171:"d2822f4dfe393b7f225f",172:"be02ab9602ea44f5b8b7",173:"3edb6a685de2ba7d9067",174:"4b5209018d66028ae9d5",175:"e77f394c4ae6478b2c82",176:"63548036ec688dd55835",177:"73060daa5328bd173d80",178:"3f5c84f2ffb2818d5b42",179:"ba92adfe7ff24de3a002",180:"b85fdd69c3163b23cd4f",181:"f0569dd5247f481195bd",182:"f957f27aa1e0aad8b63e",183:"b4ed61a972de16719173",184:"b4400b1574e079783a8c",185:"5bccbb3a33a0c2898445",186:"b7ed8a9b4a93f789e4bd",187:"c9868841dbd0da14f4c2",188:"941e6f21e484ae4d0350",189:"6ee3c36190dc54802157",190:"7113b14aff5f5f406e65",191:"18fd63d85d2025596fb4",192:"e3894d020f96c922f4df",194:"10f4a3e4df93b5fd8e9a",197:"4ca0f6ac0902477b585a",198:"29d438f918f0be3868ae",199:"974d8a5edd0f4a8a98d0"}[h]}(h);var c=new Error;d=function(i){f.onerror=f.onload=null,clearTimeout(l);var e=g[h];if(0!==e){if(e){var a=i&&("load"===i.type?"missing":i.type),t=i&&i.target&&i.target.src;c.message="Loading chunk "+h+" failed.\n("+a+": "+t+")",c.name="ChunkLoadError",c.type=a,c.request=t,e[1](c)}g[h]=void 0}};var l=setTimeout((function(){d({type:"timeout",target:f})}),12e4);f.onerror=f.onload=d,document.head.appendChild(f)}return Promise.all(i)},a.m=h,a.c=e,a.d=function(h,i,e){a.o(h,i)||Object.defineProperty(h,i,{enumerable:!0,get:e})},a.r=function(h){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(h,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(h,"__esModule",{value:!0})},a.t=function(h,i){if(1&i&&(h=a(h)),8&i)return h;if(4&i&&"object"==typeof h&&h&&h.__esModule)return h;var e=Object.create(null);if(a.r(e),Object.defineProperty(e,"default",{enumerable:!0,value:h}),2&i&&"string"!=typeof h)for(var g in h)a.d(e,g,function(i){return h[i]}.bind(null,g));return e},a.n=function(h){var i=h&&h.__esModule?function(){return h.default}:function(){return h};return a.d(i,"a",i),i},a.o=function(h,i){return Object.prototype.hasOwnProperty.call(h,i)},a.p="/js/",a.oe=function(h){throw console.error(h),h};var t=window.textWebpackJsonp=window.textWebpackJsonp||[],d=t.push.bind(t);t.push=i,t=t.slice();for(var f=0;f<t.length;f++)i(t[f]);var c=d;a(a.s=170)}({170:function(h,i,e){e.nc=btoa(OC.requestToken),e.p=OC.linkTo("text","js/"),document.getElementById("app-content")&&Promise.all([Promise.all([e.e(0),e.e(188),e.e(197),e.e(189)]).then(e.bind(null,45)),Promise.all([e.e(0),e.e(188),e.e(197),e.e(189)]).then(e.bind(null,177))]).then((function(h){var i=h[0].default;i.prototype.t=window.t,i.prototype.OCA=window.OCA;var e=h[1].default;new i({render:function(h){return h(e)}}).$mount(document.getElementById("app-content"))}))}});
//# sourceMappingURL=text.js.map \ No newline at end of file
diff --git a/js/text.js.map b/js/text.js.map
index 2a5342e54..7c8cffbf6 100644
--- a/js/text.js.map
+++ b/js/text.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///webpack/bootstrap","webpack:///./src/main.js"],"names":["webpackJsonpCallback","data","moduleId","chunkId","chunkIds","moreModules","i","resolves","length","Object","prototype","hasOwnProperty","call","installedChunks","push","modules","parentJsonpFunction","shift","installedModules","196","__webpack_require__","exports","module","l","e","promises","installedChunkData","promise","Promise","resolve","reject","onScriptComplete","script","document","createElement","charset","timeout","nc","setAttribute","src","p","jsonpScriptSrc","error","Error","event","onerror","onload","clearTimeout","chunk","errorType","type","realSrc","target","message","name","request","undefined","setTimeout","head","appendChild","all","m","c","d","getter","o","defineProperty","enumerable","get","r","Symbol","toStringTag","value","t","mode","__esModule","ns","create","key","bind","n","object","property","oe","err","console","jsonpArray","window","oldJsonpFunction","slice","s","__webpack_nonce__","btoa","OC","requestToken","__webpack_public_path__","linkTo","getElementById","then","imports","Vue","default","OCA","DirectEditing","render","h","$mount"],"mappings":"aACE,SAASA,EAAqBC,GAQ7B,IAPA,IAMIC,EAAUC,EANVC,EAAWH,EAAK,GAChBI,EAAcJ,EAAK,GAKAK,EAAI,EAAGC,EAAW,GACpCD,EAAIF,EAASI,OAAQF,IACzBH,EAAUC,EAASE,GAChBG,OAAOC,UAAUC,eAAeC,KAAKC,EAAiBV,IAAYU,EAAgBV,IACpFI,EAASO,KAAKD,EAAgBV,GAAS,IAExCU,EAAgBV,GAAW,EAE5B,IAAID,KAAYG,EACZI,OAAOC,UAAUC,eAAeC,KAAKP,EAAaH,KACpDa,EAAQb,GAAYG,EAAYH,IAKlC,IAFGc,GAAqBA,EAAoBf,GAEtCM,EAASC,QACdD,EAASU,OAATV,GAOF,IAAIW,EAAmB,GAKnBL,EAAkB,CACrBM,IAAK,GAWN,SAASC,EAAoBlB,GAG5B,GAAGgB,EAAiBhB,GACnB,OAAOgB,EAAiBhB,GAAUmB,QAGnC,IAAIC,EAASJ,EAAiBhB,GAAY,CACzCI,EAAGJ,EACHqB,GAAG,EACHF,QAAS,IAUV,OANAN,EAAQb,GAAUU,KAAKU,EAAOD,QAASC,EAAQA,EAAOD,QAASD,GAG/DE,EAAOC,GAAI,EAGJD,EAAOD,QAKfD,EAAoBI,EAAI,SAAuBrB,GAC9C,IAAIsB,EAAW,GAKXC,EAAqBb,EAAgBV,GACzC,GAA0B,IAAvBuB,EAGF,GAAGA,EACFD,EAASX,KAAKY,EAAmB,QAC3B,CAEN,IAAIC,EAAU,IAAIC,SAAQ,SAASC,EAASC,GAC3CJ,EAAqBb,EAAgBV,GAAW,CAAC0B,EAASC,MAE3DL,EAASX,KAAKY,EAAmB,GAAKC,GAGtC,IACII,EADAC,EAASC,SAASC,cAAc,UAGpCF,EAAOG,QAAU,QACjBH,EAAOI,QAAU,IACbhB,EAAoBiB,IACvBL,EAAOM,aAAa,QAASlB,EAAoBiB,IAElDL,EAAOO,IA1DV,SAAwBpC,GACvB,OAAOiB,EAAoBoB,EAAI,IAAM,CAAC,EAAI,SAAS,EAAI,6DAA6D,EAAI,eAAe,EAAI,iBAAiB,EAAI,sBAAsB,EAAI,yBAAyB,EAAI,gBAAgB,EAAI,wBAAwB,EAAI,mBAAmB,EAAI,wBAAwB,GAAK,mBAAmB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,qBAAqB,GAAK,oBAAoB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,yBAAyB,GAAK,kBAAkB,GAAK,yBAAyB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,eAAe,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,cAAc,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,uBAAuB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,wBAAwB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,eAAe,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,iBAAiB,GAAK,eAAe,GAAK,oBAAoB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,sBAAsB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,2BAA2B,GAAK,uBAAuB,GAAK,iBAAiB,GAAK,gBAAgB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,eAAe,IAAM,kBAAkB,IAAM,gBAAgB,IAAM,sBAAsB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,oBAAoB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,qBAAqB,IAAM,mBAAmB,IAAM,sBAAsB,IAAM,mBAAmB,IAAM,cAAc,IAAM,gBAAgB,IAAM,cAAc,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,0BAA0B,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,kBAAkB,IAAM,sBAAsB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,yBAAyB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,0BAA0B,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,mBAAmB,IAAM,qCAAqC,IAAM,6BAA6B,IAAM,SAAS,IAAM,gBAAgB,IAAM,eAAe,IAAM,cAAc,IAAM,cAAc,IAAM,iBAAiB,IAAM,sBAAsB,IAAM,uBAAuBrC,IAAUA,GAAW,SAAW,CAAC,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,wBAAwBA,GAyDztUsC,CAAetC,GAG5B,IAAIuC,EAAQ,IAAIC,MAChBZ,EAAmB,SAAUa,GAE5BZ,EAAOa,QAAUb,EAAOc,OAAS,KACjCC,aAAaX,GACb,IAAIY,EAAQnC,EAAgBV,GAC5B,GAAa,IAAV6C,EAAa,CACf,GAAGA,EAAO,CACT,IAAIC,EAAYL,IAAyB,SAAfA,EAAMM,KAAkB,UAAYN,EAAMM,MAChEC,EAAUP,GAASA,EAAMQ,QAAUR,EAAMQ,OAAOb,IACpDG,EAAMW,QAAU,iBAAmBlD,EAAU,cAAgB8C,EAAY,KAAOE,EAAU,IAC1FT,EAAMY,KAAO,iBACbZ,EAAMQ,KAAOD,EACbP,EAAMa,QAAUJ,EAChBH,EAAM,GAAGN,GAEV7B,EAAgBV,QAAWqD,IAG7B,IAAIpB,EAAUqB,YAAW,WACxB1B,EAAiB,CAAEmB,KAAM,UAAWE,OAAQpB,MAC1C,MACHA,EAAOa,QAAUb,EAAOc,OAASf,EACjCE,SAASyB,KAAKC,YAAY3B,GAG5B,OAAOJ,QAAQgC,IAAInC,IAIpBL,EAAoByC,EAAI9C,EAGxBK,EAAoB0C,EAAI5C,EAGxBE,EAAoB2C,EAAI,SAAS1C,EAASiC,EAAMU,GAC3C5C,EAAoB6C,EAAE5C,EAASiC,IAClC7C,OAAOyD,eAAe7C,EAASiC,EAAM,CAAEa,YAAY,EAAMC,IAAKJ,KAKhE5C,EAAoBiD,EAAI,SAAShD,GACX,oBAAXiD,QAA0BA,OAAOC,aAC1C9D,OAAOyD,eAAe7C,EAASiD,OAAOC,YAAa,CAAEC,MAAO,WAE7D/D,OAAOyD,eAAe7C,EAAS,aAAc,CAAEmD,OAAO,KAQvDpD,EAAoBqD,EAAI,SAASD,EAAOE,GAEvC,GADU,EAAPA,IAAUF,EAAQpD,EAAoBoD,IAC/B,EAAPE,EAAU,OAAOF,EACpB,GAAW,EAAPE,GAA8B,iBAAVF,GAAsBA,GAASA,EAAMG,WAAY,OAAOH,EAChF,IAAII,EAAKnE,OAAOoE,OAAO,MAGvB,GAFAzD,EAAoBiD,EAAEO,GACtBnE,OAAOyD,eAAeU,EAAI,UAAW,CAAET,YAAY,EAAMK,MAAOA,IACtD,EAAPE,GAA4B,iBAATF,EAAmB,IAAI,IAAIM,KAAON,EAAOpD,EAAoB2C,EAAEa,EAAIE,EAAK,SAASA,GAAO,OAAON,EAAMM,IAAQC,KAAK,KAAMD,IAC9I,OAAOF,GAIRxD,EAAoB4D,EAAI,SAAS1D,GAChC,IAAI0C,EAAS1C,GAAUA,EAAOqD,WAC7B,WAAwB,OAAOrD,EAAgB,SAC/C,WAA8B,OAAOA,GAEtC,OADAF,EAAoB2C,EAAEC,EAAQ,IAAKA,GAC5BA,GAIR5C,EAAoB6C,EAAI,SAASgB,EAAQC,GAAY,OAAOzE,OAAOC,UAAUC,eAAeC,KAAKqE,EAAQC,IAGzG9D,EAAoBoB,EAAI,OAGxBpB,EAAoB+D,GAAK,SAASC,GAA2B,MAApBC,QAAQ3C,MAAM0C,GAAYA,GAEnE,IAAIE,EAAaC,OAAyB,iBAAIA,OAAyB,kBAAK,GACxEC,EAAmBF,EAAWxE,KAAKiE,KAAKO,GAC5CA,EAAWxE,KAAOd,EAClBsF,EAAaA,EAAWG,QACxB,IAAI,IAAInF,EAAI,EAAGA,EAAIgF,EAAW9E,OAAQF,IAAKN,EAAqBsF,EAAWhF,IAC3E,IAAIU,EAAsBwE,EAInBpE,EAAoBA,EAAoBsE,EAAI,K,sBCrMrDC,KAAoBC,KAAKC,GAAGC,cAC5BC,IAA0BF,GAAGG,OAAO,OAAQ,OAExC/D,SAASgE,eAAe,gBAC3BrE,QAAQgC,IAAI,CACX,uEACA,0EACEsC,MAAK,SAACC,GACR,IAAMC,EAAMD,EAAQ,GAAGE,QACvBD,EAAI1F,UAAU+D,EAAIc,OAAOd,EACzB2B,EAAI1F,UAAU4F,IAAMf,OAAOe,IAC3B,IAAMC,EAAgBJ,EAAQ,GAAGE,QACtB,IAAID,EAAI,CAClBI,OAAQ,SAAAC,GAAC,OAAIA,EAAEF,MAEbG,OAAOzE,SAASgE,eAAe","file":"text.js","sourcesContent":[" \t// install a JSONP callback for chunk loading\n \tfunction webpackJsonpCallback(data) {\n \t\tvar chunkIds = data[0];\n \t\tvar moreModules = data[1];\n\n\n \t\t// add \"moreModules\" to the modules object,\n \t\t// then flag all \"chunkIds\" as loaded and fire callback\n \t\tvar moduleId, chunkId, i = 0, resolves = [];\n \t\tfor(;i < chunkIds.length; i++) {\n \t\t\tchunkId = chunkIds[i];\n \t\t\tif(Object.prototype.hasOwnProperty.call(installedChunks, chunkId) && installedChunks[chunkId]) {\n \t\t\t\tresolves.push(installedChunks[chunkId][0]);\n \t\t\t}\n \t\t\tinstalledChunks[chunkId] = 0;\n \t\t}\n \t\tfor(moduleId in moreModules) {\n \t\t\tif(Object.prototype.hasOwnProperty.call(moreModules, moduleId)) {\n \t\t\t\tmodules[moduleId] = moreModules[moduleId];\n \t\t\t}\n \t\t}\n \t\tif(parentJsonpFunction) parentJsonpFunction(data);\n\n \t\twhile(resolves.length) {\n \t\t\tresolves.shift()();\n \t\t}\n\n \t};\n\n\n \t// The module cache\n \tvar installedModules = {};\n\n \t// object to store loaded and loading chunks\n \t// undefined = chunk not loaded, null = chunk preloaded/prefetched\n \t// Promise = chunk loading, 0 = chunk loaded\n \tvar installedChunks = {\n \t\t196: 0\n \t};\n\n\n\n \t// script path function\n \tfunction jsonpScriptSrc(chunkId) {\n \t\treturn __webpack_require__.p + \"\" + ({\"0\":\"vendor\",\"1\":\"vendors~editor-collab~editor-guest~editor-rich~files-modal\",\"2\":\"highlight/1c\",\"3\":\"highlight/abnf\",\"4\":\"highlight/accesslog\",\"5\":\"highlight/actionscript\",\"6\":\"highlight/ada\",\"7\":\"highlight/angelscript\",\"8\":\"highlight/apache\",\"9\":\"highlight/applescript\",\"10\":\"highlight/arcade\",\"11\":\"highlight/arduino\",\"12\":\"highlight/armasm\",\"13\":\"highlight/asciidoc\",\"14\":\"highlight/aspectj\",\"15\":\"highlight/autohotkey\",\"16\":\"highlight/autoit\",\"17\":\"highlight/avrasm\",\"18\":\"highlight/awk\",\"19\":\"highlight/axapta\",\"20\":\"highlight/bash\",\"21\":\"highlight/basic\",\"22\":\"highlight/bnf\",\"23\":\"highlight/brainfuck\",\"24\":\"highlight/cal\",\"25\":\"highlight/capnproto\",\"26\":\"highlight/ceylon\",\"27\":\"highlight/clean\",\"28\":\"highlight/clojure\",\"29\":\"highlight/clojure-repl\",\"30\":\"highlight/cmake\",\"31\":\"highlight/coffeescript\",\"32\":\"highlight/coq\",\"33\":\"highlight/cos\",\"34\":\"highlight/cpp\",\"35\":\"highlight/crmsh\",\"36\":\"highlight/crystal\",\"37\":\"highlight/cs\",\"38\":\"highlight/csp\",\"39\":\"highlight/css\",\"40\":\"highlight/d\",\"41\":\"highlight/dart\",\"42\":\"highlight/delphi\",\"43\":\"highlight/diff\",\"44\":\"highlight/django\",\"45\":\"highlight/dns\",\"46\":\"highlight/dockerfile\",\"47\":\"highlight/dos\",\"48\":\"highlight/dsconfig\",\"49\":\"highlight/dts\",\"50\":\"highlight/dust\",\"51\":\"highlight/ebnf\",\"52\":\"highlight/elixir\",\"53\":\"highlight/elm\",\"54\":\"highlight/erb\",\"55\":\"highlight/erlang\",\"56\":\"highlight/erlang-repl\",\"57\":\"highlight/excel\",\"58\":\"highlight/fix\",\"59\":\"highlight/flix\",\"60\":\"highlight/fortran\",\"61\":\"highlight/fsharp\",\"62\":\"highlight/gams\",\"63\":\"highlight/gauss\",\"64\":\"highlight/gcode\",\"65\":\"highlight/gherkin\",\"66\":\"highlight/glsl\",\"67\":\"highlight/gml\",\"68\":\"highlight/go\",\"69\":\"highlight/golo\",\"70\":\"highlight/gradle\",\"71\":\"highlight/groovy\",\"72\":\"highlight/haml\",\"73\":\"highlight/handlebars\",\"74\":\"highlight/haskell\",\"75\":\"highlight/haxe\",\"76\":\"highlight/hsp\",\"77\":\"highlight/htmlbars\",\"78\":\"highlight/http\",\"79\":\"highlight/hy\",\"80\":\"highlight/inform7\",\"81\":\"highlight/ini\",\"82\":\"highlight/irpf90\",\"83\":\"highlight/isbl\",\"84\":\"highlight/java\",\"85\":\"highlight/javascript\",\"86\":\"highlight/jboss-cli\",\"87\":\"highlight/json\",\"88\":\"highlight/julia\",\"89\":\"highlight/julia-repl\",\"90\":\"highlight/kotlin\",\"91\":\"highlight/lasso\",\"92\":\"highlight/ldif\",\"93\":\"highlight/leaf\",\"94\":\"highlight/less\",\"95\":\"highlight/lisp\",\"96\":\"highlight/livecodeserver\",\"97\":\"highlight/livescript\",\"98\":\"highlight/llvm\",\"99\":\"highlight/lsl\",\"100\":\"highlight/lua\",\"101\":\"highlight/makefile\",\"102\":\"highlight/markdown\",\"103\":\"highlight/mathematica\",\"104\":\"highlight/matlab\",\"105\":\"highlight/maxima\",\"106\":\"highlight/mel\",\"107\":\"highlight/mercury\",\"108\":\"highlight/mipsasm\",\"109\":\"highlight/mizar\",\"110\":\"highlight/mojolicious\",\"111\":\"highlight/monkey\",\"112\":\"highlight/moonscript\",\"113\":\"highlight/n1ql\",\"114\":\"highlight/nginx\",\"115\":\"highlight/nimrod\",\"116\":\"highlight/nix\",\"117\":\"highlight/nsis\",\"118\":\"highlight/objectivec\",\"119\":\"highlight/ocaml\",\"120\":\"highlight/openscad\",\"121\":\"highlight/oxygene\",\"122\":\"highlight/parser3\",\"123\":\"highlight/perl\",\"124\":\"highlight/pf\",\"125\":\"highlight/pgsql\",\"126\":\"highlight/php\",\"127\":\"highlight/plaintext\",\"128\":\"highlight/pony\",\"129\":\"highlight/powershell\",\"130\":\"highlight/processing\",\"131\":\"highlight/profile\",\"132\":\"highlight/prolog\",\"133\":\"highlight/properties\",\"134\":\"highlight/protobuf\",\"135\":\"highlight/puppet\",\"136\":\"highlight/purebasic\",\"137\":\"highlight/python\",\"138\":\"highlight/q\",\"139\":\"highlight/qml\",\"140\":\"highlight/r\",\"141\":\"highlight/reasonml\",\"142\":\"highlight/rib\",\"143\":\"highlight/roboconf\",\"144\":\"highlight/routeros\",\"145\":\"highlight/rsl\",\"146\":\"highlight/ruby\",\"147\":\"highlight/ruleslanguage\",\"148\":\"highlight/rust\",\"149\":\"highlight/sas\",\"150\":\"highlight/scala\",\"151\":\"highlight/scheme\",\"152\":\"highlight/scilab\",\"153\":\"highlight/scss\",\"154\":\"highlight/shell\",\"155\":\"highlight/smali\",\"156\":\"highlight/smalltalk\",\"157\":\"highlight/sml\",\"158\":\"highlight/sqf\",\"159\":\"highlight/sql\",\"160\":\"highlight/stan\",\"161\":\"highlight/stata\",\"162\":\"highlight/step21\",\"163\":\"highlight/stylus\",\"164\":\"highlight/subunit\",\"165\":\"highlight/swift\",\"166\":\"highlight/taggerscript\",\"167\":\"highlight/tap\",\"168\":\"highlight/tcl\",\"169\":\"highlight/tex\",\"170\":\"highlight/thrift\",\"171\":\"highlight/tp\",\"172\":\"highlight/twig\",\"173\":\"highlight/typescript\",\"174\":\"highlight/vala\",\"175\":\"highlight/vbnet\",\"176\":\"highlight/vbscript\",\"177\":\"highlight/vbscript-html\",\"178\":\"highlight/verilog\",\"179\":\"highlight/vhdl\",\"180\":\"highlight/vim\",\"181\":\"highlight/x86asm\",\"182\":\"highlight/xl\",\"183\":\"highlight/xml\",\"184\":\"highlight/xquery\",\"185\":\"highlight/yaml\",\"186\":\"highlight/zephir\",\"187\":\"vendors~editor-collab~editor-guest\",\"188\":\"vendors~editor~files-modal\",\"189\":\"editor\",\"190\":\"editor-collab\",\"191\":\"editor-guest\",\"192\":\"editor-rich\",\"194\":\"files-modal\",\"197\":\"vendors~editor\",\"198\":\"vendors~editor-rich\",\"199\":\"vendors~files-modal\"}[chunkId]||chunkId) + \".js?v=\" + {\"0\":\"ed141b91c3a00e287c4c\",\"1\":\"b526403ab64d5164f29b\",\"2\":\"9cc569982de7443f974e\",\"3\":\"8934502764debdbf47fe\",\"4\":\"a95440520bd82b11c0f3\",\"5\":\"f8b1f84d39c3d1f1e3bd\",\"6\":\"e656029399ef6f83160c\",\"7\":\"104479551edd172ad73e\",\"8\":\"fbb59dc9fb3be5109bcc\",\"9\":\"5e2e0f261e76c85d3a9d\",\"10\":\"f349d212d16773240eeb\",\"11\":\"c7f7bc33077eaff25b13\",\"12\":\"b9f39d176581d2a05635\",\"13\":\"827bcc9381bce9f2a12b\",\"14\":\"3a2c10b412d047a60bd8\",\"15\":\"feb1d1e2c65a332af9bf\",\"16\":\"0cba5e452dfcc760d998\",\"17\":\"670f9f95aaff5410673d\",\"18\":\"8908b67ae2d46f588034\",\"19\":\"e0f104cf2339dde93596\",\"20\":\"61cc9cd76682ca7d6c9c\",\"21\":\"5edbbab081eede46fe8d\",\"22\":\"c308a241ae1a184f9629\",\"23\":\"69a1d83d373c8e516a75\",\"24\":\"b7ddfebfd6277dccb1ab\",\"25\":\"74038533676091b4e205\",\"26\":\"04943cf8159d3a6b7e7c\",\"27\":\"d10832fa81004232164f\",\"28\":\"c3e78ed772be50fe99d6\",\"29\":\"cb9bd3633080a139fafc\",\"30\":\"bfc695841df82d72642c\",\"31\":\"68737ba6bd067e9713bd\",\"32\":\"8edf814709e41a621c00\",\"33\":\"e6c665aab6af75c3d662\",\"34\":\"146c01012c96f04cb22e\",\"35\":\"8d010e787091697e7890\",\"36\":\"6ff571801a33c4c3d23f\",\"37\":\"c96931208c9707ba550d\",\"38\":\"06cc48e69fd68d1ce5af\",\"39\":\"30d1fed026d1d9dd19d9\",\"40\":\"804b4b3ff6753c8c1c43\",\"41\":\"e66fee2b0448bc1f6a9c\",\"42\":\"5da15a69249959cbdb60\",\"43\":\"ab32283b9049df8d470b\",\"44\":\"b053b56cf0aae271b5ee\",\"45\":\"625803695a16972bdd7d\",\"46\":\"3bc9854c21810d4e263a\",\"47\":\"c12e545c5010d19f0a58\",\"48\":\"8efbb91ad56c3968ed1f\",\"49\":\"92d947b623617aa3eece\",\"50\":\"7cea281ba25ba8179ba6\",\"51\":\"efbdc8a740fc5f59526a\",\"52\":\"0a0dc45473581e3c61a4\",\"53\":\"7f111a57a9520e4338e6\",\"54\":\"6ccc1724f23c9880b1af\",\"55\":\"1db2104639486ce6a886\",\"56\":\"0ea1e64f690e80a51ef8\",\"57\":\"f7d5755284641d7499f6\",\"58\":\"ff4b8a653e14b25452ee\",\"59\":\"e9a31c00d950fd74fd30\",\"60\":\"7b9d865da00740ad6235\",\"61\":\"e52ae1f393a85870b0db\",\"62\":\"80b8ca041bdcc1368596\",\"63\":\"bb78d535d122c148ce9f\",\"64\":\"c938bd5783c1cba9271e\",\"65\":\"34f40631f4912e010eb4\",\"66\":\"4a5b068975eb4fe5fc1a\",\"67\":\"8603d4cd1b8ec3850173\",\"68\":\"9184313b6a16567d122d\",\"69\":\"e849b1f0d657b6bcedcc\",\"70\":\"db86e40009abe2cb833f\",\"71\":\"f2c66ec3181a23a20bb3\",\"72\":\"8e8b1a6c7418a162ca0c\",\"73\":\"7f8238c2027977ba846f\",\"74\":\"8d4d5c447105b87a1561\",\"75\":\"e083aa45046ae27dd8f9\",\"76\":\"2e120e96171c74f2c192\",\"77\":\"e2fad7bd884a27dbd116\",\"78\":\"98335291648e3efa80a0\",\"79\":\"b68c0a22eafb43ae73f2\",\"80\":\"5901c54722f00ddb0fff\",\"81\":\"0c070095cd563fb283f9\",\"82\":\"fec83e19cc60434e549e\",\"83\":\"1dbac8b292d7170cad4f\",\"84\":\"e951995b14ff42ec76d5\",\"85\":\"e0920c0f4c233fc35617\",\"86\":\"909664c829c66b37ea84\",\"87\":\"b505e6d42584ab0c7485\",\"88\":\"e1bf63845c6da47deabd\",\"89\":\"dc7ad4072726ba046f85\",\"90\":\"8db560e208052754ae35\",\"91\":\"5d5ecb397905792cd093\",\"92\":\"f0cb3fbeaac6d1eef3d4\",\"93\":\"4a0551bc1bc454a08f23\",\"94\":\"20b8bc8ae962f4e3e0d3\",\"95\":\"2b754318c79c4732d941\",\"96\":\"6683a8df27a1e8e548cd\",\"97\":\"2a3b108b77565b4b13ce\",\"98\":\"de8eeeb74721bdcb4f62\",\"99\":\"3e02aba5fc7b6b630780\",\"100\":\"f4717f9fd32db6f93186\",\"101\":\"7d08093791d0dd523e0a\",\"102\":\"c87b4d23ef01e373209c\",\"103\":\"bfcff5869f59f182fad6\",\"104\":\"2ee9b6533b8cb874f361\",\"105\":\"046dd34749d3b80b55b8\",\"106\":\"216bf44da3da1098cda3\",\"107\":\"ea4494b7e22551cecffb\",\"108\":\"85abbb968434f2b20037\",\"109\":\"82f47ef94dd9d67243a3\",\"110\":\"646a52273319f1a0360e\",\"111\":\"79c7c5fb901ec2f5afba\",\"112\":\"259c468782b9a9863fc3\",\"113\":\"819e9f111e7e6fcc1b0c\",\"114\":\"9e45882f2d58060a2f02\",\"115\":\"930e05a008dfa1d6ac01\",\"116\":\"941a6c647f5f859f2333\",\"117\":\"ff1f31092556ed517fc8\",\"118\":\"11b6edb8bf4fc34b0bee\",\"119\":\"26257cd9b184fb1a5c03\",\"120\":\"7acd43d1048beb6cd2a2\",\"121\":\"078b873ba9e78fa502da\",\"122\":\"88c7a8cd25f6944801f9\",\"123\":\"f8bf6d3c46f3315f43ed\",\"124\":\"d6fb6a80350420c0a6f9\",\"125\":\"cac13aff011c525bc9d7\",\"126\":\"0eb2c247aae16b02f68d\",\"127\":\"498081833cfcab05f826\",\"128\":\"e4396af24945aecbd6d6\",\"129\":\"0cf6db049788858d088d\",\"130\":\"ffb6de30b7f89545e60c\",\"131\":\"7cbb5b2fb0da3a51e144\",\"132\":\"8108bc3f700a95263091\",\"133\":\"a559582eda72a2e1f541\",\"134\":\"88f6a3bcc077da83c858\",\"135\":\"99676849ca57736cf88c\",\"136\":\"21fd409ca5694de6309f\",\"137\":\"297b9577b7cfff307bf0\",\"138\":\"72ed8b5fc8665e5f06a9\",\"139\":\"c0c830adc1a56d1b859d\",\"140\":\"6c3dc37fcd75ccd3ec9b\",\"141\":\"696ba59a793c33686d03\",\"142\":\"16409453f26eabc69e8e\",\"143\":\"597706970806bd69104f\",\"144\":\"c16c9e14a4fae991856e\",\"145\":\"0fb08bc1cfc2e430eaff\",\"146\":\"e121f914ab1ce1f732ae\",\"147\":\"84abdf1e13c43bb56fce\",\"148\":\"daf2a80f2e0a56bedf82\",\"149\":\"ba794444b6b6c17f490b\",\"150\":\"cddb8e9bdb96da263c4f\",\"151\":\"4ac4a448fb305c6aa2d1\",\"152\":\"430c663b16533ace64db\",\"153\":\"6e2c220181580676a4c1\",\"154\":\"1b3adb8f912ffbac3be6\",\"155\":\"8f1c1ff1344ff05a9a6d\",\"156\":\"0e1ca20397f17d390f66\",\"157\":\"9759864c5643a000f457\",\"158\":\"f5d83762546e331af60e\",\"159\":\"9e602e4836c8d4411632\",\"160\":\"b78635dc2335bb0f7020\",\"161\":\"0709e61532b44bbb912d\",\"162\":\"3b98eda3d9a0629bc5d2\",\"163\":\"c872d3944a2bc3799059\",\"164\":\"2fe4efa9d54da3cab486\",\"165\":\"db5cdb7a129af4b1f4bb\",\"166\":\"2808c62683ede878eeeb\",\"167\":\"aa7ff5d3fc416ad1d3c4\",\"168\":\"b5af61b0f40933752a8c\",\"169\":\"6f1f5567056e9ef490ba\",\"170\":\"87cf85eff150505675ca\",\"171\":\"f2411c2c74270ce81d52\",\"172\":\"1e761e47062a79129dfc\",\"173\":\"8ee2ac43425e97a68b30\",\"174\":\"c596ef91f72126723c09\",\"175\":\"2f85db1ca98a0a0b2e08\",\"176\":\"b63fadbabeeffa3e7297\",\"177\":\"a7ac21a917bbd8e7c5bf\",\"178\":\"f999bb4dba18d55d2701\",\"179\":\"534165910a2e66f505b5\",\"180\":\"0930b39394e5c4e5b9c4\",\"181\":\"c14e03ac28d97e1a2d6f\",\"182\":\"79466549c0c67fec7bbc\",\"183\":\"106ae920216b54c5ba56\",\"184\":\"08968ac1851deb2d5eaa\",\"185\":\"f59154c9d8046c81c18d\",\"186\":\"5a89a2d882980e9f48e1\",\"187\":\"12d203d6dad17366477c\",\"188\":\"52bb514bab8d0c1423ed\",\"189\":\"b9981ca8a64832b3b257\",\"190\":\"bbfda5a02511351a232c\",\"191\":\"e1bf59729a8b74be4d0d\",\"192\":\"e548ee7cc67f1a27e8c9\",\"194\":\"a005c419ab452b870d8d\",\"197\":\"120d4b01f30f8b7368ba\",\"198\":\"934bbece9404fd23da49\",\"199\":\"3520de68cd23fc6b8a5b\"}[chunkId] + \"\"\n \t}\n\n \t// The require function\n \tfunction __webpack_require__(moduleId) {\n\n \t\t// Check if module is in cache\n \t\tif(installedModules[moduleId]) {\n \t\t\treturn installedModules[moduleId].exports;\n \t\t}\n \t\t// Create a new module (and put it into the cache)\n \t\tvar module = installedModules[moduleId] = {\n \t\t\ti: moduleId,\n \t\t\tl: false,\n \t\t\texports: {}\n \t\t};\n\n \t\t// Execute the module function\n \t\tmodules[moduleId].call(module.exports, module, module.exports, __webpack_require__);\n\n \t\t// Flag the module as loaded\n \t\tmodule.l = true;\n\n \t\t// Return the exports of the module\n \t\treturn module.exports;\n \t}\n\n \t// This file contains only the entry chunk.\n \t// The chunk loading function for additional chunks\n \t__webpack_require__.e = function requireEnsure(chunkId) {\n \t\tvar promises = [];\n\n\n \t\t// JSONP chunk loading for javascript\n\n \t\tvar installedChunkData = installedChunks[chunkId];\n \t\tif(installedChunkData !== 0) { // 0 means \"already installed\".\n\n \t\t\t// a Promise means \"currently loading\".\n \t\t\tif(installedChunkData) {\n \t\t\t\tpromises.push(installedChunkData[2]);\n \t\t\t} else {\n \t\t\t\t// setup Promise in chunk cache\n \t\t\t\tvar promise = new Promise(function(resolve, reject) {\n \t\t\t\t\tinstalledChunkData = installedChunks[chunkId] = [resolve, reject];\n \t\t\t\t});\n \t\t\t\tpromises.push(installedChunkData[2] = promise);\n\n \t\t\t\t// start chunk loading\n \t\t\t\tvar script = document.createElement('script');\n \t\t\t\tvar onScriptComplete;\n\n \t\t\t\tscript.charset = 'utf-8';\n \t\t\t\tscript.timeout = 120;\n \t\t\t\tif (__webpack_require__.nc) {\n \t\t\t\t\tscript.setAttribute(\"nonce\", __webpack_require__.nc);\n \t\t\t\t}\n \t\t\t\tscript.src = jsonpScriptSrc(chunkId);\n\n \t\t\t\t// create error before stack unwound to get useful stacktrace later\n \t\t\t\tvar error = new Error();\n \t\t\t\tonScriptComplete = function (event) {\n \t\t\t\t\t// avoid mem leaks in IE.\n \t\t\t\t\tscript.onerror = script.onload = null;\n \t\t\t\t\tclearTimeout(timeout);\n \t\t\t\t\tvar chunk = installedChunks[chunkId];\n \t\t\t\t\tif(chunk !== 0) {\n \t\t\t\t\t\tif(chunk) {\n \t\t\t\t\t\t\tvar errorType = event && (event.type === 'load' ? 'missing' : event.type);\n \t\t\t\t\t\t\tvar realSrc = event && event.target && event.target.src;\n \t\t\t\t\t\t\terror.message = 'Loading chunk ' + chunkId + ' failed.\\n(' + errorType + ': ' + realSrc + ')';\n \t\t\t\t\t\t\terror.name = 'ChunkLoadError';\n \t\t\t\t\t\t\terror.type = errorType;\n \t\t\t\t\t\t\terror.request = realSrc;\n \t\t\t\t\t\t\tchunk[1](error);\n \t\t\t\t\t\t}\n \t\t\t\t\t\tinstalledChunks[chunkId] = undefined;\n \t\t\t\t\t}\n \t\t\t\t};\n \t\t\t\tvar timeout = setTimeout(function(){\n \t\t\t\t\tonScriptComplete({ type: 'timeout', target: script });\n \t\t\t\t}, 120000);\n \t\t\t\tscript.onerror = script.onload = onScriptComplete;\n \t\t\t\tdocument.head.appendChild(script);\n \t\t\t}\n \t\t}\n \t\treturn Promise.all(promises);\n \t};\n\n \t// expose the modules object (__webpack_modules__)\n \t__webpack_require__.m = modules;\n\n \t// expose the module cache\n \t__webpack_require__.c = installedModules;\n\n \t// define getter function for harmony exports\n \t__webpack_require__.d = function(exports, name, getter) {\n \t\tif(!__webpack_require__.o(exports, name)) {\n \t\t\tObject.defineProperty(exports, name, { enumerable: true, get: getter });\n \t\t}\n \t};\n\n \t// define __esModule on exports\n \t__webpack_require__.r = function(exports) {\n \t\tif(typeof Symbol !== 'undefined' && Symbol.toStringTag) {\n \t\t\tObject.defineProperty(exports, Symbol.toStringTag, { value: 'Module' });\n \t\t}\n \t\tObject.defineProperty(exports, '__esModule', { value: true });\n \t};\n\n \t// create a fake namespace object\n \t// mode & 1: value is a module id, require it\n \t// mode & 2: merge all properties of value into the ns\n \t// mode & 4: return value when already ns object\n \t// mode & 8|1: behave like require\n \t__webpack_require__.t = function(value, mode) {\n \t\tif(mode & 1) value = __webpack_require__(value);\n \t\tif(mode & 8) return value;\n \t\tif((mode & 4) && typeof value === 'object' && value && value.__esModule) return value;\n \t\tvar ns = Object.create(null);\n \t\t__webpack_require__.r(ns);\n \t\tObject.defineProperty(ns, 'default', { enumerable: true, value: value });\n \t\tif(mode & 2 && typeof value != 'string') for(var key in value) __webpack_require__.d(ns, key, function(key) { return value[key]; }.bind(null, key));\n \t\treturn ns;\n \t};\n\n \t// getDefaultExport function for compatibility with non-harmony modules\n \t__webpack_require__.n = function(module) {\n \t\tvar getter = module && module.__esModule ?\n \t\t\tfunction getDefault() { return module['default']; } :\n \t\t\tfunction getModuleExports() { return module; };\n \t\t__webpack_require__.d(getter, 'a', getter);\n \t\treturn getter;\n \t};\n\n \t// Object.prototype.hasOwnProperty.call\n \t__webpack_require__.o = function(object, property) { return Object.prototype.hasOwnProperty.call(object, property); };\n\n \t// __webpack_public_path__\n \t__webpack_require__.p = \"/js/\";\n\n \t// on error function for async loading\n \t__webpack_require__.oe = function(err) { console.error(err); throw err; };\n\n \tvar jsonpArray = window[\"textWebpackJsonp\"] = window[\"textWebpackJsonp\"] || [];\n \tvar oldJsonpFunction = jsonpArray.push.bind(jsonpArray);\n \tjsonpArray.push = webpackJsonpCallback;\n \tjsonpArray = jsonpArray.slice();\n \tfor(var i = 0; i < jsonpArray.length; i++) webpackJsonpCallback(jsonpArray[i]);\n \tvar parentJsonpFunction = oldJsonpFunction;\n\n\n \t// Load entry module and return exports\n \treturn __webpack_require__(__webpack_require__.s = 170);\n","__webpack_nonce__ = btoa(OC.requestToken) // eslint-disable-line\n__webpack_public_path__ = OC.linkTo('text', 'js/') // eslint-disable-line\n\nif (document.getElementById('app-content')) {\n\tPromise.all([\n\t\timport(/* webpackChunkName: \"editor\" */'vue'),\n\t\timport(/* webpackChunkName: \"editor\" */'./views/DirectEditing'),\n\t]).then((imports) => {\n\t\tconst Vue = imports[0].default\n\t\tVue.prototype.t = window.t\n\t\tVue.prototype.OCA = window.OCA\n\t\tconst DirectEditing = imports[1].default\n\t\tconst vm = new Vue({\n\t\t\trender: h => h(DirectEditing),\n\t\t})\n\t\tvm.$mount(document.getElementById('app-content'))\n\t})\n}\n"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///webpack/bootstrap","webpack:///./src/main.js"],"names":["webpackJsonpCallback","data","moduleId","chunkId","chunkIds","moreModules","i","resolves","length","Object","prototype","hasOwnProperty","call","installedChunks","push","modules","parentJsonpFunction","shift","installedModules","196","__webpack_require__","exports","module","l","e","promises","installedChunkData","promise","Promise","resolve","reject","onScriptComplete","script","document","createElement","charset","timeout","nc","setAttribute","src","p","jsonpScriptSrc","error","Error","event","onerror","onload","clearTimeout","chunk","errorType","type","realSrc","target","message","name","request","undefined","setTimeout","head","appendChild","all","m","c","d","getter","o","defineProperty","enumerable","get","r","Symbol","toStringTag","value","t","mode","__esModule","ns","create","key","bind","n","object","property","oe","err","console","jsonpArray","window","oldJsonpFunction","slice","s","__webpack_nonce__","btoa","OC","requestToken","__webpack_public_path__","linkTo","getElementById","then","imports","Vue","default","OCA","DirectEditing","render","h","$mount"],"mappings":"aACE,SAASA,EAAqBC,GAQ7B,IAPA,IAMIC,EAAUC,EANVC,EAAWH,EAAK,GAChBI,EAAcJ,EAAK,GAKAK,EAAI,EAAGC,EAAW,GACpCD,EAAIF,EAASI,OAAQF,IACzBH,EAAUC,EAASE,GAChBG,OAAOC,UAAUC,eAAeC,KAAKC,EAAiBV,IAAYU,EAAgBV,IACpFI,EAASO,KAAKD,EAAgBV,GAAS,IAExCU,EAAgBV,GAAW,EAE5B,IAAID,KAAYG,EACZI,OAAOC,UAAUC,eAAeC,KAAKP,EAAaH,KACpDa,EAAQb,GAAYG,EAAYH,IAKlC,IAFGc,GAAqBA,EAAoBf,GAEtCM,EAASC,QACdD,EAASU,OAATV,GAOF,IAAIW,EAAmB,GAKnBL,EAAkB,CACrBM,IAAK,GAWN,SAASC,EAAoBlB,GAG5B,GAAGgB,EAAiBhB,GACnB,OAAOgB,EAAiBhB,GAAUmB,QAGnC,IAAIC,EAASJ,EAAiBhB,GAAY,CACzCI,EAAGJ,EACHqB,GAAG,EACHF,QAAS,IAUV,OANAN,EAAQb,GAAUU,KAAKU,EAAOD,QAASC,EAAQA,EAAOD,QAASD,GAG/DE,EAAOC,GAAI,EAGJD,EAAOD,QAKfD,EAAoBI,EAAI,SAAuBrB,GAC9C,IAAIsB,EAAW,GAKXC,EAAqBb,EAAgBV,GACzC,GAA0B,IAAvBuB,EAGF,GAAGA,EACFD,EAASX,KAAKY,EAAmB,QAC3B,CAEN,IAAIC,EAAU,IAAIC,SAAQ,SAASC,EAASC,GAC3CJ,EAAqBb,EAAgBV,GAAW,CAAC0B,EAASC,MAE3DL,EAASX,KAAKY,EAAmB,GAAKC,GAGtC,IACII,EADAC,EAASC,SAASC,cAAc,UAGpCF,EAAOG,QAAU,QACjBH,EAAOI,QAAU,IACbhB,EAAoBiB,IACvBL,EAAOM,aAAa,QAASlB,EAAoBiB,IAElDL,EAAOO,IA1DV,SAAwBpC,GACvB,OAAOiB,EAAoBoB,EAAI,IAAM,CAAC,EAAI,SAAS,EAAI,6DAA6D,EAAI,eAAe,EAAI,iBAAiB,EAAI,sBAAsB,EAAI,yBAAyB,EAAI,gBAAgB,EAAI,wBAAwB,EAAI,mBAAmB,EAAI,wBAAwB,GAAK,mBAAmB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,qBAAqB,GAAK,oBAAoB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,yBAAyB,GAAK,kBAAkB,GAAK,yBAAyB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,eAAe,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,cAAc,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,uBAAuB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,wBAAwB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,eAAe,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,iBAAiB,GAAK,eAAe,GAAK,oBAAoB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,sBAAsB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,2BAA2B,GAAK,uBAAuB,GAAK,iBAAiB,GAAK,gBAAgB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,eAAe,IAAM,kBAAkB,IAAM,gBAAgB,IAAM,sBAAsB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,oBAAoB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,qBAAqB,IAAM,mBAAmB,IAAM,sBAAsB,IAAM,mBAAmB,IAAM,cAAc,IAAM,gBAAgB,IAAM,cAAc,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,0BAA0B,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,kBAAkB,IAAM,sBAAsB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,yBAAyB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,0BAA0B,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,mBAAmB,IAAM,qCAAqC,IAAM,6BAA6B,IAAM,SAAS,IAAM,gBAAgB,IAAM,eAAe,IAAM,cAAc,IAAM,cAAc,IAAM,iBAAiB,IAAM,sBAAsB,IAAM,uBAAuBrC,IAAUA,GAAW,SAAW,CAAC,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,wBAAwBA,GAyDztUsC,CAAetC,GAG5B,IAAIuC,EAAQ,IAAIC,MAChBZ,EAAmB,SAAUa,GAE5BZ,EAAOa,QAAUb,EAAOc,OAAS,KACjCC,aAAaX,GACb,IAAIY,EAAQnC,EAAgBV,GAC5B,GAAa,IAAV6C,EAAa,CACf,GAAGA,EAAO,CACT,IAAIC,EAAYL,IAAyB,SAAfA,EAAMM,KAAkB,UAAYN,EAAMM,MAChEC,EAAUP,GAASA,EAAMQ,QAAUR,EAAMQ,OAAOb,IACpDG,EAAMW,QAAU,iBAAmBlD,EAAU,cAAgB8C,EAAY,KAAOE,EAAU,IAC1FT,EAAMY,KAAO,iBACbZ,EAAMQ,KAAOD,EACbP,EAAMa,QAAUJ,EAChBH,EAAM,GAAGN,GAEV7B,EAAgBV,QAAWqD,IAG7B,IAAIpB,EAAUqB,YAAW,WACxB1B,EAAiB,CAAEmB,KAAM,UAAWE,OAAQpB,MAC1C,MACHA,EAAOa,QAAUb,EAAOc,OAASf,EACjCE,SAASyB,KAAKC,YAAY3B,GAG5B,OAAOJ,QAAQgC,IAAInC,IAIpBL,EAAoByC,EAAI9C,EAGxBK,EAAoB0C,EAAI5C,EAGxBE,EAAoB2C,EAAI,SAAS1C,EAASiC,EAAMU,GAC3C5C,EAAoB6C,EAAE5C,EAASiC,IAClC7C,OAAOyD,eAAe7C,EAASiC,EAAM,CAAEa,YAAY,EAAMC,IAAKJ,KAKhE5C,EAAoBiD,EAAI,SAAShD,GACX,oBAAXiD,QAA0BA,OAAOC,aAC1C9D,OAAOyD,eAAe7C,EAASiD,OAAOC,YAAa,CAAEC,MAAO,WAE7D/D,OAAOyD,eAAe7C,EAAS,aAAc,CAAEmD,OAAO,KAQvDpD,EAAoBqD,EAAI,SAASD,EAAOE,GAEvC,GADU,EAAPA,IAAUF,EAAQpD,EAAoBoD,IAC/B,EAAPE,EAAU,OAAOF,EACpB,GAAW,EAAPE,GAA8B,iBAAVF,GAAsBA,GAASA,EAAMG,WAAY,OAAOH,EAChF,IAAII,EAAKnE,OAAOoE,OAAO,MAGvB,GAFAzD,EAAoBiD,EAAEO,GACtBnE,OAAOyD,eAAeU,EAAI,UAAW,CAAET,YAAY,EAAMK,MAAOA,IACtD,EAAPE,GAA4B,iBAATF,EAAmB,IAAI,IAAIM,KAAON,EAAOpD,EAAoB2C,EAAEa,EAAIE,EAAK,SAASA,GAAO,OAAON,EAAMM,IAAQC,KAAK,KAAMD,IAC9I,OAAOF,GAIRxD,EAAoB4D,EAAI,SAAS1D,GAChC,IAAI0C,EAAS1C,GAAUA,EAAOqD,WAC7B,WAAwB,OAAOrD,EAAgB,SAC/C,WAA8B,OAAOA,GAEtC,OADAF,EAAoB2C,EAAEC,EAAQ,IAAKA,GAC5BA,GAIR5C,EAAoB6C,EAAI,SAASgB,EAAQC,GAAY,OAAOzE,OAAOC,UAAUC,eAAeC,KAAKqE,EAAQC,IAGzG9D,EAAoBoB,EAAI,OAGxBpB,EAAoB+D,GAAK,SAASC,GAA2B,MAApBC,QAAQ3C,MAAM0C,GAAYA,GAEnE,IAAIE,EAAaC,OAAyB,iBAAIA,OAAyB,kBAAK,GACxEC,EAAmBF,EAAWxE,KAAKiE,KAAKO,GAC5CA,EAAWxE,KAAOd,EAClBsF,EAAaA,EAAWG,QACxB,IAAI,IAAInF,EAAI,EAAGA,EAAIgF,EAAW9E,OAAQF,IAAKN,EAAqBsF,EAAWhF,IAC3E,IAAIU,EAAsBwE,EAInBpE,EAAoBA,EAAoBsE,EAAI,K,sBCrMrDC,KAAoBC,KAAKC,GAAGC,cAC5BC,IAA0BF,GAAGG,OAAO,OAAQ,OAExC/D,SAASgE,eAAe,gBAC3BrE,QAAQgC,IAAI,CACX,uEACA,0EACEsC,MAAK,SAACC,GACR,IAAMC,EAAMD,EAAQ,GAAGE,QACvBD,EAAI1F,UAAU+D,EAAIc,OAAOd,EACzB2B,EAAI1F,UAAU4F,IAAMf,OAAOe,IAC3B,IAAMC,EAAgBJ,EAAQ,GAAGE,QACtB,IAAID,EAAI,CAClBI,OAAQ,SAAAC,GAAC,OAAIA,EAAEF,MAEbG,OAAOzE,SAASgE,eAAe","file":"text.js","sourcesContent":[" \t// install a JSONP callback for chunk loading\n \tfunction webpackJsonpCallback(data) {\n \t\tvar chunkIds = data[0];\n \t\tvar moreModules = data[1];\n\n\n \t\t// add \"moreModules\" to the modules object,\n \t\t// then flag all \"chunkIds\" as loaded and fire callback\n \t\tvar moduleId, chunkId, i = 0, resolves = [];\n \t\tfor(;i < chunkIds.length; i++) {\n \t\t\tchunkId = chunkIds[i];\n \t\t\tif(Object.prototype.hasOwnProperty.call(installedChunks, chunkId) && installedChunks[chunkId]) {\n \t\t\t\tresolves.push(installedChunks[chunkId][0]);\n \t\t\t}\n \t\t\tinstalledChunks[chunkId] = 0;\n \t\t}\n \t\tfor(moduleId in moreModules) {\n \t\t\tif(Object.prototype.hasOwnProperty.call(moreModules, moduleId)) {\n \t\t\t\tmodules[moduleId] = moreModules[moduleId];\n \t\t\t}\n \t\t}\n \t\tif(parentJsonpFunction) parentJsonpFunction(data);\n\n \t\twhile(resolves.length) {\n \t\t\tresolves.shift()();\n \t\t}\n\n \t};\n\n\n \t// The module cache\n \tvar installedModules = {};\n\n \t// object to store loaded and loading chunks\n \t// undefined = chunk not loaded, null = chunk preloaded/prefetched\n \t// Promise = chunk loading, 0 = chunk loaded\n \tvar installedChunks = {\n \t\t196: 0\n \t};\n\n\n\n \t// script path function\n \tfunction jsonpScriptSrc(chunkId) {\n \t\treturn __webpack_require__.p + \"\" + ({\"0\":\"vendor\",\"1\":\"vendors~editor-collab~editor-guest~editor-rich~files-modal\",\"2\":\"highlight/1c\",\"3\":\"highlight/abnf\",\"4\":\"highlight/accesslog\",\"5\":\"highlight/actionscript\",\"6\":\"highlight/ada\",\"7\":\"highlight/angelscript\",\"8\":\"highlight/apache\",\"9\":\"highlight/applescript\",\"10\":\"highlight/arcade\",\"11\":\"highlight/arduino\",\"12\":\"highlight/armasm\",\"13\":\"highlight/asciidoc\",\"14\":\"highlight/aspectj\",\"15\":\"highlight/autohotkey\",\"16\":\"highlight/autoit\",\"17\":\"highlight/avrasm\",\"18\":\"highlight/awk\",\"19\":\"highlight/axapta\",\"20\":\"highlight/bash\",\"21\":\"highlight/basic\",\"22\":\"highlight/bnf\",\"23\":\"highlight/brainfuck\",\"24\":\"highlight/cal\",\"25\":\"highlight/capnproto\",\"26\":\"highlight/ceylon\",\"27\":\"highlight/clean\",\"28\":\"highlight/clojure\",\"29\":\"highlight/clojure-repl\",\"30\":\"highlight/cmake\",\"31\":\"highlight/coffeescript\",\"32\":\"highlight/coq\",\"33\":\"highlight/cos\",\"34\":\"highlight/cpp\",\"35\":\"highlight/crmsh\",\"36\":\"highlight/crystal\",\"37\":\"highlight/cs\",\"38\":\"highlight/csp\",\"39\":\"highlight/css\",\"40\":\"highlight/d\",\"41\":\"highlight/dart\",\"42\":\"highlight/delphi\",\"43\":\"highlight/diff\",\"44\":\"highlight/django\",\"45\":\"highlight/dns\",\"46\":\"highlight/dockerfile\",\"47\":\"highlight/dos\",\"48\":\"highlight/dsconfig\",\"49\":\"highlight/dts\",\"50\":\"highlight/dust\",\"51\":\"highlight/ebnf\",\"52\":\"highlight/elixir\",\"53\":\"highlight/elm\",\"54\":\"highlight/erb\",\"55\":\"highlight/erlang\",\"56\":\"highlight/erlang-repl\",\"57\":\"highlight/excel\",\"58\":\"highlight/fix\",\"59\":\"highlight/flix\",\"60\":\"highlight/fortran\",\"61\":\"highlight/fsharp\",\"62\":\"highlight/gams\",\"63\":\"highlight/gauss\",\"64\":\"highlight/gcode\",\"65\":\"highlight/gherkin\",\"66\":\"highlight/glsl\",\"67\":\"highlight/gml\",\"68\":\"highlight/go\",\"69\":\"highlight/golo\",\"70\":\"highlight/gradle\",\"71\":\"highlight/groovy\",\"72\":\"highlight/haml\",\"73\":\"highlight/handlebars\",\"74\":\"highlight/haskell\",\"75\":\"highlight/haxe\",\"76\":\"highlight/hsp\",\"77\":\"highlight/htmlbars\",\"78\":\"highlight/http\",\"79\":\"highlight/hy\",\"80\":\"highlight/inform7\",\"81\":\"highlight/ini\",\"82\":\"highlight/irpf90\",\"83\":\"highlight/isbl\",\"84\":\"highlight/java\",\"85\":\"highlight/javascript\",\"86\":\"highlight/jboss-cli\",\"87\":\"highlight/json\",\"88\":\"highlight/julia\",\"89\":\"highlight/julia-repl\",\"90\":\"highlight/kotlin\",\"91\":\"highlight/lasso\",\"92\":\"highlight/ldif\",\"93\":\"highlight/leaf\",\"94\":\"highlight/less\",\"95\":\"highlight/lisp\",\"96\":\"highlight/livecodeserver\",\"97\":\"highlight/livescript\",\"98\":\"highlight/llvm\",\"99\":\"highlight/lsl\",\"100\":\"highlight/lua\",\"101\":\"highlight/makefile\",\"102\":\"highlight/markdown\",\"103\":\"highlight/mathematica\",\"104\":\"highlight/matlab\",\"105\":\"highlight/maxima\",\"106\":\"highlight/mel\",\"107\":\"highlight/mercury\",\"108\":\"highlight/mipsasm\",\"109\":\"highlight/mizar\",\"110\":\"highlight/mojolicious\",\"111\":\"highlight/monkey\",\"112\":\"highlight/moonscript\",\"113\":\"highlight/n1ql\",\"114\":\"highlight/nginx\",\"115\":\"highlight/nimrod\",\"116\":\"highlight/nix\",\"117\":\"highlight/nsis\",\"118\":\"highlight/objectivec\",\"119\":\"highlight/ocaml\",\"120\":\"highlight/openscad\",\"121\":\"highlight/oxygene\",\"122\":\"highlight/parser3\",\"123\":\"highlight/perl\",\"124\":\"highlight/pf\",\"125\":\"highlight/pgsql\",\"126\":\"highlight/php\",\"127\":\"highlight/plaintext\",\"128\":\"highlight/pony\",\"129\":\"highlight/powershell\",\"130\":\"highlight/processing\",\"131\":\"highlight/profile\",\"132\":\"highlight/prolog\",\"133\":\"highlight/properties\",\"134\":\"highlight/protobuf\",\"135\":\"highlight/puppet\",\"136\":\"highlight/purebasic\",\"137\":\"highlight/python\",\"138\":\"highlight/q\",\"139\":\"highlight/qml\",\"140\":\"highlight/r\",\"141\":\"highlight/reasonml\",\"142\":\"highlight/rib\",\"143\":\"highlight/roboconf\",\"144\":\"highlight/routeros\",\"145\":\"highlight/rsl\",\"146\":\"highlight/ruby\",\"147\":\"highlight/ruleslanguage\",\"148\":\"highlight/rust\",\"149\":\"highlight/sas\",\"150\":\"highlight/scala\",\"151\":\"highlight/scheme\",\"152\":\"highlight/scilab\",\"153\":\"highlight/scss\",\"154\":\"highlight/shell\",\"155\":\"highlight/smali\",\"156\":\"highlight/smalltalk\",\"157\":\"highlight/sml\",\"158\":\"highlight/sqf\",\"159\":\"highlight/sql\",\"160\":\"highlight/stan\",\"161\":\"highlight/stata\",\"162\":\"highlight/step21\",\"163\":\"highlight/stylus\",\"164\":\"highlight/subunit\",\"165\":\"highlight/swift\",\"166\":\"highlight/taggerscript\",\"167\":\"highlight/tap\",\"168\":\"highlight/tcl\",\"169\":\"highlight/tex\",\"170\":\"highlight/thrift\",\"171\":\"highlight/tp\",\"172\":\"highlight/twig\",\"173\":\"highlight/typescript\",\"174\":\"highlight/vala\",\"175\":\"highlight/vbnet\",\"176\":\"highlight/vbscript\",\"177\":\"highlight/vbscript-html\",\"178\":\"highlight/verilog\",\"179\":\"highlight/vhdl\",\"180\":\"highlight/vim\",\"181\":\"highlight/x86asm\",\"182\":\"highlight/xl\",\"183\":\"highlight/xml\",\"184\":\"highlight/xquery\",\"185\":\"highlight/yaml\",\"186\":\"highlight/zephir\",\"187\":\"vendors~editor-collab~editor-guest\",\"188\":\"vendors~editor~files-modal\",\"189\":\"editor\",\"190\":\"editor-collab\",\"191\":\"editor-guest\",\"192\":\"editor-rich\",\"194\":\"files-modal\",\"197\":\"vendors~editor\",\"198\":\"vendors~editor-rich\",\"199\":\"vendors~files-modal\"}[chunkId]||chunkId) + \".js?v=\" + {\"0\":\"b6a87e35c196cb18a193\",\"1\":\"feb5918c44017d3ba256\",\"2\":\"071b3beac1c09e6dc1f3\",\"3\":\"02b6bf25401bff70e1ce\",\"4\":\"06349a10fcba1fef2b08\",\"5\":\"6f038c3b24b5205c8575\",\"6\":\"facf339fc268c8300b58\",\"7\":\"af2f947766977426f44f\",\"8\":\"3a8af9aa690812789651\",\"9\":\"3fa6a2dd879cbdba71ff\",\"10\":\"24c8182859e17c1c1fca\",\"11\":\"3cc7f34e3359919e423e\",\"12\":\"c0eb0a244d0cb39704e6\",\"13\":\"ca2812e6dfd669b9ed9e\",\"14\":\"80494a33a16258364dd1\",\"15\":\"eb67f56dc4c79e97cfb0\",\"16\":\"b1c2c197768faebf2efc\",\"17\":\"03440adea0408be2ab13\",\"18\":\"f14a658a37d21c4300ae\",\"19\":\"06a1e9a27797e6036fb9\",\"20\":\"63e8c97d235cf8f2ef15\",\"21\":\"05e2ec59a02550149906\",\"22\":\"4d6660fa6ec96528db4a\",\"23\":\"bb63714d6fef384603dd\",\"24\":\"6f4fd50b6a017394d4ef\",\"25\":\"407e150d0cddfc330a11\",\"26\":\"ce3ee1e47a64b4c9d026\",\"27\":\"2d664db16483c452e781\",\"28\":\"a3a920317593707a929f\",\"29\":\"1202ed203adda685cb20\",\"30\":\"09e7844c496dacc56656\",\"31\":\"3cdfa9538f890fa46bb1\",\"32\":\"ebfcf67e77ab98acbcd1\",\"33\":\"b8d0058fb8891ff39f37\",\"34\":\"30d813319f34a0cddfeb\",\"35\":\"1f15edbd9461b481ce4c\",\"36\":\"897ee94b0f1b39f3d24a\",\"37\":\"f492adc31805a0412549\",\"38\":\"fe3bd1b963f4b889cf8e\",\"39\":\"239e072113e160b67e91\",\"40\":\"f39807cf7bdaf8526a15\",\"41\":\"9b1df6b3d1dd1115e1e8\",\"42\":\"09b2d711deb25f958472\",\"43\":\"0a4af5e0ac26e74fb458\",\"44\":\"eca9bda3374febe1408f\",\"45\":\"bfd30fc702acf9b5b234\",\"46\":\"459bafbfedeedec393f2\",\"47\":\"e8fe346d7dd1651dc9fc\",\"48\":\"a7a41eca2b432ecb456c\",\"49\":\"78f8dd3917437cc93e18\",\"50\":\"ee32b8fb945aa398bec1\",\"51\":\"bcbee3a03044a8950774\",\"52\":\"7b450fbefd2e3706ec70\",\"53\":\"2926d9f5b9996ea173dc\",\"54\":\"528937053c9973030a88\",\"55\":\"2b7f25a3f54ef89fa6e3\",\"56\":\"9260d01a166e0b5a57a6\",\"57\":\"be8879bc01887c64e79b\",\"58\":\"996d2460737c6c1b0e81\",\"59\":\"9a35d6dc0ded8bce2582\",\"60\":\"a4301b687567a5797eae\",\"61\":\"fa47cb385b5375960fea\",\"62\":\"9a0bf8a54e622f03528d\",\"63\":\"3cee1c94793426c6fe0c\",\"64\":\"10f4e9c5ca4207cf2739\",\"65\":\"3985cce434296c7eb5c2\",\"66\":\"f199328703a109721e77\",\"67\":\"3e195b8b02db26ba5c7a\",\"68\":\"8ba3db8152267cfaeb1b\",\"69\":\"2dbea908dd7c4a0e74a1\",\"70\":\"c4208dc434db3ef75467\",\"71\":\"1bea4a55bf6330dd337c\",\"72\":\"5403fd41ba6dadfb0069\",\"73\":\"c6726e29b97cafb89aa2\",\"74\":\"b50375f6d30f874d112b\",\"75\":\"18918d37d2462dedbe24\",\"76\":\"104df33b1e29b92c7e05\",\"77\":\"230f0f7e75220878d753\",\"78\":\"3620b0f6777c0d97d088\",\"79\":\"e5519677d9528132d1b2\",\"80\":\"6a6088db96341850bc6c\",\"81\":\"5e0594b511ddf2286b2b\",\"82\":\"a5f154fc0aec26d1af48\",\"83\":\"4fea3cfbb47bcfc112f0\",\"84\":\"6365dabe44f0ef66ded3\",\"85\":\"0e1f9cfb325c38778c49\",\"86\":\"737c3cc7bf7ec7e294eb\",\"87\":\"829ab49f163323ee3597\",\"88\":\"8dd0066b61f6587f1521\",\"89\":\"8e6c3e163fdfd0858593\",\"90\":\"908502cdb9c2f5fa2f14\",\"91\":\"bb9a0eb8fe9fc64468cd\",\"92\":\"b691a0396ca5de03ccd0\",\"93\":\"9b1fcbab9c153d40a96b\",\"94\":\"40d3ddabc330eb532413\",\"95\":\"6bf858fab0e8d2e0283c\",\"96\":\"4fe5a2c2f2d3e9759ff9\",\"97\":\"12080a42229846062a9e\",\"98\":\"53196626494a177837ab\",\"99\":\"e6b4f915ea180b8d3b8a\",\"100\":\"3a5f0e3c9c3b2d169fa5\",\"101\":\"f20d61205575024461a4\",\"102\":\"c176b1db2cd4865699c5\",\"103\":\"483c94b31a85fa90f286\",\"104\":\"e8ce50b033797edb4dab\",\"105\":\"fac046a1f51230c115ad\",\"106\":\"93c1bcf6baa80a731571\",\"107\":\"414e423c5fed49ecb7a7\",\"108\":\"9d5609deeea481d092a0\",\"109\":\"6a29b8b667b4e9803831\",\"110\":\"85f3d6a6fa8c94b59441\",\"111\":\"4a3f216687a251cca431\",\"112\":\"55d2478df875c48f0655\",\"113\":\"3eadea73e515fd20b16e\",\"114\":\"49b0f012825d96845ad4\",\"115\":\"614d2341ad81de37a0a4\",\"116\":\"543a514ee50dabba2c30\",\"117\":\"5399d10990ccbbed2bc7\",\"118\":\"dc7961e08feeaf5cdc07\",\"119\":\"a02034d17acf2dfff765\",\"120\":\"1a3f064db30c34258c09\",\"121\":\"ba5037471c571831e853\",\"122\":\"339b50117d4d287cf385\",\"123\":\"bd941caa5d2f53d1d4b0\",\"124\":\"07ab8fb74ff9bea845d5\",\"125\":\"d9951f80e532cb8787b6\",\"126\":\"8e97e0f2a5e2dbf035c8\",\"127\":\"fbdfa92af2a410a157a5\",\"128\":\"646d098d07d6d4da4fed\",\"129\":\"3b4d9a58526a7741dcc7\",\"130\":\"7948820b23eb0c62404f\",\"131\":\"fdf3ed035e0369367eeb\",\"132\":\"cdd8ccb02fffc1349738\",\"133\":\"233db3ec5ccf7b2f5678\",\"134\":\"3bf8fd57b29d88129b8f\",\"135\":\"c492283b4dd2f97fcc07\",\"136\":\"6c054c58d6a66b30d59f\",\"137\":\"84414e9cc771f4cd88cf\",\"138\":\"cfc52fa64ad538a93956\",\"139\":\"a084023e960fba8dd47a\",\"140\":\"10eec06a86dfeed3f165\",\"141\":\"fe58cb5669ed32875a14\",\"142\":\"58da31919b9b8a36647c\",\"143\":\"6f6f8504ef26f304d74a\",\"144\":\"98591744cbe1686b2e09\",\"145\":\"54ebb876ef10f26f55f1\",\"146\":\"cd038323b8dc2746f9ff\",\"147\":\"ed71a0b324668c95b36d\",\"148\":\"a3b4445f438d4ad1a303\",\"149\":\"e42f265b747fb02b2df0\",\"150\":\"fdb9f0a690d8cedb78c0\",\"151\":\"4b3f9672baef038f2fbb\",\"152\":\"4ed66f30d02c3d664950\",\"153\":\"96dd81098350c7a5d92f\",\"154\":\"1f4a8a17e05fbb11d688\",\"155\":\"e3e6a82a8a5388a962b5\",\"156\":\"1ca3a978cfdc9b49c6ec\",\"157\":\"5da35776878ca5d6695f\",\"158\":\"c99e663a86f2f2812d8c\",\"159\":\"90c3cd67631dc8669de1\",\"160\":\"9dd3a4734930fcff429e\",\"161\":\"e4dfcdbed07b2fc923a4\",\"162\":\"27eb26d60b4ed52a8cfe\",\"163\":\"56a827ad5ecdb729d8e0\",\"164\":\"77e46e77ce7f848e97e0\",\"165\":\"e53f833abdedc4f118f9\",\"166\":\"2e6a7aab79d8f765e78d\",\"167\":\"82205764ac320b4a5907\",\"168\":\"e5184691fd9e113a0b51\",\"169\":\"57946c72e9f255a3184d\",\"170\":\"b299c11dfb1a95970d0e\",\"171\":\"d2822f4dfe393b7f225f\",\"172\":\"be02ab9602ea44f5b8b7\",\"173\":\"3edb6a685de2ba7d9067\",\"174\":\"4b5209018d66028ae9d5\",\"175\":\"e77f394c4ae6478b2c82\",\"176\":\"63548036ec688dd55835\",\"177\":\"73060daa5328bd173d80\",\"178\":\"3f5c84f2ffb2818d5b42\",\"179\":\"ba92adfe7ff24de3a002\",\"180\":\"b85fdd69c3163b23cd4f\",\"181\":\"f0569dd5247f481195bd\",\"182\":\"f957f27aa1e0aad8b63e\",\"183\":\"b4ed61a972de16719173\",\"184\":\"b4400b1574e079783a8c\",\"185\":\"5bccbb3a33a0c2898445\",\"186\":\"b7ed8a9b4a93f789e4bd\",\"187\":\"c9868841dbd0da14f4c2\",\"188\":\"941e6f21e484ae4d0350\",\"189\":\"6ee3c36190dc54802157\",\"190\":\"7113b14aff5f5f406e65\",\"191\":\"18fd63d85d2025596fb4\",\"192\":\"e3894d020f96c922f4df\",\"194\":\"10f4a3e4df93b5fd8e9a\",\"197\":\"4ca0f6ac0902477b585a\",\"198\":\"29d438f918f0be3868ae\",\"199\":\"974d8a5edd0f4a8a98d0\"}[chunkId] + \"\"\n \t}\n\n \t// The require function\n \tfunction __webpack_require__(moduleId) {\n\n \t\t// Check if module is in cache\n \t\tif(installedModules[moduleId]) {\n \t\t\treturn installedModules[moduleId].exports;\n \t\t}\n \t\t// Create a new module (and put it into the cache)\n \t\tvar module = installedModules[moduleId] = {\n \t\t\ti: moduleId,\n \t\t\tl: false,\n \t\t\texports: {}\n \t\t};\n\n \t\t// Execute the module function\n \t\tmodules[moduleId].call(module.exports, module, module.exports, __webpack_require__);\n\n \t\t// Flag the module as loaded\n \t\tmodule.l = true;\n\n \t\t// Return the exports of the module\n \t\treturn module.exports;\n \t}\n\n \t// This file contains only the entry chunk.\n \t// The chunk loading function for additional chunks\n \t__webpack_require__.e = function requireEnsure(chunkId) {\n \t\tvar promises = [];\n\n\n \t\t// JSONP chunk loading for javascript\n\n \t\tvar installedChunkData = installedChunks[chunkId];\n \t\tif(installedChunkData !== 0) { // 0 means \"already installed\".\n\n \t\t\t// a Promise means \"currently loading\".\n \t\t\tif(installedChunkData) {\n \t\t\t\tpromises.push(installedChunkData[2]);\n \t\t\t} else {\n \t\t\t\t// setup Promise in chunk cache\n \t\t\t\tvar promise = new Promise(function(resolve, reject) {\n \t\t\t\t\tinstalledChunkData = installedChunks[chunkId] = [resolve, reject];\n \t\t\t\t});\n \t\t\t\tpromises.push(installedChunkData[2] = promise);\n\n \t\t\t\t// start chunk loading\n \t\t\t\tvar script = document.createElement('script');\n \t\t\t\tvar onScriptComplete;\n\n \t\t\t\tscript.charset = 'utf-8';\n \t\t\t\tscript.timeout = 120;\n \t\t\t\tif (__webpack_require__.nc) {\n \t\t\t\t\tscript.setAttribute(\"nonce\", __webpack_require__.nc);\n \t\t\t\t}\n \t\t\t\tscript.src = jsonpScriptSrc(chunkId);\n\n \t\t\t\t// create error before stack unwound to get useful stacktrace later\n \t\t\t\tvar error = new Error();\n \t\t\t\tonScriptComplete = function (event) {\n \t\t\t\t\t// avoid mem leaks in IE.\n \t\t\t\t\tscript.onerror = script.onload = null;\n \t\t\t\t\tclearTimeout(timeout);\n \t\t\t\t\tvar chunk = installedChunks[chunkId];\n \t\t\t\t\tif(chunk !== 0) {\n \t\t\t\t\t\tif(chunk) {\n \t\t\t\t\t\t\tvar errorType = event && (event.type === 'load' ? 'missing' : event.type);\n \t\t\t\t\t\t\tvar realSrc = event && event.target && event.target.src;\n \t\t\t\t\t\t\terror.message = 'Loading chunk ' + chunkId + ' failed.\\n(' + errorType + ': ' + realSrc + ')';\n \t\t\t\t\t\t\terror.name = 'ChunkLoadError';\n \t\t\t\t\t\t\terror.type = errorType;\n \t\t\t\t\t\t\terror.request = realSrc;\n \t\t\t\t\t\t\tchunk[1](error);\n \t\t\t\t\t\t}\n \t\t\t\t\t\tinstalledChunks[chunkId] = undefined;\n \t\t\t\t\t}\n \t\t\t\t};\n \t\t\t\tvar timeout = setTimeout(function(){\n \t\t\t\t\tonScriptComplete({ type: 'timeout', target: script });\n \t\t\t\t}, 120000);\n \t\t\t\tscript.onerror = script.onload = onScriptComplete;\n \t\t\t\tdocument.head.appendChild(script);\n \t\t\t}\n \t\t}\n \t\treturn Promise.all(promises);\n \t};\n\n \t// expose the modules object (__webpack_modules__)\n \t__webpack_require__.m = modules;\n\n \t// expose the module cache\n \t__webpack_require__.c = installedModules;\n\n \t// define getter function for harmony exports\n \t__webpack_require__.d = function(exports, name, getter) {\n \t\tif(!__webpack_require__.o(exports, name)) {\n \t\t\tObject.defineProperty(exports, name, { enumerable: true, get: getter });\n \t\t}\n \t};\n\n \t// define __esModule on exports\n \t__webpack_require__.r = function(exports) {\n \t\tif(typeof Symbol !== 'undefined' && Symbol.toStringTag) {\n \t\t\tObject.defineProperty(exports, Symbol.toStringTag, { value: 'Module' });\n \t\t}\n \t\tObject.defineProperty(exports, '__esModule', { value: true });\n \t};\n\n \t// create a fake namespace object\n \t// mode & 1: value is a module id, require it\n \t// mode & 2: merge all properties of value into the ns\n \t// mode & 4: return value when already ns object\n \t// mode & 8|1: behave like require\n \t__webpack_require__.t = function(value, mode) {\n \t\tif(mode & 1) value = __webpack_require__(value);\n \t\tif(mode & 8) return value;\n \t\tif((mode & 4) && typeof value === 'object' && value && value.__esModule) return value;\n \t\tvar ns = Object.create(null);\n \t\t__webpack_require__.r(ns);\n \t\tObject.defineProperty(ns, 'default', { enumerable: true, value: value });\n \t\tif(mode & 2 && typeof value != 'string') for(var key in value) __webpack_require__.d(ns, key, function(key) { return value[key]; }.bind(null, key));\n \t\treturn ns;\n \t};\n\n \t// getDefaultExport function for compatibility with non-harmony modules\n \t__webpack_require__.n = function(module) {\n \t\tvar getter = module && module.__esModule ?\n \t\t\tfunction getDefault() { return module['default']; } :\n \t\t\tfunction getModuleExports() { return module; };\n \t\t__webpack_require__.d(getter, 'a', getter);\n \t\treturn getter;\n \t};\n\n \t// Object.prototype.hasOwnProperty.call\n \t__webpack_require__.o = function(object, property) { return Object.prototype.hasOwnProperty.call(object, property); };\n\n \t// __webpack_public_path__\n \t__webpack_require__.p = \"/js/\";\n\n \t// on error function for async loading\n \t__webpack_require__.oe = function(err) { console.error(err); throw err; };\n\n \tvar jsonpArray = window[\"textWebpackJsonp\"] = window[\"textWebpackJsonp\"] || [];\n \tvar oldJsonpFunction = jsonpArray.push.bind(jsonpArray);\n \tjsonpArray.push = webpackJsonpCallback;\n \tjsonpArray = jsonpArray.slice();\n \tfor(var i = 0; i < jsonpArray.length; i++) webpackJsonpCallback(jsonpArray[i]);\n \tvar parentJsonpFunction = oldJsonpFunction;\n\n\n \t// Load entry module and return exports\n \treturn __webpack_require__(__webpack_require__.s = 170);\n","__webpack_nonce__ = btoa(OC.requestToken) // eslint-disable-line\n__webpack_public_path__ = OC.linkTo('text', 'js/') // eslint-disable-line\n\nif (document.getElementById('app-content')) {\n\tPromise.all([\n\t\timport(/* webpackChunkName: \"editor\" */'vue'),\n\t\timport(/* webpackChunkName: \"editor\" */'./views/DirectEditing'),\n\t]).then((imports) => {\n\t\tconst Vue = imports[0].default\n\t\tVue.prototype.t = window.t\n\t\tVue.prototype.OCA = window.OCA\n\t\tconst DirectEditing = imports[1].default\n\t\tconst vm = new Vue({\n\t\t\trender: h => h(DirectEditing),\n\t\t})\n\t\tvm.$mount(document.getElementById('app-content'))\n\t})\n}\n"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/vendor.js b/js/vendor.js
index 76475fd2c..21b517e05 100644
--- a/js/vendor.js
+++ b/js/vendor.js
@@ -5,4 +5,4 @@
* Released under the MIT License.
*/
var r=Object.freeze({});function i(e){return null==e}function o(e){return null!=e}function a(e){return!0===e}function s(e){return"string"==typeof e||"number"==typeof e||"symbol"==typeof e||"boolean"==typeof e}function c(e){return null!==e&&"object"==typeof e}var u=Object.prototype.toString;function l(e){return"[object Object]"===u.call(e)}function f(e){return"[object RegExp]"===u.call(e)}function p(e){var t=parseFloat(String(e));return t>=0&&Math.floor(t)===t&&isFinite(e)}function d(e){return o(e)&&"function"==typeof e.then&&"function"==typeof e.catch}function v(e){return null==e?"":Array.isArray(e)||l(e)&&e.toString===u?JSON.stringify(e,null,2):String(e)}function h(e){var t=parseFloat(e);return isNaN(t)?e:t}function m(e,t){for(var n=Object.create(null),r=e.split(","),i=0;i<r.length;i++)n[r[i]]=!0;return t?function(e){return n[e.toLowerCase()]}:function(e){return n[e]}}var y=m("slot,component",!0),g=m("key,ref,slot,slot-scope,is");function _(e,t){if(e.length){var n=e.indexOf(t);if(n>-1)return e.splice(n,1)}}var b=Object.prototype.hasOwnProperty;function $(e,t){return b.call(e,t)}function w(e){var t=Object.create(null);return function(n){return t[n]||(t[n]=e(n))}}var C=/-(\w)/g,x=w((function(e){return e.replace(C,(function(e,t){return t?t.toUpperCase():""}))})),k=w((function(e){return e.charAt(0).toUpperCase()+e.slice(1)})),A=/\B([A-Z])/g,O=w((function(e){return e.replace(A,"-$1").toLowerCase()}));var S=Function.prototype.bind?function(e,t){return e.bind(t)}:function(e,t){function n(n){var r=arguments.length;return r?r>1?e.apply(t,arguments):e.call(t,n):e.call(t)}return n._length=e.length,n};function T(e,t){t=t||0;for(var n=e.length-t,r=new Array(n);n--;)r[n]=e[n+t];return r}function E(e,t){for(var n in t)e[n]=t[n];return e}function I(e){for(var t={},n=0;n<e.length;n++)e[n]&&E(t,e[n]);return t}function j(e,t,n){}var N=function(e,t,n){return!1},M=function(e){return e};function D(e,t){if(e===t)return!0;var n=c(e),r=c(t);if(!n||!r)return!n&&!r&&String(e)===String(t);try{var i=Array.isArray(e),o=Array.isArray(t);if(i&&o)return e.length===t.length&&e.every((function(e,n){return D(e,t[n])}));if(e instanceof Date&&t instanceof Date)return e.getTime()===t.getTime();if(i||o)return!1;var a=Object.keys(e),s=Object.keys(t);return a.length===s.length&&a.every((function(n){return D(e[n],t[n])}))}catch(e){return!1}}function L(e,t){for(var n=0;n<e.length;n++)if(D(e[n],t))return n;return-1}function F(e){var t=!1;return function(){t||(t=!0,e.apply(this,arguments))}}var P=["component","directive","filter"],R=["beforeCreate","created","beforeMount","mounted","beforeUpdate","updated","beforeDestroy","destroyed","activated","deactivated","errorCaptured","serverPrefetch"],H={optionMergeStrategies:Object.create(null),silent:!1,productionTip:!1,devtools:!1,performance:!1,errorHandler:null,warnHandler:null,ignoredElements:[],keyCodes:Object.create(null),isReservedTag:N,isReservedAttr:N,isUnknownElement:N,getTagNamespace:j,parsePlatformTagName:M,mustUseProp:N,async:!0,_lifecycleHooks:R},B=/a-zA-Z\u00B7\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u037D\u037F-\u1FFF\u200C-\u200D\u203F-\u2040\u2070-\u218F\u2C00-\u2FEF\u3001-\uD7FF\uF900-\uFDCF\uFDF0-\uFFFD/;function U(e){var t=(e+"").charCodeAt(0);return 36===t||95===t}function z(e,t,n,r){Object.defineProperty(e,t,{value:n,enumerable:!!r,writable:!0,configurable:!0})}var V=new RegExp("[^"+B.source+".$_\\d]");var K,J="__proto__"in{},W="undefined"!=typeof window,q="undefined"!=typeof WXEnvironment&&!!WXEnvironment.platform,Z=q&&WXEnvironment.platform.toLowerCase(),G=W&&window.navigator.userAgent.toLowerCase(),X=G&&/msie|trident/.test(G),Y=G&&G.indexOf("msie 9.0")>0,Q=G&&G.indexOf("edge/")>0,ee=(G&&G.indexOf("android"),G&&/iphone|ipad|ipod|ios/.test(G)||"ios"===Z),te=(G&&/chrome\/\d+/.test(G),G&&/phantomjs/.test(G),G&&G.match(/firefox\/(\d+)/)),ne={}.watch,re=!1;if(W)try{var ie={};Object.defineProperty(ie,"passive",{get:function(){re=!0}}),window.addEventListener("test-passive",null,ie)}catch(e){}var oe=function(){return void 0===K&&(K=!W&&!q&&void 0!==e&&(e.process&&"server"===e.process.env.VUE_ENV)),K},ae=W&&window.__VUE_DEVTOOLS_GLOBAL_HOOK__;function se(e){return"function"==typeof e&&/native code/.test(e.toString())}var ce,ue="undefined"!=typeof Symbol&&se(Symbol)&&"undefined"!=typeof Reflect&&se(Reflect.ownKeys);ce="undefined"!=typeof Set&&se(Set)?Set:function(){function e(){this.set=Object.create(null)}return e.prototype.has=function(e){return!0===this.set[e]},e.prototype.add=function(e){this.set[e]=!0},e.prototype.clear=function(){this.set=Object.create(null)},e}();var le=j,fe=0,pe=function(){this.id=fe++,this.subs=[]};pe.prototype.addSub=function(e){this.subs.push(e)},pe.prototype.removeSub=function(e){_(this.subs,e)},pe.prototype.depend=function(){pe.target&&pe.target.addDep(this)},pe.prototype.notify=function(){var e=this.subs.slice();for(var t=0,n=e.length;t<n;t++)e[t].update()},pe.target=null;var de=[];function ve(e){de.push(e),pe.target=e}function he(){de.pop(),pe.target=de[de.length-1]}var me=function(e,t,n,r,i,o,a,s){this.tag=e,this.data=t,this.children=n,this.text=r,this.elm=i,this.ns=void 0,this.context=o,this.fnContext=void 0,this.fnOptions=void 0,this.fnScopeId=void 0,this.key=t&&t.key,this.componentOptions=a,this.componentInstance=void 0,this.parent=void 0,this.raw=!1,this.isStatic=!1,this.isRootInsert=!0,this.isComment=!1,this.isCloned=!1,this.isOnce=!1,this.asyncFactory=s,this.asyncMeta=void 0,this.isAsyncPlaceholder=!1},ye={child:{configurable:!0}};ye.child.get=function(){return this.componentInstance},Object.defineProperties(me.prototype,ye);var ge=function(e){void 0===e&&(e="");var t=new me;return t.text=e,t.isComment=!0,t};function _e(e){return new me(void 0,void 0,void 0,String(e))}function be(e){var t=new me(e.tag,e.data,e.children&&e.children.slice(),e.text,e.elm,e.context,e.componentOptions,e.asyncFactory);return t.ns=e.ns,t.isStatic=e.isStatic,t.key=e.key,t.isComment=e.isComment,t.fnContext=e.fnContext,t.fnOptions=e.fnOptions,t.fnScopeId=e.fnScopeId,t.asyncMeta=e.asyncMeta,t.isCloned=!0,t}var $e=Array.prototype,we=Object.create($e);["push","pop","shift","unshift","splice","sort","reverse"].forEach((function(e){var t=$e[e];z(we,e,(function(){for(var n=[],r=arguments.length;r--;)n[r]=arguments[r];var i,o=t.apply(this,n),a=this.__ob__;switch(e){case"push":case"unshift":i=n;break;case"splice":i=n.slice(2)}return i&&a.observeArray(i),a.dep.notify(),o}))}));var Ce=Object.getOwnPropertyNames(we),xe=!0;function ke(e){xe=e}var Ae=function(e){this.value=e,this.dep=new pe,this.vmCount=0,z(e,"__ob__",this),Array.isArray(e)?(J?function(e,t){e.__proto__=t}(e,we):function(e,t,n){for(var r=0,i=n.length;r<i;r++){var o=n[r];z(e,o,t[o])}}(e,we,Ce),this.observeArray(e)):this.walk(e)};function Oe(e,t){var n;if(c(e)&&!(e instanceof me))return $(e,"__ob__")&&e.__ob__ instanceof Ae?n=e.__ob__:xe&&!oe()&&(Array.isArray(e)||l(e))&&Object.isExtensible(e)&&!e._isVue&&(n=new Ae(e)),t&&n&&n.vmCount++,n}function Se(e,t,n,r,i){var o=new pe,a=Object.getOwnPropertyDescriptor(e,t);if(!a||!1!==a.configurable){var s=a&&a.get,c=a&&a.set;s&&!c||2!==arguments.length||(n=e[t]);var u=!i&&Oe(n);Object.defineProperty(e,t,{enumerable:!0,configurable:!0,get:function(){var t=s?s.call(e):n;return pe.target&&(o.depend(),u&&(u.dep.depend(),Array.isArray(t)&&Ie(t))),t},set:function(t){var r=s?s.call(e):n;t===r||t!=t&&r!=r||s&&!c||(c?c.call(e,t):n=t,u=!i&&Oe(t),o.notify())}})}}function Te(e,t,n){if(Array.isArray(e)&&p(t))return e.length=Math.max(e.length,t),e.splice(t,1,n),n;if(t in e&&!(t in Object.prototype))return e[t]=n,n;var r=e.__ob__;return e._isVue||r&&r.vmCount?n:r?(Se(r.value,t,n),r.dep.notify(),n):(e[t]=n,n)}function Ee(e,t){if(Array.isArray(e)&&p(t))e.splice(t,1);else{var n=e.__ob__;e._isVue||n&&n.vmCount||$(e,t)&&(delete e[t],n&&n.dep.notify())}}function Ie(e){for(var t=void 0,n=0,r=e.length;n<r;n++)(t=e[n])&&t.__ob__&&t.__ob__.dep.depend(),Array.isArray(t)&&Ie(t)}Ae.prototype.walk=function(e){for(var t=Object.keys(e),n=0;n<t.length;n++)Se(e,t[n])},Ae.prototype.observeArray=function(e){for(var t=0,n=e.length;t<n;t++)Oe(e[t])};var je=H.optionMergeStrategies;function Ne(e,t){if(!t)return e;for(var n,r,i,o=ue?Reflect.ownKeys(t):Object.keys(t),a=0;a<o.length;a++)"__ob__"!==(n=o[a])&&(r=e[n],i=t[n],$(e,n)?r!==i&&l(r)&&l(i)&&Ne(r,i):Te(e,n,i));return e}function Me(e,t,n){return n?function(){var r="function"==typeof t?t.call(n,n):t,i="function"==typeof e?e.call(n,n):e;return r?Ne(r,i):i}:t?e?function(){return Ne("function"==typeof t?t.call(this,this):t,"function"==typeof e?e.call(this,this):e)}:t:e}function De(e,t){var n=t?e?e.concat(t):Array.isArray(t)?t:[t]:e;return n?function(e){for(var t=[],n=0;n<e.length;n++)-1===t.indexOf(e[n])&&t.push(e[n]);return t}(n):n}function Le(e,t,n,r){var i=Object.create(e||null);return t?E(i,t):i}je.data=function(e,t,n){return n?Me(e,t,n):t&&"function"!=typeof t?e:Me(e,t)},R.forEach((function(e){je[e]=De})),P.forEach((function(e){je[e+"s"]=Le})),je.watch=function(e,t,n,r){if(e===ne&&(e=void 0),t===ne&&(t=void 0),!t)return Object.create(e||null);if(!e)return t;var i={};for(var o in E(i,e),t){var a=i[o],s=t[o];a&&!Array.isArray(a)&&(a=[a]),i[o]=a?a.concat(s):Array.isArray(s)?s:[s]}return i},je.props=je.methods=je.inject=je.computed=function(e,t,n,r){if(!e)return t;var i=Object.create(null);return E(i,e),t&&E(i,t),i},je.provide=Me;var Fe=function(e,t){return void 0===t?e:t};function Pe(e,t,n){if("function"==typeof t&&(t=t.options),function(e,t){var n=e.props;if(n){var r,i,o={};if(Array.isArray(n))for(r=n.length;r--;)"string"==typeof(i=n[r])&&(o[x(i)]={type:null});else if(l(n))for(var a in n)i=n[a],o[x(a)]=l(i)?i:{type:i};else 0;e.props=o}}(t),function(e,t){var n=e.inject;if(n){var r=e.inject={};if(Array.isArray(n))for(var i=0;i<n.length;i++)r[n[i]]={from:n[i]};else if(l(n))for(var o in n){var a=n[o];r[o]=l(a)?E({from:o},a):{from:a}}else 0}}(t),function(e){var t=e.directives;if(t)for(var n in t){var r=t[n];"function"==typeof r&&(t[n]={bind:r,update:r})}}(t),!t._base&&(t.extends&&(e=Pe(e,t.extends,n)),t.mixins))for(var r=0,i=t.mixins.length;r<i;r++)e=Pe(e,t.mixins[r],n);var o,a={};for(o in e)s(o);for(o in t)$(e,o)||s(o);function s(r){var i=je[r]||Fe;a[r]=i(e[r],t[r],n,r)}return a}function Re(e,t,n,r){if("string"==typeof n){var i=e[t];if($(i,n))return i[n];var o=x(n);if($(i,o))return i[o];var a=k(o);return $(i,a)?i[a]:i[n]||i[o]||i[a]}}function He(e,t,n,r){var i=t[e],o=!$(n,e),a=n[e],s=ze(Boolean,i.type);if(s>-1)if(o&&!$(i,"default"))a=!1;else if(""===a||a===O(e)){var c=ze(String,i.type);(c<0||s<c)&&(a=!0)}if(void 0===a){a=function(e,t,n){if(!$(t,"default"))return;var r=t.default;0;if(e&&e.$options.propsData&&void 0===e.$options.propsData[n]&&void 0!==e._props[n])return e._props[n];return"function"==typeof r&&"Function"!==Be(t.type)?r.call(e):r}(r,i,e);var u=xe;ke(!0),Oe(a),ke(u)}return a}function Be(e){var t=e&&e.toString().match(/^\s*function (\w+)/);return t?t[1]:""}function Ue(e,t){return Be(e)===Be(t)}function ze(e,t){if(!Array.isArray(t))return Ue(t,e)?0:-1;for(var n=0,r=t.length;n<r;n++)if(Ue(t[n],e))return n;return-1}function Ve(e,t,n){ve();try{if(t)for(var r=t;r=r.$parent;){var i=r.$options.errorCaptured;if(i)for(var o=0;o<i.length;o++)try{if(!1===i[o].call(r,e,t,n))return}catch(e){Je(e,r,"errorCaptured hook")}}Je(e,t,n)}finally{he()}}function Ke(e,t,n,r,i){var o;try{(o=n?e.apply(t,n):e.call(t))&&!o._isVue&&d(o)&&!o._handled&&(o.catch((function(e){return Ve(e,r,i+" (Promise/async)")})),o._handled=!0)}catch(e){Ve(e,r,i)}return o}function Je(e,t,n){if(H.errorHandler)try{return H.errorHandler.call(null,e,t,n)}catch(t){t!==e&&We(t,null,"config.errorHandler")}We(e,t,n)}function We(e,t,n){if(!W&&!q||"undefined"==typeof console)throw e;console.error(e)}var qe,Ze=!1,Ge=[],Xe=!1;function Ye(){Xe=!1;var e=Ge.slice(0);Ge.length=0;for(var t=0;t<e.length;t++)e[t]()}if("undefined"!=typeof Promise&&se(Promise)){var Qe=Promise.resolve();qe=function(){Qe.then(Ye),ee&&setTimeout(j)},Ze=!0}else if(X||"undefined"==typeof MutationObserver||!se(MutationObserver)&&"[object MutationObserverConstructor]"!==MutationObserver.toString())qe=void 0!==n&&se(n)?function(){n(Ye)}:function(){setTimeout(Ye,0)};else{var et=1,tt=new MutationObserver(Ye),nt=document.createTextNode(String(et));tt.observe(nt,{characterData:!0}),qe=function(){et=(et+1)%2,nt.data=String(et)},Ze=!0}function rt(e,t){var n;if(Ge.push((function(){if(e)try{e.call(t)}catch(e){Ve(e,t,"nextTick")}else n&&n(t)})),Xe||(Xe=!0,qe()),!e&&"undefined"!=typeof Promise)return new Promise((function(e){n=e}))}var it=new ce;function ot(e){!function e(t,n){var r,i,o=Array.isArray(t);if(!o&&!c(t)||Object.isFrozen(t)||t instanceof me)return;if(t.__ob__){var a=t.__ob__.dep.id;if(n.has(a))return;n.add(a)}if(o)for(r=t.length;r--;)e(t[r],n);else for(i=Object.keys(t),r=i.length;r--;)e(t[i[r]],n)}(e,it),it.clear()}var at=w((function(e){var t="&"===e.charAt(0),n="~"===(e=t?e.slice(1):e).charAt(0),r="!"===(e=n?e.slice(1):e).charAt(0);return{name:e=r?e.slice(1):e,once:n,capture:r,passive:t}}));function st(e,t){function n(){var e=arguments,r=n.fns;if(!Array.isArray(r))return Ke(r,null,arguments,t,"v-on handler");for(var i=r.slice(),o=0;o<i.length;o++)Ke(i[o],null,e,t,"v-on handler")}return n.fns=e,n}function ct(e,t,n,r,o,s){var c,u,l,f;for(c in e)u=e[c],l=t[c],f=at(c),i(u)||(i(l)?(i(u.fns)&&(u=e[c]=st(u,s)),a(f.once)&&(u=e[c]=o(f.name,u,f.capture)),n(f.name,u,f.capture,f.passive,f.params)):u!==l&&(l.fns=u,e[c]=l));for(c in t)i(e[c])&&r((f=at(c)).name,t[c],f.capture)}function ut(e,t,n){var r;e instanceof me&&(e=e.data.hook||(e.data.hook={}));var s=e[t];function c(){n.apply(this,arguments),_(r.fns,c)}i(s)?r=st([c]):o(s.fns)&&a(s.merged)?(r=s).fns.push(c):r=st([s,c]),r.merged=!0,e[t]=r}function lt(e,t,n,r,i){if(o(t)){if($(t,n))return e[n]=t[n],i||delete t[n],!0;if($(t,r))return e[n]=t[r],i||delete t[r],!0}return!1}function ft(e){return s(e)?[_e(e)]:Array.isArray(e)?function e(t,n){var r,c,u,l,f=[];for(r=0;r<t.length;r++)i(c=t[r])||"boolean"==typeof c||(u=f.length-1,l=f[u],Array.isArray(c)?c.length>0&&(pt((c=e(c,(n||"")+"_"+r))[0])&&pt(l)&&(f[u]=_e(l.text+c[0].text),c.shift()),f.push.apply(f,c)):s(c)?pt(l)?f[u]=_e(l.text+c):""!==c&&f.push(_e(c)):pt(c)&&pt(l)?f[u]=_e(l.text+c.text):(a(t._isVList)&&o(c.tag)&&i(c.key)&&o(n)&&(c.key="__vlist"+n+"_"+r+"__"),f.push(c)));return f}(e):void 0}function pt(e){return o(e)&&o(e.text)&&!1===e.isComment}function dt(e,t){if(e){for(var n=Object.create(null),r=ue?Reflect.ownKeys(e):Object.keys(e),i=0;i<r.length;i++){var o=r[i];if("__ob__"!==o){for(var a=e[o].from,s=t;s;){if(s._provided&&$(s._provided,a)){n[o]=s._provided[a];break}s=s.$parent}if(!s)if("default"in e[o]){var c=e[o].default;n[o]="function"==typeof c?c.call(t):c}else 0}}return n}}function vt(e,t){if(!e||!e.length)return{};for(var n={},r=0,i=e.length;r<i;r++){var o=e[r],a=o.data;if(a&&a.attrs&&a.attrs.slot&&delete a.attrs.slot,o.context!==t&&o.fnContext!==t||!a||null==a.slot)(n.default||(n.default=[])).push(o);else{var s=a.slot,c=n[s]||(n[s]=[]);"template"===o.tag?c.push.apply(c,o.children||[]):c.push(o)}}for(var u in n)n[u].every(ht)&&delete n[u];return n}function ht(e){return e.isComment&&!e.asyncFactory||" "===e.text}function mt(e,t,n){var i,o=Object.keys(t).length>0,a=e?!!e.$stable:!o,s=e&&e.$key;if(e){if(e._normalized)return e._normalized;if(a&&n&&n!==r&&s===n.$key&&!o&&!n.$hasNormal)return n;for(var c in i={},e)e[c]&&"$"!==c[0]&&(i[c]=yt(t,c,e[c]))}else i={};for(var u in t)u in i||(i[u]=gt(t,u));return e&&Object.isExtensible(e)&&(e._normalized=i),z(i,"$stable",a),z(i,"$key",s),z(i,"$hasNormal",o),i}function yt(e,t,n){var r=function(){var e=arguments.length?n.apply(null,arguments):n({});return(e=e&&"object"==typeof e&&!Array.isArray(e)?[e]:ft(e))&&(0===e.length||1===e.length&&e[0].isComment)?void 0:e};return n.proxy&&Object.defineProperty(e,t,{get:r,enumerable:!0,configurable:!0}),r}function gt(e,t){return function(){return e[t]}}function _t(e,t){var n,r,i,a,s;if(Array.isArray(e)||"string"==typeof e)for(n=new Array(e.length),r=0,i=e.length;r<i;r++)n[r]=t(e[r],r);else if("number"==typeof e)for(n=new Array(e),r=0;r<e;r++)n[r]=t(r+1,r);else if(c(e))if(ue&&e[Symbol.iterator]){n=[];for(var u=e[Symbol.iterator](),l=u.next();!l.done;)n.push(t(l.value,n.length)),l=u.next()}else for(a=Object.keys(e),n=new Array(a.length),r=0,i=a.length;r<i;r++)s=a[r],n[r]=t(e[s],s,r);return o(n)||(n=[]),n._isVList=!0,n}function bt(e,t,n,r){var i,o=this.$scopedSlots[e];o?(n=n||{},r&&(n=E(E({},r),n)),i=o(n)||t):i=this.$slots[e]||t;var a=n&&n.slot;return a?this.$createElement("template",{slot:a},i):i}function $t(e){return Re(this.$options,"filters",e)||M}function wt(e,t){return Array.isArray(e)?-1===e.indexOf(t):e!==t}function Ct(e,t,n,r,i){var o=H.keyCodes[t]||n;return i&&r&&!H.keyCodes[t]?wt(i,r):o?wt(o,e):r?O(r)!==t:void 0}function xt(e,t,n,r,i){if(n)if(c(n)){var o;Array.isArray(n)&&(n=I(n));var a=function(a){if("class"===a||"style"===a||g(a))o=e;else{var s=e.attrs&&e.attrs.type;o=r||H.mustUseProp(t,s,a)?e.domProps||(e.domProps={}):e.attrs||(e.attrs={})}var c=x(a),u=O(a);c in o||u in o||(o[a]=n[a],i&&((e.on||(e.on={}))["update:"+a]=function(e){n[a]=e}))};for(var s in n)a(s)}else;return e}function kt(e,t){var n=this._staticTrees||(this._staticTrees=[]),r=n[e];return r&&!t||Ot(r=n[e]=this.$options.staticRenderFns[e].call(this._renderProxy,null,this),"__static__"+e,!1),r}function At(e,t,n){return Ot(e,"__once__"+t+(n?"_"+n:""),!0),e}function Ot(e,t,n){if(Array.isArray(e))for(var r=0;r<e.length;r++)e[r]&&"string"!=typeof e[r]&&St(e[r],t+"_"+r,n);else St(e,t,n)}function St(e,t,n){e.isStatic=!0,e.key=t,e.isOnce=n}function Tt(e,t){if(t)if(l(t)){var n=e.on=e.on?E({},e.on):{};for(var r in t){var i=n[r],o=t[r];n[r]=i?[].concat(i,o):o}}else;return e}function Et(e,t,n,r){t=t||{$stable:!n};for(var i=0;i<e.length;i++){var o=e[i];Array.isArray(o)?Et(o,t,n):o&&(o.proxy&&(o.fn.proxy=!0),t[o.key]=o.fn)}return r&&(t.$key=r),t}function It(e,t){for(var n=0;n<t.length;n+=2){var r=t[n];"string"==typeof r&&r&&(e[t[n]]=t[n+1])}return e}function jt(e,t){return"string"==typeof e?t+e:e}function Nt(e){e._o=At,e._n=h,e._s=v,e._l=_t,e._t=bt,e._q=D,e._i=L,e._m=kt,e._f=$t,e._k=Ct,e._b=xt,e._v=_e,e._e=ge,e._u=Et,e._g=Tt,e._d=It,e._p=jt}function Mt(e,t,n,i,o){var s,c=this,u=o.options;$(i,"_uid")?(s=Object.create(i))._original=i:(s=i,i=i._original);var l=a(u._compiled),f=!l;this.data=e,this.props=t,this.children=n,this.parent=i,this.listeners=e.on||r,this.injections=dt(u.inject,i),this.slots=function(){return c.$slots||mt(e.scopedSlots,c.$slots=vt(n,i)),c.$slots},Object.defineProperty(this,"scopedSlots",{enumerable:!0,get:function(){return mt(e.scopedSlots,this.slots())}}),l&&(this.$options=u,this.$slots=this.slots(),this.$scopedSlots=mt(e.scopedSlots,this.$slots)),u._scopeId?this._c=function(e,t,n,r){var o=Bt(s,e,t,n,r,f);return o&&!Array.isArray(o)&&(o.fnScopeId=u._scopeId,o.fnContext=i),o}:this._c=function(e,t,n,r){return Bt(s,e,t,n,r,f)}}function Dt(e,t,n,r,i){var o=be(e);return o.fnContext=n,o.fnOptions=r,t.slot&&((o.data||(o.data={})).slot=t.slot),o}function Lt(e,t){for(var n in t)e[x(n)]=t[n]}Nt(Mt.prototype);var Ft={init:function(e,t){if(e.componentInstance&&!e.componentInstance._isDestroyed&&e.data.keepAlive){var n=e;Ft.prepatch(n,n)}else{(e.componentInstance=function(e,t){var n={_isComponent:!0,_parentVnode:e,parent:t},r=e.data.inlineTemplate;o(r)&&(n.render=r.render,n.staticRenderFns=r.staticRenderFns);return new e.componentOptions.Ctor(n)}(e,Xt)).$mount(t?e.elm:void 0,t)}},prepatch:function(e,t){var n=t.componentOptions;!function(e,t,n,i,o){0;var a=i.data.scopedSlots,s=e.$scopedSlots,c=!!(a&&!a.$stable||s!==r&&!s.$stable||a&&e.$scopedSlots.$key!==a.$key),u=!!(o||e.$options._renderChildren||c);e.$options._parentVnode=i,e.$vnode=i,e._vnode&&(e._vnode.parent=i);if(e.$options._renderChildren=o,e.$attrs=i.data.attrs||r,e.$listeners=n||r,t&&e.$options.props){ke(!1);for(var l=e._props,f=e.$options._propKeys||[],p=0;p<f.length;p++){var d=f[p],v=e.$options.props;l[d]=He(d,v,t,e)}ke(!0),e.$options.propsData=t}n=n||r;var h=e.$options._parentListeners;e.$options._parentListeners=n,Gt(e,n,h),u&&(e.$slots=vt(o,i.context),e.$forceUpdate());0}(t.componentInstance=e.componentInstance,n.propsData,n.listeners,t,n.children)},insert:function(e){var t,n=e.context,r=e.componentInstance;r._isMounted||(r._isMounted=!0,tn(r,"mounted")),e.data.keepAlive&&(n._isMounted?((t=r)._inactive=!1,rn.push(t)):en(r,!0))},destroy:function(e){var t=e.componentInstance;t._isDestroyed||(e.data.keepAlive?function e(t,n){if(n&&(t._directInactive=!0,Qt(t)))return;if(!t._inactive){t._inactive=!0;for(var r=0;r<t.$children.length;r++)e(t.$children[r]);tn(t,"deactivated")}}(t,!0):t.$destroy())}},Pt=Object.keys(Ft);function Rt(e,t,n,s,u){if(!i(e)){var l=n.$options._base;if(c(e)&&(e=l.extend(e)),"function"==typeof e){var f;if(i(e.cid)&&void 0===(e=function(e,t){if(a(e.error)&&o(e.errorComp))return e.errorComp;if(o(e.resolved))return e.resolved;var n=zt;n&&o(e.owners)&&-1===e.owners.indexOf(n)&&e.owners.push(n);if(a(e.loading)&&o(e.loadingComp))return e.loadingComp;if(n&&!o(e.owners)){var r=e.owners=[n],s=!0,u=null,l=null;n.$on("hook:destroyed",(function(){return _(r,n)}));var f=function(e){for(var t=0,n=r.length;t<n;t++)r[t].$forceUpdate();e&&(r.length=0,null!==u&&(clearTimeout(u),u=null),null!==l&&(clearTimeout(l),l=null))},p=F((function(n){e.resolved=Vt(n,t),s?r.length=0:f(!0)})),v=F((function(t){o(e.errorComp)&&(e.error=!0,f(!0))})),h=e(p,v);return c(h)&&(d(h)?i(e.resolved)&&h.then(p,v):d(h.component)&&(h.component.then(p,v),o(h.error)&&(e.errorComp=Vt(h.error,t)),o(h.loading)&&(e.loadingComp=Vt(h.loading,t),0===h.delay?e.loading=!0:u=setTimeout((function(){u=null,i(e.resolved)&&i(e.error)&&(e.loading=!0,f(!1))}),h.delay||200)),o(h.timeout)&&(l=setTimeout((function(){l=null,i(e.resolved)&&v(null)}),h.timeout)))),s=!1,e.loading?e.loadingComp:e.resolved}}(f=e,l)))return function(e,t,n,r,i){var o=ge();return o.asyncFactory=e,o.asyncMeta={data:t,context:n,children:r,tag:i},o}(f,t,n,s,u);t=t||{},xn(e),o(t.model)&&function(e,t){var n=e.model&&e.model.prop||"value",r=e.model&&e.model.event||"input";(t.attrs||(t.attrs={}))[n]=t.model.value;var i=t.on||(t.on={}),a=i[r],s=t.model.callback;o(a)?(Array.isArray(a)?-1===a.indexOf(s):a!==s)&&(i[r]=[s].concat(a)):i[r]=s}(e.options,t);var p=function(e,t,n){var r=t.options.props;if(!i(r)){var a={},s=e.attrs,c=e.props;if(o(s)||o(c))for(var u in r){var l=O(u);lt(a,c,u,l,!0)||lt(a,s,u,l,!1)}return a}}(t,e);if(a(e.options.functional))return function(e,t,n,i,a){var s=e.options,c={},u=s.props;if(o(u))for(var l in u)c[l]=He(l,u,t||r);else o(n.attrs)&&Lt(c,n.attrs),o(n.props)&&Lt(c,n.props);var f=new Mt(n,c,a,i,e),p=s.render.call(null,f._c,f);if(p instanceof me)return Dt(p,n,f.parent,s,f);if(Array.isArray(p)){for(var d=ft(p)||[],v=new Array(d.length),h=0;h<d.length;h++)v[h]=Dt(d[h],n,f.parent,s,f);return v}}(e,p,t,n,s);var v=t.on;if(t.on=t.nativeOn,a(e.options.abstract)){var h=t.slot;t={},h&&(t.slot=h)}!function(e){for(var t=e.hook||(e.hook={}),n=0;n<Pt.length;n++){var r=Pt[n],i=t[r],o=Ft[r];i===o||i&&i._merged||(t[r]=i?Ht(o,i):o)}}(t);var m=e.options.name||u;return new me("vue-component-"+e.cid+(m?"-"+m:""),t,void 0,void 0,void 0,n,{Ctor:e,propsData:p,listeners:v,tag:u,children:s},f)}}}function Ht(e,t){var n=function(n,r){e(n,r),t(n,r)};return n._merged=!0,n}function Bt(e,t,n,r,u,l){return(Array.isArray(n)||s(n))&&(u=r,r=n,n=void 0),a(l)&&(u=2),function(e,t,n,r,s){if(o(n)&&o(n.__ob__))return ge();o(n)&&o(n.is)&&(t=n.is);if(!t)return ge();0;Array.isArray(r)&&"function"==typeof r[0]&&((n=n||{}).scopedSlots={default:r[0]},r.length=0);2===s?r=ft(r):1===s&&(r=function(e){for(var t=0;t<e.length;t++)if(Array.isArray(e[t]))return Array.prototype.concat.apply([],e);return e}(r));var u,l;if("string"==typeof t){var f;l=e.$vnode&&e.$vnode.ns||H.getTagNamespace(t),u=H.isReservedTag(t)?new me(H.parsePlatformTagName(t),n,r,void 0,void 0,e):n&&n.pre||!o(f=Re(e.$options,"components",t))?new me(t,n,r,void 0,void 0,e):Rt(f,n,e,r,t)}else u=Rt(t,n,e,r);return Array.isArray(u)?u:o(u)?(o(l)&&function e(t,n,r){t.ns=n,"foreignObject"===t.tag&&(n=void 0,r=!0);if(o(t.children))for(var s=0,c=t.children.length;s<c;s++){var u=t.children[s];o(u.tag)&&(i(u.ns)||a(r)&&"svg"!==u.tag)&&e(u,n,r)}}(u,l),o(n)&&function(e){c(e.style)&&ot(e.style);c(e.class)&&ot(e.class)}(n),u):ge()}(e,t,n,r,u)}var Ut,zt=null;function Vt(e,t){return(e.__esModule||ue&&"Module"===e[Symbol.toStringTag])&&(e=e.default),c(e)?t.extend(e):e}function Kt(e){return e.isComment&&e.asyncFactory}function Jt(e){if(Array.isArray(e))for(var t=0;t<e.length;t++){var n=e[t];if(o(n)&&(o(n.componentOptions)||Kt(n)))return n}}function Wt(e,t){Ut.$on(e,t)}function qt(e,t){Ut.$off(e,t)}function Zt(e,t){var n=Ut;return function r(){var i=t.apply(null,arguments);null!==i&&n.$off(e,r)}}function Gt(e,t,n){Ut=e,ct(t,n||{},Wt,qt,Zt,e),Ut=void 0}var Xt=null;function Yt(e){var t=Xt;return Xt=e,function(){Xt=t}}function Qt(e){for(;e&&(e=e.$parent);)if(e._inactive)return!0;return!1}function en(e,t){if(t){if(e._directInactive=!1,Qt(e))return}else if(e._directInactive)return;if(e._inactive||null===e._inactive){e._inactive=!1;for(var n=0;n<e.$children.length;n++)en(e.$children[n]);tn(e,"activated")}}function tn(e,t){ve();var n=e.$options[t],r=t+" hook";if(n)for(var i=0,o=n.length;i<o;i++)Ke(n[i],e,null,e,r);e._hasHookEvent&&e.$emit("hook:"+t),he()}var nn=[],rn=[],on={},an=!1,sn=!1,cn=0;var un=0,ln=Date.now;if(W&&!X){var fn=window.performance;fn&&"function"==typeof fn.now&&ln()>document.createEvent("Event").timeStamp&&(ln=function(){return fn.now()})}function pn(){var e,t;for(un=ln(),sn=!0,nn.sort((function(e,t){return e.id-t.id})),cn=0;cn<nn.length;cn++)(e=nn[cn]).before&&e.before(),t=e.id,on[t]=null,e.run();var n=rn.slice(),r=nn.slice();cn=nn.length=rn.length=0,on={},an=sn=!1,function(e){for(var t=0;t<e.length;t++)e[t]._inactive=!0,en(e[t],!0)}(n),function(e){var t=e.length;for(;t--;){var n=e[t],r=n.vm;r._watcher===n&&r._isMounted&&!r._isDestroyed&&tn(r,"updated")}}(r),ae&&H.devtools&&ae.emit("flush")}var dn=0,vn=function(e,t,n,r,i){this.vm=e,i&&(e._watcher=this),e._watchers.push(this),r?(this.deep=!!r.deep,this.user=!!r.user,this.lazy=!!r.lazy,this.sync=!!r.sync,this.before=r.before):this.deep=this.user=this.lazy=this.sync=!1,this.cb=n,this.id=++dn,this.active=!0,this.dirty=this.lazy,this.deps=[],this.newDeps=[],this.depIds=new ce,this.newDepIds=new ce,this.expression="","function"==typeof t?this.getter=t:(this.getter=function(e){if(!V.test(e)){var t=e.split(".");return function(e){for(var n=0;n<t.length;n++){if(!e)return;e=e[t[n]]}return e}}}(t),this.getter||(this.getter=j)),this.value=this.lazy?void 0:this.get()};vn.prototype.get=function(){var e;ve(this);var t=this.vm;try{e=this.getter.call(t,t)}catch(e){if(!this.user)throw e;Ve(e,t,'getter for watcher "'+this.expression+'"')}finally{this.deep&&ot(e),he(),this.cleanupDeps()}return e},vn.prototype.addDep=function(e){var t=e.id;this.newDepIds.has(t)||(this.newDepIds.add(t),this.newDeps.push(e),this.depIds.has(t)||e.addSub(this))},vn.prototype.cleanupDeps=function(){for(var e=this.deps.length;e--;){var t=this.deps[e];this.newDepIds.has(t.id)||t.removeSub(this)}var n=this.depIds;this.depIds=this.newDepIds,this.newDepIds=n,this.newDepIds.clear(),n=this.deps,this.deps=this.newDeps,this.newDeps=n,this.newDeps.length=0},vn.prototype.update=function(){this.lazy?this.dirty=!0:this.sync?this.run():function(e){var t=e.id;if(null==on[t]){if(on[t]=!0,sn){for(var n=nn.length-1;n>cn&&nn[n].id>e.id;)n--;nn.splice(n+1,0,e)}else nn.push(e);an||(an=!0,rt(pn))}}(this)},vn.prototype.run=function(){if(this.active){var e=this.get();if(e!==this.value||c(e)||this.deep){var t=this.value;if(this.value=e,this.user)try{this.cb.call(this.vm,e,t)}catch(e){Ve(e,this.vm,'callback for watcher "'+this.expression+'"')}else this.cb.call(this.vm,e,t)}}},vn.prototype.evaluate=function(){this.value=this.get(),this.dirty=!1},vn.prototype.depend=function(){for(var e=this.deps.length;e--;)this.deps[e].depend()},vn.prototype.teardown=function(){if(this.active){this.vm._isBeingDestroyed||_(this.vm._watchers,this);for(var e=this.deps.length;e--;)this.deps[e].removeSub(this);this.active=!1}};var hn={enumerable:!0,configurable:!0,get:j,set:j};function mn(e,t,n){hn.get=function(){return this[t][n]},hn.set=function(e){this[t][n]=e},Object.defineProperty(e,n,hn)}function yn(e){e._watchers=[];var t=e.$options;t.props&&function(e,t){var n=e.$options.propsData||{},r=e._props={},i=e.$options._propKeys=[];e.$parent&&ke(!1);var o=function(o){i.push(o);var a=He(o,t,n,e);Se(r,o,a),o in e||mn(e,"_props",o)};for(var a in t)o(a);ke(!0)}(e,t.props),t.methods&&function(e,t){e.$options.props;for(var n in t)e[n]="function"!=typeof t[n]?j:S(t[n],e)}(e,t.methods),t.data?function(e){var t=e.$options.data;l(t=e._data="function"==typeof t?function(e,t){ve();try{return e.call(t,t)}catch(e){return Ve(e,t,"data()"),{}}finally{he()}}(t,e):t||{})||(t={});var n=Object.keys(t),r=e.$options.props,i=(e.$options.methods,n.length);for(;i--;){var o=n[i];0,r&&$(r,o)||U(o)||mn(e,"_data",o)}Oe(t,!0)}(e):Oe(e._data={},!0),t.computed&&function(e,t){var n=e._computedWatchers=Object.create(null),r=oe();for(var i in t){var o=t[i],a="function"==typeof o?o:o.get;0,r||(n[i]=new vn(e,a||j,j,gn)),i in e||_n(e,i,o)}}(e,t.computed),t.watch&&t.watch!==ne&&function(e,t){for(var n in t){var r=t[n];if(Array.isArray(r))for(var i=0;i<r.length;i++)wn(e,n,r[i]);else wn(e,n,r)}}(e,t.watch)}var gn={lazy:!0};function _n(e,t,n){var r=!oe();"function"==typeof n?(hn.get=r?bn(t):$n(n),hn.set=j):(hn.get=n.get?r&&!1!==n.cache?bn(t):$n(n.get):j,hn.set=n.set||j),Object.defineProperty(e,t,hn)}function bn(e){return function(){var t=this._computedWatchers&&this._computedWatchers[e];if(t)return t.dirty&&t.evaluate(),pe.target&&t.depend(),t.value}}function $n(e){return function(){return e.call(this,this)}}function wn(e,t,n,r){return l(n)&&(r=n,n=n.handler),"string"==typeof n&&(n=e[n]),e.$watch(t,n,r)}var Cn=0;function xn(e){var t=e.options;if(e.super){var n=xn(e.super);if(n!==e.superOptions){e.superOptions=n;var r=function(e){var t,n=e.options,r=e.sealedOptions;for(var i in n)n[i]!==r[i]&&(t||(t={}),t[i]=n[i]);return t}(e);r&&E(e.extendOptions,r),(t=e.options=Pe(n,e.extendOptions)).name&&(t.components[t.name]=e)}}return t}function kn(e){this._init(e)}function An(e){e.cid=0;var t=1;e.extend=function(e){e=e||{};var n=this,r=n.cid,i=e._Ctor||(e._Ctor={});if(i[r])return i[r];var o=e.name||n.options.name;var a=function(e){this._init(e)};return(a.prototype=Object.create(n.prototype)).constructor=a,a.cid=t++,a.options=Pe(n.options,e),a.super=n,a.options.props&&function(e){var t=e.options.props;for(var n in t)mn(e.prototype,"_props",n)}(a),a.options.computed&&function(e){var t=e.options.computed;for(var n in t)_n(e.prototype,n,t[n])}(a),a.extend=n.extend,a.mixin=n.mixin,a.use=n.use,P.forEach((function(e){a[e]=n[e]})),o&&(a.options.components[o]=a),a.superOptions=n.options,a.extendOptions=e,a.sealedOptions=E({},a.options),i[r]=a,a}}function On(e){return e&&(e.Ctor.options.name||e.tag)}function Sn(e,t){return Array.isArray(e)?e.indexOf(t)>-1:"string"==typeof e?e.split(",").indexOf(t)>-1:!!f(e)&&e.test(t)}function Tn(e,t){var n=e.cache,r=e.keys,i=e._vnode;for(var o in n){var a=n[o];if(a){var s=On(a.componentOptions);s&&!t(s)&&En(n,o,r,i)}}}function En(e,t,n,r){var i=e[t];!i||r&&i.tag===r.tag||i.componentInstance.$destroy(),e[t]=null,_(n,t)}!function(e){e.prototype._init=function(e){var t=this;t._uid=Cn++,t._isVue=!0,e&&e._isComponent?function(e,t){var n=e.$options=Object.create(e.constructor.options),r=t._parentVnode;n.parent=t.parent,n._parentVnode=r;var i=r.componentOptions;n.propsData=i.propsData,n._parentListeners=i.listeners,n._renderChildren=i.children,n._componentTag=i.tag,t.render&&(n.render=t.render,n.staticRenderFns=t.staticRenderFns)}(t,e):t.$options=Pe(xn(t.constructor),e||{},t),t._renderProxy=t,t._self=t,function(e){var t=e.$options,n=t.parent;if(n&&!t.abstract){for(;n.$options.abstract&&n.$parent;)n=n.$parent;n.$children.push(e)}e.$parent=n,e.$root=n?n.$root:e,e.$children=[],e.$refs={},e._watcher=null,e._inactive=null,e._directInactive=!1,e._isMounted=!1,e._isDestroyed=!1,e._isBeingDestroyed=!1}(t),function(e){e._events=Object.create(null),e._hasHookEvent=!1;var t=e.$options._parentListeners;t&&Gt(e,t)}(t),function(e){e._vnode=null,e._staticTrees=null;var t=e.$options,n=e.$vnode=t._parentVnode,i=n&&n.context;e.$slots=vt(t._renderChildren,i),e.$scopedSlots=r,e._c=function(t,n,r,i){return Bt(e,t,n,r,i,!1)},e.$createElement=function(t,n,r,i){return Bt(e,t,n,r,i,!0)};var o=n&&n.data;Se(e,"$attrs",o&&o.attrs||r,null,!0),Se(e,"$listeners",t._parentListeners||r,null,!0)}(t),tn(t,"beforeCreate"),function(e){var t=dt(e.$options.inject,e);t&&(ke(!1),Object.keys(t).forEach((function(n){Se(e,n,t[n])})),ke(!0))}(t),yn(t),function(e){var t=e.$options.provide;t&&(e._provided="function"==typeof t?t.call(e):t)}(t),tn(t,"created"),t.$options.el&&t.$mount(t.$options.el)}}(kn),function(e){var t={get:function(){return this._data}},n={get:function(){return this._props}};Object.defineProperty(e.prototype,"$data",t),Object.defineProperty(e.prototype,"$props",n),e.prototype.$set=Te,e.prototype.$delete=Ee,e.prototype.$watch=function(e,t,n){if(l(t))return wn(this,e,t,n);(n=n||{}).user=!0;var r=new vn(this,e,t,n);if(n.immediate)try{t.call(this,r.value)}catch(e){Ve(e,this,'callback for immediate watcher "'+r.expression+'"')}return function(){r.teardown()}}}(kn),function(e){var t=/^hook:/;e.prototype.$on=function(e,n){var r=this;if(Array.isArray(e))for(var i=0,o=e.length;i<o;i++)r.$on(e[i],n);else(r._events[e]||(r._events[e]=[])).push(n),t.test(e)&&(r._hasHookEvent=!0);return r},e.prototype.$once=function(e,t){var n=this;function r(){n.$off(e,r),t.apply(n,arguments)}return r.fn=t,n.$on(e,r),n},e.prototype.$off=function(e,t){var n=this;if(!arguments.length)return n._events=Object.create(null),n;if(Array.isArray(e)){for(var r=0,i=e.length;r<i;r++)n.$off(e[r],t);return n}var o,a=n._events[e];if(!a)return n;if(!t)return n._events[e]=null,n;for(var s=a.length;s--;)if((o=a[s])===t||o.fn===t){a.splice(s,1);break}return n},e.prototype.$emit=function(e){var t=this,n=t._events[e];if(n){n=n.length>1?T(n):n;for(var r=T(arguments,1),i='event handler for "'+e+'"',o=0,a=n.length;o<a;o++)Ke(n[o],t,r,t,i)}return t}}(kn),function(e){e.prototype._update=function(e,t){var n=this,r=n.$el,i=n._vnode,o=Yt(n);n._vnode=e,n.$el=i?n.__patch__(i,e):n.__patch__(n.$el,e,t,!1),o(),r&&(r.__vue__=null),n.$el&&(n.$el.__vue__=n),n.$vnode&&n.$parent&&n.$vnode===n.$parent._vnode&&(n.$parent.$el=n.$el)},e.prototype.$forceUpdate=function(){this._watcher&&this._watcher.update()},e.prototype.$destroy=function(){var e=this;if(!e._isBeingDestroyed){tn(e,"beforeDestroy"),e._isBeingDestroyed=!0;var t=e.$parent;!t||t._isBeingDestroyed||e.$options.abstract||_(t.$children,e),e._watcher&&e._watcher.teardown();for(var n=e._watchers.length;n--;)e._watchers[n].teardown();e._data.__ob__&&e._data.__ob__.vmCount--,e._isDestroyed=!0,e.__patch__(e._vnode,null),tn(e,"destroyed"),e.$off(),e.$el&&(e.$el.__vue__=null),e.$vnode&&(e.$vnode.parent=null)}}}(kn),function(e){Nt(e.prototype),e.prototype.$nextTick=function(e){return rt(e,this)},e.prototype._render=function(){var e,t=this,n=t.$options,r=n.render,i=n._parentVnode;i&&(t.$scopedSlots=mt(i.data.scopedSlots,t.$slots,t.$scopedSlots)),t.$vnode=i;try{zt=t,e=r.call(t._renderProxy,t.$createElement)}catch(n){Ve(n,t,"render"),e=t._vnode}finally{zt=null}return Array.isArray(e)&&1===e.length&&(e=e[0]),e instanceof me||(e=ge()),e.parent=i,e}}(kn);var In=[String,RegExp,Array],jn={KeepAlive:{name:"keep-alive",abstract:!0,props:{include:In,exclude:In,max:[String,Number]},created:function(){this.cache=Object.create(null),this.keys=[]},destroyed:function(){for(var e in this.cache)En(this.cache,e,this.keys)},mounted:function(){var e=this;this.$watch("include",(function(t){Tn(e,(function(e){return Sn(t,e)}))})),this.$watch("exclude",(function(t){Tn(e,(function(e){return!Sn(t,e)}))}))},render:function(){var e=this.$slots.default,t=Jt(e),n=t&&t.componentOptions;if(n){var r=On(n),i=this.include,o=this.exclude;if(i&&(!r||!Sn(i,r))||o&&r&&Sn(o,r))return t;var a=this.cache,s=this.keys,c=null==t.key?n.Ctor.cid+(n.tag?"::"+n.tag:""):t.key;a[c]?(t.componentInstance=a[c].componentInstance,_(s,c),s.push(c)):(a[c]=t,s.push(c),this.max&&s.length>parseInt(this.max)&&En(a,s[0],s,this._vnode)),t.data.keepAlive=!0}return t||e&&e[0]}}};!function(e){var t={get:function(){return H}};Object.defineProperty(e,"config",t),e.util={warn:le,extend:E,mergeOptions:Pe,defineReactive:Se},e.set=Te,e.delete=Ee,e.nextTick=rt,e.observable=function(e){return Oe(e),e},e.options=Object.create(null),P.forEach((function(t){e.options[t+"s"]=Object.create(null)})),e.options._base=e,E(e.options.components,jn),function(e){e.use=function(e){var t=this._installedPlugins||(this._installedPlugins=[]);if(t.indexOf(e)>-1)return this;var n=T(arguments,1);return n.unshift(this),"function"==typeof e.install?e.install.apply(e,n):"function"==typeof e&&e.apply(null,n),t.push(e),this}}(e),function(e){e.mixin=function(e){return this.options=Pe(this.options,e),this}}(e),An(e),function(e){P.forEach((function(t){e[t]=function(e,n){return n?("component"===t&&l(n)&&(n.name=n.name||e,n=this.options._base.extend(n)),"directive"===t&&"function"==typeof n&&(n={bind:n,update:n}),this.options[t+"s"][e]=n,n):this.options[t+"s"][e]}}))}(e)}(kn),Object.defineProperty(kn.prototype,"$isServer",{get:oe}),Object.defineProperty(kn.prototype,"$ssrContext",{get:function(){return this.$vnode&&this.$vnode.ssrContext}}),Object.defineProperty(kn,"FunctionalRenderContext",{value:Mt}),kn.version="2.6.11";var Nn=m("style,class"),Mn=m("input,textarea,option,select,progress"),Dn=function(e,t,n){return"value"===n&&Mn(e)&&"button"!==t||"selected"===n&&"option"===e||"checked"===n&&"input"===e||"muted"===n&&"video"===e},Ln=m("contenteditable,draggable,spellcheck"),Fn=m("events,caret,typing,plaintext-only"),Pn=m("allowfullscreen,async,autofocus,autoplay,checked,compact,controls,declare,default,defaultchecked,defaultmuted,defaultselected,defer,disabled,enabled,formnovalidate,hidden,indeterminate,inert,ismap,itemscope,loop,multiple,muted,nohref,noresize,noshade,novalidate,nowrap,open,pauseonexit,readonly,required,reversed,scoped,seamless,selected,sortable,translate,truespeed,typemustmatch,visible"),Rn="http://www.w3.org/1999/xlink",Hn=function(e){return":"===e.charAt(5)&&"xlink"===e.slice(0,5)},Bn=function(e){return Hn(e)?e.slice(6,e.length):""},Un=function(e){return null==e||!1===e};function zn(e){for(var t=e.data,n=e,r=e;o(r.componentInstance);)(r=r.componentInstance._vnode)&&r.data&&(t=Vn(r.data,t));for(;o(n=n.parent);)n&&n.data&&(t=Vn(t,n.data));return function(e,t){if(o(e)||o(t))return Kn(e,Jn(t));return""}(t.staticClass,t.class)}function Vn(e,t){return{staticClass:Kn(e.staticClass,t.staticClass),class:o(e.class)?[e.class,t.class]:t.class}}function Kn(e,t){return e?t?e+" "+t:e:t||""}function Jn(e){return Array.isArray(e)?function(e){for(var t,n="",r=0,i=e.length;r<i;r++)o(t=Jn(e[r]))&&""!==t&&(n&&(n+=" "),n+=t);return n}(e):c(e)?function(e){var t="";for(var n in e)e[n]&&(t&&(t+=" "),t+=n);return t}(e):"string"==typeof e?e:""}var Wn={svg:"http://www.w3.org/2000/svg",math:"http://www.w3.org/1998/Math/MathML"},qn=m("html,body,base,head,link,meta,style,title,address,article,aside,footer,header,h1,h2,h3,h4,h5,h6,hgroup,nav,section,div,dd,dl,dt,figcaption,figure,picture,hr,img,li,main,ol,p,pre,ul,a,b,abbr,bdi,bdo,br,cite,code,data,dfn,em,i,kbd,mark,q,rp,rt,rtc,ruby,s,samp,small,span,strong,sub,sup,time,u,var,wbr,area,audio,map,track,video,embed,object,param,source,canvas,script,noscript,del,ins,caption,col,colgroup,table,thead,tbody,td,th,tr,button,datalist,fieldset,form,input,label,legend,meter,optgroup,option,output,progress,select,textarea,details,dialog,menu,menuitem,summary,content,element,shadow,template,blockquote,iframe,tfoot"),Zn=m("svg,animate,circle,clippath,cursor,defs,desc,ellipse,filter,font-face,foreignObject,g,glyph,image,line,marker,mask,missing-glyph,path,pattern,polygon,polyline,rect,switch,symbol,text,textpath,tspan,use,view",!0),Gn=function(e){return qn(e)||Zn(e)};function Xn(e){return Zn(e)?"svg":"math"===e?"math":void 0}var Yn=Object.create(null);var Qn=m("text,number,password,search,email,tel,url");function er(e){if("string"==typeof e){var t=document.querySelector(e);return t||document.createElement("div")}return e}var tr=Object.freeze({createElement:function(e,t){var n=document.createElement(e);return"select"!==e||t.data&&t.data.attrs&&void 0!==t.data.attrs.multiple&&n.setAttribute("multiple","multiple"),n},createElementNS:function(e,t){return document.createElementNS(Wn[e],t)},createTextNode:function(e){return document.createTextNode(e)},createComment:function(e){return document.createComment(e)},insertBefore:function(e,t,n){e.insertBefore(t,n)},removeChild:function(e,t){e.removeChild(t)},appendChild:function(e,t){e.appendChild(t)},parentNode:function(e){return e.parentNode},nextSibling:function(e){return e.nextSibling},tagName:function(e){return e.tagName},setTextContent:function(e,t){e.textContent=t},setStyleScope:function(e,t){e.setAttribute(t,"")}}),nr={create:function(e,t){rr(t)},update:function(e,t){e.data.ref!==t.data.ref&&(rr(e,!0),rr(t))},destroy:function(e){rr(e,!0)}};function rr(e,t){var n=e.data.ref;if(o(n)){var r=e.context,i=e.componentInstance||e.elm,a=r.$refs;t?Array.isArray(a[n])?_(a[n],i):a[n]===i&&(a[n]=void 0):e.data.refInFor?Array.isArray(a[n])?a[n].indexOf(i)<0&&a[n].push(i):a[n]=[i]:a[n]=i}}var ir=new me("",{},[]),or=["create","activate","update","remove","destroy"];function ar(e,t){return e.key===t.key&&(e.tag===t.tag&&e.isComment===t.isComment&&o(e.data)===o(t.data)&&function(e,t){if("input"!==e.tag)return!0;var n,r=o(n=e.data)&&o(n=n.attrs)&&n.type,i=o(n=t.data)&&o(n=n.attrs)&&n.type;return r===i||Qn(r)&&Qn(i)}(e,t)||a(e.isAsyncPlaceholder)&&e.asyncFactory===t.asyncFactory&&i(t.asyncFactory.error))}function sr(e,t,n){var r,i,a={};for(r=t;r<=n;++r)o(i=e[r].key)&&(a[i]=r);return a}var cr={create:ur,update:ur,destroy:function(e){ur(e,ir)}};function ur(e,t){(e.data.directives||t.data.directives)&&function(e,t){var n,r,i,o=e===ir,a=t===ir,s=fr(e.data.directives,e.context),c=fr(t.data.directives,t.context),u=[],l=[];for(n in c)r=s[n],i=c[n],r?(i.oldValue=r.value,i.oldArg=r.arg,dr(i,"update",t,e),i.def&&i.def.componentUpdated&&l.push(i)):(dr(i,"bind",t,e),i.def&&i.def.inserted&&u.push(i));if(u.length){var f=function(){for(var n=0;n<u.length;n++)dr(u[n],"inserted",t,e)};o?ut(t,"insert",f):f()}l.length&&ut(t,"postpatch",(function(){for(var n=0;n<l.length;n++)dr(l[n],"componentUpdated",t,e)}));if(!o)for(n in s)c[n]||dr(s[n],"unbind",e,e,a)}(e,t)}var lr=Object.create(null);function fr(e,t){var n,r,i=Object.create(null);if(!e)return i;for(n=0;n<e.length;n++)(r=e[n]).modifiers||(r.modifiers=lr),i[pr(r)]=r,r.def=Re(t.$options,"directives",r.name);return i}function pr(e){return e.rawName||e.name+"."+Object.keys(e.modifiers||{}).join(".")}function dr(e,t,n,r,i){var o=e.def&&e.def[t];if(o)try{o(n.elm,e,n,r,i)}catch(r){Ve(r,n.context,"directive "+e.name+" "+t+" hook")}}var vr=[nr,cr];function hr(e,t){var n=t.componentOptions;if(!(o(n)&&!1===n.Ctor.options.inheritAttrs||i(e.data.attrs)&&i(t.data.attrs))){var r,a,s=t.elm,c=e.data.attrs||{},u=t.data.attrs||{};for(r in o(u.__ob__)&&(u=t.data.attrs=E({},u)),u)a=u[r],c[r]!==a&&mr(s,r,a);for(r in(X||Q)&&u.value!==c.value&&mr(s,"value",u.value),c)i(u[r])&&(Hn(r)?s.removeAttributeNS(Rn,Bn(r)):Ln(r)||s.removeAttribute(r))}}function mr(e,t,n){e.tagName.indexOf("-")>-1?yr(e,t,n):Pn(t)?Un(n)?e.removeAttribute(t):(n="allowfullscreen"===t&&"EMBED"===e.tagName?"true":t,e.setAttribute(t,n)):Ln(t)?e.setAttribute(t,function(e,t){return Un(t)||"false"===t?"false":"contenteditable"===e&&Fn(t)?t:"true"}(t,n)):Hn(t)?Un(n)?e.removeAttributeNS(Rn,Bn(t)):e.setAttributeNS(Rn,t,n):yr(e,t,n)}function yr(e,t,n){if(Un(n))e.removeAttribute(t);else{if(X&&!Y&&"TEXTAREA"===e.tagName&&"placeholder"===t&&""!==n&&!e.__ieph){var r=function(t){t.stopImmediatePropagation(),e.removeEventListener("input",r)};e.addEventListener("input",r),e.__ieph=!0}e.setAttribute(t,n)}}var gr={create:hr,update:hr};function _r(e,t){var n=t.elm,r=t.data,a=e.data;if(!(i(r.staticClass)&&i(r.class)&&(i(a)||i(a.staticClass)&&i(a.class)))){var s=zn(t),c=n._transitionClasses;o(c)&&(s=Kn(s,Jn(c))),s!==n._prevClass&&(n.setAttribute("class",s),n._prevClass=s)}}var br,$r,wr,Cr,xr,kr,Ar={create:_r,update:_r},Or=/[\w).+\-_$\]]/;function Sr(e){var t,n,r,i,o,a=!1,s=!1,c=!1,u=!1,l=0,f=0,p=0,d=0;for(r=0;r<e.length;r++)if(n=t,t=e.charCodeAt(r),a)39===t&&92!==n&&(a=!1);else if(s)34===t&&92!==n&&(s=!1);else if(c)96===t&&92!==n&&(c=!1);else if(u)47===t&&92!==n&&(u=!1);else if(124!==t||124===e.charCodeAt(r+1)||124===e.charCodeAt(r-1)||l||f||p){switch(t){case 34:s=!0;break;case 39:a=!0;break;case 96:c=!0;break;case 40:p++;break;case 41:p--;break;case 91:f++;break;case 93:f--;break;case 123:l++;break;case 125:l--}if(47===t){for(var v=r-1,h=void 0;v>=0&&" "===(h=e.charAt(v));v--);h&&Or.test(h)||(u=!0)}}else void 0===i?(d=r+1,i=e.slice(0,r).trim()):m();function m(){(o||(o=[])).push(e.slice(d,r).trim()),d=r+1}if(void 0===i?i=e.slice(0,r).trim():0!==d&&m(),o)for(r=0;r<o.length;r++)i=Tr(i,o[r]);return i}function Tr(e,t){var n=t.indexOf("(");if(n<0)return'_f("'+t+'")('+e+")";var r=t.slice(0,n),i=t.slice(n+1);return'_f("'+r+'")('+e+(")"!==i?","+i:i)}function Er(e,t){console.error("[Vue compiler]: "+e)}function Ir(e,t){return e?e.map((function(e){return e[t]})).filter((function(e){return e})):[]}function jr(e,t,n,r,i){(e.props||(e.props=[])).push(Br({name:t,value:n,dynamic:i},r)),e.plain=!1}function Nr(e,t,n,r,i){(i?e.dynamicAttrs||(e.dynamicAttrs=[]):e.attrs||(e.attrs=[])).push(Br({name:t,value:n,dynamic:i},r)),e.plain=!1}function Mr(e,t,n,r){e.attrsMap[t]=n,e.attrsList.push(Br({name:t,value:n},r))}function Dr(e,t,n,r,i,o,a,s){(e.directives||(e.directives=[])).push(Br({name:t,rawName:n,value:r,arg:i,isDynamicArg:o,modifiers:a},s)),e.plain=!1}function Lr(e,t,n){return n?"_p("+t+',"'+e+'")':e+t}function Fr(e,t,n,i,o,a,s,c){var u;(i=i||r).right?c?t="("+t+")==='click'?'contextmenu':("+t+")":"click"===t&&(t="contextmenu",delete i.right):i.middle&&(c?t="("+t+")==='click'?'mouseup':("+t+")":"click"===t&&(t="mouseup")),i.capture&&(delete i.capture,t=Lr("!",t,c)),i.once&&(delete i.once,t=Lr("~",t,c)),i.passive&&(delete i.passive,t=Lr("&",t,c)),i.native?(delete i.native,u=e.nativeEvents||(e.nativeEvents={})):u=e.events||(e.events={});var l=Br({value:n.trim(),dynamic:c},s);i!==r&&(l.modifiers=i);var f=u[t];Array.isArray(f)?o?f.unshift(l):f.push(l):u[t]=f?o?[l,f]:[f,l]:l,e.plain=!1}function Pr(e,t,n){var r=Rr(e,":"+t)||Rr(e,"v-bind:"+t);if(null!=r)return Sr(r);if(!1!==n){var i=Rr(e,t);if(null!=i)return JSON.stringify(i)}}function Rr(e,t,n){var r;if(null!=(r=e.attrsMap[t]))for(var i=e.attrsList,o=0,a=i.length;o<a;o++)if(i[o].name===t){i.splice(o,1);break}return n&&delete e.attrsMap[t],r}function Hr(e,t){for(var n=e.attrsList,r=0,i=n.length;r<i;r++){var o=n[r];if(t.test(o.name))return n.splice(r,1),o}}function Br(e,t){return t&&(null!=t.start&&(e.start=t.start),null!=t.end&&(e.end=t.end)),e}function Ur(e,t,n){var r=n||{},i=r.number,o="$$v";r.trim&&(o="(typeof $$v === 'string'? $$v.trim(): $$v)"),i&&(o="_n("+o+")");var a=zr(t,o);e.model={value:"("+t+")",expression:JSON.stringify(t),callback:"function ($$v) {"+a+"}"}}function zr(e,t){var n=function(e){if(e=e.trim(),br=e.length,e.indexOf("[")<0||e.lastIndexOf("]")<br-1)return(Cr=e.lastIndexOf("."))>-1?{exp:e.slice(0,Cr),key:'"'+e.slice(Cr+1)+'"'}:{exp:e,key:null};$r=e,Cr=xr=kr=0;for(;!Kr();)Jr(wr=Vr())?qr(wr):91===wr&&Wr(wr);return{exp:e.slice(0,xr),key:e.slice(xr+1,kr)}}(e);return null===n.key?e+"="+t:"$set("+n.exp+", "+n.key+", "+t+")"}function Vr(){return $r.charCodeAt(++Cr)}function Kr(){return Cr>=br}function Jr(e){return 34===e||39===e}function Wr(e){var t=1;for(xr=Cr;!Kr();)if(Jr(e=Vr()))qr(e);else if(91===e&&t++,93===e&&t--,0===t){kr=Cr;break}}function qr(e){for(var t=e;!Kr()&&(e=Vr())!==t;);}var Zr;function Gr(e,t,n){var r=Zr;return function i(){var o=t.apply(null,arguments);null!==o&&Qr(e,i,n,r)}}var Xr=Ze&&!(te&&Number(te[1])<=53);function Yr(e,t,n,r){if(Xr){var i=un,o=t;t=o._wrapper=function(e){if(e.target===e.currentTarget||e.timeStamp>=i||e.timeStamp<=0||e.target.ownerDocument!==document)return o.apply(this,arguments)}}Zr.addEventListener(e,t,re?{capture:n,passive:r}:n)}function Qr(e,t,n,r){(r||Zr).removeEventListener(e,t._wrapper||t,n)}function ei(e,t){if(!i(e.data.on)||!i(t.data.on)){var n=t.data.on||{},r=e.data.on||{};Zr=t.elm,function(e){if(o(e.__r)){var t=X?"change":"input";e[t]=[].concat(e.__r,e[t]||[]),delete e.__r}o(e.__c)&&(e.change=[].concat(e.__c,e.change||[]),delete e.__c)}(n),ct(n,r,Yr,Qr,Gr,t.context),Zr=void 0}}var ti,ni={create:ei,update:ei};function ri(e,t){if(!i(e.data.domProps)||!i(t.data.domProps)){var n,r,a=t.elm,s=e.data.domProps||{},c=t.data.domProps||{};for(n in o(c.__ob__)&&(c=t.data.domProps=E({},c)),s)n in c||(a[n]="");for(n in c){if(r=c[n],"textContent"===n||"innerHTML"===n){if(t.children&&(t.children.length=0),r===s[n])continue;1===a.childNodes.length&&a.removeChild(a.childNodes[0])}if("value"===n&&"PROGRESS"!==a.tagName){a._value=r;var u=i(r)?"":String(r);ii(a,u)&&(a.value=u)}else if("innerHTML"===n&&Zn(a.tagName)&&i(a.innerHTML)){(ti=ti||document.createElement("div")).innerHTML="<svg>"+r+"</svg>";for(var l=ti.firstChild;a.firstChild;)a.removeChild(a.firstChild);for(;l.firstChild;)a.appendChild(l.firstChild)}else if(r!==s[n])try{a[n]=r}catch(e){}}}}function ii(e,t){return!e.composing&&("OPTION"===e.tagName||function(e,t){var n=!0;try{n=document.activeElement!==e}catch(e){}return n&&e.value!==t}(e,t)||function(e,t){var n=e.value,r=e._vModifiers;if(o(r)){if(r.number)return h(n)!==h(t);if(r.trim)return n.trim()!==t.trim()}return n!==t}(e,t))}var oi={create:ri,update:ri},ai=w((function(e){var t={},n=/:(.+)/;return e.split(/;(?![^(]*\))/g).forEach((function(e){if(e){var r=e.split(n);r.length>1&&(t[r[0].trim()]=r[1].trim())}})),t}));function si(e){var t=ci(e.style);return e.staticStyle?E(e.staticStyle,t):t}function ci(e){return Array.isArray(e)?I(e):"string"==typeof e?ai(e):e}var ui,li=/^--/,fi=/\s*!important$/,pi=function(e,t,n){if(li.test(t))e.style.setProperty(t,n);else if(fi.test(n))e.style.setProperty(O(t),n.replace(fi,""),"important");else{var r=vi(t);if(Array.isArray(n))for(var i=0,o=n.length;i<o;i++)e.style[r]=n[i];else e.style[r]=n}},di=["Webkit","Moz","ms"],vi=w((function(e){if(ui=ui||document.createElement("div").style,"filter"!==(e=x(e))&&e in ui)return e;for(var t=e.charAt(0).toUpperCase()+e.slice(1),n=0;n<di.length;n++){var r=di[n]+t;if(r in ui)return r}}));function hi(e,t){var n=t.data,r=e.data;if(!(i(n.staticStyle)&&i(n.style)&&i(r.staticStyle)&&i(r.style))){var a,s,c=t.elm,u=r.staticStyle,l=r.normalizedStyle||r.style||{},f=u||l,p=ci(t.data.style)||{};t.data.normalizedStyle=o(p.__ob__)?E({},p):p;var d=function(e,t){var n,r={};if(t)for(var i=e;i.componentInstance;)(i=i.componentInstance._vnode)&&i.data&&(n=si(i.data))&&E(r,n);(n=si(e.data))&&E(r,n);for(var o=e;o=o.parent;)o.data&&(n=si(o.data))&&E(r,n);return r}(t,!0);for(s in f)i(d[s])&&pi(c,s,"");for(s in d)(a=d[s])!==f[s]&&pi(c,s,null==a?"":a)}}var mi={create:hi,update:hi},yi=/\s+/;function gi(e,t){if(t&&(t=t.trim()))if(e.classList)t.indexOf(" ")>-1?t.split(yi).forEach((function(t){return e.classList.add(t)})):e.classList.add(t);else{var n=" "+(e.getAttribute("class")||"")+" ";n.indexOf(" "+t+" ")<0&&e.setAttribute("class",(n+t).trim())}}function _i(e,t){if(t&&(t=t.trim()))if(e.classList)t.indexOf(" ")>-1?t.split(yi).forEach((function(t){return e.classList.remove(t)})):e.classList.remove(t),e.classList.length||e.removeAttribute("class");else{for(var n=" "+(e.getAttribute("class")||"")+" ",r=" "+t+" ";n.indexOf(r)>=0;)n=n.replace(r," ");(n=n.trim())?e.setAttribute("class",n):e.removeAttribute("class")}}function bi(e){if(e){if("object"==typeof e){var t={};return!1!==e.css&&E(t,$i(e.name||"v")),E(t,e),t}return"string"==typeof e?$i(e):void 0}}var $i=w((function(e){return{enterClass:e+"-enter",enterToClass:e+"-enter-to",enterActiveClass:e+"-enter-active",leaveClass:e+"-leave",leaveToClass:e+"-leave-to",leaveActiveClass:e+"-leave-active"}})),wi=W&&!Y,Ci="transition",xi="transitionend",ki="animation",Ai="animationend";wi&&(void 0===window.ontransitionend&&void 0!==window.onwebkittransitionend&&(Ci="WebkitTransition",xi="webkitTransitionEnd"),void 0===window.onanimationend&&void 0!==window.onwebkitanimationend&&(ki="WebkitAnimation",Ai="webkitAnimationEnd"));var Oi=W?window.requestAnimationFrame?window.requestAnimationFrame.bind(window):setTimeout:function(e){return e()};function Si(e){Oi((function(){Oi(e)}))}function Ti(e,t){var n=e._transitionClasses||(e._transitionClasses=[]);n.indexOf(t)<0&&(n.push(t),gi(e,t))}function Ei(e,t){e._transitionClasses&&_(e._transitionClasses,t),_i(e,t)}function Ii(e,t,n){var r=Ni(e,t),i=r.type,o=r.timeout,a=r.propCount;if(!i)return n();var s="transition"===i?xi:Ai,c=0,u=function(){e.removeEventListener(s,l),n()},l=function(t){t.target===e&&++c>=a&&u()};setTimeout((function(){c<a&&u()}),o+1),e.addEventListener(s,l)}var ji=/\b(transform|all)(,|$)/;function Ni(e,t){var n,r=window.getComputedStyle(e),i=(r[Ci+"Delay"]||"").split(", "),o=(r[Ci+"Duration"]||"").split(", "),a=Mi(i,o),s=(r[ki+"Delay"]||"").split(", "),c=(r[ki+"Duration"]||"").split(", "),u=Mi(s,c),l=0,f=0;return"transition"===t?a>0&&(n="transition",l=a,f=o.length):"animation"===t?u>0&&(n="animation",l=u,f=c.length):f=(n=(l=Math.max(a,u))>0?a>u?"transition":"animation":null)?"transition"===n?o.length:c.length:0,{type:n,timeout:l,propCount:f,hasTransform:"transition"===n&&ji.test(r[Ci+"Property"])}}function Mi(e,t){for(;e.length<t.length;)e=e.concat(e);return Math.max.apply(null,t.map((function(t,n){return Di(t)+Di(e[n])})))}function Di(e){return 1e3*Number(e.slice(0,-1).replace(",","."))}function Li(e,t){var n=e.elm;o(n._leaveCb)&&(n._leaveCb.cancelled=!0,n._leaveCb());var r=bi(e.data.transition);if(!i(r)&&!o(n._enterCb)&&1===n.nodeType){for(var a=r.css,s=r.type,u=r.enterClass,l=r.enterToClass,f=r.enterActiveClass,p=r.appearClass,d=r.appearToClass,v=r.appearActiveClass,m=r.beforeEnter,y=r.enter,g=r.afterEnter,_=r.enterCancelled,b=r.beforeAppear,$=r.appear,w=r.afterAppear,C=r.appearCancelled,x=r.duration,k=Xt,A=Xt.$vnode;A&&A.parent;)k=A.context,A=A.parent;var O=!k._isMounted||!e.isRootInsert;if(!O||$||""===$){var S=O&&p?p:u,T=O&&v?v:f,E=O&&d?d:l,I=O&&b||m,j=O&&"function"==typeof $?$:y,N=O&&w||g,M=O&&C||_,D=h(c(x)?x.enter:x);0;var L=!1!==a&&!Y,P=Ri(j),R=n._enterCb=F((function(){L&&(Ei(n,E),Ei(n,T)),R.cancelled?(L&&Ei(n,S),M&&M(n)):N&&N(n),n._enterCb=null}));e.data.show||ut(e,"insert",(function(){var t=n.parentNode,r=t&&t._pending&&t._pending[e.key];r&&r.tag===e.tag&&r.elm._leaveCb&&r.elm._leaveCb(),j&&j(n,R)})),I&&I(n),L&&(Ti(n,S),Ti(n,T),Si((function(){Ei(n,S),R.cancelled||(Ti(n,E),P||(Pi(D)?setTimeout(R,D):Ii(n,s,R)))}))),e.data.show&&(t&&t(),j&&j(n,R)),L||P||R()}}}function Fi(e,t){var n=e.elm;o(n._enterCb)&&(n._enterCb.cancelled=!0,n._enterCb());var r=bi(e.data.transition);if(i(r)||1!==n.nodeType)return t();if(!o(n._leaveCb)){var a=r.css,s=r.type,u=r.leaveClass,l=r.leaveToClass,f=r.leaveActiveClass,p=r.beforeLeave,d=r.leave,v=r.afterLeave,m=r.leaveCancelled,y=r.delayLeave,g=r.duration,_=!1!==a&&!Y,b=Ri(d),$=h(c(g)?g.leave:g);0;var w=n._leaveCb=F((function(){n.parentNode&&n.parentNode._pending&&(n.parentNode._pending[e.key]=null),_&&(Ei(n,l),Ei(n,f)),w.cancelled?(_&&Ei(n,u),m&&m(n)):(t(),v&&v(n)),n._leaveCb=null}));y?y(C):C()}function C(){w.cancelled||(!e.data.show&&n.parentNode&&((n.parentNode._pending||(n.parentNode._pending={}))[e.key]=e),p&&p(n),_&&(Ti(n,u),Ti(n,f),Si((function(){Ei(n,u),w.cancelled||(Ti(n,l),b||(Pi($)?setTimeout(w,$):Ii(n,s,w)))}))),d&&d(n,w),_||b||w())}}function Pi(e){return"number"==typeof e&&!isNaN(e)}function Ri(e){if(i(e))return!1;var t=e.fns;return o(t)?Ri(Array.isArray(t)?t[0]:t):(e._length||e.length)>1}function Hi(e,t){!0!==t.data.show&&Li(t)}var Bi=function(e){var t,n,r={},c=e.modules,u=e.nodeOps;for(t=0;t<or.length;++t)for(r[or[t]]=[],n=0;n<c.length;++n)o(c[n][or[t]])&&r[or[t]].push(c[n][or[t]]);function l(e){var t=u.parentNode(e);o(t)&&u.removeChild(t,e)}function f(e,t,n,i,s,c,l){if(o(e.elm)&&o(c)&&(e=c[l]=be(e)),e.isRootInsert=!s,!function(e,t,n,i){var s=e.data;if(o(s)){var c=o(e.componentInstance)&&s.keepAlive;if(o(s=s.hook)&&o(s=s.init)&&s(e,!1),o(e.componentInstance))return p(e,t),d(n,e.elm,i),a(c)&&function(e,t,n,i){var a,s=e;for(;s.componentInstance;)if(s=s.componentInstance._vnode,o(a=s.data)&&o(a=a.transition)){for(a=0;a<r.activate.length;++a)r.activate[a](ir,s);t.push(s);break}d(n,e.elm,i)}(e,t,n,i),!0}}(e,t,n,i)){var f=e.data,h=e.children,m=e.tag;o(m)?(e.elm=e.ns?u.createElementNS(e.ns,m):u.createElement(m,e),g(e),v(e,h,t),o(f)&&y(e,t),d(n,e.elm,i)):a(e.isComment)?(e.elm=u.createComment(e.text),d(n,e.elm,i)):(e.elm=u.createTextNode(e.text),d(n,e.elm,i))}}function p(e,t){o(e.data.pendingInsert)&&(t.push.apply(t,e.data.pendingInsert),e.data.pendingInsert=null),e.elm=e.componentInstance.$el,h(e)?(y(e,t),g(e)):(rr(e),t.push(e))}function d(e,t,n){o(e)&&(o(n)?u.parentNode(n)===e&&u.insertBefore(e,t,n):u.appendChild(e,t))}function v(e,t,n){if(Array.isArray(t)){0;for(var r=0;r<t.length;++r)f(t[r],n,e.elm,null,!0,t,r)}else s(e.text)&&u.appendChild(e.elm,u.createTextNode(String(e.text)))}function h(e){for(;e.componentInstance;)e=e.componentInstance._vnode;return o(e.tag)}function y(e,n){for(var i=0;i<r.create.length;++i)r.create[i](ir,e);o(t=e.data.hook)&&(o(t.create)&&t.create(ir,e),o(t.insert)&&n.push(e))}function g(e){var t;if(o(t=e.fnScopeId))u.setStyleScope(e.elm,t);else for(var n=e;n;)o(t=n.context)&&o(t=t.$options._scopeId)&&u.setStyleScope(e.elm,t),n=n.parent;o(t=Xt)&&t!==e.context&&t!==e.fnContext&&o(t=t.$options._scopeId)&&u.setStyleScope(e.elm,t)}function _(e,t,n,r,i,o){for(;r<=i;++r)f(n[r],o,e,t,!1,n,r)}function b(e){var t,n,i=e.data;if(o(i))for(o(t=i.hook)&&o(t=t.destroy)&&t(e),t=0;t<r.destroy.length;++t)r.destroy[t](e);if(o(t=e.children))for(n=0;n<e.children.length;++n)b(e.children[n])}function $(e,t,n){for(;t<=n;++t){var r=e[t];o(r)&&(o(r.tag)?(w(r),b(r)):l(r.elm))}}function w(e,t){if(o(t)||o(e.data)){var n,i=r.remove.length+1;for(o(t)?t.listeners+=i:t=function(e,t){function n(){0==--n.listeners&&l(e)}return n.listeners=t,n}(e.elm,i),o(n=e.componentInstance)&&o(n=n._vnode)&&o(n.data)&&w(n,t),n=0;n<r.remove.length;++n)r.remove[n](e,t);o(n=e.data.hook)&&o(n=n.remove)?n(e,t):t()}else l(e.elm)}function C(e,t,n,r){for(var i=n;i<r;i++){var a=t[i];if(o(a)&&ar(e,a))return i}}function x(e,t,n,s,c,l){if(e!==t){o(t.elm)&&o(s)&&(t=s[c]=be(t));var p=t.elm=e.elm;if(a(e.isAsyncPlaceholder))o(t.asyncFactory.resolved)?O(e.elm,t,n):t.isAsyncPlaceholder=!0;else if(a(t.isStatic)&&a(e.isStatic)&&t.key===e.key&&(a(t.isCloned)||a(t.isOnce)))t.componentInstance=e.componentInstance;else{var d,v=t.data;o(v)&&o(d=v.hook)&&o(d=d.prepatch)&&d(e,t);var m=e.children,y=t.children;if(o(v)&&h(t)){for(d=0;d<r.update.length;++d)r.update[d](e,t);o(d=v.hook)&&o(d=d.update)&&d(e,t)}i(t.text)?o(m)&&o(y)?m!==y&&function(e,t,n,r,a){var s,c,l,p=0,d=0,v=t.length-1,h=t[0],m=t[v],y=n.length-1,g=n[0],b=n[y],w=!a;for(0;p<=v&&d<=y;)i(h)?h=t[++p]:i(m)?m=t[--v]:ar(h,g)?(x(h,g,r,n,d),h=t[++p],g=n[++d]):ar(m,b)?(x(m,b,r,n,y),m=t[--v],b=n[--y]):ar(h,b)?(x(h,b,r,n,y),w&&u.insertBefore(e,h.elm,u.nextSibling(m.elm)),h=t[++p],b=n[--y]):ar(m,g)?(x(m,g,r,n,d),w&&u.insertBefore(e,m.elm,h.elm),m=t[--v],g=n[++d]):(i(s)&&(s=sr(t,p,v)),i(c=o(g.key)?s[g.key]:C(g,t,p,v))?f(g,r,e,h.elm,!1,n,d):ar(l=t[c],g)?(x(l,g,r,n,d),t[c]=void 0,w&&u.insertBefore(e,l.elm,h.elm)):f(g,r,e,h.elm,!1,n,d),g=n[++d]);p>v?_(e,i(n[y+1])?null:n[y+1].elm,n,d,y,r):d>y&&$(t,p,v)}(p,m,y,n,l):o(y)?(o(e.text)&&u.setTextContent(p,""),_(p,null,y,0,y.length-1,n)):o(m)?$(m,0,m.length-1):o(e.text)&&u.setTextContent(p,""):e.text!==t.text&&u.setTextContent(p,t.text),o(v)&&o(d=v.hook)&&o(d=d.postpatch)&&d(e,t)}}}function k(e,t,n){if(a(n)&&o(e.parent))e.parent.data.pendingInsert=t;else for(var r=0;r<t.length;++r)t[r].data.hook.insert(t[r])}var A=m("attrs,class,staticClass,staticStyle,key");function O(e,t,n,r){var i,s=t.tag,c=t.data,u=t.children;if(r=r||c&&c.pre,t.elm=e,a(t.isComment)&&o(t.asyncFactory))return t.isAsyncPlaceholder=!0,!0;if(o(c)&&(o(i=c.hook)&&o(i=i.init)&&i(t,!0),o(i=t.componentInstance)))return p(t,n),!0;if(o(s)){if(o(u))if(e.hasChildNodes())if(o(i=c)&&o(i=i.domProps)&&o(i=i.innerHTML)){if(i!==e.innerHTML)return!1}else{for(var l=!0,f=e.firstChild,d=0;d<u.length;d++){if(!f||!O(f,u[d],n,r)){l=!1;break}f=f.nextSibling}if(!l||f)return!1}else v(t,u,n);if(o(c)){var h=!1;for(var m in c)if(!A(m)){h=!0,y(t,n);break}!h&&c.class&&ot(c.class)}}else e.data!==t.text&&(e.data=t.text);return!0}return function(e,t,n,s){if(!i(t)){var c,l=!1,p=[];if(i(e))l=!0,f(t,p);else{var d=o(e.nodeType);if(!d&&ar(e,t))x(e,t,p,null,null,s);else{if(d){if(1===e.nodeType&&e.hasAttribute("data-server-rendered")&&(e.removeAttribute("data-server-rendered"),n=!0),a(n)&&O(e,t,p))return k(t,p,!0),e;c=e,e=new me(u.tagName(c).toLowerCase(),{},[],void 0,c)}var v=e.elm,m=u.parentNode(v);if(f(t,p,v._leaveCb?null:m,u.nextSibling(v)),o(t.parent))for(var y=t.parent,g=h(t);y;){for(var _=0;_<r.destroy.length;++_)r.destroy[_](y);if(y.elm=t.elm,g){for(var w=0;w<r.create.length;++w)r.create[w](ir,y);var C=y.data.hook.insert;if(C.merged)for(var A=1;A<C.fns.length;A++)C.fns[A]()}else rr(y);y=y.parent}o(m)?$([e],0,0):o(e.tag)&&b(e)}}return k(t,p,l),t.elm}o(e)&&b(e)}}({nodeOps:tr,modules:[gr,Ar,ni,oi,mi,W?{create:Hi,activate:Hi,remove:function(e,t){!0!==e.data.show?Fi(e,t):t()}}:{}].concat(vr)});Y&&document.addEventListener("selectionchange",(function(){var e=document.activeElement;e&&e.vmodel&&Zi(e,"input")}));var Ui={inserted:function(e,t,n,r){"select"===n.tag?(r.elm&&!r.elm._vOptions?ut(n,"postpatch",(function(){Ui.componentUpdated(e,t,n)})):zi(e,t,n.context),e._vOptions=[].map.call(e.options,Ji)):("textarea"===n.tag||Qn(e.type))&&(e._vModifiers=t.modifiers,t.modifiers.lazy||(e.addEventListener("compositionstart",Wi),e.addEventListener("compositionend",qi),e.addEventListener("change",qi),Y&&(e.vmodel=!0)))},componentUpdated:function(e,t,n){if("select"===n.tag){zi(e,t,n.context);var r=e._vOptions,i=e._vOptions=[].map.call(e.options,Ji);if(i.some((function(e,t){return!D(e,r[t])})))(e.multiple?t.value.some((function(e){return Ki(e,i)})):t.value!==t.oldValue&&Ki(t.value,i))&&Zi(e,"change")}}};function zi(e,t,n){Vi(e,t,n),(X||Q)&&setTimeout((function(){Vi(e,t,n)}),0)}function Vi(e,t,n){var r=t.value,i=e.multiple;if(!i||Array.isArray(r)){for(var o,a,s=0,c=e.options.length;s<c;s++)if(a=e.options[s],i)o=L(r,Ji(a))>-1,a.selected!==o&&(a.selected=o);else if(D(Ji(a),r))return void(e.selectedIndex!==s&&(e.selectedIndex=s));i||(e.selectedIndex=-1)}}function Ki(e,t){return t.every((function(t){return!D(t,e)}))}function Ji(e){return"_value"in e?e._value:e.value}function Wi(e){e.target.composing=!0}function qi(e){e.target.composing&&(e.target.composing=!1,Zi(e.target,"input"))}function Zi(e,t){var n=document.createEvent("HTMLEvents");n.initEvent(t,!0,!0),e.dispatchEvent(n)}function Gi(e){return!e.componentInstance||e.data&&e.data.transition?e:Gi(e.componentInstance._vnode)}var Xi={model:Ui,show:{bind:function(e,t,n){var r=t.value,i=(n=Gi(n)).data&&n.data.transition,o=e.__vOriginalDisplay="none"===e.style.display?"":e.style.display;r&&i?(n.data.show=!0,Li(n,(function(){e.style.display=o}))):e.style.display=r?o:"none"},update:function(e,t,n){var r=t.value;!r!=!t.oldValue&&((n=Gi(n)).data&&n.data.transition?(n.data.show=!0,r?Li(n,(function(){e.style.display=e.__vOriginalDisplay})):Fi(n,(function(){e.style.display="none"}))):e.style.display=r?e.__vOriginalDisplay:"none")},unbind:function(e,t,n,r,i){i||(e.style.display=e.__vOriginalDisplay)}}},Yi={name:String,appear:Boolean,css:Boolean,mode:String,type:String,enterClass:String,leaveClass:String,enterToClass:String,leaveToClass:String,enterActiveClass:String,leaveActiveClass:String,appearClass:String,appearActiveClass:String,appearToClass:String,duration:[Number,String,Object]};function Qi(e){var t=e&&e.componentOptions;return t&&t.Ctor.options.abstract?Qi(Jt(t.children)):e}function eo(e){var t={},n=e.$options;for(var r in n.propsData)t[r]=e[r];var i=n._parentListeners;for(var o in i)t[x(o)]=i[o];return t}function to(e,t){if(/\d-keep-alive$/.test(t.tag))return e("keep-alive",{props:t.componentOptions.propsData})}var no=function(e){return e.tag||Kt(e)},ro=function(e){return"show"===e.name},io={name:"transition",props:Yi,abstract:!0,render:function(e){var t=this,n=this.$slots.default;if(n&&(n=n.filter(no)).length){0;var r=this.mode;0;var i=n[0];if(function(e){for(;e=e.parent;)if(e.data.transition)return!0}(this.$vnode))return i;var o=Qi(i);if(!o)return i;if(this._leaving)return to(e,i);var a="__transition-"+this._uid+"-";o.key=null==o.key?o.isComment?a+"comment":a+o.tag:s(o.key)?0===String(o.key).indexOf(a)?o.key:a+o.key:o.key;var c=(o.data||(o.data={})).transition=eo(this),u=this._vnode,l=Qi(u);if(o.data.directives&&o.data.directives.some(ro)&&(o.data.show=!0),l&&l.data&&!function(e,t){return t.key===e.key&&t.tag===e.tag}(o,l)&&!Kt(l)&&(!l.componentInstance||!l.componentInstance._vnode.isComment)){var f=l.data.transition=E({},c);if("out-in"===r)return this._leaving=!0,ut(f,"afterLeave",(function(){t._leaving=!1,t.$forceUpdate()})),to(e,i);if("in-out"===r){if(Kt(o))return u;var p,d=function(){p()};ut(c,"afterEnter",d),ut(c,"enterCancelled",d),ut(f,"delayLeave",(function(e){p=e}))}}return i}}},oo=E({tag:String,moveClass:String},Yi);function ao(e){e.elm._moveCb&&e.elm._moveCb(),e.elm._enterCb&&e.elm._enterCb()}function so(e){e.data.newPos=e.elm.getBoundingClientRect()}function co(e){var t=e.data.pos,n=e.data.newPos,r=t.left-n.left,i=t.top-n.top;if(r||i){e.data.moved=!0;var o=e.elm.style;o.transform=o.WebkitTransform="translate("+r+"px,"+i+"px)",o.transitionDuration="0s"}}delete oo.mode;var uo={Transition:io,TransitionGroup:{props:oo,beforeMount:function(){var e=this,t=this._update;this._update=function(n,r){var i=Yt(e);e.__patch__(e._vnode,e.kept,!1,!0),e._vnode=e.kept,i(),t.call(e,n,r)}},render:function(e){for(var t=this.tag||this.$vnode.data.tag||"span",n=Object.create(null),r=this.prevChildren=this.children,i=this.$slots.default||[],o=this.children=[],a=eo(this),s=0;s<i.length;s++){var c=i[s];if(c.tag)if(null!=c.key&&0!==String(c.key).indexOf("__vlist"))o.push(c),n[c.key]=c,(c.data||(c.data={})).transition=a;else;}if(r){for(var u=[],l=[],f=0;f<r.length;f++){var p=r[f];p.data.transition=a,p.data.pos=p.elm.getBoundingClientRect(),n[p.key]?u.push(p):l.push(p)}this.kept=e(t,null,u),this.removed=l}return e(t,null,o)},updated:function(){var e=this.prevChildren,t=this.moveClass||(this.name||"v")+"-move";e.length&&this.hasMove(e[0].elm,t)&&(e.forEach(ao),e.forEach(so),e.forEach(co),this._reflow=document.body.offsetHeight,e.forEach((function(e){if(e.data.moved){var n=e.elm,r=n.style;Ti(n,t),r.transform=r.WebkitTransform=r.transitionDuration="",n.addEventListener(xi,n._moveCb=function e(r){r&&r.target!==n||r&&!/transform$/.test(r.propertyName)||(n.removeEventListener(xi,e),n._moveCb=null,Ei(n,t))})}})))},methods:{hasMove:function(e,t){if(!wi)return!1;if(this._hasMove)return this._hasMove;var n=e.cloneNode();e._transitionClasses&&e._transitionClasses.forEach((function(e){_i(n,e)})),gi(n,t),n.style.display="none",this.$el.appendChild(n);var r=Ni(n);return this.$el.removeChild(n),this._hasMove=r.hasTransform}}}};kn.config.mustUseProp=Dn,kn.config.isReservedTag=Gn,kn.config.isReservedAttr=Nn,kn.config.getTagNamespace=Xn,kn.config.isUnknownElement=function(e){if(!W)return!0;if(Gn(e))return!1;if(e=e.toLowerCase(),null!=Yn[e])return Yn[e];var t=document.createElement(e);return e.indexOf("-")>-1?Yn[e]=t.constructor===window.HTMLUnknownElement||t.constructor===window.HTMLElement:Yn[e]=/HTMLUnknownElement/.test(t.toString())},E(kn.options.directives,Xi),E(kn.options.components,uo),kn.prototype.__patch__=W?Bi:j,kn.prototype.$mount=function(e,t){return function(e,t,n){var r;return e.$el=t,e.$options.render||(e.$options.render=ge),tn(e,"beforeMount"),r=function(){e._update(e._render(),n)},new vn(e,r,j,{before:function(){e._isMounted&&!e._isDestroyed&&tn(e,"beforeUpdate")}},!0),n=!1,null==e.$vnode&&(e._isMounted=!0,tn(e,"mounted")),e}(this,e=e&&W?er(e):void 0,t)},W&&setTimeout((function(){H.devtools&&ae&&ae.emit("init",kn)}),0);var lo=/\{\{((?:.|\r?\n)+?)\}\}/g,fo=/[-.*+?^${}()|[\]\/\\]/g,po=w((function(e){var t=e[0].replace(fo,"\\$&"),n=e[1].replace(fo,"\\$&");return new RegExp(t+"((?:.|\\n)+?)"+n,"g")}));var vo={staticKeys:["staticClass"],transformNode:function(e,t){t.warn;var n=Rr(e,"class");n&&(e.staticClass=JSON.stringify(n));var r=Pr(e,"class",!1);r&&(e.classBinding=r)},genData:function(e){var t="";return e.staticClass&&(t+="staticClass:"+e.staticClass+","),e.classBinding&&(t+="class:"+e.classBinding+","),t}};var ho,mo={staticKeys:["staticStyle"],transformNode:function(e,t){t.warn;var n=Rr(e,"style");n&&(e.staticStyle=JSON.stringify(ai(n)));var r=Pr(e,"style",!1);r&&(e.styleBinding=r)},genData:function(e){var t="";return e.staticStyle&&(t+="staticStyle:"+e.staticStyle+","),e.styleBinding&&(t+="style:("+e.styleBinding+"),"),t}},yo=function(e){return(ho=ho||document.createElement("div")).innerHTML=e,ho.textContent},go=m("area,base,br,col,embed,frame,hr,img,input,isindex,keygen,link,meta,param,source,track,wbr"),_o=m("colgroup,dd,dt,li,options,p,td,tfoot,th,thead,tr,source"),bo=m("address,article,aside,base,blockquote,body,caption,col,colgroup,dd,details,dialog,div,dl,dt,fieldset,figcaption,figure,footer,form,h1,h2,h3,h4,h5,h6,head,header,hgroup,hr,html,legend,li,menuitem,meta,optgroup,option,param,rp,rt,source,style,summary,tbody,td,tfoot,th,thead,title,tr,track"),$o=/^\s*([^\s"'<>\/=]+)(?:\s*(=)\s*(?:"([^"]*)"+|'([^']*)'+|([^\s"'=<>`]+)))?/,wo=/^\s*((?:v-[\w-]+:|@|:|#)\[[^=]+\][^\s"'<>\/=]*)(?:\s*(=)\s*(?:"([^"]*)"+|'([^']*)'+|([^\s"'=<>`]+)))?/,Co="[a-zA-Z_][\\-\\.0-9_a-zA-Z"+B.source+"]*",xo="((?:"+Co+"\\:)?"+Co+")",ko=new RegExp("^<"+xo),Ao=/^\s*(\/?)>/,Oo=new RegExp("^<\\/"+xo+"[^>]*>"),So=/^<!DOCTYPE [^>]+>/i,To=/^<!\--/,Eo=/^<!\[/,Io=m("script,style,textarea",!0),jo={},No={"&lt;":"<","&gt;":">","&quot;":'"',"&amp;":"&","&#10;":"\n","&#9;":"\t","&#39;":"'"},Mo=/&(?:lt|gt|quot|amp|#39);/g,Do=/&(?:lt|gt|quot|amp|#39|#10|#9);/g,Lo=m("pre,textarea",!0),Fo=function(e,t){return e&&Lo(e)&&"\n"===t[0]};function Po(e,t){var n=t?Do:Mo;return e.replace(n,(function(e){return No[e]}))}var Ro,Ho,Bo,Uo,zo,Vo,Ko,Jo,Wo=/^@|^v-on:/,qo=/^v-|^@|^:|^#/,Zo=/([\s\S]*?)\s+(?:in|of)\s+([\s\S]*)/,Go=/,([^,\}\]]*)(?:,([^,\}\]]*))?$/,Xo=/^\(|\)$/g,Yo=/^\[.*\]$/,Qo=/:(.*)$/,ea=/^:|^\.|^v-bind:/,ta=/\.[^.\]]+(?=[^\]]*$)/g,na=/^v-slot(:|$)|^#/,ra=/[\r\n]/,ia=/\s+/g,oa=w(yo);function aa(e,t,n){return{type:1,tag:e,attrsList:t,attrsMap:da(t),rawAttrsMap:{},parent:n,children:[]}}function sa(e,t){Ro=t.warn||Er,Vo=t.isPreTag||N,Ko=t.mustUseProp||N,Jo=t.getTagNamespace||N;var n=t.isReservedTag||N;(function(e){return!!e.component||!n(e.tag)}),Bo=Ir(t.modules,"transformNode"),Uo=Ir(t.modules,"preTransformNode"),zo=Ir(t.modules,"postTransformNode"),Ho=t.delimiters;var r,i,o=[],a=!1!==t.preserveWhitespace,s=t.whitespace,c=!1,u=!1;function l(e){if(f(e),c||e.processed||(e=ca(e,t)),o.length||e===r||r.if&&(e.elseif||e.else)&&la(r,{exp:e.elseif,block:e}),i&&!e.forbidden)if(e.elseif||e.else)a=e,(s=function(e){for(var t=e.length;t--;){if(1===e[t].type)return e[t];e.pop()}}(i.children))&&s.if&&la(s,{exp:a.elseif,block:a});else{if(e.slotScope){var n=e.slotTarget||'"default"';(i.scopedSlots||(i.scopedSlots={}))[n]=e}i.children.push(e),e.parent=i}var a,s;e.children=e.children.filter((function(e){return!e.slotScope})),f(e),e.pre&&(c=!1),Vo(e.tag)&&(u=!1);for(var l=0;l<zo.length;l++)zo[l](e,t)}function f(e){if(!u)for(var t;(t=e.children[e.children.length-1])&&3===t.type&&" "===t.text;)e.children.pop()}return function(e,t){for(var n,r,i=[],o=t.expectHTML,a=t.isUnaryTag||N,s=t.canBeLeftOpenTag||N,c=0;e;){if(n=e,r&&Io(r)){var u=0,l=r.toLowerCase(),f=jo[l]||(jo[l]=new RegExp("([\\s\\S]*?)(</"+l+"[^>]*>)","i")),p=e.replace(f,(function(e,n,r){return u=r.length,Io(l)||"noscript"===l||(n=n.replace(/<!\--([\s\S]*?)-->/g,"$1").replace(/<!\[CDATA\[([\s\S]*?)]]>/g,"$1")),Fo(l,n)&&(n=n.slice(1)),t.chars&&t.chars(n),""}));c+=e.length-p.length,e=p,A(l,c-u,c)}else{var d=e.indexOf("<");if(0===d){if(To.test(e)){var v=e.indexOf("--\x3e");if(v>=0){t.shouldKeepComment&&t.comment(e.substring(4,v),c,c+v+3),C(v+3);continue}}if(Eo.test(e)){var h=e.indexOf("]>");if(h>=0){C(h+2);continue}}var m=e.match(So);if(m){C(m[0].length);continue}var y=e.match(Oo);if(y){var g=c;C(y[0].length),A(y[1],g,c);continue}var _=x();if(_){k(_),Fo(_.tagName,e)&&C(1);continue}}var b=void 0,$=void 0,w=void 0;if(d>=0){for($=e.slice(d);!(Oo.test($)||ko.test($)||To.test($)||Eo.test($)||(w=$.indexOf("<",1))<0);)d+=w,$=e.slice(d);b=e.substring(0,d)}d<0&&(b=e),b&&C(b.length),t.chars&&b&&t.chars(b,c-b.length,c)}if(e===n){t.chars&&t.chars(e);break}}function C(t){c+=t,e=e.substring(t)}function x(){var t=e.match(ko);if(t){var n,r,i={tagName:t[1],attrs:[],start:c};for(C(t[0].length);!(n=e.match(Ao))&&(r=e.match(wo)||e.match($o));)r.start=c,C(r[0].length),r.end=c,i.attrs.push(r);if(n)return i.unarySlash=n[1],C(n[0].length),i.end=c,i}}function k(e){var n=e.tagName,c=e.unarySlash;o&&("p"===r&&bo(n)&&A(r),s(n)&&r===n&&A(n));for(var u=a(n)||!!c,l=e.attrs.length,f=new Array(l),p=0;p<l;p++){var d=e.attrs[p],v=d[3]||d[4]||d[5]||"",h="a"===n&&"href"===d[1]?t.shouldDecodeNewlinesForHref:t.shouldDecodeNewlines;f[p]={name:d[1],value:Po(v,h)}}u||(i.push({tag:n,lowerCasedTag:n.toLowerCase(),attrs:f,start:e.start,end:e.end}),r=n),t.start&&t.start(n,f,u,e.start,e.end)}function A(e,n,o){var a,s;if(null==n&&(n=c),null==o&&(o=c),e)for(s=e.toLowerCase(),a=i.length-1;a>=0&&i[a].lowerCasedTag!==s;a--);else a=0;if(a>=0){for(var u=i.length-1;u>=a;u--)t.end&&t.end(i[u].tag,n,o);i.length=a,r=a&&i[a-1].tag}else"br"===s?t.start&&t.start(e,[],!0,n,o):"p"===s&&(t.start&&t.start(e,[],!1,n,o),t.end&&t.end(e,n,o))}A()}(e,{warn:Ro,expectHTML:t.expectHTML,isUnaryTag:t.isUnaryTag,canBeLeftOpenTag:t.canBeLeftOpenTag,shouldDecodeNewlines:t.shouldDecodeNewlines,shouldDecodeNewlinesForHref:t.shouldDecodeNewlinesForHref,shouldKeepComment:t.comments,outputSourceRange:t.outputSourceRange,start:function(e,n,a,s,f){var p=i&&i.ns||Jo(e);X&&"svg"===p&&(n=function(e){for(var t=[],n=0;n<e.length;n++){var r=e[n];va.test(r.name)||(r.name=r.name.replace(ha,""),t.push(r))}return t}(n));var d,v=aa(e,n,i);p&&(v.ns=p),"style"!==(d=v).tag&&("script"!==d.tag||d.attrsMap.type&&"text/javascript"!==d.attrsMap.type)||oe()||(v.forbidden=!0);for(var h=0;h<Uo.length;h++)v=Uo[h](v,t)||v;c||(!function(e){null!=Rr(e,"v-pre")&&(e.pre=!0)}(v),v.pre&&(c=!0)),Vo(v.tag)&&(u=!0),c?function(e){var t=e.attrsList,n=t.length;if(n)for(var r=e.attrs=new Array(n),i=0;i<n;i++)r[i]={name:t[i].name,value:JSON.stringify(t[i].value)},null!=t[i].start&&(r[i].start=t[i].start,r[i].end=t[i].end);else e.pre||(e.plain=!0)}(v):v.processed||(ua(v),function(e){var t=Rr(e,"v-if");if(t)e.if=t,la(e,{exp:t,block:e});else{null!=Rr(e,"v-else")&&(e.else=!0);var n=Rr(e,"v-else-if");n&&(e.elseif=n)}}(v),function(e){null!=Rr(e,"v-once")&&(e.once=!0)}(v)),r||(r=v),a?l(v):(i=v,o.push(v))},end:function(e,t,n){var r=o[o.length-1];o.length-=1,i=o[o.length-1],l(r)},chars:function(e,t,n){if(i&&(!X||"textarea"!==i.tag||i.attrsMap.placeholder!==e)){var r,o,l,f=i.children;if(e=u||e.trim()?"script"===(r=i).tag||"style"===r.tag?e:oa(e):f.length?s?"condense"===s&&ra.test(e)?"":" ":a?" ":"":"")u||"condense"!==s||(e=e.replace(ia," ")),!c&&" "!==e&&(o=function(e,t){var n=t?po(t):lo;if(n.test(e)){for(var r,i,o,a=[],s=[],c=n.lastIndex=0;r=n.exec(e);){(i=r.index)>c&&(s.push(o=e.slice(c,i)),a.push(JSON.stringify(o)));var u=Sr(r[1].trim());a.push("_s("+u+")"),s.push({"@binding":u}),c=i+r[0].length}return c<e.length&&(s.push(o=e.slice(c)),a.push(JSON.stringify(o))),{expression:a.join("+"),tokens:s}}}(e,Ho))?l={type:2,expression:o.expression,tokens:o.tokens,text:e}:" "===e&&f.length&&" "===f[f.length-1].text||(l={type:3,text:e}),l&&f.push(l)}},comment:function(e,t,n){if(i){var r={type:3,text:e,isComment:!0};0,i.children.push(r)}}}),r}function ca(e,t){var n;!function(e){var t=Pr(e,"key");if(t){e.key=t}}(e),e.plain=!e.key&&!e.scopedSlots&&!e.attrsList.length,function(e){var t=Pr(e,"ref");t&&(e.ref=t,e.refInFor=function(e){var t=e;for(;t;){if(void 0!==t.for)return!0;t=t.parent}return!1}(e))}(e),function(e){var t;"template"===e.tag?(t=Rr(e,"scope"),e.slotScope=t||Rr(e,"slot-scope")):(t=Rr(e,"slot-scope"))&&(e.slotScope=t);var n=Pr(e,"slot");n&&(e.slotTarget='""'===n?'"default"':n,e.slotTargetDynamic=!(!e.attrsMap[":slot"]&&!e.attrsMap["v-bind:slot"]),"template"===e.tag||e.slotScope||Nr(e,"slot",n,function(e,t){return e.rawAttrsMap[":"+t]||e.rawAttrsMap["v-bind:"+t]||e.rawAttrsMap[t]}(e,"slot")));if("template"===e.tag){var r=Hr(e,na);if(r){0;var i=fa(r),o=i.name,a=i.dynamic;e.slotTarget=o,e.slotTargetDynamic=a,e.slotScope=r.value||"_empty_"}}else{var s=Hr(e,na);if(s){0;var c=e.scopedSlots||(e.scopedSlots={}),u=fa(s),l=u.name,f=u.dynamic,p=c[l]=aa("template",[],e);p.slotTarget=l,p.slotTargetDynamic=f,p.children=e.children.filter((function(e){if(!e.slotScope)return e.parent=p,!0})),p.slotScope=s.value||"_empty_",e.children=[],e.plain=!1}}}(e),"slot"===(n=e).tag&&(n.slotName=Pr(n,"name")),function(e){var t;(t=Pr(e,"is"))&&(e.component=t);null!=Rr(e,"inline-template")&&(e.inlineTemplate=!0)}(e);for(var r=0;r<Bo.length;r++)e=Bo[r](e,t)||e;return function(e){var t,n,r,i,o,a,s,c,u=e.attrsList;for(t=0,n=u.length;t<n;t++){if(r=i=u[t].name,o=u[t].value,qo.test(r))if(e.hasBindings=!0,(a=pa(r.replace(qo,"")))&&(r=r.replace(ta,"")),ea.test(r))r=r.replace(ea,""),o=Sr(o),(c=Yo.test(r))&&(r=r.slice(1,-1)),a&&(a.prop&&!c&&"innerHtml"===(r=x(r))&&(r="innerHTML"),a.camel&&!c&&(r=x(r)),a.sync&&(s=zr(o,"$event"),c?Fr(e,'"update:"+('+r+")",s,null,!1,0,u[t],!0):(Fr(e,"update:"+x(r),s,null,!1,0,u[t]),O(r)!==x(r)&&Fr(e,"update:"+O(r),s,null,!1,0,u[t])))),a&&a.prop||!e.component&&Ko(e.tag,e.attrsMap.type,r)?jr(e,r,o,u[t],c):Nr(e,r,o,u[t],c);else if(Wo.test(r))r=r.replace(Wo,""),(c=Yo.test(r))&&(r=r.slice(1,-1)),Fr(e,r,o,a,!1,0,u[t],c);else{var l=(r=r.replace(qo,"")).match(Qo),f=l&&l[1];c=!1,f&&(r=r.slice(0,-(f.length+1)),Yo.test(f)&&(f=f.slice(1,-1),c=!0)),Dr(e,r,i,o,f,c,a,u[t])}else Nr(e,r,JSON.stringify(o),u[t]),!e.component&&"muted"===r&&Ko(e.tag,e.attrsMap.type,r)&&jr(e,r,"true",u[t])}}(e),e}function ua(e){var t;if(t=Rr(e,"v-for")){var n=function(e){var t=e.match(Zo);if(!t)return;var n={};n.for=t[2].trim();var r=t[1].trim().replace(Xo,""),i=r.match(Go);i?(n.alias=r.replace(Go,"").trim(),n.iterator1=i[1].trim(),i[2]&&(n.iterator2=i[2].trim())):n.alias=r;return n}(t);n&&E(e,n)}}function la(e,t){e.ifConditions||(e.ifConditions=[]),e.ifConditions.push(t)}function fa(e){var t=e.name.replace(na,"");return t||"#"!==e.name[0]&&(t="default"),Yo.test(t)?{name:t.slice(1,-1),dynamic:!0}:{name:'"'+t+'"',dynamic:!1}}function pa(e){var t=e.match(ta);if(t){var n={};return t.forEach((function(e){n[e.slice(1)]=!0})),n}}function da(e){for(var t={},n=0,r=e.length;n<r;n++)t[e[n].name]=e[n].value;return t}var va=/^xmlns:NS\d+/,ha=/^NS\d+:/;function ma(e){return aa(e.tag,e.attrsList.slice(),e.parent)}var ya=[vo,mo,{preTransformNode:function(e,t){if("input"===e.tag){var n,r=e.attrsMap;if(!r["v-model"])return;if((r[":type"]||r["v-bind:type"])&&(n=Pr(e,"type")),r.type||n||!r["v-bind"]||(n="("+r["v-bind"]+").type"),n){var i=Rr(e,"v-if",!0),o=i?"&&("+i+")":"",a=null!=Rr(e,"v-else",!0),s=Rr(e,"v-else-if",!0),c=ma(e);ua(c),Mr(c,"type","checkbox"),ca(c,t),c.processed=!0,c.if="("+n+")==='checkbox'"+o,la(c,{exp:c.if,block:c});var u=ma(e);Rr(u,"v-for",!0),Mr(u,"type","radio"),ca(u,t),la(c,{exp:"("+n+")==='radio'"+o,block:u});var l=ma(e);return Rr(l,"v-for",!0),Mr(l,":type",n),ca(l,t),la(c,{exp:i,block:l}),a?c.else=!0:s&&(c.elseif=s),c}}}}];var ga,_a,ba={expectHTML:!0,modules:ya,directives:{model:function(e,t,n){n;var r=t.value,i=t.modifiers,o=e.tag,a=e.attrsMap.type;if(e.component)return Ur(e,r,i),!1;if("select"===o)!function(e,t,n){var r='var $$selectedVal = Array.prototype.filter.call($event.target.options,function(o){return o.selected}).map(function(o){var val = "_value" in o ? o._value : o.value;return '+(n&&n.number?"_n(val)":"val")+"});";r=r+" "+zr(t,"$event.target.multiple ? $$selectedVal : $$selectedVal[0]"),Fr(e,"change",r,null,!0)}(e,r,i);else if("input"===o&&"checkbox"===a)!function(e,t,n){var r=n&&n.number,i=Pr(e,"value")||"null",o=Pr(e,"true-value")||"true",a=Pr(e,"false-value")||"false";jr(e,"checked","Array.isArray("+t+")?_i("+t+","+i+")>-1"+("true"===o?":("+t+")":":_q("+t+","+o+")")),Fr(e,"change","var $$a="+t+",$$el=$event.target,$$c=$$el.checked?("+o+"):("+a+");if(Array.isArray($$a)){var $$v="+(r?"_n("+i+")":i)+",$$i=_i($$a,$$v);if($$el.checked){$$i<0&&("+zr(t,"$$a.concat([$$v])")+")}else{$$i>-1&&("+zr(t,"$$a.slice(0,$$i).concat($$a.slice($$i+1))")+")}}else{"+zr(t,"$$c")+"}",null,!0)}(e,r,i);else if("input"===o&&"radio"===a)!function(e,t,n){var r=n&&n.number,i=Pr(e,"value")||"null";jr(e,"checked","_q("+t+","+(i=r?"_n("+i+")":i)+")"),Fr(e,"change",zr(t,i),null,!0)}(e,r,i);else if("input"===o||"textarea"===o)!function(e,t,n){var r=e.attrsMap.type;0;var i=n||{},o=i.lazy,a=i.number,s=i.trim,c=!o&&"range"!==r,u=o?"change":"range"===r?"__r":"input",l="$event.target.value";s&&(l="$event.target.value.trim()");a&&(l="_n("+l+")");var f=zr(t,l);c&&(f="if($event.target.composing)return;"+f);jr(e,"value","("+t+")"),Fr(e,u,f,null,!0),(s||a)&&Fr(e,"blur","$forceUpdate()")}(e,r,i);else{if(!H.isReservedTag(o))return Ur(e,r,i),!1}return!0},text:function(e,t){t.value&&jr(e,"textContent","_s("+t.value+")",t)},html:function(e,t){t.value&&jr(e,"innerHTML","_s("+t.value+")",t)}},isPreTag:function(e){return"pre"===e},isUnaryTag:go,mustUseProp:Dn,canBeLeftOpenTag:_o,isReservedTag:Gn,getTagNamespace:Xn,staticKeys:function(e){return e.reduce((function(e,t){return e.concat(t.staticKeys||[])}),[]).join(",")}(ya)},$a=w((function(e){return m("type,tag,attrsList,attrsMap,plain,parent,children,attrs,start,end,rawAttrsMap"+(e?","+e:""))}));function wa(e,t){e&&(ga=$a(t.staticKeys||""),_a=t.isReservedTag||N,function e(t){if(t.static=function(e){if(2===e.type)return!1;if(3===e.type)return!0;return!(!e.pre&&(e.hasBindings||e.if||e.for||y(e.tag)||!_a(e.tag)||function(e){for(;e.parent;){if("template"!==(e=e.parent).tag)return!1;if(e.for)return!0}return!1}(e)||!Object.keys(e).every(ga)))}(t),1===t.type){if(!_a(t.tag)&&"slot"!==t.tag&&null==t.attrsMap["inline-template"])return;for(var n=0,r=t.children.length;n<r;n++){var i=t.children[n];e(i),i.static||(t.static=!1)}if(t.ifConditions)for(var o=1,a=t.ifConditions.length;o<a;o++){var s=t.ifConditions[o].block;e(s),s.static||(t.static=!1)}}}(e),function e(t,n){if(1===t.type){if((t.static||t.once)&&(t.staticInFor=n),t.static&&t.children.length&&(1!==t.children.length||3!==t.children[0].type))return void(t.staticRoot=!0);if(t.staticRoot=!1,t.children)for(var r=0,i=t.children.length;r<i;r++)e(t.children[r],n||!!t.for);if(t.ifConditions)for(var o=1,a=t.ifConditions.length;o<a;o++)e(t.ifConditions[o].block,n)}}(e,!1))}var Ca=/^([\w$_]+|\([^)]*?\))\s*=>|^function(?:\s+[\w$]+)?\s*\(/,xa=/\([^)]*?\);*$/,ka=/^[A-Za-z_$][\w$]*(?:\.[A-Za-z_$][\w$]*|\['[^']*?']|\["[^"]*?"]|\[\d+]|\[[A-Za-z_$][\w$]*])*$/,Aa={esc:27,tab:9,enter:13,space:32,up:38,left:37,right:39,down:40,delete:[8,46]},Oa={esc:["Esc","Escape"],tab:"Tab",enter:"Enter",space:[" ","Spacebar"],up:["Up","ArrowUp"],left:["Left","ArrowLeft"],right:["Right","ArrowRight"],down:["Down","ArrowDown"],delete:["Backspace","Delete","Del"]},Sa=function(e){return"if("+e+")return null;"},Ta={stop:"$event.stopPropagation();",prevent:"$event.preventDefault();",self:Sa("$event.target !== $event.currentTarget"),ctrl:Sa("!$event.ctrlKey"),shift:Sa("!$event.shiftKey"),alt:Sa("!$event.altKey"),meta:Sa("!$event.metaKey"),left:Sa("'button' in $event && $event.button !== 0"),middle:Sa("'button' in $event && $event.button !== 1"),right:Sa("'button' in $event && $event.button !== 2")};function Ea(e,t){var n=t?"nativeOn:":"on:",r="",i="";for(var o in e){var a=Ia(e[o]);e[o]&&e[o].dynamic?i+=o+","+a+",":r+='"'+o+'":'+a+","}return r="{"+r.slice(0,-1)+"}",i?n+"_d("+r+",["+i.slice(0,-1)+"])":n+r}function Ia(e){if(!e)return"function(){}";if(Array.isArray(e))return"["+e.map((function(e){return Ia(e)})).join(",")+"]";var t=ka.test(e.value),n=Ca.test(e.value),r=ka.test(e.value.replace(xa,""));if(e.modifiers){var i="",o="",a=[];for(var s in e.modifiers)if(Ta[s])o+=Ta[s],Aa[s]&&a.push(s);else if("exact"===s){var c=e.modifiers;o+=Sa(["ctrl","shift","alt","meta"].filter((function(e){return!c[e]})).map((function(e){return"$event."+e+"Key"})).join("||"))}else a.push(s);return a.length&&(i+=function(e){return"if(!$event.type.indexOf('key')&&"+e.map(ja).join("&&")+")return null;"}(a)),o&&(i+=o),"function($event){"+i+(t?"return "+e.value+"($event)":n?"return ("+e.value+")($event)":r?"return "+e.value:e.value)+"}"}return t||n?e.value:"function($event){"+(r?"return "+e.value:e.value)+"}"}function ja(e){var t=parseInt(e,10);if(t)return"$event.keyCode!=="+t;var n=Aa[e],r=Oa[e];return"_k($event.keyCode,"+JSON.stringify(e)+","+JSON.stringify(n)+",$event.key,"+JSON.stringify(r)+")"}var Na={on:function(e,t){e.wrapListeners=function(e){return"_g("+e+","+t.value+")"}},bind:function(e,t){e.wrapData=function(n){return"_b("+n+",'"+e.tag+"',"+t.value+","+(t.modifiers&&t.modifiers.prop?"true":"false")+(t.modifiers&&t.modifiers.sync?",true":"")+")"}},cloak:j},Ma=function(e){this.options=e,this.warn=e.warn||Er,this.transforms=Ir(e.modules,"transformCode"),this.dataGenFns=Ir(e.modules,"genData"),this.directives=E(E({},Na),e.directives);var t=e.isReservedTag||N;this.maybeComponent=function(e){return!!e.component||!t(e.tag)},this.onceId=0,this.staticRenderFns=[],this.pre=!1};function Da(e,t){var n=new Ma(t);return{render:"with(this){return "+(e?La(e,n):'_c("div")')+"}",staticRenderFns:n.staticRenderFns}}function La(e,t){if(e.parent&&(e.pre=e.pre||e.parent.pre),e.staticRoot&&!e.staticProcessed)return Fa(e,t);if(e.once&&!e.onceProcessed)return Pa(e,t);if(e.for&&!e.forProcessed)return Ha(e,t);if(e.if&&!e.ifProcessed)return Ra(e,t);if("template"!==e.tag||e.slotTarget||t.pre){if("slot"===e.tag)return function(e,t){var n=e.slotName||'"default"',r=Va(e,t),i="_t("+n+(r?","+r:""),o=e.attrs||e.dynamicAttrs?Wa((e.attrs||[]).concat(e.dynamicAttrs||[]).map((function(e){return{name:x(e.name),value:e.value,dynamic:e.dynamic}}))):null,a=e.attrsMap["v-bind"];!o&&!a||r||(i+=",null");o&&(i+=","+o);a&&(i+=(o?"":",null")+","+a);return i+")"}(e,t);var n;if(e.component)n=function(e,t,n){var r=t.inlineTemplate?null:Va(t,n,!0);return"_c("+e+","+Ba(t,n)+(r?","+r:"")+")"}(e.component,e,t);else{var r;(!e.plain||e.pre&&t.maybeComponent(e))&&(r=Ba(e,t));var i=e.inlineTemplate?null:Va(e,t,!0);n="_c('"+e.tag+"'"+(r?","+r:"")+(i?","+i:"")+")"}for(var o=0;o<t.transforms.length;o++)n=t.transforms[o](e,n);return n}return Va(e,t)||"void 0"}function Fa(e,t){e.staticProcessed=!0;var n=t.pre;return e.pre&&(t.pre=e.pre),t.staticRenderFns.push("with(this){return "+La(e,t)+"}"),t.pre=n,"_m("+(t.staticRenderFns.length-1)+(e.staticInFor?",true":"")+")"}function Pa(e,t){if(e.onceProcessed=!0,e.if&&!e.ifProcessed)return Ra(e,t);if(e.staticInFor){for(var n="",r=e.parent;r;){if(r.for){n=r.key;break}r=r.parent}return n?"_o("+La(e,t)+","+t.onceId+++","+n+")":La(e,t)}return Fa(e,t)}function Ra(e,t,n,r){return e.ifProcessed=!0,function e(t,n,r,i){if(!t.length)return i||"_e()";var o=t.shift();return o.exp?"("+o.exp+")?"+a(o.block)+":"+e(t,n,r,i):""+a(o.block);function a(e){return r?r(e,n):e.once?Pa(e,n):La(e,n)}}(e.ifConditions.slice(),t,n,r)}function Ha(e,t,n,r){var i=e.for,o=e.alias,a=e.iterator1?","+e.iterator1:"",s=e.iterator2?","+e.iterator2:"";return e.forProcessed=!0,(r||"_l")+"(("+i+"),function("+o+a+s+"){return "+(n||La)(e,t)+"})"}function Ba(e,t){var n="{",r=function(e,t){var n=e.directives;if(!n)return;var r,i,o,a,s="directives:[",c=!1;for(r=0,i=n.length;r<i;r++){o=n[r],a=!0;var u=t.directives[o.name];u&&(a=!!u(e,o,t.warn)),a&&(c=!0,s+='{name:"'+o.name+'",rawName:"'+o.rawName+'"'+(o.value?",value:("+o.value+"),expression:"+JSON.stringify(o.value):"")+(o.arg?",arg:"+(o.isDynamicArg?o.arg:'"'+o.arg+'"'):"")+(o.modifiers?",modifiers:"+JSON.stringify(o.modifiers):"")+"},")}if(c)return s.slice(0,-1)+"]"}(e,t);r&&(n+=r+","),e.key&&(n+="key:"+e.key+","),e.ref&&(n+="ref:"+e.ref+","),e.refInFor&&(n+="refInFor:true,"),e.pre&&(n+="pre:true,"),e.component&&(n+='tag:"'+e.tag+'",');for(var i=0;i<t.dataGenFns.length;i++)n+=t.dataGenFns[i](e);if(e.attrs&&(n+="attrs:"+Wa(e.attrs)+","),e.props&&(n+="domProps:"+Wa(e.props)+","),e.events&&(n+=Ea(e.events,!1)+","),e.nativeEvents&&(n+=Ea(e.nativeEvents,!0)+","),e.slotTarget&&!e.slotScope&&(n+="slot:"+e.slotTarget+","),e.scopedSlots&&(n+=function(e,t,n){var r=e.for||Object.keys(t).some((function(e){var n=t[e];return n.slotTargetDynamic||n.if||n.for||Ua(n)})),i=!!e.if;if(!r)for(var o=e.parent;o;){if(o.slotScope&&"_empty_"!==o.slotScope||o.for){r=!0;break}o.if&&(i=!0),o=o.parent}var a=Object.keys(t).map((function(e){return za(t[e],n)})).join(",");return"scopedSlots:_u(["+a+"]"+(r?",null,true":"")+(!r&&i?",null,false,"+function(e){var t=5381,n=e.length;for(;n;)t=33*t^e.charCodeAt(--n);return t>>>0}(a):"")+")"}(e,e.scopedSlots,t)+","),e.model&&(n+="model:{value:"+e.model.value+",callback:"+e.model.callback+",expression:"+e.model.expression+"},"),e.inlineTemplate){var o=function(e,t){var n=e.children[0];0;if(n&&1===n.type){var r=Da(n,t.options);return"inlineTemplate:{render:function(){"+r.render+"},staticRenderFns:["+r.staticRenderFns.map((function(e){return"function(){"+e+"}"})).join(",")+"]}"}}(e,t);o&&(n+=o+",")}return n=n.replace(/,$/,"")+"}",e.dynamicAttrs&&(n="_b("+n+',"'+e.tag+'",'+Wa(e.dynamicAttrs)+")"),e.wrapData&&(n=e.wrapData(n)),e.wrapListeners&&(n=e.wrapListeners(n)),n}function Ua(e){return 1===e.type&&("slot"===e.tag||e.children.some(Ua))}function za(e,t){var n=e.attrsMap["slot-scope"];if(e.if&&!e.ifProcessed&&!n)return Ra(e,t,za,"null");if(e.for&&!e.forProcessed)return Ha(e,t,za);var r="_empty_"===e.slotScope?"":String(e.slotScope),i="function("+r+"){return "+("template"===e.tag?e.if&&n?"("+e.if+")?"+(Va(e,t)||"undefined")+":undefined":Va(e,t)||"undefined":La(e,t))+"}",o=r?"":",proxy:true";return"{key:"+(e.slotTarget||'"default"')+",fn:"+i+o+"}"}function Va(e,t,n,r,i){var o=e.children;if(o.length){var a=o[0];if(1===o.length&&a.for&&"template"!==a.tag&&"slot"!==a.tag){var s=n?t.maybeComponent(a)?",1":",0":"";return""+(r||La)(a,t)+s}var c=n?function(e,t){for(var n=0,r=0;r<e.length;r++){var i=e[r];if(1===i.type){if(Ka(i)||i.ifConditions&&i.ifConditions.some((function(e){return Ka(e.block)}))){n=2;break}(t(i)||i.ifConditions&&i.ifConditions.some((function(e){return t(e.block)})))&&(n=1)}}return n}(o,t.maybeComponent):0,u=i||Ja;return"["+o.map((function(e){return u(e,t)})).join(",")+"]"+(c?","+c:"")}}function Ka(e){return void 0!==e.for||"template"===e.tag||"slot"===e.tag}function Ja(e,t){return 1===e.type?La(e,t):3===e.type&&e.isComment?function(e){return"_e("+JSON.stringify(e.text)+")"}(e):function(e){return"_v("+(2===e.type?e.expression:qa(JSON.stringify(e.text)))+")"}(e)}function Wa(e){for(var t="",n="",r=0;r<e.length;r++){var i=e[r],o=qa(i.value);i.dynamic?n+=i.name+","+o+",":t+='"'+i.name+'":'+o+","}return t="{"+t.slice(0,-1)+"}",n?"_d("+t+",["+n.slice(0,-1)+"])":t}function qa(e){return e.replace(/\u2028/g,"\\u2028").replace(/\u2029/g,"\\u2029")}new RegExp("\\b"+"do,if,for,let,new,try,var,case,else,with,await,break,catch,class,const,super,throw,while,yield,delete,export,import,return,switch,default,extends,finally,continue,debugger,function,arguments".split(",").join("\\b|\\b")+"\\b"),new RegExp("\\b"+"delete,typeof,void".split(",").join("\\s*\\([^\\)]*\\)|\\b")+"\\s*\\([^\\)]*\\)");function Za(e,t){try{return new Function(e)}catch(n){return t.push({err:n,code:e}),j}}function Ga(e){var t=Object.create(null);return function(n,r,i){(r=E({},r)).warn;delete r.warn;var o=r.delimiters?String(r.delimiters)+n:n;if(t[o])return t[o];var a=e(n,r);var s={},c=[];return s.render=Za(a.render,c),s.staticRenderFns=a.staticRenderFns.map((function(e){return Za(e,c)})),t[o]=s}}var Xa,Ya,Qa=(Xa=function(e,t){var n=sa(e.trim(),t);!1!==t.optimize&&wa(n,t);var r=Da(n,t);return{ast:n,render:r.render,staticRenderFns:r.staticRenderFns}},function(e){function t(t,n){var r=Object.create(e),i=[],o=[];if(n)for(var a in n.modules&&(r.modules=(e.modules||[]).concat(n.modules)),n.directives&&(r.directives=E(Object.create(e.directives||null),n.directives)),n)"modules"!==a&&"directives"!==a&&(r[a]=n[a]);r.warn=function(e,t,n){(n?o:i).push(e)};var s=Xa(t.trim(),r);return s.errors=i,s.tips=o,s}return{compile:t,compileToFunctions:Ga(t)}})(ba),es=(Qa.compile,Qa.compileToFunctions);function ts(e){return(Ya=Ya||document.createElement("div")).innerHTML=e?'<a href="\n"/>':'<div a="\n"/>',Ya.innerHTML.indexOf("&#10;")>0}var ns=!!W&&ts(!1),rs=!!W&&ts(!0),is=w((function(e){var t=er(e);return t&&t.innerHTML})),os=kn.prototype.$mount;kn.prototype.$mount=function(e,t){if((e=e&&er(e))===document.body||e===document.documentElement)return this;var n=this.$options;if(!n.render){var r=n.template;if(r)if("string"==typeof r)"#"===r.charAt(0)&&(r=is(r));else{if(!r.nodeType)return this;r=r.innerHTML}else e&&(r=function(e){if(e.outerHTML)return e.outerHTML;var t=document.createElement("div");return t.appendChild(e.cloneNode(!0)),t.innerHTML}(e));if(r){0;var i=es(r,{outputSourceRange:!1,shouldDecodeNewlines:ns,shouldDecodeNewlinesForHref:rs,delimiters:n.delimiters,comments:n.comments},this),o=i.render,a=i.staticRenderFns;n.render=o,n.staticRenderFns=a}}return os.call(this,e,t)},kn.compile=es,t.default=kn}.call(this,n(46),n(168).setImmediate)}}]);
-//# sourceMappingURL=vendor.js.map?v=ed141b91c3a00e287c4c \ No newline at end of file
+//# sourceMappingURL=vendor.js.map?v=b6a87e35c196cb18a193 \ No newline at end of file
diff --git a/js/vendor.js.map b/js/vendor.js.map
index f8bdf506c..fcc45f9f0 100644
--- a/js/vendor.js.map
+++ b/js/vendor.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/node-libs-browser/node_modules/timers-browserify/main.js","webpack:///./node_modules/setimmediate/setImmediate.js","webpack:///./node_modules/vue/dist/vue.esm.js"],"names":["self","window","apply","Function","prototype","Timeout","id","clearFn","this","_id","_clearFn","exports","setTimeout","call","scope","arguments","clearTimeout","setInterval","clearInterval","timeout","close","unref","ref","enroll","item","msecs","_idleTimeoutId","_idleTimeout","unenroll","_unrefActive","active","_onTimeout","setImmediate","global","clearImmediate","registerImmediate","html","channel","messagePrefix","onGlobalMessage","nextHandle","tasksByHandle","currentlyRunningATask","doc","document","attachTo","Object","getPrototypeOf","toString","process","handle","nextTick","runIfPresent","postMessage","importScripts","postMessageIsAsynchronous","oldOnMessage","onmessage","canUsePostMessage","MessageChannel","port1","event","data","port2","createElement","documentElement","script","onreadystatechange","removeChild","appendChild","Math","random","source","indexOf","slice","length","addEventListener","attachEvent","callback","args","Array","i","task","run","emptyObject","freeze","isUndef","v","isDef","isTrue","isPrimitive","value","isObject","obj","_toString","isPlainObject","isRegExp","isValidArrayIndex","val","n","parseFloat","String","floor","isFinite","isPromise","then","catch","isArray","JSON","stringify","toNumber","isNaN","makeMap","str","expectsLowerCase","map","create","list","split","toLowerCase","isBuiltInTag","isReservedAttribute","remove","arr","index","splice","hasOwnProperty","hasOwn","key","cached","fn","cache","camelizeRE","camelize","replace","_","c","toUpperCase","capitalize","charAt","hyphenateRE","hyphenate","bind","ctx","boundFn","a","l","_length","toArray","start","ret","extend","to","_from","toObject","res","noop","b","no","identity","looseEqual","isObjectA","isObjectB","isArrayA","isArrayB","every","e","Date","getTime","keysA","keys","keysB","looseIndexOf","once","called","ASSET_TYPES","LIFECYCLE_HOOKS","config","optionMergeStrategies","silent","productionTip","devtools","performance","errorHandler","warnHandler","ignoredElements","keyCodes","isReservedTag","isReservedAttr","isUnknownElement","getTagNamespace","parsePlatformTagName","mustUseProp","async","_lifecycleHooks","unicodeRegExp","isReserved","charCodeAt","def","enumerable","defineProperty","writable","configurable","bailRE","RegExp","_isServer","hasProto","inBrowser","inWeex","WXEnvironment","platform","weexPlatform","UA","navigator","userAgent","isIE","test","isIE9","isEdge","isIOS","isFF","match","nativeWatch","watch","supportsPassive","opts","get","isServerRendering","undefined","env","VUE_ENV","__VUE_DEVTOOLS_GLOBAL_HOOK__","isNative","Ctor","_Set","hasSymbol","Symbol","Reflect","ownKeys","Set","set","has","add","clear","warn","uid","Dep","subs","addSub","sub","push","removeSub","depend","target","addDep","notify","update","targetStack","pushTarget","popTarget","pop","VNode","tag","children","text","elm","context","componentOptions","asyncFactory","ns","fnContext","fnOptions","fnScopeId","componentInstance","parent","raw","isStatic","isRootInsert","isComment","isCloned","isOnce","asyncMeta","isAsyncPlaceholder","prototypeAccessors","child","defineProperties","createEmptyVNode","node","createTextVNode","cloneVNode","vnode","cloned","arrayProto","arrayMethods","forEach","method","original","len","inserted","result","ob","__ob__","observeArray","dep","arrayKeys","getOwnPropertyNames","shouldObserve","toggleObserving","Observer","vmCount","src","__proto__","protoAugment","copyAugment","walk","observe","asRootData","isExtensible","_isVue","defineReactive$$1","customSetter","shallow","property","getOwnPropertyDescriptor","getter","setter","childOb","dependArray","newVal","max","del","items","strats","mergeData","from","toVal","fromVal","mergeDataOrFn","parentVal","childVal","vm","instanceData","defaultData","mergeHook","concat","hooks","dedupeHooks","mergeAssets","hook","type","key$1","props","methods","inject","computed","provide","defaultStrat","mergeOptions","options","normalizeProps","normalized","normalizeInject","dirs","directives","def$$1","normalizeDirectives","_base","extends","mixins","mergeField","strat","resolveAsset","warnMissing","assets","camelizedId","PascalCaseId","validateProp","propOptions","propsData","prop","absent","booleanIndex","getTypeIndex","Boolean","stringIndex","default","$options","_props","getType","getPropDefaultValue","prevShouldObserve","isSameType","expectedTypes","handleError","err","info","cur","$parent","errorCaptured","globalHandleError","invokeWithErrorHandling","handler","_handled","logError","console","error","timerFunc","isUsingMicroTask","callbacks","pending","flushCallbacks","copies","Promise","p","resolve","MutationObserver","counter","observer","textNode","createTextNode","characterData","cb","_resolve","seenObjects","traverse","_traverse","seen","isA","isFrozen","depId","normalizeEvent","name","passive","once$$1","capture","createFnInvoker","fns","invoker","arguments$1","updateListeners","on","oldOn","remove$$1","createOnceHandler","old","params","mergeVNodeHook","hookKey","oldHook","wrappedHook","merged","checkProp","hash","altKey","preserve","normalizeChildren","normalizeArrayChildren","nestedIndex","lastIndex","last","isTextNode","shift","_isVList","resolveInject","provideKey","_provided","provideDefault","resolveSlots","slots","attrs","slot","name$1","isWhitespace","normalizeScopedSlots","normalSlots","prevSlots","hasNormalSlots","isStable","$stable","$key","_normalized","$hasNormal","normalizeScopedSlot","key$2","proxyNormalSlot","proxy","renderList","render","iterator","next","done","renderSlot","fallback","bindObject","nodes","scopedSlotFn","$scopedSlots","$slots","$createElement","resolveFilter","isKeyNotMatch","expect","actual","checkKeyCodes","eventKeyCode","builtInKeyCode","eventKeyName","builtInKeyName","mappedKeyCode","bindObjectProps","asProp","isSync","loop","domProps","camelizedKey","hyphenatedKey","$event","renderStatic","isInFor","_staticTrees","tree","markStatic","staticRenderFns","_renderProxy","markOnce","markStaticNode","bindObjectListeners","existing","ours","resolveScopedSlots","hasDynamicKeys","contentHashKey","bindDynamicKeys","baseObj","values","prependModifier","symbol","installRenderHelpers","_o","_n","_s","_l","_t","_q","_i","_m","_f","_k","_b","_v","_e","_u","_g","_d","_p","FunctionalRenderContext","contextVm","this$1","_original","isCompiled","_compiled","needNormalization","listeners","injections","scopedSlots","_scopeId","_c","d","cloneAndMarkFunctionalResult","renderContext","clone","mergeProps","componentVNodeHooks","init","hydrating","_isDestroyed","keepAlive","mountedNode","prepatch","_isComponent","_parentVnode","inlineTemplate","createComponentInstanceForVnode","activeInstance","$mount","oldVnode","parentVnode","renderChildren","newScopedSlots","oldScopedSlots","hasDynamicScopedSlot","needsForceUpdate","_renderChildren","$vnode","_vnode","$attrs","$listeners","propKeys","_propKeys","oldListeners","_parentListeners","updateComponentListeners","$forceUpdate","updateChildComponent","insert","_isMounted","callHook","_inactive","activatedChildren","activateChildComponent","destroy","deactivateChildComponent","direct","_directInactive","isInInactiveTree","$children","$destroy","hooksToMerge","createComponent","baseCtor","cid","factory","errorComp","resolved","owner","currentRenderingInstance","owners","loading","loadingComp","sync","timerLoading","timerTimeout","$on","forceRender","renderCompleted","ensureCtor","reject","reason","component","delay","resolveAsyncComponent","createAsyncPlaceholder","resolveConstructorOptions","model","transformModel","extractPropsFromVNodeData","functional","vnodes","createFunctionalComponent","nativeOn","abstract","toMerge","_merged","mergeHook$1","installComponentHooks","f1","f2","normalizationType","alwaysNormalize","is","simpleNormalizeChildren","pre","applyNS","force","style","class","registerDeepBindings","_createElement","comp","base","__esModule","toStringTag","getFirstComponentChild","remove$1","$off","_target","onceHandler","setActiveInstance","prevActiveInstance","handlers","j","_hasHookEvent","$emit","queue","waiting","flushing","currentFlushTimestamp","getNow","now","createEvent","timeStamp","flushSchedulerQueue","watcher","sort","before","activatedQueue","updatedQueue","callActivatedHooks","_watcher","callUpdatedHooks","emit","uid$2","Watcher","expOrFn","isRenderWatcher","_watchers","deep","user","lazy","dirty","deps","newDeps","depIds","newDepIds","expression","path","segments","parsePath","cleanupDeps","tmp","queueWatcher","oldValue","evaluate","teardown","_isBeingDestroyed","sharedPropertyDefinition","sourceKey","initState","propsOptions","initProps","initMethods","_data","getData","initData","watchers","_computedWatchers","isSSR","userDef","computedWatcherOptions","defineComputed","initComputed","createWatcher","initWatch","shouldCache","createComputedGetter","createGetterInvoker","$watch","uid$3","super","superOptions","modifiedOptions","modified","latest","sealed","sealedOptions","resolveModifiedOptions","extendOptions","components","Vue","_init","initExtend","Super","SuperId","cachedCtors","_Ctor","Sub","constructor","Comp","initProps$1","initComputed$1","mixin","use","getComponentName","matches","pattern","pruneCache","keepAliveInstance","filter","cachedNode","pruneCacheEntry","current","cached$$1","_uid","vnodeComponentOptions","_componentTag","initInternalComponent","_self","$root","$refs","initLifecycle","_events","initEvents","parentData","initRender","initInjections","initProvide","el","initMixin","dataDef","propsDef","$set","$delete","immediate","stateMixin","hookRE","$once","i$1","cbs","eventsMixin","_update","prevEl","$el","prevVnode","restoreActiveInstance","__patch__","__vue__","lifecycleMixin","$nextTick","_render","renderMixin","patternTypes","builtInComponents","KeepAlive","include","exclude","Number","created","destroyed","mounted","parseInt","configDef","util","defineReactive","delete","observable","plugin","installedPlugins","_installedPlugins","unshift","install","initUse","initMixin$1","definition","initAssetRegisters","initGlobalAPI","ssrContext","version","acceptValue","attr","isEnumeratedAttr","isValidContentEditableValue","isBooleanAttr","xlinkNS","isXlink","getXlinkProp","isFalsyAttrValue","genClassForVnode","parentNode","childNode","mergeClassData","staticClass","dynamicClass","stringifyClass","renderClass","stringified","stringifyArray","stringifyObject","namespaceMap","svg","math","isHTMLTag","isSVG","unknownElementCache","isTextInputType","query","selected","querySelector","nodeOps","tagName","multiple","setAttribute","createElementNS","namespace","createComment","insertBefore","newNode","referenceNode","nextSibling","setTextContent","textContent","setStyleScope","scopeId","registerRef","isRemoval","refs","refInFor","emptyNode","sameVnode","typeA","typeB","sameInputType","createKeyToOldIdx","beginIdx","endIdx","updateDirectives","oldDir","dir","isCreate","isDestroy","oldDirs","normalizeDirectives$1","newDirs","dirsWithInsert","dirsWithPostpatch","oldArg","arg","callHook$1","componentUpdated","callInsert","emptyModifiers","modifiers","getRawDirName","rawName","join","baseModules","updateAttrs","inheritAttrs","oldAttrs","setAttr","removeAttributeNS","removeAttribute","baseSetAttr","convertEnumeratedValue","setAttributeNS","__ieph","blocker","stopImmediatePropagation","removeEventListener","updateClass","oldData","cls","transitionClass","_transitionClasses","_prevClass","chr","index$1","expressionPos","expressionEndPos","klass","validDivisionCharRE","parseFilters","exp","prev","filters","inSingle","inDouble","inTemplateString","inRegex","curly","square","paren","lastFilterIndex","trim","pushFilter","wrapFilter","baseWarn","msg","range","pluckModuleFunction","modules","m","addProp","dynamic","rangeSetItem","plain","addAttr","dynamicAttrs","addRawAttr","attrsMap","attrsList","addDirective","isDynamicArg","prependModifierMarker","addHandler","important","events","right","middle","native","nativeEvents","newHandler","getBindingAttr","getStatic","dynamicValue","getAndRemoveAttr","staticValue","removeFromMap","getAndRemoveAttrByRegex","end","genComponentModel","number","valueExpression","assignment","genAssignmentCode","lastIndexOf","eof","isStringStart","parseString","parseBracket","parseModel","inBracket","stringQuote","target$1","createOnceHandler$1","remove$2","useMicrotaskFix","add$1","attachedTimestamp","_wrapper","currentTarget","ownerDocument","updateDOMListeners","change","normalizeEvents","svgContainer","updateDOMProps","oldProps","childNodes","_value","strCur","shouldUpdateValue","innerHTML","firstChild","checkVal","composing","notInFocus","activeElement","isNotInFocusAndDirty","_vModifiers","isDirtyWithModifiers","parseStyleText","cssText","propertyDelimiter","normalizeStyleData","normalizeStyleBinding","staticStyle","bindingStyle","emptyStyle","cssVarRE","importantRE","setProp","setProperty","normalizedName","normalize","vendorNames","capName","updateStyle","oldStaticStyle","oldStyleBinding","normalizedStyle","oldStyle","newStyle","checkChild","styleData","getStyle","whitespaceRE","addClass","classList","getAttribute","removeClass","tar","resolveTransition","css","autoCssTransition","enterClass","enterToClass","enterActiveClass","leaveClass","leaveToClass","leaveActiveClass","hasTransition","transitionProp","transitionEndEvent","animationProp","animationEndEvent","ontransitionend","onwebkittransitionend","onanimationend","onwebkitanimationend","raf","requestAnimationFrame","nextFrame","addTransitionClass","transitionClasses","removeTransitionClass","whenTransitionEnds","expectedType","getTransitionInfo","propCount","ended","onEnd","transformRE","styles","getComputedStyle","transitionDelays","transitionDurations","transitionTimeout","getTimeout","animationDelays","animationDurations","animationTimeout","hasTransform","delays","durations","toMs","s","enter","toggleDisplay","_leaveCb","cancelled","transition","_enterCb","nodeType","appearClass","appearToClass","appearActiveClass","beforeEnter","afterEnter","enterCancelled","beforeAppear","appear","afterAppear","appearCancelled","duration","transitionNode","isAppear","startClass","activeClass","toClass","beforeEnterHook","enterHook","afterEnterHook","enterCancelledHook","explicitEnterDuration","expectsCSS","userWantsControl","getHookArgumentsLength","show","pendingNode","_pending","isValidDuration","leave","rm","beforeLeave","afterLeave","leaveCancelled","delayLeave","explicitLeaveDuration","performLeave","invokerFns","_enter","patch","backend","removeNode","createElm","insertedVnodeQueue","parentElm","refElm","nested","ownerArray","isReactivated","initComponent","innerNode","activate","reactivateComponent","setScope","createChildren","invokeCreateHooks","pendingInsert","isPatchable","ref$$1","ancestor","addVnodes","startIdx","invokeDestroyHook","removeVnodes","ch","removeAndInvokeRemoveHook","childElm","createRmCb","findIdxInOld","oldCh","patchVnode","removeOnly","hydrate","newCh","oldKeyToIdx","idxInOld","vnodeToMove","oldStartIdx","newStartIdx","oldEndIdx","oldStartVnode","oldEndVnode","newEndIdx","newStartVnode","newEndVnode","canMove","updateChildren","postpatch","invokeInsertHook","initial","isRenderedModule","inVPre","hasChildNodes","childrenMatch","fullInvoke","isInitialPatch","isRealElement","hasAttribute","oldElm","patchable","i$2","createPatchFunction","vmodel","trigger","directive","binding","_vOptions","setSelected","getValue","onCompositionStart","onCompositionEnd","prevOptions","curOptions","some","o","hasNoMatchingOption","actuallySetSelected","isMultiple","option","selectedIndex","initEvent","dispatchEvent","locateNode","platformDirectives","transition$$1","originalDisplay","__vOriginalDisplay","display","unbind","transitionProps","mode","getRealChild","compOptions","extractTransitionData","placeholder","h","rawChild","isNotTextNode","isVShowDirective","Transition","hasParentTransition","_leaving","oldRawChild","oldChild","isSameChild","delayedLeave","moveClass","callPendingCbs","_moveCb","recordPosition","newPos","getBoundingClientRect","applyTranslation","oldPos","pos","dx","left","dy","top","moved","transform","WebkitTransform","transitionDuration","platformComponents","TransitionGroup","beforeMount","kept","prevChildren","rawChildren","transitionData","removed","c$1","updated","hasMove","_reflow","body","offsetHeight","propertyName","_hasMove","cloneNode","HTMLUnknownElement","HTMLElement","updateComponent","mountComponent","defaultTagRE","regexEscapeRE","buildRegex","delimiters","open","klass$1","staticKeys","transformNode","classBinding","genData","decoder","style$1","styleBinding","he","isUnaryTag","canBeLeftOpenTag","isNonPhrasingTag","attribute","dynamicArgAttribute","ncname","qnameCapture","startTagOpen","startTagClose","endTag","doctype","comment","conditionalComment","isPlainTextElement","reCache","decodingMap","encodedAttr","encodedAttrWithNewLines","isIgnoreNewlineTag","shouldIgnoreFirstNewline","decodeAttr","shouldDecodeNewlines","re","warn$2","transforms","preTransforms","postTransforms","platformIsPreTag","platformMustUseProp","platformGetTagNamespace","onRE","dirRE","forAliasRE","forIteratorRE","stripParensRE","dynamicArgRE","argRE","bindRE","modifierRE","slotRE","lineBreakRE","whitespaceRE$1","decodeHTMLCached","createASTElement","makeAttrsMap","rawAttrsMap","parse","template","isPreTag","root","currentParent","stack","preserveWhitespace","whitespaceOption","whitespace","inPre","closeElement","element","trimEndingWhitespace","processed","processElement","if","elseif","else","addIfCondition","block","forbidden","findPrevElement","slotScope","slotTarget","lastNode","lastTag","expectHTML","isUnaryTag$$1","canBeLeftOpenTag$$1","endTagLength","stackedTag","reStackedTag","rest$1","all","chars","parseEndTag","textEnd","commentEnd","shouldKeepComment","substring","advance","conditionalEnd","doctypeMatch","endTagMatch","curIndex","startTagMatch","parseStartTag","handleStartTag","rest","unarySlash","unary","shouldDecodeNewlinesForHref","lowerCasedTag","lowerCasedTagName","parseHTML","comments","outputSourceRange","start$1","ieNSBug","ieNSPrefix","guardIESVGBug","processPre","processRawAttrs","processFor","processIf","processOnce","end$1","tagRE","tokenValue","tokens","rawTokens","exec","parseText","processKey","for","checkInFor","processRef","slotTargetDynamic","getRawBindingAttr","slotBinding","getSlotName","slotBinding$1","ref$1","dynamic$1","slotContainer","processSlotContent","slotName","processComponent","syncGen","isDynamic","hasBindings","parseModifiers","camel","argMatch","processAttrs","inMatch","alias","iteratorMatch","iterator1","iterator2","parseFor","condition","ifConditions","cloneASTElement","modules$1","preTransformNode","typeBinding","ifCondition","ifConditionExtra","hasElse","elseIfCondition","branch0","branch1","branch2","isStaticKey","isPlatformReservedTag","baseOptions","_warn","code","genSelect","valueBinding","trueValueBinding","falseValueBinding","genCheckboxModel","genRadioModel","needCompositionGuard","genDefaultModel","reduce","genStaticKeys","genStaticKeysCached","optimize","markStatic$1","static","isDirectChildOfTemplateFor","l$1","markStaticRoots","staticInFor","staticRoot","fnExpRE","fnInvokeRE","simplePathRE","esc","tab","space","up","down","keyNames","genGuard","modifierCode","stop","prevent","ctrl","alt","meta","genHandlers","prefix","staticHandlers","dynamicHandlers","handlerCode","genHandler","isMethodPath","isFunctionExpression","isFunctionInvocation","genModifierCode","keyModifier","genFilterCode","genKeyFilter","keyVal","keyCode","keyName","baseDirectives","wrapListeners","wrapData","cloak","CodegenState","dataGenFns","maybeComponent","onceId","generate","ast","state","genElement","staticProcessed","genStatic","onceProcessed","genOnce","forProcessed","genFor","ifProcessed","genIf","genChildren","genProps","bind$$1","genSlot","componentName","genData$2","genComponent","originalPreState","altGen","altEmpty","genIfConditions","conditions","genTernaryExp","altHelper","needRuntime","hasRuntime","gen","genDirectives","containsSlotChild","needsKey","generatedSlots","genScopedSlot","inlineRenderFns","genInlineTemplate","isLegacySyntax","reverseProxy","checkSkip","altGenElement","altGenNode","el$1","normalizationType$1","needsNormalization","getNormalizationType","genNode","genComment","transformSpecialNewlines","genText","staticProps","dynamicProps","createFunction","errors","createCompileToFunctionFn","compile","compiled","fnGenErrors","baseCompile","div","finalOptions","tips","tip","compileToFunctions","getShouldDecode","href","idToTemplate","mount","outerHTML","container","getOuterHTML"],"mappings":"sFAAA,iCAC6B,oBAATA,MAAwBA,MAChCC,OACRC,EAAQC,SAASC,UAAUF,MAiB/B,SAASG,EAAQC,EAAIC,GACnBC,KAAKC,IAAMH,EACXE,KAAKE,SAAWH,EAflBI,EAAQC,WAAa,WACnB,OAAO,IAAIP,EAAQH,EAAMW,KAAKD,WAAYE,EAAOC,WAAYC,eAE/DL,EAAQM,YAAc,WACpB,OAAO,IAAIZ,EAAQH,EAAMW,KAAKI,YAAaH,EAAOC,WAAYG,gBAEhEP,EAAQK,aACRL,EAAQO,cAAgB,SAASC,GAC3BA,GACFA,EAAQC,SAQZf,EAAQD,UAAUiB,MAAQhB,EAAQD,UAAUkB,IAAM,aAClDjB,EAAQD,UAAUgB,MAAQ,WACxBZ,KAAKE,SAASG,KAAKC,EAAON,KAAKC,MAIjCE,EAAQY,OAAS,SAASC,EAAMC,GAC9BT,aAAaQ,EAAKE,gBAClBF,EAAKG,aAAeF,GAGtBd,EAAQiB,SAAW,SAASJ,GAC1BR,aAAaQ,EAAKE,gBAClBF,EAAKG,cAAgB,GAGvBhB,EAAQkB,aAAelB,EAAQmB,OAAS,SAASN,GAC/CR,aAAaQ,EAAKE,gBAElB,IAAID,EAAQD,EAAKG,aACbF,GAAS,IACXD,EAAKE,eAAiBd,YAAW,WAC3BY,EAAKO,YACPP,EAAKO,eACNN,KAKP,EAAQ,KAIRd,EAAQqB,aAAgC,oBAAThC,MAAwBA,KAAKgC,mBAClB,IAAXC,GAA0BA,EAAOD,cACxCxB,MAAQA,KAAKwB,aACrCrB,EAAQuB,eAAkC,oBAATlC,MAAwBA,KAAKkC,qBAClB,IAAXD,GAA0BA,EAAOC,gBACxC1B,MAAQA,KAAK0B,iB,uCC9DvC,6BACI,aAEA,IAAID,EAAOD,aAAX,CAIA,IAIIG,EA6HIC,EAZAC,EArBAC,EACAC,EAjGJC,EAAa,EACbC,EAAgB,GAChBC,GAAwB,EACxBC,EAAMV,EAAOW,SAoJbC,EAAWC,OAAOC,gBAAkBD,OAAOC,eAAed,GAC9DY,EAAWA,GAAYA,EAASjC,WAAaiC,EAAWZ,EAGf,qBAArC,GAAGe,SAASnC,KAAKoB,EAAOgB,SApFxBd,EAAoB,SAASe,GACzBD,EAAQE,UAAS,WAAcC,EAAaF,QAIpD,WAGI,GAAIjB,EAAOoB,cAAgBpB,EAAOqB,cAAe,CAC7C,IAAIC,GAA4B,EAC5BC,EAAevB,EAAOwB,UAM1B,OALAxB,EAAOwB,UAAY,WACfF,GAA4B,GAEhCtB,EAAOoB,YAAY,GAAI,KACvBpB,EAAOwB,UAAYD,EACZD,GAwEJG,GAIAzB,EAAO0B,iBA9CVtB,EAAU,IAAIsB,gBACVC,MAAMH,UAAY,SAASI,GAE/BT,EADaS,EAAMC,OAIvB3B,EAAoB,SAASe,GACzBb,EAAQ0B,MAAMV,YAAYH,KA2CvBP,GAAO,uBAAwBA,EAAIqB,cAAc,WAtCpD5B,EAAOO,EAAIsB,gBACf9B,EAAoB,SAASe,GAGzB,IAAIgB,EAASvB,EAAIqB,cAAc,UAC/BE,EAAOC,mBAAqB,WACxBf,EAAaF,GACbgB,EAAOC,mBAAqB,KAC5B/B,EAAKgC,YAAYF,GACjBA,EAAS,MAEb9B,EAAKiC,YAAYH,KAKrB/B,EAAoB,SAASe,GACzBtC,WAAWwC,EAAc,EAAGF,KAlD5BZ,EAAgB,gBAAkBgC,KAAKC,SAAW,IAClDhC,EAAkB,SAASsB,GACvBA,EAAMW,SAAWvC,GACK,iBAAf4B,EAAMC,MACyB,IAAtCD,EAAMC,KAAKW,QAAQnC,IACnBc,GAAcS,EAAMC,KAAKY,MAAMpC,EAAcqC,UAIjD1C,EAAO2C,iBACP3C,EAAO2C,iBAAiB,UAAWrC,GAAiB,GAEpDN,EAAO4C,YAAY,YAAatC,GAGpCJ,EAAoB,SAASe,GACzBjB,EAAOoB,YAAYf,EAAgBY,EAAQ,OAgEnDL,EAASb,aA1KT,SAAsB8C,GAEI,mBAAbA,IACTA,EAAW,IAAI3E,SAAS,GAAK2E,IAI/B,IADA,IAAIC,EAAO,IAAIC,MAAMjE,UAAU4D,OAAS,GAC/BM,EAAI,EAAGA,EAAIF,EAAKJ,OAAQM,IAC7BF,EAAKE,GAAKlE,UAAUkE,EAAI,GAG5B,IAAIC,EAAO,CAAEJ,SAAUA,EAAUC,KAAMA,GAGvC,OAFAtC,EAAcD,GAAc0C,EAC5B/C,EAAkBK,GACXA,KA6JTK,EAASX,eAAiBA,EA1J1B,SAASA,EAAegB,UACbT,EAAcS,GAyBzB,SAASE,EAAaF,GAGlB,GAAIR,EAGA9B,WAAWwC,EAAc,EAAGF,OACzB,CACH,IAAIgC,EAAOzC,EAAcS,GACzB,GAAIgC,EAAM,CACNxC,GAAwB,EACxB,KAjCZ,SAAawC,GACT,IAAIJ,EAAWI,EAAKJ,SAChBC,EAAOG,EAAKH,KAChB,OAAQA,EAAKJ,QACb,KAAK,EACDG,IACA,MACJ,KAAK,EACDA,EAASC,EAAK,IACd,MACJ,KAAK,EACDD,EAASC,EAAK,GAAIA,EAAK,IACvB,MACJ,KAAK,EACDD,EAASC,EAAK,GAAIA,EAAK,GAAIA,EAAK,IAChC,MACJ,QACID,EAAS5E,WAnDrB,EAmDsC6E,IAiBlBI,CAAID,GACN,QACEhD,EAAegB,GACfR,GAAwB,MAvE5C,CAyLkB,oBAAT1C,UAAyC,IAAXiC,EAAyBzB,KAAOyB,EAASjC,Q,wDCzLhF;;;;;;AAOA,IAAIoF,EAActC,OAAOuC,OAAO,IAIhC,SAASC,EAASC,GAChB,OAAOA,QAGT,SAASC,EAAOD,GACd,OAAOA,QAGT,SAASE,EAAQF,GACf,OAAa,IAANA,EAUT,SAASG,EAAaC,GACpB,MACmB,iBAAVA,GACU,iBAAVA,GAEU,iBAAVA,GACU,kBAAVA,EASX,SAASC,EAAUC,GACjB,OAAe,OAARA,GAA+B,iBAARA,EAMhC,IAAIC,EAAYhD,OAAO1C,UAAU4C,SAUjC,SAAS+C,EAAeF,GACtB,MAA+B,oBAAxBC,EAAUjF,KAAKgF,GAGxB,SAASG,EAAUT,GACjB,MAA6B,oBAAtBO,EAAUjF,KAAK0E,GAMxB,SAASU,EAAmBC,GAC1B,IAAIC,EAAIC,WAAWC,OAAOH,IAC1B,OAAOC,GAAK,GAAK7B,KAAKgC,MAAMH,KAAOA,GAAKI,SAASL,GAGnD,SAASM,EAAWN,GAClB,OACEV,EAAMU,IACc,mBAAbA,EAAIO,MACU,mBAAdP,EAAIQ,MAOf,SAAS1D,EAAUkD,GACjB,OAAc,MAAPA,EACH,GACAlB,MAAM2B,QAAQT,IAASH,EAAcG,IAAQA,EAAIlD,WAAa8C,EAC5Dc,KAAKC,UAAUX,EAAK,KAAM,GAC1BG,OAAOH,GAOf,SAASY,EAAUZ,GACjB,IAAIC,EAAIC,WAAWF,GACnB,OAAOa,MAAMZ,GAAKD,EAAMC,EAO1B,SAASa,EACPC,EACAC,GAIA,IAFA,IAAIC,EAAMrE,OAAOsE,OAAO,MACpBC,EAAOJ,EAAIK,MAAM,KACZrC,EAAI,EAAGA,EAAIoC,EAAK1C,OAAQM,IAC/BkC,EAAIE,EAAKpC,KAAM,EAEjB,OAAOiC,EACH,SAAUhB,GAAO,OAAOiB,EAAIjB,EAAIqB,gBAChC,SAAUrB,GAAO,OAAOiB,EAAIjB,IAMlC,IAAIsB,EAAeR,EAAQ,kBAAkB,GAKzCS,EAAsBT,EAAQ,8BAKlC,SAASU,EAAQC,EAAKnG,GACpB,GAAImG,EAAIhD,OAAQ,CACd,IAAIiD,EAAQD,EAAIlD,QAAQjD,GACxB,GAAIoG,GAAS,EACX,OAAOD,EAAIE,OAAOD,EAAO,IAQ/B,IAAIE,EAAiBhF,OAAO1C,UAAU0H,eACtC,SAASC,EAAQlC,EAAKmC,GACpB,OAAOF,EAAejH,KAAKgF,EAAKmC,GAMlC,SAASC,EAAQC,GACf,IAAIC,EAAQrF,OAAOsE,OAAO,MAC1B,OAAO,SAAoBH,GAEzB,OADUkB,EAAMlB,KACDkB,EAAMlB,GAAOiB,EAAGjB,KAOnC,IAAImB,EAAa,SACbC,EAAWJ,GAAO,SAAUhB,GAC9B,OAAOA,EAAIqB,QAAQF,GAAY,SAAUG,EAAGC,GAAK,OAAOA,EAAIA,EAAEC,cAAgB,SAM5EC,EAAaT,GAAO,SAAUhB,GAChC,OAAOA,EAAI0B,OAAO,GAAGF,cAAgBxB,EAAIvC,MAAM,MAM7CkE,EAAc,aACdC,EAAYZ,GAAO,SAAUhB,GAC/B,OAAOA,EAAIqB,QAAQM,EAAa,OAAOrB,iBA8BzC,IAAIuB,EAAO3I,SAASC,UAAU0I,KAJ9B,SAAqBZ,EAAIa,GACvB,OAAOb,EAAGY,KAAKC,IAfjB,SAAuBb,EAAIa,GACzB,SAASC,EAASC,GAChB,IAAIC,EAAInI,UAAU4D,OAClB,OAAOuE,EACHA,EAAI,EACFhB,EAAGhI,MAAM6I,EAAKhI,WACdmH,EAAGrH,KAAKkI,EAAKE,GACff,EAAGrH,KAAKkI,GAId,OADAC,EAAQG,QAAUjB,EAAGvD,OACdqE,GAcT,SAASI,EAAS/B,EAAMgC,GACtBA,EAAQA,GAAS,EAGjB,IAFA,IAAIpE,EAAIoC,EAAK1C,OAAS0E,EAClBC,EAAM,IAAItE,MAAMC,GACbA,KACLqE,EAAIrE,GAAKoC,EAAKpC,EAAIoE,GAEpB,OAAOC,EAMT,SAASC,EAAQC,EAAIC,GACnB,IAAK,IAAIzB,KAAOyB,EACdD,EAAGxB,GAAOyB,EAAMzB,GAElB,OAAOwB,EAMT,SAASE,EAAU/B,GAEjB,IADA,IAAIgC,EAAM,GACD1E,EAAI,EAAGA,EAAI0C,EAAIhD,OAAQM,IAC1B0C,EAAI1C,IACNsE,EAAOI,EAAKhC,EAAI1C,IAGpB,OAAO0E,EAUT,SAASC,EAAMX,EAAGY,EAAGrB,IAKrB,IAAIsB,EAAK,SAAUb,EAAGY,EAAGrB,GAAK,OAAO,GAOjCuB,EAAW,SAAUxB,GAAK,OAAOA,GAerC,SAASyB,EAAYf,EAAGY,GACtB,GAAIZ,IAAMY,EAAK,OAAO,EACtB,IAAII,EAAYrE,EAASqD,GACrBiB,EAAYtE,EAASiE,GACzB,IAAII,IAAaC,EAwBV,OAAKD,IAAcC,GACjB7D,OAAO4C,KAAO5C,OAAOwD,GAxB5B,IACE,IAAIM,EAAWnF,MAAM2B,QAAQsC,GACzBmB,EAAWpF,MAAM2B,QAAQkD,GAC7B,GAAIM,GAAYC,EACd,OAAOnB,EAAEtE,SAAWkF,EAAElF,QAAUsE,EAAEoB,OAAM,SAAUC,EAAGrF,GACnD,OAAO+E,EAAWM,EAAGT,EAAE5E,OAEpB,GAAIgE,aAAasB,MAAQV,aAAaU,KAC3C,OAAOtB,EAAEuB,YAAcX,EAAEW,UACpB,GAAKL,GAAaC,EAQvB,OAAO,EAPP,IAAIK,EAAQ3H,OAAO4H,KAAKzB,GACpB0B,EAAQ7H,OAAO4H,KAAKb,GACxB,OAAOY,EAAM9F,SAAWgG,EAAMhG,QAAU8F,EAAMJ,OAAM,SAAUrC,GAC5D,OAAOgC,EAAWf,EAAEjB,GAAM6B,EAAE7B,OAMhC,MAAOsC,GAEP,OAAO,GAcb,SAASM,EAAcjD,EAAKzB,GAC1B,IAAK,IAAIjB,EAAI,EAAGA,EAAI0C,EAAIhD,OAAQM,IAC9B,GAAI+E,EAAWrC,EAAI1C,GAAIiB,GAAQ,OAAOjB,EAExC,OAAQ,EAMV,SAAS4F,EAAM3C,GACb,IAAI4C,GAAS,EACb,OAAO,WACAA,IACHA,GAAS,EACT5C,EAAGhI,MAAMM,KAAMO,aAKrB,IAEIgK,EAAc,CAChB,YACA,YACA,UAGEC,EAAkB,CACpB,eACA,UACA,cACA,UACA,eACA,UACA,gBACA,YACA,YACA,cACA,gBACA,kBAOEC,EAAS,CAKXC,sBAAuBpI,OAAOsE,OAAO,MAKrC+D,QAAQ,EAKRC,eAAe,EAKfC,UAAU,EAKVC,aAAa,EAKbC,aAAc,KAKdC,YAAa,KAKbC,gBAAiB,GAMjBC,SAAU5I,OAAOsE,OAAO,MAMxBuE,cAAe7B,EAMf8B,eAAgB9B,EAMhB+B,iBAAkB/B,EAKlBgC,gBAAiBlC,EAKjBmC,qBAAsBhC,EAMtBiC,YAAalC,EAMbmC,OAAO,EAKPC,gBAAiBlB,GAUfmB,EAAgB,8JAKpB,SAASC,EAAYnF,GACnB,IAAIuB,GAAKvB,EAAM,IAAIoF,WAAW,GAC9B,OAAa,KAAN7D,GAAoB,KAANA,EAMvB,SAAS8D,EAAKzG,EAAKmC,EAAK9B,EAAKqG,GAC3BzJ,OAAO0J,eAAe3G,EAAKmC,EAAK,CAC9BrC,MAAOO,EACPqG,aAAcA,EACdE,UAAU,EACVC,cAAc,IAOlB,IAAIC,EAAS,IAAIC,OAAQ,KAAQT,EAAoB,OAAI,WAkBzD,IAmCIU,EAnCAC,EAAW,aAAe,GAG1BC,EAA8B,oBAAX9M,OACnB+M,EAAkC,oBAAlBC,iBAAmCA,cAAcC,SACjEC,EAAeH,GAAUC,cAAcC,SAAS3F,cAChD6F,EAAKL,GAAa9M,OAAOoN,UAAUC,UAAU/F,cAC7CgG,EAAOH,GAAM,eAAeI,KAAKJ,GACjCK,EAAQL,GAAMA,EAAG3I,QAAQ,YAAc,EACvCiJ,EAASN,GAAMA,EAAG3I,QAAQ,SAAW,EAErCkJ,IADaP,GAAMA,EAAG3I,QAAQ,WACrB2I,GAAM,uBAAuBI,KAAKJ,IAA0B,QAAjBD,GAGpDS,IAFWR,GAAM,cAAcI,KAAKJ,GACtBA,GAAM,YAAYI,KAAKJ,GAC9BA,GAAMA,EAAGS,MAAM,mBAGtBC,GAAc,GAAKC,MAEnBC,IAAkB,EACtB,GAAIjB,EACF,IACE,IAAIkB,GAAO,GACXnL,OAAO0J,eAAeyB,GAAM,UAAW,CACrCC,IAAK,WAEHF,IAAkB,KAGtB/N,OAAO2E,iBAAiB,eAAgB,KAAMqJ,IAC9C,MAAO3D,IAMX,IAAI6D,GAAoB,WAWtB,YAVkBC,IAAdvB,IAOAA,GALGE,IAAcC,QAA4B,IAAX/K,IAGtBA,EAAgB,SAAuC,WAAlCA,EAAgB,QAAEoM,IAAIC,UAKpDzB,GAILxB,GAAW0B,GAAa9M,OAAOsO,6BAGnC,SAASC,GAAUC,GACjB,MAAuB,mBAATA,GAAuB,cAAcjB,KAAKiB,EAAKzL,YAG/D,IAII0L,GAJAC,GACgB,oBAAXC,QAA0BJ,GAASI,SACvB,oBAAZC,SAA2BL,GAASK,QAAQC,SAMnDJ,GAFiB,oBAARK,KAAuBP,GAASO,KAElCA,IAGc,WACnB,SAASA,IACPvO,KAAKwO,IAAMlM,OAAOsE,OAAO,MAY3B,OAVA2H,EAAI3O,UAAU6O,IAAM,SAAcjH,GAChC,OAAyB,IAAlBxH,KAAKwO,IAAIhH,IAElB+G,EAAI3O,UAAU8O,IAAM,SAAclH,GAChCxH,KAAKwO,IAAIhH,IAAO,GAElB+G,EAAI3O,UAAU+O,MAAQ,WACpB3O,KAAKwO,IAAMlM,OAAOsE,OAAO,OAGpB2H,EAdW,GAoBtB,IAAIK,GAAOxF,EA8FPyF,GAAM,EAMNC,GAAM,WACR9O,KAAKF,GAAK+O,KACV7O,KAAK+O,KAAO,IAGdD,GAAIlP,UAAUoP,OAAS,SAAiBC,GACtCjP,KAAK+O,KAAKG,KAAKD,IAGjBH,GAAIlP,UAAUuP,UAAY,SAAoBF,GAC5C/H,EAAOlH,KAAK+O,KAAME,IAGpBH,GAAIlP,UAAUwP,OAAS,WACjBN,GAAIO,QACNP,GAAIO,OAAOC,OAAOtP,OAItB8O,GAAIlP,UAAU2P,OAAS,WAErB,IAAIR,EAAO/O,KAAK+O,KAAK7K,QAOrB,IAAK,IAAIO,EAAI,EAAGiE,EAAIqG,EAAK5K,OAAQM,EAAIiE,EAAGjE,IACtCsK,EAAKtK,GAAG+K,UAOZV,GAAIO,OAAS,KACb,IAAII,GAAc,GAElB,SAASC,GAAYL,GACnBI,GAAYP,KAAKG,GACjBP,GAAIO,OAASA,EAGf,SAASM,KACPF,GAAYG,MACZd,GAAIO,OAASI,GAAYA,GAAYtL,OAAS,GAKhD,IAAI0L,GAAQ,SACVC,EACAxM,EACAyM,EACAC,EACAC,EACAC,EACAC,EACAC,GAEApQ,KAAK8P,IAAMA,EACX9P,KAAKsD,KAAOA,EACZtD,KAAK+P,SAAWA,EAChB/P,KAAKgQ,KAAOA,EACZhQ,KAAKiQ,IAAMA,EACXjQ,KAAKqQ,QAAKzC,EACV5N,KAAKkQ,QAAUA,EACflQ,KAAKsQ,eAAY1C,EACjB5N,KAAKuQ,eAAY3C,EACjB5N,KAAKwQ,eAAY5C,EACjB5N,KAAKwH,IAAMlE,GAAQA,EAAKkE,IACxBxH,KAAKmQ,iBAAmBA,EACxBnQ,KAAKyQ,uBAAoB7C,EACzB5N,KAAK0Q,YAAS9C,EACd5N,KAAK2Q,KAAM,EACX3Q,KAAK4Q,UAAW,EAChB5Q,KAAK6Q,cAAe,EACpB7Q,KAAK8Q,WAAY,EACjB9Q,KAAK+Q,UAAW,EAChB/Q,KAAKgR,QAAS,EACdhR,KAAKoQ,aAAeA,EACpBpQ,KAAKiR,eAAYrD,EACjB5N,KAAKkR,oBAAqB,GAGxBC,GAAqB,CAAEC,MAAO,CAAElF,cAAc,IAIlDiF,GAAmBC,MAAM1D,IAAM,WAC7B,OAAO1N,KAAKyQ,mBAGdnO,OAAO+O,iBAAkBxB,GAAMjQ,UAAWuR,IAE1C,IAAIG,GAAmB,SAAUtB,QACjB,IAATA,IAAkBA,EAAO,IAE9B,IAAIuB,EAAO,IAAI1B,GAGf,OAFA0B,EAAKvB,KAAOA,EACZuB,EAAKT,WAAY,EACVS,GAGT,SAASC,GAAiB9L,GACxB,OAAO,IAAImK,QAAMjC,OAAWA,OAAWA,EAAW/H,OAAOH,IAO3D,SAAS+L,GAAYC,GACnB,IAAIC,EAAS,IAAI9B,GACf6B,EAAM5B,IACN4B,EAAMpO,KAINoO,EAAM3B,UAAY2B,EAAM3B,SAAS7L,QACjCwN,EAAM1B,KACN0B,EAAMzB,IACNyB,EAAMxB,QACNwB,EAAMvB,iBACNuB,EAAMtB,cAWR,OATAuB,EAAOtB,GAAKqB,EAAMrB,GAClBsB,EAAOf,SAAWc,EAAMd,SACxBe,EAAOnK,IAAMkK,EAAMlK,IACnBmK,EAAOb,UAAYY,EAAMZ,UACzBa,EAAOrB,UAAYoB,EAAMpB,UACzBqB,EAAOpB,UAAYmB,EAAMnB,UACzBoB,EAAOnB,UAAYkB,EAAMlB,UACzBmB,EAAOV,UAAYS,EAAMT,UACzBU,EAAOZ,UAAW,EACXY,EAQT,IAAIC,GAAapN,MAAM5E,UACnBiS,GAAevP,OAAOsE,OAAOgL,IAEZ,CACnB,OACA,MACA,QACA,UACA,SACA,OACA,WAMaE,SAAQ,SAAUC,GAE/B,IAAIC,EAAWJ,GAAWG,GAC1BjG,EAAI+F,GAAcE,GAAQ,WAExB,IADA,IAAIxN,EAAO,GAAI0N,EAAM1R,UAAU4D,OACvB8N,KAAQ1N,EAAM0N,GAAQ1R,UAAW0R,GAEzC,IAEIC,EAFAC,EAASH,EAAStS,MAAMM,KAAMuE,GAC9B6N,EAAKpS,KAAKqS,OAEd,OAAQN,GACN,IAAK,OACL,IAAK,UACHG,EAAW3N,EACX,MACF,IAAK,SACH2N,EAAW3N,EAAKL,MAAM,GAM1B,OAHIgO,GAAYE,EAAGE,aAAaJ,GAEhCE,EAAGG,IAAIhD,SACA4C,QAMX,IAAIK,GAAYlQ,OAAOmQ,oBAAoBZ,IAMvCa,IAAgB,EAEpB,SAASC,GAAiBxN,GACxBuN,GAAgBvN,EASlB,IAAIyN,GAAW,SAAmBzN,GAChCnF,KAAKmF,MAAQA,EACbnF,KAAKuS,IAAM,IAAIzD,GACf9O,KAAK6S,QAAU,EACf/G,EAAI3G,EAAO,SAAUnF,MACjBwE,MAAM2B,QAAQhB,IACZmH,EAsCR,SAAuB+C,EAAQyD,GAE7BzD,EAAO0D,UAAYD,EAvCfE,CAAa7N,EAAO0M,IAgD1B,SAAsBxC,EAAQyD,EAAK5I,GACjC,IAAK,IAAIzF,EAAI,EAAGiE,EAAIwB,EAAK/F,OAAQM,EAAIiE,EAAGjE,IAAK,CAC3C,IAAI+C,EAAM0C,EAAKzF,GACfqH,EAAIuD,EAAQ7H,EAAKsL,EAAItL,KAjDnByL,CAAY9N,EAAO0M,GAAcW,IAEnCxS,KAAKsS,aAAanN,IAElBnF,KAAKkT,KAAK/N,IAsDd,SAASgO,GAAShO,EAAOiO,GAIvB,IAAIhB,EAHJ,GAAKhN,EAASD,MAAUA,aAAiB0K,IAkBzC,OAdItI,EAAOpC,EAAO,WAAaA,EAAMkN,kBAAkBO,GACrDR,EAAKjN,EAAMkN,OAEXK,KACC/E,OACAnJ,MAAM2B,QAAQhB,IAAUI,EAAcJ,KACvC7C,OAAO+Q,aAAalO,KACnBA,EAAMmO,SAEPlB,EAAK,IAAIQ,GAASzN,IAEhBiO,GAAchB,GAChBA,EAAGS,UAEET,EAMT,SAASmB,GACPlO,EACAmC,EACA9B,EACA8N,EACAC,GAEA,IAAIlB,EAAM,IAAIzD,GAEV4E,EAAWpR,OAAOqR,yBAAyBtO,EAAKmC,GACpD,IAAIkM,IAAsC,IAA1BA,EAASxH,aAAzB,CAKA,IAAI0H,EAASF,GAAYA,EAAShG,IAC9BmG,EAASH,GAAYA,EAASlF,IAC5BoF,IAAUC,GAAgC,IAArBtT,UAAU4D,SACnCuB,EAAML,EAAImC,IAGZ,IAAIsM,GAAWL,GAAWN,GAAQzN,GAClCpD,OAAO0J,eAAe3G,EAAKmC,EAAK,CAC9BuE,YAAY,EACZG,cAAc,EACdwB,IAAK,WACH,IAAIvI,EAAQyO,EAASA,EAAOvT,KAAKgF,GAAOK,EAUxC,OATIoJ,GAAIO,SACNkD,EAAInD,SACA0E,IACFA,EAAQvB,IAAInD,SACR5K,MAAM2B,QAAQhB,IAChB4O,GAAY5O,KAIXA,GAETqJ,IAAK,SAAyBwF,GAC5B,IAAI7O,EAAQyO,EAASA,EAAOvT,KAAKgF,GAAOK,EAEpCsO,IAAW7O,GAAU6O,GAAWA,GAAU7O,GAAUA,GAQpDyO,IAAWC,IACXA,EACFA,EAAOxT,KAAKgF,EAAK2O,GAEjBtO,EAAMsO,EAERF,GAAWL,GAAWN,GAAQa,GAC9BzB,EAAIhD,cAUV,SAASf,GAAKa,EAAQ7H,EAAK9B,GAMzB,GAAIlB,MAAM2B,QAAQkJ,IAAW5J,EAAkB+B,GAG7C,OAFA6H,EAAOlL,OAASL,KAAKmQ,IAAI5E,EAAOlL,OAAQqD,GACxC6H,EAAOhI,OAAOG,EAAK,EAAG9B,GACfA,EAET,GAAI8B,KAAO6H,KAAY7H,KAAOlF,OAAO1C,WAEnC,OADAyP,EAAO7H,GAAO9B,EACPA,EAET,IAAI0M,EAAK,EAASC,OAClB,OAAIhD,EAAOiE,QAAWlB,GAAMA,EAAGS,QAKtBnN,EAEJ0M,GAILmB,GAAkBnB,EAAGjN,MAAOqC,EAAK9B,GACjC0M,EAAGG,IAAIhD,SACA7J,IALL2J,EAAO7H,GAAO9B,EACPA,GAUX,SAASwO,GAAK7E,EAAQ7H,GAMpB,GAAIhD,MAAM2B,QAAQkJ,IAAW5J,EAAkB+B,GAC7C6H,EAAOhI,OAAOG,EAAK,OADrB,CAIA,IAAI4K,EAAK,EAASC,OACdhD,EAAOiE,QAAWlB,GAAMA,EAAGS,SAO1BtL,EAAO8H,EAAQ7H,YAGb6H,EAAO7H,GACT4K,GAGLA,EAAGG,IAAIhD,WAOT,SAASwE,GAAa5O,GACpB,IAAK,IAAI2E,OAAI,EAAUrF,EAAI,EAAGiE,EAAIvD,EAAMhB,OAAQM,EAAIiE,EAAGjE,KACrDqF,EAAI3E,EAAMV,KACLqF,EAAEuI,QAAUvI,EAAEuI,OAAOE,IAAInD,SAC1B5K,MAAM2B,QAAQ2D,IAChBiK,GAAYjK,GAhNlB8I,GAAShT,UAAUsT,KAAO,SAAe7N,GAEvC,IADA,IAAI6E,EAAO5H,OAAO4H,KAAK7E,GACdZ,EAAI,EAAGA,EAAIyF,EAAK/F,OAAQM,IAC/B8O,GAAkBlO,EAAK6E,EAAKzF,KAOhCmO,GAAShT,UAAU0S,aAAe,SAAuB6B,GACvD,IAAK,IAAI1P,EAAI,EAAGiE,EAAIyL,EAAMhQ,OAAQM,EAAIiE,EAAGjE,IACvC0O,GAAQgB,EAAM1P,KAgNlB,IAAI2P,GAAS3J,EAAOC,sBAoBpB,SAAS2J,GAAWrL,EAAIsL,GACtB,IAAKA,EAAQ,OAAOtL,EAOpB,IANA,IAAIxB,EAAK+M,EAAOC,EAEZtK,EAAOiE,GACPE,QAAQC,QAAQgG,GAChBhS,OAAO4H,KAAKoK,GAEP7P,EAAI,EAAGA,EAAIyF,EAAK/F,OAAQM,IAGnB,YAFZ+C,EAAM0C,EAAKzF,MAGX8P,EAAQvL,EAAGxB,GACXgN,EAAUF,EAAK9M,GACVD,EAAOyB,EAAIxB,GAGd+M,IAAUC,GACVjP,EAAcgP,IACdhP,EAAciP,IAEdH,GAAUE,EAAOC,GANjBhG,GAAIxF,EAAIxB,EAAKgN,IASjB,OAAOxL,EAMT,SAASyL,GACPC,EACAC,EACAC,GAEA,OAAKA,EAoBI,WAEL,IAAIC,EAAmC,mBAAbF,EACtBA,EAAStU,KAAKuU,EAAIA,GAClBD,EACAG,EAAmC,mBAAdJ,EACrBA,EAAUrU,KAAKuU,EAAIA,GACnBF,EACJ,OAAIG,EACKR,GAAUQ,EAAcC,GAExBA,GA7BNH,EAGAD,EAQE,WACL,OAAOL,GACe,mBAAbM,EAA0BA,EAAStU,KAAKL,KAAMA,MAAQ2U,EACxC,mBAAdD,EAA2BA,EAAUrU,KAAKL,KAAMA,MAAQ0U,IAV1DC,EAHAD,EA2Db,SAASK,GACPL,EACAC,GAEA,IAAIxL,EAAMwL,EACND,EACEA,EAAUM,OAAOL,GACjBnQ,MAAM2B,QAAQwO,GACZA,EACA,CAACA,GACLD,EACJ,OAAOvL,EAKT,SAAsB8L,GAEpB,IADA,IAAI9L,EAAM,GACD1E,EAAI,EAAGA,EAAIwQ,EAAM9Q,OAAQM,KACD,IAA3B0E,EAAIlF,QAAQgR,EAAMxQ,KACpB0E,EAAI+F,KAAK+F,EAAMxQ,IAGnB,OAAO0E,EAXH+L,CAAY/L,GACZA,EAwBN,SAASgM,GACPT,EACAC,EACAC,EACApN,GAEA,IAAI2B,EAAM7G,OAAOsE,OAAO8N,GAAa,MACrC,OAAIC,EAEK5L,EAAOI,EAAKwL,GAEZxL,EAzEXiL,GAAO9Q,KAAO,SACZoR,EACAC,EACAC,GAEA,OAAKA,EAcEH,GAAcC,EAAWC,EAAUC,GAbpCD,GAAgC,mBAAbA,EAQdD,EAEFD,GAAcC,EAAWC,IAmCpCnK,EAAgBsH,SAAQ,SAAUsD,GAChChB,GAAOgB,GAAQL,MAyBjBxK,EAAYuH,SAAQ,SAAUuD,GAC5BjB,GAAOiB,EAAO,KAAOF,MASvBf,GAAO7G,MAAQ,SACbmH,EACAC,EACAC,EACApN,GAMA,GAHIkN,IAAcpH,KAAeoH,OAAY9G,GACzC+G,IAAarH,KAAeqH,OAAW/G,IAEtC+G,EAAY,OAAOrS,OAAOsE,OAAO8N,GAAa,MAInD,IAAKA,EAAa,OAAOC,EACzB,IAAI7L,EAAM,GAEV,IAAK,IAAIwM,KADTvM,EAAOD,EAAK4L,GACMC,EAAU,CAC1B,IAAIjE,EAAS5H,EAAIwM,GACblE,EAAQuD,EAASW,GACjB5E,IAAWlM,MAAM2B,QAAQuK,KAC3BA,EAAS,CAACA,IAEZ5H,EAAIwM,GAAS5E,EACTA,EAAOsE,OAAO5D,GACd5M,MAAM2B,QAAQiL,GAASA,EAAQ,CAACA,GAEtC,OAAOtI,GAMTsL,GAAOmB,MACPnB,GAAOoB,QACPpB,GAAOqB,OACPrB,GAAOsB,SAAW,SAChBhB,EACAC,EACAC,EACApN,GAKA,IAAKkN,EAAa,OAAOC,EACzB,IAAI7L,EAAMxG,OAAOsE,OAAO,MAGxB,OAFAmC,EAAOD,EAAK4L,GACRC,GAAY5L,EAAOD,EAAK6L,GACrB7L,GAETsL,GAAOuB,QAAUlB,GAKjB,IAAImB,GAAe,SAAUlB,EAAWC,GACtC,YAAoB/G,IAAb+G,EACHD,EACAC,GAyHN,SAASkB,GACPnF,EACAU,EACAwD,GAkBA,GAZqB,mBAAVxD,IACTA,EAAQA,EAAM0E,SApGlB,SAAyBA,EAASlB,GAChC,IAAIW,EAAQO,EAAQP,MACpB,GAAKA,EAAL,CACA,IACI9Q,EAAGiB,EADHyD,EAAM,GAEV,GAAI3E,MAAM2B,QAAQoP,GAEhB,IADA9Q,EAAI8Q,EAAMpR,OACHM,KAEc,iBADnBiB,EAAM6P,EAAM9Q,MAGV0E,EADOtB,EAASnC,IACJ,CAAE2P,KAAM,YAKnB,GAAI9P,EAAcgQ,GACvB,IAAK,IAAI/N,KAAO+N,EACd7P,EAAM6P,EAAM/N,GAEZ2B,EADOtB,EAASL,IACJjC,EAAcG,GACtBA,EACA,CAAE2P,KAAM3P,QAEL,EAOXoQ,EAAQP,MAAQpM,GAwEhB4M,CAAe3E,GAlEjB,SAA0B0E,EAASlB,GACjC,IAAIa,EAASK,EAAQL,OACrB,GAAKA,EAAL,CACA,IAAIO,EAAaF,EAAQL,OAAS,GAClC,GAAIjR,MAAM2B,QAAQsP,GAChB,IAAK,IAAIhR,EAAI,EAAGA,EAAIgR,EAAOtR,OAAQM,IACjCuR,EAAWP,EAAOhR,IAAM,CAAE6P,KAAMmB,EAAOhR,SAEpC,GAAIc,EAAckQ,GACvB,IAAK,IAAIjO,KAAOiO,EAAQ,CACtB,IAAI/P,EAAM+P,EAAOjO,GACjBwO,EAAWxO,GAAOjC,EAAcG,GAC5BqD,EAAO,CAAEuL,KAAM9M,GAAO9B,GACtB,CAAE4O,KAAM5O,QAEL,GAoDXuQ,CAAgB7E,GAxClB,SAA8B0E,GAC5B,IAAII,EAAOJ,EAAQK,WACnB,GAAID,EACF,IAAK,IAAI1O,KAAO0O,EAAM,CACpB,IAAIE,EAASF,EAAK1O,GACI,mBAAX4O,IACTF,EAAK1O,GAAO,CAAEc,KAAM8N,EAAQ5G,OAAQ4G,KAmC1CC,CAAoBjF,IAMfA,EAAMkF,QACLlF,EAAMmF,UACR7F,EAASmF,GAAanF,EAAQU,EAAMmF,QAAS3B,IAE3CxD,EAAMoF,QACR,IAAK,IAAI/R,EAAI,EAAGiE,EAAI0I,EAAMoF,OAAOrS,OAAQM,EAAIiE,EAAGjE,IAC9CiM,EAASmF,GAAanF,EAAQU,EAAMoF,OAAO/R,GAAImQ,GAKrD,IACIpN,EADAsO,EAAU,GAEd,IAAKtO,KAAOkJ,EACV+F,EAAWjP,GAEb,IAAKA,KAAO4J,EACL7J,EAAOmJ,EAAQlJ,IAClBiP,EAAWjP,GAGf,SAASiP,EAAYjP,GACnB,IAAIkP,EAAQtC,GAAO5M,IAAQoO,GAC3BE,EAAQtO,GAAOkP,EAAMhG,EAAOlJ,GAAM4J,EAAM5J,GAAMoN,EAAIpN,GAEpD,OAAOsO,EAQT,SAASa,GACPb,EACAT,EACAvV,EACA8W,GAGA,GAAkB,iBAAP9W,EAAX,CAGA,IAAI+W,EAASf,EAAQT,GAErB,GAAI9N,EAAOsP,EAAQ/W,GAAO,OAAO+W,EAAO/W,GACxC,IAAIgX,EAAcjP,EAAS/H,GAC3B,GAAIyH,EAAOsP,EAAQC,GAAgB,OAAOD,EAAOC,GACjD,IAAIC,EAAe7O,EAAW4O,GAC9B,OAAIvP,EAAOsP,EAAQE,GAAwBF,EAAOE,GAExCF,EAAO/W,IAAO+W,EAAOC,IAAgBD,EAAOE,IAcxD,SAASC,GACPxP,EACAyP,EACAC,EACAtC,GAEA,IAAIuC,EAAOF,EAAYzP,GACnB4P,GAAU7P,EAAO2P,EAAW1P,GAC5BrC,EAAQ+R,EAAU1P,GAElB6P,EAAeC,GAAaC,QAASJ,EAAK9B,MAC9C,GAAIgC,GAAgB,EAClB,GAAID,IAAW7P,EAAO4P,EAAM,WAC1BhS,GAAQ,OACH,GAAc,KAAVA,GAAgBA,IAAUkD,EAAUb,GAAM,CAGnD,IAAIgQ,EAAcF,GAAazR,OAAQsR,EAAK9B,OACxCmC,EAAc,GAAKH,EAAeG,KACpCrS,GAAQ,GAKd,QAAcyI,IAAVzI,EAAqB,CACvBA,EAqBJ,SAA8ByP,EAAIuC,EAAM3P,GAEtC,IAAKD,EAAO4P,EAAM,WAChB,OAEF,IAAIrL,EAAMqL,EAAKM,QAEX,EAUJ,GAAI7C,GAAMA,EAAG8C,SAASR,gBACWtJ,IAA/BgH,EAAG8C,SAASR,UAAU1P,SACHoG,IAAnBgH,EAAG+C,OAAOnQ,GAEV,OAAOoN,EAAG+C,OAAOnQ,GAInB,MAAsB,mBAARsE,GAA6C,aAAvB8L,GAAQT,EAAK9B,MAC7CvJ,EAAIzL,KAAKuU,GACT9I,EAhDM+L,CAAoBjD,EAAIuC,EAAM3P,GAGtC,IAAIsQ,EAAoBpF,GACxBC,IAAgB,GAChBQ,GAAQhO,GACRwN,GAAgBmF,GASlB,OAAO3S,EAsHT,SAASyS,GAASlQ,GAChB,IAAI2F,EAAQ3F,GAAMA,EAAGlF,WAAW6K,MAAM,sBACtC,OAAOA,EAAQA,EAAM,GAAK,GAG5B,SAAS0K,GAAYtP,EAAGY,GACtB,OAAOuO,GAAQnP,KAAOmP,GAAQvO,GAGhC,SAASiO,GAAcjC,EAAM2C,GAC3B,IAAKxT,MAAM2B,QAAQ6R,GACjB,OAAOD,GAAWC,EAAe3C,GAAQ,GAAK,EAEhD,IAAK,IAAI5Q,EAAI,EAAGwN,EAAM+F,EAAc7T,OAAQM,EAAIwN,EAAKxN,IACnD,GAAIsT,GAAWC,EAAcvT,GAAI4Q,GAC/B,OAAO5Q,EAGX,OAAQ,EAgDV,SAASwT,GAAaC,EAAKtD,EAAIuD,GAG7BzI,KACA,IACE,GAAIkF,EAEF,IADA,IAAIwD,EAAMxD,EACFwD,EAAMA,EAAIC,SAAU,CAC1B,IAAIpD,EAAQmD,EAAIV,SAASY,cACzB,GAAIrD,EACF,IAAK,IAAIxQ,EAAI,EAAGA,EAAIwQ,EAAM9Q,OAAQM,IAChC,IAEE,IADoD,IAAtCwQ,EAAMxQ,GAAGpE,KAAK+X,EAAKF,EAAKtD,EAAIuD,GAC3B,OACf,MAAOrO,GACPyO,GAAkBzO,EAAGsO,EAAK,uBAMpCG,GAAkBL,EAAKtD,EAAIuD,GAC3B,QACAxI,MAIJ,SAAS6I,GACPC,EACAvI,EACA3L,EACAqQ,EACAuD,GAEA,IAAIhP,EACJ,KACEA,EAAM5E,EAAOkU,EAAQ/Y,MAAMwQ,EAAS3L,GAAQkU,EAAQpY,KAAK6P,MAC7C/G,EAAImK,QAAUtN,EAAUmD,KAASA,EAAIuP,WAC/CvP,EAAIjD,OAAM,SAAU4D,GAAK,OAAOmO,GAAYnO,EAAG8K,EAAIuD,EAAO,uBAG1DhP,EAAIuP,UAAW,GAEjB,MAAO5O,GACPmO,GAAYnO,EAAG8K,EAAIuD,GAErB,OAAOhP,EAGT,SAASoP,GAAmBL,EAAKtD,EAAIuD,GACnC,GAAI1N,EAAOM,aACT,IACE,OAAON,EAAOM,aAAa1K,KAAK,KAAM6X,EAAKtD,EAAIuD,GAC/C,MAAOrO,GAGHA,IAAMoO,GACRS,GAAS7O,EAAG,KAAM,uBAIxB6O,GAAST,EAAKtD,EAAIuD,GAGpB,SAASQ,GAAUT,EAAKtD,EAAIuD,GAK1B,IAAK5L,IAAaC,GAA8B,oBAAZoM,QAGlC,MAAMV,EAFNU,QAAQC,MAAMX,GAQlB,IAyBIY,GAzBAC,IAAmB,EAEnBC,GAAY,GACZC,IAAU,EAEd,SAASC,KACPD,IAAU,EACV,IAAIE,EAASH,GAAU9U,MAAM,GAC7B8U,GAAU7U,OAAS,EACnB,IAAK,IAAIM,EAAI,EAAGA,EAAI0U,EAAOhV,OAAQM,IACjC0U,EAAO1U,KAwBX,GAAuB,oBAAZ2U,SAA2BpL,GAASoL,SAAU,CACvD,IAAIC,GAAID,QAAQE,UAChBR,GAAY,WACVO,GAAEpT,KAAKiT,IAMH/L,IAAS/M,WAAWgJ,IAE1B2P,IAAmB,OACd,GAAKhM,GAAoC,oBAArBwM,mBACzBvL,GAASuL,mBAEuB,yCAAhCA,iBAAiB/W,WAoBjBsW,QAJiC,IAAjBtX,GAAgCwM,GAASxM,GAI7C,WACVA,EAAa0X,KAIH,WACV9Y,WAAW8Y,GAAgB,QAzB5B,CAID,IAAIM,GAAU,EACVC,GAAW,IAAIF,iBAAiBL,IAChCQ,GAAWtX,SAASuX,eAAe9T,OAAO2T,KAC9CC,GAAStG,QAAQuG,GAAU,CACzBE,eAAe,IAEjBd,GAAY,WACVU,IAAWA,GAAU,GAAK,EAC1BE,GAASpW,KAAOuC,OAAO2T,KAEzBT,IAAmB,EAerB,SAASpW,GAAUkX,EAAItR,GACrB,IAAIuR,EAiBJ,GAhBAd,GAAU9J,MAAK,WACb,GAAI2K,EACF,IACEA,EAAGxZ,KAAKkI,GACR,MAAOuB,GACPmO,GAAYnO,EAAGvB,EAAK,iBAEbuR,GACTA,EAASvR,MAGR0Q,KACHA,IAAU,EACVH,OAGGe,GAAyB,oBAAZT,QAChB,OAAO,IAAIA,SAAQ,SAAUE,GAC3BQ,EAAWR,KAwHjB,IAAIS,GAAc,IAAI7L,GAOtB,SAAS8L,GAAUtU,IAKnB,SAASuU,EAAWvU,EAAKwU,GACvB,IAAIzV,EAAGyF,EACHiQ,EAAM3V,MAAM2B,QAAQT,GACxB,IAAMyU,IAAQ/U,EAASM,IAASpD,OAAO8X,SAAS1U,IAAQA,aAAemK,GACrE,OAEF,GAAInK,EAAI2M,OAAQ,CACd,IAAIgI,EAAQ3U,EAAI2M,OAAOE,IAAIzS,GAC3B,GAAIoa,EAAKzL,IAAI4L,GACX,OAEFH,EAAKxL,IAAI2L,GAEX,GAAIF,EAEF,IADA1V,EAAIiB,EAAIvB,OACDM,KAAOwV,EAAUvU,EAAIjB,GAAIyV,QAIhC,IAFAhQ,EAAO5H,OAAO4H,KAAKxE,GACnBjB,EAAIyF,EAAK/F,OACFM,KAAOwV,EAAUvU,EAAIwE,EAAKzF,IAAKyV,GAvBxCD,CAAUvU,EAAKqU,IACfA,GAAYpL,QA4Bd,IAAI2L,GAAiB7S,GAAO,SAAU8S,GACpC,IAAIC,EAA6B,MAAnBD,EAAKpS,OAAO,GAEtBsS,EAA6B,OADjCF,EAAOC,EAAUD,EAAKrW,MAAM,GAAKqW,GACdpS,OAAO,GAEtBuS,EAA6B,OADjCH,EAAOE,EAAUF,EAAKrW,MAAM,GAAKqW,GACdpS,OAAO,GAE1B,MAAO,CACLoS,KAFFA,EAAOG,EAAUH,EAAKrW,MAAM,GAAKqW,EAG/BlQ,KAAMoQ,EACNC,QAASA,EACTF,QAASA,MAIb,SAASG,GAAiBC,EAAKhG,GAC7B,SAASiG,IACP,IAAIC,EAAcva,UAEdqa,EAAMC,EAAQD,IAClB,IAAIpW,MAAM2B,QAAQyU,GAOhB,OAAOpC,GAAwBoC,EAAK,KAAMra,UAAWqU,EAAI,gBALzD,IADA,IAAIjD,EAASiJ,EAAI1W,QACRO,EAAI,EAAGA,EAAIkN,EAAOxN,OAAQM,IACjC+T,GAAwB7G,EAAOlN,GAAI,KAAMqW,EAAalG,EAAI,gBAQhE,OADAiG,EAAQD,IAAMA,EACPC,EAGT,SAASE,GACPC,EACAC,EACAvM,EACAwM,EACAC,EACAvG,GAEA,IAAI2F,EAAcnC,EAAKgD,EAAK/X,EAC5B,IAAKkX,KAAQS,EACF5C,EAAM4C,EAAGT,GAClBa,EAAMH,EAAMV,GACZlX,EAAQiX,GAAeC,GACnBzV,EAAQsT,KAKDtT,EAAQsW,IACbtW,EAAQsT,EAAIwC,OACdxC,EAAM4C,EAAGT,GAAQI,GAAgBvC,EAAKxD,IAEpC3P,EAAO5B,EAAMgH,QACf+N,EAAM4C,EAAGT,GAAQY,EAAkB9X,EAAMkX,KAAMnC,EAAK/U,EAAMqX,UAE5DhM,EAAIrL,EAAMkX,KAAMnC,EAAK/U,EAAMqX,QAASrX,EAAMmX,QAASnX,EAAMgY,SAChDjD,IAAQgD,IACjBA,EAAIR,IAAMxC,EACV4C,EAAGT,GAAQa,IAGf,IAAKb,KAAQU,EACPnW,EAAQkW,EAAGT,KAEbW,GADA7X,EAAQiX,GAAeC,IACPA,KAAMU,EAAMV,GAAOlX,EAAMqX,SAO/C,SAASY,GAAgBxP,EAAKyP,EAASnG,GAIrC,IAAIyF,EAHA/O,aAAe+D,KACjB/D,EAAMA,EAAIxI,KAAK8R,OAAStJ,EAAIxI,KAAK8R,KAAO,KAG1C,IAAIoG,EAAU1P,EAAIyP,GAElB,SAASE,IACPrG,EAAK1V,MAAMM,KAAMO,WAGjB2G,EAAO2T,EAAQD,IAAKa,GAGlB3W,EAAQ0W,GAEVX,EAAUF,GAAgB,CAACc,IAGvBzW,EAAMwW,EAAQZ,MAAQ3V,EAAOuW,EAAQE,SAEvCb,EAAUW,GACFZ,IAAI1L,KAAKuM,GAGjBZ,EAAUF,GAAgB,CAACa,EAASC,IAIxCZ,EAAQa,QAAS,EACjB5P,EAAIyP,GAAWV,EA8CjB,SAASc,GACPxS,EACAyS,EACApU,EACAqU,EACAC,GAEA,GAAI9W,EAAM4W,GAAO,CACf,GAAIrU,EAAOqU,EAAMpU,GAKf,OAJA2B,EAAI3B,GAAOoU,EAAKpU,GACXsU,UACIF,EAAKpU,IAEP,EACF,GAAID,EAAOqU,EAAMC,GAKtB,OAJA1S,EAAI3B,GAAOoU,EAAKC,GACXC,UACIF,EAAKC,IAEP,EAGX,OAAO,EA8BT,SAASE,GAAmBhM,GAC1B,OAAO7K,EAAY6K,GACf,CAACyB,GAAgBzB,IACjBvL,MAAM2B,QAAQ4J,GASpB,SAASiM,EAAwBjM,EAAUkM,GACzC,IACIxX,EAAGuD,EAAGkU,EAAWC,EADjBhT,EAAM,GAEV,IAAK1E,EAAI,EAAGA,EAAIsL,EAAS5L,OAAQM,IAE3BK,EADJkD,EAAI+H,EAAStL,KACkB,kBAANuD,IACzBkU,EAAY/S,EAAIhF,OAAS,EACzBgY,EAAOhT,EAAI+S,GAEP1X,MAAM2B,QAAQ6B,GACZA,EAAE7D,OAAS,IAGTiY,IAFJpU,EAAIgU,EAAuBhU,GAAKiU,GAAe,IAAM,IAAMxX,IAE1C,KAAO2X,GAAWD,KACjChT,EAAI+S,GAAa1K,GAAgB2K,EAAKnM,KAAQhI,EAAE,GAAIgI,MACpDhI,EAAEqU,SAEJlT,EAAI+F,KAAKxP,MAAMyJ,EAAKnB,IAEb9C,EAAY8C,GACjBoU,GAAWD,GAIbhT,EAAI+S,GAAa1K,GAAgB2K,EAAKnM,KAAOhI,GAC9B,KAANA,GAETmB,EAAI+F,KAAKsC,GAAgBxJ,IAGvBoU,GAAWpU,IAAMoU,GAAWD,GAE9BhT,EAAI+S,GAAa1K,GAAgB2K,EAAKnM,KAAOhI,EAAEgI,OAG3C/K,EAAO8K,EAASuM,WAClBtX,EAAMgD,EAAE8H,MACRhL,EAAQkD,EAAER,MACVxC,EAAMiX,KACNjU,EAAER,IAAM,UAAYyU,EAAc,IAAMxX,EAAI,MAE9C0E,EAAI+F,KAAKlH,KAIf,OAAOmB,EArDD6S,CAAuBjM,QACvBnC,EAGR,SAASwO,GAAY7K,GACnB,OAAOvM,EAAMuM,IAASvM,EAAMuM,EAAKvB,QA5yEpB,IA4yEqCuB,EAAKT,UAqFzD,SAASyL,GAAe9G,EAAQb,GAC9B,GAAIa,EAAQ,CAOV,IALA,IAAItD,EAAS7P,OAAOsE,OAAO,MACvBsD,EAAOiE,GACPE,QAAQC,QAAQmH,GAChBnT,OAAO4H,KAAKuL,GAEPhR,EAAI,EAAGA,EAAIyF,EAAK/F,OAAQM,IAAK,CACpC,IAAI+C,EAAM0C,EAAKzF,GAEf,GAAY,WAAR+C,EAAJ,CAGA,IAFA,IAAIgV,EAAa/G,EAAOjO,GAAK8M,KACzBtQ,EAAS4Q,EACN5Q,GAAQ,CACb,GAAIA,EAAOyY,WAAalV,EAAOvD,EAAOyY,UAAWD,GAAa,CAC5DrK,EAAO3K,GAAOxD,EAAOyY,UAAUD,GAC/B,MAEFxY,EAASA,EAAOqU,QAElB,IAAKrU,EACH,GAAI,YAAayR,EAAOjO,GAAM,CAC5B,IAAIkV,EAAiBjH,EAAOjO,GAAKiQ,QACjCtF,EAAO3K,GAAiC,mBAAnBkV,EACjBA,EAAerc,KAAKuU,GACpB8H,OACK,GAKf,OAAOvK,GAWX,SAASwK,GACP5M,EACAG,GAEA,IAAKH,IAAaA,EAAS5L,OACzB,MAAO,GAGT,IADA,IAAIyY,EAAQ,GACHnY,EAAI,EAAGiE,EAAIqH,EAAS5L,OAAQM,EAAIiE,EAAGjE,IAAK,CAC/C,IAAI2M,EAAQrB,EAAStL,GACjBnB,EAAO8N,EAAM9N,KAOjB,GALIA,GAAQA,EAAKuZ,OAASvZ,EAAKuZ,MAAMC,aAC5BxZ,EAAKuZ,MAAMC,KAIf1L,EAAMlB,UAAYA,GAAWkB,EAAMd,YAAcJ,IACpD5M,GAAqB,MAAbA,EAAKwZ,MAUZF,EAAMnF,UAAYmF,EAAMnF,QAAU,KAAKvI,KAAKkC,OAT7C,CACA,IAAImJ,EAAOjX,EAAKwZ,KACZA,EAAQF,EAAMrC,KAAUqC,EAAMrC,GAAQ,IACxB,aAAdnJ,EAAMtB,IACRgN,EAAK5N,KAAKxP,MAAMod,EAAM1L,EAAMrB,UAAY,IAExC+M,EAAK5N,KAAKkC,IAOhB,IAAK,IAAI2L,KAAUH,EACbA,EAAMG,GAAQlT,MAAMmT,YACfJ,EAAMG,GAGjB,OAAOH,EAGT,SAASI,GAAczL,GACrB,OAAQA,EAAKT,YAAcS,EAAKnB,cAA+B,MAAdmB,EAAKvB,KAKxD,SAASiN,GACPL,EACAM,EACAC,GAEA,IAAIhU,EACAiU,EAAiB9a,OAAO4H,KAAKgT,GAAa/Y,OAAS,EACnDkZ,EAAWT,IAAUA,EAAMU,SAAWF,EACtC5V,EAAMoV,GAASA,EAAMW,KACzB,GAAKX,EAEE,IAAIA,EAAMY,YAEf,OAAOZ,EAAMY,YACR,GACLH,GACAF,GACAA,IAAcvY,GACd4C,IAAQ2V,EAAUI,OACjBH,IACAD,EAAUM,WAIX,OAAON,EAGP,IAAK,IAAI7H,KADTnM,EAAM,GACYyT,EACZA,EAAMtH,IAAuB,MAAbA,EAAM,KACxBnM,EAAImM,GAASoI,GAAoBR,EAAa5H,EAAOsH,EAAMtH,UAnB/DnM,EAAM,GAwBR,IAAK,IAAIwU,KAAST,EACVS,KAASxU,IACbA,EAAIwU,GAASC,GAAgBV,EAAaS,IAW9C,OANIf,GAASta,OAAO+Q,aAAauJ,KAC/B,EAAQY,YAAcrU,GAExB2C,EAAI3C,EAAK,UAAWkU,GACpBvR,EAAI3C,EAAK,OAAQ3B,GACjBsE,EAAI3C,EAAK,aAAciU,GAChBjU,EAGT,SAASuU,GAAoBR,EAAa1V,EAAKE,GAC7C,IAAIsO,EAAa,WACf,IAAI7M,EAAM5I,UAAU4D,OAASuD,EAAGhI,MAAM,KAAMa,WAAamH,EAAG,IAI5D,OAHAyB,EAAMA,GAAsB,iBAARA,IAAqB3E,MAAM2B,QAAQgD,GACnD,CAACA,GACD4S,GAAkB5S,MAEL,IAAfA,EAAIhF,QACY,IAAfgF,EAAIhF,QAAgBgF,EAAI,GAAG2H,gBAC1BlD,EACAzE,GAYN,OAPIzB,EAAGmW,OACLvb,OAAO0J,eAAekR,EAAa1V,EAAK,CACtCkG,IAAKsI,EACLjK,YAAY,EACZG,cAAc,IAGX8J,EAGT,SAAS4H,GAAgBhB,EAAOpV,GAC9B,OAAO,WAAc,OAAOoV,EAAMpV,IAQpC,SAASsW,GACPpY,EACAqY,GAEA,IAAIjV,EAAKrE,EAAGiE,EAAGwB,EAAM1C,EACrB,GAAIhD,MAAM2B,QAAQT,IAAuB,iBAARA,EAE/B,IADAoD,EAAM,IAAItE,MAAMkB,EAAIvB,QACfM,EAAI,EAAGiE,EAAIhD,EAAIvB,OAAQM,EAAIiE,EAAGjE,IACjCqE,EAAIrE,GAAKsZ,EAAOrY,EAAIjB,GAAIA,QAErB,GAAmB,iBAARiB,EAEhB,IADAoD,EAAM,IAAItE,MAAMkB,GACXjB,EAAI,EAAGA,EAAIiB,EAAKjB,IACnBqE,EAAIrE,GAAKsZ,EAAOtZ,EAAI,EAAGA,QAEpB,GAAIW,EAASM,GAClB,GAAIyI,IAAazI,EAAI0I,OAAO4P,UAAW,CACrClV,EAAM,GAGN,IAFA,IAAIkV,EAAWtY,EAAI0I,OAAO4P,YACtB7L,EAAS6L,EAASC,QACd9L,EAAO+L,MACbpV,EAAIoG,KAAK6O,EAAO5L,EAAOhN,MAAO2D,EAAI3E,SAClCgO,EAAS6L,EAASC,YAKpB,IAFA/T,EAAO5H,OAAO4H,KAAKxE,GACnBoD,EAAM,IAAItE,MAAM0F,EAAK/F,QAChBM,EAAI,EAAGiE,EAAIwB,EAAK/F,OAAQM,EAAIiE,EAAGjE,IAClC+C,EAAM0C,EAAKzF,GACXqE,EAAIrE,GAAKsZ,EAAOrY,EAAI8B,GAAMA,EAAK/C,GAQrC,OAJKO,EAAM8D,KACTA,EAAM,IAER,EAAMwT,UAAW,EACVxT,EAQT,SAASqV,GACP5D,EACA6D,EACA7I,EACA8I,GAEA,IACIC,EADAC,EAAeve,KAAKwe,aAAajE,GAEjCgE,GACFhJ,EAAQA,GAAS,GACb8I,IAOF9I,EAAQxM,EAAOA,EAAO,GAAIsV,GAAa9I,IAEzC+I,EAAQC,EAAahJ,IAAU6I,GAE/BE,EAAQte,KAAKye,OAAOlE,IAAS6D,EAG/B,IAAI/O,EAASkG,GAASA,EAAMuH,KAC5B,OAAIzN,EACKrP,KAAK0e,eAAe,WAAY,CAAE5B,KAAMzN,GAAUiP,GAElDA,EASX,SAASK,GAAe7e,GACtB,OAAO6W,GAAa3W,KAAK0X,SAAU,UAAW5X,IAAayJ,EAK7D,SAASqV,GAAeC,EAAQC,GAC9B,OAAIta,MAAM2B,QAAQ0Y,IACmB,IAA5BA,EAAO5a,QAAQ6a,GAEfD,IAAWC,EAStB,SAASC,GACPC,EACAxX,EACAyX,EACAC,EACAC,GAEA,IAAIC,EAAgB3U,EAAOS,SAAS1D,IAAQyX,EAC5C,OAAIE,GAAkBD,IAAiBzU,EAAOS,SAAS1D,GAC9CoX,GAAcO,EAAgBD,GAC5BE,EACFR,GAAcQ,EAAeJ,GAC3BE,EACF7W,EAAU6W,KAAkB1X,OAD9B,EAUT,SAAS6X,GACP/b,EACAwM,EACA3K,EACAma,EACAC,GAEA,GAAIpa,EACF,GAAKC,EAASD,GAKP,CAIL,IAAIyW,EAHApX,MAAM2B,QAAQhB,KAChBA,EAAQ+D,EAAS/D,IAGnB,IAAIqa,EAAO,SAAWhY,GACpB,GACU,UAARA,GACQ,UAARA,GACAP,EAAoBO,GAEpBoU,EAAOtY,MACF,CACL,IAAI+R,EAAO/R,EAAKuZ,OAASvZ,EAAKuZ,MAAMxH,KACpCuG,EAAO0D,GAAU7U,EAAOe,YAAYsE,EAAKuF,EAAM7N,GAC3ClE,EAAKmc,WAAanc,EAAKmc,SAAW,IAClCnc,EAAKuZ,QAAUvZ,EAAKuZ,MAAQ,IAElC,IAAI6C,EAAe7X,EAASL,GACxBmY,EAAgBtX,EAAUb,GACxBkY,KAAgB9D,GAAW+D,KAAiB/D,IAChDA,EAAKpU,GAAOrC,EAAMqC,GAEd+X,KACOjc,EAAK0X,KAAO1X,EAAK0X,GAAK,KAC3B,UAAYxT,GAAQ,SAAUoY,GAChCza,EAAMqC,GAAOoY,MAMrB,IAAK,IAAIpY,KAAOrC,EAAOqa,EAAMhY,QAGjC,OAAOlE,EAQT,SAASuc,GACPzY,EACA0Y,GAEA,IAAIrY,EAASzH,KAAK+f,eAAiB/f,KAAK+f,aAAe,IACnDC,EAAOvY,EAAOL,GAGlB,OAAI4Y,IAASF,GASbG,GALAD,EAAOvY,EAAOL,GAASpH,KAAK0X,SAASwI,gBAAgB9Y,GAAO/G,KAC1DL,KAAKmgB,aACL,KACAngB,MAEgB,aAAeoH,GAAQ,GARhC4Y,EAgBX,SAASI,GACPJ,EACA5Y,EACAI,GAGA,OADAyY,GAAWD,EAAO,WAAa5Y,GAASI,EAAO,IAAMA,EAAO,KAAM,GAC3DwY,EAGT,SAASC,GACPD,EACAxY,EACAwJ,GAEA,GAAIxM,MAAM2B,QAAQ6Z,GAChB,IAAK,IAAIvb,EAAI,EAAGA,EAAIub,EAAK7b,OAAQM,IAC3Bub,EAAKvb,IAAyB,iBAAZub,EAAKvb,IACzB4b,GAAeL,EAAKvb,GAAK+C,EAAM,IAAM/C,EAAIuM,QAI7CqP,GAAeL,EAAMxY,EAAKwJ,GAI9B,SAASqP,GAAgB9O,EAAM/J,EAAKwJ,GAClCO,EAAKX,UAAW,EAChBW,EAAK/J,IAAMA,EACX+J,EAAKP,OAASA,EAKhB,SAASsP,GAAqBhd,EAAM6B,GAClC,GAAIA,EACF,GAAKI,EAAcJ,GAKZ,CACL,IAAI6V,EAAK1X,EAAK0X,GAAK1X,EAAK0X,GAAKjS,EAAO,GAAIzF,EAAK0X,IAAM,GACnD,IAAK,IAAIxT,KAAOrC,EAAO,CACrB,IAAIob,EAAWvF,EAAGxT,GACdgZ,EAAOrb,EAAMqC,GACjBwT,EAAGxT,GAAO+Y,EAAW,GAAGvL,OAAOuL,EAAUC,GAAQA,QAIvD,OAAOld,EAKT,SAASmd,GACP7F,EACAzR,EAEAuX,EACAC,GAEAxX,EAAMA,GAAO,CAAEmU,SAAUoD,GACzB,IAAK,IAAIjc,EAAI,EAAGA,EAAImW,EAAIzW,OAAQM,IAAK,CACnC,IAAIqY,EAAOlC,EAAInW,GACXD,MAAM2B,QAAQ2W,GAChB2D,GAAmB3D,EAAM3T,EAAKuX,GACrB5D,IAELA,EAAKe,QACPf,EAAKpV,GAAGmW,OAAQ,GAElB1U,EAAI2T,EAAKtV,KAAOsV,EAAKpV,IAMzB,OAHIiZ,IACF,EAAMpD,KAAOoD,GAERxX,EAKT,SAASyX,GAAiBC,EAASC,GACjC,IAAK,IAAIrc,EAAI,EAAGA,EAAIqc,EAAO3c,OAAQM,GAAK,EAAG,CACzC,IAAI+C,EAAMsZ,EAAOrc,GACE,iBAAR+C,GAAoBA,IAC7BqZ,EAAQC,EAAOrc,IAAMqc,EAAOrc,EAAI,IASpC,OAAOoc,EAMT,SAASE,GAAiB5b,EAAO6b,GAC/B,MAAwB,iBAAV7b,EAAqB6b,EAAS7b,EAAQA,EAKtD,SAAS8b,GAAsB5R,GAC7BA,EAAO6R,GAAKd,GACZ/Q,EAAO8R,GAAK7a,EACZ+I,EAAO+R,GAAK5e,EACZ6M,EAAOgS,GAAKvD,GACZzO,EAAOiS,GAAKnD,GACZ9O,EAAOkS,GAAK/X,EACZ6F,EAAOmS,GAAKpX,EACZiF,EAAOoS,GAAK5B,GACZxQ,EAAOqS,GAAK/C,GACZtP,EAAOsS,GAAK5C,GACZ1P,EAAOuS,GAAKvC,GACZhQ,EAAOwS,GAAKrQ,GACZnC,EAAOyS,GAAKxQ,GACZjC,EAAO0S,GAAKtB,GACZpR,EAAO2S,GAAK1B,GACZjR,EAAO4S,GAAKrB,GACZvR,EAAO6S,GAAKnB,GAKd,SAASoB,GACP7e,EACAiS,EACAxF,EACAW,EACAzC,GAEA,IAKImU,EALAC,EAASriB,KAET8V,EAAU7H,EAAK6H,QAIfvO,EAAOmJ,EAAQ,SACjB0R,EAAY9f,OAAOsE,OAAO8J,IAEhB4R,UAAY5R,GAKtB0R,EAAY1R,EAEZA,EAASA,EAAO4R,WAElB,IAAIC,EAAatd,EAAO6Q,EAAQ0M,WAC5BC,GAAqBF,EAEzBviB,KAAKsD,KAAOA,EACZtD,KAAKuV,MAAQA,EACbvV,KAAK+P,SAAWA,EAChB/P,KAAK0Q,OAASA,EACd1Q,KAAK0iB,UAAYpf,EAAK0X,IAAMpW,EAC5B5E,KAAK2iB,WAAapG,GAAczG,EAAQL,OAAQ/E,GAChD1Q,KAAK4c,MAAQ,WAOX,OANKyF,EAAO5D,QACVxB,GACE3Z,EAAKsf,YACLP,EAAO5D,OAAS9B,GAAa5M,EAAUW,IAGpC2R,EAAO5D,QAGhBnc,OAAO0J,eAAehM,KAAM,cAAe,CACzC+L,YAAY,EACZ2B,IAAK,WACH,OAAOuP,GAAqB3Z,EAAKsf,YAAa5iB,KAAK4c,YAKnD2F,IAEFviB,KAAK0X,SAAW5B,EAEhB9V,KAAKye,OAASze,KAAK4c,QACnB5c,KAAKwe,aAAevB,GAAqB3Z,EAAKsf,YAAa5iB,KAAKye,SAG9D3I,EAAQ+M,SACV7iB,KAAK8iB,GAAK,SAAUra,EAAGY,EAAGrB,EAAG+a,GAC3B,IAAIrR,EAAQlO,GAAc4e,EAAW3Z,EAAGY,EAAGrB,EAAG+a,EAAGN,GAKjD,OAJI/Q,IAAUlN,MAAM2B,QAAQuL,KAC1BA,EAAMlB,UAAYsF,EAAQ+M,SAC1BnR,EAAMpB,UAAYI,GAEbgB,GAGT1R,KAAK8iB,GAAK,SAAUra,EAAGY,EAAGrB,EAAG+a,GAAK,OAAOvf,GAAc4e,EAAW3Z,EAAGY,EAAGrB,EAAG+a,EAAGN,IA+ClF,SAASO,GAA8BtR,EAAOpO,EAAM8e,EAAWtM,EAASmN,GAItE,IAAIC,EAAQzR,GAAWC,GASvB,OARAwR,EAAM5S,UAAY8R,EAClBc,EAAM3S,UAAYuF,EAIdxS,EAAKwZ,QACNoG,EAAM5f,OAAS4f,EAAM5f,KAAO,KAAKwZ,KAAOxZ,EAAKwZ,MAEzCoG,EAGT,SAASC,GAAYna,EAAIsL,GACvB,IAAK,IAAI9M,KAAO8M,EACdtL,EAAGnB,EAASL,IAAQ8M,EAAK9M,GA7D7ByZ,GAAqBkB,GAAwBviB,WA0E7C,IAAIwjB,GAAsB,CACxBC,KAAM,SAAe3R,EAAO4R,GAC1B,GACE5R,EAAMjB,oBACLiB,EAAMjB,kBAAkB8S,cACzB7R,EAAMpO,KAAKkgB,UACX,CAEA,IAAIC,EAAc/R,EAClB0R,GAAoBM,SAASD,EAAaA,OACrC,EACO/R,EAAMjB,kBA0JxB,SACEiB,EACAhB,GAEA,IAAIoF,EAAU,CACZ6N,cAAc,EACdC,aAAclS,EACdhB,OAAQA,GAGNmT,EAAiBnS,EAAMpO,KAAKugB,eAC5B7e,EAAM6e,KACR/N,EAAQiI,OAAS8F,EAAe9F,OAChCjI,EAAQoK,gBAAkB2D,EAAe3D,iBAE3C,OAAO,IAAIxO,EAAMvB,iBAAiBlC,KAAK6H,GAzKGgO,CACpCpS,EACAqS,KAEIC,OAAOV,EAAY5R,EAAMzB,SAAMrC,EAAW0V,KAIpDI,SAAU,SAAmBO,EAAUvS,GACrC,IAAIoE,EAAUpE,EAAMvB,kBAw8BxB,SACEyE,EACAsC,EACAwL,EACAwB,EACAC,GAEI,EAUJ,IAAIC,EAAiBF,EAAY5gB,KAAKsf,YAClCyB,EAAiBzP,EAAG4J,aACpB8F,KACDF,IAAmBA,EAAe9G,SAClC+G,IAAmBzf,IAAgByf,EAAe/G,SAClD8G,GAAkBxP,EAAG4J,aAAajB,OAAS6G,EAAe7G,MAMzDgH,KACFJ,GACAvP,EAAG8C,SAAS8M,iBACZF,GAGF1P,EAAG8C,SAASkM,aAAeM,EAC3BtP,EAAG6P,OAASP,EAERtP,EAAG8P,SACL9P,EAAG8P,OAAOhU,OAASwT,GAWrB,GATAtP,EAAG8C,SAAS8M,gBAAkBL,EAK9BvP,EAAG+P,OAAST,EAAY5gB,KAAKuZ,OAASjY,EACtCgQ,EAAGgQ,WAAalC,GAAa9d,EAGzBsS,GAAatC,EAAG8C,SAASnC,MAAO,CAClC5C,IAAgB,GAGhB,IAFA,IAAI4C,EAAQX,EAAG+C,OACXkN,EAAWjQ,EAAG8C,SAASoN,WAAa,GAC/BrgB,EAAI,EAAGA,EAAIogB,EAAS1gB,OAAQM,IAAK,CACxC,IAAI+C,EAAMqd,EAASpgB,GACfwS,EAAcrC,EAAG8C,SAASnC,MAC9BA,EAAM/N,GAAOwP,GAAaxP,EAAKyP,EAAaC,EAAWtC,GAEzDjC,IAAgB,GAEhBiC,EAAG8C,SAASR,UAAYA,EAI1BwL,EAAYA,GAAa9d,EACzB,IAAImgB,EAAenQ,EAAG8C,SAASsN,iBAC/BpQ,EAAG8C,SAASsN,iBAAmBtC,EAC/BuC,GAAyBrQ,EAAI8N,EAAWqC,GAGpCR,IACF3P,EAAG6J,OAAS9B,GAAawH,EAAgBD,EAAYhU,SACrD0E,EAAGsQ,gBAGD,EAjhCFC,CADYzT,EAAMjB,kBAAoBwT,EAASxT,kBAG7CqF,EAAQoB,UACRpB,EAAQ4M,UACRhR,EACAoE,EAAQ/F,WAIZqV,OAAQ,SAAiB1T,GACvB,IAysC8BkD,EAzsC1B1E,EAAUwB,EAAMxB,QAChBO,EAAoBiB,EAAMjB,kBACzBA,EAAkB4U,aACrB5U,EAAkB4U,YAAa,EAC/BC,GAAS7U,EAAmB,YAE1BiB,EAAMpO,KAAKkgB,YACTtT,EAAQmV,aAksCgBzQ,EA5rCFnE,GA+rC3B8U,WAAY,EACfC,GAAkBtW,KAAK0F,IA9rCjB6Q,GAAuBhV,GAAmB,KAKhDiV,QAAS,SAAkBhU,GACzB,IAAIjB,EAAoBiB,EAAMjB,kBACzBA,EAAkB8S,eAChB7R,EAAMpO,KAAKkgB,UA8gCtB,SAASmC,EAA0B/Q,EAAIgR,GACrC,GAAIA,IACFhR,EAAGiR,iBAAkB,EACjBC,GAAiBlR,IACnB,OAGJ,IAAKA,EAAG2Q,UAAW,CACjB3Q,EAAG2Q,WAAY,EACf,IAAK,IAAI9gB,EAAI,EAAGA,EAAImQ,EAAGmR,UAAU5hB,OAAQM,IACvCkhB,EAAyB/Q,EAAGmR,UAAUthB,IAExC6gB,GAAS1Q,EAAI,gBAvhCT+Q,CAAyBlV,GAAmB,GAF5CA,EAAkBuV,cAQtBC,GAAe3jB,OAAO4H,KAAKkZ,IAE/B,SAAS8C,GACPjY,EACA3K,EACA4M,EACAH,EACAD,GAEA,IAAIhL,EAAQmJ,GAAZ,CAIA,IAAIkY,EAAWjW,EAAQwH,SAASpB,MAShC,GANIlR,EAAS6I,KACXA,EAAOkY,EAASpd,OAAOkF,IAKL,mBAATA,EAAX,CAQA,IAAImC,EACJ,GAAItL,EAAQmJ,EAAKmY,WAGFxY,KADbK,EA+ZJ,SACEoY,EACAF,GAEA,GAAIlhB,EAAOohB,EAAQxN,QAAU7T,EAAMqhB,EAAQC,WACzC,OAAOD,EAAQC,UAGjB,GAAIthB,EAAMqhB,EAAQE,UAChB,OAAOF,EAAQE,SAGjB,IAAIC,EAAQC,GACRD,GAASxhB,EAAMqhB,EAAQK,UAA8C,IAAnCL,EAAQK,OAAOziB,QAAQuiB,IAE3DH,EAAQK,OAAOxX,KAAKsX,GAGtB,GAAIvhB,EAAOohB,EAAQM,UAAY3hB,EAAMqhB,EAAQO,aAC3C,OAAOP,EAAQO,YAGjB,GAAIJ,IAAUxhB,EAAMqhB,EAAQK,QAAS,CACnC,IAAIA,EAASL,EAAQK,OAAS,CAACF,GAC3BK,GAAO,EACPC,EAAe,KACfC,EAAe,KAElB,EAAQC,IAAI,kBAAkB,WAAc,OAAO9f,EAAOwf,EAAQF,MAEnE,IAAIS,EAAc,SAAUC,GAC1B,IAAK,IAAIziB,EAAI,EAAGiE,EAAIge,EAAOviB,OAAQM,EAAIiE,EAAGjE,IACvCiiB,EAAOjiB,GAAIygB,eAGVgC,IACFR,EAAOviB,OAAS,EACK,OAAjB2iB,IACFtmB,aAAasmB,GACbA,EAAe,MAEI,OAAjBC,IACFvmB,aAAaumB,GACbA,EAAe,QAKjBzN,EAAUjP,GAAK,SAAUlB,GAE3Bkd,EAAQE,SAAWY,GAAWhe,EAAKgd,GAG9BU,EAGHH,EAAOviB,OAAS,EAFhB8iB,GAAY,MAMZG,EAAS/c,GAAK,SAAUgd,GAKtBriB,EAAMqhB,EAAQC,aAChBD,EAAQxN,OAAQ,EAChBoO,GAAY,OAIZ9d,EAAMkd,EAAQ/M,EAAS8N,GA+C3B,OA7CIhiB,EAAS+D,KACPnD,EAAUmD,GAERrE,EAAQuhB,EAAQE,WAClBpd,EAAIlD,KAAKqT,EAAS8N,GAEXphB,EAAUmD,EAAIme,aACvBne,EAAIme,UAAUrhB,KAAKqT,EAAS8N,GAExBpiB,EAAMmE,EAAI0P,SACZwN,EAAQC,UAAYa,GAAWhe,EAAI0P,MAAOsN,IAGxCnhB,EAAMmE,EAAIwd,WACZN,EAAQO,YAAcO,GAAWhe,EAAIwd,QAASR,GAC5B,IAAdhd,EAAIoe,MACNlB,EAAQM,SAAU,EAElBG,EAAe1mB,YAAW,WACxB0mB,EAAe,KACXhiB,EAAQuhB,EAAQE,WAAazhB,EAAQuhB,EAAQxN,SAC/CwN,EAAQM,SAAU,EAClBM,GAAY,MAEb9d,EAAIoe,OAAS,MAIhBviB,EAAMmE,EAAIxI,WACZomB,EAAe3mB,YAAW,WACxB2mB,EAAe,KACXjiB,EAAQuhB,EAAQE,WAClBa,EAGM,QAGPje,EAAIxI,YAKbkmB,GAAO,EAEAR,EAAQM,QACXN,EAAQO,YACRP,EAAQE,UAvhBLiB,CADPpX,EAAenC,EAC4BkY,IAKzC,OA6YN,SACEE,EACA/iB,EACA4M,EACAH,EACAD,GAEA,IAAIyB,EAAOD,KAGX,OAFAC,EAAKnB,aAAeiW,EACpB9U,EAAKN,UAAY,CAAE3N,KAAMA,EAAM4M,QAASA,EAASH,SAAUA,EAAUD,IAAKA,GACnEyB,EAvZIkW,CACLrX,EACA9M,EACA4M,EACAH,EACAD,GAKNxM,EAAOA,GAAQ,GAIfokB,GAA0BzZ,GAGtBjJ,EAAM1B,EAAKqkB,QAwFjB,SAAyB7R,EAASxS,GAChC,IAAI6T,EAAQrB,EAAQ6R,OAAS7R,EAAQ6R,MAAMxQ,MAAS,QAChD9T,EAASyS,EAAQ6R,OAAS7R,EAAQ6R,MAAMtkB,OAAU,SACpDC,EAAKuZ,QAAUvZ,EAAKuZ,MAAQ,KAAK1F,GAAQ7T,EAAKqkB,MAAMxiB,MACtD,IAAI6V,EAAK1X,EAAK0X,KAAO1X,EAAK0X,GAAK,IAC3BuF,EAAWvF,EAAG3X,GACdiB,EAAWhB,EAAKqkB,MAAMrjB,SACtBU,EAAMub,IAEN/b,MAAM2B,QAAQoa,IACsB,IAAhCA,EAAStc,QAAQK,GACjBic,IAAajc,KAEjB0W,EAAG3X,GAAS,CAACiB,GAAU0Q,OAAOuL,IAGhCvF,EAAG3X,GAASiB,EAvGZsjB,CAAe3Z,EAAK6H,QAASxS,GAI/B,IAAI4T,EAr8BN,SACE5T,EACA2K,EACA6B,GAKA,IAAImH,EAAchJ,EAAK6H,QAAQP,MAC/B,IAAIzQ,EAAQmS,GAAZ,CAGA,IAAI9N,EAAM,GACN0T,EAAQvZ,EAAKuZ,MACbtH,EAAQjS,EAAKiS,MACjB,GAAIvQ,EAAM6X,IAAU7X,EAAMuQ,GACxB,IAAK,IAAI/N,KAAOyP,EAAa,CAC3B,IAAI4E,EAASxT,EAAUb,GAiBvBmU,GAAUxS,EAAKoM,EAAO/N,EAAKqU,GAAQ,IACnCF,GAAUxS,EAAK0T,EAAOrV,EAAKqU,GAAQ,GAGvC,OAAO1S,GA+5BS0e,CAA0BvkB,EAAM2K,GAGhD,GAAIhJ,EAAOgJ,EAAK6H,QAAQgS,YACtB,OAxMJ,SACE7Z,EACAiJ,EACA5T,EACA8e,EACArS,GAEA,IAAI+F,EAAU7H,EAAK6H,QACfP,EAAQ,GACR0B,EAAcnB,EAAQP,MAC1B,GAAIvQ,EAAMiS,GACR,IAAK,IAAIzP,KAAOyP,EACd1B,EAAM/N,GAAOwP,GAAaxP,EAAKyP,EAAaC,GAAatS,QAGvDI,EAAM1B,EAAKuZ,QAAUsG,GAAW5N,EAAOjS,EAAKuZ,OAC5C7X,EAAM1B,EAAKiS,QAAU4N,GAAW5N,EAAOjS,EAAKiS,OAGlD,IAAI0N,EAAgB,IAAId,GACtB7e,EACAiS,EACAxF,EACAqS,EACAnU,GAGEyD,EAAQoE,EAAQiI,OAAO1d,KAAK,KAAM4iB,EAAcH,GAAIG,GAExD,GAAIvR,aAAiB7B,GACnB,OAAOmT,GAA6BtR,EAAOpO,EAAM2f,EAAcvS,OAAQoF,EAASmN,GAC3E,GAAIze,MAAM2B,QAAQuL,GAAQ,CAG/B,IAFA,IAAIqW,EAAShM,GAAkBrK,IAAU,GACrCvI,EAAM,IAAI3E,MAAMujB,EAAO5jB,QAClBM,EAAI,EAAGA,EAAIsjB,EAAO5jB,OAAQM,IACjC0E,EAAI1E,GAAKue,GAA6B+E,EAAOtjB,GAAInB,EAAM2f,EAAcvS,OAAQoF,EAASmN,GAExF,OAAO9Z,GAmKA6e,CAA0B/Z,EAAMiJ,EAAW5T,EAAM4M,EAASH,GAKnE,IAAI2S,EAAYpf,EAAK0X,GAKrB,GAFA1X,EAAK0X,GAAK1X,EAAK2kB,SAEXhjB,EAAOgJ,EAAK6H,QAAQoS,UAAW,CAKjC,IAAIpL,EAAOxZ,EAAKwZ,KAChBxZ,EAAO,GACHwZ,IACFxZ,EAAKwZ,KAAOA,IAqClB,SAAgCxZ,GAE9B,IADA,IAAI2R,EAAQ3R,EAAK8R,OAAS9R,EAAK8R,KAAO,IAC7B3Q,EAAI,EAAGA,EAAIwhB,GAAa9hB,OAAQM,IAAK,CAC5C,IAAI+C,EAAMye,GAAaxhB,GACnB8b,EAAWtL,EAAMzN,GACjB2gB,EAAU/E,GAAoB5b,GAC9B+Y,IAAa4H,GAAa5H,GAAYA,EAAS6H,UACjDnT,EAAMzN,GAAO+Y,EAAW8H,GAAYF,EAAS5H,GAAY4H,IAvC7DG,CAAsBhlB,GAGtB,IAAIiX,EAAOtM,EAAK6H,QAAQyE,MAAQzK,EAQhC,OAPY,IAAID,GACb,iBAAoB5B,EAAQ,KAAKsM,EAAQ,IAAMA,EAAQ,IACxDjX,OAAMsK,OAAWA,OAAWA,EAAWsC,EACvC,CAAEjC,KAAMA,EAAMiJ,UAAWA,EAAWwL,UAAWA,EAAW5S,IAAKA,EAAKC,SAAUA,GAC9EK,KAoCJ,SAASiY,GAAaE,EAAIC,GACxB,IAAI9M,EAAS,SAAUjT,EAAGY,GAExBkf,EAAG9f,EAAGY,GACNmf,EAAG/f,EAAGY,IAGR,OADAqS,EAAO0M,SAAU,EACV1M,EAgCT,SAASlY,GACP0M,EACAJ,EACAxM,EACAyM,EACA0Y,EACAC,GAUA,OARIlkB,MAAM2B,QAAQ7C,IAAS4B,EAAY5B,MACrCmlB,EAAoB1Y,EACpBA,EAAWzM,EACXA,OAAOsK,GAEL3I,EAAOyjB,KACTD,EAlBmB,GAuBvB,SACEvY,EACAJ,EACAxM,EACAyM,EACA0Y,GAEA,GAAIzjB,EAAM1B,IAAS0B,EAAM,EAAOqN,QAM9B,OAAOf,KAGLtM,EAAM1B,IAAS0B,EAAM1B,EAAKqlB,MAC5B7Y,EAAMxM,EAAKqlB,IAEb,IAAK7Y,EAEH,OAAOwB,KAGL,EAYA9M,MAAM2B,QAAQ4J,IACO,mBAAhBA,EAAS,MAEhBzM,EAAOA,GAAQ,IACVsf,YAAc,CAAEnL,QAAS1H,EAAS,IACvCA,EAAS5L,OAAS,GAhEC,IAkEjBskB,EACF1Y,EAAWgM,GAAkBhM,GApEV,IAqEV0Y,IACT1Y,EApiCJ,SAAkCA,GAChC,IAAK,IAAItL,EAAI,EAAGA,EAAIsL,EAAS5L,OAAQM,IACnC,GAAID,MAAM2B,QAAQ4J,EAAStL,IACzB,OAAOD,MAAM5E,UAAUoV,OAAOtV,MAAM,GAAIqQ,GAG5C,OAAOA,EA8hCM6Y,CAAwB7Y,IAErC,IAAI2B,EAAOrB,EACX,GAAmB,iBAARP,EAAkB,CAC3B,IAAI7B,EACJoC,EAAMH,EAAQuU,QAAUvU,EAAQuU,OAAOpU,IAAO5F,EAAOa,gBAAgBwE,GASnE4B,EAREjH,EAAOU,cAAc2E,GAQf,IAAID,GACVpF,EAAOc,qBAAqBuE,GAAMxM,EAAMyM,OACxCnC,OAAWA,EAAWsC,GAEb5M,GAASA,EAAKulB,MAAQ7jB,EAAMiJ,EAAO0I,GAAazG,EAAQwH,SAAU,aAAc5H,IAOnF,IAAID,GACVC,EAAKxM,EAAMyM,OACXnC,OAAWA,EAAWsC,GAPhBgW,GAAgBjY,EAAM3K,EAAM4M,EAASH,EAAUD,QAYzD4B,EAAQwU,GAAgBpW,EAAKxM,EAAM4M,EAASH,GAE9C,OAAIvL,MAAM2B,QAAQuL,GACTA,EACE1M,EAAM0M,IACX1M,EAAMqL,IAQd,SAASyY,EAASpX,EAAOrB,EAAI0Y,GAC3BrX,EAAMrB,GAAKA,EACO,kBAAdqB,EAAM5B,MAERO,OAAKzC,EACLmb,GAAQ,GAEV,GAAI/jB,EAAM0M,EAAM3B,UACd,IAAK,IAAItL,EAAI,EAAGiE,EAAIgJ,EAAM3B,SAAS5L,OAAQM,EAAIiE,EAAGjE,IAAK,CACrD,IAAI2M,EAAQM,EAAM3B,SAAStL,GACvBO,EAAMoM,EAAMtB,OACdhL,EAAQsM,EAAMf,KAAQpL,EAAO8jB,IAAwB,QAAd3X,EAAMtB,MAC7CgZ,EAAQ1X,EAAOf,EAAI0Y,IApBND,CAAQpX,EAAOrB,GAC5BrL,EAAM1B,IA4Bd,SAA+BA,GACzB8B,EAAS9B,EAAK0lB,QAChBhP,GAAS1W,EAAK0lB,OAEZ5jB,EAAS9B,EAAK2lB,QAChBjP,GAAS1W,EAAK2lB,OAjCKC,CAAqB5lB,GACjCoO,GAEAJ,KA1FF6X,CAAejZ,EAASJ,EAAKxM,EAAMyM,EAAU0Y,GAiKtD,IAkQIpZ,GAlQAoX,GAA2B,KA4E/B,SAASU,GAAYiC,EAAMC,GAOzB,OALED,EAAKE,YACJnb,IAA0C,WAA7Bib,EAAKhb,OAAOmb,gBAE1BH,EAAOA,EAAK3R,SAEPrS,EAASgkB,GACZC,EAAKtgB,OAAOqgB,GACZA,EA8IN,SAASlY,GAAoBK,GAC3B,OAAOA,EAAKT,WAAaS,EAAKnB,aAKhC,SAASoZ,GAAwBzZ,GAC/B,GAAIvL,MAAM2B,QAAQ4J,GAChB,IAAK,IAAItL,EAAI,EAAGA,EAAIsL,EAAS5L,OAAQM,IAAK,CACxC,IAAIuD,EAAI+H,EAAStL,GACjB,GAAIO,EAAMgD,KAAOhD,EAAMgD,EAAEmI,mBAAqBe,GAAmBlJ,IAC/D,OAAOA,GAsBf,SAAS0G,GAAKrL,EAAOqE,GACnB2H,GAAO2X,IAAI3jB,EAAOqE,GAGpB,SAAS+hB,GAAUpmB,EAAOqE,GACxB2H,GAAOqa,KAAKrmB,EAAOqE,GAGrB,SAASyT,GAAmB9X,EAAOqE,GACjC,IAAIiiB,EAAUta,GACd,OAAO,SAASua,IACd,IAAIzgB,EAAMzB,EAAGhI,MAAM,KAAMa,WACb,OAAR4I,GACFwgB,EAAQD,KAAKrmB,EAAOumB,IAK1B,SAAS3E,GACPrQ,EACA8N,EACAqC,GAEA1V,GAASuF,EACTmG,GAAgB2H,EAAWqC,GAAgB,GAAIrW,GAAK+a,GAAUtO,GAAmBvG,GACjFvF,QAASzB,EAkGX,IAAImW,GAAiB,KAGrB,SAAS8F,GAAkBjV,GACzB,IAAIkV,EAAqB/F,GAEzB,OADAA,GAAiBnP,EACV,WACLmP,GAAiB+F,GA2QrB,SAAShE,GAAkBlR,GACzB,KAAOA,IAAOA,EAAKA,EAAGyD,UACpB,GAAIzD,EAAG2Q,UAAa,OAAO,EAE7B,OAAO,EAGT,SAASE,GAAwB7Q,EAAIgR,GACnC,GAAIA,GAEF,GADAhR,EAAGiR,iBAAkB,EACjBC,GAAiBlR,GACnB,YAEG,GAAIA,EAAGiR,gBACZ,OAEF,GAAIjR,EAAG2Q,WAA8B,OAAjB3Q,EAAG2Q,UAAoB,CACzC3Q,EAAG2Q,WAAY,EACf,IAAK,IAAI9gB,EAAI,EAAGA,EAAImQ,EAAGmR,UAAU5hB,OAAQM,IACvCghB,GAAuB7Q,EAAGmR,UAAUthB,IAEtC6gB,GAAS1Q,EAAI,cAoBjB,SAAS0Q,GAAU1Q,EAAIQ,GAErB1F,KACA,IAAIqa,EAAWnV,EAAG8C,SAAStC,GACvB+C,EAAO/C,EAAO,QAClB,GAAI2U,EACF,IAAK,IAAItlB,EAAI,EAAGulB,EAAID,EAAS5lB,OAAQM,EAAIulB,EAAGvlB,IAC1C+T,GAAwBuR,EAAStlB,GAAImQ,EAAI,KAAMA,EAAIuD,GAGnDvD,EAAGqV,eACLrV,EAAGsV,MAAM,QAAU9U,GAErBzF,KAKF,IAEIwa,GAAQ,GACR3E,GAAoB,GACpB/W,GAAM,GAEN2b,IAAU,EACVC,IAAW,EACXjjB,GAAQ,EAmBZ,IAAIkjB,GAAwB,EAGxBC,GAASxgB,KAAKygB,IAQlB,GAAIje,IAAcQ,EAAM,CACtB,IAAIjC,GAAcrL,OAAOqL,YAEvBA,IAC2B,mBAApBA,GAAY0f,KACnBD,KAAWnoB,SAASqoB,YAAY,SAASC,YAMzCH,GAAS,WAAc,OAAOzf,GAAY0f,QAO9C,SAASG,KAGP,IAAIC,EAAS9qB,EAcb,IAhBAwqB,GAAwBC,KACxBF,IAAW,EAWXF,GAAMU,MAAK,SAAUpiB,EAAGY,GAAK,OAAOZ,EAAE3I,GAAKuJ,EAAEvJ,MAIxCsH,GAAQ,EAAGA,GAAQ+iB,GAAMhmB,OAAQiD,MACpCwjB,EAAUT,GAAM/iB,KACJ0jB,QACVF,EAAQE,SAEVhrB,EAAK8qB,EAAQ9qB,GACb2O,GAAI3O,GAAM,KACV8qB,EAAQjmB,MAmBV,IAAIomB,EAAiBvF,GAAkBthB,QACnC8mB,EAAeb,GAAMjmB,QAtFzBkD,GAAQ+iB,GAAMhmB,OAASqhB,GAAkBrhB,OAAS,EAClDsK,GAAM,GAIN2b,GAAUC,IAAW,EAsHvB,SAA6BF,GAC3B,IAAK,IAAI1lB,EAAI,EAAGA,EAAI0lB,EAAMhmB,OAAQM,IAChC0lB,EAAM1lB,GAAG8gB,WAAY,EACrBE,GAAuB0E,EAAM1lB,IAAI,GAnCnCwmB,CAAmBF,GAUrB,SAA2BZ,GACzB,IAAI1lB,EAAI0lB,EAAMhmB,OACd,KAAOM,KAAK,CACV,IAAImmB,EAAUT,EAAM1lB,GAChBmQ,EAAKgW,EAAQhW,GACbA,EAAGsW,WAAaN,GAAWhW,EAAGyQ,aAAezQ,EAAG2O,cAClD+B,GAAS1Q,EAAI,YAfjBuW,CAAiBH,GAIbngB,IAAYJ,EAAOI,UACrBA,GAASugB,KAAK,SAsElB,IAAIC,GAAQ,EAORC,GAAU,SACZ1W,EACA2W,EACA1R,EACA/D,EACA0V,GAEAxrB,KAAK4U,GAAKA,EACN4W,IACF5W,EAAGsW,SAAWlrB,MAEhB4U,EAAG6W,UAAUvc,KAAKlP,MAEd8V,GACF9V,KAAK0rB,OAAS5V,EAAQ4V,KACtB1rB,KAAK2rB,OAAS7V,EAAQ6V,KACtB3rB,KAAK4rB,OAAS9V,EAAQ8V,KACtB5rB,KAAK6mB,OAAS/Q,EAAQ+Q,KACtB7mB,KAAK8qB,OAAShV,EAAQgV,QAEtB9qB,KAAK0rB,KAAO1rB,KAAK2rB,KAAO3rB,KAAK4rB,KAAO5rB,KAAK6mB,MAAO,EAElD7mB,KAAK6Z,GAAKA,EACV7Z,KAAKF,KAAOurB,GACZrrB,KAAKsB,QAAS,EACdtB,KAAK6rB,MAAQ7rB,KAAK4rB,KAClB5rB,KAAK8rB,KAAO,GACZ9rB,KAAK+rB,QAAU,GACf/rB,KAAKgsB,OAAS,IAAI9d,GAClBlO,KAAKisB,UAAY,IAAI/d,GACrBlO,KAAKksB,WAED,GAEmB,mBAAZX,EACTvrB,KAAK4T,OAAS2X,GAEdvrB,KAAK4T,OAx3HT,SAAoBuY,GAClB,IAAIhgB,EAAOa,KAAKmf,GAAhB,CAGA,IAAIC,EAAWD,EAAKrlB,MAAM,KAC1B,OAAO,SAAUzB,GACf,IAAK,IAAIZ,EAAI,EAAGA,EAAI2nB,EAASjoB,OAAQM,IAAK,CACxC,IAAKY,EAAO,OACZA,EAAMA,EAAI+mB,EAAS3nB,IAErB,OAAOY,IA82HOgnB,CAAUd,GACnBvrB,KAAK4T,SACR5T,KAAK4T,OAASxK,IASlBpJ,KAAKmF,MAAQnF,KAAK4rB,UACdhe,EACA5N,KAAK0N,OAMX4d,GAAQ1rB,UAAU8N,IAAM,WAEtB,IAAIvI,EADJuK,GAAW1P,MAEX,IAAI4U,EAAK5U,KAAK4U,GACd,IACEzP,EAAQnF,KAAK4T,OAAOvT,KAAKuU,EAAIA,GAC7B,MAAO9K,GACP,IAAI9J,KAAK2rB,KAGP,MAAM7hB,EAFNmO,GAAYnO,EAAG8K,EAAK,uBAA2B5U,KAAe,WAAI,KAIpE,QAGIA,KAAK0rB,MACP1R,GAAS7U,GAEXwK,KACA3P,KAAKssB,cAEP,OAAOnnB,GAMTmmB,GAAQ1rB,UAAU0P,OAAS,SAAiBiD,GAC1C,IAAIzS,EAAKyS,EAAIzS,GACRE,KAAKisB,UAAUxd,IAAI3O,KACtBE,KAAKisB,UAAUvd,IAAI5O,GACnBE,KAAK+rB,QAAQ7c,KAAKqD,GACbvS,KAAKgsB,OAAOvd,IAAI3O,IACnByS,EAAIvD,OAAOhP,QAQjBsrB,GAAQ1rB,UAAU0sB,YAAc,WAE9B,IADA,IAAI7nB,EAAIzE,KAAK8rB,KAAK3nB,OACXM,KAAK,CACV,IAAI8N,EAAMvS,KAAK8rB,KAAKrnB,GACfzE,KAAKisB,UAAUxd,IAAI8D,EAAIzS,KAC1ByS,EAAIpD,UAAUnP,MAGlB,IAAIusB,EAAMvsB,KAAKgsB,OACfhsB,KAAKgsB,OAAShsB,KAAKisB,UACnBjsB,KAAKisB,UAAYM,EACjBvsB,KAAKisB,UAAUtd,QACf4d,EAAMvsB,KAAK8rB,KACX9rB,KAAK8rB,KAAO9rB,KAAK+rB,QACjB/rB,KAAK+rB,QAAUQ,EACfvsB,KAAK+rB,QAAQ5nB,OAAS,GAOxBmnB,GAAQ1rB,UAAU4P,OAAS,WAErBxP,KAAK4rB,KACP5rB,KAAK6rB,OAAQ,EACJ7rB,KAAK6mB,KACd7mB,KAAK2E,MAnKT,SAAuBimB,GACrB,IAAI9qB,EAAK8qB,EAAQ9qB,GACjB,GAAe,MAAX2O,GAAI3O,GAAa,CAEnB,GADA2O,GAAI3O,IAAM,EACLuqB,GAEE,CAIL,IADA,IAAI5lB,EAAI0lB,GAAMhmB,OAAS,EAChBM,EAAI2C,IAAS+iB,GAAM1lB,GAAG3E,GAAK8qB,EAAQ9qB,IACxC2E,IAEF0lB,GAAM9iB,OAAO5C,EAAI,EAAG,EAAGmmB,QARvBT,GAAMjb,KAAK0b,GAWRR,KACHA,IAAU,EAMVznB,GAASgoB,MA8IX6B,CAAaxsB,OAQjBsrB,GAAQ1rB,UAAU+E,IAAM,WACtB,GAAI3E,KAAKsB,OAAQ,CACf,IAAI6D,EAAQnF,KAAK0N,MACjB,GACEvI,IAAUnF,KAAKmF,OAIfC,EAASD,IACTnF,KAAK0rB,KACL,CAEA,IAAIe,EAAWzsB,KAAKmF,MAEpB,GADAnF,KAAKmF,MAAQA,EACTnF,KAAK2rB,KACP,IACE3rB,KAAK6Z,GAAGxZ,KAAKL,KAAK4U,GAAIzP,EAAOsnB,GAC7B,MAAO3iB,GACPmO,GAAYnO,EAAG9J,KAAK4U,GAAK,yBAA6B5U,KAAe,WAAI,UAG3EA,KAAK6Z,GAAGxZ,KAAKL,KAAK4U,GAAIzP,EAAOsnB,MAUrCnB,GAAQ1rB,UAAU8sB,SAAW,WAC3B1sB,KAAKmF,MAAQnF,KAAK0N,MAClB1N,KAAK6rB,OAAQ,GAMfP,GAAQ1rB,UAAUwP,OAAS,WAEzB,IADA,IAAI3K,EAAIzE,KAAK8rB,KAAK3nB,OACXM,KACLzE,KAAK8rB,KAAKrnB,GAAG2K,UAOjBkc,GAAQ1rB,UAAU+sB,SAAW,WAC3B,GAAI3sB,KAAKsB,OAAQ,CAIVtB,KAAK4U,GAAGgY,mBACX1lB,EAAOlH,KAAK4U,GAAG6W,UAAWzrB,MAG5B,IADA,IAAIyE,EAAIzE,KAAK8rB,KAAK3nB,OACXM,KACLzE,KAAK8rB,KAAKrnB,GAAG0K,UAAUnP,MAEzBA,KAAKsB,QAAS,IAMlB,IAAIurB,GAA2B,CAC7B9gB,YAAY,EACZG,cAAc,EACdwB,IAAKtE,EACLoF,IAAKpF,GAGP,SAASyU,GAAOxO,EAAQyd,EAAWtlB,GACjCqlB,GAAyBnf,IAAM,WAC7B,OAAO1N,KAAK8sB,GAAWtlB,IAEzBqlB,GAAyBre,IAAM,SAAsB9I,GACnD1F,KAAK8sB,GAAWtlB,GAAO9B,GAEzBpD,OAAO0J,eAAeqD,EAAQ7H,EAAKqlB,IAGrC,SAASE,GAAWnY,GAClBA,EAAG6W,UAAY,GACf,IAAIhe,EAAOmH,EAAG8C,SACVjK,EAAK8H,OAaX,SAAoBX,EAAIoY,GACtB,IAAI9V,EAAYtC,EAAG8C,SAASR,WAAa,GACrC3B,EAAQX,EAAG+C,OAAS,GAGpBzN,EAAO0K,EAAG8C,SAASoN,UAAY,GACrBlQ,EAAGyD,SAGf1F,IAAgB,GAElB,IAAI6M,EAAO,SAAWhY,GACpB0C,EAAKgF,KAAK1H,GACV,IAAIrC,EAAQ6R,GAAaxP,EAAKwlB,EAAc9V,EAAWtC,GAuBrDrB,GAAkBgC,EAAO/N,EAAKrC,GAK1BqC,KAAOoN,GACXiJ,GAAMjJ,EAAI,SAAUpN,IAIxB,IAAK,IAAIA,KAAOwlB,EAAcxN,EAAMhY,GACpCmL,IAAgB,GA5DEsa,CAAUrY,EAAInH,EAAK8H,OACjC9H,EAAK+H,SAoNX,SAAsBZ,EAAIY,GACZZ,EAAG8C,SAASnC,MACxB,IAAK,IAAI/N,KAAOgO,EAsBdZ,EAAGpN,GAA+B,mBAAjBgO,EAAQhO,GAAsB4B,EAAOd,EAAKkN,EAAQhO,GAAMoN,GA5OvDsY,CAAYtY,EAAInH,EAAK+H,SACrC/H,EAAKnK,KA6DX,SAAmBsR,GACjB,IAAItR,EAAOsR,EAAG8C,SAASpU,KAIlBiC,EAHLjC,EAAOsR,EAAGuY,MAAwB,mBAAT7pB,EAwC3B,SAAkBA,EAAMsR,GAEtBlF,KACA,IACE,OAAOpM,EAAKjD,KAAKuU,EAAIA,GACrB,MAAO9K,GAEP,OADAmO,GAAYnO,EAAG8K,EAAI,UACZ,GACP,QACAjF,MAhDEyd,CAAQ9pB,EAAMsR,GACdtR,GAAQ,MAEVA,EAAO,IAQT,IAAI4G,EAAO5H,OAAO4H,KAAK5G,GACnBiS,EAAQX,EAAG8C,SAASnC,MAEpB9Q,GADUmQ,EAAG8C,SAASlC,QAClBtL,EAAK/F,QACb,KAAOM,KAAK,CACV,IAAI+C,EAAM0C,EAAKzF,GACX,EAQA8Q,GAAShO,EAAOgO,EAAO/N,IAMfoE,EAAWpE,IACrBqW,GAAMjJ,EAAI,QAASpN,GAIvB2L,GAAQ7P,GAAM,GAnGZ+pB,CAASzY,GAETzB,GAAQyB,EAAGuY,MAAQ,IAAI,GAErB1f,EAAKiI,UAiHX,SAAuBd,EAAIc,GAEzB,IAAI4X,EAAW1Y,EAAG2Y,kBAAoBjrB,OAAOsE,OAAO,MAEhD4mB,EAAQ7f,KAEZ,IAAK,IAAInG,KAAOkO,EAAU,CACxB,IAAI+X,EAAU/X,EAASlO,GACnBoM,EAA4B,mBAAZ6Z,EAAyBA,EAAUA,EAAQ/f,IAC3D,EAOC8f,IAEHF,EAAS9lB,GAAO,IAAI8jB,GAClB1W,EACAhB,GAAUxK,EACVA,EACAskB,KAOElmB,KAAOoN,GACX+Y,GAAe/Y,EAAIpN,EAAKimB,IA/IPG,CAAahZ,EAAInH,EAAKiI,UACvCjI,EAAKF,OAASE,EAAKF,QAAUD,IAyOnC,SAAoBsH,EAAIrH,GACtB,IAAK,IAAI/F,KAAO+F,EAAO,CACrB,IAAIkL,EAAUlL,EAAM/F,GACpB,GAAIhD,MAAM2B,QAAQsS,GAChB,IAAK,IAAIhU,EAAI,EAAGA,EAAIgU,EAAQtU,OAAQM,IAClCopB,GAAcjZ,EAAIpN,EAAKiR,EAAQhU,SAGjCopB,GAAcjZ,EAAIpN,EAAKiR,IAhPzBqV,CAAUlZ,EAAInH,EAAKF,OA6GvB,IAAImgB,GAAyB,CAAE9B,MAAM,GA2CrC,SAAS+B,GACPte,EACA7H,EACAimB,GAEA,IAAIM,GAAepgB,KACI,mBAAZ8f,GACTZ,GAAyBnf,IAAMqgB,EAC3BC,GAAqBxmB,GACrBymB,GAAoBR,GACxBZ,GAAyBre,IAAMpF,IAE/ByjB,GAAyBnf,IAAM+f,EAAQ/f,IACnCqgB,IAAiC,IAAlBN,EAAQ9lB,MACrBqmB,GAAqBxmB,GACrBymB,GAAoBR,EAAQ/f,KAC9BtE,EACJyjB,GAAyBre,IAAMif,EAAQjf,KAAOpF,GAWhD9G,OAAO0J,eAAeqD,EAAQ7H,EAAKqlB,IAGrC,SAASmB,GAAsBxmB,GAC7B,OAAO,WACL,IAAIojB,EAAU5qB,KAAKutB,mBAAqBvtB,KAAKutB,kBAAkB/lB,GAC/D,GAAIojB,EAOF,OANIA,EAAQiB,OACVjB,EAAQ8B,WAEN5d,GAAIO,QACNub,EAAQxb,SAEHwb,EAAQzlB,OAKrB,SAAS8oB,GAAoBvmB,GAC3B,OAAO,WACL,OAAOA,EAAGrH,KAAKL,KAAMA,OA6CzB,SAAS6tB,GACPjZ,EACA2W,EACA9S,EACA3C,GASA,OAPIvQ,EAAckT,KAChB3C,EAAU2C,EACVA,EAAUA,EAAQA,SAEG,iBAAZA,IACTA,EAAU7D,EAAG6D,IAER7D,EAAGsZ,OAAO3C,EAAS9S,EAAS3C,GAwDrC,IAAIqY,GAAQ,EAgFZ,SAASzG,GAA2BzZ,GAClC,IAAI6H,EAAU7H,EAAK6H,QACnB,GAAI7H,EAAKmgB,MAAO,CACd,IAAIC,EAAe3G,GAA0BzZ,EAAKmgB,OAElD,GAAIC,IADqBpgB,EAAKogB,aACW,CAGvCpgB,EAAKogB,aAAeA,EAEpB,IAAIC,EAcV,SAAiCrgB,GAC/B,IAAIsgB,EACAC,EAASvgB,EAAK6H,QACd2Y,EAASxgB,EAAKygB,cAClB,IAAK,IAAIlnB,KAAOgnB,EACVA,EAAOhnB,KAASinB,EAAOjnB,KACpB+mB,IAAYA,EAAW,IAC5BA,EAAS/mB,GAAOgnB,EAAOhnB,IAG3B,OAAO+mB,EAxBmBI,CAAuB1gB,GAEzCqgB,GACFvlB,EAAOkF,EAAK2gB,cAAeN,IAE7BxY,EAAU7H,EAAK6H,QAAUD,GAAawY,EAAcpgB,EAAK2gB,gBAC7CrU,OACVzE,EAAQ+Y,WAAW/Y,EAAQyE,MAAQtM,IAIzC,OAAO6H,EAgBT,SAASgZ,GAAKhZ,GAMZ9V,KAAK+uB,MAAMjZ,GA0Cb,SAASkZ,GAAYF,GAMnBA,EAAI1I,IAAM,EACV,IAAIA,EAAM,EAKV0I,EAAI/lB,OAAS,SAAU6lB,GACrBA,EAAgBA,GAAiB,GACjC,IAAIK,EAAQjvB,KACRkvB,EAAUD,EAAM7I,IAChB+I,EAAcP,EAAcQ,QAAUR,EAAcQ,MAAQ,IAChE,GAAID,EAAYD,GACd,OAAOC,EAAYD,GAGrB,IAAI3U,EAAOqU,EAAcrU,MAAQ0U,EAAMnZ,QAAQyE,KAK/C,IAAI8U,EAAM,SAAuBvZ,GAC/B9V,KAAK+uB,MAAMjZ,IA6Cb,OA3CAuZ,EAAIzvB,UAAY0C,OAAOsE,OAAOqoB,EAAMrvB,YACtB0vB,YAAcD,EAC5BA,EAAIjJ,IAAMA,IACViJ,EAAIvZ,QAAUD,GACZoZ,EAAMnZ,QACN8Y,GAEFS,EAAW,MAAIJ,EAKXI,EAAIvZ,QAAQP,OAmCpB,SAAsBga,GACpB,IAAIha,EAAQga,EAAKzZ,QAAQP,MACzB,IAAK,IAAI/N,KAAO+N,EACdsI,GAAM0R,EAAK3vB,UAAW,SAAU4H,GArC9BgoB,CAAYH,GAEVA,EAAIvZ,QAAQJ,UAuCpB,SAAyB6Z,GACvB,IAAI7Z,EAAW6Z,EAAKzZ,QAAQJ,SAC5B,IAAK,IAAIlO,KAAOkO,EACdiY,GAAe4B,EAAK3vB,UAAW4H,EAAKkO,EAASlO,IAzC3CioB,CAAeJ,GAIjBA,EAAItmB,OAASkmB,EAAMlmB,OACnBsmB,EAAIK,MAAQT,EAAMS,MAClBL,EAAIM,IAAMV,EAAMU,IAIhBplB,EAAYuH,SAAQ,SAAUuD,GAC5Bga,EAAIha,GAAQ4Z,EAAM5Z,MAGhBkF,IACF8U,EAAIvZ,QAAQ+Y,WAAWtU,GAAQ8U,GAMjCA,EAAIhB,aAAeY,EAAMnZ,QACzBuZ,EAAIT,cAAgBA,EACpBS,EAAIX,cAAgB3lB,EAAO,GAAIsmB,EAAIvZ,SAGnCqZ,EAAYD,GAAWG,EAChBA,GAsDX,SAASO,GAAkBniB,GACzB,OAAOA,IAASA,EAAKQ,KAAK6H,QAAQyE,MAAQ9M,EAAKqC,KAGjD,SAAS+f,GAASC,EAASvV,GACzB,OAAI/V,MAAM2B,QAAQ2pB,GACTA,EAAQ7rB,QAAQsW,IAAS,EACJ,iBAAZuV,EACTA,EAAQhpB,MAAM,KAAK7C,QAAQsW,IAAS,IAClC/U,EAASsqB,IACXA,EAAQ9iB,KAAKuN,GAMxB,SAASwV,GAAYC,EAAmBC,GACtC,IAAItoB,EAAQqoB,EAAkBroB,MAC1BuC,EAAO8lB,EAAkB9lB,KACzBwa,EAASsL,EAAkBtL,OAC/B,IAAK,IAAIld,KAAOG,EAAO,CACrB,IAAIuoB,EAAavoB,EAAMH,GACvB,GAAI0oB,EAAY,CACd,IAAI3V,EAAOqV,GAAiBM,EAAW/f,kBACnCoK,IAAS0V,EAAO1V,IAClB4V,GAAgBxoB,EAAOH,EAAK0C,EAAMwa,KAM1C,SAASyL,GACPxoB,EACAH,EACA0C,EACAkmB,GAEA,IAAIC,EAAY1oB,EAAMH,IAClB6oB,GAAeD,GAAWC,EAAUvgB,MAAQsgB,EAAQtgB,KACtDugB,EAAU5f,kBAAkBuV,WAE9Bre,EAAMH,GAAO,KACbN,EAAOgD,EAAM1C,IA3Uf,SAAoBsnB,GAClBA,EAAIlvB,UAAUmvB,MAAQ,SAAUjZ,GAC9B,IAAIlB,EAAK5U,KAET4U,EAAG0b,KAAOnC,KAWVvZ,EAAGtB,QAAS,EAERwC,GAAWA,EAAQ6N,aA0C3B,SAAgC/O,EAAIkB,GAClC,IAAIrI,EAAOmH,EAAG8C,SAAWpV,OAAOsE,OAAOgO,EAAG0a,YAAYxZ,SAElDoO,EAAcpO,EAAQ8N,aAC1BnW,EAAKiD,OAASoF,EAAQpF,OACtBjD,EAAKmW,aAAeM,EAEpB,IAAIqM,EAAwBrM,EAAY/T,iBACxC1C,EAAKyJ,UAAYqZ,EAAsBrZ,UACvCzJ,EAAKuX,iBAAmBuL,EAAsB7N,UAC9CjV,EAAK+W,gBAAkB+L,EAAsBxgB,SAC7CtC,EAAK+iB,cAAgBD,EAAsBzgB,IAEvCgG,EAAQiI,SACVtQ,EAAKsQ,OAASjI,EAAQiI,OACtBtQ,EAAKyS,gBAAkBpK,EAAQoK,iBArD7BuQ,CAAsB7b,EAAIkB,GAE1BlB,EAAG8C,SAAW7B,GACZ6R,GAA0B9S,EAAG0a,aAC7BxZ,GAAW,GACXlB,GAOFA,EAAGuL,aAAevL,EAGpBA,EAAG8b,MAAQ9b,EApkCf,SAAwBA,GACtB,IAAIkB,EAAUlB,EAAG8C,SAGbhH,EAASoF,EAAQpF,OACrB,GAAIA,IAAWoF,EAAQoS,SAAU,CAC/B,KAAOxX,EAAOgH,SAASwQ,UAAYxX,EAAO2H,SACxC3H,EAASA,EAAO2H,QAElB3H,EAAOqV,UAAU7W,KAAK0F,GAGxBA,EAAGyD,QAAU3H,EACbkE,EAAG+b,MAAQjgB,EAASA,EAAOigB,MAAQ/b,EAEnCA,EAAGmR,UAAY,GACfnR,EAAGgc,MAAQ,GAEXhc,EAAGsW,SAAW,KACdtW,EAAG2Q,UAAY,KACf3Q,EAAGiR,iBAAkB,EACrBjR,EAAGyQ,YAAa,EAChBzQ,EAAG2O,cAAe,EAClB3O,EAAGgY,mBAAoB,EA8iCrBiE,CAAcjc,GAvtClB,SAAqBA,GACnBA,EAAGkc,QAAUxuB,OAAOsE,OAAO,MAC3BgO,EAAGqV,eAAgB,EAEnB,IAAIvH,EAAY9N,EAAG8C,SAASsN,iBACxBtC,GACFuC,GAAyBrQ,EAAI8N,GAktC7BqO,CAAWnc,GAn/Cf,SAAqBA,GACnBA,EAAG8P,OAAS,KACZ9P,EAAGmL,aAAe,KAClB,IAAIjK,EAAUlB,EAAG8C,SACbwM,EAActP,EAAG6P,OAAS3O,EAAQ8N,aAClCX,EAAgBiB,GAAeA,EAAYhU,QAC/C0E,EAAG6J,OAAS9B,GAAa7G,EAAQ0O,gBAAiBvB,GAClDrO,EAAG4J,aAAe5Z,EAKlBgQ,EAAGkO,GAAK,SAAUra,EAAGY,EAAGrB,EAAG+a,GAAK,OAAOvf,GAAcoR,EAAInM,EAAGY,EAAGrB,EAAG+a,GAAG,IAGrEnO,EAAG8J,eAAiB,SAAUjW,EAAGY,EAAGrB,EAAG+a,GAAK,OAAOvf,GAAcoR,EAAInM,EAAGY,EAAGrB,EAAG+a,GAAG,IAIjF,IAAIiO,EAAa9M,GAAeA,EAAY5gB,KAW1CiQ,GAAkBqB,EAAI,SAAUoc,GAAcA,EAAWnU,OAASjY,EAAa,MAAM,GACrF2O,GAAkBqB,EAAI,aAAckB,EAAQkP,kBAAoBpgB,EAAa,MAAM,GAq9CnFqsB,CAAWrc,GACX0Q,GAAS1Q,EAAI,gBAlhFjB,SAAyBA,GACvB,IAAIzC,EAASoK,GAAc3H,EAAG8C,SAASjC,OAAQb,GAC3CzC,IACFQ,IAAgB,GAChBrQ,OAAO4H,KAAKiI,GAAQL,SAAQ,SAAUtK,GAYlC+L,GAAkBqB,EAAIpN,EAAK2K,EAAO3K,OAGtCmL,IAAgB,IAggFhBue,CAAetc,GACfmY,GAAUnY,GA7hFd,SAAsBA,GACpB,IAAIe,EAAUf,EAAG8C,SAAS/B,QACtBA,IACFf,EAAG6H,UAA+B,mBAAZ9G,EAClBA,EAAQtV,KAAKuU,GACbe,GAyhFJwb,CAAYvc,GACZ0Q,GAAS1Q,EAAI,WASTA,EAAG8C,SAAS0Z,IACdxc,EAAGoP,OAAOpP,EAAG8C,SAAS0Z,KAsE5BC,CAAUvC,IAnLV,SAAqBA,GAInB,IAAIwC,EAAU,CACd,IAAc,WAAc,OAAOtxB,KAAKmtB,QACpCoE,EAAW,CACf,IAAe,WAAc,OAAOvxB,KAAK2X,SAazCrV,OAAO0J,eAAe8iB,EAAIlvB,UAAW,QAAS0xB,GAC9ChvB,OAAO0J,eAAe8iB,EAAIlvB,UAAW,SAAU2xB,GAE/CzC,EAAIlvB,UAAU4xB,KAAOhjB,GACrBsgB,EAAIlvB,UAAU6xB,QAAUvd,GAExB4a,EAAIlvB,UAAUsuB,OAAS,SACrB3C,EACA1R,EACA/D,GAGA,GAAIvQ,EAAcsU,GAChB,OAAOgU,GAFA7tB,KAEkBurB,EAAS1R,EAAI/D,IAExCA,EAAUA,GAAW,IACb6V,MAAO,EACf,IAAIf,EAAU,IAAIU,GANTtrB,KAMqBurB,EAAS1R,EAAI/D,GAC3C,GAAIA,EAAQ4b,UACV,IACE7X,EAAGxZ,KATEL,KASO4qB,EAAQzlB,OACpB,MAAO0T,GACPZ,GAAYY,EAXP7Y,KAWmB,mCAAuC4qB,EAAkB,WAAI,KAGzF,OAAO,WACLA,EAAQ+B,aAsIdgF,CAAW7C,IAvwCX,SAAsBA,GACpB,IAAI8C,EAAS,SACb9C,EAAIlvB,UAAUonB,IAAM,SAAU3jB,EAAOqE,GACnC,IAAIkN,EAAK5U,KACT,GAAIwE,MAAM2B,QAAQ9C,GAChB,IAAK,IAAIoB,EAAI,EAAGiE,EAAIrF,EAAMc,OAAQM,EAAIiE,EAAGjE,IACvCmQ,EAAGoS,IAAI3jB,EAAMoB,GAAIiD,QAGlBkN,EAAGkc,QAAQztB,KAAWuR,EAAGkc,QAAQztB,GAAS,KAAK6L,KAAKxH,GAGjDkqB,EAAO5kB,KAAK3J,KACduR,EAAGqV,eAAgB,GAGvB,OAAOrV,GAGTka,EAAIlvB,UAAUiyB,MAAQ,SAAUxuB,EAAOqE,GACrC,IAAIkN,EAAK5U,KACT,SAASgb,IACPpG,EAAG8U,KAAKrmB,EAAO2X,GACftT,EAAGhI,MAAMkV,EAAIrU,WAIf,OAFAya,EAAGtT,GAAKA,EACRkN,EAAGoS,IAAI3jB,EAAO2X,GACPpG,GAGTka,EAAIlvB,UAAU8pB,KAAO,SAAUrmB,EAAOqE,GACpC,IAAIkN,EAAK5U,KAET,IAAKO,UAAU4D,OAEb,OADAyQ,EAAGkc,QAAUxuB,OAAOsE,OAAO,MACpBgO,EAGT,GAAIpQ,MAAM2B,QAAQ9C,GAAQ,CACxB,IAAK,IAAIyuB,EAAM,EAAGppB,EAAIrF,EAAMc,OAAQ2tB,EAAMppB,EAAGopB,IAC3Cld,EAAG8U,KAAKrmB,EAAMyuB,GAAMpqB,GAEtB,OAAOkN,EAGT,IASIiF,EATAkY,EAAMnd,EAAGkc,QAAQztB,GACrB,IAAK0uB,EACH,OAAOnd,EAET,IAAKlN,EAEH,OADAkN,EAAGkc,QAAQztB,GAAS,KACbuR,EAKT,IADA,IAAInQ,EAAIstB,EAAI5tB,OACLM,KAEL,IADAoV,EAAKkY,EAAIttB,MACEiD,GAAMmS,EAAGnS,KAAOA,EAAI,CAC7BqqB,EAAI1qB,OAAO5C,EAAG,GACd,MAGJ,OAAOmQ,GAGTka,EAAIlvB,UAAUsqB,MAAQ,SAAU7mB,GAC9B,IAAIuR,EAAK5U,KAaL+xB,EAAMnd,EAAGkc,QAAQztB,GACrB,GAAI0uB,EAAK,CACPA,EAAMA,EAAI5tB,OAAS,EAAIyE,EAAQmpB,GAAOA,EAGtC,IAFA,IAAIxtB,EAAOqE,EAAQrI,UAAW,GAC1B4X,EAAO,sBAAyB9U,EAAQ,IACnCoB,EAAI,EAAGiE,EAAIqpB,EAAI5tB,OAAQM,EAAIiE,EAAGjE,IACrC+T,GAAwBuZ,EAAIttB,GAAImQ,EAAIrQ,EAAMqQ,EAAIuD,GAGlD,OAAOvD,GA+qCXod,CAAYlD,IApoCZ,SAAyBA,GACvBA,EAAIlvB,UAAUqyB,QAAU,SAAUvgB,EAAO4R,GACvC,IAAI1O,EAAK5U,KACLkyB,EAAStd,EAAGud,IACZC,EAAYxd,EAAG8P,OACf2N,EAAwBxI,GAAkBjV,GAC9CA,EAAG8P,OAAShT,EAQVkD,EAAGud,IALAC,EAKMxd,EAAG0d,UAAUF,EAAW1gB,GAHxBkD,EAAG0d,UAAU1d,EAAGud,IAAKzgB,EAAO4R,GAAW,GAKlD+O,IAEIH,IACFA,EAAOK,QAAU,MAEf3d,EAAGud,MACLvd,EAAGud,IAAII,QAAU3d,GAGfA,EAAG6P,QAAU7P,EAAGyD,SAAWzD,EAAG6P,SAAW7P,EAAGyD,QAAQqM,SACtD9P,EAAGyD,QAAQ8Z,IAAMvd,EAAGud,MAMxBrD,EAAIlvB,UAAUslB,aAAe,WAClBllB,KACFkrB,UADElrB,KAEJkrB,SAAS1b,UAIhBsf,EAAIlvB,UAAUomB,SAAW,WACvB,IAAIpR,EAAK5U,KACT,IAAI4U,EAAGgY,kBAAP,CAGAtH,GAAS1Q,EAAI,iBACbA,EAAGgY,mBAAoB,EAEvB,IAAIlc,EAASkE,EAAGyD,SACZ3H,GAAWA,EAAOkc,mBAAsBhY,EAAG8C,SAASwQ,UACtDhhB,EAAOwJ,EAAOqV,UAAWnR,GAGvBA,EAAGsW,UACLtW,EAAGsW,SAASyB,WAGd,IADA,IAAIloB,EAAImQ,EAAG6W,UAAUtnB,OACdM,KACLmQ,EAAG6W,UAAUhnB,GAAGkoB,WAId/X,EAAGuY,MAAM9a,QACXuC,EAAGuY,MAAM9a,OAAOQ,UAGlB+B,EAAG2O,cAAe,EAElB3O,EAAG0d,UAAU1d,EAAG8P,OAAQ,MAExBY,GAAS1Q,EAAI,aAEbA,EAAG8U,OAEC9U,EAAGud,MACLvd,EAAGud,IAAII,QAAU,MAGf3d,EAAG6P,SACL7P,EAAG6P,OAAO/T,OAAS,QAujCzB8hB,CAAe1D,IAviDf,SAAsBA,GAEpB7N,GAAqB6N,EAAIlvB,WAEzBkvB,EAAIlvB,UAAU6yB,UAAY,SAAU/qB,GAClC,OAAO/E,GAAS+E,EAAI1H,OAGtB8uB,EAAIlvB,UAAU8yB,QAAU,WACtB,IAiBIhhB,EAjBAkD,EAAK5U,KACLc,EAAM8T,EAAG8C,SACTqG,EAASjd,EAAIid,OACb6F,EAAe9iB,EAAI8iB,aAEnBA,IACFhP,EAAG4J,aAAevB,GAChB2G,EAAatgB,KAAKsf,YAClBhO,EAAG6J,OACH7J,EAAG4J,eAMP5J,EAAG6P,OAASb,EAGZ,IAIE6C,GAA2B7R,EAC3BlD,EAAQqM,EAAO1d,KAAKuU,EAAGuL,aAAcvL,EAAG8J,gBACxC,MAAO5U,GACPmO,GAAYnO,EAAG8K,EAAI,UAYjBlD,EAAQkD,EAAG8P,OAEb,QACA+B,GAA2B,KAmB7B,OAhBIjiB,MAAM2B,QAAQuL,IAA2B,IAAjBA,EAAMvN,SAChCuN,EAAQA,EAAM,IAGVA,aAAiB7B,KAQrB6B,EAAQJ,MAGVI,EAAMhB,OAASkT,EACRlS,GAo+CXihB,CAAY7D,IA8MZ,IAAI8D,GAAe,CAAC/sB,OAAQuG,OAAQ5H,OAiFhCquB,GAAoB,CACtBC,UAhFc,CACdvY,KAAM,aACN2N,UAAU,EAEV3S,MAAO,CACLwd,QAASH,GACTI,QAASJ,GACT3e,IAAK,CAACpO,OAAQotB,SAGhBC,QAAS,WACPlzB,KAAK2H,MAAQrF,OAAOsE,OAAO,MAC3B5G,KAAKkK,KAAO,IAGdipB,UAAW,WACT,IAAK,IAAI3rB,KAAOxH,KAAK2H,MACnBwoB,GAAgBnwB,KAAK2H,MAAOH,EAAKxH,KAAKkK,OAI1CkpB,QAAS,WACP,IAAI/Q,EAASriB,KAEbA,KAAKkuB,OAAO,WAAW,SAAUxoB,GAC/BqqB,GAAW1N,GAAQ,SAAU9H,GAAQ,OAAOsV,GAAQnqB,EAAK6U,SAE3Dva,KAAKkuB,OAAO,WAAW,SAAUxoB,GAC/BqqB,GAAW1N,GAAQ,SAAU9H,GAAQ,OAAQsV,GAAQnqB,EAAK6U,UAI9DwD,OAAQ,WACN,IAAIjB,EAAO9c,KAAKye,OAAOhH,QACnB/F,EAAQ8X,GAAuB1M,GAC/B3M,EAAmBuB,GAASA,EAAMvB,iBACtC,GAAIA,EAAkB,CAEpB,IAAIoK,EAAOqV,GAAiBzf,GAExB4iB,EADM/yB,KACQ+yB,QACdC,EAFMhzB,KAEQgzB,QAClB,GAEGD,KAAaxY,IAASsV,GAAQkD,EAASxY,KAEvCyY,GAAWzY,GAAQsV,GAAQmD,EAASzY,GAErC,OAAO7I,EAGT,IACI/J,EADQ3H,KACM2H,MACduC,EAFQlK,KAEKkK,KACb1C,EAAmB,MAAbkK,EAAMlK,IAGZ2I,EAAiBlC,KAAKmY,KAAOjW,EAAiBL,IAAO,KAAQK,EAAoB,IAAK,IACtFuB,EAAMlK,IACNG,EAAMH,IACRkK,EAAMjB,kBAAoB9I,EAAMH,GAAKiJ,kBAErCvJ,EAAOgD,EAAM1C,GACb0C,EAAKgF,KAAK1H,KAEVG,EAAMH,GAAOkK,EACbxH,EAAKgF,KAAK1H,GAENxH,KAAKiU,KAAO/J,EAAK/F,OAASkvB,SAASrzB,KAAKiU,MAC1Ckc,GAAgBxoB,EAAOuC,EAAK,GAAIA,EAAMlK,KAAK0kB,SAI/ChT,EAAMpO,KAAKkgB,WAAY,EAEzB,OAAO9R,GAAUoL,GAAQA,EAAK,OAUlC,SAAwBgS,GAEtB,IAAIwE,EAAY,CAChB,IAAgB,WAAc,OAAO7oB,IAQrCnI,OAAO0J,eAAe8iB,EAAK,SAAUwE,GAKrCxE,EAAIyE,KAAO,CACT3kB,KAAMA,GACN7F,OAAQA,EACR8M,aAAcA,GACd2d,eAAgBjgB,IAGlBub,EAAItgB,IAAMA,GACVsgB,EAAI2E,OAASvf,GACb4a,EAAInsB,SAAWA,GAGfmsB,EAAI4E,WAAa,SAAUruB,GAEzB,OADA8N,GAAQ9N,GACDA,GAGTypB,EAAIhZ,QAAUxT,OAAOsE,OAAO,MAC5B2D,EAAYuH,SAAQ,SAAUuD,GAC5ByZ,EAAIhZ,QAAQT,EAAO,KAAO/S,OAAOsE,OAAO,SAK1CkoB,EAAIhZ,QAAQQ,MAAQwY,EAEpB/lB,EAAO+lB,EAAIhZ,QAAQ+Y,WAAYgE,IA3UjC,SAAkB/D,GAChBA,EAAIa,IAAM,SAAUgE,GAClB,IAAIC,EAAoB5zB,KAAK6zB,oBAAsB7zB,KAAK6zB,kBAAoB,IAC5E,GAAID,EAAiB3vB,QAAQ0vB,IAAW,EACtC,OAAO3zB,KAIT,IAAIuE,EAAOqE,EAAQrI,UAAW,GAQ9B,OAPAgE,EAAKuvB,QAAQ9zB,MACiB,mBAAnB2zB,EAAOI,QAChBJ,EAAOI,QAAQr0B,MAAMi0B,EAAQpvB,GACF,mBAAXovB,GAChBA,EAAOj0B,MAAM,KAAM6E,GAErBqvB,EAAiB1kB,KAAKykB,GACf3zB,MA6TTg0B,CAAQlF,GAvTV,SAAsBA,GACpBA,EAAIY,MAAQ,SAAUA,GAEpB,OADA1vB,KAAK8V,QAAUD,GAAa7V,KAAK8V,QAAS4Z,GACnC1vB,MAqTTi0B,CAAYnF,GACZE,GAAWF,GApNb,SAA6BA,GAI3BvkB,EAAYuH,SAAQ,SAAUuD,GAC5ByZ,EAAIzZ,GAAQ,SACVvV,EACAo0B,GAEA,OAAKA,GAOU,cAAT7e,GAAwB9P,EAAc2uB,KACxCA,EAAW3Z,KAAO2Z,EAAW3Z,MAAQza,EACrCo0B,EAAal0B,KAAK8V,QAAQQ,MAAMvN,OAAOmrB,IAE5B,cAAT7e,GAA8C,mBAAf6e,IACjCA,EAAa,CAAE5rB,KAAM4rB,EAAY1kB,OAAQ0kB,IAE3Cl0B,KAAK8V,QAAQT,EAAO,KAAKvV,GAAMo0B,EACxBA,GAdAl0B,KAAK8V,QAAQT,EAAO,KAAKvV,OA2MtCq0B,CAAmBrF,GAGrBsF,CAActF,IAEdxsB,OAAO0J,eAAe8iB,GAAIlvB,UAAW,YAAa,CAChD8N,IAAKC,KAGPrL,OAAO0J,eAAe8iB,GAAIlvB,UAAW,cAAe,CAClD8N,IAAK,WAEH,OAAO1N,KAAKykB,QAAUzkB,KAAKykB,OAAO4P,cAKtC/xB,OAAO0J,eAAe8iB,GAAK,0BAA2B,CACpD3pB,MAAOgd,KAGT2M,GAAIwF,QAAU,SAMd,IAAIlpB,GAAiB5E,EAAQ,eAGzB+tB,GAAc/tB,EAAQ,yCACtBgF,GAAc,SAAUsE,EAAKuF,EAAMmf,GACrC,MACY,UAATA,GAAoBD,GAAYzkB,IAAkB,WAATuF,GAChC,aAATmf,GAA+B,WAAR1kB,GACd,YAAT0kB,GAA8B,UAAR1kB,GACb,UAAT0kB,GAA4B,UAAR1kB,GAIrB2kB,GAAmBjuB,EAAQ,wCAE3BkuB,GAA8BluB,EAAQ,sCAWtCmuB,GAAgBnuB,EAClB,wYAQEouB,GAAU,+BAEVC,GAAU,SAAUta,GACtB,MAA0B,MAAnBA,EAAKpS,OAAO,IAAmC,UAArBoS,EAAKrW,MAAM,EAAG,IAG7C4wB,GAAe,SAAUva,GAC3B,OAAOsa,GAAQta,GAAQA,EAAKrW,MAAM,EAAGqW,EAAKpW,QAAU,IAGlD4wB,GAAmB,SAAUrvB,GAC/B,OAAc,MAAPA,IAAuB,IAARA,GAKxB,SAASsvB,GAAkBtjB,GAIzB,IAHA,IAAIpO,EAAOoO,EAAMpO,KACb2xB,EAAavjB,EACbwjB,EAAYxjB,EACT1M,EAAMkwB,EAAUzkB,qBACrBykB,EAAYA,EAAUzkB,kBAAkBiU,SACvBwQ,EAAU5xB,OACzBA,EAAO6xB,GAAeD,EAAU5xB,KAAMA,IAG1C,KAAO0B,EAAMiwB,EAAaA,EAAWvkB,SAC/BukB,GAAcA,EAAW3xB,OAC3BA,EAAO6xB,GAAe7xB,EAAM2xB,EAAW3xB,OAG3C,OAYF,SACE8xB,EACAC,GAEA,GAAIrwB,EAAMowB,IAAgBpwB,EAAMqwB,GAC9B,OAAOrgB,GAAOogB,EAAaE,GAAeD,IAG5C,MAAO,GApBAE,CAAYjyB,EAAK8xB,YAAa9xB,EAAK2lB,OAG5C,SAASkM,GAAgB/jB,EAAOV,GAC9B,MAAO,CACL0kB,YAAapgB,GAAO5D,EAAMgkB,YAAa1kB,EAAO0kB,aAC9CnM,MAAOjkB,EAAMoM,EAAM6X,OACf,CAAC7X,EAAM6X,MAAOvY,EAAOuY,OACrBvY,EAAOuY,OAef,SAASjU,GAAQvM,EAAGY,GAClB,OAAOZ,EAAIY,EAAKZ,EAAI,IAAMY,EAAKZ,EAAKY,GAAK,GAG3C,SAASisB,GAAgBnwB,GACvB,OAAIX,MAAM2B,QAAQhB,GAapB,SAAyBA,GAGvB,IAFA,IACIqwB,EADArsB,EAAM,GAED1E,EAAI,EAAGiE,EAAIvD,EAAMhB,OAAQM,EAAIiE,EAAGjE,IACnCO,EAAMwwB,EAAcF,GAAenwB,EAAMV,MAAwB,KAAhB+wB,IAC/CrsB,IAAOA,GAAO,KAClBA,GAAOqsB,GAGX,OAAOrsB,EArBEssB,CAAetwB,GAEpBC,EAASD,GAsBf,SAA0BA,GACxB,IAAIgE,EAAM,GACV,IAAK,IAAI3B,KAAOrC,EACVA,EAAMqC,KACJ2B,IAAOA,GAAO,KAClBA,GAAO3B,GAGX,OAAO2B,EA7BEusB,CAAgBvwB,GAEJ,iBAAVA,EACFA,EAGF,GA4BT,IAAIwwB,GAAe,CACjBC,IAAK,6BACLC,KAAM,sCAGJC,GAAYtvB,EACd,snBAeEuvB,GAAQvvB,EACV,kNAGA,GAKE2E,GAAgB,SAAU2E,GAC5B,OAAOgmB,GAAUhmB,IAAQimB,GAAMjmB,IAGjC,SAASxE,GAAiBwE,GACxB,OAAIimB,GAAMjmB,GACD,MAIG,SAARA,EACK,YADT,EAKF,IAAIkmB,GAAsB1zB,OAAOsE,OAAO,MA0BxC,IAAIqvB,GAAkBzvB,EAAQ,6CAO9B,SAAS0vB,GAAO9E,GACd,GAAkB,iBAAPA,EAAiB,CAC1B,IAAI+E,EAAW/zB,SAASg0B,cAAchF,GACtC,OAAK+E,GAII/zB,SAASoB,cAAc,OAIhC,OAAO4tB,EA8DX,IAAIiF,GAAuB/zB,OAAOuC,OAAO,CACvCrB,cAzDF,SAA0B8yB,EAAS5kB,GACjC,IAAIzB,EAAM7N,SAASoB,cAAc8yB,GACjC,MAAgB,WAAZA,GAIA5kB,EAAMpO,MAAQoO,EAAMpO,KAAKuZ,YAAuCjP,IAA9B8D,EAAMpO,KAAKuZ,MAAM0Z,UACrDtmB,EAAIumB,aAAa,WAAY,YAJtBvmB,GAuDTwmB,gBA9CF,SAA0BC,EAAWJ,GACnC,OAAOl0B,SAASq0B,gBAAgBd,GAAae,GAAYJ,IA8CzD3c,eA3CF,SAAyB3J,GACvB,OAAO5N,SAASuX,eAAe3J,IA2C/B2mB,cAxCF,SAAwB3mB,GACtB,OAAO5N,SAASu0B,cAAc3mB,IAwC9B4mB,aArCF,SAAuB3B,EAAY4B,EAASC,GAC1C7B,EAAW2B,aAAaC,EAASC,IAqCjClzB,YAlCF,SAAsB2N,EAAMH,GAC1BG,EAAK3N,YAAYwN,IAkCjBvN,YA/BF,SAAsB0N,EAAMH,GAC1BG,EAAK1N,YAAYuN,IA+BjB6jB,WA5BF,SAAqB1jB,GACnB,OAAOA,EAAK0jB,YA4BZ8B,YAzBF,SAAsBxlB,GACpB,OAAOA,EAAKwlB,aAyBZT,QAtBF,SAAkB/kB,GAChB,OAAOA,EAAK+kB,SAsBZU,eAnBF,SAAyBzlB,EAAMvB,GAC7BuB,EAAK0lB,YAAcjnB,GAmBnBknB,cAhBF,SAAwB3lB,EAAM4lB,GAC5B5lB,EAAKilB,aAAaW,EAAS,OAoBzBr2B,GAAM,CACR8F,OAAQ,SAAiBmB,EAAG2J,GAC1B0lB,GAAY1lB,IAEdlC,OAAQ,SAAiByU,EAAUvS,GAC7BuS,EAAS3gB,KAAKxC,MAAQ4Q,EAAMpO,KAAKxC,MACnCs2B,GAAYnT,GAAU,GACtBmT,GAAY1lB,KAGhBgU,QAAS,SAAkBhU,GACzB0lB,GAAY1lB,GAAO,KAIvB,SAAS0lB,GAAa1lB,EAAO2lB,GAC3B,IAAI7vB,EAAMkK,EAAMpO,KAAKxC,IACrB,GAAKkE,EAAMwC,GAAX,CAEA,IAAIoN,EAAKlD,EAAMxB,QACXpP,EAAM4Q,EAAMjB,mBAAqBiB,EAAMzB,IACvCqnB,EAAO1iB,EAAGgc,MACVyG,EACE7yB,MAAM2B,QAAQmxB,EAAK9vB,IACrBN,EAAOowB,EAAK9vB,GAAM1G,GACTw2B,EAAK9vB,KAAS1G,IACvBw2B,EAAK9vB,QAAOoG,GAGV8D,EAAMpO,KAAKi0B,SACR/yB,MAAM2B,QAAQmxB,EAAK9vB,IAEb8vB,EAAK9vB,GAAKvD,QAAQnD,GAAO,GAElCw2B,EAAK9vB,GAAK0H,KAAKpO,GAHfw2B,EAAK9vB,GAAO,CAAC1G,GAMfw2B,EAAK9vB,GAAO1G,GAiBlB,IAAI02B,GAAY,IAAI3nB,GAAM,GAAI,GAAI,IAE9BoF,GAAQ,CAAC,SAAU,WAAY,SAAU,SAAU,WAEvD,SAASwiB,GAAWhvB,EAAGY,GACrB,OACEZ,EAAEjB,MAAQ6B,EAAE7B,MAERiB,EAAEqH,MAAQzG,EAAEyG,KACZrH,EAAEqI,YAAczH,EAAEyH,WAClB9L,EAAMyD,EAAEnF,QAAU0B,EAAMqE,EAAE/F,OAWlC,SAAwBmF,EAAGY,GACzB,GAAc,UAAVZ,EAAEqH,IAAmB,OAAO,EAChC,IAAIrL,EACAizB,EAAQ1yB,EAAMP,EAAIgE,EAAEnF,OAAS0B,EAAMP,EAAIA,EAAEoY,QAAUpY,EAAE4Q,KACrDsiB,EAAQ3yB,EAAMP,EAAI4E,EAAE/F,OAAS0B,EAAMP,EAAIA,EAAEoY,QAAUpY,EAAE4Q,KACzD,OAAOqiB,IAAUC,GAAS1B,GAAgByB,IAAUzB,GAAgB0B,GAf9DC,CAAcnvB,EAAGY,IAEjBpE,EAAOwD,EAAEyI,qBACTzI,EAAE2H,eAAiB/G,EAAE+G,cACrBtL,EAAQuE,EAAE+G,aAAayI,QAc/B,SAASgf,GAAmB9nB,EAAU+nB,EAAUC,GAC9C,IAAItzB,EAAG+C,EACHb,EAAM,GACV,IAAKlC,EAAIqzB,EAAUrzB,GAAKszB,IAAUtzB,EAE5BO,EADJwC,EAAMuI,EAAStL,GAAG+C,OACAb,EAAIa,GAAO/C,GAE/B,OAAOkC,EAqtBT,IAAIwP,GAAa,CACfvP,OAAQoxB,GACRxoB,OAAQwoB,GACRtS,QAAS,SAA2BhU,GAClCsmB,GAAiBtmB,EAAO8lB,MAI5B,SAASQ,GAAkB/T,EAAUvS,IAC/BuS,EAAS3gB,KAAK6S,YAAczE,EAAMpO,KAAK6S,aAK7C,SAAkB8N,EAAUvS,GAC1B,IAQIlK,EAAKywB,EAAQC,EARbC,EAAWlU,IAAauT,GACxBY,EAAY1mB,IAAU8lB,GACtBa,EAAUC,GAAsBrU,EAAS3gB,KAAK6S,WAAY8N,EAAS/T,SACnEqoB,EAAUD,GAAsB5mB,EAAMpO,KAAK6S,WAAYzE,EAAMxB,SAE7DsoB,EAAiB,GACjBC,EAAoB,GAGxB,IAAKjxB,KAAO+wB,EACVN,EAASI,EAAQ7wB,GACjB0wB,EAAMK,EAAQ/wB,GACTywB,GAQHC,EAAIzL,SAAWwL,EAAO9yB,MACtB+yB,EAAIQ,OAAST,EAAOU,IACpBC,GAAWV,EAAK,SAAUxmB,EAAOuS,GAC7BiU,EAAIpsB,KAAOosB,EAAIpsB,IAAI+sB,kBACrBJ,EAAkBvpB,KAAKgpB,KAVzBU,GAAWV,EAAK,OAAQxmB,EAAOuS,GAC3BiU,EAAIpsB,KAAOosB,EAAIpsB,IAAIoG,UACrBsmB,EAAetpB,KAAKgpB,IAa1B,GAAIM,EAAer0B,OAAQ,CACzB,IAAI20B,EAAa,WACf,IAAK,IAAIr0B,EAAI,EAAGA,EAAI+zB,EAAer0B,OAAQM,IACzCm0B,GAAWJ,EAAe/zB,GAAI,WAAYiN,EAAOuS,IAGjDkU,EACF7c,GAAe5J,EAAO,SAAUonB,GAEhCA,IAIAL,EAAkBt0B,QACpBmX,GAAe5J,EAAO,aAAa,WACjC,IAAK,IAAIjN,EAAI,EAAGA,EAAIg0B,EAAkBt0B,OAAQM,IAC5Cm0B,GAAWH,EAAkBh0B,GAAI,mBAAoBiN,EAAOuS,MAKlE,IAAKkU,EACH,IAAK3wB,KAAO6wB,EACLE,EAAQ/wB,IAEXoxB,GAAWP,EAAQ7wB,GAAM,SAAUyc,EAAUA,EAAUmU,GA3D3DnG,CAAQhO,EAAUvS,GAiEtB,IAAIqnB,GAAiBz2B,OAAOsE,OAAO,MAEnC,SAAS0xB,GACPpiB,EACAtB,GAEA,IAKInQ,EAAGyzB,EALH/uB,EAAM7G,OAAOsE,OAAO,MACxB,IAAKsP,EAEH,OAAO/M,EAGT,IAAK1E,EAAI,EAAGA,EAAIyR,EAAK/R,OAAQM,KAC3ByzB,EAAMhiB,EAAKzR,IACFu0B,YAEPd,EAAIc,UAAYD,IAElB5vB,EAAI8vB,GAAcf,IAAQA,EAC1BA,EAAIpsB,IAAM6K,GAAa/B,EAAG8C,SAAU,aAAcwgB,EAAI3d,MAGxD,OAAOpR,EAGT,SAAS8vB,GAAef,GACtB,OAAOA,EAAIgB,SAAahB,EAAQ,KAAI,IAAO51B,OAAO4H,KAAKguB,EAAIc,WAAa,IAAIG,KAAK,KAGnF,SAASP,GAAYV,EAAK9iB,EAAM1D,EAAOuS,EAAUmU,GAC/C,IAAI1wB,EAAKwwB,EAAIpsB,KAAOosB,EAAIpsB,IAAIsJ,GAC5B,GAAI1N,EACF,IACEA,EAAGgK,EAAMzB,IAAKioB,EAAKxmB,EAAOuS,EAAUmU,GACpC,MAAOtuB,GACPmO,GAAYnO,EAAG4H,EAAMxB,QAAU,aAAgBgoB,EAAQ,KAAI,IAAM9iB,EAAO,UAK9E,IAAIgkB,GAAc,CAChBt4B,GACAqV,IAKF,SAASkjB,GAAapV,EAAUvS,GAC9B,IAAIjE,EAAOiE,EAAMvB,iBACjB,KAAInL,EAAMyI,KAA4C,IAAnCA,EAAKQ,KAAK6H,QAAQwjB,cAGjCx0B,EAAQmf,EAAS3gB,KAAKuZ,QAAU/X,EAAQ4M,EAAMpO,KAAKuZ,QAAvD,CAGA,IAAIrV,EAAK4Q,EACLnI,EAAMyB,EAAMzB,IACZspB,EAAWtV,EAAS3gB,KAAKuZ,OAAS,GAClCA,EAAQnL,EAAMpO,KAAKuZ,OAAS,GAMhC,IAAKrV,KAJDxC,EAAM6X,EAAMxK,UACdwK,EAAQnL,EAAMpO,KAAKuZ,MAAQ9T,EAAO,GAAI8T,IAG5BA,EACVzE,EAAMyE,EAAMrV,GACN+xB,EAAS/xB,KACH4Q,GACVohB,GAAQvpB,EAAKzI,EAAK4Q,GAStB,IAAK5Q,KAHAuF,GAAQG,IAAW2P,EAAM1X,QAAUo0B,EAASp0B,OAC/Cq0B,GAAQvpB,EAAK,QAAS4M,EAAM1X,OAElBo0B,EACNz0B,EAAQ+X,EAAMrV,MACZqtB,GAAQrtB,GACVyI,EAAIwpB,kBAAkB7E,GAASE,GAAattB,IAClCitB,GAAiBjtB,IAC3ByI,EAAIypB,gBAAgBlyB,KAM5B,SAASgyB,GAASpI,EAAI5pB,EAAKrC,GACrBisB,EAAGkF,QAAQryB,QAAQ,MAAQ,EAC7B01B,GAAYvI,EAAI5pB,EAAKrC,GACZwvB,GAAcntB,GAGnButB,GAAiB5vB,GACnBisB,EAAGsI,gBAAgBlyB,IAInBrC,EAAgB,oBAARqC,GAA4C,UAAf4pB,EAAGkF,QACpC,OACA9uB,EACJ4pB,EAAGoF,aAAahvB,EAAKrC,IAEdsvB,GAAiBjtB,GAC1B4pB,EAAGoF,aAAahvB,EA9vCS,SAAUA,EAAKrC,GAC1C,OAAO4vB,GAAiB5vB,IAAoB,UAAVA,EAC9B,QAEQ,oBAARqC,GAA6BktB,GAA4BvvB,GACvDA,EACA,OAwvCiBy0B,CAAuBpyB,EAAKrC,IACxC0vB,GAAQrtB,GACbutB,GAAiB5vB,GACnBisB,EAAGqI,kBAAkB7E,GAASE,GAAattB,IAE3C4pB,EAAGyI,eAAejF,GAASptB,EAAKrC,GAGlCw0B,GAAYvI,EAAI5pB,EAAKrC,GAIzB,SAASw0B,GAAavI,EAAI5pB,EAAKrC,GAC7B,GAAI4vB,GAAiB5vB,GACnBisB,EAAGsI,gBAAgBlyB,OACd,CAKL,GACEuF,IAASE,GACM,aAAfmkB,EAAGkF,SACK,gBAAR9uB,GAAmC,KAAVrC,IAAiBisB,EAAG0I,OAC7C,CACA,IAAIC,EAAU,SAAUjwB,GACtBA,EAAEkwB,2BACF5I,EAAG6I,oBAAoB,QAASF,IAElC3I,EAAGhtB,iBAAiB,QAAS21B,GAE7B3I,EAAG0I,QAAS,EAEd1I,EAAGoF,aAAahvB,EAAKrC,IAIzB,IAAI0X,GAAQ,CACVjW,OAAQyyB,GACR7pB,OAAQ6pB,IAKV,SAASa,GAAajW,EAAUvS,GAC9B,IAAI0f,EAAK1f,EAAMzB,IACX3M,EAAOoO,EAAMpO,KACb62B,EAAUlW,EAAS3gB,KACvB,KACEwB,EAAQxB,EAAK8xB,cACbtwB,EAAQxB,EAAK2lB,SACXnkB,EAAQq1B,IACNr1B,EAAQq1B,EAAQ/E,cAChBtwB,EAAQq1B,EAAQlR,SALtB,CAYA,IAAImR,EAAMpF,GAAiBtjB,GAGvB2oB,EAAkBjJ,EAAGkJ,mBACrBt1B,EAAMq1B,KACRD,EAAMplB,GAAOolB,EAAK9E,GAAe+E,KAI/BD,IAAQhJ,EAAGmJ,aACbnJ,EAAGoF,aAAa,QAAS4D,GACzBhJ,EAAGmJ,WAAaH,IAIpB,IA4YInoB,GAAKxL,GAAK+zB,GAAKC,GAASC,GAAeC,GA5YvCC,GAAQ,CACVh0B,OAAQszB,GACR1qB,OAAQ0qB,IAKNW,GAAsB,gBAE1B,SAASC,GAAcC,GACrB,IAQI/yB,EAAGgzB,EAAMv2B,EAAGynB,EAAY+O,EARxBC,GAAW,EACXC,GAAW,EACXC,GAAmB,EACnBC,GAAU,EACVC,EAAQ,EACRC,EAAS,EACTC,EAAQ,EACRC,EAAkB,EAGtB,IAAKh3B,EAAI,EAAGA,EAAIs2B,EAAI52B,OAAQM,IAG1B,GAFAu2B,EAAOhzB,EACPA,EAAI+yB,EAAIlvB,WAAWpH,GACfy2B,EACQ,KAANlzB,GAAuB,KAATgzB,IAAiBE,GAAW,QACzC,GAAIC,EACC,KAANnzB,GAAuB,KAATgzB,IAAiBG,GAAW,QACzC,GAAIC,EACC,KAANpzB,GAAuB,KAATgzB,IAAiBI,GAAmB,QACjD,GAAIC,EACC,KAANrzB,GAAuB,KAATgzB,IAAiBK,GAAU,QACxC,GACC,MAANrzB,GAC0B,MAA1B+yB,EAAIlvB,WAAWpH,EAAI,IACO,MAA1Bs2B,EAAIlvB,WAAWpH,EAAI,IAClB62B,GAAUC,GAAWC,EASjB,CACL,OAAQxzB,GACN,KAAK,GAAMmzB,GAAW,EAAM,MAC5B,KAAK,GAAMD,GAAW,EAAM,MAC5B,KAAK,GAAME,GAAmB,EAAM,MACpC,KAAK,GAAMI,IAAS,MACpB,KAAK,GAAMA,IAAS,MACpB,KAAK,GAAMD,IAAU,MACrB,KAAK,GAAMA,IAAU,MACrB,KAAK,IAAMD,IAAS,MACpB,KAAK,IAAMA,IAEb,GAAU,KAANtzB,EAAY,CAId,IAHA,IAAIgiB,EAAIvlB,EAAI,EACR4U,OAAI,EAED2Q,GAAK,GAEA,OADV3Q,EAAI0hB,EAAI5yB,OAAO6hB,IADFA,KAIV3Q,GAAMwhB,GAAoB7tB,KAAKqM,KAClCgiB,GAAU,cA5BKztB,IAAfse,GAEFuP,EAAkBh3B,EAAI,EACtBynB,EAAa6O,EAAI72B,MAAM,EAAGO,GAAGi3B,QAE7BC,IAmCN,SAASA,KACNV,IAAYA,EAAU,KAAK/rB,KAAK6rB,EAAI72B,MAAMu3B,EAAiBh3B,GAAGi3B,QAC/DD,EAAkBh3B,EAAI,EAGxB,QAXmBmJ,IAAfse,EACFA,EAAa6O,EAAI72B,MAAM,EAAGO,GAAGi3B,OACA,IAApBD,GACTE,IAQEV,EACF,IAAKx2B,EAAI,EAAGA,EAAIw2B,EAAQ92B,OAAQM,IAC9BynB,EAAa0P,GAAW1P,EAAY+O,EAAQx2B,IAIhD,OAAOynB,EAGT,SAAS0P,GAAYb,EAAK9K,GACxB,IAAIxrB,EAAIwrB,EAAOhsB,QAAQ,KACvB,GAAIQ,EAAI,EAEN,MAAQ,OAAUwrB,EAAS,MAAS8K,EAAM,IAE1C,IAAIxgB,EAAO0V,EAAO/rB,MAAM,EAAGO,GACvBF,EAAO0rB,EAAO/rB,MAAMO,EAAI,GAC5B,MAAQ,OAAU8V,EAAO,MAASwgB,GAAgB,MAATx2B,EAAe,IAAMA,EAAOA,GASzE,SAASs3B,GAAUC,EAAKC,GACtBnjB,QAAQC,MAAO,mBAAqBijB,GAItC,SAASE,GACPC,EACAz0B,GAEA,OAAOy0B,EACHA,EAAQt1B,KAAI,SAAUu1B,GAAK,OAAOA,EAAE10B,MAASyoB,QAAO,SAAUloB,GAAK,OAAOA,KAC1E,GAGN,SAASo0B,GAAS/K,EAAI7W,EAAMpV,EAAO42B,EAAOK,IACvChL,EAAG7b,QAAU6b,EAAG7b,MAAQ,KAAKrG,KAAKmtB,GAAa,CAAE9hB,KAAMA,EAAMpV,MAAOA,EAAOi3B,QAASA,GAAWL,IAChG3K,EAAGkL,OAAQ,EAGb,SAASC,GAASnL,EAAI7W,EAAMpV,EAAO42B,EAAOK,IAC5BA,EACPhL,EAAGoL,eAAiBpL,EAAGoL,aAAe,IACtCpL,EAAGvU,QAAUuU,EAAGvU,MAAQ,KACvB3N,KAAKmtB,GAAa,CAAE9hB,KAAMA,EAAMpV,MAAOA,EAAOi3B,QAASA,GAAWL,IACxE3K,EAAGkL,OAAQ,EAIb,SAASG,GAAYrL,EAAI7W,EAAMpV,EAAO42B,GACpC3K,EAAGsL,SAASniB,GAAQpV,EACpBisB,EAAGuL,UAAUztB,KAAKmtB,GAAa,CAAE9hB,KAAMA,EAAMpV,MAAOA,GAAS42B,IAG/D,SAASa,GACPxL,EACA7W,EACA2e,EACA/zB,EACAwzB,EACAkE,EACA7D,EACA+C,IAEC3K,EAAGjb,aAAeib,EAAGjb,WAAa,KAAKjH,KAAKmtB,GAAa,CACxD9hB,KAAMA,EACN2e,QAASA,EACT/zB,MAAOA,EACPwzB,IAAKA,EACLkE,aAAcA,EACd7D,UAAWA,GACV+C,IACH3K,EAAGkL,OAAQ,EAGb,SAASQ,GAAuB9b,EAAQzG,EAAM6hB,GAC5C,OAAOA,EACF,MAAQ7hB,EAAO,KAAQyG,EAAS,KACjCA,EAASzG,EAGf,SAASwiB,GACP3L,EACA7W,EACApV,EACA6zB,EACAgE,EACApuB,EACAmtB,EACAK,GAiDA,IAAIa,GA/CJjE,EAAYA,GAAap0B,GAiBXs4B,MACRd,EACF7hB,EAAO,IAAMA,EAAO,8BAAgCA,EAAO,IACzC,UAATA,IACTA,EAAO,qBACAye,EAAUkE,OAEVlE,EAAUmE,SACff,EACF7hB,EAAO,IAAMA,EAAO,0BAA4BA,EAAO,IACrC,UAATA,IACTA,EAAO,YAKPye,EAAUte,iBACLse,EAAUte,QACjBH,EAAOuiB,GAAsB,IAAKviB,EAAM6hB,IAEtCpD,EAAU3uB,cACL2uB,EAAU3uB,KACjBkQ,EAAOuiB,GAAsB,IAAKviB,EAAM6hB,IAGtCpD,EAAUxe,iBACLwe,EAAUxe,QACjBD,EAAOuiB,GAAsB,IAAKviB,EAAM6hB,IAItCpD,EAAUoE,eACLpE,EAAUoE,OACjBH,EAAS7L,EAAGiM,eAAiBjM,EAAGiM,aAAe,KAE/CJ,EAAS7L,EAAG6L,SAAW7L,EAAG6L,OAAS,IAGrC,IAAIK,EAAajB,GAAa,CAAEl3B,MAAOA,EAAMu2B,OAAQU,QAASA,GAAWL,GACrE/C,IAAcp0B,IAChB04B,EAAWtE,UAAYA,GAGzB,IAAIjP,EAAWkT,EAAO1iB,GAElB/V,MAAM2B,QAAQ4jB,GAChBiT,EAAYjT,EAAS+J,QAAQwJ,GAAcvT,EAAS7a,KAAKouB,GAEzDL,EAAO1iB,GADEwP,EACMiT,EAAY,CAACM,EAAYvT,GAAY,CAACA,EAAUuT,GAEhDA,EAGjBlM,EAAGkL,OAAQ,EAYb,SAASiB,GACPnM,EACA7W,EACAijB,GAEA,IAAIC,EACFC,GAAiBtM,EAAI,IAAM7W,IAC3BmjB,GAAiBtM,EAAI,UAAY7W,GACnC,GAAoB,MAAhBkjB,EACF,OAAO3C,GAAa2C,GACf,IAAkB,IAAdD,EAAqB,CAC9B,IAAIG,EAAcD,GAAiBtM,EAAI7W,GACvC,GAAmB,MAAfojB,EACF,OAAOv3B,KAAKC,UAAUs3B,IAS5B,SAASD,GACPtM,EACA7W,EACAqjB,GAEA,IAAIl4B,EACJ,GAAiC,OAA5BA,EAAM0rB,EAAGsL,SAASniB,IAErB,IADA,IAAI1T,EAAOuqB,EAAGuL,UACLl4B,EAAI,EAAGiE,EAAI7B,EAAK1C,OAAQM,EAAIiE,EAAGjE,IACtC,GAAIoC,EAAKpC,GAAG8V,OAASA,EAAM,CACzB1T,EAAKQ,OAAO5C,EAAG,GACf,MAON,OAHIm5B,UACKxM,EAAGsL,SAASniB,GAEd7U,EAGT,SAASm4B,GACPzM,EACA7W,GAGA,IADA,IAAI1T,EAAOuqB,EAAGuL,UACLl4B,EAAI,EAAGiE,EAAI7B,EAAK1C,OAAQM,EAAIiE,EAAGjE,IAAK,CAC3C,IAAI+vB,EAAO3tB,EAAKpC,GAChB,GAAI8V,EAAKvN,KAAKwnB,EAAKja,MAEjB,OADA1T,EAAKQ,OAAO5C,EAAG,GACR+vB,GAKb,SAAS6H,GACPr7B,EACA+6B,GAUA,OARIA,IACiB,MAAfA,EAAMlzB,QACR7H,EAAK6H,MAAQkzB,EAAMlzB,OAEJ,MAAbkzB,EAAM+B,MACR98B,EAAK88B,IAAM/B,EAAM+B,MAGd98B,EAQT,SAAS+8B,GACP3M,EACAjsB,EACA6zB,GAEA,IAAIl4B,EAAMk4B,GAAa,GACnBgF,EAASl9B,EAAIk9B,OAIbC,EADsB,MAFfn9B,EAAI46B,OAKbuC,EACE,8CAIAD,IACFC,EAAkB,MAAQA,EAAkB,KAE9C,IAAIC,EAAaC,GAAkBh5B,EAAO84B,GAE1C7M,EAAGzJ,MAAQ,CACTxiB,MAAQ,IAAMA,EAAQ,IACtB+mB,WAAY9lB,KAAKC,UAAUlB,GAC3Bb,SAAW,mBAA6C45B,EAAa,KAOzE,SAASC,GACPh5B,EACA+4B,GAEA,IAAI/0B,EA2BN,SAAqBzD,GAMnB,GAHAA,EAAMA,EAAIg2B,OACVzpB,GAAMvM,EAAIvB,OAENuB,EAAIzB,QAAQ,KAAO,GAAKyB,EAAI04B,YAAY,KAAOnsB,GAAM,EAEvD,OADAwoB,GAAU/0B,EAAI04B,YAAY,OACX,EACN,CACLrD,IAAKr1B,EAAIxB,MAAM,EAAGu2B,IAClBjzB,IAAK,IAAM9B,EAAIxB,MAAMu2B,GAAU,GAAK,KAG/B,CACLM,IAAKr1B,EACL8B,IAAK,MAKXf,GAAMf,EACN+0B,GAAUC,GAAgBC,GAAmB,EAE7C,MAAQ0D,MAGFC,GAFJ9D,GAAMvc,MAGJsgB,GAAY/D,IACK,KAARA,IACTgE,GAAahE,IAIjB,MAAO,CACLO,IAAKr1B,EAAIxB,MAAM,EAAGw2B,IAClBlzB,IAAK9B,EAAIxB,MAAMw2B,GAAgB,EAAGC,KA/D1B8D,CAAWt5B,GACrB,OAAgB,OAAZgE,EAAI3B,IACErC,EAAQ,IAAM+4B,EAEd,QAAW/0B,EAAO,IAAI,KAAQA,EAAO,IAAI,KAAO+0B,EAAa,IA+DzE,SAASjgB,KACP,OAAOxX,GAAIoF,aAAa4uB,IAG1B,SAAS4D,KACP,OAAO5D,IAAWxoB,GAGpB,SAASqsB,GAAe9D,GACtB,OAAe,KAARA,GAAwB,KAARA,EAGzB,SAASgE,GAAchE,GACrB,IAAIkE,EAAY,EAEhB,IADAhE,GAAgBD,IACR4D,MAEN,GAAIC,GADJ9D,EAAMvc,MAEJsgB,GAAY/D,QAKd,GAFY,KAARA,GAAgBkE,IACR,KAARlE,GAAgBkE,IACF,IAAdA,EAAiB,CACnB/D,GAAmBF,GACnB,OAKN,SAAS8D,GAAa/D,GAEpB,IADA,IAAImE,EAAcnE,GACV6D,OACN7D,EAAMvc,QACM0gB,KAYhB,IAgMIC,GAEJ,SAASC,GAAqBx7B,EAAOoV,EAASiC,GAC5C,IAAIiP,EAAUiV,GACd,OAAO,SAAShV,IACd,IAAIzgB,EAAMsP,EAAQ/Y,MAAM,KAAMa,WAClB,OAAR4I,GACF21B,GAASz7B,EAAOumB,EAAalP,EAASiP,IAQ5C,IAAIoV,GAAkBhmB,MAAsB3L,IAAQ6lB,OAAO7lB,GAAK,KAAO,IAEvE,SAAS4xB,GACPzkB,EACA9B,EACAiC,EACAF,GAQA,GAAIukB,GAAiB,CACnB,IAAIE,EAAoB3U,GACpBtY,EAAWyG,EACfA,EAAUzG,EAASktB,SAAW,SAAUp1B,GACtC,GAIEA,EAAEuF,SAAWvF,EAAEq1B,eAEfr1B,EAAE4gB,WAAauU,GAIfn1B,EAAE4gB,WAAa,GAIf5gB,EAAEuF,OAAO+vB,gBAAkBh9B,SAE3B,OAAO4P,EAAStS,MAAMM,KAAMO,YAIlCq+B,GAASx6B,iBACPmW,EACA9B,EACAjL,GACI,CAAEkN,QAASA,EAASF,QAASA,GAC7BE,GAIR,SAASokB,GACPvkB,EACA9B,EACAiC,EACAiP,IAECA,GAAWiV,IAAU3E,oBACpB1f,EACA9B,EAAQymB,UAAYzmB,EACpBiC,GAIJ,SAAS2kB,GAAoBpb,EAAUvS,GACrC,IAAI5M,EAAQmf,EAAS3gB,KAAK0X,MAAOlW,EAAQ4M,EAAMpO,KAAK0X,IAApD,CAGA,IAAIA,EAAKtJ,EAAMpO,KAAK0X,IAAM,GACtBC,EAAQgJ,EAAS3gB,KAAK0X,IAAM,GAChC4jB,GAAWltB,EAAMzB,IAlGnB,SAA0B+K,GAExB,GAAIhW,EAAMgW,EAAc,KAAI,CAE1B,IAAI3X,EAAQ0J,EAAO,SAAW,QAC9BiO,EAAG3X,GAAS,GAAG2R,OAAOgG,EAAc,IAAGA,EAAG3X,IAAU,WAC7C2X,EAAc,IAKnBhW,EAAMgW,EAAuB,OAC/BA,EAAGskB,OAAS,GAAGtqB,OAAOgG,EAAuB,IAAGA,EAAGskB,QAAU,WACtDtkB,EAAuB,KAsFhCukB,CAAgBvkB,GAChBD,GAAgBC,EAAIC,EAAO+jB,GAAOF,GAAUD,GAAqBntB,EAAMxB,SACvE0uB,QAAWhxB,GAGb,IAOI4xB,GAPAvC,GAAS,CACXr2B,OAAQy4B,GACR7vB,OAAQ6vB,IAOV,SAASI,GAAgBxb,EAAUvS,GACjC,IAAI5M,EAAQmf,EAAS3gB,KAAKmc,YAAa3a,EAAQ4M,EAAMpO,KAAKmc,UAA1D,CAGA,IAAIjY,EAAK4Q,EACLnI,EAAMyB,EAAMzB,IACZyvB,EAAWzb,EAAS3gB,KAAKmc,UAAY,GACrClK,EAAQ7D,EAAMpO,KAAKmc,UAAY,GAMnC,IAAKjY,KAJDxC,EAAMuQ,EAAMlD,UACdkD,EAAQ7D,EAAMpO,KAAKmc,SAAW1W,EAAO,GAAIwM,IAG/BmqB,EACJl4B,KAAO+N,IACXtF,EAAIzI,GAAO,IAIf,IAAKA,KAAO+N,EAAO,CAKjB,GAJA6C,EAAM7C,EAAM/N,GAIA,gBAARA,GAAiC,cAARA,EAAqB,CAEhD,GADIkK,EAAM3B,WAAY2B,EAAM3B,SAAS5L,OAAS,GAC1CiU,IAAQsnB,EAASl4B,GAAQ,SAGC,IAA1ByI,EAAI0vB,WAAWx7B,QACjB8L,EAAIrM,YAAYqM,EAAI0vB,WAAW,IAInC,GAAY,UAARn4B,GAAmC,aAAhByI,EAAIqmB,QAAwB,CAGjDrmB,EAAI2vB,OAASxnB,EAEb,IAAIynB,EAAS/6B,EAAQsT,GAAO,GAAKvS,OAAOuS,GACpC0nB,GAAkB7vB,EAAK4vB,KACzB5vB,EAAI9K,MAAQ06B,QAET,GAAY,cAARr4B,GAAuBuuB,GAAM9lB,EAAIqmB,UAAYxxB,EAAQmL,EAAI8vB,WAAY,EAE9EP,GAAeA,IAAgBp9B,SAASoB,cAAc,QACzCu8B,UAAY,QAAU3nB,EAAM,SAEzC,IADA,IAAIwd,EAAM4J,GAAaQ,WAChB/vB,EAAI+vB,YACT/vB,EAAIrM,YAAYqM,EAAI+vB,YAEtB,KAAOpK,EAAIoK,YACT/vB,EAAIpM,YAAY+xB,EAAIoK,iBAEjB,GAKL5nB,IAAQsnB,EAASl4B,GAIjB,IACEyI,EAAIzI,GAAO4Q,EACX,MAAOtO,OAQf,SAASg2B,GAAmB7vB,EAAKgwB,GAC/B,OAAShwB,EAAIiwB,YACK,WAAhBjwB,EAAIqmB,SAMR,SAA+BrmB,EAAKgwB,GAGlC,IAAIE,GAAa,EAGjB,IAAMA,EAAa/9B,SAASg+B,gBAAkBnwB,EAAO,MAAOnG,IAC5D,OAAOq2B,GAAclwB,EAAI9K,QAAU86B,EAZjCI,CAAqBpwB,EAAKgwB,IAe9B,SAA+BhwB,EAAK+D,GAClC,IAAI7O,EAAQ8K,EAAI9K,MACZ6zB,EAAY/oB,EAAIqwB,YACpB,GAAIt7B,EAAMg0B,GAAY,CACpB,GAAIA,EAAUgF,OACZ,OAAO13B,EAASnB,KAAWmB,EAAS0N,GAEtC,GAAIglB,EAAU0C,KACZ,OAAOv2B,EAAMu2B,SAAW1nB,EAAO0nB,OAGnC,OAAOv2B,IAAU6O,EAzBfusB,CAAqBtwB,EAAKgwB,IA4B9B,IAAIxgB,GAAW,CACb7Y,OAAQ64B,GACRjwB,OAAQiwB,IAKNe,GAAiB/4B,GAAO,SAAUg5B,GACpC,IAAIt3B,EAAM,GAENu3B,EAAoB,QAOxB,OANAD,EAAQ35B,MAFY,iBAESgL,SAAQ,SAAU9Q,GAC7C,GAAIA,EAAM,CACR,IAAIurB,EAAMvrB,EAAK8F,MAAM45B,GACrBnU,EAAIpoB,OAAS,IAAMgF,EAAIojB,EAAI,GAAGmP,QAAUnP,EAAI,GAAGmP,YAG5CvyB,KAIT,SAASw3B,GAAoBr9B,GAC3B,IAAI0lB,EAAQ4X,GAAsBt9B,EAAK0lB,OAGvC,OAAO1lB,EAAKu9B,YACR93B,EAAOzF,EAAKu9B,YAAa7X,GACzBA,EAIN,SAAS4X,GAAuBE,GAC9B,OAAIt8B,MAAM2B,QAAQ26B,GACT53B,EAAS43B,GAEU,iBAAjBA,EACFN,GAAeM,GAEjBA,EAuCT,IAyBIC,GAzBAC,GAAW,MACXC,GAAc,iBACdC,GAAU,SAAU9P,EAAI7W,EAAM7U,GAEhC,GAAIs7B,GAASh0B,KAAKuN,GAChB6W,EAAGpI,MAAMmY,YAAY5mB,EAAM7U,QACtB,GAAIu7B,GAAYj0B,KAAKtH,GAC1B0rB,EAAGpI,MAAMmY,YAAY94B,EAAUkS,GAAO7U,EAAIoC,QAAQm5B,GAAa,IAAK,iBAC/D,CACL,IAAIG,EAAiBC,GAAU9mB,GAC/B,GAAI/V,MAAM2B,QAAQT,GAIhB,IAAK,IAAIjB,EAAI,EAAGwN,EAAMvM,EAAIvB,OAAQM,EAAIwN,EAAKxN,IACzC2sB,EAAGpI,MAAMoY,GAAkB17B,EAAIjB,QAGjC2sB,EAAGpI,MAAMoY,GAAkB17B,IAK7B47B,GAAc,CAAC,SAAU,MAAO,MAGhCD,GAAY55B,GAAO,SAAU0P,GAG/B,GAFA4pB,GAAaA,IAAc3+B,SAASoB,cAAc,OAAOwlB,MAE5C,YADb7R,EAAOtP,EAASsP,KACUA,KAAQ4pB,GAChC,OAAO5pB,EAGT,IADA,IAAIoqB,EAAUpqB,EAAKhP,OAAO,GAAGF,cAAgBkP,EAAKjT,MAAM,GAC/CO,EAAI,EAAGA,EAAI68B,GAAYn9B,OAAQM,IAAK,CAC3C,IAAI8V,EAAO+mB,GAAY78B,GAAK88B,EAC5B,GAAIhnB,KAAQwmB,GACV,OAAOxmB,MAKb,SAASinB,GAAavd,EAAUvS,GAC9B,IAAIpO,EAAOoO,EAAMpO,KACb62B,EAAUlW,EAAS3gB,KAEvB,KAAIwB,EAAQxB,EAAKu9B,cAAgB/7B,EAAQxB,EAAK0lB,QAC5ClkB,EAAQq1B,EAAQ0G,cAAgB/7B,EAAQq1B,EAAQnR,QADlD,CAMA,IAAI5Q,EAAKmC,EACL6W,EAAK1f,EAAMzB,IACXwxB,EAAiBtH,EAAQ0G,YACzBa,EAAkBvH,EAAQwH,iBAAmBxH,EAAQnR,OAAS,GAG9D4Y,EAAWH,GAAkBC,EAE7B1Y,EAAQ4X,GAAsBlvB,EAAMpO,KAAK0lB,QAAU,GAKvDtX,EAAMpO,KAAKq+B,gBAAkB38B,EAAMgkB,EAAM3W,QACrCtJ,EAAO,GAAIigB,GACXA,EAEJ,IAAI6Y,EApGN,SAAmBnwB,EAAOowB,GACxB,IACIC,EADA54B,EAAM,GAGV,GAAI24B,EAEF,IADA,IAAI5M,EAAYxjB,EACTwjB,EAAUzkB,oBACfykB,EAAYA,EAAUzkB,kBAAkBiU,SAEzBwQ,EAAU5xB,OACtBy+B,EAAYpB,GAAmBzL,EAAU5xB,QAE1CyF,EAAOI,EAAK44B,IAKbA,EAAYpB,GAAmBjvB,EAAMpO,QACxCyF,EAAOI,EAAK44B,GAId,IADA,IAAI9M,EAAavjB,EACTujB,EAAaA,EAAWvkB,QAC1BukB,EAAW3xB,OAASy+B,EAAYpB,GAAmB1L,EAAW3xB,QAChEyF,EAAOI,EAAK44B,GAGhB,OAAO54B,EAyEQ64B,CAAStwB,GAAO,GAE/B,IAAK6I,KAAQqnB,EACP98B,EAAQ+8B,EAAStnB,KACnB2mB,GAAQ9P,EAAI7W,EAAM,IAGtB,IAAKA,KAAQsnB,GACXzpB,EAAMypB,EAAStnB,MACHqnB,EAASrnB,IAEnB2mB,GAAQ9P,EAAI7W,EAAa,MAAPnC,EAAc,GAAKA,IAK3C,IAAI4Q,GAAQ,CACVpiB,OAAQ46B,GACRhyB,OAAQgyB,IAKNS,GAAe,MAMnB,SAASC,GAAU9Q,EAAIgJ,GAErB,GAAKA,IAASA,EAAMA,EAAIsB,QAKxB,GAAItK,EAAG+Q,UACD/H,EAAIn2B,QAAQ,MAAQ,EACtBm2B,EAAItzB,MAAMm7B,IAAcnwB,SAAQ,SAAU9J,GAAK,OAAOopB,EAAG+Q,UAAUzzB,IAAI1G,MAEvEopB,EAAG+Q,UAAUzzB,IAAI0rB,OAEd,CACL,IAAIhiB,EAAM,KAAOgZ,EAAGgR,aAAa,UAAY,IAAM,IAC/ChqB,EAAInU,QAAQ,IAAMm2B,EAAM,KAAO,GACjChJ,EAAGoF,aAAa,SAAUpe,EAAMgiB,GAAKsB,SAS3C,SAAS2G,GAAajR,EAAIgJ,GAExB,GAAKA,IAASA,EAAMA,EAAIsB,QAKxB,GAAItK,EAAG+Q,UACD/H,EAAIn2B,QAAQ,MAAQ,EACtBm2B,EAAItzB,MAAMm7B,IAAcnwB,SAAQ,SAAU9J,GAAK,OAAOopB,EAAG+Q,UAAUj7B,OAAOc,MAE1EopB,EAAG+Q,UAAUj7B,OAAOkzB,GAEjBhJ,EAAG+Q,UAAUh+B,QAChBitB,EAAGsI,gBAAgB,aAEhB,CAGL,IAFA,IAAIthB,EAAM,KAAOgZ,EAAGgR,aAAa,UAAY,IAAM,IAC/CE,EAAM,IAAMlI,EAAM,IACfhiB,EAAInU,QAAQq+B,IAAQ,GACzBlqB,EAAMA,EAAItQ,QAAQw6B,EAAK,MAEzBlqB,EAAMA,EAAIsjB,QAERtK,EAAGoF,aAAa,QAASpe,GAEzBgZ,EAAGsI,gBAAgB,UAOzB,SAAS6I,GAAmBnsB,GAC1B,GAAKA,EAAL,CAIA,GAAsB,iBAAXA,EAAqB,CAC9B,IAAIjN,EAAM,GAKV,OAJmB,IAAfiN,EAAOosB,KACTz5B,EAAOI,EAAKs5B,GAAkBrsB,EAAOmE,MAAQ,MAE/CxR,EAAOI,EAAKiN,GACLjN,EACF,MAAsB,iBAAXiN,EACTqsB,GAAkBrsB,QADpB,GAKT,IAAIqsB,GAAoBh7B,GAAO,SAAU8S,GACvC,MAAO,CACLmoB,WAAanoB,EAAO,SACpBooB,aAAepoB,EAAO,YACtBqoB,iBAAmBroB,EAAO,gBAC1BsoB,WAAatoB,EAAO,SACpBuoB,aAAevoB,EAAO,YACtBwoB,iBAAmBxoB,EAAO,oBAI1ByoB,GAAgBz2B,IAAcU,EAK9Bg2B,GAAiB,aACjBC,GAAqB,gBACrBC,GAAgB,YAChBC,GAAoB,eACpBJ,UAE6Bp1B,IAA3BnO,OAAO4jC,sBACwBz1B,IAAjCnO,OAAO6jC,wBAEPL,GAAiB,mBACjBC,GAAqB,4BAEOt1B,IAA1BnO,OAAO8jC,qBACuB31B,IAAhCnO,OAAO+jC,uBAEPL,GAAgB,kBAChBC,GAAoB,uBAKxB,IAAIK,GAAMl3B,EACN9M,OAAOikC,sBACLjkC,OAAOikC,sBAAsBp7B,KAAK7I,QAClCW,WACyB,SAAUsH,GAAM,OAAOA,KAEtD,SAASi8B,GAAWj8B,GAClB+7B,IAAI,WACFA,GAAI/7B,MAIR,SAASk8B,GAAoBxS,EAAIgJ,GAC/B,IAAIyJ,EAAoBzS,EAAGkJ,qBAAuBlJ,EAAGkJ,mBAAqB,IACtEuJ,EAAkB5/B,QAAQm2B,GAAO,IACnCyJ,EAAkB30B,KAAKkrB,GACvB8H,GAAS9Q,EAAIgJ,IAIjB,SAAS0J,GAAuB1S,EAAIgJ,GAC9BhJ,EAAGkJ,oBACLpzB,EAAOkqB,EAAGkJ,mBAAoBF,GAEhCiI,GAAYjR,EAAIgJ,GAGlB,SAAS2J,GACP3S,EACA4S,EACAnqB,GAEA,IAAI/Y,EAAMmjC,GAAkB7S,EAAI4S,GAC5B3uB,EAAOvU,EAAIuU,KACX1U,EAAUG,EAAIH,QACdujC,EAAYpjC,EAAIojC,UACpB,IAAK7uB,EAAQ,OAAOwE,IACpB,IAAIxW,EA9DW,eA8DHgS,EAAsB6tB,GAAqBE,GACnDe,EAAQ,EACRrG,EAAM,WACR1M,EAAG6I,oBAAoB52B,EAAO+gC,GAC9BvqB,KAEEuqB,EAAQ,SAAUt6B,GAChBA,EAAEuF,SAAW+hB,KACT+S,GAASD,GACbpG,KAIN19B,YAAW,WACL+jC,EAAQD,GACVpG,MAEDn9B,EAAU,GACbywB,EAAGhtB,iBAAiBf,EAAO+gC,GAG7B,IAAIC,GAAc,yBAElB,SAASJ,GAAmB7S,EAAI4S,GAC9B,IASI3uB,EATAivB,EAAS7kC,OAAO8kC,iBAAiBnT,GAEjCoT,GAAoBF,EAAOrB,GAAiB,UAAY,IAAIn8B,MAAM,MAClE29B,GAAuBH,EAAOrB,GAAiB,aAAe,IAAIn8B,MAAM,MACxE49B,EAAoBC,GAAWH,EAAkBC,GACjDG,GAAmBN,EAAOnB,GAAgB,UAAY,IAAIr8B,MAAM,MAChE+9B,GAAsBP,EAAOnB,GAAgB,aAAe,IAAIr8B,MAAM,MACtEg+B,EAAmBH,GAAWC,EAAiBC,GAG/ClkC,EAAU,EACVujC,EAAY,EA8BhB,MA/He,eAmGXF,EACEU,EAAoB,IACtBrvB,EArGW,aAsGX1U,EAAU+jC,EACVR,EAAYO,EAAoBtgC,QAtGtB,cAwGH6/B,EACLc,EAAmB,IACrBzvB,EA1GU,YA2GV1U,EAAUmkC,EACVZ,EAAYW,EAAmB1gC,QASjC+/B,GALA7uB,GADA1U,EAAUmD,KAAKmQ,IAAIywB,EAAmBI,IACrB,EACbJ,EAAoBI,EAlHX,aACD,YAoHR,MArHS,eAuHTzvB,EACEovB,EAAoBtgC,OACpB0gC,EAAmB1gC,OACrB,EAKC,CACLkR,KAAMA,EACN1U,QAASA,EACTujC,UAAWA,EACXa,aAnIa,eA6Hb1vB,GACAgvB,GAAYr3B,KAAKs3B,EAAOrB,GAAiB,cAS7C,SAAS0B,GAAYK,EAAQC,GAE3B,KAAOD,EAAO7gC,OAAS8gC,EAAU9gC,QAC/B6gC,EAASA,EAAOhwB,OAAOgwB,GAGzB,OAAOlhC,KAAKmQ,IAAIvU,MAAM,KAAMulC,EAAUt+B,KAAI,SAAUoc,EAAGte,GACrD,OAAOygC,GAAKniB,GAAKmiB,GAAKF,EAAOvgC,QAQjC,SAASygC,GAAMC,GACb,OAAkD,IAA3ClS,OAAOkS,EAAEjhC,MAAM,GAAI,GAAG4D,QAAQ,IAAK,MAK5C,SAASs9B,GAAO1zB,EAAO2zB,GACrB,IAAIjU,EAAK1f,EAAMzB,IAGXjL,EAAMosB,EAAGkU,YACXlU,EAAGkU,SAASC,WAAY,EACxBnU,EAAGkU,YAGL,IAAIhiC,EAAOi/B,GAAkB7wB,EAAMpO,KAAKkiC,YACxC,IAAI1gC,EAAQxB,KAKR0B,EAAMosB,EAAGqU,WAA6B,IAAhBrU,EAAGsU,SAA7B,CA4BA,IAxBA,IAAIlD,EAAMl/B,EAAKk/B,IACXntB,EAAO/R,EAAK+R,KACZqtB,EAAap/B,EAAKo/B,WAClBC,EAAer/B,EAAKq/B,aACpBC,EAAmBt/B,EAAKs/B,iBACxB+C,EAAcriC,EAAKqiC,YACnBC,EAAgBtiC,EAAKsiC,cACrBC,EAAoBviC,EAAKuiC,kBACzBC,EAAcxiC,EAAKwiC,YACnBV,EAAQ9hC,EAAK8hC,MACbW,EAAaziC,EAAKyiC,WAClBC,EAAiB1iC,EAAK0iC,eACtBC,EAAe3iC,EAAK2iC,aACpBC,EAAS5iC,EAAK4iC,OACdC,EAAc7iC,EAAK6iC,YACnBC,EAAkB9iC,EAAK8iC,gBACvBC,EAAW/iC,EAAK+iC,SAMhBn2B,EAAU6T,GACVuiB,EAAiBviB,GAAeU,OAC7B6hB,GAAkBA,EAAe51B,QACtCR,EAAUo2B,EAAep2B,QACzBo2B,EAAiBA,EAAe51B,OAGlC,IAAI61B,GAAYr2B,EAAQmV,aAAe3T,EAAMb,aAE7C,IAAI01B,GAAaL,GAAqB,KAAXA,EAA3B,CAIA,IAAIM,EAAaD,GAAYZ,EACzBA,EACAjD,EACA+D,EAAcF,GAAYV,EAC1BA,EACAjD,EACA8D,EAAUH,GAAYX,EACtBA,EACAjD,EAEAgE,EAAkBJ,GACjBN,GACDH,EACAc,EAAYL,GACO,mBAAXL,EAAwBA,EAChCd,EACAyB,EAAiBN,GAChBJ,GACDJ,EACAe,EAAqBP,GACpBH,GACDJ,EAEAe,EAAwBzgC,EAC1BlB,EAASihC,GACLA,EAASjB,MACTiB,GAGF,EAIJ,IAAIW,GAAqB,IAARxE,IAAkBv1B,EAC/Bg6B,EAAmBC,GAAuBN,GAE1C/sB,EAAKuX,EAAGqU,SAAWp7B,GAAK,WACtB28B,IACFlD,GAAsB1S,EAAIsV,GAC1B5C,GAAsB1S,EAAIqV,IAExB5sB,EAAG0rB,WACDyB,GACFlD,GAAsB1S,EAAIoV,GAE5BM,GAAsBA,EAAmB1V,IAEzCyV,GAAkBA,EAAezV,GAEnCA,EAAGqU,SAAW,QAGX/zB,EAAMpO,KAAK6jC,MAEd7rB,GAAe5J,EAAO,UAAU,WAC9B,IAAIhB,EAAS0gB,EAAG6D,WACZmS,EAAc12B,GAAUA,EAAO22B,UAAY32B,EAAO22B,SAAS31B,EAAMlK,KACjE4/B,GACFA,EAAYt3B,MAAQ4B,EAAM5B,KAC1Bs3B,EAAYn3B,IAAIq1B,UAEhB8B,EAAYn3B,IAAIq1B,WAElBsB,GAAaA,EAAUxV,EAAIvX,MAK/B8sB,GAAmBA,EAAgBvV,GAC/B4V,IACFpD,GAAmBxS,EAAIoV,GACvB5C,GAAmBxS,EAAIqV,GACvB9C,IAAU,WACRG,GAAsB1S,EAAIoV,GACrB3sB,EAAG0rB,YACN3B,GAAmBxS,EAAIsV,GAClBO,IACCK,GAAgBP,GAClB3mC,WAAWyZ,EAAIktB,GAEfhD,GAAmB3S,EAAI/b,EAAMwE,SAOnCnI,EAAMpO,KAAK6jC,OACb9B,GAAiBA,IACjBuB,GAAaA,EAAUxV,EAAIvX,IAGxBmtB,GAAeC,GAClBptB,MAIJ,SAAS0tB,GAAO71B,EAAO81B,GACrB,IAAIpW,EAAK1f,EAAMzB,IAGXjL,EAAMosB,EAAGqU,YACXrU,EAAGqU,SAASF,WAAY,EACxBnU,EAAGqU,YAGL,IAAIniC,EAAOi/B,GAAkB7wB,EAAMpO,KAAKkiC,YACxC,GAAI1gC,EAAQxB,IAAyB,IAAhB8tB,EAAGsU,SACtB,OAAO8B,IAIT,IAAIxiC,EAAMosB,EAAGkU,UAAb,CAIA,IAAI9C,EAAMl/B,EAAKk/B,IACXntB,EAAO/R,EAAK+R,KACZwtB,EAAav/B,EAAKu/B,WAClBC,EAAex/B,EAAKw/B,aACpBC,EAAmBz/B,EAAKy/B,iBACxB0E,EAAcnkC,EAAKmkC,YACnBF,EAAQjkC,EAAKikC,MACbG,EAAapkC,EAAKokC,WAClBC,EAAiBrkC,EAAKqkC,eACtBC,EAAatkC,EAAKskC,WAClBvB,EAAW/iC,EAAK+iC,SAEhBW,GAAqB,IAARxE,IAAkBv1B,EAC/Bg6B,EAAmBC,GAAuBK,GAE1CM,EAAwBvhC,EAC1BlB,EAASihC,GACLA,EAASkB,MACTlB,GAGF,EAIJ,IAAIxsB,EAAKuX,EAAGkU,SAAWj7B,GAAK,WACtB+mB,EAAG6D,YAAc7D,EAAG6D,WAAWoS,WACjCjW,EAAG6D,WAAWoS,SAAS31B,EAAMlK,KAAO,MAElCw/B,IACFlD,GAAsB1S,EAAI0R,GAC1BgB,GAAsB1S,EAAI2R,IAExBlpB,EAAG0rB,WACDyB,GACFlD,GAAsB1S,EAAIyR,GAE5B8E,GAAkBA,EAAevW,KAEjCoW,IACAE,GAAcA,EAAWtW,IAE3BA,EAAGkU,SAAW,QAGZsC,EACFA,EAAWE,GAEXA,IAGF,SAASA,IAEHjuB,EAAG0rB,aAIF7zB,EAAMpO,KAAK6jC,MAAQ/V,EAAG6D,cACxB7D,EAAG6D,WAAWoS,WAAajW,EAAG6D,WAAWoS,SAAW,KAAM31B,EAAS,KAAKA,GAE3E+1B,GAAeA,EAAYrW,GACvB4V,IACFpD,GAAmBxS,EAAIyR,GACvBe,GAAmBxS,EAAI2R,GACvBY,IAAU,WACRG,GAAsB1S,EAAIyR,GACrBhpB,EAAG0rB,YACN3B,GAAmBxS,EAAI0R,GAClBmE,IACCK,GAAgBO,GAClBznC,WAAWyZ,EAAIguB,GAEf9D,GAAmB3S,EAAI/b,EAAMwE,SAMvC0tB,GAASA,EAAMnW,EAAIvX,GACdmtB,GAAeC,GAClBptB,MAsBN,SAASytB,GAAiB5hC,GACxB,MAAsB,iBAARA,IAAqBa,MAAMb,GAS3C,SAASwhC,GAAwBx/B,GAC/B,GAAI5C,EAAQ4C,GACV,OAAO,EAET,IAAIqgC,EAAargC,EAAGkT,IACpB,OAAI5V,EAAM+iC,GAEDb,GACL1iC,MAAM2B,QAAQ4hC,GACVA,EAAW,GACXA,IAGErgC,EAAGiB,SAAWjB,EAAGvD,QAAU,EAIvC,SAAS6jC,GAAQjgC,EAAG2J,IACM,IAApBA,EAAMpO,KAAK6jC,MACb/B,GAAM1zB,GAIV,IA4BIu2B,GA9iFJ,SAA8BC,GAC5B,IAAIzjC,EAAGulB,EACH+H,EAAM,GAENkK,EAAUiM,EAAQjM,QAClB5F,EAAU6R,EAAQ7R,QAEtB,IAAK5xB,EAAI,EAAGA,EAAIwQ,GAAM9Q,SAAUM,EAE9B,IADAstB,EAAI9c,GAAMxQ,IAAM,GACXulB,EAAI,EAAGA,EAAIiS,EAAQ93B,SAAU6lB,EAC5BhlB,EAAMi3B,EAAQjS,GAAG/U,GAAMxQ,MACzBstB,EAAI9c,GAAMxQ,IAAIyK,KAAK+sB,EAAQjS,GAAG/U,GAAMxQ,KAmB1C,SAAS0jC,EAAY/W,GACnB,IAAI1gB,EAAS2lB,EAAQpB,WAAW7D,GAE5BpsB,EAAM0L,IACR2lB,EAAQzyB,YAAY8M,EAAQ0gB,GAsBhC,SAASgX,EACP12B,EACA22B,EACAC,EACAC,EACAC,EACAC,EACArhC,GAYA,GAVIpC,EAAM0M,EAAMzB,MAAQjL,EAAMyjC,KAM5B/2B,EAAQ+2B,EAAWrhC,GAASqK,GAAWC,IAGzCA,EAAMb,cAAgB23B,GAiDxB,SAA0B92B,EAAO22B,EAAoBC,EAAWC,GAC9D,IAAI9jC,EAAIiN,EAAMpO,KACd,GAAI0B,EAAMP,GAAI,CACZ,IAAIikC,EAAgB1jC,EAAM0M,EAAMjB,oBAAsBhM,EAAE+e,UAQxD,GAPIxe,EAAMP,EAAIA,EAAE2Q,OAASpQ,EAAMP,EAAIA,EAAE4e,OACnC5e,EAAEiN,GAAO,GAMP1M,EAAM0M,EAAMjB,mBAMd,OALAk4B,EAAcj3B,EAAO22B,GACrBjjB,EAAOkjB,EAAW52B,EAAMzB,IAAKs4B,GACzBtjC,EAAOyjC,IA0BjB,SAA8Bh3B,EAAO22B,EAAoBC,EAAWC,GAClE,IAAI9jC,EAKAmkC,EAAYl3B,EAChB,KAAOk3B,EAAUn4B,mBAEf,GADAm4B,EAAYA,EAAUn4B,kBAAkBiU,OACpC1f,EAAMP,EAAImkC,EAAUtlC,OAAS0B,EAAMP,EAAIA,EAAE+gC,YAAa,CACxD,IAAK/gC,EAAI,EAAGA,EAAIstB,EAAI8W,SAAS1kC,SAAUM,EACrCstB,EAAI8W,SAASpkC,GAAG+yB,GAAWoR,GAE7BP,EAAmBn5B,KAAK05B,GACxB,MAKJxjB,EAAOkjB,EAAW52B,EAAMzB,IAAKs4B,GA5CvBO,CAAoBp3B,EAAO22B,EAAoBC,EAAWC,IAErD,GAjEPriB,CAAgBxU,EAAO22B,EAAoBC,EAAWC,GAA1D,CAIA,IAAIjlC,EAAOoO,EAAMpO,KACbyM,EAAW2B,EAAM3B,SACjBD,EAAM4B,EAAM5B,IACZ9K,EAAM8K,IAeR4B,EAAMzB,IAAMyB,EAAMrB,GACdgmB,EAAQI,gBAAgB/kB,EAAMrB,GAAIP,GAClCumB,EAAQ7yB,cAAcsM,EAAK4B,GAC/Bq3B,EAASr3B,GAIPs3B,EAAet3B,EAAO3B,EAAUs4B,GAC5BrjC,EAAM1B,IACR2lC,EAAkBv3B,EAAO22B,GAE3BjjB,EAAOkjB,EAAW52B,EAAMzB,IAAKs4B,IAMtBtjC,EAAOyM,EAAMZ,YACtBY,EAAMzB,IAAMomB,EAAQM,cAAcjlB,EAAM1B,MACxCoV,EAAOkjB,EAAW52B,EAAMzB,IAAKs4B,KAE7B72B,EAAMzB,IAAMomB,EAAQ1c,eAAejI,EAAM1B,MACzCoV,EAAOkjB,EAAW52B,EAAMzB,IAAKs4B,KA0BjC,SAASI,EAAej3B,EAAO22B,GACzBrjC,EAAM0M,EAAMpO,KAAK4lC,iBACnBb,EAAmBn5B,KAAKxP,MAAM2oC,EAAoB32B,EAAMpO,KAAK4lC,eAC7Dx3B,EAAMpO,KAAK4lC,cAAgB,MAE7Bx3B,EAAMzB,IAAMyB,EAAMjB,kBAAkB0hB,IAChCgX,EAAYz3B,IACdu3B,EAAkBv3B,EAAO22B,GACzBU,EAASr3B,KAIT0lB,GAAY1lB,GAEZ22B,EAAmBn5B,KAAKwC,IA0B5B,SAAS0T,EAAQ1U,EAAQT,EAAKm5B,GACxBpkC,EAAM0L,KACJ1L,EAAMokC,GACJ/S,EAAQpB,WAAWmU,KAAY14B,GACjC2lB,EAAQO,aAAalmB,EAAQT,EAAKm5B,GAGpC/S,EAAQxyB,YAAY6M,EAAQT,IAKlC,SAAS+4B,EAAgBt3B,EAAO3B,EAAUs4B,GACxC,GAAI7jC,MAAM2B,QAAQ4J,GAAW,CACvB,EAGJ,IAAK,IAAItL,EAAI,EAAGA,EAAIsL,EAAS5L,SAAUM,EACrC2jC,EAAUr4B,EAAStL,GAAI4jC,EAAoB32B,EAAMzB,IAAK,MAAM,EAAMF,EAAUtL,QAErES,EAAYwM,EAAM1B,OAC3BqmB,EAAQxyB,YAAY6N,EAAMzB,IAAKomB,EAAQ1c,eAAe9T,OAAO6L,EAAM1B,QAIvE,SAASm5B,EAAaz3B,GACpB,KAAOA,EAAMjB,mBACXiB,EAAQA,EAAMjB,kBAAkBiU,OAElC,OAAO1f,EAAM0M,EAAM5B,KAGrB,SAASm5B,EAAmBv3B,EAAO22B,GACjC,IAAK,IAAIvW,EAAM,EAAGA,EAAMC,EAAInrB,OAAOzC,SAAU2tB,EAC3CC,EAAInrB,OAAOkrB,GAAK0F,GAAW9lB,GAGzB1M,EADJP,EAAIiN,EAAMpO,KAAK8R,QAETpQ,EAAMP,EAAEmC,SAAWnC,EAAEmC,OAAO4wB,GAAW9lB,GACvC1M,EAAMP,EAAE2gB,SAAWijB,EAAmBn5B,KAAKwC,IAOnD,SAASq3B,EAAUr3B,GACjB,IAAIjN,EACJ,GAAIO,EAAMP,EAAIiN,EAAMlB,WAClB6lB,EAAQa,cAAcxlB,EAAMzB,IAAKxL,QAGjC,IADA,IAAI4kC,EAAW33B,EACR23B,GACDrkC,EAAMP,EAAI4kC,EAASn5B,UAAYlL,EAAMP,EAAIA,EAAEiT,SAASmL,WACtDwT,EAAQa,cAAcxlB,EAAMzB,IAAKxL,GAEnC4kC,EAAWA,EAAS34B,OAIpB1L,EAAMP,EAAIsf,KACZtf,IAAMiN,EAAMxB,SACZzL,IAAMiN,EAAMpB,WACZtL,EAAMP,EAAIA,EAAEiT,SAASmL,WAErBwT,EAAQa,cAAcxlB,EAAMzB,IAAKxL,GAIrC,SAAS6kC,EAAWhB,EAAWC,EAAQxgB,EAAQwhB,EAAUxR,EAAQsQ,GAC/D,KAAOkB,GAAYxR,IAAUwR,EAC3BnB,EAAUrgB,EAAOwhB,GAAWlB,EAAoBC,EAAWC,GAAQ,EAAOxgB,EAAQwhB,GAItF,SAASC,EAAmB93B,GAC1B,IAAIjN,EAAGulB,EACH1mB,EAAOoO,EAAMpO,KACjB,GAAI0B,EAAM1B,GAER,IADI0B,EAAMP,EAAInB,EAAK8R,OAASpQ,EAAMP,EAAIA,EAAEihB,UAAYjhB,EAAEiN,GACjDjN,EAAI,EAAGA,EAAIstB,EAAIrM,QAAQvhB,SAAUM,EAAKstB,EAAIrM,QAAQjhB,GAAGiN,GAE5D,GAAI1M,EAAMP,EAAIiN,EAAM3B,UAClB,IAAKia,EAAI,EAAGA,EAAItY,EAAM3B,SAAS5L,SAAU6lB,EACvCwf,EAAkB93B,EAAM3B,SAASia,IAKvC,SAASyf,EAAc1hB,EAAQwhB,EAAUxR,GACvC,KAAOwR,GAAYxR,IAAUwR,EAAU,CACrC,IAAIG,EAAK3hB,EAAOwhB,GACZvkC,EAAM0kC,KACJ1kC,EAAM0kC,EAAG55B,MACX65B,EAA0BD,GAC1BF,EAAkBE,IAElBvB,EAAWuB,EAAGz5B,OAMtB,SAAS05B,EAA2Bj4B,EAAO81B,GACzC,GAAIxiC,EAAMwiC,IAAOxiC,EAAM0M,EAAMpO,MAAO,CAClC,IAAImB,EACAie,EAAYqP,EAAI7qB,OAAO/C,OAAS,EAapC,IAZIa,EAAMwiC,GAGRA,EAAG9kB,WAAaA,EAGhB8kB,EAtRN,SAAqBoC,EAAUlnB,GAC7B,SAASxH,IACuB,KAAxBA,EAAUwH,WACdylB,EAAWyB,GAIf,OADA1uB,EAAUwH,UAAYA,EACfxH,EA+QE2uB,CAAWn4B,EAAMzB,IAAKyS,GAGzB1d,EAAMP,EAAIiN,EAAMjB,oBAAsBzL,EAAMP,EAAIA,EAAEigB,SAAW1f,EAAMP,EAAEnB,OACvEqmC,EAA0BllC,EAAG+iC,GAE1B/iC,EAAI,EAAGA,EAAIstB,EAAI7qB,OAAO/C,SAAUM,EACnCstB,EAAI7qB,OAAOzC,GAAGiN,EAAO81B,GAEnBxiC,EAAMP,EAAIiN,EAAMpO,KAAK8R,OAASpQ,EAAMP,EAAIA,EAAEyC,QAC5CzC,EAAEiN,EAAO81B,GAETA,SAGFW,EAAWz2B,EAAMzB,KA8FrB,SAAS65B,EAAcv4B,EAAMw4B,EAAOlhC,EAAOi1B,GACzC,IAAK,IAAIr5B,EAAIoE,EAAOpE,EAAIq5B,EAAKr5B,IAAK,CAChC,IAAIuD,EAAI+hC,EAAMtlC,GACd,GAAIO,EAAMgD,IAAMyvB,GAAUlmB,EAAMvJ,GAAM,OAAOvD,GAIjD,SAASulC,EACP/lB,EACAvS,EACA22B,EACAI,EACArhC,EACA6iC,GAEA,GAAIhmB,IAAavS,EAAjB,CAII1M,EAAM0M,EAAMzB,MAAQjL,EAAMyjC,KAE5B/2B,EAAQ+2B,EAAWrhC,GAASqK,GAAWC,IAGzC,IAAIzB,EAAMyB,EAAMzB,IAAMgU,EAAShU,IAE/B,GAAIhL,EAAOgf,EAAS/S,oBACdlM,EAAM0M,EAAMtB,aAAamW,UAC3B2jB,EAAQjmB,EAAShU,IAAKyB,EAAO22B,GAE7B32B,EAAMR,oBAAqB,OAS/B,GAAIjM,EAAOyM,EAAMd,WACf3L,EAAOgf,EAASrT,WAChBc,EAAMlK,MAAQyc,EAASzc,MACtBvC,EAAOyM,EAAMX,WAAa9L,EAAOyM,EAAMV,SAExCU,EAAMjB,kBAAoBwT,EAASxT,sBALrC,CASA,IAAIhM,EACAnB,EAAOoO,EAAMpO,KACb0B,EAAM1B,IAAS0B,EAAMP,EAAInB,EAAK8R,OAASpQ,EAAMP,EAAIA,EAAEif,WACrDjf,EAAEwf,EAAUvS,GAGd,IAAIq4B,EAAQ9lB,EAASlU,SACjB25B,EAAKh4B,EAAM3B,SACf,GAAI/K,EAAM1B,IAAS6lC,EAAYz3B,GAAQ,CACrC,IAAKjN,EAAI,EAAGA,EAAIstB,EAAIviB,OAAOrL,SAAUM,EAAKstB,EAAIviB,OAAO/K,GAAGwf,EAAUvS,GAC9D1M,EAAMP,EAAInB,EAAK8R,OAASpQ,EAAMP,EAAIA,EAAE+K,SAAW/K,EAAEwf,EAAUvS,GAE7D5M,EAAQ4M,EAAM1B,MACZhL,EAAM+kC,IAAU/kC,EAAM0kC,GACpBK,IAAUL,GAxJpB,SAAyBpB,EAAWyB,EAAOI,EAAO9B,EAAoB4B,GACpE,IAQIG,EAAaC,EAAUC,EARvBC,EAAc,EACdC,EAAc,EACdC,EAAYV,EAAM5lC,OAAS,EAC3BumC,EAAgBX,EAAM,GACtBY,EAAcZ,EAAMU,GACpBG,EAAYT,EAAMhmC,OAAS,EAC3B0mC,EAAgBV,EAAM,GACtBW,EAAcX,EAAMS,GAMpBG,GAAWd,EAMf,IAJI,EAIGM,GAAeE,GAAaD,GAAeI,GAC5C9lC,EAAQ4lC,GACVA,EAAgBX,IAAQQ,GACfzlC,EAAQ6lC,GACjBA,EAAcZ,IAAQU,GACbhT,GAAUiT,EAAeG,IAClCb,EAAWU,EAAeG,EAAexC,EAAoB8B,EAAOK,GACpEE,EAAgBX,IAAQQ,GACxBM,EAAgBV,IAAQK,IACf/S,GAAUkT,EAAaG,IAChCd,EAAWW,EAAaG,EAAazC,EAAoB8B,EAAOS,GAChED,EAAcZ,IAAQU,GACtBK,EAAcX,IAAQS,IACbnT,GAAUiT,EAAeI,IAClCd,EAAWU,EAAeI,EAAazC,EAAoB8B,EAAOS,GAClEG,GAAW1U,EAAQO,aAAa0R,EAAWoC,EAAcz6B,IAAKomB,EAAQU,YAAY4T,EAAY16B,MAC9Fy6B,EAAgBX,IAAQQ,GACxBO,EAAcX,IAAQS,IACbnT,GAAUkT,EAAaE,IAChCb,EAAWW,EAAaE,EAAexC,EAAoB8B,EAAOK,GAClEO,GAAW1U,EAAQO,aAAa0R,EAAWqC,EAAY16B,IAAKy6B,EAAcz6B,KAC1E06B,EAAcZ,IAAQU,GACtBI,EAAgBV,IAAQK,KAEpB1lC,EAAQslC,KAAgBA,EAAcvS,GAAkBkS,EAAOQ,EAAaE,IAI5E3lC,EAHJulC,EAAWrlC,EAAM6lC,EAAcrjC,KAC3B4iC,EAAYS,EAAcrjC,KAC1BsiC,EAAae,EAAed,EAAOQ,EAAaE,IAElDrC,EAAUyC,EAAexC,EAAoBC,EAAWoC,EAAcz6B,KAAK,EAAOk6B,EAAOK,GAGrF/S,GADJ6S,EAAcP,EAAMM,GACOQ,IACzBb,EAAWM,EAAaO,EAAexC,EAAoB8B,EAAOK,GAClET,EAAMM,QAAYz8B,EAClBm9B,GAAW1U,EAAQO,aAAa0R,EAAWgC,EAAYr6B,IAAKy6B,EAAcz6B,MAG1Em4B,EAAUyC,EAAexC,EAAoBC,EAAWoC,EAAcz6B,KAAK,EAAOk6B,EAAOK,GAG7FK,EAAgBV,IAAQK,IAGxBD,EAAcE,EAEhBnB,EAAUhB,EADDxjC,EAAQqlC,EAAMS,EAAY,IAAM,KAAOT,EAAMS,EAAY,GAAG36B,IACxCk6B,EAAOK,EAAaI,EAAWvC,GACnDmC,EAAcI,GACvBnB,EAAaM,EAAOQ,EAAaE,GAoFXO,CAAe/6B,EAAK85B,EAAOL,EAAIrB,EAAoB4B,GAC9DjlC,EAAM0kC,IAIX1kC,EAAMif,EAASjU,OAASqmB,EAAQW,eAAe/mB,EAAK,IACxDq5B,EAAUr5B,EAAK,KAAMy5B,EAAI,EAAGA,EAAGvlC,OAAS,EAAGkkC,IAClCrjC,EAAM+kC,GACfN,EAAaM,EAAO,EAAGA,EAAM5lC,OAAS,GAC7Ba,EAAMif,EAASjU,OACxBqmB,EAAQW,eAAe/mB,EAAK,IAErBgU,EAASjU,OAAS0B,EAAM1B,MACjCqmB,EAAQW,eAAe/mB,EAAKyB,EAAM1B,MAEhChL,EAAM1B,IACJ0B,EAAMP,EAAInB,EAAK8R,OAASpQ,EAAMP,EAAIA,EAAEwmC,YAAcxmC,EAAEwf,EAAUvS,KAItE,SAASw5B,EAAkBx5B,EAAOyY,EAAOghB,GAGvC,GAAIlmC,EAAOkmC,IAAYnmC,EAAM0M,EAAMhB,QACjCgB,EAAMhB,OAAOpN,KAAK4lC,cAAgB/e,OAElC,IAAK,IAAI1lB,EAAI,EAAGA,EAAI0lB,EAAMhmB,SAAUM,EAClC0lB,EAAM1lB,GAAGnB,KAAK8R,KAAKgQ,OAAO+E,EAAM1lB,IAKtC,IAKI2mC,EAAmB5kC,EAAQ,2CAG/B,SAAS0jC,EAASj6B,EAAKyB,EAAO22B,EAAoBgD,GAChD,IAAI5mC,EACAqL,EAAM4B,EAAM5B,IACZxM,EAAOoO,EAAMpO,KACbyM,EAAW2B,EAAM3B,SAIrB,GAHAs7B,EAASA,GAAW/nC,GAAQA,EAAKulB,IACjCnX,EAAMzB,IAAMA,EAERhL,EAAOyM,EAAMZ,YAAc9L,EAAM0M,EAAMtB,cAEzC,OADAsB,EAAMR,oBAAqB,GACpB,EAQT,GAAIlM,EAAM1B,KACJ0B,EAAMP,EAAInB,EAAK8R,OAASpQ,EAAMP,EAAIA,EAAE4e,OAAS5e,EAAEiN,GAAO,GACtD1M,EAAMP,EAAIiN,EAAMjB,oBAGlB,OADAk4B,EAAcj3B,EAAO22B,IACd,EAGX,GAAIrjC,EAAM8K,GAAM,CACd,GAAI9K,EAAM+K,GAER,GAAKE,EAAIq7B,gBAIP,GAAItmC,EAAMP,EAAInB,IAAS0B,EAAMP,EAAIA,EAAEgb,WAAaza,EAAMP,EAAIA,EAAEs7B,YAC1D,GAAIt7B,IAAMwL,EAAI8vB,UAWZ,OAAO,MAEJ,CAIL,IAFA,IAAIwL,GAAgB,EAChBrW,EAAYjlB,EAAI+vB,WACXlO,EAAM,EAAGA,EAAM/hB,EAAS5L,OAAQ2tB,IAAO,CAC9C,IAAKoD,IAAcgV,EAAQhV,EAAWnlB,EAAS+hB,GAAMuW,EAAoBgD,GAAS,CAChFE,GAAgB,EAChB,MAEFrW,EAAYA,EAAU6B,YAIxB,IAAKwU,GAAiBrW,EAUpB,OAAO,OAxCX8T,EAAet3B,EAAO3B,EAAUs4B,GA6CpC,GAAIrjC,EAAM1B,GAAO,CACf,IAAIkoC,GAAa,EACjB,IAAK,IAAIhkC,KAAOlE,EACd,IAAK8nC,EAAiB5jC,GAAM,CAC1BgkC,GAAa,EACbvC,EAAkBv3B,EAAO22B,GACzB,OAGCmD,GAAcloC,EAAY,OAE7B0W,GAAS1W,EAAY,aAGhB2M,EAAI3M,OAASoO,EAAM1B,OAC5BC,EAAI3M,KAAOoO,EAAM1B,MAEnB,OAAO,EAcT,OAAO,SAAgBiU,EAAUvS,EAAO4R,EAAW2mB,GACjD,IAAInlC,EAAQ4M,GAAZ,CAKA,IA7lBoBzB,EA6lBhBw7B,GAAiB,EACjBpD,EAAqB,GAEzB,GAAIvjC,EAAQmf,GAEVwnB,GAAiB,EACjBrD,EAAU12B,EAAO22B,OACZ,CACL,IAAIqD,EAAgB1mC,EAAMif,EAASyhB,UACnC,IAAKgG,GAAiBjU,GAAUxT,EAAUvS,GAExCs4B,EAAW/lB,EAAUvS,EAAO22B,EAAoB,KAAM,KAAM4B,OACvD,CACL,GAAIyB,EAAe,CAQjB,GAJ0B,IAAtBznB,EAASyhB,UAAkBzhB,EAAS0nB,aArgMnC,0BAsgMH1nB,EAASyV,gBAtgMN,wBAugMHpW,GAAY,GAEVre,EAAOqe,IACL4mB,EAAQjmB,EAAUvS,EAAO22B,GAE3B,OADA6C,EAAiBx5B,EAAO22B,GAAoB,GACrCpkB,EArnBGhU,EAkoBSgU,EAAvBA,EAjoBC,IAAIpU,GAAMwmB,EAAQC,QAAQrmB,GAAKlJ,cAAe,GAAI,QAAI6G,EAAWqC,GAqoBpE,IAAI27B,EAAS3nB,EAAShU,IAClBq4B,EAAYjS,EAAQpB,WAAW2W,GAcnC,GAXAxD,EACE12B,EACA22B,EAIAuD,EAAOtG,SAAW,KAAOgD,EACzBjS,EAAQU,YAAY6U,IAIlB5mC,EAAM0M,EAAMhB,QAGd,IAFA,IAAI24B,EAAW33B,EAAMhB,OACjBm7B,EAAY1C,EAAYz3B,GACrB23B,GAAU,CACf,IAAK,IAAI5kC,EAAI,EAAGA,EAAIstB,EAAIrM,QAAQvhB,SAAUM,EACxCstB,EAAIrM,QAAQjhB,GAAG4kC,GAGjB,GADAA,EAASp5B,IAAMyB,EAAMzB,IACjB47B,EAAW,CACb,IAAK,IAAI/Z,EAAM,EAAGA,EAAMC,EAAInrB,OAAOzC,SAAU2tB,EAC3CC,EAAInrB,OAAOkrB,GAAK0F,GAAW6R,GAK7B,IAAIjkB,EAASikB,EAAS/lC,KAAK8R,KAAKgQ,OAChC,GAAIA,EAAO1J,OAET,IAAK,IAAIowB,EAAM,EAAGA,EAAM1mB,EAAOxK,IAAIzW,OAAQ2nC,IACzC1mB,EAAOxK,IAAIkxB,UAIf1U,GAAYiS,GAEdA,EAAWA,EAAS34B,OAKpB1L,EAAMsjC,GACRmB,EAAa,CAACxlB,GAAW,EAAG,GACnBjf,EAAMif,EAASnU,MACxB05B,EAAkBvlB,IAMxB,OADAinB,EAAiBx5B,EAAO22B,EAAoBoD,GACrC/5B,EAAMzB,IAnGPjL,EAAMif,IAAaulB,EAAkBvlB,IAq8DnC8nB,CAAoB,CAAE1V,QAASA,GAAS4F,QAf9B,CACpBpf,GACA+d,GACAqC,GACAxd,GACAuJ,GAlBezc,EAAY,CAC3B3F,OAAQohC,GACRa,SAAUb,GACV9gC,OAAQ,SAAoBwK,EAAO81B,IAET,IAApB91B,EAAMpO,KAAK6jC,KACbI,GAAM71B,EAAO81B,GAEbA,MAGF,IAe0BxyB,OAAOokB,MAUjCnsB,GAEF7K,SAASgC,iBAAiB,mBAAmB,WAC3C,IAAIgtB,EAAKhvB,SAASg+B,cACdhP,GAAMA,EAAG4a,QACXC,GAAQ7a,EAAI,YAKlB,IAAI8a,GAAY,CACdh6B,SAAU,SAAmBkf,EAAI+a,EAASz6B,EAAOuS,GAC7B,WAAdvS,EAAM5B,KAEJmU,EAAShU,MAAQgU,EAAShU,IAAIm8B,UAChC9wB,GAAe5J,EAAO,aAAa,WACjCw6B,GAAUrT,iBAAiBzH,EAAI+a,EAASz6B,MAG1C26B,GAAYjb,EAAI+a,EAASz6B,EAAMxB,SAEjCkhB,EAAGgb,UAAY,GAAGzlC,IAAItG,KAAK+wB,EAAGtb,QAASw2B,MAChB,aAAd56B,EAAM5B,KAAsBmmB,GAAgB7E,EAAG/b,SACxD+b,EAAGkP,YAAc6L,EAAQnT,UACpBmT,EAAQnT,UAAUpN,OACrBwF,EAAGhtB,iBAAiB,mBAAoBmoC,IACxCnb,EAAGhtB,iBAAiB,iBAAkBooC,IAKtCpb,EAAGhtB,iBAAiB,SAAUooC,IAE1Bv/B,IACFmkB,EAAG4a,QAAS,MAMpBnT,iBAAkB,SAA2BzH,EAAI+a,EAASz6B,GACxD,GAAkB,WAAdA,EAAM5B,IAAkB,CAC1Bu8B,GAAYjb,EAAI+a,EAASz6B,EAAMxB,SAK/B,IAAIu8B,EAAcrb,EAAGgb,UACjBM,EAAatb,EAAGgb,UAAY,GAAGzlC,IAAItG,KAAK+wB,EAAGtb,QAASw2B,IACxD,GAAII,EAAWC,MAAK,SAAUC,EAAGnoC,GAAK,OAAQ+E,EAAWojC,EAAGH,EAAYhoC,QAGtD2sB,EAAGmF,SACf4V,EAAQhnC,MAAMwnC,MAAK,SAAU5nC,GAAK,OAAO8nC,GAAoB9nC,EAAG2nC,MAChEP,EAAQhnC,QAAUgnC,EAAQ1f,UAAYogB,GAAoBV,EAAQhnC,MAAOunC,KAE3ET,GAAQ7a,EAAI,aAOtB,SAASib,GAAajb,EAAI+a,EAASv3B,GACjCk4B,GAAoB1b,EAAI+a,EAASv3B,IAE7B7H,GAAQG,IACV9M,YAAW,WACT0sC,GAAoB1b,EAAI+a,EAASv3B,KAChC,GAIP,SAASk4B,GAAqB1b,EAAI+a,EAASv3B,GACzC,IAAIzP,EAAQgnC,EAAQhnC,MAChB4nC,EAAa3b,EAAGmF,SACpB,IAAIwW,GAAevoC,MAAM2B,QAAQhB,GAAjC,CASA,IADA,IAAIgxB,EAAU6W,EACLvoC,EAAI,EAAGiE,EAAI0oB,EAAGtb,QAAQ3R,OAAQM,EAAIiE,EAAGjE,IAE5C,GADAuoC,EAAS5b,EAAGtb,QAAQrR,GAChBsoC,EACF5W,EAAW/rB,EAAajF,EAAOmnC,GAASU,KAAY,EAChDA,EAAO7W,WAAaA,IACtB6W,EAAO7W,SAAWA,QAGpB,GAAI3sB,EAAW8iC,GAASU,GAAS7nC,GAI/B,YAHIisB,EAAG6b,gBAAkBxoC,IACvB2sB,EAAG6b,cAAgBxoC,IAMtBsoC,IACH3b,EAAG6b,eAAiB,IAIxB,SAASJ,GAAqB1nC,EAAO2Q,GACnC,OAAOA,EAAQjM,OAAM,SAAU+iC,GAAK,OAAQpjC,EAAWojC,EAAGznC,MAG5D,SAASmnC,GAAUU,GACjB,MAAO,WAAYA,EACfA,EAAOpN,OACPoN,EAAO7nC,MAGb,SAASonC,GAAoBziC,GAC3BA,EAAEuF,OAAO6wB,WAAY,EAGvB,SAASsM,GAAkB1iC,GAEpBA,EAAEuF,OAAO6wB,YACdp2B,EAAEuF,OAAO6wB,WAAY,EACrB+L,GAAQniC,EAAEuF,OAAQ,UAGpB,SAAS48B,GAAS7a,EAAI/b,GACpB,IAAIvL,EAAI1H,SAASqoB,YAAY,cAC7B3gB,EAAEojC,UAAU73B,GAAM,GAAM,GACxB+b,EAAG+b,cAAcrjC,GAMnB,SAASsjC,GAAY17B,GACnB,OAAOA,EAAMjB,mBAAuBiB,EAAMpO,MAASoO,EAAMpO,KAAKkiC,WAE1D9zB,EADA07B,GAAW17B,EAAMjB,kBAAkBiU,QAIzC,IAuDI2oB,GAAqB,CACvB1lB,MAAOukB,GACP/E,KAzDS,CACT7+B,KAAM,SAAe8oB,EAAItwB,EAAK4Q,GAC5B,IAAIvM,EAAQrE,EAAIqE,MAGZmoC,GADJ57B,EAAQ07B,GAAW17B,IACOpO,MAAQoO,EAAMpO,KAAKkiC,WACzC+H,EAAkBnc,EAAGoc,mBACF,SAArBpc,EAAGpI,MAAMykB,QAAqB,GAAKrc,EAAGpI,MAAMykB,QAC1CtoC,GAASmoC,GACX57B,EAAMpO,KAAK6jC,MAAO,EAClB/B,GAAM1zB,GAAO,WACX0f,EAAGpI,MAAMykB,QAAUF,MAGrBnc,EAAGpI,MAAMykB,QAAUtoC,EAAQooC,EAAkB,QAIjD/9B,OAAQ,SAAiB4hB,EAAItwB,EAAK4Q,GAChC,IAAIvM,EAAQrE,EAAIqE,OAIXA,IAHUrE,EAAI2rB,YAInB/a,EAAQ07B,GAAW17B,IACOpO,MAAQoO,EAAMpO,KAAKkiC,YAE3C9zB,EAAMpO,KAAK6jC,MAAO,EACdhiC,EACFigC,GAAM1zB,GAAO,WACX0f,EAAGpI,MAAMykB,QAAUrc,EAAGoc,sBAGxBjG,GAAM71B,GAAO,WACX0f,EAAGpI,MAAMykB,QAAU,WAIvBrc,EAAGpI,MAAMykB,QAAUtoC,EAAQisB,EAAGoc,mBAAqB,SAIvDE,OAAQ,SACNtc,EACA+a,EACAz6B,EACAuS,EACAmU,GAEKA,IACHhH,EAAGpI,MAAMykB,QAAUrc,EAAGoc,uBAYxBG,GAAkB,CACpBpzB,KAAM1U,OACNqgC,OAAQ3uB,QACRirB,IAAKjrB,QACLq2B,KAAM/nC,OACNwP,KAAMxP,OACN68B,WAAY78B,OACZg9B,WAAYh9B,OACZ88B,aAAc98B,OACdi9B,aAAcj9B,OACd+8B,iBAAkB/8B,OAClBk9B,iBAAkBl9B,OAClB8/B,YAAa9/B,OACbggC,kBAAmBhgC,OACnB+/B,cAAe//B,OACfwgC,SAAU,CAACpT,OAAQptB,OAAQvD,SAK7B,SAASurC,GAAcn8B,GACrB,IAAIo8B,EAAcp8B,GAASA,EAAMvB,iBACjC,OAAI29B,GAAeA,EAAY7/B,KAAK6H,QAAQoS,SACnC2lB,GAAarkB,GAAuBskB,EAAY/9B,WAEhD2B,EAIX,SAASq8B,GAAuB3kB,GAC9B,IAAI9lB,EAAO,GACPwS,EAAUsT,EAAK1R,SAEnB,IAAK,IAAIlQ,KAAOsO,EAAQoB,UACtB5T,EAAKkE,GAAO4hB,EAAK5hB,GAInB,IAAIkb,EAAY5M,EAAQkP,iBACxB,IAAK,IAAI1P,KAASoN,EAChBpf,EAAKuE,EAASyN,IAAUoN,EAAUpN,GAEpC,OAAOhS,EAGT,SAAS0qC,GAAaC,EAAGC,GACvB,GAAI,iBAAiBlhC,KAAKkhC,EAASp+B,KACjC,OAAOm+B,EAAE,aAAc,CACrB14B,MAAO24B,EAAS/9B,iBAAiB+G,YAiBvC,IAAIi3B,GAAgB,SAAUnmC,GAAK,OAAOA,EAAE8H,KAAOoB,GAAmBlJ,IAElEomC,GAAmB,SAAUrrB,GAAK,MAAkB,SAAXA,EAAExI,MAE3C8zB,GAAa,CACf9zB,KAAM,aACNhF,MAAOo4B,GACPzlB,UAAU,EAEVnK,OAAQ,SAAiBkwB,GACvB,IAAI5rB,EAASriB,KAET+P,EAAW/P,KAAKye,OAAOhH,QAC3B,GAAK1H,IAKLA,EAAWA,EAASkgB,OAAOke,KAEbhqC,OAAd,CAKI,EAQJ,IAAIypC,EAAO5tC,KAAK4tC,KAGZ,EASJ,IAAIM,EAAWn+B,EAAS,GAIxB,GA7DJ,SAA8B2B,GAC5B,KAAQA,EAAQA,EAAMhB,QACpB,GAAIgB,EAAMpO,KAAKkiC,WACb,OAAO,EA0DL8I,CAAoBtuC,KAAKykB,QAC3B,OAAOypB,EAKT,IAAI98B,EAAQy8B,GAAaK,GAEzB,IAAK98B,EACH,OAAO88B,EAGT,GAAIluC,KAAKuuC,SACP,OAAOP,GAAYC,EAAGC,GAMxB,IAAIpuC,EAAK,gBAAmBE,KAAS,KAAI,IACzCoR,EAAM5J,IAAmB,MAAb4J,EAAM5J,IACd4J,EAAMN,UACJhR,EAAK,UACLA,EAAKsR,EAAMtB,IACb5K,EAAYkM,EAAM5J,KACmB,IAAlC3B,OAAOuL,EAAM5J,KAAKvD,QAAQnE,GAAYsR,EAAM5J,IAAM1H,EAAKsR,EAAM5J,IAC9D4J,EAAM5J,IAEZ,IAAIlE,GAAQ8N,EAAM9N,OAAS8N,EAAM9N,KAAO,KAAKkiC,WAAauI,GAAsB/tC,MAC5EwuC,EAAcxuC,KAAK0kB,OACnB+pB,EAAWZ,GAAaW,GAQ5B,GAJIp9B,EAAM9N,KAAK6S,YAAc/E,EAAM9N,KAAK6S,WAAWw2B,KAAKyB,MACtDh9B,EAAM9N,KAAK6jC,MAAO,GAIlBsH,GACAA,EAASnrC,OA7Ff,SAAsB8N,EAAOq9B,GAC3B,OAAOA,EAASjnC,MAAQ4J,EAAM5J,KAAOinC,EAAS3+B,MAAQsB,EAAMtB,IA6FvD4+B,CAAYt9B,EAAOq9B,KACnBv9B,GAAmBu9B,MAElBA,EAASh+B,oBAAqBg+B,EAASh+B,kBAAkBiU,OAAO5T,WAClE,CAGA,IAAIqpB,EAAUsU,EAASnrC,KAAKkiC,WAAaz8B,EAAO,GAAIzF,GAEpD,GAAa,WAATsqC,EAOF,OALA5tC,KAAKuuC,UAAW,EAChBjzB,GAAe6e,EAAS,cAAc,WACpC9X,EAAOksB,UAAW,EAClBlsB,EAAO6C,kBAEF8oB,GAAYC,EAAGC,GACjB,GAAa,WAATN,EAAmB,CAC5B,GAAI18B,GAAmBE,GACrB,OAAOo9B,EAET,IAAIG,EACA7G,EAAe,WAAc6G,KACjCrzB,GAAehY,EAAM,aAAcwkC,GACnCxsB,GAAehY,EAAM,iBAAkBwkC,GACvCxsB,GAAe6e,EAAS,cAAc,SAAUoN,GAASoH,EAAepH,MAI5E,OAAO2G,KAMP34B,GAAQxM,EAAO,CACjB+G,IAAKjK,OACL+oC,UAAW/oC,QACV8nC,IAwIH,SAASkB,GAAgB7mC,GAEnBA,EAAEiI,IAAI6+B,SACR9mC,EAAEiI,IAAI6+B,UAGJ9mC,EAAEiI,IAAIw1B,UACRz9B,EAAEiI,IAAIw1B,WAIV,SAASsJ,GAAgB/mC,GACvBA,EAAE1E,KAAK0rC,OAAShnC,EAAEiI,IAAIg/B,wBAGxB,SAASC,GAAkBlnC,GACzB,IAAImnC,EAASnnC,EAAE1E,KAAK8rC,IAChBJ,EAAShnC,EAAE1E,KAAK0rC,OAChBK,EAAKF,EAAOG,KAAON,EAAOM,KAC1BC,EAAKJ,EAAOK,IAAMR,EAAOQ,IAC7B,GAAIH,GAAME,EAAI,CACZvnC,EAAE1E,KAAKmsC,OAAQ,EACf,IAAItK,EAAIn9B,EAAEiI,IAAI+Y,MACdmc,EAAEuK,UAAYvK,EAAEwK,gBAAkB,aAAeN,EAAK,MAAQE,EAAK,MACnEpK,EAAEyK,mBAAqB,aA9JpBr6B,GAAMq4B,KAkKb,IAAIiC,GAAqB,CACvBxB,WAAYA,GACZyB,gBAlKoB,CACpBv6B,MAAOA,GAEPw6B,YAAa,WACX,IAAI1tB,EAASriB,KAETwP,EAASxP,KAAKiyB,QAClBjyB,KAAKiyB,QAAU,SAAUvgB,EAAO4R,GAC9B,IAAI+O,EAAwBxI,GAAkBxH,GAE9CA,EAAOiQ,UACLjQ,EAAOqC,OACPrC,EAAO2tB,MACP,GACA,GAEF3tB,EAAOqC,OAASrC,EAAO2tB,KACvB3d,IACA7iB,EAAOnP,KAAKgiB,EAAQ3Q,EAAO4R,KAI/BvF,OAAQ,SAAiBkwB,GAQvB,IAPA,IAAIn+B,EAAM9P,KAAK8P,KAAO9P,KAAKykB,OAAOnhB,KAAKwM,KAAO,OAC1CnJ,EAAMrE,OAAOsE,OAAO,MACpBqpC,EAAejwC,KAAKiwC,aAAejwC,KAAK+P,SACxCmgC,EAAclwC,KAAKye,OAAOhH,SAAW,GACrC1H,EAAW/P,KAAK+P,SAAW,GAC3BogC,EAAiBpC,GAAsB/tC,MAElCyE,EAAI,EAAGA,EAAIyrC,EAAY/rC,OAAQM,IAAK,CAC3C,IAAIuD,EAAIkoC,EAAYzrC,GACpB,GAAIuD,EAAE8H,IACJ,GAAa,MAAT9H,EAAER,KAAoD,IAArC3B,OAAOmC,EAAER,KAAKvD,QAAQ,WACzC8L,EAASb,KAAKlH,GACdrB,EAAIqB,EAAER,KAAOQ,GACXA,EAAE1E,OAAS0E,EAAE1E,KAAO,KAAKkiC,WAAa2K,QAS9C,GAAIF,EAAc,CAGhB,IAFA,IAAID,EAAO,GACPI,EAAU,GACLte,EAAM,EAAGA,EAAMme,EAAa9rC,OAAQ2tB,IAAO,CAClD,IAAIue,EAAMJ,EAAane,GACvBue,EAAI/sC,KAAKkiC,WAAa2K,EACtBE,EAAI/sC,KAAK8rC,IAAMiB,EAAIpgC,IAAIg/B,wBACnBtoC,EAAI0pC,EAAI7oC,KACVwoC,EAAK9gC,KAAKmhC,GAEVD,EAAQlhC,KAAKmhC,GAGjBrwC,KAAKgwC,KAAO/B,EAAEn+B,EAAK,KAAMkgC,GACzBhwC,KAAKowC,QAAUA,EAGjB,OAAOnC,EAAEn+B,EAAK,KAAMC,IAGtBugC,QAAS,WACP,IAAIvgC,EAAW/P,KAAKiwC,aAChBrB,EAAY5uC,KAAK4uC,YAAe5uC,KAAKua,MAAQ,KAAO,QACnDxK,EAAS5L,QAAWnE,KAAKuwC,QAAQxgC,EAAS,GAAGE,IAAK2+B,KAMvD7+B,EAAS+B,QAAQ+8B,IACjB9+B,EAAS+B,QAAQi9B,IACjBh/B,EAAS+B,QAAQo9B,IAKjBlvC,KAAKwwC,QAAUpuC,SAASquC,KAAKC,aAE7B3gC,EAAS+B,SAAQ,SAAU9J,GACzB,GAAIA,EAAE1E,KAAKmsC,MAAO,CAChB,IAAIre,EAAKppB,EAAEiI,IACPk1B,EAAI/T,EAAGpI,MACX4a,GAAmBxS,EAAIwd,GACvBzJ,EAAEuK,UAAYvK,EAAEwK,gBAAkBxK,EAAEyK,mBAAqB,GACzDxe,EAAGhtB,iBAAiB8+B,GAAoB9R,EAAG0d,QAAU,SAASj1B,EAAI/P,GAC5DA,GAAKA,EAAEuF,SAAW+hB,GAGjBtnB,IAAK,aAAakD,KAAKlD,EAAE6mC,gBAC5Bvf,EAAG6I,oBAAoBiJ,GAAoBrpB,GAC3CuX,EAAG0d,QAAU,KACbhL,GAAsB1S,EAAIwd,YAOpCp5B,QAAS,CACP+6B,QAAS,SAAkBnf,EAAIwd,GAE7B,IAAK5L,GACH,OAAO,EAGT,GAAIhjC,KAAK4wC,SACP,OAAO5wC,KAAK4wC,SAOd,IAAI1tB,EAAQkO,EAAGyf,YACXzf,EAAGkJ,oBACLlJ,EAAGkJ,mBAAmBxoB,SAAQ,SAAUsoB,GAAOiI,GAAYnf,EAAOkX,MAEpE8H,GAAShf,EAAO0rB,GAChB1rB,EAAM8F,MAAMykB,QAAU,OACtBztC,KAAKmyB,IAAItuB,YAAYqf,GACrB,IAAI/K,EAAO8rB,GAAkB/gB,GAE7B,OADAljB,KAAKmyB,IAAIvuB,YAAYsf,GACbljB,KAAK4wC,SAAWz4B,EAAK4sB,iBAyCnCjW,GAAIrkB,OAAOe,YAAcA,GACzBsjB,GAAIrkB,OAAOU,cAAgBA,GAC3B2jB,GAAIrkB,OAAOW,eAAiBA,GAC5B0jB,GAAIrkB,OAAOa,gBAAkBA,GAC7BwjB,GAAIrkB,OAAOY,iBA10GX,SAA2ByE,GAEzB,IAAKvD,EACH,OAAO,EAET,GAAIpB,GAAc2E,GAChB,OAAO,EAIT,GAFAA,EAAMA,EAAI/I,cAEsB,MAA5BivB,GAAoBlmB,GACtB,OAAOkmB,GAAoBlmB,GAE7B,IAAIshB,EAAKhvB,SAASoB,cAAcsM,GAChC,OAAIA,EAAI7L,QAAQ,MAAQ,EAEd+xB,GAAoBlmB,GAC1BshB,EAAG9B,cAAgB7vB,OAAOqxC,oBAC1B1f,EAAG9B,cAAgB7vB,OAAOsxC,YAGpB/a,GAAoBlmB,GAAO,qBAAqB9C,KAAKokB,EAAG5uB,aAwzGpEuG,EAAO+lB,GAAIhZ,QAAQK,WAAYk3B,IAC/BtkC,EAAO+lB,GAAIhZ,QAAQ+Y,WAAYghB,IAG/B/gB,GAAIlvB,UAAU0yB,UAAY/lB,EAAY07B,GAAQ7+B,EAG9C0lB,GAAIlvB,UAAUokB,OAAS,SACrBoN,EACA9N,GAGA,OA76JF,SACE1O,EACAwc,EACA9N,GAyBA,IAAI0tB,EA2CJ,OAlEAp8B,EAAGud,IAAMf,EACJxc,EAAG8C,SAASqG,SACfnJ,EAAG8C,SAASqG,OAASzM,IAmBvBgU,GAAS1Q,EAAI,eAsBXo8B,EAAkB,WAChBp8B,EAAGqd,QAAQrd,EAAG8d,UAAWpP,IAO7B,IAAIgI,GAAQ1W,EAAIo8B,EAAiB5nC,EAAM,CACrC0hB,OAAQ,WACFlW,EAAGyQ,aAAezQ,EAAG2O,cACvB+B,GAAS1Q,EAAI,mBAGhB,GACH0O,GAAY,EAIK,MAAb1O,EAAG6P,SACL7P,EAAGyQ,YAAa,EAChBC,GAAS1Q,EAAI,YAERA,EAs2JAq8B,CAAejxC,KADtBoxB,EAAKA,GAAM7kB,EAAY2pB,GAAM9E,QAAMxjB,EACH0V,IAK9B/W,GACFnM,YAAW,WACLqK,EAAOI,UACLA,IACFA,GAASugB,KAAK,OAAQ0D,MAsBzB,GAKL,IAAIoiB,GAAe,2BACfC,GAAgB,yBAEhBC,GAAa3pC,GAAO,SAAU4pC,GAChC,IAAIC,EAAOD,EAAW,GAAGvpC,QAAQqpC,GAAe,QAC5CvwC,EAAQywC,EAAW,GAAGvpC,QAAQqpC,GAAe,QACjD,OAAO,IAAI/kC,OAAOklC,EAAO,gBAAkB1wC,EAAO,QA6EpD,IAAI2wC,GAAU,CACZC,WAAY,CAAC,eACbC,cArCF,SAAwBrgB,EAAItb,GACfA,EAAQlH,KAAnB,IACIwmB,EAAcsI,GAAiBtM,EAAI,SAanCgE,IACFhE,EAAGgE,YAAchvB,KAAKC,UAAU+uB,IAElC,IAAIsc,EAAenU,GAAenM,EAAI,SAAS,GAC3CsgB,IACFtgB,EAAGsgB,aAAeA,IAkBpBC,QAdF,SAAkBvgB,GAChB,IAAI9tB,EAAO,GAOX,OANI8tB,EAAGgE,cACL9xB,GAAQ,eAAkB8tB,EAAc,YAAI,KAE1CA,EAAGsgB,eACLpuC,GAAQ,SAAY8tB,EAAe,aAAI,KAElC9tB,IAgDT,IAQIsuC,GARAC,GAAU,CACZL,WAAY,CAAC,eACbC,cAvCF,SAA0BrgB,EAAItb,GACjBA,EAAQlH,KAAnB,IACIiyB,EAAcnD,GAAiBtM,EAAI,SACnCyP,IAcFzP,EAAGyP,YAAcz6B,KAAKC,UAAUm6B,GAAeK,KAGjD,IAAIiR,EAAevU,GAAenM,EAAI,SAAS,GAC3C0gB,IACF1gB,EAAG0gB,aAAeA,IAkBpBH,QAdF,SAAoBvgB,GAClB,IAAI9tB,EAAO,GAOX,OANI8tB,EAAGyP,cACLv9B,GAAQ,eAAkB8tB,EAAc,YAAI,KAE1CA,EAAG0gB,eACLxuC,GAAQ,UAAa8tB,EAAe,aAAI,MAEnC9tB,IAaLyuC,GACM,SAAiBnwC,GAGvB,OAFAgwC,GAAUA,IAAWxvC,SAASoB,cAAc,QACpCu8B,UAAYn+B,EACbgwC,GAAQ3a,aAMf+a,GAAaxrC,EACf,6FAMEyrC,GAAmBzrC,EACrB,2DAKE0rC,GAAmB1rC,EACrB,mSAYE2rC,GAAY,4EACZC,GAAsB,wGACtBC,GAAS,6BAAgC1mC,EAAoB,OAAI,KACjE2mC,GAAe,OAASD,GAAS,QAAUA,GAAS,IACpDE,GAAe,IAAInmC,OAAQ,KAAOkmC,IAClCE,GAAgB,aAChBC,GAAS,IAAIrmC,OAAQ,QAAUkmC,GAAe,UAC9CI,GAAU,qBAEVC,GAAU,SACVC,GAAqB,QAGrBC,GAAqBrsC,EAAQ,yBAAyB,GACtDssC,GAAU,GAEVC,GAAc,CAChB,OAAQ,IACR,OAAQ,IACR,SAAU,IACV,QAAS,IACT,QAAS,KACT,OAAQ,KACR,QAAS,KAEPC,GAAc,4BACdC,GAA0B,mCAG1BC,GAAqB1sC,EAAQ,gBAAgB,GAC7C2sC,GAA2B,SAAUrjC,EAAKlO,GAAQ,OAAOkO,GAAOojC,GAAmBpjC,IAAoB,OAAZlO,EAAK,IAEpG,SAASwxC,GAAYjuC,EAAOkuC,GAC1B,IAAIC,EAAKD,EAAuBJ,GAA0BD,GAC1D,OAAO7tC,EAAM2C,QAAQwrC,GAAI,SAAUjmC,GAAS,OAAO0lC,GAAY1lC,MAmQjE,IAuBIkmC,GACAlC,GACAmC,GACAC,GACAC,GACAC,GACAC,GACAC,GA9BAC,GAAO,YACPC,GAAQ,eACRC,GAAa,qCACbC,GAAgB,iCAChBC,GAAgB,WAChBC,GAAe,WAEfC,GAAQ,SACRC,GAAS,kBACTC,GAAa,wBAEbC,GAAS,kBAETC,GAAc,SACdC,GAAiB,OAIjBC,GAAmBjtC,EAAOsqC,IAe9B,SAAS4C,GACP7kC,EACA+M,EACAnM,GAEA,MAAO,CACL2E,KAAM,EACNvF,IAAKA,EACL6sB,UAAW9f,EACX6f,SAAUkY,GAAa/3B,GACvBg4B,YAAa,GACbnkC,OAAQA,EACRX,SAAU,IAOd,SAAS+kC,GACPC,EACAj/B,GAEAy9B,GAASz9B,EAAQlH,MAAQitB,GAEzB8X,GAAmB79B,EAAQk/B,UAAY1rC,EACvCsqC,GAAsB99B,EAAQtK,aAAelC,EAC7CuqC,GAA0B/9B,EAAQxK,iBAAmBhC,EACrD,IAAI6B,EAAgB2K,EAAQ3K,eAAiB7B,GAC5B,SAAU8nB,GAAM,QAASA,EAAG9J,YAAcnc,EAAcimB,EAAGthB,OAE5E0jC,GAAaxX,GAAoBlmB,EAAQmmB,QAAS,iBAClDwX,GAAgBzX,GAAoBlmB,EAAQmmB,QAAS,oBACrDyX,GAAiB1X,GAAoBlmB,EAAQmmB,QAAS,qBAEtDoV,GAAav7B,EAAQu7B,WAErB,IAGI4D,EACAC,EAJAC,EAAQ,GACRC,GAAoD,IAA/Bt/B,EAAQs/B,mBAC7BC,EAAmBv/B,EAAQw/B,WAG3BjK,GAAS,EACTkK,GAAQ,EAUZ,SAASC,EAAcC,GAyBrB,GAxBAC,EAAqBD,GAChBpK,GAAWoK,EAAQE,YACtBF,EAAUG,GAAeH,EAAS3/B,IAG/Bq/B,EAAMhxC,QAAUsxC,IAAYR,GAE3BA,EAAKY,KAAOJ,EAAQK,QAAUL,EAAQM,OAIxCC,GAAef,EAAM,CACnBla,IAAK0a,EAAQK,OACbG,MAAOR,IAWTP,IAAkBO,EAAQS,UAC5B,GAAIT,EAAQK,QAAUL,EAAQM,KAqZN3kB,EApZFqkB,GAqZtBza,EAeN,SAA0BjrB,GAExB,IADA,IAAItL,EAAIsL,EAAS5L,OACVM,KAAK,CACV,GAAyB,IAArBsL,EAAStL,GAAG4Q,KACd,OAAOtF,EAAStL,GAShBsL,EAASH,OA5BFumC,CArZwBjB,EAqZDnlC,YACtBirB,EAAK6a,IACfG,GAAehb,EAAM,CACnBD,IAAK3J,EAAG0kB,OACRG,MAAO7kB,QAxZA,CACL,GAAIqkB,EAAQW,UAAW,CAIrB,IAAI77B,EAAOk7B,EAAQY,YAAc,aAC/BnB,EAActyB,cAAgBsyB,EAActyB,YAAc,KAAKrI,GAAQk7B,EAE3EP,EAAcnlC,SAASb,KAAKumC,GAC5BA,EAAQ/kC,OAASwkC,EA0YzB,IAA8B9jB,EACxB4J,EArYFya,EAAQ1lC,SAAW0lC,EAAQ1lC,SAASkgB,QAAO,SAAUjoB,GAAK,OAAQ,EAAIouC,aAEtEV,EAAqBD,GAGjBA,EAAQ5sB,MACVwiB,GAAS,GAEPsI,GAAiB8B,EAAQ3lC,OAC3BylC,GAAQ,GAGV,IAAK,IAAI9wC,EAAI,EAAGA,EAAIivC,GAAevvC,OAAQM,IACzCivC,GAAejvC,GAAGgxC,EAAS3/B,GAI/B,SAAS4/B,EAAsBtkB,GAE7B,IAAKmkB,EAEH,IADA,IAAIe,GAEDA,EAAWllB,EAAGrhB,SAASqhB,EAAGrhB,SAAS5L,OAAS,KAC3B,IAAlBmyC,EAASjhC,MACS,MAAlBihC,EAAStmC,MAETohB,EAAGrhB,SAASH,MAyNlB,OApnBF,SAAoBhO,EAAMkU,GAOxB,IANA,IAKIqG,EAAMo6B,EALNpB,EAAQ,GACRqB,EAAa1gC,EAAQ0gC,WACrBC,EAAgB3gC,EAAQk8B,YAAc1oC,EACtCotC,EAAsB5gC,EAAQm8B,kBAAoB3oC,EAClDlC,EAAQ,EAELxF,GAAM,CAGX,GAFAua,EAAOva,EAEF20C,GAAY1D,GAAmB0D,GAkF7B,CACL,IAAII,EAAe,EACfC,EAAaL,EAAQxvC,cACrB8vC,EAAe/D,GAAQ8D,KAAgB9D,GAAQ8D,GAAc,IAAIxqC,OAAO,kBAAoBwqC,EAAa,UAAW,MACpHE,EAASl1C,EAAKkG,QAAQ+uC,GAAc,SAAUE,EAAK/mC,EAAMyiC,GAa3D,OAZAkE,EAAelE,EAAOtuC,OACjB0uC,GAAmB+D,IAA8B,aAAfA,IACrC5mC,EAAOA,EACJlI,QAAQ,sBAAuB,MAC/BA,QAAQ,4BAA6B,OAEtCqrC,GAAyByD,EAAY5mC,KACvCA,EAAOA,EAAK9L,MAAM,IAEhB4R,EAAQkhC,OACVlhC,EAAQkhC,MAAMhnC,GAET,MAET5I,GAASxF,EAAKuC,OAAS2yC,EAAO3yC,OAC9BvC,EAAOk1C,EACPG,EAAYL,EAAYxvC,EAAQuvC,EAAcvvC,OAvGF,CAC5C,IAAI8vC,EAAUt1C,EAAKqC,QAAQ,KAC3B,GAAgB,IAAZizC,EAAe,CAEjB,GAAIvE,GAAQ3lC,KAAKpL,GAAO,CACtB,IAAIu1C,EAAav1C,EAAKqC,QAAQ,UAE9B,GAAIkzC,GAAc,EAAG,CACfrhC,EAAQshC,mBACVthC,EAAQ68B,QAAQ/wC,EAAKy1C,UAAU,EAAGF,GAAa/vC,EAAOA,EAAQ+vC,EAAa,GAE7EG,EAAQH,EAAa,GACrB,UAKJ,GAAIvE,GAAmB5lC,KAAKpL,GAAO,CACjC,IAAI21C,EAAiB31C,EAAKqC,QAAQ,MAElC,GAAIszC,GAAkB,EAAG,CACvBD,EAAQC,EAAiB,GACzB,UAKJ,IAAIC,EAAe51C,EAAKyL,MAAMqlC,IAC9B,GAAI8E,EAAc,CAChBF,EAAQE,EAAa,GAAGrzC,QACxB,SAIF,IAAIszC,EAAc71C,EAAKyL,MAAMolC,IAC7B,GAAIgF,EAAa,CACf,IAAIC,EAAWtwC,EACfkwC,EAAQG,EAAY,GAAGtzC,QACvB8yC,EAAYQ,EAAY,GAAIC,EAAUtwC,GACtC,SAIF,IAAIuwC,EAAgBC,IACpB,GAAID,EAAe,CACjBE,EAAeF,GACXxE,GAAyBwE,EAAcrhB,QAAS10B,IAClD01C,EAAQ,GAEV,UAIJ,IAAItnC,OAAO,EAAU8nC,OAAO,EAAU75B,OAAO,EAC7C,GAAIi5B,GAAW,EAAG,CAEhB,IADAY,EAAOl2C,EAAKsC,MAAMgzC,KAEfzE,GAAOzlC,KAAK8qC,IACZvF,GAAavlC,KAAK8qC,IAClBnF,GAAQ3lC,KAAK8qC,IACblF,GAAmB5lC,KAAK8qC,KAGzB75B,EAAO65B,EAAK7zC,QAAQ,IAAK,IACd,IACXizC,GAAWj5B,EACX65B,EAAOl2C,EAAKsC,MAAMgzC,GAEpBlnC,EAAOpO,EAAKy1C,UAAU,EAAGH,GAGvBA,EAAU,IACZlnC,EAAOpO,GAGLoO,GACFsnC,EAAQtnC,EAAK7L,QAGX2R,EAAQkhC,OAAShnC,GACnB8F,EAAQkhC,MAAMhnC,EAAM5I,EAAQ4I,EAAK7L,OAAQiD,GA0B7C,GAAIxF,IAASua,EAAM,CACjBrG,EAAQkhC,OAASlhC,EAAQkhC,MAAMp1C,GAI/B,OAOJ,SAAS01C,EAAS3xC,GAChByB,GAASzB,EACT/D,EAAOA,EAAKy1C,UAAU1xC,GAGxB,SAASiyC,IACP,IAAI/uC,EAAQjH,EAAKyL,MAAMklC,IACvB,GAAI1pC,EAAO,CACT,IAMIi1B,EAAKtJ,EANLnnB,EAAQ,CACVipB,QAASztB,EAAM,GACfgU,MAAO,GACPhU,MAAOzB,GAIT,IAFAkwC,EAAQzuC,EAAM,GAAG1E,UAER25B,EAAMl8B,EAAKyL,MAAMmlC,OAAoBhe,EAAO5yB,EAAKyL,MAAM+kC,KAAwBxwC,EAAKyL,MAAM8kC,MACjG3d,EAAK3rB,MAAQzB,EACbkwC,EAAQ9iB,EAAK,GAAGrwB,QAChBqwB,EAAKsJ,IAAM12B,EACXiG,EAAMwP,MAAM3N,KAAKslB,GAEnB,GAAIsJ,EAIF,OAHAzwB,EAAM0qC,WAAaja,EAAI,GACvBwZ,EAAQxZ,EAAI,GAAG35B,QACfkJ,EAAMywB,IAAM12B,EACLiG,GAKb,SAASwqC,EAAgBxqC,GACvB,IAAIipB,EAAUjpB,EAAMipB,QAChByhB,EAAa1qC,EAAM0qC,WAEnBvB,IACc,MAAZD,GAAmBrE,GAAiB5b,IACtC2gB,EAAYV,GAEVG,EAAoBpgB,IAAYigB,IAAYjgB,GAC9C2gB,EAAY3gB,IAQhB,IAJA,IAAI0hB,EAAQvB,EAAcngB,MAAcyhB,EAEpCrvC,EAAI2E,EAAMwP,MAAM1Y,OAChB0Y,EAAQ,IAAIrY,MAAMkE,GACbjE,EAAI,EAAGA,EAAIiE,EAAGjE,IAAK,CAC1B,IAAIF,EAAO8I,EAAMwP,MAAMpY,GACnBU,EAAQZ,EAAK,IAAMA,EAAK,IAAMA,EAAK,IAAM,GACzC8uC,EAAmC,MAAZ/c,GAA+B,SAAZ/xB,EAAK,GAC/CuR,EAAQmiC,4BACRniC,EAAQu9B,qBACZx2B,EAAMpY,GAAK,CACT8V,KAAMhW,EAAK,GACXY,MAAOiuC,GAAWjuC,EAAOkuC,IAQxB2E,IACH7C,EAAMjmC,KAAK,CAAEY,IAAKwmB,EAAS4hB,cAAe5hB,EAAQvvB,cAAe8V,MAAOA,EAAOhU,MAAOwE,EAAMxE,MAAOi1B,IAAKzwB,EAAMywB,MAC9GyY,EAAUjgB,GAGRxgB,EAAQjN,OACViN,EAAQjN,MAAMytB,EAASzZ,EAAOm7B,EAAO3qC,EAAMxE,MAAOwE,EAAMywB,KAI5D,SAASmZ,EAAa3gB,EAASztB,EAAOi1B,GACpC,IAAIsR,EAAK+I,EAKT,GAJa,MAATtvC,IAAiBA,EAAQzB,GAClB,MAAP02B,IAAeA,EAAM12B,GAGrBkvB,EAEF,IADA6hB,EAAoB7hB,EAAQvvB,cACvBqoC,EAAM+F,EAAMhxC,OAAS,EAAGirC,GAAO,GAC9B+F,EAAM/F,GAAK8I,gBAAkBC,EADI/I,UAOvCA,EAAM,EAGR,GAAIA,GAAO,EAAG,CAEZ,IAAK,IAAI3qC,EAAI0wC,EAAMhxC,OAAS,EAAGM,GAAK2qC,EAAK3qC,IAUnCqR,EAAQgoB,KACVhoB,EAAQgoB,IAAIqX,EAAM1wC,GAAGqL,IAAKjH,EAAOi1B,GAKrCqX,EAAMhxC,OAASirC,EACfmH,EAAUnH,GAAO+F,EAAM/F,EAAM,GAAGt/B,QACD,OAAtBqoC,EACLriC,EAAQjN,OACViN,EAAQjN,MAAMytB,EAAS,IAAI,EAAMztB,EAAOi1B,GAEX,MAAtBqa,IACLriC,EAAQjN,OACViN,EAAQjN,MAAMytB,EAAS,IAAI,EAAOztB,EAAOi1B,GAEvChoB,EAAQgoB,KACVhoB,EAAQgoB,IAAIxH,EAASztB,EAAOi1B,IA1HlCmZ,IAmTAmB,CAAUrD,EAAU,CAClBnmC,KAAM2kC,GACNiD,WAAY1gC,EAAQ0gC,WACpBxE,WAAYl8B,EAAQk8B,WACpBC,iBAAkBn8B,EAAQm8B,iBAC1BoB,qBAAsBv9B,EAAQu9B,qBAC9B4E,4BAA6BniC,EAAQmiC,4BACrCb,kBAAmBthC,EAAQuiC,SAC3BC,kBAAmBxiC,EAAQwiC,kBAC3BzvC,MAAO,SAAgBiH,EAAK+M,EAAOm7B,EAAOO,EAASza,GAGjD,IAAIztB,EAAM6kC,GAAiBA,EAAc7kC,IAAOwjC,GAAwB/jC,GAIpE/C,GAAe,QAAPsD,IACVwM,EAmtBR,SAAwBA,GAEtB,IADA,IAAI1T,EAAM,GACD1E,EAAI,EAAGA,EAAIoY,EAAM1Y,OAAQM,IAAK,CACrC,IAAI+vB,EAAO3X,EAAMpY,GACZ+zC,GAAQxrC,KAAKwnB,EAAKja,QACrBia,EAAKja,KAAOia,EAAKja,KAAKzS,QAAQ2wC,GAAY,IAC1CtvC,EAAI+F,KAAKslB,IAGb,OAAOrrB,EA5tBOuvC,CAAc77B,IAGxB,IAksBmBuU,EAlsBfqkB,EAAUd,GAAiB7kC,EAAK+M,EAAOq4B,GACvC7kC,IACFolC,EAAQplC,GAAKA,GAksBN,WAFU+gB,EAtqBAqkB,GAwqBlB3lC,MACS,WAAXshB,EAAGthB,KACDshB,EAAGsL,SAASrnB,MACQ,oBAArB+b,EAAGsL,SAASrnB,OA3qBoB1H,OAC9B8nC,EAAQS,WAAY,GAUtB,IAAK,IAAIzxC,EAAI,EAAGA,EAAIgvC,GAActvC,OAAQM,IACxCgxC,EAAUhC,GAAchvC,GAAGgxC,EAAS3/B,IAAY2/B,EAG7CpK,KAuIX,SAAqBja,GACkB,MAAjCsM,GAAiBtM,EAAI,WACvBA,EAAGvI,KAAM,GAxIL8vB,CAAWlD,GACPA,EAAQ5sB,MACVwiB,GAAS,IAGTsI,GAAiB8B,EAAQ3lC,OAC3BylC,GAAQ,GAENlK,EAoIV,SAA0Bja,GACxB,IAAIvqB,EAAOuqB,EAAGuL,UACV1qB,EAAMpL,EAAK1C,OACf,GAAI8N,EAEF,IADA,IAAI4K,EAAQuU,EAAGvU,MAAQ,IAAIrY,MAAMyN,GACxBxN,EAAI,EAAGA,EAAIwN,EAAKxN,IACvBoY,EAAMpY,GAAK,CACT8V,KAAM1T,EAAKpC,GAAG8V,KACdpV,MAAOiB,KAAKC,UAAUQ,EAAKpC,GAAGU,QAEX,MAAjB0B,EAAKpC,GAAGoE,QACVgU,EAAMpY,GAAGoE,MAAQhC,EAAKpC,GAAGoE,MACzBgU,EAAMpY,GAAGq5B,IAAMj3B,EAAKpC,GAAGq5B,UAGjB1M,EAAGvI,MAEbuI,EAAGkL,OAAQ,GApJPsc,CAAgBnD,GACNA,EAAQE,YAElBkD,GAAWpD,GAqPnB,SAAoBrkB,GAClB,IAAI2J,EAAM2C,GAAiBtM,EAAI,QAC/B,GAAI2J,EACF3J,EAAGykB,GAAK9a,EACRib,GAAe5kB,EAAI,CACjB2J,IAAKA,EACLkb,MAAO7kB,QAEJ,CACiC,MAAlCsM,GAAiBtM,EAAI,YACvBA,EAAG2kB,MAAO,GAEZ,IAAID,EAASpY,GAAiBtM,EAAI,aAC9B0kB,IACF1kB,EAAG0kB,OAASA,IAlQVgD,CAAUrD,GAgTlB,SAAsBrkB,GAEL,MADDsM,GAAiBtM,EAAI,YAEjCA,EAAG/mB,MAAO,GAlTN0uC,CAAYtD,IAGTR,IACHA,EAAOQ,GAMJuC,EAIHxC,EAAaC,IAHbP,EAAgBO,EAChBN,EAAMjmC,KAAKumC,KAMf3X,IAAK,SAAchuB,EAAKjH,EAAOmwC,GAC7B,IAAIvD,EAAUN,EAAMA,EAAMhxC,OAAS,GAEnCgxC,EAAMhxC,QAAU,EAChB+wC,EAAgBC,EAAMA,EAAMhxC,OAAS,GAIrCqxC,EAAaC,IAGfuB,MAAO,SAAgBhnC,EAAMnH,EAAOi1B,GAClC,GAAKoX,KAkBDnoC,GACoB,aAAtBmoC,EAAcplC,KACdolC,EAAcxY,SAASsR,cAAgBh+B,GAFzC,CAMA,IA8kBcohB,EAxjBRjoB,EACAiI,EAvBFrB,EAAWmlC,EAAcnlC,SAiB7B,GAfEC,EADEulC,GAASvlC,EAAK0rB,OA8kBJ,YADAtK,EA5kBK8jB,GA6kBbplC,KAA+B,UAAXshB,EAAGthB,IA7kBOE,EAAO0kC,GAAiB1kC,GAChDD,EAAS5L,OAGVkxC,EACgB,aAArBA,GAGKb,GAAYxnC,KAAKgD,GAAQ,GAEzB,IAGFolC,EAAqB,IAAM,GAV3B,GAaFG,GAA8B,aAArBF,IAEZrlC,EAAOA,EAAKlI,QAAQ2sC,GAAgB,OAIjCpJ,GAAmB,MAATr7B,IAAiB7G,EArxBxC,SACE6G,EACAqhC,GAEA,IAAI4H,EAAQ5H,EAAaD,GAAWC,GAAcH,GAClD,GAAK+H,EAAMjsC,KAAKgD,GAAhB,CAOA,IAJA,IAGI3C,EAAOjG,EAAO8xC,EAHdC,EAAS,GACTC,EAAY,GACZl9B,EAAY+8B,EAAM/8B,UAAY,EAE1B7O,EAAQ4rC,EAAMI,KAAKrpC,IAAQ,EACjC5I,EAAQiG,EAAMjG,OAEF8U,IACVk9B,EAAUlqC,KAAKgqC,EAAalpC,EAAK9L,MAAMgY,EAAW9U,IAClD+xC,EAAOjqC,KAAK9I,KAAKC,UAAU6yC,KAG7B,IAAIne,EAAMD,GAAaztB,EAAM,GAAGquB,QAChCyd,EAAOjqC,KAAM,MAAQ6rB,EAAM,KAC3Bqe,EAAUlqC,KAAK,CAAE,WAAY6rB,IAC7B7e,EAAY9U,EAAQiG,EAAM,GAAGlJ,OAM/B,OAJI+X,EAAYlM,EAAK7L,SACnBi1C,EAAUlqC,KAAKgqC,EAAalpC,EAAK9L,MAAMgY,IACvCi9B,EAAOjqC,KAAK9I,KAAKC,UAAU6yC,KAEtB,CACLhtB,WAAYitB,EAAOhgB,KAAK,KACxBggB,OAAQC,IAsvBkCE,CAAUtpC,EAAMqhC,KACpDjgC,EAAQ,CACNiE,KAAM,EACN6W,WAAY/iB,EAAI+iB,WAChBitB,OAAQhwC,EAAIgwC,OACZnpC,KAAMA,GAEU,MAATA,GAAiBD,EAAS5L,QAAiD,MAAvC4L,EAASA,EAAS5L,OAAS,GAAG6L,OAC3EoB,EAAQ,CACNiE,KAAM,EACNrF,KAAMA,IAGNoB,GAKFrB,EAASb,KAAKkC,KAIpBuhC,QAAS,SAAkB3iC,EAAMnH,EAAOi1B,GAGtC,GAAIoX,EAAe,CACjB,IAAI9jC,EAAQ,CACViE,KAAM,EACNrF,KAAMA,EACNc,WAAW,GAET,EAIJokC,EAAcnlC,SAASb,KAAKkC,OAI3B6jC,EA8BT,SAASW,GACPH,EACA3/B,GA8SF,IAA4Bsb,GAvR5B,SAAqBA,GACnB,IAAI2J,EAAMwC,GAAenM,EAAI,OAC7B,GAAI2J,EAAK,CAqBP3J,EAAG5pB,IAAMuzB,GA5CXwe,CAAW9D,GAIXA,EAAQnZ,OACLmZ,EAAQjuC,MACRiuC,EAAQ7yB,cACR6yB,EAAQ9Y,UAAUx4B,OAyCvB,SAAqBitB,GACnB,IAAItwB,EAAMy8B,GAAenM,EAAI,OACzBtwB,IACFswB,EAAGtwB,IAAMA,EACTswB,EAAGmG,SAsZP,SAAqBnG,GACnB,IAAI1gB,EAAS0gB,EACb,KAAO1gB,GAAQ,CACb,QAAmB9C,IAAf8C,EAAO8oC,IACT,OAAO,EAET9oC,EAASA,EAAOA,OAElB,OAAO,EA9ZS+oC,CAAWroB,IA1C3BsoB,CAAWjE,GAuJb,SAA6BrkB,GAC3B,IAAIglB,EACW,aAAXhlB,EAAGthB,KACLsmC,EAAY1Y,GAAiBtM,EAAI,SAYjCA,EAAGglB,UAAYA,GAAa1Y,GAAiBtM,EAAI,gBACvCglB,EAAY1Y,GAAiBtM,EAAI,iBAW3CA,EAAGglB,UAAYA,GAIjB,IAAIC,EAAa9Y,GAAenM,EAAI,QAChCilB,IACFjlB,EAAGilB,WAA4B,OAAfA,EAAsB,YAAcA,EACpDjlB,EAAGuoB,qBAAuBvoB,EAAGsL,SAAS,WAAYtL,EAAGsL,SAAS,gBAG/C,aAAXtL,EAAGthB,KAAuBshB,EAAGglB,WAC/B7Z,GAAQnL,EAAI,OAAQilB,EA1gG1B,SACEjlB,EACA7W,GAEA,OAAO6W,EAAGyjB,YAAY,IAAMt6B,IAC1B6W,EAAGyjB,YAAY,UAAYt6B,IAC3B6W,EAAGyjB,YAAYt6B,GAogGmBq/B,CAAkBxoB,EAAI,UAMxD,GAAe,aAAXA,EAAGthB,IAAoB,CAEzB,IAAI+pC,EAAchc,GAAwBzM,EAAImjB,IAC9C,GAAIsF,EAAa,CACX,EAeJ,IAAI/4C,EAAMg5C,GAAYD,GAClBt/B,EAAOzZ,EAAIyZ,KACX6hB,EAAUt7B,EAAIs7B,QAClBhL,EAAGilB,WAAa97B,EAChB6W,EAAGuoB,kBAAoBvd,EACvBhL,EAAGglB,UAAYyD,EAAY10C,OAvmBT,eAymBf,CAEL,IAAI40C,EAAgBlc,GAAwBzM,EAAImjB,IAChD,GAAIwF,EAAe,CACb,EAsBJ,IAAIn9B,EAAQwU,EAAGxO,cAAgBwO,EAAGxO,YAAc,IAC5Co3B,EAAQF,GAAYC,GACpBh9B,EAASi9B,EAAMz/B,KACf0/B,EAAYD,EAAM5d,QAClB8d,EAAgBt9B,EAAMG,GAAU43B,GAAiB,WAAY,GAAIvjB,GACrE8oB,EAAc7D,WAAat5B,EAC3Bm9B,EAAcP,kBAAoBM,EAClCC,EAAcnqC,SAAWqhB,EAAGrhB,SAASkgB,QAAO,SAAUjoB,GACpD,IAAKA,EAAEouC,UAEL,OADApuC,EAAE0I,OAASwpC,GACJ,KAGXA,EAAc9D,UAAY2D,EAAc50C,OAhpBtB,UAkpBlBisB,EAAGrhB,SAAW,GAEdqhB,EAAGkL,OAAQ,IAvQjB6d,CAAmB1E,GAkSJ,UADWrkB,EAhSRqkB,GAiSX3lC,MACLshB,EAAGgpB,SAAW7c,GAAenM,EAAI,SAYrC,SAA2BA,GACzB,IAAI+a,GACCA,EAAU5O,GAAenM,EAAI,SAChCA,EAAG9J,UAAY6kB,GAE8B,MAA3CzO,GAAiBtM,EAAI,qBACvBA,EAAGvN,gBAAiB,GAnTtBw2B,CAAiB5E,GACjB,IAAK,IAAIhxC,EAAI,EAAGA,EAAI+uC,GAAWrvC,OAAQM,IACrCgxC,EAAUjC,GAAW/uC,GAAGgxC,EAAS3/B,IAAY2/B,EAG/C,OAkTF,SAAuBrkB,GACrB,IACI3sB,EAAGiE,EAAG6R,EAAM2e,EAAS/zB,EAAO6zB,EAAWshB,EAASC,EADhD1zC,EAAOuqB,EAAGuL,UAEd,IAAKl4B,EAAI,EAAGiE,EAAI7B,EAAK1C,OAAQM,EAAIiE,EAAGjE,IAAK,CAGvC,GAFA8V,EAAO2e,EAAUryB,EAAKpC,GAAG8V,KACzBpV,EAAQ0B,EAAKpC,GAAGU,MACZ4uC,GAAM/mC,KAAKuN,GASb,GAPA6W,EAAGopB,aAAc,GAEjBxhB,EAAYyhB,GAAelgC,EAAKzS,QAAQisC,GAAO,QAG7Cx5B,EAAOA,EAAKzS,QAAQwsC,GAAY,KAE9BD,GAAOrnC,KAAKuN,GACdA,EAAOA,EAAKzS,QAAQusC,GAAQ,IAC5BlvC,EAAQ21B,GAAa31B,IACrBo1C,EAAYpG,GAAannC,KAAKuN,MAE5BA,EAAOA,EAAKrW,MAAM,GAAI,IAUpB80B,IACEA,EAAU7hB,OAASojC,GAER,eADbhgC,EAAO1S,EAAS0S,MACYA,EAAO,aAEjCye,EAAU0hB,QAAUH,IACtBhgC,EAAO1S,EAAS0S,IAEdye,EAAUnS,OACZyzB,EAAUnc,GAAkBh5B,EAAO,UAC9Bo1C,EAuBHxd,GACE3L,EACC,cAAkB7W,EAAO,IAC1B+/B,EACA,MACA,EACA/G,EACA1sC,EAAKpC,IACL,IA9BFs4B,GACE3L,EACC,UAAavpB,EAAS0S,GACvB+/B,EACA,MACA,EACA/G,EACA1sC,EAAKpC,IAEH4D,EAAUkS,KAAU1S,EAAS0S,IAC/BwiB,GACE3L,EACC,UAAa/oB,EAAUkS,GACxB+/B,EACA,MACA,EACA/G,EACA1sC,EAAKpC,OAkBVu0B,GAAaA,EAAU7hB,OACzBia,EAAG9J,WAAassB,GAAoBxiB,EAAGthB,IAAKshB,EAAGsL,SAASrnB,KAAMkF,GAE/D4hB,GAAQ/K,EAAI7W,EAAMpV,EAAO0B,EAAKpC,GAAI81C,GAElChe,GAAQnL,EAAI7W,EAAMpV,EAAO0B,EAAKpC,GAAI81C,QAE/B,GAAIzG,GAAK9mC,KAAKuN,GACnBA,EAAOA,EAAKzS,QAAQgsC,GAAM,KAC1ByG,EAAYpG,GAAannC,KAAKuN,MAE5BA,EAAOA,EAAKrW,MAAM,GAAI,IAExB64B,GAAW3L,EAAI7W,EAAMpV,EAAO6zB,GAAW,EAAOua,EAAQ1sC,EAAKpC,GAAI81C,OAC1D,CAGL,IAAII,GAFJpgC,EAAOA,EAAKzS,QAAQisC,GAAO,KAEP1mC,MAAM+mC,IACtBzb,EAAMgiB,GAAYA,EAAS,GAC/BJ,GAAY,EACR5hB,IACFpe,EAAOA,EAAKrW,MAAM,IAAKy0B,EAAIx0B,OAAS,IAChCgwC,GAAannC,KAAK2rB,KACpBA,EAAMA,EAAIz0B,MAAM,GAAI,GACpBq2C,GAAY,IAGhB3d,GAAaxL,EAAI7W,EAAM2e,EAAS/zB,EAAOwzB,EAAK4hB,EAAWvhB,EAAWnyB,EAAKpC,SAmBzE83B,GAAQnL,EAAI7W,EAAMnU,KAAKC,UAAUlB,GAAQ0B,EAAKpC,KAGzC2sB,EAAG9J,WACK,UAAT/M,GACAq5B,GAAoBxiB,EAAGthB,IAAKshB,EAAGsL,SAASrnB,KAAMkF,IAChD4hB,GAAQ/K,EAAI7W,EAAM,OAAQ1T,EAAKpC,KAnbrCm2C,CAAanF,GACNA,EAsCT,SAASoD,GAAYznB,GACnB,IAAI2J,EACJ,GAAKA,EAAM2C,GAAiBtM,EAAI,SAAW,CACzC,IAAIjoB,EAcR,SAAmB4xB,GACjB,IAAI8f,EAAU9f,EAAI1tB,MAAM2mC,IACxB,IAAK6G,EAAW,OAChB,IAAI1xC,EAAM,GACVA,EAAIqwC,IAAMqB,EAAQ,GAAGnf,OACrB,IAAIof,EAAQD,EAAQ,GAAGnf,OAAO5zB,QAAQosC,GAAe,IACjD6G,EAAgBD,EAAMztC,MAAM4mC,IAC5B8G,GACF5xC,EAAI2xC,MAAQA,EAAMhzC,QAAQmsC,GAAe,IAAIvY,OAC7CvyB,EAAI6xC,UAAYD,EAAc,GAAGrf,OAC7Bqf,EAAc,KAChB5xC,EAAI8xC,UAAYF,EAAc,GAAGrf,SAGnCvyB,EAAI2xC,MAAQA,EAEd,OAAO3xC,EA9BK+xC,CAASngB,GACf5xB,GACFJ,EAAOqoB,EAAIjoB,IAoFjB,SAAS6sC,GAAgB5kB,EAAI+pB,GACtB/pB,EAAGgqB,eACNhqB,EAAGgqB,aAAe,IAEpBhqB,EAAGgqB,aAAalsC,KAAKisC,GAmIvB,SAASrB,GAAa3N,GACpB,IAAI5xB,EAAO4xB,EAAQ5xB,KAAKzS,QAAQysC,GAAQ,IAWxC,OAVKh6B,GACqB,MAApB4xB,EAAQ5xB,KAAK,KACfA,EAAO,WAQJ45B,GAAannC,KAAKuN,GAErB,CAAEA,KAAMA,EAAKrW,MAAM,GAAI,GAAIk4B,SAAS,GAEpC,CAAE7hB,KAAO,IAAOA,EAAO,IAAO6hB,SAAS,GA6K7C,SAASqe,GAAgBlgC,GACvB,IAAIlN,EAAQkN,EAAKlN,MAAMinC,IACvB,GAAIjnC,EAAO,CACT,IAAIvE,EAAM,GAEV,OADAuE,EAAMyE,SAAQ,SAAUoqB,GAAKpzB,EAAIozB,EAAEh4B,MAAM,KAAM,KACxC4E,GAIX,SAAS8rC,GAAc/3B,GAErB,IADA,IAAIlW,EAAM,GACDlC,EAAI,EAAGiE,EAAImU,EAAM1Y,OAAQM,EAAIiE,EAAGjE,IAOvCkC,EAAIkW,EAAMpY,GAAG8V,MAAQsC,EAAMpY,GAAGU,MAEhC,OAAOwB,EAkBT,IAAI6xC,GAAU,eACVC,GAAa,UAgGjB,SAAS4C,GAAiBjqB,GACxB,OAAOujB,GAAiBvjB,EAAGthB,IAAKshB,EAAGuL,UAAUz4B,QAASktB,EAAG1gB,QAG3D,IAII4qC,GAAY,CACd/J,GACAM,GANY,CACZ0J,iBAnEF,SAA2BnqB,EAAItb,GAC7B,GAAe,UAAXsb,EAAGthB,IAAiB,CACtB,IAKI0rC,EALA70C,EAAMyqB,EAAGsL,SACb,IAAK/1B,EAAI,WACP,OAWF,IAPIA,EAAI,UAAYA,EAAI,kBACtB60C,EAAcje,GAAenM,EAAI,SAE9BzqB,EAAI0O,MAASmmC,IAAe70C,EAAI,YACnC60C,EAAc,IAAO70C,EAAI,UAAa,UAGpC60C,EAAa,CACf,IAAIC,EAAc/d,GAAiBtM,EAAI,QAAQ,GAC3CsqB,EAAmBD,EAAe,MAAQA,EAAc,IAAO,GAC/DE,EAAkD,MAAxCje,GAAiBtM,EAAI,UAAU,GACzCwqB,EAAkBle,GAAiBtM,EAAI,aAAa,GAEpDyqB,EAAUR,GAAgBjqB,GAE9BynB,GAAWgD,GACXpf,GAAWof,EAAS,OAAQ,YAC5BjG,GAAeiG,EAAS/lC,GACxB+lC,EAAQlG,WAAY,EACpBkG,EAAQhG,GAAK,IAAM2F,EAAc,iBAAmBE,EACpD1F,GAAe6F,EAAS,CACtB9gB,IAAK8gB,EAAQhG,GACbI,MAAO4F,IAGT,IAAIC,EAAUT,GAAgBjqB,GAC9BsM,GAAiBoe,EAAS,SAAS,GACnCrf,GAAWqf,EAAS,OAAQ,SAC5BlG,GAAekG,EAAShmC,GACxBkgC,GAAe6F,EAAS,CACtB9gB,IAAK,IAAMygB,EAAc,cAAgBE,EACzCzF,MAAO6F,IAGT,IAAIC,EAAUV,GAAgBjqB,GAe9B,OAdAsM,GAAiBqe,EAAS,SAAS,GACnCtf,GAAWsf,EAAS,QAASP,GAC7B5F,GAAemG,EAASjmC,GACxBkgC,GAAe6F,EAAS,CACtB9gB,IAAK0gB,EACLxF,MAAO8F,IAGLJ,EACFE,EAAQ9F,MAAO,EACN6F,IACTC,EAAQ/F,OAAS8F,GAGZC,OAmCb,IAuBIG,GACAC,GAhBAC,GAAc,CAChB1F,YAAY,EACZva,QAASqf,GACTnlC,WAXiB,CACjBwR,MAhtGF,SACEyJ,EACA8G,EACAikB,GAESA,EACT,IAAIh3C,EAAQ+yB,EAAI/yB,MACZ6zB,EAAYd,EAAIc,UAChBlpB,EAAMshB,EAAGthB,IACTuF,EAAO+b,EAAGsL,SAASrnB,KAcvB,GAAI+b,EAAG9J,UAGL,OAFAyW,GAAkB3M,EAAIjsB,EAAO6zB,IAEtB,EACF,GAAY,WAARlpB,GAqEb,SACEshB,EACAjsB,EACA6zB,GAEA,IAOIojB,EAAO,8KAPEpjB,GAAaA,EAAUgF,OAIZ,UAAY,OAGzB,MACXoe,EAAOA,EAAO,IAAOje,GAAkBh5B,EAFtB,6DAGjB43B,GAAW3L,EAAI,SAAUgrB,EAAM,MAAM,GAlFnCC,CAAUjrB,EAAIjsB,EAAO6zB,QAChB,GAAY,UAARlpB,GAA4B,aAATuF,GAwBhC,SACE+b,EACAjsB,EACA6zB,GAEA,IAAIgF,EAAShF,GAAaA,EAAUgF,OAChCse,EAAe/e,GAAenM,EAAI,UAAY,OAC9CmrB,EAAmBhf,GAAenM,EAAI,eAAiB,OACvDorB,EAAoBjf,GAAenM,EAAI,gBAAkB,QAC7D+K,GAAQ/K,EAAI,UACV,iBAAmBjsB,EAAnB,QACSA,EAAQ,IAAMm3C,EAAe,QACf,SAArBC,EACK,KAAOp3C,EAAQ,IACf,OAASA,EAAQ,IAAMo3C,EAAmB,MAGnDxf,GAAW3L,EAAI,SACb,WAAajsB,EAAb,yCAE2Bo3C,EAAmB,MAAQC,EAFtD,qCAIgBxe,EAAS,MAAQse,EAAe,IAAMA,GAJtD,6CAMiCne,GAAkBh5B,EAAO,qBAN1D,mBAOsBg5B,GAAkBh5B,EAAO,6CAP/C,WAQYg5B,GAAkBh5B,EAAO,OAAU,IAC/C,MAAM,GAlDNs3C,CAAiBrrB,EAAIjsB,EAAO6zB,QACvB,GAAY,UAARlpB,GAA4B,UAATuF,GAqDhC,SACE+b,EACAjsB,EACA6zB,GAEA,IAAIgF,EAAShF,GAAaA,EAAUgF,OAChCse,EAAe/e,GAAenM,EAAI,UAAY,OAElD+K,GAAQ/K,EAAI,UAAY,MAAQjsB,EAAQ,KADxCm3C,EAAete,EAAU,MAAQse,EAAe,IAAOA,GACM,KAC7Dvf,GAAW3L,EAAI,SAAU+M,GAAkBh5B,EAAOm3C,GAAe,MAAM,GA7DrEI,CAActrB,EAAIjsB,EAAO6zB,QACpB,GAAY,UAARlpB,GAA2B,aAARA,GAgFhC,SACEshB,EACAjsB,EACA6zB,GAEA,IAAI3jB,EAAO+b,EAAGsL,SAASrnB,KAInB,EAaJ,IAAIvU,EAAMk4B,GAAa,GACnBpN,EAAO9qB,EAAI8qB,KACXoS,EAASl9B,EAAIk9B,OACbtC,EAAO56B,EAAI46B,KACXihB,GAAwB/wB,GAAiB,UAATvW,EAChChS,EAAQuoB,EACR,SACS,UAATvW,EAjJY,MAmJV,QAEF4oB,EAAkB,sBAClBvC,IACFuC,EAAkB,8BAEhBD,IACFC,EAAkB,MAAQA,EAAkB,KAG9C,IAAIme,EAAOje,GAAkBh5B,EAAO84B,GAChC0e,IACFP,EAAO,qCAAuCA,GAGhDjgB,GAAQ/K,EAAI,QAAU,IAAMjsB,EAAQ,KACpC43B,GAAW3L,EAAI/tB,EAAO+4C,EAAM,MAAM,IAC9B1gB,GAAQsC,IACVjB,GAAW3L,EAAI,OAAQ,kBAhIvBwrB,CAAgBxrB,EAAIjsB,EAAO6zB,OACtB,KAAKvuB,EAAOU,cAAc2E,GAG/B,OAFAiuB,GAAkB3M,EAAIjsB,EAAO6zB,IAEtB,EAYT,OAAO,GA+pGPhpB,KAhBF,SAAeohB,EAAI8G,GACbA,EAAI/yB,OACNg3B,GAAQ/K,EAAI,cAAgB,MAAS8G,EAAS,MAAI,IAAMA,IAe1Dt2B,KATF,SAAewvB,EAAI8G,GACbA,EAAI/yB,OACNg3B,GAAQ/K,EAAI,YAAc,MAAS8G,EAAS,MAAI,IAAMA,KAgBxD8c,SA93Ja,SAAUllC,GAAO,MAAe,QAARA,GA+3JrCkiC,WAAYA,GACZxmC,YAAaA,GACbymC,iBAAkBA,GAClB9mC,cAAeA,GACfG,gBAAiBA,GACjBkmC,WAxmUF,SAAwBvV,GACtB,OAAOA,EAAQ4gB,QAAO,SAAU3yC,EAAMgyB,GACpC,OAAOhyB,EAAK8K,OAAOknB,EAAEsV,YAAc,MAClC,IAAIrY,KAAK,KAqmUA2jB,CAAcxB,KAQxByB,GAAsBt1C,GAuB1B,SAA0ByC,GACxB,OAAO1D,EACL,iFACC0D,EAAO,IAAMA,EAAO,QAbzB,SAAS8yC,GAAU/H,EAAMn/B,GAClBm/B,IACL+G,GAAce,GAAoBjnC,EAAQ07B,YAAc,IACxDyK,GAAwBnmC,EAAQ3K,eAAiB7B,EAcnD,SAAS2zC,EAAc1rC,GAErB,GADAA,EAAK2rC,OA6DP,SAAmB3rC,GACjB,GAAkB,IAAdA,EAAK8D,KACP,OAAO,EAET,GAAkB,IAAd9D,EAAK8D,KACP,OAAO,EAET,SAAU9D,EAAKsX,MACZtX,EAAKipC,aACLjpC,EAAKskC,IAAOtkC,EAAKioC,KACjBxyC,EAAauK,EAAKzB,OACnBmsC,GAAsB1qC,EAAKzB,MAM/B,SAAqCyB,GACnC,KAAOA,EAAKb,QAAQ,CAElB,GAAiB,cADjBa,EAAOA,EAAKb,QACHZ,IACP,OAAO,EAET,GAAIyB,EAAKioC,IACP,OAAO,EAGX,OAAO,EAfJ2D,CAA2B5rC,KAC5BjP,OAAO4H,KAAKqH,GAAM1H,MAAMmyC,MA1EZprC,CAASW,GACL,IAAdA,EAAK8D,KAAY,CAInB,IACG4mC,GAAsB1qC,EAAKzB,MACf,SAAbyB,EAAKzB,KAC+B,MAApCyB,EAAKmrB,SAAS,mBAEd,OAEF,IAAK,IAAIj4B,EAAI,EAAGiE,EAAI6I,EAAKxB,SAAS5L,OAAQM,EAAIiE,EAAGjE,IAAK,CACpD,IAAI2M,EAAQG,EAAKxB,SAAStL,GAC1Bw4C,EAAa7rC,GACRA,EAAM8rC,SACT3rC,EAAK2rC,QAAS,GAGlB,GAAI3rC,EAAK6pC,aACP,IAAK,IAAItpB,EAAM,EAAGsrB,EAAM7rC,EAAK6pC,aAAaj3C,OAAQ2tB,EAAMsrB,EAAKtrB,IAAO,CAClE,IAAImkB,EAAQ1kC,EAAK6pC,aAAatpB,GAAKmkB,MACnCgH,EAAahH,GACRA,EAAMiH,SACT3rC,EAAK2rC,QAAS,KArCtBD,CAAahI,GA4Cf,SAASoI,EAAiB9rC,EAAMuO,GAC9B,GAAkB,IAAdvO,EAAK8D,KAAY,CAOnB,IANI9D,EAAK2rC,QAAU3rC,EAAKlH,QACtBkH,EAAK+rC,YAAcx9B,GAKjBvO,EAAK2rC,QAAU3rC,EAAKxB,SAAS5L,SACN,IAAzBoN,EAAKxB,SAAS5L,QACY,IAA1BoN,EAAKxB,SAAS,GAAGsF,MAGjB,YADA9D,EAAKgsC,YAAa,GAKpB,GAFEhsC,EAAKgsC,YAAa,EAEhBhsC,EAAKxB,SACP,IAAK,IAAItL,EAAI,EAAGiE,EAAI6I,EAAKxB,SAAS5L,OAAQM,EAAIiE,EAAGjE,IAC/C44C,EAAgB9rC,EAAKxB,SAAStL,GAAIqb,KAAavO,EAAKioC,KAGxD,GAAIjoC,EAAK6pC,aACP,IAAK,IAAItpB,EAAM,EAAGsrB,EAAM7rC,EAAK6pC,aAAaj3C,OAAQ2tB,EAAMsrB,EAAKtrB,IAC3DurB,EAAgB9rC,EAAK6pC,aAAatpB,GAAKmkB,MAAOn2B,IAlEpDu9B,CAAgBpI,GAAM,IAwGxB,IAAIuI,GAAU,0DACVC,GAAa,gBACbC,GAAe,+FAGfxyC,GAAW,CACbyyC,IAAK,GACLC,IAAK,EACLxY,MAAO,GACPyY,MAAO,GACPC,GAAI,GACJxO,KAAM,GACNpS,MAAO,GACP6gB,KAAM,GACN,OAAU,CAAC,EAAG,KAIZC,GAAW,CAEbL,IAAK,CAAC,MAAO,UACbC,IAAK,MACLxY,MAAO,QAEPyY,MAAO,CAAC,IAAK,YAEbC,GAAI,CAAC,KAAM,WACXxO,KAAM,CAAC,OAAQ,aACfpS,MAAO,CAAC,QAAS,cACjB6gB,KAAM,CAAC,OAAQ,aAEf,OAAU,CAAC,YAAa,SAAU,QAMhCE,GAAW,SAAU9C,GAAa,MAAQ,MAAQA,EAAY,iBAE9D+C,GAAe,CACjBC,KAAM,4BACNC,QAAS,2BACT5+C,KAAMy+C,GAAS,0CACfI,KAAMJ,GAAS,mBACf5hC,MAAO4hC,GAAS,oBAChBK,IAAKL,GAAS,kBACdM,KAAMN,GAAS,mBACf3O,KAAM2O,GAAS,6CACf9gB,OAAQ8gB,GAAS,6CACjB/gB,MAAO+gB,GAAS,8CAGlB,SAASO,GACPvhB,EACAjvB,GAEA,IAAIywC,EAASzwC,EAAW,YAAc,MAClC0wC,EAAiB,GACjBC,EAAkB,GACtB,IAAK,IAAIpkC,KAAQ0iB,EAAQ,CACvB,IAAI2hB,EAAcC,GAAW5hB,EAAO1iB,IAChC0iB,EAAO1iB,IAAS0iB,EAAO1iB,GAAM6hB,QAC/BuiB,GAAmBpkC,EAAO,IAAMqkC,EAAc,IAE9CF,GAAkB,IAAOnkC,EAAO,KAAQqkC,EAAc,IAI1D,OADAF,EAAiB,IAAOA,EAAex6C,MAAM,GAAI,GAAM,IACnDy6C,EACKF,EAAS,MAAQC,EAAiB,KAAQC,EAAgBz6C,MAAM,GAAI,GAAM,KAE1Eu6C,EAASC,EAIpB,SAASG,GAAYpmC,GACnB,IAAKA,EACH,MAAO,eAGT,GAAIjU,MAAM2B,QAAQsS,GAChB,MAAQ,IAAOA,EAAQ9R,KAAI,SAAU8R,GAAW,OAAOomC,GAAWpmC,MAAa0gB,KAAK,KAAQ,IAG9F,IAAI2lB,EAAepB,GAAa1wC,KAAKyL,EAAQtT,OACzC45C,EAAuBvB,GAAQxwC,KAAKyL,EAAQtT,OAC5C65C,EAAuBtB,GAAa1wC,KAAKyL,EAAQtT,MAAM2C,QAAQ21C,GAAY,KAE/E,GAAKhlC,EAAQugB,UAKN,CACL,IAAIojB,EAAO,GACP6C,EAAkB,GAClB/0C,EAAO,GACX,IAAK,IAAI1C,KAAOiR,EAAQugB,UACtB,GAAIklB,GAAa12C,GACfy3C,GAAmBf,GAAa12C,GAE5B0D,GAAS1D,IACX0C,EAAKgF,KAAK1H,QAEP,GAAY,UAARA,EAAiB,CAC1B,IAAIwxB,EAAavgB,EAAiB,UAClCwmC,GAAmBhB,GACjB,CAAC,OAAQ,QAAS,MAAO,QACtBhuB,QAAO,SAAUivB,GAAe,OAAQlmB,EAAUkmB,MAClDv4C,KAAI,SAAUu4C,GAAe,MAAQ,UAAYA,EAAc,SAC/D/lB,KAAK,YAGVjvB,EAAKgF,KAAK1H,GAiBd,OAdI0C,EAAK/F,SACPi4C,GAiBN,SAAuBlyC,GACrB,MAIE,mCACCA,EAAKvD,IAAIw4C,IAAehmB,KAAK,MAAS,gBAvB7BimB,CAAal1C,IAGnB+0C,IACF7C,GAAQ6C,GASF,oBAAsB7C,GAPZ0C,EACb,UAAarmC,EAAa,MAAI,WAC/BsmC,EACG,WAActmC,EAAa,MAAI,YAChCumC,EACG,UAAavmC,EAAa,MAC3BA,EAAQtT,OACmC,IAzCnD,OAAI25C,GAAgBC,EACXtmC,EAAQtT,MAET,qBAAuB65C,EAAwB,UAAavmC,EAAa,MAAKA,EAAQtT,OAAS,IAoD3G,SAASg6C,GAAe33C,GACtB,IAAI63C,EAAShsB,SAAS7rB,EAAK,IAC3B,GAAI63C,EACF,MAAQ,oBAAsBA,EAEhC,IAAIC,EAAUp0C,GAAS1D,GACnB+3C,EAAUvB,GAASx2C,GACvB,MACE,qBACCpB,KAAKC,UAAUmB,GAAQ,IACvBpB,KAAKC,UAAUi5C,GAFhB,eAIMl5C,KAAKC,UAAUk5C,GACrB,IAuBJ,IAAIC,GAAiB,CACnBxkC,GAlBF,SAAaoW,EAAI8G,GAIf9G,EAAGquB,cAAgB,SAAUrD,GAAQ,MAAQ,MAAQA,EAAO,IAAOlkB,EAAS,MAAI,MAehF5vB,KAVF,SAAiB8oB,EAAI8G,GACnB9G,EAAGsuB,SAAW,SAAUtD,GACtB,MAAQ,MAAQA,EAAO,KAAQhrB,EAAM,IAAI,KAAQ8G,EAAS,MAAI,KAAOA,EAAIc,WAAad,EAAIc,UAAU7hB,KAAO,OAAS,UAAY+gB,EAAIc,WAAad,EAAIc,UAAUnS,KAAO,QAAU,IAAM,MASxL84B,MAAOv2C,GASLw2C,GAAe,SAAuB9pC,GACxC9V,KAAK8V,QAAUA,EACf9V,KAAK4O,KAAOkH,EAAQlH,MAAQitB,GAC5B77B,KAAKwzC,WAAaxX,GAAoBlmB,EAAQmmB,QAAS,iBACvDj8B,KAAK6/C,WAAa7jB,GAAoBlmB,EAAQmmB,QAAS,WACvDj8B,KAAKmW,WAAapN,EAAOA,EAAO,GAAIy2C,IAAiB1pC,EAAQK,YAC7D,IAAIhL,EAAgB2K,EAAQ3K,eAAiB7B,EAC7CtJ,KAAK8/C,eAAiB,SAAU1uB,GAAM,QAASA,EAAG9J,YAAcnc,EAAcimB,EAAGthB,MACjF9P,KAAK+/C,OAAS,EACd//C,KAAKkgB,gBAAkB,GACvBlgB,KAAK6oB,KAAM,GAKb,SAASm3B,GACPC,EACAnqC,GAEA,IAAIoqC,EAAQ,IAAIN,GAAa9pC,GAE7B,MAAO,CACLiI,OAAS,sBAFAkiC,EAAME,GAAWF,EAAKC,GAAS,aAED,IACvChgC,gBAAiBggC,EAAMhgC,iBAI3B,SAASigC,GAAY/uB,EAAI8uB,GAKvB,GAJI9uB,EAAG1gB,SACL0gB,EAAGvI,IAAMuI,EAAGvI,KAAOuI,EAAG1gB,OAAOmY,KAG3BuI,EAAGmsB,aAAensB,EAAGgvB,gBACvB,OAAOC,GAAUjvB,EAAI8uB,GAChB,GAAI9uB,EAAG/mB,OAAS+mB,EAAGkvB,cACxB,OAAOC,GAAQnvB,EAAI8uB,GACd,GAAI9uB,EAAGooB,MAAQpoB,EAAGovB,aACvB,OAAOC,GAAOrvB,EAAI8uB,GACb,GAAI9uB,EAAGykB,KAAOzkB,EAAGsvB,YACtB,OAAOC,GAAMvvB,EAAI8uB,GACZ,GAAe,aAAX9uB,EAAGthB,KAAuBshB,EAAGilB,YAAe6J,EAAMr3B,IAEtD,IAAe,SAAXuI,EAAGthB,IACZ,OAubJ,SAAkBshB,EAAI8uB,GACpB,IAAI9F,EAAWhpB,EAAGgpB,UAAY,YAC1BrqC,EAAW6wC,GAAYxvB,EAAI8uB,GAC3B/2C,EAAM,MAAQixC,GAAYrqC,EAAY,IAAMA,EAAY,IACxD8M,EAAQuU,EAAGvU,OAASuU,EAAGoL,aACvBqkB,IAAUzvB,EAAGvU,OAAS,IAAI7H,OAAOoc,EAAGoL,cAAgB,IAAI71B,KAAI,SAAU6tB,GAAQ,MAAO,CAEnFja,KAAM1S,EAAS2sB,EAAKja,MACpBpV,MAAOqvB,EAAKrvB,MACZi3B,QAAS5H,EAAK4H,aAEhB,KACA0kB,EAAU1vB,EAAGsL,SAAS,WACrB7f,IAASikC,GAAa/wC,IACzB5G,GAAO,SAEL0T,IACF1T,GAAO,IAAM0T,GAEXikC,IACF33C,IAAQ0T,EAAQ,GAAK,SAAW,IAAMikC,GAExC,OAAO33C,EAAM,IA7cJ43C,CAAQ3vB,EAAI8uB,GAGnB,IAAI9D,EACJ,GAAIhrB,EAAG9J,UACL80B,EA4cN,SACE4E,EACA5vB,EACA8uB,GAEA,IAAInwC,EAAWqhB,EAAGvN,eAAiB,KAAO+8B,GAAYxvB,EAAI8uB,GAAO,GACjE,MAAQ,MAAQc,EAAgB,IAAOC,GAAU7vB,EAAI8uB,IAAWnwC,EAAY,IAAMA,EAAY,IAAM,IAldzFmxC,CAAa9vB,EAAG9J,UAAW8J,EAAI8uB,OACjC,CACL,IAAI58C,IACC8tB,EAAGkL,OAAUlL,EAAGvI,KAAOq3B,EAAMJ,eAAe1uB,MAC/C9tB,EAAO29C,GAAU7vB,EAAI8uB,IAGvB,IAAInwC,EAAWqhB,EAAGvN,eAAiB,KAAO+8B,GAAYxvB,EAAI8uB,GAAO,GACjE9D,EAAO,OAAUhrB,EAAM,IAAI,KAAO9tB,EAAQ,IAAMA,EAAQ,KAAOyM,EAAY,IAAMA,EAAY,IAAM,IAGrG,IAAK,IAAItL,EAAI,EAAGA,EAAIy7C,EAAM1M,WAAWrvC,OAAQM,IAC3C23C,EAAO8D,EAAM1M,WAAW/uC,GAAG2sB,EAAIgrB,GAEjC,OAAOA,EArBP,OAAOwE,GAAYxvB,EAAI8uB,IAAU,SA0BrC,SAASG,GAAWjvB,EAAI8uB,GACtB9uB,EAAGgvB,iBAAkB,EAIrB,IAAIe,EAAmBjB,EAAMr3B,IAM7B,OALIuI,EAAGvI,MACLq3B,EAAMr3B,IAAMuI,EAAGvI,KAEjBq3B,EAAMhgC,gBAAgBhR,KAAM,qBAAwBixC,GAAW/uB,EAAI8uB,GAAU,KAC7EA,EAAMr3B,IAAMs4B,EACJ,OAASjB,EAAMhgC,gBAAgB/b,OAAS,IAAMitB,EAAGksB,YAAc,QAAU,IAAM,IAIzF,SAASiD,GAASnvB,EAAI8uB,GAEpB,GADA9uB,EAAGkvB,eAAgB,EACflvB,EAAGykB,KAAOzkB,EAAGsvB,YACf,OAAOC,GAAMvvB,EAAI8uB,GACZ,GAAI9uB,EAAGksB,YAAa,CAGzB,IAFA,IAAI91C,EAAM,GACNkJ,EAAS0gB,EAAG1gB,OACTA,GAAQ,CACb,GAAIA,EAAO8oC,IAAK,CACdhyC,EAAMkJ,EAAOlJ,IACb,MAEFkJ,EAASA,EAAOA,OAElB,OAAKlJ,EAOG,MAAS24C,GAAW/uB,EAAI8uB,GAAU,IAAOA,EAAMH,SAAY,IAAMv4C,EAAM,IAFtE24C,GAAW/uB,EAAI8uB,GAIxB,OAAOG,GAAUjvB,EAAI8uB,GAIzB,SAASS,GACPvvB,EACA8uB,EACAkB,EACAC,GAGA,OADAjwB,EAAGsvB,aAAc,EAInB,SAASY,EACPC,EACArB,EACAkB,EACAC,GAEA,IAAKE,EAAWp9C,OACd,OAAOk9C,GAAY,OAGrB,IAAIlG,EAAYoG,EAAWllC,QAC3B,OAAI8+B,EAAUpgB,IACJ,IAAOogB,EAAa,IAAI,KAAQqG,EAAcrG,EAAUlF,OAAU,IAAOqL,EAAgBC,EAAYrB,EAAOkB,EAAQC,GAEpH,GAAMG,EAAcrG,EAAUlF,OAIxC,SAASuL,EAAepwB,GACtB,OAAOgwB,EACHA,EAAOhwB,EAAI8uB,GACX9uB,EAAG/mB,KACDk2C,GAAQnvB,EAAI8uB,GACZC,GAAW/uB,EAAI8uB,IA1BhBoB,CAAgBlwB,EAAGgqB,aAAal3C,QAASg8C,EAAOkB,EAAQC,GA8BjE,SAASZ,GACPrvB,EACA8uB,EACAkB,EACAK,GAEA,IAAI1mB,EAAM3J,EAAGooB,IACTsB,EAAQ1pB,EAAG0pB,MACXE,EAAY5pB,EAAG4pB,UAAa,IAAO5pB,EAAY,UAAK,GACpD6pB,EAAY7pB,EAAG6pB,UAAa,IAAO7pB,EAAY,UAAK,GAkBxD,OADAA,EAAGovB,cAAe,GACViB,GAAa,MAAQ,KAAO1mB,EAA7B,cACS+f,EAAQE,EAAYC,EAD7B,aAEWmG,GAAUjB,IAAY/uB,EAAI8uB,GAC1C,KAGJ,SAASe,GAAW7vB,EAAI8uB,GACtB,IAAI58C,EAAO,IAIP4S,EA+EN,SAAwBkb,EAAI8uB,GAC1B,IAAIhqC,EAAOkb,EAAGjb,WACd,IAAKD,EAAQ,OACb,IAEIzR,EAAGiE,EAAGwvB,EAAKwpB,EAFXv4C,EAAM,eACNw4C,GAAa,EAEjB,IAAKl9C,EAAI,EAAGiE,EAAIwN,EAAK/R,OAAQM,EAAIiE,EAAGjE,IAAK,CACvCyzB,EAAMhiB,EAAKzR,GACXi9C,GAAc,EACd,IAAIE,EAAM1B,EAAM/pC,WAAW+hB,EAAI3d,MAC3BqnC,IAGFF,IAAgBE,EAAIxwB,EAAI8G,EAAKgoB,EAAMtxC,OAEjC8yC,IACFC,GAAa,EACbx4C,GAAO,UAAc+uB,EAAQ,KAAI,cAAmBA,EAAW,QAAI,KAAQA,EAAI/yB,MAAS,WAAc+yB,EAAS,MAAI,gBAAmB9xB,KAAKC,UAAU6xB,EAAI/yB,OAAW,KAAO+yB,EAAIS,IAAO,SAAWT,EAAI2E,aAAe3E,EAAIS,IAAO,IAAQT,EAAO,IAAI,KAAU,KAAOA,EAAIc,UAAa,cAAiB5yB,KAAKC,UAAU6xB,EAAIc,WAAe,IAAM,MAGjV,GAAI2oB,EACF,OAAOx4C,EAAIjF,MAAM,GAAI,GAAK,IApGjB29C,CAAczwB,EAAI8uB,GACzBhqC,IAAQ5S,GAAQ4S,EAAO,KAGvBkb,EAAG5pB,MACLlE,GAAQ,OAAU8tB,EAAM,IAAI,KAG1BA,EAAGtwB,MACLwC,GAAQ,OAAU8tB,EAAM,IAAI,KAE1BA,EAAGmG,WACLj0B,GAAQ,kBAGN8tB,EAAGvI,MACLvlB,GAAQ,aAGN8tB,EAAG9J,YACLhkB,GAAQ,QAAY8tB,EAAM,IAAI,MAGhC,IAAK,IAAI3sB,EAAI,EAAGA,EAAIy7C,EAAML,WAAW17C,OAAQM,IAC3CnB,GAAQ48C,EAAML,WAAWp7C,GAAG2sB,GA+B9B,GA5BIA,EAAGvU,QACLvZ,GAAQ,SAAYu9C,GAASzvB,EAAGvU,OAAU,KAGxCuU,EAAG7b,QACLjS,GAAQ,YAAeu9C,GAASzvB,EAAG7b,OAAU,KAG3C6b,EAAG6L,SACL35B,GAASk7C,GAAYptB,EAAG6L,QAAQ,GAAU,KAExC7L,EAAGiM,eACL/5B,GAASk7C,GAAYptB,EAAGiM,cAAc,GAAS,KAI7CjM,EAAGilB,aAAejlB,EAAGglB,YACvB9yC,GAAQ,QAAW8tB,EAAa,WAAI,KAGlCA,EAAGxO,cACLtf,GAwEJ,SACE8tB,EACAxU,EACAsjC,GAMA,IAAI37B,EAAmB6M,EAAGooB,KAAOl3C,OAAO4H,KAAK0S,GAAO+vB,MAAK,SAAUnlC,GACjE,IAAIsV,EAAOF,EAAMpV,GACjB,OACEsV,EAAK68B,mBACL78B,EAAK+4B,IACL/4B,EAAK08B,KACLsI,GAAkBhlC,MAQlBilC,IAAa3wB,EAAGykB,GAOpB,IAAKtxB,EAEH,IADA,IAAI7T,EAAS0gB,EAAG1gB,OACTA,GAAQ,CACb,GACGA,EAAO0lC,WApqDU,YAoqDG1lC,EAAO0lC,WAC5B1lC,EAAO8oC,IACP,CACAj1B,GAAmB,EACnB,MAEE7T,EAAOmlC,KACTkM,GAAW,GAEbrxC,EAASA,EAAOA,OAIpB,IAAIsxC,EAAiB1/C,OAAO4H,KAAK0S,GAC9BjW,KAAI,SAAUa,GAAO,OAAOy6C,GAAcrlC,EAAMpV,GAAM04C,MACtD/mB,KAAK,KAER,MAAQ,mBAAqB6oB,EAAiB,KAAOz9B,EAAmB,aAAe,MAAQA,GAAoBw9B,EAAY,eAGjI,SAAct7C,GACZ,IAAImV,EAAO,KACPnX,EAAIgC,EAAItC,OACZ,KAAMM,GACJmX,EAAe,GAAPA,EAAanV,EAAIoF,aAAapH,GAExC,OAAOmX,IAAS,EATgI,CAAMomC,GAAoB,IAAM,IA3HtK,CAAgB5wB,EAAIA,EAAGxO,YAAas9B,GAAU,KAGpD9uB,EAAGzJ,QACLrkB,GAAQ,gBAAmB8tB,EAAGzJ,MAAW,MAAI,aAAgByJ,EAAGzJ,MAAc,SAAI,eAAkByJ,EAAGzJ,MAAgB,WAAI,MAGzHyJ,EAAGvN,eAAgB,CACrB,IAAIA,EAgDR,SAA4BuN,EAAI8uB,GAC9B,IAAID,EAAM7uB,EAAGrhB,SAAS,GAClB,EAQJ,GAAIkwC,GAAoB,IAAbA,EAAI5qC,KAAY,CACzB,IAAI6sC,EAAkBlC,GAASC,EAAKC,EAAMpqC,SAC1C,MAAQ,qCAAwCosC,EAAsB,OAAI,sBAAyBA,EAAgBhiC,gBAAgBvZ,KAAI,SAAUy1C,GAAQ,MAAQ,cAAgBA,EAAO,OAASjjB,KAAK,KAAQ,MA5DzLgpB,CAAkB/wB,EAAI8uB,GACvCr8B,IACFvgB,GAAQugB,EAAiB,KAkB7B,OAfAvgB,EAAOA,EAAKwE,QAAQ,KAAM,IAAM,IAI5BspB,EAAGoL,eACLl5B,EAAO,MAAQA,EAAO,KAAS8tB,EAAM,IAAI,KAASyvB,GAASzvB,EAAGoL,cAAiB,KAG7EpL,EAAGsuB,WACLp8C,EAAO8tB,EAAGsuB,SAASp8C,IAGjB8tB,EAAGquB,gBACLn8C,EAAO8tB,EAAGquB,cAAcn8C,IAEnBA,EA2GT,SAASw+C,GAAmB1wB,GAC1B,OAAgB,IAAZA,EAAG/b,OACU,SAAX+b,EAAGthB,KAGAshB,EAAGrhB,SAAS48B,KAAKmV,KAK5B,SAASG,GACP7wB,EACA8uB,GAEA,IAAIkC,EAAiBhxB,EAAGsL,SAAS,cACjC,GAAItL,EAAGykB,KAAOzkB,EAAGsvB,cAAgB0B,EAC/B,OAAOzB,GAAMvvB,EAAI8uB,EAAO+B,GAAe,QAEzC,GAAI7wB,EAAGooB,MAAQpoB,EAAGovB,aAChB,OAAOC,GAAOrvB,EAAI8uB,EAAO+B,IAE3B,IAAI7L,EAttDoB,YAstDRhlB,EAAGglB,UACf,GACAvwC,OAAOurB,EAAGglB,WACV1uC,EAAK,YAAc0uC,EAAd,aACiB,aAAXhlB,EAAGthB,IACZshB,EAAGykB,IAAMuM,EACN,IAAOhxB,EAAK,GAAI,MAAQwvB,GAAYxvB,EAAI8uB,IAAU,aAAe,aAClEU,GAAYxvB,EAAI8uB,IAAU,YAC5BC,GAAW/uB,EAAI8uB,IAAU,IAE3BmC,EAAejM,EAAY,GAAK,cACpC,MAAQ,SAAWhlB,EAAGilB,YAAc,aAAiB,OAAS3uC,EAAK26C,EAAe,IAGpF,SAASzB,GACPxvB,EACA8uB,EACAoC,EACAC,EACAC,GAEA,IAAIzyC,EAAWqhB,EAAGrhB,SAClB,GAAIA,EAAS5L,OAAQ,CACnB,IAAIs+C,EAAO1yC,EAAS,GAEpB,GAAwB,IAApBA,EAAS5L,QACXs+C,EAAKjJ,KACQ,aAAbiJ,EAAK3yC,KACQ,SAAb2yC,EAAK3yC,IACL,CACA,IAAI2Y,EAAoB65B,EACpBpC,EAAMJ,eAAe2C,GAAQ,KAAO,KACpC,GACJ,MAAQ,IAAOF,GAAiBpC,IAAYsC,EAAMvC,GAAUz3B,EAE9D,IAAIi6B,EAAsBJ,EAY9B,SACEvyC,EACA+vC,GAGA,IADA,IAAI32C,EAAM,EACD1E,EAAI,EAAGA,EAAIsL,EAAS5L,OAAQM,IAAK,CACxC,IAAI2sB,EAAKrhB,EAAStL,GAClB,GAAgB,IAAZ2sB,EAAG/b,KAAP,CAGA,GAAIstC,GAAmBvxB,IAClBA,EAAGgqB,cAAgBhqB,EAAGgqB,aAAazO,MAAK,SAAU3kC,GAAK,OAAO26C,GAAmB36C,EAAEiuC,UAAa,CACnG9sC,EAAM,EACN,OAEE22C,EAAe1uB,IACdA,EAAGgqB,cAAgBhqB,EAAGgqB,aAAazO,MAAK,SAAU3kC,GAAK,OAAO83C,EAAe93C,EAAEiuC,aAClF9sC,EAAM,IAGV,OAAOA,EA/BDy5C,CAAqB7yC,EAAUmwC,EAAMJ,gBACrC,EACA8B,EAAMY,GAAcK,GACxB,MAAQ,IAAO9yC,EAASpJ,KAAI,SAAUqB,GAAK,OAAO45C,EAAI55C,EAAGk4C,MAAW/mB,KAAK,KAAQ,KAAOupB,EAAuB,IAAMA,EAAuB,KA+BhJ,SAASC,GAAoBvxB,GAC3B,YAAkBxjB,IAAXwjB,EAAGooB,KAAgC,aAAXpoB,EAAGthB,KAAiC,SAAXshB,EAAGthB,IAG7D,SAAS+yC,GAAStxC,EAAM2uC,GACtB,OAAkB,IAAd3uC,EAAK8D,KACA8qC,GAAW5uC,EAAM2uC,GACD,IAAd3uC,EAAK8D,MAAc9D,EAAKT,UAarC,SAAqB6hC,GACnB,MAAQ,MAASvsC,KAAKC,UAAUssC,EAAQ3iC,MAAS,IAbxC8yC,CAAWvxC,GAMtB,SAAkBvB,GAChB,MAAQ,OAAuB,IAAdA,EAAKqF,KAClBrF,EAAKkc,WACL62B,GAAyB38C,KAAKC,UAAU2J,EAAKA,QAAU,IAPlDgzC,CAAQzxC,GAiDnB,SAASsvC,GAAUtrC,GAGjB,IAFA,IAAI0tC,EAAc,GACdC,EAAe,GACVz+C,EAAI,EAAGA,EAAI8Q,EAAMpR,OAAQM,IAAK,CACrC,IAAI0S,EAAO5B,EAAM9Q,GACbU,EAAQ49C,GAAyB5rC,EAAKhS,OACtCgS,EAAKilB,QACP8mB,GAAiB/rC,EAAS,KAAI,IAAMhS,EAAQ,IAE5C89C,GAAe,IAAQ9rC,EAAS,KAAI,KAAQhS,EAAQ,IAIxD,OADA89C,EAAc,IAAOA,EAAY/+C,MAAM,GAAI,GAAM,IAC7Cg/C,EACM,MAAQD,EAAc,KAAQC,EAAah/C,MAAM,GAAI,GAAM,KAE5D++C,EAKX,SAASF,GAA0B/yC,GACjC,OAAOA,EACJlI,QAAQ,UAAW,WACnBA,QAAQ,UAAW,WASE,IAAIsE,OAAO,MAAQ,iMAI3CtF,MAAM,KAAKqyB,KAAK,WAAa,OAGR,IAAI/sB,OAAO,MAAQ,qBAExCtF,MAAM,KAAKqyB,KAAK,yBAA2B,qBA0K7C,SAASgqB,GAAgB/G,EAAMgH,GAC7B,IACE,OAAO,IAAIzjD,SAASy8C,GACpB,MAAOlkC,GAEP,OADAkrC,EAAOl0C,KAAK,CAAEgJ,IAAKA,EAAKkkC,KAAMA,IACvBhzC,GAIX,SAASi6C,GAA2BC,GAClC,IAAI37C,EAAQrF,OAAOsE,OAAO,MAE1B,OAAO,SACLmuC,EACAj/B,EACAlB,IAEAkB,EAAU/M,EAAO,GAAI+M,IACClH,YACfkH,EAAQlH,KAqBf,IAAIpH,EAAMsO,EAAQu7B,WACdxrC,OAAOiQ,EAAQu7B,YAAc0D,EAC7BA,EACJ,GAAIptC,EAAMH,GACR,OAAOG,EAAMH,GAIf,IAAI+7C,EAAWD,EAAQvO,EAAUj/B,GA+BjC,IAAI3M,EAAM,GACNq6C,EAAc,GAyBlB,OAxBAr6C,EAAI4U,OAASolC,GAAeI,EAASxlC,OAAQylC,GAC7Cr6C,EAAI+W,gBAAkBqjC,EAASrjC,gBAAgBvZ,KAAI,SAAUy1C,GAC3D,OAAO+G,GAAe/G,EAAMoH,MAsBtB77C,EAAMH,GAAO2B,GAiFzB,IA3EgCs6C,GAoG5BC,GAPA1J,IA7F4ByJ,GA2EW,SACzC1O,EACAj/B,GAEA,IAAImqC,EAAMnL,GAAMC,EAASrZ,OAAQ5lB,IACR,IAArBA,EAAQknC,UACVA,GAASiD,EAAKnqC,GAEhB,IAAIsmC,EAAO4D,GAASC,EAAKnqC,GACzB,MAAO,CACLmqC,IAAKA,EACLliC,OAAQq+B,EAAKr+B,OACbmC,gBAAiBk8B,EAAKl8B,kBAtFjB,SAAyBg8B,GAC9B,SAASoH,EACPvO,EACAj/B,GAEA,IAAI6tC,EAAerhD,OAAOsE,OAAOs1C,GAC7BkH,EAAS,GACTQ,EAAO,GAMX,GAAI9tC,EA+BF,IAAK,IAAItO,KAZLsO,EAAQmmB,UACV0nB,EAAa1nB,SACVigB,EAAYjgB,SAAW,IAAIjnB,OAAOc,EAAQmmB,UAG3CnmB,EAAQK,aACVwtC,EAAaxtC,WAAapN,EACxBzG,OAAOsE,OAAOs1C,EAAY/lC,YAAc,MACxCL,EAAQK,aAIIL,EACF,YAARtO,GAA6B,eAARA,IACvBm8C,EAAan8C,GAAOsO,EAAQtO,IAKlCm8C,EAAa/0C,KA1CF,SAAUktB,EAAKC,EAAO8nB,IAC9BA,EAAMD,EAAOR,GAAQl0C,KAAK4sB,IA2C7B,IAAIynB,EAAWE,GAAY1O,EAASrZ,OAAQioB,GAM5C,OAFAJ,EAASH,OAASA,EAClBG,EAASK,KAAOA,EACTL,EAGT,MAAO,CACLD,QAASA,EACTQ,mBAAoBT,GAA0BC,MA4BzBpH,IAEvB4H,IADU9J,GAAMsJ,QACKtJ,GAAM8J,oBAM/B,SAASC,GAAiBC,GAGxB,OAFAN,GAAMA,IAAOthD,SAASoB,cAAc,QAChCu8B,UAAYikB,EAAO,iBAAqB,gBACrCN,GAAI3jB,UAAU97B,QAAQ,SAAW,EAI1C,IAAIovC,KAAuB9mC,GAAYw3C,IAAgB,GAEnD9L,KAA8B1rC,GAAYw3C,IAAgB,GAI1DE,GAAex8C,GAAO,SAAU3H,GAClC,IAAIsxB,EAAK8E,GAAMp2B,GACf,OAAOsxB,GAAMA,EAAG2O,aAGdmkB,GAAQp1B,GAAIlvB,UAAUokB,OAC1B8K,GAAIlvB,UAAUokB,OAAS,SACrBoN,EACA9N,GAKA,IAHA8N,EAAKA,GAAM8E,GAAM9E,MAGNhvB,SAASquC,MAAQrf,IAAOhvB,SAASqB,gBAI1C,OAAOzD,KAGT,IAAI8V,EAAU9V,KAAK0X,SAEnB,IAAK5B,EAAQiI,OAAQ,CACnB,IAAIg3B,EAAWj/B,EAAQi/B,SACvB,GAAIA,EACF,GAAwB,iBAAbA,EACkB,MAAvBA,EAAS5sC,OAAO,KAClB4sC,EAAWkP,GAAalP,QASrB,KAAIA,EAASrP,SAMlB,OAAO1lC,KALP+0C,EAAWA,EAAShV,eAOb3O,IACT2jB,EAkCN,SAAuB3jB,GACrB,GAAIA,EAAG+yB,UACL,OAAO/yB,EAAG+yB,UAEV,IAAIC,EAAYhiD,SAASoB,cAAc,OAEvC,OADA4gD,EAAUvgD,YAAYutB,EAAGyf,WAAU,IAC5BuT,EAAUrkB,UAxCJskB,CAAajzB,IAE1B,GAAI2jB,EAAU,CAER,EAIJ,IAAIj0C,EAAMgjD,GAAmB/O,EAAU,CACrCuD,mBAAmB,EACnBjF,qBAAsBA,GACtB4E,4BAA6BA,GAC7B5G,WAAYv7B,EAAQu7B,WACpBgH,SAAUviC,EAAQuiC,UACjBr4C,MACC+d,EAASjd,EAAIid,OACbmC,EAAkBpf,EAAIof,gBAC1BpK,EAAQiI,OAASA,EACjBjI,EAAQoK,gBAAkBA,GAS9B,OAAOgkC,GAAM7jD,KAAKL,KAAMoxB,EAAI9N,IAiB9BwL,GAAIw0B,QAAUQ,GAEC,c","file":"vendor.js?v=ed141b91c3a00e287c4c","sourcesContent":["var scope = (typeof global !== \"undefined\" && global) ||\n (typeof self !== \"undefined\" && self) ||\n window;\nvar apply = Function.prototype.apply;\n\n// DOM APIs, for completeness\n\nexports.setTimeout = function() {\n return new Timeout(apply.call(setTimeout, scope, arguments), clearTimeout);\n};\nexports.setInterval = function() {\n return new Timeout(apply.call(setInterval, scope, arguments), clearInterval);\n};\nexports.clearTimeout =\nexports.clearInterval = function(timeout) {\n if (timeout) {\n timeout.close();\n }\n};\n\nfunction Timeout(id, clearFn) {\n this._id = id;\n this._clearFn = clearFn;\n}\nTimeout.prototype.unref = Timeout.prototype.ref = function() {};\nTimeout.prototype.close = function() {\n this._clearFn.call(scope, this._id);\n};\n\n// Does not start the time, just sets up the members needed.\nexports.enroll = function(item, msecs) {\n clearTimeout(item._idleTimeoutId);\n item._idleTimeout = msecs;\n};\n\nexports.unenroll = function(item) {\n clearTimeout(item._idleTimeoutId);\n item._idleTimeout = -1;\n};\n\nexports._unrefActive = exports.active = function(item) {\n clearTimeout(item._idleTimeoutId);\n\n var msecs = item._idleTimeout;\n if (msecs >= 0) {\n item._idleTimeoutId = setTimeout(function onTimeout() {\n if (item._onTimeout)\n item._onTimeout();\n }, msecs);\n }\n};\n\n// setimmediate attaches itself to the global object\nrequire(\"setimmediate\");\n// On some exotic environments, it's not clear which object `setimmediate` was\n// able to install onto. Search each possibility in the same order as the\n// `setimmediate` library.\nexports.setImmediate = (typeof self !== \"undefined\" && self.setImmediate) ||\n (typeof global !== \"undefined\" && global.setImmediate) ||\n (this && this.setImmediate);\nexports.clearImmediate = (typeof self !== \"undefined\" && self.clearImmediate) ||\n (typeof global !== \"undefined\" && global.clearImmediate) ||\n (this && this.clearImmediate);\n","(function (global, undefined) {\n \"use strict\";\n\n if (global.setImmediate) {\n return;\n }\n\n var nextHandle = 1; // Spec says greater than zero\n var tasksByHandle = {};\n var currentlyRunningATask = false;\n var doc = global.document;\n var registerImmediate;\n\n function setImmediate(callback) {\n // Callback can either be a function or a string\n if (typeof callback !== \"function\") {\n callback = new Function(\"\" + callback);\n }\n // Copy function arguments\n var args = new Array(arguments.length - 1);\n for (var i = 0; i < args.length; i++) {\n args[i] = arguments[i + 1];\n }\n // Store and register the task\n var task = { callback: callback, args: args };\n tasksByHandle[nextHandle] = task;\n registerImmediate(nextHandle);\n return nextHandle++;\n }\n\n function clearImmediate(handle) {\n delete tasksByHandle[handle];\n }\n\n function run(task) {\n var callback = task.callback;\n var args = task.args;\n switch (args.length) {\n case 0:\n callback();\n break;\n case 1:\n callback(args[0]);\n break;\n case 2:\n callback(args[0], args[1]);\n break;\n case 3:\n callback(args[0], args[1], args[2]);\n break;\n default:\n callback.apply(undefined, args);\n break;\n }\n }\n\n function runIfPresent(handle) {\n // From the spec: \"Wait until any invocations of this algorithm started before this one have completed.\"\n // So if we're currently running a task, we'll need to delay this invocation.\n if (currentlyRunningATask) {\n // Delay by doing a setTimeout. setImmediate was tried instead, but in Firefox 7 it generated a\n // \"too much recursion\" error.\n setTimeout(runIfPresent, 0, handle);\n } else {\n var task = tasksByHandle[handle];\n if (task) {\n currentlyRunningATask = true;\n try {\n run(task);\n } finally {\n clearImmediate(handle);\n currentlyRunningATask = false;\n }\n }\n }\n }\n\n function installNextTickImplementation() {\n registerImmediate = function(handle) {\n process.nextTick(function () { runIfPresent(handle); });\n };\n }\n\n function canUsePostMessage() {\n // The test against `importScripts` prevents this implementation from being installed inside a web worker,\n // where `global.postMessage` means something completely different and can't be used for this purpose.\n if (global.postMessage && !global.importScripts) {\n var postMessageIsAsynchronous = true;\n var oldOnMessage = global.onmessage;\n global.onmessage = function() {\n postMessageIsAsynchronous = false;\n };\n global.postMessage(\"\", \"*\");\n global.onmessage = oldOnMessage;\n return postMessageIsAsynchronous;\n }\n }\n\n function installPostMessageImplementation() {\n // Installs an event handler on `global` for the `message` event: see\n // * https://developer.mozilla.org/en/DOM/window.postMessage\n // * http://www.whatwg.org/specs/web-apps/current-work/multipage/comms.html#crossDocumentMessages\n\n var messagePrefix = \"setImmediate$\" + Math.random() + \"$\";\n var onGlobalMessage = function(event) {\n if (event.source === global &&\n typeof event.data === \"string\" &&\n event.data.indexOf(messagePrefix) === 0) {\n runIfPresent(+event.data.slice(messagePrefix.length));\n }\n };\n\n if (global.addEventListener) {\n global.addEventListener(\"message\", onGlobalMessage, false);\n } else {\n global.attachEvent(\"onmessage\", onGlobalMessage);\n }\n\n registerImmediate = function(handle) {\n global.postMessage(messagePrefix + handle, \"*\");\n };\n }\n\n function installMessageChannelImplementation() {\n var channel = new MessageChannel();\n channel.port1.onmessage = function(event) {\n var handle = event.data;\n runIfPresent(handle);\n };\n\n registerImmediate = function(handle) {\n channel.port2.postMessage(handle);\n };\n }\n\n function installReadyStateChangeImplementation() {\n var html = doc.documentElement;\n registerImmediate = function(handle) {\n // Create a <script> element; its readystatechange event will be fired asynchronously once it is inserted\n // into the document. Do so, thus queuing up the task. Remember to clean up once it's been called.\n var script = doc.createElement(\"script\");\n script.onreadystatechange = function () {\n runIfPresent(handle);\n script.onreadystatechange = null;\n html.removeChild(script);\n script = null;\n };\n html.appendChild(script);\n };\n }\n\n function installSetTimeoutImplementation() {\n registerImmediate = function(handle) {\n setTimeout(runIfPresent, 0, handle);\n };\n }\n\n // If supported, we should attach to the prototype of global, since that is where setTimeout et al. live.\n var attachTo = Object.getPrototypeOf && Object.getPrototypeOf(global);\n attachTo = attachTo && attachTo.setTimeout ? attachTo : global;\n\n // Don't get fooled by e.g. browserify environments.\n if ({}.toString.call(global.process) === \"[object process]\") {\n // For Node.js before 0.9\n installNextTickImplementation();\n\n } else if (canUsePostMessage()) {\n // For non-IE10 modern browsers\n installPostMessageImplementation();\n\n } else if (global.MessageChannel) {\n // For web workers, where supported\n installMessageChannelImplementation();\n\n } else if (doc && \"onreadystatechange\" in doc.createElement(\"script\")) {\n // For IE 6–8\n installReadyStateChangeImplementation();\n\n } else {\n // For older browsers\n installSetTimeoutImplementation();\n }\n\n attachTo.setImmediate = setImmediate;\n attachTo.clearImmediate = clearImmediate;\n}(typeof self === \"undefined\" ? typeof global === \"undefined\" ? this : global : self));\n","/*!\n * Vue.js v2.6.11\n * (c) 2014-2019 Evan You\n * Released under the MIT License.\n */\n/* */\n\nvar emptyObject = Object.freeze({});\n\n// These helpers produce better VM code in JS engines due to their\n// explicitness and function inlining.\nfunction isUndef (v) {\n return v === undefined || v === null\n}\n\nfunction isDef (v) {\n return v !== undefined && v !== null\n}\n\nfunction isTrue (v) {\n return v === true\n}\n\nfunction isFalse (v) {\n return v === false\n}\n\n/**\n * Check if value is primitive.\n */\nfunction isPrimitive (value) {\n return (\n typeof value === 'string' ||\n typeof value === 'number' ||\n // $flow-disable-line\n typeof value === 'symbol' ||\n typeof value === 'boolean'\n )\n}\n\n/**\n * Quick object check - this is primarily used to tell\n * Objects from primitive values when we know the value\n * is a JSON-compliant type.\n */\nfunction isObject (obj) {\n return obj !== null && typeof obj === 'object'\n}\n\n/**\n * Get the raw type string of a value, e.g., [object Object].\n */\nvar _toString = Object.prototype.toString;\n\nfunction toRawType (value) {\n return _toString.call(value).slice(8, -1)\n}\n\n/**\n * Strict object type check. Only returns true\n * for plain JavaScript objects.\n */\nfunction isPlainObject (obj) {\n return _toString.call(obj) === '[object Object]'\n}\n\nfunction isRegExp (v) {\n return _toString.call(v) === '[object RegExp]'\n}\n\n/**\n * Check if val is a valid array index.\n */\nfunction isValidArrayIndex (val) {\n var n = parseFloat(String(val));\n return n >= 0 && Math.floor(n) === n && isFinite(val)\n}\n\nfunction isPromise (val) {\n return (\n isDef(val) &&\n typeof val.then === 'function' &&\n typeof val.catch === 'function'\n )\n}\n\n/**\n * Convert a value to a string that is actually rendered.\n */\nfunction toString (val) {\n return val == null\n ? ''\n : Array.isArray(val) || (isPlainObject(val) && val.toString === _toString)\n ? JSON.stringify(val, null, 2)\n : String(val)\n}\n\n/**\n * Convert an input value to a number for persistence.\n * If the conversion fails, return original string.\n */\nfunction toNumber (val) {\n var n = parseFloat(val);\n return isNaN(n) ? val : n\n}\n\n/**\n * Make a map and return a function for checking if a key\n * is in that map.\n */\nfunction makeMap (\n str,\n expectsLowerCase\n) {\n var map = Object.create(null);\n var list = str.split(',');\n for (var i = 0; i < list.length; i++) {\n map[list[i]] = true;\n }\n return expectsLowerCase\n ? function (val) { return map[val.toLowerCase()]; }\n : function (val) { return map[val]; }\n}\n\n/**\n * Check if a tag is a built-in tag.\n */\nvar isBuiltInTag = makeMap('slot,component', true);\n\n/**\n * Check if an attribute is a reserved attribute.\n */\nvar isReservedAttribute = makeMap('key,ref,slot,slot-scope,is');\n\n/**\n * Remove an item from an array.\n */\nfunction remove (arr, item) {\n if (arr.length) {\n var index = arr.indexOf(item);\n if (index > -1) {\n return arr.splice(index, 1)\n }\n }\n}\n\n/**\n * Check whether an object has the property.\n */\nvar hasOwnProperty = Object.prototype.hasOwnProperty;\nfunction hasOwn (obj, key) {\n return hasOwnProperty.call(obj, key)\n}\n\n/**\n * Create a cached version of a pure function.\n */\nfunction cached (fn) {\n var cache = Object.create(null);\n return (function cachedFn (str) {\n var hit = cache[str];\n return hit || (cache[str] = fn(str))\n })\n}\n\n/**\n * Camelize a hyphen-delimited string.\n */\nvar camelizeRE = /-(\\w)/g;\nvar camelize = cached(function (str) {\n return str.replace(camelizeRE, function (_, c) { return c ? c.toUpperCase() : ''; })\n});\n\n/**\n * Capitalize a string.\n */\nvar capitalize = cached(function (str) {\n return str.charAt(0).toUpperCase() + str.slice(1)\n});\n\n/**\n * Hyphenate a camelCase string.\n */\nvar hyphenateRE = /\\B([A-Z])/g;\nvar hyphenate = cached(function (str) {\n return str.replace(hyphenateRE, '-$1').toLowerCase()\n});\n\n/**\n * Simple bind polyfill for environments that do not support it,\n * e.g., PhantomJS 1.x. Technically, we don't need this anymore\n * since native bind is now performant enough in most browsers.\n * But removing it would mean breaking code that was able to run in\n * PhantomJS 1.x, so this must be kept for backward compatibility.\n */\n\n/* istanbul ignore next */\nfunction polyfillBind (fn, ctx) {\n function boundFn (a) {\n var l = arguments.length;\n return l\n ? l > 1\n ? fn.apply(ctx, arguments)\n : fn.call(ctx, a)\n : fn.call(ctx)\n }\n\n boundFn._length = fn.length;\n return boundFn\n}\n\nfunction nativeBind (fn, ctx) {\n return fn.bind(ctx)\n}\n\nvar bind = Function.prototype.bind\n ? nativeBind\n : polyfillBind;\n\n/**\n * Convert an Array-like object to a real Array.\n */\nfunction toArray (list, start) {\n start = start || 0;\n var i = list.length - start;\n var ret = new Array(i);\n while (i--) {\n ret[i] = list[i + start];\n }\n return ret\n}\n\n/**\n * Mix properties into target object.\n */\nfunction extend (to, _from) {\n for (var key in _from) {\n to[key] = _from[key];\n }\n return to\n}\n\n/**\n * Merge an Array of Objects into a single Object.\n */\nfunction toObject (arr) {\n var res = {};\n for (var i = 0; i < arr.length; i++) {\n if (arr[i]) {\n extend(res, arr[i]);\n }\n }\n return res\n}\n\n/* eslint-disable no-unused-vars */\n\n/**\n * Perform no operation.\n * Stubbing args to make Flow happy without leaving useless transpiled code\n * with ...rest (https://flow.org/blog/2017/05/07/Strict-Function-Call-Arity/).\n */\nfunction noop (a, b, c) {}\n\n/**\n * Always return false.\n */\nvar no = function (a, b, c) { return false; };\n\n/* eslint-enable no-unused-vars */\n\n/**\n * Return the same value.\n */\nvar identity = function (_) { return _; };\n\n/**\n * Generate a string containing static keys from compiler modules.\n */\nfunction genStaticKeys (modules) {\n return modules.reduce(function (keys, m) {\n return keys.concat(m.staticKeys || [])\n }, []).join(',')\n}\n\n/**\n * Check if two values are loosely equal - that is,\n * if they are plain objects, do they have the same shape?\n */\nfunction looseEqual (a, b) {\n if (a === b) { return true }\n var isObjectA = isObject(a);\n var isObjectB = isObject(b);\n if (isObjectA && isObjectB) {\n try {\n var isArrayA = Array.isArray(a);\n var isArrayB = Array.isArray(b);\n if (isArrayA && isArrayB) {\n return a.length === b.length && a.every(function (e, i) {\n return looseEqual(e, b[i])\n })\n } else if (a instanceof Date && b instanceof Date) {\n return a.getTime() === b.getTime()\n } else if (!isArrayA && !isArrayB) {\n var keysA = Object.keys(a);\n var keysB = Object.keys(b);\n return keysA.length === keysB.length && keysA.every(function (key) {\n return looseEqual(a[key], b[key])\n })\n } else {\n /* istanbul ignore next */\n return false\n }\n } catch (e) {\n /* istanbul ignore next */\n return false\n }\n } else if (!isObjectA && !isObjectB) {\n return String(a) === String(b)\n } else {\n return false\n }\n}\n\n/**\n * Return the first index at which a loosely equal value can be\n * found in the array (if value is a plain object, the array must\n * contain an object of the same shape), or -1 if it is not present.\n */\nfunction looseIndexOf (arr, val) {\n for (var i = 0; i < arr.length; i++) {\n if (looseEqual(arr[i], val)) { return i }\n }\n return -1\n}\n\n/**\n * Ensure a function is called only once.\n */\nfunction once (fn) {\n var called = false;\n return function () {\n if (!called) {\n called = true;\n fn.apply(this, arguments);\n }\n }\n}\n\nvar SSR_ATTR = 'data-server-rendered';\n\nvar ASSET_TYPES = [\n 'component',\n 'directive',\n 'filter'\n];\n\nvar LIFECYCLE_HOOKS = [\n 'beforeCreate',\n 'created',\n 'beforeMount',\n 'mounted',\n 'beforeUpdate',\n 'updated',\n 'beforeDestroy',\n 'destroyed',\n 'activated',\n 'deactivated',\n 'errorCaptured',\n 'serverPrefetch'\n];\n\n/* */\n\n\n\nvar config = ({\n /**\n * Option merge strategies (used in core/util/options)\n */\n // $flow-disable-line\n optionMergeStrategies: Object.create(null),\n\n /**\n * Whether to suppress warnings.\n */\n silent: false,\n\n /**\n * Show production mode tip message on boot?\n */\n productionTip: process.env.NODE_ENV !== 'production',\n\n /**\n * Whether to enable devtools\n */\n devtools: process.env.NODE_ENV !== 'production',\n\n /**\n * Whether to record perf\n */\n performance: false,\n\n /**\n * Error handler for watcher errors\n */\n errorHandler: null,\n\n /**\n * Warn handler for watcher warns\n */\n warnHandler: null,\n\n /**\n * Ignore certain custom elements\n */\n ignoredElements: [],\n\n /**\n * Custom user key aliases for v-on\n */\n // $flow-disable-line\n keyCodes: Object.create(null),\n\n /**\n * Check if a tag is reserved so that it cannot be registered as a\n * component. This is platform-dependent and may be overwritten.\n */\n isReservedTag: no,\n\n /**\n * Check if an attribute is reserved so that it cannot be used as a component\n * prop. This is platform-dependent and may be overwritten.\n */\n isReservedAttr: no,\n\n /**\n * Check if a tag is an unknown element.\n * Platform-dependent.\n */\n isUnknownElement: no,\n\n /**\n * Get the namespace of an element\n */\n getTagNamespace: noop,\n\n /**\n * Parse the real tag name for the specific platform.\n */\n parsePlatformTagName: identity,\n\n /**\n * Check if an attribute must be bound using property, e.g. value\n * Platform-dependent.\n */\n mustUseProp: no,\n\n /**\n * Perform updates asynchronously. Intended to be used by Vue Test Utils\n * This will significantly reduce performance if set to false.\n */\n async: true,\n\n /**\n * Exposed for legacy reasons\n */\n _lifecycleHooks: LIFECYCLE_HOOKS\n});\n\n/* */\n\n/**\n * unicode letters used for parsing html tags, component names and property paths.\n * using https://www.w3.org/TR/html53/semantics-scripting.html#potentialcustomelementname\n * skipping \\u10000-\\uEFFFF due to it freezing up PhantomJS\n */\nvar unicodeRegExp = /a-zA-Z\\u00B7\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u203F-\\u2040\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD/;\n\n/**\n * Check if a string starts with $ or _\n */\nfunction isReserved (str) {\n var c = (str + '').charCodeAt(0);\n return c === 0x24 || c === 0x5F\n}\n\n/**\n * Define a property.\n */\nfunction def (obj, key, val, enumerable) {\n Object.defineProperty(obj, key, {\n value: val,\n enumerable: !!enumerable,\n writable: true,\n configurable: true\n });\n}\n\n/**\n * Parse simple path.\n */\nvar bailRE = new RegExp((\"[^\" + (unicodeRegExp.source) + \".$_\\\\d]\"));\nfunction parsePath (path) {\n if (bailRE.test(path)) {\n return\n }\n var segments = path.split('.');\n return function (obj) {\n for (var i = 0; i < segments.length; i++) {\n if (!obj) { return }\n obj = obj[segments[i]];\n }\n return obj\n }\n}\n\n/* */\n\n// can we use __proto__?\nvar hasProto = '__proto__' in {};\n\n// Browser environment sniffing\nvar inBrowser = typeof window !== 'undefined';\nvar inWeex = typeof WXEnvironment !== 'undefined' && !!WXEnvironment.platform;\nvar weexPlatform = inWeex && WXEnvironment.platform.toLowerCase();\nvar UA = inBrowser && window.navigator.userAgent.toLowerCase();\nvar isIE = UA && /msie|trident/.test(UA);\nvar isIE9 = UA && UA.indexOf('msie 9.0') > 0;\nvar isEdge = UA && UA.indexOf('edge/') > 0;\nvar isAndroid = (UA && UA.indexOf('android') > 0) || (weexPlatform === 'android');\nvar isIOS = (UA && /iphone|ipad|ipod|ios/.test(UA)) || (weexPlatform === 'ios');\nvar isChrome = UA && /chrome\\/\\d+/.test(UA) && !isEdge;\nvar isPhantomJS = UA && /phantomjs/.test(UA);\nvar isFF = UA && UA.match(/firefox\\/(\\d+)/);\n\n// Firefox has a \"watch\" function on Object.prototype...\nvar nativeWatch = ({}).watch;\n\nvar supportsPassive = false;\nif (inBrowser) {\n try {\n var opts = {};\n Object.defineProperty(opts, 'passive', ({\n get: function get () {\n /* istanbul ignore next */\n supportsPassive = true;\n }\n })); // https://github.com/facebook/flow/issues/285\n window.addEventListener('test-passive', null, opts);\n } catch (e) {}\n}\n\n// this needs to be lazy-evaled because vue may be required before\n// vue-server-renderer can set VUE_ENV\nvar _isServer;\nvar isServerRendering = function () {\n if (_isServer === undefined) {\n /* istanbul ignore if */\n if (!inBrowser && !inWeex && typeof global !== 'undefined') {\n // detect presence of vue-server-renderer and avoid\n // Webpack shimming the process\n _isServer = global['process'] && global['process'].env.VUE_ENV === 'server';\n } else {\n _isServer = false;\n }\n }\n return _isServer\n};\n\n// detect devtools\nvar devtools = inBrowser && window.__VUE_DEVTOOLS_GLOBAL_HOOK__;\n\n/* istanbul ignore next */\nfunction isNative (Ctor) {\n return typeof Ctor === 'function' && /native code/.test(Ctor.toString())\n}\n\nvar hasSymbol =\n typeof Symbol !== 'undefined' && isNative(Symbol) &&\n typeof Reflect !== 'undefined' && isNative(Reflect.ownKeys);\n\nvar _Set;\n/* istanbul ignore if */ // $flow-disable-line\nif (typeof Set !== 'undefined' && isNative(Set)) {\n // use native Set when available.\n _Set = Set;\n} else {\n // a non-standard Set polyfill that only works with primitive keys.\n _Set = /*@__PURE__*/(function () {\n function Set () {\n this.set = Object.create(null);\n }\n Set.prototype.has = function has (key) {\n return this.set[key] === true\n };\n Set.prototype.add = function add (key) {\n this.set[key] = true;\n };\n Set.prototype.clear = function clear () {\n this.set = Object.create(null);\n };\n\n return Set;\n }());\n}\n\n/* */\n\nvar warn = noop;\nvar tip = noop;\nvar generateComponentTrace = (noop); // work around flow check\nvar formatComponentName = (noop);\n\nif (process.env.NODE_ENV !== 'production') {\n var hasConsole = typeof console !== 'undefined';\n var classifyRE = /(?:^|[-_])(\\w)/g;\n var classify = function (str) { return str\n .replace(classifyRE, function (c) { return c.toUpperCase(); })\n .replace(/[-_]/g, ''); };\n\n warn = function (msg, vm) {\n var trace = vm ? generateComponentTrace(vm) : '';\n\n if (config.warnHandler) {\n config.warnHandler.call(null, msg, vm, trace);\n } else if (hasConsole && (!config.silent)) {\n console.error((\"[Vue warn]: \" + msg + trace));\n }\n };\n\n tip = function (msg, vm) {\n if (hasConsole && (!config.silent)) {\n console.warn(\"[Vue tip]: \" + msg + (\n vm ? generateComponentTrace(vm) : ''\n ));\n }\n };\n\n formatComponentName = function (vm, includeFile) {\n if (vm.$root === vm) {\n return '<Root>'\n }\n var options = typeof vm === 'function' && vm.cid != null\n ? vm.options\n : vm._isVue\n ? vm.$options || vm.constructor.options\n : vm;\n var name = options.name || options._componentTag;\n var file = options.__file;\n if (!name && file) {\n var match = file.match(/([^/\\\\]+)\\.vue$/);\n name = match && match[1];\n }\n\n return (\n (name ? (\"<\" + (classify(name)) + \">\") : \"<Anonymous>\") +\n (file && includeFile !== false ? (\" at \" + file) : '')\n )\n };\n\n var repeat = function (str, n) {\n var res = '';\n while (n) {\n if (n % 2 === 1) { res += str; }\n if (n > 1) { str += str; }\n n >>= 1;\n }\n return res\n };\n\n generateComponentTrace = function (vm) {\n if (vm._isVue && vm.$parent) {\n var tree = [];\n var currentRecursiveSequence = 0;\n while (vm) {\n if (tree.length > 0) {\n var last = tree[tree.length - 1];\n if (last.constructor === vm.constructor) {\n currentRecursiveSequence++;\n vm = vm.$parent;\n continue\n } else if (currentRecursiveSequence > 0) {\n tree[tree.length - 1] = [last, currentRecursiveSequence];\n currentRecursiveSequence = 0;\n }\n }\n tree.push(vm);\n vm = vm.$parent;\n }\n return '\\n\\nfound in\\n\\n' + tree\n .map(function (vm, i) { return (\"\" + (i === 0 ? '---> ' : repeat(' ', 5 + i * 2)) + (Array.isArray(vm)\n ? ((formatComponentName(vm[0])) + \"... (\" + (vm[1]) + \" recursive calls)\")\n : formatComponentName(vm))); })\n .join('\\n')\n } else {\n return (\"\\n\\n(found in \" + (formatComponentName(vm)) + \")\")\n }\n };\n}\n\n/* */\n\nvar uid = 0;\n\n/**\n * A dep is an observable that can have multiple\n * directives subscribing to it.\n */\nvar Dep = function Dep () {\n this.id = uid++;\n this.subs = [];\n};\n\nDep.prototype.addSub = function addSub (sub) {\n this.subs.push(sub);\n};\n\nDep.prototype.removeSub = function removeSub (sub) {\n remove(this.subs, sub);\n};\n\nDep.prototype.depend = function depend () {\n if (Dep.target) {\n Dep.target.addDep(this);\n }\n};\n\nDep.prototype.notify = function notify () {\n // stabilize the subscriber list first\n var subs = this.subs.slice();\n if (process.env.NODE_ENV !== 'production' && !config.async) {\n // subs aren't sorted in scheduler if not running async\n // we need to sort them now to make sure they fire in correct\n // order\n subs.sort(function (a, b) { return a.id - b.id; });\n }\n for (var i = 0, l = subs.length; i < l; i++) {\n subs[i].update();\n }\n};\n\n// The current target watcher being evaluated.\n// This is globally unique because only one watcher\n// can be evaluated at a time.\nDep.target = null;\nvar targetStack = [];\n\nfunction pushTarget (target) {\n targetStack.push(target);\n Dep.target = target;\n}\n\nfunction popTarget () {\n targetStack.pop();\n Dep.target = targetStack[targetStack.length - 1];\n}\n\n/* */\n\nvar VNode = function VNode (\n tag,\n data,\n children,\n text,\n elm,\n context,\n componentOptions,\n asyncFactory\n) {\n this.tag = tag;\n this.data = data;\n this.children = children;\n this.text = text;\n this.elm = elm;\n this.ns = undefined;\n this.context = context;\n this.fnContext = undefined;\n this.fnOptions = undefined;\n this.fnScopeId = undefined;\n this.key = data && data.key;\n this.componentOptions = componentOptions;\n this.componentInstance = undefined;\n this.parent = undefined;\n this.raw = false;\n this.isStatic = false;\n this.isRootInsert = true;\n this.isComment = false;\n this.isCloned = false;\n this.isOnce = false;\n this.asyncFactory = asyncFactory;\n this.asyncMeta = undefined;\n this.isAsyncPlaceholder = false;\n};\n\nvar prototypeAccessors = { child: { configurable: true } };\n\n// DEPRECATED: alias for componentInstance for backwards compat.\n/* istanbul ignore next */\nprototypeAccessors.child.get = function () {\n return this.componentInstance\n};\n\nObject.defineProperties( VNode.prototype, prototypeAccessors );\n\nvar createEmptyVNode = function (text) {\n if ( text === void 0 ) text = '';\n\n var node = new VNode();\n node.text = text;\n node.isComment = true;\n return node\n};\n\nfunction createTextVNode (val) {\n return new VNode(undefined, undefined, undefined, String(val))\n}\n\n// optimized shallow clone\n// used for static nodes and slot nodes because they may be reused across\n// multiple renders, cloning them avoids errors when DOM manipulations rely\n// on their elm reference.\nfunction cloneVNode (vnode) {\n var cloned = new VNode(\n vnode.tag,\n vnode.data,\n // #7975\n // clone children array to avoid mutating original in case of cloning\n // a child.\n vnode.children && vnode.children.slice(),\n vnode.text,\n vnode.elm,\n vnode.context,\n vnode.componentOptions,\n vnode.asyncFactory\n );\n cloned.ns = vnode.ns;\n cloned.isStatic = vnode.isStatic;\n cloned.key = vnode.key;\n cloned.isComment = vnode.isComment;\n cloned.fnContext = vnode.fnContext;\n cloned.fnOptions = vnode.fnOptions;\n cloned.fnScopeId = vnode.fnScopeId;\n cloned.asyncMeta = vnode.asyncMeta;\n cloned.isCloned = true;\n return cloned\n}\n\n/*\n * not type checking this file because flow doesn't play well with\n * dynamically accessing methods on Array prototype\n */\n\nvar arrayProto = Array.prototype;\nvar arrayMethods = Object.create(arrayProto);\n\nvar methodsToPatch = [\n 'push',\n 'pop',\n 'shift',\n 'unshift',\n 'splice',\n 'sort',\n 'reverse'\n];\n\n/**\n * Intercept mutating methods and emit events\n */\nmethodsToPatch.forEach(function (method) {\n // cache original method\n var original = arrayProto[method];\n def(arrayMethods, method, function mutator () {\n var args = [], len = arguments.length;\n while ( len-- ) args[ len ] = arguments[ len ];\n\n var result = original.apply(this, args);\n var ob = this.__ob__;\n var inserted;\n switch (method) {\n case 'push':\n case 'unshift':\n inserted = args;\n break\n case 'splice':\n inserted = args.slice(2);\n break\n }\n if (inserted) { ob.observeArray(inserted); }\n // notify change\n ob.dep.notify();\n return result\n });\n});\n\n/* */\n\nvar arrayKeys = Object.getOwnPropertyNames(arrayMethods);\n\n/**\n * In some cases we may want to disable observation inside a component's\n * update computation.\n */\nvar shouldObserve = true;\n\nfunction toggleObserving (value) {\n shouldObserve = value;\n}\n\n/**\n * Observer class that is attached to each observed\n * object. Once attached, the observer converts the target\n * object's property keys into getter/setters that\n * collect dependencies and dispatch updates.\n */\nvar Observer = function Observer (value) {\n this.value = value;\n this.dep = new Dep();\n this.vmCount = 0;\n def(value, '__ob__', this);\n if (Array.isArray(value)) {\n if (hasProto) {\n protoAugment(value, arrayMethods);\n } else {\n copyAugment(value, arrayMethods, arrayKeys);\n }\n this.observeArray(value);\n } else {\n this.walk(value);\n }\n};\n\n/**\n * Walk through all properties and convert them into\n * getter/setters. This method should only be called when\n * value type is Object.\n */\nObserver.prototype.walk = function walk (obj) {\n var keys = Object.keys(obj);\n for (var i = 0; i < keys.length; i++) {\n defineReactive$$1(obj, keys[i]);\n }\n};\n\n/**\n * Observe a list of Array items.\n */\nObserver.prototype.observeArray = function observeArray (items) {\n for (var i = 0, l = items.length; i < l; i++) {\n observe(items[i]);\n }\n};\n\n// helpers\n\n/**\n * Augment a target Object or Array by intercepting\n * the prototype chain using __proto__\n */\nfunction protoAugment (target, src) {\n /* eslint-disable no-proto */\n target.__proto__ = src;\n /* eslint-enable no-proto */\n}\n\n/**\n * Augment a target Object or Array by defining\n * hidden properties.\n */\n/* istanbul ignore next */\nfunction copyAugment (target, src, keys) {\n for (var i = 0, l = keys.length; i < l; i++) {\n var key = keys[i];\n def(target, key, src[key]);\n }\n}\n\n/**\n * Attempt to create an observer instance for a value,\n * returns the new observer if successfully observed,\n * or the existing observer if the value already has one.\n */\nfunction observe (value, asRootData) {\n if (!isObject(value) || value instanceof VNode) {\n return\n }\n var ob;\n if (hasOwn(value, '__ob__') && value.__ob__ instanceof Observer) {\n ob = value.__ob__;\n } else if (\n shouldObserve &&\n !isServerRendering() &&\n (Array.isArray(value) || isPlainObject(value)) &&\n Object.isExtensible(value) &&\n !value._isVue\n ) {\n ob = new Observer(value);\n }\n if (asRootData && ob) {\n ob.vmCount++;\n }\n return ob\n}\n\n/**\n * Define a reactive property on an Object.\n */\nfunction defineReactive$$1 (\n obj,\n key,\n val,\n customSetter,\n shallow\n) {\n var dep = new Dep();\n\n var property = Object.getOwnPropertyDescriptor(obj, key);\n if (property && property.configurable === false) {\n return\n }\n\n // cater for pre-defined getter/setters\n var getter = property && property.get;\n var setter = property && property.set;\n if ((!getter || setter) && arguments.length === 2) {\n val = obj[key];\n }\n\n var childOb = !shallow && observe(val);\n Object.defineProperty(obj, key, {\n enumerable: true,\n configurable: true,\n get: function reactiveGetter () {\n var value = getter ? getter.call(obj) : val;\n if (Dep.target) {\n dep.depend();\n if (childOb) {\n childOb.dep.depend();\n if (Array.isArray(value)) {\n dependArray(value);\n }\n }\n }\n return value\n },\n set: function reactiveSetter (newVal) {\n var value = getter ? getter.call(obj) : val;\n /* eslint-disable no-self-compare */\n if (newVal === value || (newVal !== newVal && value !== value)) {\n return\n }\n /* eslint-enable no-self-compare */\n if (process.env.NODE_ENV !== 'production' && customSetter) {\n customSetter();\n }\n // #7981: for accessor properties without setter\n if (getter && !setter) { return }\n if (setter) {\n setter.call(obj, newVal);\n } else {\n val = newVal;\n }\n childOb = !shallow && observe(newVal);\n dep.notify();\n }\n });\n}\n\n/**\n * Set a property on an object. Adds the new property and\n * triggers change notification if the property doesn't\n * already exist.\n */\nfunction set (target, key, val) {\n if (process.env.NODE_ENV !== 'production' &&\n (isUndef(target) || isPrimitive(target))\n ) {\n warn((\"Cannot set reactive property on undefined, null, or primitive value: \" + ((target))));\n }\n if (Array.isArray(target) && isValidArrayIndex(key)) {\n target.length = Math.max(target.length, key);\n target.splice(key, 1, val);\n return val\n }\n if (key in target && !(key in Object.prototype)) {\n target[key] = val;\n return val\n }\n var ob = (target).__ob__;\n if (target._isVue || (ob && ob.vmCount)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Avoid adding reactive properties to a Vue instance or its root $data ' +\n 'at runtime - declare it upfront in the data option.'\n );\n return val\n }\n if (!ob) {\n target[key] = val;\n return val\n }\n defineReactive$$1(ob.value, key, val);\n ob.dep.notify();\n return val\n}\n\n/**\n * Delete a property and trigger change if necessary.\n */\nfunction del (target, key) {\n if (process.env.NODE_ENV !== 'production' &&\n (isUndef(target) || isPrimitive(target))\n ) {\n warn((\"Cannot delete reactive property on undefined, null, or primitive value: \" + ((target))));\n }\n if (Array.isArray(target) && isValidArrayIndex(key)) {\n target.splice(key, 1);\n return\n }\n var ob = (target).__ob__;\n if (target._isVue || (ob && ob.vmCount)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Avoid deleting properties on a Vue instance or its root $data ' +\n '- just set it to null.'\n );\n return\n }\n if (!hasOwn(target, key)) {\n return\n }\n delete target[key];\n if (!ob) {\n return\n }\n ob.dep.notify();\n}\n\n/**\n * Collect dependencies on array elements when the array is touched, since\n * we cannot intercept array element access like property getters.\n */\nfunction dependArray (value) {\n for (var e = (void 0), i = 0, l = value.length; i < l; i++) {\n e = value[i];\n e && e.__ob__ && e.__ob__.dep.depend();\n if (Array.isArray(e)) {\n dependArray(e);\n }\n }\n}\n\n/* */\n\n/**\n * Option overwriting strategies are functions that handle\n * how to merge a parent option value and a child option\n * value into the final value.\n */\nvar strats = config.optionMergeStrategies;\n\n/**\n * Options with restrictions\n */\nif (process.env.NODE_ENV !== 'production') {\n strats.el = strats.propsData = function (parent, child, vm, key) {\n if (!vm) {\n warn(\n \"option \\\"\" + key + \"\\\" can only be used during instance \" +\n 'creation with the `new` keyword.'\n );\n }\n return defaultStrat(parent, child)\n };\n}\n\n/**\n * Helper that recursively merges two data objects together.\n */\nfunction mergeData (to, from) {\n if (!from) { return to }\n var key, toVal, fromVal;\n\n var keys = hasSymbol\n ? Reflect.ownKeys(from)\n : Object.keys(from);\n\n for (var i = 0; i < keys.length; i++) {\n key = keys[i];\n // in case the object is already observed...\n if (key === '__ob__') { continue }\n toVal = to[key];\n fromVal = from[key];\n if (!hasOwn(to, key)) {\n set(to, key, fromVal);\n } else if (\n toVal !== fromVal &&\n isPlainObject(toVal) &&\n isPlainObject(fromVal)\n ) {\n mergeData(toVal, fromVal);\n }\n }\n return to\n}\n\n/**\n * Data\n */\nfunction mergeDataOrFn (\n parentVal,\n childVal,\n vm\n) {\n if (!vm) {\n // in a Vue.extend merge, both should be functions\n if (!childVal) {\n return parentVal\n }\n if (!parentVal) {\n return childVal\n }\n // when parentVal & childVal are both present,\n // we need to return a function that returns the\n // merged result of both functions... no need to\n // check if parentVal is a function here because\n // it has to be a function to pass previous merges.\n return function mergedDataFn () {\n return mergeData(\n typeof childVal === 'function' ? childVal.call(this, this) : childVal,\n typeof parentVal === 'function' ? parentVal.call(this, this) : parentVal\n )\n }\n } else {\n return function mergedInstanceDataFn () {\n // instance merge\n var instanceData = typeof childVal === 'function'\n ? childVal.call(vm, vm)\n : childVal;\n var defaultData = typeof parentVal === 'function'\n ? parentVal.call(vm, vm)\n : parentVal;\n if (instanceData) {\n return mergeData(instanceData, defaultData)\n } else {\n return defaultData\n }\n }\n }\n}\n\nstrats.data = function (\n parentVal,\n childVal,\n vm\n) {\n if (!vm) {\n if (childVal && typeof childVal !== 'function') {\n process.env.NODE_ENV !== 'production' && warn(\n 'The \"data\" option should be a function ' +\n 'that returns a per-instance value in component ' +\n 'definitions.',\n vm\n );\n\n return parentVal\n }\n return mergeDataOrFn(parentVal, childVal)\n }\n\n return mergeDataOrFn(parentVal, childVal, vm)\n};\n\n/**\n * Hooks and props are merged as arrays.\n */\nfunction mergeHook (\n parentVal,\n childVal\n) {\n var res = childVal\n ? parentVal\n ? parentVal.concat(childVal)\n : Array.isArray(childVal)\n ? childVal\n : [childVal]\n : parentVal;\n return res\n ? dedupeHooks(res)\n : res\n}\n\nfunction dedupeHooks (hooks) {\n var res = [];\n for (var i = 0; i < hooks.length; i++) {\n if (res.indexOf(hooks[i]) === -1) {\n res.push(hooks[i]);\n }\n }\n return res\n}\n\nLIFECYCLE_HOOKS.forEach(function (hook) {\n strats[hook] = mergeHook;\n});\n\n/**\n * Assets\n *\n * When a vm is present (instance creation), we need to do\n * a three-way merge between constructor options, instance\n * options and parent options.\n */\nfunction mergeAssets (\n parentVal,\n childVal,\n vm,\n key\n) {\n var res = Object.create(parentVal || null);\n if (childVal) {\n process.env.NODE_ENV !== 'production' && assertObjectType(key, childVal, vm);\n return extend(res, childVal)\n } else {\n return res\n }\n}\n\nASSET_TYPES.forEach(function (type) {\n strats[type + 's'] = mergeAssets;\n});\n\n/**\n * Watchers.\n *\n * Watchers hashes should not overwrite one\n * another, so we merge them as arrays.\n */\nstrats.watch = function (\n parentVal,\n childVal,\n vm,\n key\n) {\n // work around Firefox's Object.prototype.watch...\n if (parentVal === nativeWatch) { parentVal = undefined; }\n if (childVal === nativeWatch) { childVal = undefined; }\n /* istanbul ignore if */\n if (!childVal) { return Object.create(parentVal || null) }\n if (process.env.NODE_ENV !== 'production') {\n assertObjectType(key, childVal, vm);\n }\n if (!parentVal) { return childVal }\n var ret = {};\n extend(ret, parentVal);\n for (var key$1 in childVal) {\n var parent = ret[key$1];\n var child = childVal[key$1];\n if (parent && !Array.isArray(parent)) {\n parent = [parent];\n }\n ret[key$1] = parent\n ? parent.concat(child)\n : Array.isArray(child) ? child : [child];\n }\n return ret\n};\n\n/**\n * Other object hashes.\n */\nstrats.props =\nstrats.methods =\nstrats.inject =\nstrats.computed = function (\n parentVal,\n childVal,\n vm,\n key\n) {\n if (childVal && process.env.NODE_ENV !== 'production') {\n assertObjectType(key, childVal, vm);\n }\n if (!parentVal) { return childVal }\n var ret = Object.create(null);\n extend(ret, parentVal);\n if (childVal) { extend(ret, childVal); }\n return ret\n};\nstrats.provide = mergeDataOrFn;\n\n/**\n * Default strategy.\n */\nvar defaultStrat = function (parentVal, childVal) {\n return childVal === undefined\n ? parentVal\n : childVal\n};\n\n/**\n * Validate component names\n */\nfunction checkComponents (options) {\n for (var key in options.components) {\n validateComponentName(key);\n }\n}\n\nfunction validateComponentName (name) {\n if (!new RegExp((\"^[a-zA-Z][\\\\-\\\\.0-9_\" + (unicodeRegExp.source) + \"]*$\")).test(name)) {\n warn(\n 'Invalid component name: \"' + name + '\". Component names ' +\n 'should conform to valid custom element name in html5 specification.'\n );\n }\n if (isBuiltInTag(name) || config.isReservedTag(name)) {\n warn(\n 'Do not use built-in or reserved HTML elements as component ' +\n 'id: ' + name\n );\n }\n}\n\n/**\n * Ensure all props option syntax are normalized into the\n * Object-based format.\n */\nfunction normalizeProps (options, vm) {\n var props = options.props;\n if (!props) { return }\n var res = {};\n var i, val, name;\n if (Array.isArray(props)) {\n i = props.length;\n while (i--) {\n val = props[i];\n if (typeof val === 'string') {\n name = camelize(val);\n res[name] = { type: null };\n } else if (process.env.NODE_ENV !== 'production') {\n warn('props must be strings when using array syntax.');\n }\n }\n } else if (isPlainObject(props)) {\n for (var key in props) {\n val = props[key];\n name = camelize(key);\n res[name] = isPlainObject(val)\n ? val\n : { type: val };\n }\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n \"Invalid value for option \\\"props\\\": expected an Array or an Object, \" +\n \"but got \" + (toRawType(props)) + \".\",\n vm\n );\n }\n options.props = res;\n}\n\n/**\n * Normalize all injections into Object-based format\n */\nfunction normalizeInject (options, vm) {\n var inject = options.inject;\n if (!inject) { return }\n var normalized = options.inject = {};\n if (Array.isArray(inject)) {\n for (var i = 0; i < inject.length; i++) {\n normalized[inject[i]] = { from: inject[i] };\n }\n } else if (isPlainObject(inject)) {\n for (var key in inject) {\n var val = inject[key];\n normalized[key] = isPlainObject(val)\n ? extend({ from: key }, val)\n : { from: val };\n }\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n \"Invalid value for option \\\"inject\\\": expected an Array or an Object, \" +\n \"but got \" + (toRawType(inject)) + \".\",\n vm\n );\n }\n}\n\n/**\n * Normalize raw function directives into object format.\n */\nfunction normalizeDirectives (options) {\n var dirs = options.directives;\n if (dirs) {\n for (var key in dirs) {\n var def$$1 = dirs[key];\n if (typeof def$$1 === 'function') {\n dirs[key] = { bind: def$$1, update: def$$1 };\n }\n }\n }\n}\n\nfunction assertObjectType (name, value, vm) {\n if (!isPlainObject(value)) {\n warn(\n \"Invalid value for option \\\"\" + name + \"\\\": expected an Object, \" +\n \"but got \" + (toRawType(value)) + \".\",\n vm\n );\n }\n}\n\n/**\n * Merge two option objects into a new one.\n * Core utility used in both instantiation and inheritance.\n */\nfunction mergeOptions (\n parent,\n child,\n vm\n) {\n if (process.env.NODE_ENV !== 'production') {\n checkComponents(child);\n }\n\n if (typeof child === 'function') {\n child = child.options;\n }\n\n normalizeProps(child, vm);\n normalizeInject(child, vm);\n normalizeDirectives(child);\n\n // Apply extends and mixins on the child options,\n // but only if it is a raw options object that isn't\n // the result of another mergeOptions call.\n // Only merged options has the _base property.\n if (!child._base) {\n if (child.extends) {\n parent = mergeOptions(parent, child.extends, vm);\n }\n if (child.mixins) {\n for (var i = 0, l = child.mixins.length; i < l; i++) {\n parent = mergeOptions(parent, child.mixins[i], vm);\n }\n }\n }\n\n var options = {};\n var key;\n for (key in parent) {\n mergeField(key);\n }\n for (key in child) {\n if (!hasOwn(parent, key)) {\n mergeField(key);\n }\n }\n function mergeField (key) {\n var strat = strats[key] || defaultStrat;\n options[key] = strat(parent[key], child[key], vm, key);\n }\n return options\n}\n\n/**\n * Resolve an asset.\n * This function is used because child instances need access\n * to assets defined in its ancestor chain.\n */\nfunction resolveAsset (\n options,\n type,\n id,\n warnMissing\n) {\n /* istanbul ignore if */\n if (typeof id !== 'string') {\n return\n }\n var assets = options[type];\n // check local registration variations first\n if (hasOwn(assets, id)) { return assets[id] }\n var camelizedId = camelize(id);\n if (hasOwn(assets, camelizedId)) { return assets[camelizedId] }\n var PascalCaseId = capitalize(camelizedId);\n if (hasOwn(assets, PascalCaseId)) { return assets[PascalCaseId] }\n // fallback to prototype chain\n var res = assets[id] || assets[camelizedId] || assets[PascalCaseId];\n if (process.env.NODE_ENV !== 'production' && warnMissing && !res) {\n warn(\n 'Failed to resolve ' + type.slice(0, -1) + ': ' + id,\n options\n );\n }\n return res\n}\n\n/* */\n\n\n\nfunction validateProp (\n key,\n propOptions,\n propsData,\n vm\n) {\n var prop = propOptions[key];\n var absent = !hasOwn(propsData, key);\n var value = propsData[key];\n // boolean casting\n var booleanIndex = getTypeIndex(Boolean, prop.type);\n if (booleanIndex > -1) {\n if (absent && !hasOwn(prop, 'default')) {\n value = false;\n } else if (value === '' || value === hyphenate(key)) {\n // only cast empty string / same name to boolean if\n // boolean has higher priority\n var stringIndex = getTypeIndex(String, prop.type);\n if (stringIndex < 0 || booleanIndex < stringIndex) {\n value = true;\n }\n }\n }\n // check default value\n if (value === undefined) {\n value = getPropDefaultValue(vm, prop, key);\n // since the default value is a fresh copy,\n // make sure to observe it.\n var prevShouldObserve = shouldObserve;\n toggleObserving(true);\n observe(value);\n toggleObserving(prevShouldObserve);\n }\n if (\n process.env.NODE_ENV !== 'production' &&\n // skip validation for weex recycle-list child component props\n !(false)\n ) {\n assertProp(prop, key, value, vm, absent);\n }\n return value\n}\n\n/**\n * Get the default value of a prop.\n */\nfunction getPropDefaultValue (vm, prop, key) {\n // no default, return undefined\n if (!hasOwn(prop, 'default')) {\n return undefined\n }\n var def = prop.default;\n // warn against non-factory defaults for Object & Array\n if (process.env.NODE_ENV !== 'production' && isObject(def)) {\n warn(\n 'Invalid default value for prop \"' + key + '\": ' +\n 'Props with type Object/Array must use a factory function ' +\n 'to return the default value.',\n vm\n );\n }\n // the raw prop value was also undefined from previous render,\n // return previous default value to avoid unnecessary watcher trigger\n if (vm && vm.$options.propsData &&\n vm.$options.propsData[key] === undefined &&\n vm._props[key] !== undefined\n ) {\n return vm._props[key]\n }\n // call factory function for non-Function types\n // a value is Function if its prototype is function even across different execution context\n return typeof def === 'function' && getType(prop.type) !== 'Function'\n ? def.call(vm)\n : def\n}\n\n/**\n * Assert whether a prop is valid.\n */\nfunction assertProp (\n prop,\n name,\n value,\n vm,\n absent\n) {\n if (prop.required && absent) {\n warn(\n 'Missing required prop: \"' + name + '\"',\n vm\n );\n return\n }\n if (value == null && !prop.required) {\n return\n }\n var type = prop.type;\n var valid = !type || type === true;\n var expectedTypes = [];\n if (type) {\n if (!Array.isArray(type)) {\n type = [type];\n }\n for (var i = 0; i < type.length && !valid; i++) {\n var assertedType = assertType(value, type[i]);\n expectedTypes.push(assertedType.expectedType || '');\n valid = assertedType.valid;\n }\n }\n\n if (!valid) {\n warn(\n getInvalidTypeMessage(name, value, expectedTypes),\n vm\n );\n return\n }\n var validator = prop.validator;\n if (validator) {\n if (!validator(value)) {\n warn(\n 'Invalid prop: custom validator check failed for prop \"' + name + '\".',\n vm\n );\n }\n }\n}\n\nvar simpleCheckRE = /^(String|Number|Boolean|Function|Symbol)$/;\n\nfunction assertType (value, type) {\n var valid;\n var expectedType = getType(type);\n if (simpleCheckRE.test(expectedType)) {\n var t = typeof value;\n valid = t === expectedType.toLowerCase();\n // for primitive wrapper objects\n if (!valid && t === 'object') {\n valid = value instanceof type;\n }\n } else if (expectedType === 'Object') {\n valid = isPlainObject(value);\n } else if (expectedType === 'Array') {\n valid = Array.isArray(value);\n } else {\n valid = value instanceof type;\n }\n return {\n valid: valid,\n expectedType: expectedType\n }\n}\n\n/**\n * Use function string name to check built-in types,\n * because a simple equality check will fail when running\n * across different vms / iframes.\n */\nfunction getType (fn) {\n var match = fn && fn.toString().match(/^\\s*function (\\w+)/);\n return match ? match[1] : ''\n}\n\nfunction isSameType (a, b) {\n return getType(a) === getType(b)\n}\n\nfunction getTypeIndex (type, expectedTypes) {\n if (!Array.isArray(expectedTypes)) {\n return isSameType(expectedTypes, type) ? 0 : -1\n }\n for (var i = 0, len = expectedTypes.length; i < len; i++) {\n if (isSameType(expectedTypes[i], type)) {\n return i\n }\n }\n return -1\n}\n\nfunction getInvalidTypeMessage (name, value, expectedTypes) {\n var message = \"Invalid prop: type check failed for prop \\\"\" + name + \"\\\".\" +\n \" Expected \" + (expectedTypes.map(capitalize).join(', '));\n var expectedType = expectedTypes[0];\n var receivedType = toRawType(value);\n var expectedValue = styleValue(value, expectedType);\n var receivedValue = styleValue(value, receivedType);\n // check if we need to specify expected value\n if (expectedTypes.length === 1 &&\n isExplicable(expectedType) &&\n !isBoolean(expectedType, receivedType)) {\n message += \" with value \" + expectedValue;\n }\n message += \", got \" + receivedType + \" \";\n // check if we need to specify received value\n if (isExplicable(receivedType)) {\n message += \"with value \" + receivedValue + \".\";\n }\n return message\n}\n\nfunction styleValue (value, type) {\n if (type === 'String') {\n return (\"\\\"\" + value + \"\\\"\")\n } else if (type === 'Number') {\n return (\"\" + (Number(value)))\n } else {\n return (\"\" + value)\n }\n}\n\nfunction isExplicable (value) {\n var explicitTypes = ['string', 'number', 'boolean'];\n return explicitTypes.some(function (elem) { return value.toLowerCase() === elem; })\n}\n\nfunction isBoolean () {\n var args = [], len = arguments.length;\n while ( len-- ) args[ len ] = arguments[ len ];\n\n return args.some(function (elem) { return elem.toLowerCase() === 'boolean'; })\n}\n\n/* */\n\nfunction handleError (err, vm, info) {\n // Deactivate deps tracking while processing error handler to avoid possible infinite rendering.\n // See: https://github.com/vuejs/vuex/issues/1505\n pushTarget();\n try {\n if (vm) {\n var cur = vm;\n while ((cur = cur.$parent)) {\n var hooks = cur.$options.errorCaptured;\n if (hooks) {\n for (var i = 0; i < hooks.length; i++) {\n try {\n var capture = hooks[i].call(cur, err, vm, info) === false;\n if (capture) { return }\n } catch (e) {\n globalHandleError(e, cur, 'errorCaptured hook');\n }\n }\n }\n }\n }\n globalHandleError(err, vm, info);\n } finally {\n popTarget();\n }\n}\n\nfunction invokeWithErrorHandling (\n handler,\n context,\n args,\n vm,\n info\n) {\n var res;\n try {\n res = args ? handler.apply(context, args) : handler.call(context);\n if (res && !res._isVue && isPromise(res) && !res._handled) {\n res.catch(function (e) { return handleError(e, vm, info + \" (Promise/async)\"); });\n // issue #9511\n // avoid catch triggering multiple times when nested calls\n res._handled = true;\n }\n } catch (e) {\n handleError(e, vm, info);\n }\n return res\n}\n\nfunction globalHandleError (err, vm, info) {\n if (config.errorHandler) {\n try {\n return config.errorHandler.call(null, err, vm, info)\n } catch (e) {\n // if the user intentionally throws the original error in the handler,\n // do not log it twice\n if (e !== err) {\n logError(e, null, 'config.errorHandler');\n }\n }\n }\n logError(err, vm, info);\n}\n\nfunction logError (err, vm, info) {\n if (process.env.NODE_ENV !== 'production') {\n warn((\"Error in \" + info + \": \\\"\" + (err.toString()) + \"\\\"\"), vm);\n }\n /* istanbul ignore else */\n if ((inBrowser || inWeex) && typeof console !== 'undefined') {\n console.error(err);\n } else {\n throw err\n }\n}\n\n/* */\n\nvar isUsingMicroTask = false;\n\nvar callbacks = [];\nvar pending = false;\n\nfunction flushCallbacks () {\n pending = false;\n var copies = callbacks.slice(0);\n callbacks.length = 0;\n for (var i = 0; i < copies.length; i++) {\n copies[i]();\n }\n}\n\n// Here we have async deferring wrappers using microtasks.\n// In 2.5 we used (macro) tasks (in combination with microtasks).\n// However, it has subtle problems when state is changed right before repaint\n// (e.g. #6813, out-in transitions).\n// Also, using (macro) tasks in event handler would cause some weird behaviors\n// that cannot be circumvented (e.g. #7109, #7153, #7546, #7834, #8109).\n// So we now use microtasks everywhere, again.\n// A major drawback of this tradeoff is that there are some scenarios\n// where microtasks have too high a priority and fire in between supposedly\n// sequential events (e.g. #4521, #6690, which have workarounds)\n// or even between bubbling of the same event (#6566).\nvar timerFunc;\n\n// The nextTick behavior leverages the microtask queue, which can be accessed\n// via either native Promise.then or MutationObserver.\n// MutationObserver has wider support, however it is seriously bugged in\n// UIWebView in iOS >= 9.3.3 when triggered in touch event handlers. It\n// completely stops working after triggering a few times... so, if native\n// Promise is available, we will use it:\n/* istanbul ignore next, $flow-disable-line */\nif (typeof Promise !== 'undefined' && isNative(Promise)) {\n var p = Promise.resolve();\n timerFunc = function () {\n p.then(flushCallbacks);\n // In problematic UIWebViews, Promise.then doesn't completely break, but\n // it can get stuck in a weird state where callbacks are pushed into the\n // microtask queue but the queue isn't being flushed, until the browser\n // needs to do some other work, e.g. handle a timer. Therefore we can\n // \"force\" the microtask queue to be flushed by adding an empty timer.\n if (isIOS) { setTimeout(noop); }\n };\n isUsingMicroTask = true;\n} else if (!isIE && typeof MutationObserver !== 'undefined' && (\n isNative(MutationObserver) ||\n // PhantomJS and iOS 7.x\n MutationObserver.toString() === '[object MutationObserverConstructor]'\n)) {\n // Use MutationObserver where native Promise is not available,\n // e.g. PhantomJS, iOS7, Android 4.4\n // (#6466 MutationObserver is unreliable in IE11)\n var counter = 1;\n var observer = new MutationObserver(flushCallbacks);\n var textNode = document.createTextNode(String(counter));\n observer.observe(textNode, {\n characterData: true\n });\n timerFunc = function () {\n counter = (counter + 1) % 2;\n textNode.data = String(counter);\n };\n isUsingMicroTask = true;\n} else if (typeof setImmediate !== 'undefined' && isNative(setImmediate)) {\n // Fallback to setImmediate.\n // Technically it leverages the (macro) task queue,\n // but it is still a better choice than setTimeout.\n timerFunc = function () {\n setImmediate(flushCallbacks);\n };\n} else {\n // Fallback to setTimeout.\n timerFunc = function () {\n setTimeout(flushCallbacks, 0);\n };\n}\n\nfunction nextTick (cb, ctx) {\n var _resolve;\n callbacks.push(function () {\n if (cb) {\n try {\n cb.call(ctx);\n } catch (e) {\n handleError(e, ctx, 'nextTick');\n }\n } else if (_resolve) {\n _resolve(ctx);\n }\n });\n if (!pending) {\n pending = true;\n timerFunc();\n }\n // $flow-disable-line\n if (!cb && typeof Promise !== 'undefined') {\n return new Promise(function (resolve) {\n _resolve = resolve;\n })\n }\n}\n\n/* */\n\nvar mark;\nvar measure;\n\nif (process.env.NODE_ENV !== 'production') {\n var perf = inBrowser && window.performance;\n /* istanbul ignore if */\n if (\n perf &&\n perf.mark &&\n perf.measure &&\n perf.clearMarks &&\n perf.clearMeasures\n ) {\n mark = function (tag) { return perf.mark(tag); };\n measure = function (name, startTag, endTag) {\n perf.measure(name, startTag, endTag);\n perf.clearMarks(startTag);\n perf.clearMarks(endTag);\n // perf.clearMeasures(name)\n };\n }\n}\n\n/* not type checking this file because flow doesn't play well with Proxy */\n\nvar initProxy;\n\nif (process.env.NODE_ENV !== 'production') {\n var allowedGlobals = makeMap(\n 'Infinity,undefined,NaN,isFinite,isNaN,' +\n 'parseFloat,parseInt,decodeURI,decodeURIComponent,encodeURI,encodeURIComponent,' +\n 'Math,Number,Date,Array,Object,Boolean,String,RegExp,Map,Set,JSON,Intl,' +\n 'require' // for Webpack/Browserify\n );\n\n var warnNonPresent = function (target, key) {\n warn(\n \"Property or method \\\"\" + key + \"\\\" is not defined on the instance but \" +\n 'referenced during render. Make sure that this property is reactive, ' +\n 'either in the data option, or for class-based components, by ' +\n 'initializing the property. ' +\n 'See: https://vuejs.org/v2/guide/reactivity.html#Declaring-Reactive-Properties.',\n target\n );\n };\n\n var warnReservedPrefix = function (target, key) {\n warn(\n \"Property \\\"\" + key + \"\\\" must be accessed with \\\"$data.\" + key + \"\\\" because \" +\n 'properties starting with \"$\" or \"_\" are not proxied in the Vue instance to ' +\n 'prevent conflicts with Vue internals. ' +\n 'See: https://vuejs.org/v2/api/#data',\n target\n );\n };\n\n var hasProxy =\n typeof Proxy !== 'undefined' && isNative(Proxy);\n\n if (hasProxy) {\n var isBuiltInModifier = makeMap('stop,prevent,self,ctrl,shift,alt,meta,exact');\n config.keyCodes = new Proxy(config.keyCodes, {\n set: function set (target, key, value) {\n if (isBuiltInModifier(key)) {\n warn((\"Avoid overwriting built-in modifier in config.keyCodes: .\" + key));\n return false\n } else {\n target[key] = value;\n return true\n }\n }\n });\n }\n\n var hasHandler = {\n has: function has (target, key) {\n var has = key in target;\n var isAllowed = allowedGlobals(key) ||\n (typeof key === 'string' && key.charAt(0) === '_' && !(key in target.$data));\n if (!has && !isAllowed) {\n if (key in target.$data) { warnReservedPrefix(target, key); }\n else { warnNonPresent(target, key); }\n }\n return has || !isAllowed\n }\n };\n\n var getHandler = {\n get: function get (target, key) {\n if (typeof key === 'string' && !(key in target)) {\n if (key in target.$data) { warnReservedPrefix(target, key); }\n else { warnNonPresent(target, key); }\n }\n return target[key]\n }\n };\n\n initProxy = function initProxy (vm) {\n if (hasProxy) {\n // determine which proxy handler to use\n var options = vm.$options;\n var handlers = options.render && options.render._withStripped\n ? getHandler\n : hasHandler;\n vm._renderProxy = new Proxy(vm, handlers);\n } else {\n vm._renderProxy = vm;\n }\n };\n}\n\n/* */\n\nvar seenObjects = new _Set();\n\n/**\n * Recursively traverse an object to evoke all converted\n * getters, so that every nested property inside the object\n * is collected as a \"deep\" dependency.\n */\nfunction traverse (val) {\n _traverse(val, seenObjects);\n seenObjects.clear();\n}\n\nfunction _traverse (val, seen) {\n var i, keys;\n var isA = Array.isArray(val);\n if ((!isA && !isObject(val)) || Object.isFrozen(val) || val instanceof VNode) {\n return\n }\n if (val.__ob__) {\n var depId = val.__ob__.dep.id;\n if (seen.has(depId)) {\n return\n }\n seen.add(depId);\n }\n if (isA) {\n i = val.length;\n while (i--) { _traverse(val[i], seen); }\n } else {\n keys = Object.keys(val);\n i = keys.length;\n while (i--) { _traverse(val[keys[i]], seen); }\n }\n}\n\n/* */\n\nvar normalizeEvent = cached(function (name) {\n var passive = name.charAt(0) === '&';\n name = passive ? name.slice(1) : name;\n var once$$1 = name.charAt(0) === '~'; // Prefixed last, checked first\n name = once$$1 ? name.slice(1) : name;\n var capture = name.charAt(0) === '!';\n name = capture ? name.slice(1) : name;\n return {\n name: name,\n once: once$$1,\n capture: capture,\n passive: passive\n }\n});\n\nfunction createFnInvoker (fns, vm) {\n function invoker () {\n var arguments$1 = arguments;\n\n var fns = invoker.fns;\n if (Array.isArray(fns)) {\n var cloned = fns.slice();\n for (var i = 0; i < cloned.length; i++) {\n invokeWithErrorHandling(cloned[i], null, arguments$1, vm, \"v-on handler\");\n }\n } else {\n // return handler return value for single handlers\n return invokeWithErrorHandling(fns, null, arguments, vm, \"v-on handler\")\n }\n }\n invoker.fns = fns;\n return invoker\n}\n\nfunction updateListeners (\n on,\n oldOn,\n add,\n remove$$1,\n createOnceHandler,\n vm\n) {\n var name, def$$1, cur, old, event;\n for (name in on) {\n def$$1 = cur = on[name];\n old = oldOn[name];\n event = normalizeEvent(name);\n if (isUndef(cur)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Invalid handler for event \\\"\" + (event.name) + \"\\\": got \" + String(cur),\n vm\n );\n } else if (isUndef(old)) {\n if (isUndef(cur.fns)) {\n cur = on[name] = createFnInvoker(cur, vm);\n }\n if (isTrue(event.once)) {\n cur = on[name] = createOnceHandler(event.name, cur, event.capture);\n }\n add(event.name, cur, event.capture, event.passive, event.params);\n } else if (cur !== old) {\n old.fns = cur;\n on[name] = old;\n }\n }\n for (name in oldOn) {\n if (isUndef(on[name])) {\n event = normalizeEvent(name);\n remove$$1(event.name, oldOn[name], event.capture);\n }\n }\n}\n\n/* */\n\nfunction mergeVNodeHook (def, hookKey, hook) {\n if (def instanceof VNode) {\n def = def.data.hook || (def.data.hook = {});\n }\n var invoker;\n var oldHook = def[hookKey];\n\n function wrappedHook () {\n hook.apply(this, arguments);\n // important: remove merged hook to ensure it's called only once\n // and prevent memory leak\n remove(invoker.fns, wrappedHook);\n }\n\n if (isUndef(oldHook)) {\n // no existing hook\n invoker = createFnInvoker([wrappedHook]);\n } else {\n /* istanbul ignore if */\n if (isDef(oldHook.fns) && isTrue(oldHook.merged)) {\n // already a merged invoker\n invoker = oldHook;\n invoker.fns.push(wrappedHook);\n } else {\n // existing plain hook\n invoker = createFnInvoker([oldHook, wrappedHook]);\n }\n }\n\n invoker.merged = true;\n def[hookKey] = invoker;\n}\n\n/* */\n\nfunction extractPropsFromVNodeData (\n data,\n Ctor,\n tag\n) {\n // we are only extracting raw values here.\n // validation and default values are handled in the child\n // component itself.\n var propOptions = Ctor.options.props;\n if (isUndef(propOptions)) {\n return\n }\n var res = {};\n var attrs = data.attrs;\n var props = data.props;\n if (isDef(attrs) || isDef(props)) {\n for (var key in propOptions) {\n var altKey = hyphenate(key);\n if (process.env.NODE_ENV !== 'production') {\n var keyInLowerCase = key.toLowerCase();\n if (\n key !== keyInLowerCase &&\n attrs && hasOwn(attrs, keyInLowerCase)\n ) {\n tip(\n \"Prop \\\"\" + keyInLowerCase + \"\\\" is passed to component \" +\n (formatComponentName(tag || Ctor)) + \", but the declared prop name is\" +\n \" \\\"\" + key + \"\\\". \" +\n \"Note that HTML attributes are case-insensitive and camelCased \" +\n \"props need to use their kebab-case equivalents when using in-DOM \" +\n \"templates. You should probably use \\\"\" + altKey + \"\\\" instead of \\\"\" + key + \"\\\".\"\n );\n }\n }\n checkProp(res, props, key, altKey, true) ||\n checkProp(res, attrs, key, altKey, false);\n }\n }\n return res\n}\n\nfunction checkProp (\n res,\n hash,\n key,\n altKey,\n preserve\n) {\n if (isDef(hash)) {\n if (hasOwn(hash, key)) {\n res[key] = hash[key];\n if (!preserve) {\n delete hash[key];\n }\n return true\n } else if (hasOwn(hash, altKey)) {\n res[key] = hash[altKey];\n if (!preserve) {\n delete hash[altKey];\n }\n return true\n }\n }\n return false\n}\n\n/* */\n\n// The template compiler attempts to minimize the need for normalization by\n// statically analyzing the template at compile time.\n//\n// For plain HTML markup, normalization can be completely skipped because the\n// generated render function is guaranteed to return Array<VNode>. There are\n// two cases where extra normalization is needed:\n\n// 1. When the children contains components - because a functional component\n// may return an Array instead of a single root. In this case, just a simple\n// normalization is needed - if any child is an Array, we flatten the whole\n// thing with Array.prototype.concat. It is guaranteed to be only 1-level deep\n// because functional components already normalize their own children.\nfunction simpleNormalizeChildren (children) {\n for (var i = 0; i < children.length; i++) {\n if (Array.isArray(children[i])) {\n return Array.prototype.concat.apply([], children)\n }\n }\n return children\n}\n\n// 2. When the children contains constructs that always generated nested Arrays,\n// e.g. <template>, <slot>, v-for, or when the children is provided by user\n// with hand-written render functions / JSX. In such cases a full normalization\n// is needed to cater to all possible types of children values.\nfunction normalizeChildren (children) {\n return isPrimitive(children)\n ? [createTextVNode(children)]\n : Array.isArray(children)\n ? normalizeArrayChildren(children)\n : undefined\n}\n\nfunction isTextNode (node) {\n return isDef(node) && isDef(node.text) && isFalse(node.isComment)\n}\n\nfunction normalizeArrayChildren (children, nestedIndex) {\n var res = [];\n var i, c, lastIndex, last;\n for (i = 0; i < children.length; i++) {\n c = children[i];\n if (isUndef(c) || typeof c === 'boolean') { continue }\n lastIndex = res.length - 1;\n last = res[lastIndex];\n // nested\n if (Array.isArray(c)) {\n if (c.length > 0) {\n c = normalizeArrayChildren(c, ((nestedIndex || '') + \"_\" + i));\n // merge adjacent text nodes\n if (isTextNode(c[0]) && isTextNode(last)) {\n res[lastIndex] = createTextVNode(last.text + (c[0]).text);\n c.shift();\n }\n res.push.apply(res, c);\n }\n } else if (isPrimitive(c)) {\n if (isTextNode(last)) {\n // merge adjacent text nodes\n // this is necessary for SSR hydration because text nodes are\n // essentially merged when rendered to HTML strings\n res[lastIndex] = createTextVNode(last.text + c);\n } else if (c !== '') {\n // convert primitive to vnode\n res.push(createTextVNode(c));\n }\n } else {\n if (isTextNode(c) && isTextNode(last)) {\n // merge adjacent text nodes\n res[lastIndex] = createTextVNode(last.text + c.text);\n } else {\n // default key for nested array children (likely generated by v-for)\n if (isTrue(children._isVList) &&\n isDef(c.tag) &&\n isUndef(c.key) &&\n isDef(nestedIndex)) {\n c.key = \"__vlist\" + nestedIndex + \"_\" + i + \"__\";\n }\n res.push(c);\n }\n }\n }\n return res\n}\n\n/* */\n\nfunction initProvide (vm) {\n var provide = vm.$options.provide;\n if (provide) {\n vm._provided = typeof provide === 'function'\n ? provide.call(vm)\n : provide;\n }\n}\n\nfunction initInjections (vm) {\n var result = resolveInject(vm.$options.inject, vm);\n if (result) {\n toggleObserving(false);\n Object.keys(result).forEach(function (key) {\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n defineReactive$$1(vm, key, result[key], function () {\n warn(\n \"Avoid mutating an injected value directly since the changes will be \" +\n \"overwritten whenever the provided component re-renders. \" +\n \"injection being mutated: \\\"\" + key + \"\\\"\",\n vm\n );\n });\n } else {\n defineReactive$$1(vm, key, result[key]);\n }\n });\n toggleObserving(true);\n }\n}\n\nfunction resolveInject (inject, vm) {\n if (inject) {\n // inject is :any because flow is not smart enough to figure out cached\n var result = Object.create(null);\n var keys = hasSymbol\n ? Reflect.ownKeys(inject)\n : Object.keys(inject);\n\n for (var i = 0; i < keys.length; i++) {\n var key = keys[i];\n // #6574 in case the inject object is observed...\n if (key === '__ob__') { continue }\n var provideKey = inject[key].from;\n var source = vm;\n while (source) {\n if (source._provided && hasOwn(source._provided, provideKey)) {\n result[key] = source._provided[provideKey];\n break\n }\n source = source.$parent;\n }\n if (!source) {\n if ('default' in inject[key]) {\n var provideDefault = inject[key].default;\n result[key] = typeof provideDefault === 'function'\n ? provideDefault.call(vm)\n : provideDefault;\n } else if (process.env.NODE_ENV !== 'production') {\n warn((\"Injection \\\"\" + key + \"\\\" not found\"), vm);\n }\n }\n }\n return result\n }\n}\n\n/* */\n\n\n\n/**\n * Runtime helper for resolving raw children VNodes into a slot object.\n */\nfunction resolveSlots (\n children,\n context\n) {\n if (!children || !children.length) {\n return {}\n }\n var slots = {};\n for (var i = 0, l = children.length; i < l; i++) {\n var child = children[i];\n var data = child.data;\n // remove slot attribute if the node is resolved as a Vue slot node\n if (data && data.attrs && data.attrs.slot) {\n delete data.attrs.slot;\n }\n // named slots should only be respected if the vnode was rendered in the\n // same context.\n if ((child.context === context || child.fnContext === context) &&\n data && data.slot != null\n ) {\n var name = data.slot;\n var slot = (slots[name] || (slots[name] = []));\n if (child.tag === 'template') {\n slot.push.apply(slot, child.children || []);\n } else {\n slot.push(child);\n }\n } else {\n (slots.default || (slots.default = [])).push(child);\n }\n }\n // ignore slots that contains only whitespace\n for (var name$1 in slots) {\n if (slots[name$1].every(isWhitespace)) {\n delete slots[name$1];\n }\n }\n return slots\n}\n\nfunction isWhitespace (node) {\n return (node.isComment && !node.asyncFactory) || node.text === ' '\n}\n\n/* */\n\nfunction normalizeScopedSlots (\n slots,\n normalSlots,\n prevSlots\n) {\n var res;\n var hasNormalSlots = Object.keys(normalSlots).length > 0;\n var isStable = slots ? !!slots.$stable : !hasNormalSlots;\n var key = slots && slots.$key;\n if (!slots) {\n res = {};\n } else if (slots._normalized) {\n // fast path 1: child component re-render only, parent did not change\n return slots._normalized\n } else if (\n isStable &&\n prevSlots &&\n prevSlots !== emptyObject &&\n key === prevSlots.$key &&\n !hasNormalSlots &&\n !prevSlots.$hasNormal\n ) {\n // fast path 2: stable scoped slots w/ no normal slots to proxy,\n // only need to normalize once\n return prevSlots\n } else {\n res = {};\n for (var key$1 in slots) {\n if (slots[key$1] && key$1[0] !== '$') {\n res[key$1] = normalizeScopedSlot(normalSlots, key$1, slots[key$1]);\n }\n }\n }\n // expose normal slots on scopedSlots\n for (var key$2 in normalSlots) {\n if (!(key$2 in res)) {\n res[key$2] = proxyNormalSlot(normalSlots, key$2);\n }\n }\n // avoriaz seems to mock a non-extensible $scopedSlots object\n // and when that is passed down this would cause an error\n if (slots && Object.isExtensible(slots)) {\n (slots)._normalized = res;\n }\n def(res, '$stable', isStable);\n def(res, '$key', key);\n def(res, '$hasNormal', hasNormalSlots);\n return res\n}\n\nfunction normalizeScopedSlot(normalSlots, key, fn) {\n var normalized = function () {\n var res = arguments.length ? fn.apply(null, arguments) : fn({});\n res = res && typeof res === 'object' && !Array.isArray(res)\n ? [res] // single vnode\n : normalizeChildren(res);\n return res && (\n res.length === 0 ||\n (res.length === 1 && res[0].isComment) // #9658\n ) ? undefined\n : res\n };\n // this is a slot using the new v-slot syntax without scope. although it is\n // compiled as a scoped slot, render fn users would expect it to be present\n // on this.$slots because the usage is semantically a normal slot.\n if (fn.proxy) {\n Object.defineProperty(normalSlots, key, {\n get: normalized,\n enumerable: true,\n configurable: true\n });\n }\n return normalized\n}\n\nfunction proxyNormalSlot(slots, key) {\n return function () { return slots[key]; }\n}\n\n/* */\n\n/**\n * Runtime helper for rendering v-for lists.\n */\nfunction renderList (\n val,\n render\n) {\n var ret, i, l, keys, key;\n if (Array.isArray(val) || typeof val === 'string') {\n ret = new Array(val.length);\n for (i = 0, l = val.length; i < l; i++) {\n ret[i] = render(val[i], i);\n }\n } else if (typeof val === 'number') {\n ret = new Array(val);\n for (i = 0; i < val; i++) {\n ret[i] = render(i + 1, i);\n }\n } else if (isObject(val)) {\n if (hasSymbol && val[Symbol.iterator]) {\n ret = [];\n var iterator = val[Symbol.iterator]();\n var result = iterator.next();\n while (!result.done) {\n ret.push(render(result.value, ret.length));\n result = iterator.next();\n }\n } else {\n keys = Object.keys(val);\n ret = new Array(keys.length);\n for (i = 0, l = keys.length; i < l; i++) {\n key = keys[i];\n ret[i] = render(val[key], key, i);\n }\n }\n }\n if (!isDef(ret)) {\n ret = [];\n }\n (ret)._isVList = true;\n return ret\n}\n\n/* */\n\n/**\n * Runtime helper for rendering <slot>\n */\nfunction renderSlot (\n name,\n fallback,\n props,\n bindObject\n) {\n var scopedSlotFn = this.$scopedSlots[name];\n var nodes;\n if (scopedSlotFn) { // scoped slot\n props = props || {};\n if (bindObject) {\n if (process.env.NODE_ENV !== 'production' && !isObject(bindObject)) {\n warn(\n 'slot v-bind without argument expects an Object',\n this\n );\n }\n props = extend(extend({}, bindObject), props);\n }\n nodes = scopedSlotFn(props) || fallback;\n } else {\n nodes = this.$slots[name] || fallback;\n }\n\n var target = props && props.slot;\n if (target) {\n return this.$createElement('template', { slot: target }, nodes)\n } else {\n return nodes\n }\n}\n\n/* */\n\n/**\n * Runtime helper for resolving filters\n */\nfunction resolveFilter (id) {\n return resolveAsset(this.$options, 'filters', id, true) || identity\n}\n\n/* */\n\nfunction isKeyNotMatch (expect, actual) {\n if (Array.isArray(expect)) {\n return expect.indexOf(actual) === -1\n } else {\n return expect !== actual\n }\n}\n\n/**\n * Runtime helper for checking keyCodes from config.\n * exposed as Vue.prototype._k\n * passing in eventKeyName as last argument separately for backwards compat\n */\nfunction checkKeyCodes (\n eventKeyCode,\n key,\n builtInKeyCode,\n eventKeyName,\n builtInKeyName\n) {\n var mappedKeyCode = config.keyCodes[key] || builtInKeyCode;\n if (builtInKeyName && eventKeyName && !config.keyCodes[key]) {\n return isKeyNotMatch(builtInKeyName, eventKeyName)\n } else if (mappedKeyCode) {\n return isKeyNotMatch(mappedKeyCode, eventKeyCode)\n } else if (eventKeyName) {\n return hyphenate(eventKeyName) !== key\n }\n}\n\n/* */\n\n/**\n * Runtime helper for merging v-bind=\"object\" into a VNode's data.\n */\nfunction bindObjectProps (\n data,\n tag,\n value,\n asProp,\n isSync\n) {\n if (value) {\n if (!isObject(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'v-bind without argument expects an Object or Array value',\n this\n );\n } else {\n if (Array.isArray(value)) {\n value = toObject(value);\n }\n var hash;\n var loop = function ( key ) {\n if (\n key === 'class' ||\n key === 'style' ||\n isReservedAttribute(key)\n ) {\n hash = data;\n } else {\n var type = data.attrs && data.attrs.type;\n hash = asProp || config.mustUseProp(tag, type, key)\n ? data.domProps || (data.domProps = {})\n : data.attrs || (data.attrs = {});\n }\n var camelizedKey = camelize(key);\n var hyphenatedKey = hyphenate(key);\n if (!(camelizedKey in hash) && !(hyphenatedKey in hash)) {\n hash[key] = value[key];\n\n if (isSync) {\n var on = data.on || (data.on = {});\n on[(\"update:\" + key)] = function ($event) {\n value[key] = $event;\n };\n }\n }\n };\n\n for (var key in value) loop( key );\n }\n }\n return data\n}\n\n/* */\n\n/**\n * Runtime helper for rendering static trees.\n */\nfunction renderStatic (\n index,\n isInFor\n) {\n var cached = this._staticTrees || (this._staticTrees = []);\n var tree = cached[index];\n // if has already-rendered static tree and not inside v-for,\n // we can reuse the same tree.\n if (tree && !isInFor) {\n return tree\n }\n // otherwise, render a fresh tree.\n tree = cached[index] = this.$options.staticRenderFns[index].call(\n this._renderProxy,\n null,\n this // for render fns generated for functional component templates\n );\n markStatic(tree, (\"__static__\" + index), false);\n return tree\n}\n\n/**\n * Runtime helper for v-once.\n * Effectively it means marking the node as static with a unique key.\n */\nfunction markOnce (\n tree,\n index,\n key\n) {\n markStatic(tree, (\"__once__\" + index + (key ? (\"_\" + key) : \"\")), true);\n return tree\n}\n\nfunction markStatic (\n tree,\n key,\n isOnce\n) {\n if (Array.isArray(tree)) {\n for (var i = 0; i < tree.length; i++) {\n if (tree[i] && typeof tree[i] !== 'string') {\n markStaticNode(tree[i], (key + \"_\" + i), isOnce);\n }\n }\n } else {\n markStaticNode(tree, key, isOnce);\n }\n}\n\nfunction markStaticNode (node, key, isOnce) {\n node.isStatic = true;\n node.key = key;\n node.isOnce = isOnce;\n}\n\n/* */\n\nfunction bindObjectListeners (data, value) {\n if (value) {\n if (!isPlainObject(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'v-on without argument expects an Object value',\n this\n );\n } else {\n var on = data.on = data.on ? extend({}, data.on) : {};\n for (var key in value) {\n var existing = on[key];\n var ours = value[key];\n on[key] = existing ? [].concat(existing, ours) : ours;\n }\n }\n }\n return data\n}\n\n/* */\n\nfunction resolveScopedSlots (\n fns, // see flow/vnode\n res,\n // the following are added in 2.6\n hasDynamicKeys,\n contentHashKey\n) {\n res = res || { $stable: !hasDynamicKeys };\n for (var i = 0; i < fns.length; i++) {\n var slot = fns[i];\n if (Array.isArray(slot)) {\n resolveScopedSlots(slot, res, hasDynamicKeys);\n } else if (slot) {\n // marker for reverse proxying v-slot without scope on this.$slots\n if (slot.proxy) {\n slot.fn.proxy = true;\n }\n res[slot.key] = slot.fn;\n }\n }\n if (contentHashKey) {\n (res).$key = contentHashKey;\n }\n return res\n}\n\n/* */\n\nfunction bindDynamicKeys (baseObj, values) {\n for (var i = 0; i < values.length; i += 2) {\n var key = values[i];\n if (typeof key === 'string' && key) {\n baseObj[values[i]] = values[i + 1];\n } else if (process.env.NODE_ENV !== 'production' && key !== '' && key !== null) {\n // null is a special value for explicitly removing a binding\n warn(\n (\"Invalid value for dynamic directive argument (expected string or null): \" + key),\n this\n );\n }\n }\n return baseObj\n}\n\n// helper to dynamically append modifier runtime markers to event names.\n// ensure only append when value is already string, otherwise it will be cast\n// to string and cause the type check to miss.\nfunction prependModifier (value, symbol) {\n return typeof value === 'string' ? symbol + value : value\n}\n\n/* */\n\nfunction installRenderHelpers (target) {\n target._o = markOnce;\n target._n = toNumber;\n target._s = toString;\n target._l = renderList;\n target._t = renderSlot;\n target._q = looseEqual;\n target._i = looseIndexOf;\n target._m = renderStatic;\n target._f = resolveFilter;\n target._k = checkKeyCodes;\n target._b = bindObjectProps;\n target._v = createTextVNode;\n target._e = createEmptyVNode;\n target._u = resolveScopedSlots;\n target._g = bindObjectListeners;\n target._d = bindDynamicKeys;\n target._p = prependModifier;\n}\n\n/* */\n\nfunction FunctionalRenderContext (\n data,\n props,\n children,\n parent,\n Ctor\n) {\n var this$1 = this;\n\n var options = Ctor.options;\n // ensure the createElement function in functional components\n // gets a unique context - this is necessary for correct named slot check\n var contextVm;\n if (hasOwn(parent, '_uid')) {\n contextVm = Object.create(parent);\n // $flow-disable-line\n contextVm._original = parent;\n } else {\n // the context vm passed in is a functional context as well.\n // in this case we want to make sure we are able to get a hold to the\n // real context instance.\n contextVm = parent;\n // $flow-disable-line\n parent = parent._original;\n }\n var isCompiled = isTrue(options._compiled);\n var needNormalization = !isCompiled;\n\n this.data = data;\n this.props = props;\n this.children = children;\n this.parent = parent;\n this.listeners = data.on || emptyObject;\n this.injections = resolveInject(options.inject, parent);\n this.slots = function () {\n if (!this$1.$slots) {\n normalizeScopedSlots(\n data.scopedSlots,\n this$1.$slots = resolveSlots(children, parent)\n );\n }\n return this$1.$slots\n };\n\n Object.defineProperty(this, 'scopedSlots', ({\n enumerable: true,\n get: function get () {\n return normalizeScopedSlots(data.scopedSlots, this.slots())\n }\n }));\n\n // support for compiled functional template\n if (isCompiled) {\n // exposing $options for renderStatic()\n this.$options = options;\n // pre-resolve slots for renderSlot()\n this.$slots = this.slots();\n this.$scopedSlots = normalizeScopedSlots(data.scopedSlots, this.$slots);\n }\n\n if (options._scopeId) {\n this._c = function (a, b, c, d) {\n var vnode = createElement(contextVm, a, b, c, d, needNormalization);\n if (vnode && !Array.isArray(vnode)) {\n vnode.fnScopeId = options._scopeId;\n vnode.fnContext = parent;\n }\n return vnode\n };\n } else {\n this._c = function (a, b, c, d) { return createElement(contextVm, a, b, c, d, needNormalization); };\n }\n}\n\ninstallRenderHelpers(FunctionalRenderContext.prototype);\n\nfunction createFunctionalComponent (\n Ctor,\n propsData,\n data,\n contextVm,\n children\n) {\n var options = Ctor.options;\n var props = {};\n var propOptions = options.props;\n if (isDef(propOptions)) {\n for (var key in propOptions) {\n props[key] = validateProp(key, propOptions, propsData || emptyObject);\n }\n } else {\n if (isDef(data.attrs)) { mergeProps(props, data.attrs); }\n if (isDef(data.props)) { mergeProps(props, data.props); }\n }\n\n var renderContext = new FunctionalRenderContext(\n data,\n props,\n children,\n contextVm,\n Ctor\n );\n\n var vnode = options.render.call(null, renderContext._c, renderContext);\n\n if (vnode instanceof VNode) {\n return cloneAndMarkFunctionalResult(vnode, data, renderContext.parent, options, renderContext)\n } else if (Array.isArray(vnode)) {\n var vnodes = normalizeChildren(vnode) || [];\n var res = new Array(vnodes.length);\n for (var i = 0; i < vnodes.length; i++) {\n res[i] = cloneAndMarkFunctionalResult(vnodes[i], data, renderContext.parent, options, renderContext);\n }\n return res\n }\n}\n\nfunction cloneAndMarkFunctionalResult (vnode, data, contextVm, options, renderContext) {\n // #7817 clone node before setting fnContext, otherwise if the node is reused\n // (e.g. it was from a cached normal slot) the fnContext causes named slots\n // that should not be matched to match.\n var clone = cloneVNode(vnode);\n clone.fnContext = contextVm;\n clone.fnOptions = options;\n if (process.env.NODE_ENV !== 'production') {\n (clone.devtoolsMeta = clone.devtoolsMeta || {}).renderContext = renderContext;\n }\n if (data.slot) {\n (clone.data || (clone.data = {})).slot = data.slot;\n }\n return clone\n}\n\nfunction mergeProps (to, from) {\n for (var key in from) {\n to[camelize(key)] = from[key];\n }\n}\n\n/* */\n\n/* */\n\n/* */\n\n/* */\n\n// inline hooks to be invoked on component VNodes during patch\nvar componentVNodeHooks = {\n init: function init (vnode, hydrating) {\n if (\n vnode.componentInstance &&\n !vnode.componentInstance._isDestroyed &&\n vnode.data.keepAlive\n ) {\n // kept-alive components, treat as a patch\n var mountedNode = vnode; // work around flow\n componentVNodeHooks.prepatch(mountedNode, mountedNode);\n } else {\n var child = vnode.componentInstance = createComponentInstanceForVnode(\n vnode,\n activeInstance\n );\n child.$mount(hydrating ? vnode.elm : undefined, hydrating);\n }\n },\n\n prepatch: function prepatch (oldVnode, vnode) {\n var options = vnode.componentOptions;\n var child = vnode.componentInstance = oldVnode.componentInstance;\n updateChildComponent(\n child,\n options.propsData, // updated props\n options.listeners, // updated listeners\n vnode, // new parent vnode\n options.children // new children\n );\n },\n\n insert: function insert (vnode) {\n var context = vnode.context;\n var componentInstance = vnode.componentInstance;\n if (!componentInstance._isMounted) {\n componentInstance._isMounted = true;\n callHook(componentInstance, 'mounted');\n }\n if (vnode.data.keepAlive) {\n if (context._isMounted) {\n // vue-router#1212\n // During updates, a kept-alive component's child components may\n // change, so directly walking the tree here may call activated hooks\n // on incorrect children. Instead we push them into a queue which will\n // be processed after the whole patch process ended.\n queueActivatedComponent(componentInstance);\n } else {\n activateChildComponent(componentInstance, true /* direct */);\n }\n }\n },\n\n destroy: function destroy (vnode) {\n var componentInstance = vnode.componentInstance;\n if (!componentInstance._isDestroyed) {\n if (!vnode.data.keepAlive) {\n componentInstance.$destroy();\n } else {\n deactivateChildComponent(componentInstance, true /* direct */);\n }\n }\n }\n};\n\nvar hooksToMerge = Object.keys(componentVNodeHooks);\n\nfunction createComponent (\n Ctor,\n data,\n context,\n children,\n tag\n) {\n if (isUndef(Ctor)) {\n return\n }\n\n var baseCtor = context.$options._base;\n\n // plain options object: turn it into a constructor\n if (isObject(Ctor)) {\n Ctor = baseCtor.extend(Ctor);\n }\n\n // if at this stage it's not a constructor or an async component factory,\n // reject.\n if (typeof Ctor !== 'function') {\n if (process.env.NODE_ENV !== 'production') {\n warn((\"Invalid Component definition: \" + (String(Ctor))), context);\n }\n return\n }\n\n // async component\n var asyncFactory;\n if (isUndef(Ctor.cid)) {\n asyncFactory = Ctor;\n Ctor = resolveAsyncComponent(asyncFactory, baseCtor);\n if (Ctor === undefined) {\n // return a placeholder node for async component, which is rendered\n // as a comment node but preserves all the raw information for the node.\n // the information will be used for async server-rendering and hydration.\n return createAsyncPlaceholder(\n asyncFactory,\n data,\n context,\n children,\n tag\n )\n }\n }\n\n data = data || {};\n\n // resolve constructor options in case global mixins are applied after\n // component constructor creation\n resolveConstructorOptions(Ctor);\n\n // transform component v-model data into props & events\n if (isDef(data.model)) {\n transformModel(Ctor.options, data);\n }\n\n // extract props\n var propsData = extractPropsFromVNodeData(data, Ctor, tag);\n\n // functional component\n if (isTrue(Ctor.options.functional)) {\n return createFunctionalComponent(Ctor, propsData, data, context, children)\n }\n\n // extract listeners, since these needs to be treated as\n // child component listeners instead of DOM listeners\n var listeners = data.on;\n // replace with listeners with .native modifier\n // so it gets processed during parent component patch.\n data.on = data.nativeOn;\n\n if (isTrue(Ctor.options.abstract)) {\n // abstract components do not keep anything\n // other than props & listeners & slot\n\n // work around flow\n var slot = data.slot;\n data = {};\n if (slot) {\n data.slot = slot;\n }\n }\n\n // install component management hooks onto the placeholder node\n installComponentHooks(data);\n\n // return a placeholder vnode\n var name = Ctor.options.name || tag;\n var vnode = new VNode(\n (\"vue-component-\" + (Ctor.cid) + (name ? (\"-\" + name) : '')),\n data, undefined, undefined, undefined, context,\n { Ctor: Ctor, propsData: propsData, listeners: listeners, tag: tag, children: children },\n asyncFactory\n );\n\n return vnode\n}\n\nfunction createComponentInstanceForVnode (\n vnode, // we know it's MountedComponentVNode but flow doesn't\n parent // activeInstance in lifecycle state\n) {\n var options = {\n _isComponent: true,\n _parentVnode: vnode,\n parent: parent\n };\n // check inline-template render functions\n var inlineTemplate = vnode.data.inlineTemplate;\n if (isDef(inlineTemplate)) {\n options.render = inlineTemplate.render;\n options.staticRenderFns = inlineTemplate.staticRenderFns;\n }\n return new vnode.componentOptions.Ctor(options)\n}\n\nfunction installComponentHooks (data) {\n var hooks = data.hook || (data.hook = {});\n for (var i = 0; i < hooksToMerge.length; i++) {\n var key = hooksToMerge[i];\n var existing = hooks[key];\n var toMerge = componentVNodeHooks[key];\n if (existing !== toMerge && !(existing && existing._merged)) {\n hooks[key] = existing ? mergeHook$1(toMerge, existing) : toMerge;\n }\n }\n}\n\nfunction mergeHook$1 (f1, f2) {\n var merged = function (a, b) {\n // flow complains about extra args which is why we use any\n f1(a, b);\n f2(a, b);\n };\n merged._merged = true;\n return merged\n}\n\n// transform component v-model info (value and callback) into\n// prop and event handler respectively.\nfunction transformModel (options, data) {\n var prop = (options.model && options.model.prop) || 'value';\n var event = (options.model && options.model.event) || 'input'\n ;(data.attrs || (data.attrs = {}))[prop] = data.model.value;\n var on = data.on || (data.on = {});\n var existing = on[event];\n var callback = data.model.callback;\n if (isDef(existing)) {\n if (\n Array.isArray(existing)\n ? existing.indexOf(callback) === -1\n : existing !== callback\n ) {\n on[event] = [callback].concat(existing);\n }\n } else {\n on[event] = callback;\n }\n}\n\n/* */\n\nvar SIMPLE_NORMALIZE = 1;\nvar ALWAYS_NORMALIZE = 2;\n\n// wrapper function for providing a more flexible interface\n// without getting yelled at by flow\nfunction createElement (\n context,\n tag,\n data,\n children,\n normalizationType,\n alwaysNormalize\n) {\n if (Array.isArray(data) || isPrimitive(data)) {\n normalizationType = children;\n children = data;\n data = undefined;\n }\n if (isTrue(alwaysNormalize)) {\n normalizationType = ALWAYS_NORMALIZE;\n }\n return _createElement(context, tag, data, children, normalizationType)\n}\n\nfunction _createElement (\n context,\n tag,\n data,\n children,\n normalizationType\n) {\n if (isDef(data) && isDef((data).__ob__)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Avoid using observed data object as vnode data: \" + (JSON.stringify(data)) + \"\\n\" +\n 'Always create fresh vnode data objects in each render!',\n context\n );\n return createEmptyVNode()\n }\n // object syntax in v-bind\n if (isDef(data) && isDef(data.is)) {\n tag = data.is;\n }\n if (!tag) {\n // in case of component :is set to falsy value\n return createEmptyVNode()\n }\n // warn against non-primitive key\n if (process.env.NODE_ENV !== 'production' &&\n isDef(data) && isDef(data.key) && !isPrimitive(data.key)\n ) {\n {\n warn(\n 'Avoid using non-primitive value as key, ' +\n 'use string/number value instead.',\n context\n );\n }\n }\n // support single function children as default scoped slot\n if (Array.isArray(children) &&\n typeof children[0] === 'function'\n ) {\n data = data || {};\n data.scopedSlots = { default: children[0] };\n children.length = 0;\n }\n if (normalizationType === ALWAYS_NORMALIZE) {\n children = normalizeChildren(children);\n } else if (normalizationType === SIMPLE_NORMALIZE) {\n children = simpleNormalizeChildren(children);\n }\n var vnode, ns;\n if (typeof tag === 'string') {\n var Ctor;\n ns = (context.$vnode && context.$vnode.ns) || config.getTagNamespace(tag);\n if (config.isReservedTag(tag)) {\n // platform built-in elements\n if (process.env.NODE_ENV !== 'production' && isDef(data) && isDef(data.nativeOn)) {\n warn(\n (\"The .native modifier for v-on is only valid on components but it was used on <\" + tag + \">.\"),\n context\n );\n }\n vnode = new VNode(\n config.parsePlatformTagName(tag), data, children,\n undefined, undefined, context\n );\n } else if ((!data || !data.pre) && isDef(Ctor = resolveAsset(context.$options, 'components', tag))) {\n // component\n vnode = createComponent(Ctor, data, context, children, tag);\n } else {\n // unknown or unlisted namespaced elements\n // check at runtime because it may get assigned a namespace when its\n // parent normalizes children\n vnode = new VNode(\n tag, data, children,\n undefined, undefined, context\n );\n }\n } else {\n // direct component options / constructor\n vnode = createComponent(tag, data, context, children);\n }\n if (Array.isArray(vnode)) {\n return vnode\n } else if (isDef(vnode)) {\n if (isDef(ns)) { applyNS(vnode, ns); }\n if (isDef(data)) { registerDeepBindings(data); }\n return vnode\n } else {\n return createEmptyVNode()\n }\n}\n\nfunction applyNS (vnode, ns, force) {\n vnode.ns = ns;\n if (vnode.tag === 'foreignObject') {\n // use default namespace inside foreignObject\n ns = undefined;\n force = true;\n }\n if (isDef(vnode.children)) {\n for (var i = 0, l = vnode.children.length; i < l; i++) {\n var child = vnode.children[i];\n if (isDef(child.tag) && (\n isUndef(child.ns) || (isTrue(force) && child.tag !== 'svg'))) {\n applyNS(child, ns, force);\n }\n }\n }\n}\n\n// ref #5318\n// necessary to ensure parent re-render when deep bindings like :style and\n// :class are used on slot nodes\nfunction registerDeepBindings (data) {\n if (isObject(data.style)) {\n traverse(data.style);\n }\n if (isObject(data.class)) {\n traverse(data.class);\n }\n}\n\n/* */\n\nfunction initRender (vm) {\n vm._vnode = null; // the root of the child tree\n vm._staticTrees = null; // v-once cached trees\n var options = vm.$options;\n var parentVnode = vm.$vnode = options._parentVnode; // the placeholder node in parent tree\n var renderContext = parentVnode && parentVnode.context;\n vm.$slots = resolveSlots(options._renderChildren, renderContext);\n vm.$scopedSlots = emptyObject;\n // bind the createElement fn to this instance\n // so that we get proper render context inside it.\n // args order: tag, data, children, normalizationType, alwaysNormalize\n // internal version is used by render functions compiled from templates\n vm._c = function (a, b, c, d) { return createElement(vm, a, b, c, d, false); };\n // normalization is always applied for the public version, used in\n // user-written render functions.\n vm.$createElement = function (a, b, c, d) { return createElement(vm, a, b, c, d, true); };\n\n // $attrs & $listeners are exposed for easier HOC creation.\n // they need to be reactive so that HOCs using them are always updated\n var parentData = parentVnode && parentVnode.data;\n\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n defineReactive$$1(vm, '$attrs', parentData && parentData.attrs || emptyObject, function () {\n !isUpdatingChildComponent && warn(\"$attrs is readonly.\", vm);\n }, true);\n defineReactive$$1(vm, '$listeners', options._parentListeners || emptyObject, function () {\n !isUpdatingChildComponent && warn(\"$listeners is readonly.\", vm);\n }, true);\n } else {\n defineReactive$$1(vm, '$attrs', parentData && parentData.attrs || emptyObject, null, true);\n defineReactive$$1(vm, '$listeners', options._parentListeners || emptyObject, null, true);\n }\n}\n\nvar currentRenderingInstance = null;\n\nfunction renderMixin (Vue) {\n // install runtime convenience helpers\n installRenderHelpers(Vue.prototype);\n\n Vue.prototype.$nextTick = function (fn) {\n return nextTick(fn, this)\n };\n\n Vue.prototype._render = function () {\n var vm = this;\n var ref = vm.$options;\n var render = ref.render;\n var _parentVnode = ref._parentVnode;\n\n if (_parentVnode) {\n vm.$scopedSlots = normalizeScopedSlots(\n _parentVnode.data.scopedSlots,\n vm.$slots,\n vm.$scopedSlots\n );\n }\n\n // set parent vnode. this allows render functions to have access\n // to the data on the placeholder node.\n vm.$vnode = _parentVnode;\n // render self\n var vnode;\n try {\n // There's no need to maintain a stack because all render fns are called\n // separately from one another. Nested component's render fns are called\n // when parent component is patched.\n currentRenderingInstance = vm;\n vnode = render.call(vm._renderProxy, vm.$createElement);\n } catch (e) {\n handleError(e, vm, \"render\");\n // return error render result,\n // or previous vnode to prevent render error causing blank component\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production' && vm.$options.renderError) {\n try {\n vnode = vm.$options.renderError.call(vm._renderProxy, vm.$createElement, e);\n } catch (e) {\n handleError(e, vm, \"renderError\");\n vnode = vm._vnode;\n }\n } else {\n vnode = vm._vnode;\n }\n } finally {\n currentRenderingInstance = null;\n }\n // if the returned array contains only a single node, allow it\n if (Array.isArray(vnode) && vnode.length === 1) {\n vnode = vnode[0];\n }\n // return empty vnode in case the render function errored out\n if (!(vnode instanceof VNode)) {\n if (process.env.NODE_ENV !== 'production' && Array.isArray(vnode)) {\n warn(\n 'Multiple root nodes returned from render function. Render function ' +\n 'should return a single root node.',\n vm\n );\n }\n vnode = createEmptyVNode();\n }\n // set parent\n vnode.parent = _parentVnode;\n return vnode\n };\n}\n\n/* */\n\nfunction ensureCtor (comp, base) {\n if (\n comp.__esModule ||\n (hasSymbol && comp[Symbol.toStringTag] === 'Module')\n ) {\n comp = comp.default;\n }\n return isObject(comp)\n ? base.extend(comp)\n : comp\n}\n\nfunction createAsyncPlaceholder (\n factory,\n data,\n context,\n children,\n tag\n) {\n var node = createEmptyVNode();\n node.asyncFactory = factory;\n node.asyncMeta = { data: data, context: context, children: children, tag: tag };\n return node\n}\n\nfunction resolveAsyncComponent (\n factory,\n baseCtor\n) {\n if (isTrue(factory.error) && isDef(factory.errorComp)) {\n return factory.errorComp\n }\n\n if (isDef(factory.resolved)) {\n return factory.resolved\n }\n\n var owner = currentRenderingInstance;\n if (owner && isDef(factory.owners) && factory.owners.indexOf(owner) === -1) {\n // already pending\n factory.owners.push(owner);\n }\n\n if (isTrue(factory.loading) && isDef(factory.loadingComp)) {\n return factory.loadingComp\n }\n\n if (owner && !isDef(factory.owners)) {\n var owners = factory.owners = [owner];\n var sync = true;\n var timerLoading = null;\n var timerTimeout = null\n\n ;(owner).$on('hook:destroyed', function () { return remove(owners, owner); });\n\n var forceRender = function (renderCompleted) {\n for (var i = 0, l = owners.length; i < l; i++) {\n (owners[i]).$forceUpdate();\n }\n\n if (renderCompleted) {\n owners.length = 0;\n if (timerLoading !== null) {\n clearTimeout(timerLoading);\n timerLoading = null;\n }\n if (timerTimeout !== null) {\n clearTimeout(timerTimeout);\n timerTimeout = null;\n }\n }\n };\n\n var resolve = once(function (res) {\n // cache resolved\n factory.resolved = ensureCtor(res, baseCtor);\n // invoke callbacks only if this is not a synchronous resolve\n // (async resolves are shimmed as synchronous during SSR)\n if (!sync) {\n forceRender(true);\n } else {\n owners.length = 0;\n }\n });\n\n var reject = once(function (reason) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Failed to resolve async component: \" + (String(factory)) +\n (reason ? (\"\\nReason: \" + reason) : '')\n );\n if (isDef(factory.errorComp)) {\n factory.error = true;\n forceRender(true);\n }\n });\n\n var res = factory(resolve, reject);\n\n if (isObject(res)) {\n if (isPromise(res)) {\n // () => Promise\n if (isUndef(factory.resolved)) {\n res.then(resolve, reject);\n }\n } else if (isPromise(res.component)) {\n res.component.then(resolve, reject);\n\n if (isDef(res.error)) {\n factory.errorComp = ensureCtor(res.error, baseCtor);\n }\n\n if (isDef(res.loading)) {\n factory.loadingComp = ensureCtor(res.loading, baseCtor);\n if (res.delay === 0) {\n factory.loading = true;\n } else {\n timerLoading = setTimeout(function () {\n timerLoading = null;\n if (isUndef(factory.resolved) && isUndef(factory.error)) {\n factory.loading = true;\n forceRender(false);\n }\n }, res.delay || 200);\n }\n }\n\n if (isDef(res.timeout)) {\n timerTimeout = setTimeout(function () {\n timerTimeout = null;\n if (isUndef(factory.resolved)) {\n reject(\n process.env.NODE_ENV !== 'production'\n ? (\"timeout (\" + (res.timeout) + \"ms)\")\n : null\n );\n }\n }, res.timeout);\n }\n }\n }\n\n sync = false;\n // return in case resolved synchronously\n return factory.loading\n ? factory.loadingComp\n : factory.resolved\n }\n}\n\n/* */\n\nfunction isAsyncPlaceholder (node) {\n return node.isComment && node.asyncFactory\n}\n\n/* */\n\nfunction getFirstComponentChild (children) {\n if (Array.isArray(children)) {\n for (var i = 0; i < children.length; i++) {\n var c = children[i];\n if (isDef(c) && (isDef(c.componentOptions) || isAsyncPlaceholder(c))) {\n return c\n }\n }\n }\n}\n\n/* */\n\n/* */\n\nfunction initEvents (vm) {\n vm._events = Object.create(null);\n vm._hasHookEvent = false;\n // init parent attached events\n var listeners = vm.$options._parentListeners;\n if (listeners) {\n updateComponentListeners(vm, listeners);\n }\n}\n\nvar target;\n\nfunction add (event, fn) {\n target.$on(event, fn);\n}\n\nfunction remove$1 (event, fn) {\n target.$off(event, fn);\n}\n\nfunction createOnceHandler (event, fn) {\n var _target = target;\n return function onceHandler () {\n var res = fn.apply(null, arguments);\n if (res !== null) {\n _target.$off(event, onceHandler);\n }\n }\n}\n\nfunction updateComponentListeners (\n vm,\n listeners,\n oldListeners\n) {\n target = vm;\n updateListeners(listeners, oldListeners || {}, add, remove$1, createOnceHandler, vm);\n target = undefined;\n}\n\nfunction eventsMixin (Vue) {\n var hookRE = /^hook:/;\n Vue.prototype.$on = function (event, fn) {\n var vm = this;\n if (Array.isArray(event)) {\n for (var i = 0, l = event.length; i < l; i++) {\n vm.$on(event[i], fn);\n }\n } else {\n (vm._events[event] || (vm._events[event] = [])).push(fn);\n // optimize hook:event cost by using a boolean flag marked at registration\n // instead of a hash lookup\n if (hookRE.test(event)) {\n vm._hasHookEvent = true;\n }\n }\n return vm\n };\n\n Vue.prototype.$once = function (event, fn) {\n var vm = this;\n function on () {\n vm.$off(event, on);\n fn.apply(vm, arguments);\n }\n on.fn = fn;\n vm.$on(event, on);\n return vm\n };\n\n Vue.prototype.$off = function (event, fn) {\n var vm = this;\n // all\n if (!arguments.length) {\n vm._events = Object.create(null);\n return vm\n }\n // array of events\n if (Array.isArray(event)) {\n for (var i$1 = 0, l = event.length; i$1 < l; i$1++) {\n vm.$off(event[i$1], fn);\n }\n return vm\n }\n // specific event\n var cbs = vm._events[event];\n if (!cbs) {\n return vm\n }\n if (!fn) {\n vm._events[event] = null;\n return vm\n }\n // specific handler\n var cb;\n var i = cbs.length;\n while (i--) {\n cb = cbs[i];\n if (cb === fn || cb.fn === fn) {\n cbs.splice(i, 1);\n break\n }\n }\n return vm\n };\n\n Vue.prototype.$emit = function (event) {\n var vm = this;\n if (process.env.NODE_ENV !== 'production') {\n var lowerCaseEvent = event.toLowerCase();\n if (lowerCaseEvent !== event && vm._events[lowerCaseEvent]) {\n tip(\n \"Event \\\"\" + lowerCaseEvent + \"\\\" is emitted in component \" +\n (formatComponentName(vm)) + \" but the handler is registered for \\\"\" + event + \"\\\". \" +\n \"Note that HTML attributes are case-insensitive and you cannot use \" +\n \"v-on to listen to camelCase events when using in-DOM templates. \" +\n \"You should probably use \\\"\" + (hyphenate(event)) + \"\\\" instead of \\\"\" + event + \"\\\".\"\n );\n }\n }\n var cbs = vm._events[event];\n if (cbs) {\n cbs = cbs.length > 1 ? toArray(cbs) : cbs;\n var args = toArray(arguments, 1);\n var info = \"event handler for \\\"\" + event + \"\\\"\";\n for (var i = 0, l = cbs.length; i < l; i++) {\n invokeWithErrorHandling(cbs[i], vm, args, vm, info);\n }\n }\n return vm\n };\n}\n\n/* */\n\nvar activeInstance = null;\nvar isUpdatingChildComponent = false;\n\nfunction setActiveInstance(vm) {\n var prevActiveInstance = activeInstance;\n activeInstance = vm;\n return function () {\n activeInstance = prevActiveInstance;\n }\n}\n\nfunction initLifecycle (vm) {\n var options = vm.$options;\n\n // locate first non-abstract parent\n var parent = options.parent;\n if (parent && !options.abstract) {\n while (parent.$options.abstract && parent.$parent) {\n parent = parent.$parent;\n }\n parent.$children.push(vm);\n }\n\n vm.$parent = parent;\n vm.$root = parent ? parent.$root : vm;\n\n vm.$children = [];\n vm.$refs = {};\n\n vm._watcher = null;\n vm._inactive = null;\n vm._directInactive = false;\n vm._isMounted = false;\n vm._isDestroyed = false;\n vm._isBeingDestroyed = false;\n}\n\nfunction lifecycleMixin (Vue) {\n Vue.prototype._update = function (vnode, hydrating) {\n var vm = this;\n var prevEl = vm.$el;\n var prevVnode = vm._vnode;\n var restoreActiveInstance = setActiveInstance(vm);\n vm._vnode = vnode;\n // Vue.prototype.__patch__ is injected in entry points\n // based on the rendering backend used.\n if (!prevVnode) {\n // initial render\n vm.$el = vm.__patch__(vm.$el, vnode, hydrating, false /* removeOnly */);\n } else {\n // updates\n vm.$el = vm.__patch__(prevVnode, vnode);\n }\n restoreActiveInstance();\n // update __vue__ reference\n if (prevEl) {\n prevEl.__vue__ = null;\n }\n if (vm.$el) {\n vm.$el.__vue__ = vm;\n }\n // if parent is an HOC, update its $el as well\n if (vm.$vnode && vm.$parent && vm.$vnode === vm.$parent._vnode) {\n vm.$parent.$el = vm.$el;\n }\n // updated hook is called by the scheduler to ensure that children are\n // updated in a parent's updated hook.\n };\n\n Vue.prototype.$forceUpdate = function () {\n var vm = this;\n if (vm._watcher) {\n vm._watcher.update();\n }\n };\n\n Vue.prototype.$destroy = function () {\n var vm = this;\n if (vm._isBeingDestroyed) {\n return\n }\n callHook(vm, 'beforeDestroy');\n vm._isBeingDestroyed = true;\n // remove self from parent\n var parent = vm.$parent;\n if (parent && !parent._isBeingDestroyed && !vm.$options.abstract) {\n remove(parent.$children, vm);\n }\n // teardown watchers\n if (vm._watcher) {\n vm._watcher.teardown();\n }\n var i = vm._watchers.length;\n while (i--) {\n vm._watchers[i].teardown();\n }\n // remove reference from data ob\n // frozen object may not have observer.\n if (vm._data.__ob__) {\n vm._data.__ob__.vmCount--;\n }\n // call the last hook...\n vm._isDestroyed = true;\n // invoke destroy hooks on current rendered tree\n vm.__patch__(vm._vnode, null);\n // fire destroyed hook\n callHook(vm, 'destroyed');\n // turn off all instance listeners.\n vm.$off();\n // remove __vue__ reference\n if (vm.$el) {\n vm.$el.__vue__ = null;\n }\n // release circular reference (#6759)\n if (vm.$vnode) {\n vm.$vnode.parent = null;\n }\n };\n}\n\nfunction mountComponent (\n vm,\n el,\n hydrating\n) {\n vm.$el = el;\n if (!vm.$options.render) {\n vm.$options.render = createEmptyVNode;\n if (process.env.NODE_ENV !== 'production') {\n /* istanbul ignore if */\n if ((vm.$options.template && vm.$options.template.charAt(0) !== '#') ||\n vm.$options.el || el) {\n warn(\n 'You are using the runtime-only build of Vue where the template ' +\n 'compiler is not available. Either pre-compile the templates into ' +\n 'render functions, or use the compiler-included build.',\n vm\n );\n } else {\n warn(\n 'Failed to mount component: template or render function not defined.',\n vm\n );\n }\n }\n }\n callHook(vm, 'beforeMount');\n\n var updateComponent;\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n updateComponent = function () {\n var name = vm._name;\n var id = vm._uid;\n var startTag = \"vue-perf-start:\" + id;\n var endTag = \"vue-perf-end:\" + id;\n\n mark(startTag);\n var vnode = vm._render();\n mark(endTag);\n measure((\"vue \" + name + \" render\"), startTag, endTag);\n\n mark(startTag);\n vm._update(vnode, hydrating);\n mark(endTag);\n measure((\"vue \" + name + \" patch\"), startTag, endTag);\n };\n } else {\n updateComponent = function () {\n vm._update(vm._render(), hydrating);\n };\n }\n\n // we set this to vm._watcher inside the watcher's constructor\n // since the watcher's initial patch may call $forceUpdate (e.g. inside child\n // component's mounted hook), which relies on vm._watcher being already defined\n new Watcher(vm, updateComponent, noop, {\n before: function before () {\n if (vm._isMounted && !vm._isDestroyed) {\n callHook(vm, 'beforeUpdate');\n }\n }\n }, true /* isRenderWatcher */);\n hydrating = false;\n\n // manually mounted instance, call mounted on self\n // mounted is called for render-created child components in its inserted hook\n if (vm.$vnode == null) {\n vm._isMounted = true;\n callHook(vm, 'mounted');\n }\n return vm\n}\n\nfunction updateChildComponent (\n vm,\n propsData,\n listeners,\n parentVnode,\n renderChildren\n) {\n if (process.env.NODE_ENV !== 'production') {\n isUpdatingChildComponent = true;\n }\n\n // determine whether component has slot children\n // we need to do this before overwriting $options._renderChildren.\n\n // check if there are dynamic scopedSlots (hand-written or compiled but with\n // dynamic slot names). Static scoped slots compiled from template has the\n // \"$stable\" marker.\n var newScopedSlots = parentVnode.data.scopedSlots;\n var oldScopedSlots = vm.$scopedSlots;\n var hasDynamicScopedSlot = !!(\n (newScopedSlots && !newScopedSlots.$stable) ||\n (oldScopedSlots !== emptyObject && !oldScopedSlots.$stable) ||\n (newScopedSlots && vm.$scopedSlots.$key !== newScopedSlots.$key)\n );\n\n // Any static slot children from the parent may have changed during parent's\n // update. Dynamic scoped slots may also have changed. In such cases, a forced\n // update is necessary to ensure correctness.\n var needsForceUpdate = !!(\n renderChildren || // has new static slots\n vm.$options._renderChildren || // has old static slots\n hasDynamicScopedSlot\n );\n\n vm.$options._parentVnode = parentVnode;\n vm.$vnode = parentVnode; // update vm's placeholder node without re-render\n\n if (vm._vnode) { // update child tree's parent\n vm._vnode.parent = parentVnode;\n }\n vm.$options._renderChildren = renderChildren;\n\n // update $attrs and $listeners hash\n // these are also reactive so they may trigger child update if the child\n // used them during render\n vm.$attrs = parentVnode.data.attrs || emptyObject;\n vm.$listeners = listeners || emptyObject;\n\n // update props\n if (propsData && vm.$options.props) {\n toggleObserving(false);\n var props = vm._props;\n var propKeys = vm.$options._propKeys || [];\n for (var i = 0; i < propKeys.length; i++) {\n var key = propKeys[i];\n var propOptions = vm.$options.props; // wtf flow?\n props[key] = validateProp(key, propOptions, propsData, vm);\n }\n toggleObserving(true);\n // keep a copy of raw propsData\n vm.$options.propsData = propsData;\n }\n\n // update listeners\n listeners = listeners || emptyObject;\n var oldListeners = vm.$options._parentListeners;\n vm.$options._parentListeners = listeners;\n updateComponentListeners(vm, listeners, oldListeners);\n\n // resolve slots + force update if has children\n if (needsForceUpdate) {\n vm.$slots = resolveSlots(renderChildren, parentVnode.context);\n vm.$forceUpdate();\n }\n\n if (process.env.NODE_ENV !== 'production') {\n isUpdatingChildComponent = false;\n }\n}\n\nfunction isInInactiveTree (vm) {\n while (vm && (vm = vm.$parent)) {\n if (vm._inactive) { return true }\n }\n return false\n}\n\nfunction activateChildComponent (vm, direct) {\n if (direct) {\n vm._directInactive = false;\n if (isInInactiveTree(vm)) {\n return\n }\n } else if (vm._directInactive) {\n return\n }\n if (vm._inactive || vm._inactive === null) {\n vm._inactive = false;\n for (var i = 0; i < vm.$children.length; i++) {\n activateChildComponent(vm.$children[i]);\n }\n callHook(vm, 'activated');\n }\n}\n\nfunction deactivateChildComponent (vm, direct) {\n if (direct) {\n vm._directInactive = true;\n if (isInInactiveTree(vm)) {\n return\n }\n }\n if (!vm._inactive) {\n vm._inactive = true;\n for (var i = 0; i < vm.$children.length; i++) {\n deactivateChildComponent(vm.$children[i]);\n }\n callHook(vm, 'deactivated');\n }\n}\n\nfunction callHook (vm, hook) {\n // #7573 disable dep collection when invoking lifecycle hooks\n pushTarget();\n var handlers = vm.$options[hook];\n var info = hook + \" hook\";\n if (handlers) {\n for (var i = 0, j = handlers.length; i < j; i++) {\n invokeWithErrorHandling(handlers[i], vm, null, vm, info);\n }\n }\n if (vm._hasHookEvent) {\n vm.$emit('hook:' + hook);\n }\n popTarget();\n}\n\n/* */\n\nvar MAX_UPDATE_COUNT = 100;\n\nvar queue = [];\nvar activatedChildren = [];\nvar has = {};\nvar circular = {};\nvar waiting = false;\nvar flushing = false;\nvar index = 0;\n\n/**\n * Reset the scheduler's state.\n */\nfunction resetSchedulerState () {\n index = queue.length = activatedChildren.length = 0;\n has = {};\n if (process.env.NODE_ENV !== 'production') {\n circular = {};\n }\n waiting = flushing = false;\n}\n\n// Async edge case #6566 requires saving the timestamp when event listeners are\n// attached. However, calling performance.now() has a perf overhead especially\n// if the page has thousands of event listeners. Instead, we take a timestamp\n// every time the scheduler flushes and use that for all event listeners\n// attached during that flush.\nvar currentFlushTimestamp = 0;\n\n// Async edge case fix requires storing an event listener's attach timestamp.\nvar getNow = Date.now;\n\n// Determine what event timestamp the browser is using. Annoyingly, the\n// timestamp can either be hi-res (relative to page load) or low-res\n// (relative to UNIX epoch), so in order to compare time we have to use the\n// same timestamp type when saving the flush timestamp.\n// All IE versions use low-res event timestamps, and have problematic clock\n// implementations (#9632)\nif (inBrowser && !isIE) {\n var performance = window.performance;\n if (\n performance &&\n typeof performance.now === 'function' &&\n getNow() > document.createEvent('Event').timeStamp\n ) {\n // if the event timestamp, although evaluated AFTER the Date.now(), is\n // smaller than it, it means the event is using a hi-res timestamp,\n // and we need to use the hi-res version for event listener timestamps as\n // well.\n getNow = function () { return performance.now(); };\n }\n}\n\n/**\n * Flush both queues and run the watchers.\n */\nfunction flushSchedulerQueue () {\n currentFlushTimestamp = getNow();\n flushing = true;\n var watcher, id;\n\n // Sort queue before flush.\n // This ensures that:\n // 1. Components are updated from parent to child. (because parent is always\n // created before the child)\n // 2. A component's user watchers are run before its render watcher (because\n // user watchers are created before the render watcher)\n // 3. If a component is destroyed during a parent component's watcher run,\n // its watchers can be skipped.\n queue.sort(function (a, b) { return a.id - b.id; });\n\n // do not cache length because more watchers might be pushed\n // as we run existing watchers\n for (index = 0; index < queue.length; index++) {\n watcher = queue[index];\n if (watcher.before) {\n watcher.before();\n }\n id = watcher.id;\n has[id] = null;\n watcher.run();\n // in dev build, check and stop circular updates.\n if (process.env.NODE_ENV !== 'production' && has[id] != null) {\n circular[id] = (circular[id] || 0) + 1;\n if (circular[id] > MAX_UPDATE_COUNT) {\n warn(\n 'You may have an infinite update loop ' + (\n watcher.user\n ? (\"in watcher with expression \\\"\" + (watcher.expression) + \"\\\"\")\n : \"in a component render function.\"\n ),\n watcher.vm\n );\n break\n }\n }\n }\n\n // keep copies of post queues before resetting state\n var activatedQueue = activatedChildren.slice();\n var updatedQueue = queue.slice();\n\n resetSchedulerState();\n\n // call component updated and activated hooks\n callActivatedHooks(activatedQueue);\n callUpdatedHooks(updatedQueue);\n\n // devtool hook\n /* istanbul ignore if */\n if (devtools && config.devtools) {\n devtools.emit('flush');\n }\n}\n\nfunction callUpdatedHooks (queue) {\n var i = queue.length;\n while (i--) {\n var watcher = queue[i];\n var vm = watcher.vm;\n if (vm._watcher === watcher && vm._isMounted && !vm._isDestroyed) {\n callHook(vm, 'updated');\n }\n }\n}\n\n/**\n * Queue a kept-alive component that was activated during patch.\n * The queue will be processed after the entire tree has been patched.\n */\nfunction queueActivatedComponent (vm) {\n // setting _inactive to false here so that a render function can\n // rely on checking whether it's in an inactive tree (e.g. router-view)\n vm._inactive = false;\n activatedChildren.push(vm);\n}\n\nfunction callActivatedHooks (queue) {\n for (var i = 0; i < queue.length; i++) {\n queue[i]._inactive = true;\n activateChildComponent(queue[i], true /* true */);\n }\n}\n\n/**\n * Push a watcher into the watcher queue.\n * Jobs with duplicate IDs will be skipped unless it's\n * pushed when the queue is being flushed.\n */\nfunction queueWatcher (watcher) {\n var id = watcher.id;\n if (has[id] == null) {\n has[id] = true;\n if (!flushing) {\n queue.push(watcher);\n } else {\n // if already flushing, splice the watcher based on its id\n // if already past its id, it will be run next immediately.\n var i = queue.length - 1;\n while (i > index && queue[i].id > watcher.id) {\n i--;\n }\n queue.splice(i + 1, 0, watcher);\n }\n // queue the flush\n if (!waiting) {\n waiting = true;\n\n if (process.env.NODE_ENV !== 'production' && !config.async) {\n flushSchedulerQueue();\n return\n }\n nextTick(flushSchedulerQueue);\n }\n }\n}\n\n/* */\n\n\n\nvar uid$2 = 0;\n\n/**\n * A watcher parses an expression, collects dependencies,\n * and fires callback when the expression value changes.\n * This is used for both the $watch() api and directives.\n */\nvar Watcher = function Watcher (\n vm,\n expOrFn,\n cb,\n options,\n isRenderWatcher\n) {\n this.vm = vm;\n if (isRenderWatcher) {\n vm._watcher = this;\n }\n vm._watchers.push(this);\n // options\n if (options) {\n this.deep = !!options.deep;\n this.user = !!options.user;\n this.lazy = !!options.lazy;\n this.sync = !!options.sync;\n this.before = options.before;\n } else {\n this.deep = this.user = this.lazy = this.sync = false;\n }\n this.cb = cb;\n this.id = ++uid$2; // uid for batching\n this.active = true;\n this.dirty = this.lazy; // for lazy watchers\n this.deps = [];\n this.newDeps = [];\n this.depIds = new _Set();\n this.newDepIds = new _Set();\n this.expression = process.env.NODE_ENV !== 'production'\n ? expOrFn.toString()\n : '';\n // parse expression for getter\n if (typeof expOrFn === 'function') {\n this.getter = expOrFn;\n } else {\n this.getter = parsePath(expOrFn);\n if (!this.getter) {\n this.getter = noop;\n process.env.NODE_ENV !== 'production' && warn(\n \"Failed watching path: \\\"\" + expOrFn + \"\\\" \" +\n 'Watcher only accepts simple dot-delimited paths. ' +\n 'For full control, use a function instead.',\n vm\n );\n }\n }\n this.value = this.lazy\n ? undefined\n : this.get();\n};\n\n/**\n * Evaluate the getter, and re-collect dependencies.\n */\nWatcher.prototype.get = function get () {\n pushTarget(this);\n var value;\n var vm = this.vm;\n try {\n value = this.getter.call(vm, vm);\n } catch (e) {\n if (this.user) {\n handleError(e, vm, (\"getter for watcher \\\"\" + (this.expression) + \"\\\"\"));\n } else {\n throw e\n }\n } finally {\n // \"touch\" every property so they are all tracked as\n // dependencies for deep watching\n if (this.deep) {\n traverse(value);\n }\n popTarget();\n this.cleanupDeps();\n }\n return value\n};\n\n/**\n * Add a dependency to this directive.\n */\nWatcher.prototype.addDep = function addDep (dep) {\n var id = dep.id;\n if (!this.newDepIds.has(id)) {\n this.newDepIds.add(id);\n this.newDeps.push(dep);\n if (!this.depIds.has(id)) {\n dep.addSub(this);\n }\n }\n};\n\n/**\n * Clean up for dependency collection.\n */\nWatcher.prototype.cleanupDeps = function cleanupDeps () {\n var i = this.deps.length;\n while (i--) {\n var dep = this.deps[i];\n if (!this.newDepIds.has(dep.id)) {\n dep.removeSub(this);\n }\n }\n var tmp = this.depIds;\n this.depIds = this.newDepIds;\n this.newDepIds = tmp;\n this.newDepIds.clear();\n tmp = this.deps;\n this.deps = this.newDeps;\n this.newDeps = tmp;\n this.newDeps.length = 0;\n};\n\n/**\n * Subscriber interface.\n * Will be called when a dependency changes.\n */\nWatcher.prototype.update = function update () {\n /* istanbul ignore else */\n if (this.lazy) {\n this.dirty = true;\n } else if (this.sync) {\n this.run();\n } else {\n queueWatcher(this);\n }\n};\n\n/**\n * Scheduler job interface.\n * Will be called by the scheduler.\n */\nWatcher.prototype.run = function run () {\n if (this.active) {\n var value = this.get();\n if (\n value !== this.value ||\n // Deep watchers and watchers on Object/Arrays should fire even\n // when the value is the same, because the value may\n // have mutated.\n isObject(value) ||\n this.deep\n ) {\n // set new value\n var oldValue = this.value;\n this.value = value;\n if (this.user) {\n try {\n this.cb.call(this.vm, value, oldValue);\n } catch (e) {\n handleError(e, this.vm, (\"callback for watcher \\\"\" + (this.expression) + \"\\\"\"));\n }\n } else {\n this.cb.call(this.vm, value, oldValue);\n }\n }\n }\n};\n\n/**\n * Evaluate the value of the watcher.\n * This only gets called for lazy watchers.\n */\nWatcher.prototype.evaluate = function evaluate () {\n this.value = this.get();\n this.dirty = false;\n};\n\n/**\n * Depend on all deps collected by this watcher.\n */\nWatcher.prototype.depend = function depend () {\n var i = this.deps.length;\n while (i--) {\n this.deps[i].depend();\n }\n};\n\n/**\n * Remove self from all dependencies' subscriber list.\n */\nWatcher.prototype.teardown = function teardown () {\n if (this.active) {\n // remove self from vm's watcher list\n // this is a somewhat expensive operation so we skip it\n // if the vm is being destroyed.\n if (!this.vm._isBeingDestroyed) {\n remove(this.vm._watchers, this);\n }\n var i = this.deps.length;\n while (i--) {\n this.deps[i].removeSub(this);\n }\n this.active = false;\n }\n};\n\n/* */\n\nvar sharedPropertyDefinition = {\n enumerable: true,\n configurable: true,\n get: noop,\n set: noop\n};\n\nfunction proxy (target, sourceKey, key) {\n sharedPropertyDefinition.get = function proxyGetter () {\n return this[sourceKey][key]\n };\n sharedPropertyDefinition.set = function proxySetter (val) {\n this[sourceKey][key] = val;\n };\n Object.defineProperty(target, key, sharedPropertyDefinition);\n}\n\nfunction initState (vm) {\n vm._watchers = [];\n var opts = vm.$options;\n if (opts.props) { initProps(vm, opts.props); }\n if (opts.methods) { initMethods(vm, opts.methods); }\n if (opts.data) {\n initData(vm);\n } else {\n observe(vm._data = {}, true /* asRootData */);\n }\n if (opts.computed) { initComputed(vm, opts.computed); }\n if (opts.watch && opts.watch !== nativeWatch) {\n initWatch(vm, opts.watch);\n }\n}\n\nfunction initProps (vm, propsOptions) {\n var propsData = vm.$options.propsData || {};\n var props = vm._props = {};\n // cache prop keys so that future props updates can iterate using Array\n // instead of dynamic object key enumeration.\n var keys = vm.$options._propKeys = [];\n var isRoot = !vm.$parent;\n // root instance props should be converted\n if (!isRoot) {\n toggleObserving(false);\n }\n var loop = function ( key ) {\n keys.push(key);\n var value = validateProp(key, propsOptions, propsData, vm);\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n var hyphenatedKey = hyphenate(key);\n if (isReservedAttribute(hyphenatedKey) ||\n config.isReservedAttr(hyphenatedKey)) {\n warn(\n (\"\\\"\" + hyphenatedKey + \"\\\" is a reserved attribute and cannot be used as component prop.\"),\n vm\n );\n }\n defineReactive$$1(props, key, value, function () {\n if (!isRoot && !isUpdatingChildComponent) {\n warn(\n \"Avoid mutating a prop directly since the value will be \" +\n \"overwritten whenever the parent component re-renders. \" +\n \"Instead, use a data or computed property based on the prop's \" +\n \"value. Prop being mutated: \\\"\" + key + \"\\\"\",\n vm\n );\n }\n });\n } else {\n defineReactive$$1(props, key, value);\n }\n // static props are already proxied on the component's prototype\n // during Vue.extend(). We only need to proxy props defined at\n // instantiation here.\n if (!(key in vm)) {\n proxy(vm, \"_props\", key);\n }\n };\n\n for (var key in propsOptions) loop( key );\n toggleObserving(true);\n}\n\nfunction initData (vm) {\n var data = vm.$options.data;\n data = vm._data = typeof data === 'function'\n ? getData(data, vm)\n : data || {};\n if (!isPlainObject(data)) {\n data = {};\n process.env.NODE_ENV !== 'production' && warn(\n 'data functions should return an object:\\n' +\n 'https://vuejs.org/v2/guide/components.html#data-Must-Be-a-Function',\n vm\n );\n }\n // proxy data on instance\n var keys = Object.keys(data);\n var props = vm.$options.props;\n var methods = vm.$options.methods;\n var i = keys.length;\n while (i--) {\n var key = keys[i];\n if (process.env.NODE_ENV !== 'production') {\n if (methods && hasOwn(methods, key)) {\n warn(\n (\"Method \\\"\" + key + \"\\\" has already been defined as a data property.\"),\n vm\n );\n }\n }\n if (props && hasOwn(props, key)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"The data property \\\"\" + key + \"\\\" is already declared as a prop. \" +\n \"Use prop default value instead.\",\n vm\n );\n } else if (!isReserved(key)) {\n proxy(vm, \"_data\", key);\n }\n }\n // observe data\n observe(data, true /* asRootData */);\n}\n\nfunction getData (data, vm) {\n // #7573 disable dep collection when invoking data getters\n pushTarget();\n try {\n return data.call(vm, vm)\n } catch (e) {\n handleError(e, vm, \"data()\");\n return {}\n } finally {\n popTarget();\n }\n}\n\nvar computedWatcherOptions = { lazy: true };\n\nfunction initComputed (vm, computed) {\n // $flow-disable-line\n var watchers = vm._computedWatchers = Object.create(null);\n // computed properties are just getters during SSR\n var isSSR = isServerRendering();\n\n for (var key in computed) {\n var userDef = computed[key];\n var getter = typeof userDef === 'function' ? userDef : userDef.get;\n if (process.env.NODE_ENV !== 'production' && getter == null) {\n warn(\n (\"Getter is missing for computed property \\\"\" + key + \"\\\".\"),\n vm\n );\n }\n\n if (!isSSR) {\n // create internal watcher for the computed property.\n watchers[key] = new Watcher(\n vm,\n getter || noop,\n noop,\n computedWatcherOptions\n );\n }\n\n // component-defined computed properties are already defined on the\n // component prototype. We only need to define computed properties defined\n // at instantiation here.\n if (!(key in vm)) {\n defineComputed(vm, key, userDef);\n } else if (process.env.NODE_ENV !== 'production') {\n if (key in vm.$data) {\n warn((\"The computed property \\\"\" + key + \"\\\" is already defined in data.\"), vm);\n } else if (vm.$options.props && key in vm.$options.props) {\n warn((\"The computed property \\\"\" + key + \"\\\" is already defined as a prop.\"), vm);\n }\n }\n }\n}\n\nfunction defineComputed (\n target,\n key,\n userDef\n) {\n var shouldCache = !isServerRendering();\n if (typeof userDef === 'function') {\n sharedPropertyDefinition.get = shouldCache\n ? createComputedGetter(key)\n : createGetterInvoker(userDef);\n sharedPropertyDefinition.set = noop;\n } else {\n sharedPropertyDefinition.get = userDef.get\n ? shouldCache && userDef.cache !== false\n ? createComputedGetter(key)\n : createGetterInvoker(userDef.get)\n : noop;\n sharedPropertyDefinition.set = userDef.set || noop;\n }\n if (process.env.NODE_ENV !== 'production' &&\n sharedPropertyDefinition.set === noop) {\n sharedPropertyDefinition.set = function () {\n warn(\n (\"Computed property \\\"\" + key + \"\\\" was assigned to but it has no setter.\"),\n this\n );\n };\n }\n Object.defineProperty(target, key, sharedPropertyDefinition);\n}\n\nfunction createComputedGetter (key) {\n return function computedGetter () {\n var watcher = this._computedWatchers && this._computedWatchers[key];\n if (watcher) {\n if (watcher.dirty) {\n watcher.evaluate();\n }\n if (Dep.target) {\n watcher.depend();\n }\n return watcher.value\n }\n }\n}\n\nfunction createGetterInvoker(fn) {\n return function computedGetter () {\n return fn.call(this, this)\n }\n}\n\nfunction initMethods (vm, methods) {\n var props = vm.$options.props;\n for (var key in methods) {\n if (process.env.NODE_ENV !== 'production') {\n if (typeof methods[key] !== 'function') {\n warn(\n \"Method \\\"\" + key + \"\\\" has type \\\"\" + (typeof methods[key]) + \"\\\" in the component definition. \" +\n \"Did you reference the function correctly?\",\n vm\n );\n }\n if (props && hasOwn(props, key)) {\n warn(\n (\"Method \\\"\" + key + \"\\\" has already been defined as a prop.\"),\n vm\n );\n }\n if ((key in vm) && isReserved(key)) {\n warn(\n \"Method \\\"\" + key + \"\\\" conflicts with an existing Vue instance method. \" +\n \"Avoid defining component methods that start with _ or $.\"\n );\n }\n }\n vm[key] = typeof methods[key] !== 'function' ? noop : bind(methods[key], vm);\n }\n}\n\nfunction initWatch (vm, watch) {\n for (var key in watch) {\n var handler = watch[key];\n if (Array.isArray(handler)) {\n for (var i = 0; i < handler.length; i++) {\n createWatcher(vm, key, handler[i]);\n }\n } else {\n createWatcher(vm, key, handler);\n }\n }\n}\n\nfunction createWatcher (\n vm,\n expOrFn,\n handler,\n options\n) {\n if (isPlainObject(handler)) {\n options = handler;\n handler = handler.handler;\n }\n if (typeof handler === 'string') {\n handler = vm[handler];\n }\n return vm.$watch(expOrFn, handler, options)\n}\n\nfunction stateMixin (Vue) {\n // flow somehow has problems with directly declared definition object\n // when using Object.defineProperty, so we have to procedurally build up\n // the object here.\n var dataDef = {};\n dataDef.get = function () { return this._data };\n var propsDef = {};\n propsDef.get = function () { return this._props };\n if (process.env.NODE_ENV !== 'production') {\n dataDef.set = function () {\n warn(\n 'Avoid replacing instance root $data. ' +\n 'Use nested data properties instead.',\n this\n );\n };\n propsDef.set = function () {\n warn(\"$props is readonly.\", this);\n };\n }\n Object.defineProperty(Vue.prototype, '$data', dataDef);\n Object.defineProperty(Vue.prototype, '$props', propsDef);\n\n Vue.prototype.$set = set;\n Vue.prototype.$delete = del;\n\n Vue.prototype.$watch = function (\n expOrFn,\n cb,\n options\n ) {\n var vm = this;\n if (isPlainObject(cb)) {\n return createWatcher(vm, expOrFn, cb, options)\n }\n options = options || {};\n options.user = true;\n var watcher = new Watcher(vm, expOrFn, cb, options);\n if (options.immediate) {\n try {\n cb.call(vm, watcher.value);\n } catch (error) {\n handleError(error, vm, (\"callback for immediate watcher \\\"\" + (watcher.expression) + \"\\\"\"));\n }\n }\n return function unwatchFn () {\n watcher.teardown();\n }\n };\n}\n\n/* */\n\nvar uid$3 = 0;\n\nfunction initMixin (Vue) {\n Vue.prototype._init = function (options) {\n var vm = this;\n // a uid\n vm._uid = uid$3++;\n\n var startTag, endTag;\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n startTag = \"vue-perf-start:\" + (vm._uid);\n endTag = \"vue-perf-end:\" + (vm._uid);\n mark(startTag);\n }\n\n // a flag to avoid this being observed\n vm._isVue = true;\n // merge options\n if (options && options._isComponent) {\n // optimize internal component instantiation\n // since dynamic options merging is pretty slow, and none of the\n // internal component options needs special treatment.\n initInternalComponent(vm, options);\n } else {\n vm.$options = mergeOptions(\n resolveConstructorOptions(vm.constructor),\n options || {},\n vm\n );\n }\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n initProxy(vm);\n } else {\n vm._renderProxy = vm;\n }\n // expose real self\n vm._self = vm;\n initLifecycle(vm);\n initEvents(vm);\n initRender(vm);\n callHook(vm, 'beforeCreate');\n initInjections(vm); // resolve injections before data/props\n initState(vm);\n initProvide(vm); // resolve provide after data/props\n callHook(vm, 'created');\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n vm._name = formatComponentName(vm, false);\n mark(endTag);\n measure((\"vue \" + (vm._name) + \" init\"), startTag, endTag);\n }\n\n if (vm.$options.el) {\n vm.$mount(vm.$options.el);\n }\n };\n}\n\nfunction initInternalComponent (vm, options) {\n var opts = vm.$options = Object.create(vm.constructor.options);\n // doing this because it's faster than dynamic enumeration.\n var parentVnode = options._parentVnode;\n opts.parent = options.parent;\n opts._parentVnode = parentVnode;\n\n var vnodeComponentOptions = parentVnode.componentOptions;\n opts.propsData = vnodeComponentOptions.propsData;\n opts._parentListeners = vnodeComponentOptions.listeners;\n opts._renderChildren = vnodeComponentOptions.children;\n opts._componentTag = vnodeComponentOptions.tag;\n\n if (options.render) {\n opts.render = options.render;\n opts.staticRenderFns = options.staticRenderFns;\n }\n}\n\nfunction resolveConstructorOptions (Ctor) {\n var options = Ctor.options;\n if (Ctor.super) {\n var superOptions = resolveConstructorOptions(Ctor.super);\n var cachedSuperOptions = Ctor.superOptions;\n if (superOptions !== cachedSuperOptions) {\n // super option changed,\n // need to resolve new options.\n Ctor.superOptions = superOptions;\n // check if there are any late-modified/attached options (#4976)\n var modifiedOptions = resolveModifiedOptions(Ctor);\n // update base extend options\n if (modifiedOptions) {\n extend(Ctor.extendOptions, modifiedOptions);\n }\n options = Ctor.options = mergeOptions(superOptions, Ctor.extendOptions);\n if (options.name) {\n options.components[options.name] = Ctor;\n }\n }\n }\n return options\n}\n\nfunction resolveModifiedOptions (Ctor) {\n var modified;\n var latest = Ctor.options;\n var sealed = Ctor.sealedOptions;\n for (var key in latest) {\n if (latest[key] !== sealed[key]) {\n if (!modified) { modified = {}; }\n modified[key] = latest[key];\n }\n }\n return modified\n}\n\nfunction Vue (options) {\n if (process.env.NODE_ENV !== 'production' &&\n !(this instanceof Vue)\n ) {\n warn('Vue is a constructor and should be called with the `new` keyword');\n }\n this._init(options);\n}\n\ninitMixin(Vue);\nstateMixin(Vue);\neventsMixin(Vue);\nlifecycleMixin(Vue);\nrenderMixin(Vue);\n\n/* */\n\nfunction initUse (Vue) {\n Vue.use = function (plugin) {\n var installedPlugins = (this._installedPlugins || (this._installedPlugins = []));\n if (installedPlugins.indexOf(plugin) > -1) {\n return this\n }\n\n // additional parameters\n var args = toArray(arguments, 1);\n args.unshift(this);\n if (typeof plugin.install === 'function') {\n plugin.install.apply(plugin, args);\n } else if (typeof plugin === 'function') {\n plugin.apply(null, args);\n }\n installedPlugins.push(plugin);\n return this\n };\n}\n\n/* */\n\nfunction initMixin$1 (Vue) {\n Vue.mixin = function (mixin) {\n this.options = mergeOptions(this.options, mixin);\n return this\n };\n}\n\n/* */\n\nfunction initExtend (Vue) {\n /**\n * Each instance constructor, including Vue, has a unique\n * cid. This enables us to create wrapped \"child\n * constructors\" for prototypal inheritance and cache them.\n */\n Vue.cid = 0;\n var cid = 1;\n\n /**\n * Class inheritance\n */\n Vue.extend = function (extendOptions) {\n extendOptions = extendOptions || {};\n var Super = this;\n var SuperId = Super.cid;\n var cachedCtors = extendOptions._Ctor || (extendOptions._Ctor = {});\n if (cachedCtors[SuperId]) {\n return cachedCtors[SuperId]\n }\n\n var name = extendOptions.name || Super.options.name;\n if (process.env.NODE_ENV !== 'production' && name) {\n validateComponentName(name);\n }\n\n var Sub = function VueComponent (options) {\n this._init(options);\n };\n Sub.prototype = Object.create(Super.prototype);\n Sub.prototype.constructor = Sub;\n Sub.cid = cid++;\n Sub.options = mergeOptions(\n Super.options,\n extendOptions\n );\n Sub['super'] = Super;\n\n // For props and computed properties, we define the proxy getters on\n // the Vue instances at extension time, on the extended prototype. This\n // avoids Object.defineProperty calls for each instance created.\n if (Sub.options.props) {\n initProps$1(Sub);\n }\n if (Sub.options.computed) {\n initComputed$1(Sub);\n }\n\n // allow further extension/mixin/plugin usage\n Sub.extend = Super.extend;\n Sub.mixin = Super.mixin;\n Sub.use = Super.use;\n\n // create asset registers, so extended classes\n // can have their private assets too.\n ASSET_TYPES.forEach(function (type) {\n Sub[type] = Super[type];\n });\n // enable recursive self-lookup\n if (name) {\n Sub.options.components[name] = Sub;\n }\n\n // keep a reference to the super options at extension time.\n // later at instantiation we can check if Super's options have\n // been updated.\n Sub.superOptions = Super.options;\n Sub.extendOptions = extendOptions;\n Sub.sealedOptions = extend({}, Sub.options);\n\n // cache constructor\n cachedCtors[SuperId] = Sub;\n return Sub\n };\n}\n\nfunction initProps$1 (Comp) {\n var props = Comp.options.props;\n for (var key in props) {\n proxy(Comp.prototype, \"_props\", key);\n }\n}\n\nfunction initComputed$1 (Comp) {\n var computed = Comp.options.computed;\n for (var key in computed) {\n defineComputed(Comp.prototype, key, computed[key]);\n }\n}\n\n/* */\n\nfunction initAssetRegisters (Vue) {\n /**\n * Create asset registration methods.\n */\n ASSET_TYPES.forEach(function (type) {\n Vue[type] = function (\n id,\n definition\n ) {\n if (!definition) {\n return this.options[type + 's'][id]\n } else {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && type === 'component') {\n validateComponentName(id);\n }\n if (type === 'component' && isPlainObject(definition)) {\n definition.name = definition.name || id;\n definition = this.options._base.extend(definition);\n }\n if (type === 'directive' && typeof definition === 'function') {\n definition = { bind: definition, update: definition };\n }\n this.options[type + 's'][id] = definition;\n return definition\n }\n };\n });\n}\n\n/* */\n\n\n\nfunction getComponentName (opts) {\n return opts && (opts.Ctor.options.name || opts.tag)\n}\n\nfunction matches (pattern, name) {\n if (Array.isArray(pattern)) {\n return pattern.indexOf(name) > -1\n } else if (typeof pattern === 'string') {\n return pattern.split(',').indexOf(name) > -1\n } else if (isRegExp(pattern)) {\n return pattern.test(name)\n }\n /* istanbul ignore next */\n return false\n}\n\nfunction pruneCache (keepAliveInstance, filter) {\n var cache = keepAliveInstance.cache;\n var keys = keepAliveInstance.keys;\n var _vnode = keepAliveInstance._vnode;\n for (var key in cache) {\n var cachedNode = cache[key];\n if (cachedNode) {\n var name = getComponentName(cachedNode.componentOptions);\n if (name && !filter(name)) {\n pruneCacheEntry(cache, key, keys, _vnode);\n }\n }\n }\n}\n\nfunction pruneCacheEntry (\n cache,\n key,\n keys,\n current\n) {\n var cached$$1 = cache[key];\n if (cached$$1 && (!current || cached$$1.tag !== current.tag)) {\n cached$$1.componentInstance.$destroy();\n }\n cache[key] = null;\n remove(keys, key);\n}\n\nvar patternTypes = [String, RegExp, Array];\n\nvar KeepAlive = {\n name: 'keep-alive',\n abstract: true,\n\n props: {\n include: patternTypes,\n exclude: patternTypes,\n max: [String, Number]\n },\n\n created: function created () {\n this.cache = Object.create(null);\n this.keys = [];\n },\n\n destroyed: function destroyed () {\n for (var key in this.cache) {\n pruneCacheEntry(this.cache, key, this.keys);\n }\n },\n\n mounted: function mounted () {\n var this$1 = this;\n\n this.$watch('include', function (val) {\n pruneCache(this$1, function (name) { return matches(val, name); });\n });\n this.$watch('exclude', function (val) {\n pruneCache(this$1, function (name) { return !matches(val, name); });\n });\n },\n\n render: function render () {\n var slot = this.$slots.default;\n var vnode = getFirstComponentChild(slot);\n var componentOptions = vnode && vnode.componentOptions;\n if (componentOptions) {\n // check pattern\n var name = getComponentName(componentOptions);\n var ref = this;\n var include = ref.include;\n var exclude = ref.exclude;\n if (\n // not included\n (include && (!name || !matches(include, name))) ||\n // excluded\n (exclude && name && matches(exclude, name))\n ) {\n return vnode\n }\n\n var ref$1 = this;\n var cache = ref$1.cache;\n var keys = ref$1.keys;\n var key = vnode.key == null\n // same constructor may get registered as different local components\n // so cid alone is not enough (#3269)\n ? componentOptions.Ctor.cid + (componentOptions.tag ? (\"::\" + (componentOptions.tag)) : '')\n : vnode.key;\n if (cache[key]) {\n vnode.componentInstance = cache[key].componentInstance;\n // make current key freshest\n remove(keys, key);\n keys.push(key);\n } else {\n cache[key] = vnode;\n keys.push(key);\n // prune oldest entry\n if (this.max && keys.length > parseInt(this.max)) {\n pruneCacheEntry(cache, keys[0], keys, this._vnode);\n }\n }\n\n vnode.data.keepAlive = true;\n }\n return vnode || (slot && slot[0])\n }\n};\n\nvar builtInComponents = {\n KeepAlive: KeepAlive\n};\n\n/* */\n\nfunction initGlobalAPI (Vue) {\n // config\n var configDef = {};\n configDef.get = function () { return config; };\n if (process.env.NODE_ENV !== 'production') {\n configDef.set = function () {\n warn(\n 'Do not replace the Vue.config object, set individual fields instead.'\n );\n };\n }\n Object.defineProperty(Vue, 'config', configDef);\n\n // exposed util methods.\n // NOTE: these are not considered part of the public API - avoid relying on\n // them unless you are aware of the risk.\n Vue.util = {\n warn: warn,\n extend: extend,\n mergeOptions: mergeOptions,\n defineReactive: defineReactive$$1\n };\n\n Vue.set = set;\n Vue.delete = del;\n Vue.nextTick = nextTick;\n\n // 2.6 explicit observable API\n Vue.observable = function (obj) {\n observe(obj);\n return obj\n };\n\n Vue.options = Object.create(null);\n ASSET_TYPES.forEach(function (type) {\n Vue.options[type + 's'] = Object.create(null);\n });\n\n // this is used to identify the \"base\" constructor to extend all plain-object\n // components with in Weex's multi-instance scenarios.\n Vue.options._base = Vue;\n\n extend(Vue.options.components, builtInComponents);\n\n initUse(Vue);\n initMixin$1(Vue);\n initExtend(Vue);\n initAssetRegisters(Vue);\n}\n\ninitGlobalAPI(Vue);\n\nObject.defineProperty(Vue.prototype, '$isServer', {\n get: isServerRendering\n});\n\nObject.defineProperty(Vue.prototype, '$ssrContext', {\n get: function get () {\n /* istanbul ignore next */\n return this.$vnode && this.$vnode.ssrContext\n }\n});\n\n// expose FunctionalRenderContext for ssr runtime helper installation\nObject.defineProperty(Vue, 'FunctionalRenderContext', {\n value: FunctionalRenderContext\n});\n\nVue.version = '2.6.11';\n\n/* */\n\n// these are reserved for web because they are directly compiled away\n// during template compilation\nvar isReservedAttr = makeMap('style,class');\n\n// attributes that should be using props for binding\nvar acceptValue = makeMap('input,textarea,option,select,progress');\nvar mustUseProp = function (tag, type, attr) {\n return (\n (attr === 'value' && acceptValue(tag)) && type !== 'button' ||\n (attr === 'selected' && tag === 'option') ||\n (attr === 'checked' && tag === 'input') ||\n (attr === 'muted' && tag === 'video')\n )\n};\n\nvar isEnumeratedAttr = makeMap('contenteditable,draggable,spellcheck');\n\nvar isValidContentEditableValue = makeMap('events,caret,typing,plaintext-only');\n\nvar convertEnumeratedValue = function (key, value) {\n return isFalsyAttrValue(value) || value === 'false'\n ? 'false'\n // allow arbitrary string value for contenteditable\n : key === 'contenteditable' && isValidContentEditableValue(value)\n ? value\n : 'true'\n};\n\nvar isBooleanAttr = makeMap(\n 'allowfullscreen,async,autofocus,autoplay,checked,compact,controls,declare,' +\n 'default,defaultchecked,defaultmuted,defaultselected,defer,disabled,' +\n 'enabled,formnovalidate,hidden,indeterminate,inert,ismap,itemscope,loop,multiple,' +\n 'muted,nohref,noresize,noshade,novalidate,nowrap,open,pauseonexit,readonly,' +\n 'required,reversed,scoped,seamless,selected,sortable,translate,' +\n 'truespeed,typemustmatch,visible'\n);\n\nvar xlinkNS = 'http://www.w3.org/1999/xlink';\n\nvar isXlink = function (name) {\n return name.charAt(5) === ':' && name.slice(0, 5) === 'xlink'\n};\n\nvar getXlinkProp = function (name) {\n return isXlink(name) ? name.slice(6, name.length) : ''\n};\n\nvar isFalsyAttrValue = function (val) {\n return val == null || val === false\n};\n\n/* */\n\nfunction genClassForVnode (vnode) {\n var data = vnode.data;\n var parentNode = vnode;\n var childNode = vnode;\n while (isDef(childNode.componentInstance)) {\n childNode = childNode.componentInstance._vnode;\n if (childNode && childNode.data) {\n data = mergeClassData(childNode.data, data);\n }\n }\n while (isDef(parentNode = parentNode.parent)) {\n if (parentNode && parentNode.data) {\n data = mergeClassData(data, parentNode.data);\n }\n }\n return renderClass(data.staticClass, data.class)\n}\n\nfunction mergeClassData (child, parent) {\n return {\n staticClass: concat(child.staticClass, parent.staticClass),\n class: isDef(child.class)\n ? [child.class, parent.class]\n : parent.class\n }\n}\n\nfunction renderClass (\n staticClass,\n dynamicClass\n) {\n if (isDef(staticClass) || isDef(dynamicClass)) {\n return concat(staticClass, stringifyClass(dynamicClass))\n }\n /* istanbul ignore next */\n return ''\n}\n\nfunction concat (a, b) {\n return a ? b ? (a + ' ' + b) : a : (b || '')\n}\n\nfunction stringifyClass (value) {\n if (Array.isArray(value)) {\n return stringifyArray(value)\n }\n if (isObject(value)) {\n return stringifyObject(value)\n }\n if (typeof value === 'string') {\n return value\n }\n /* istanbul ignore next */\n return ''\n}\n\nfunction stringifyArray (value) {\n var res = '';\n var stringified;\n for (var i = 0, l = value.length; i < l; i++) {\n if (isDef(stringified = stringifyClass(value[i])) && stringified !== '') {\n if (res) { res += ' '; }\n res += stringified;\n }\n }\n return res\n}\n\nfunction stringifyObject (value) {\n var res = '';\n for (var key in value) {\n if (value[key]) {\n if (res) { res += ' '; }\n res += key;\n }\n }\n return res\n}\n\n/* */\n\nvar namespaceMap = {\n svg: 'http://www.w3.org/2000/svg',\n math: 'http://www.w3.org/1998/Math/MathML'\n};\n\nvar isHTMLTag = makeMap(\n 'html,body,base,head,link,meta,style,title,' +\n 'address,article,aside,footer,header,h1,h2,h3,h4,h5,h6,hgroup,nav,section,' +\n 'div,dd,dl,dt,figcaption,figure,picture,hr,img,li,main,ol,p,pre,ul,' +\n 'a,b,abbr,bdi,bdo,br,cite,code,data,dfn,em,i,kbd,mark,q,rp,rt,rtc,ruby,' +\n 's,samp,small,span,strong,sub,sup,time,u,var,wbr,area,audio,map,track,video,' +\n 'embed,object,param,source,canvas,script,noscript,del,ins,' +\n 'caption,col,colgroup,table,thead,tbody,td,th,tr,' +\n 'button,datalist,fieldset,form,input,label,legend,meter,optgroup,option,' +\n 'output,progress,select,textarea,' +\n 'details,dialog,menu,menuitem,summary,' +\n 'content,element,shadow,template,blockquote,iframe,tfoot'\n);\n\n// this map is intentionally selective, only covering SVG elements that may\n// contain child elements.\nvar isSVG = makeMap(\n 'svg,animate,circle,clippath,cursor,defs,desc,ellipse,filter,font-face,' +\n 'foreignObject,g,glyph,image,line,marker,mask,missing-glyph,path,pattern,' +\n 'polygon,polyline,rect,switch,symbol,text,textpath,tspan,use,view',\n true\n);\n\nvar isPreTag = function (tag) { return tag === 'pre'; };\n\nvar isReservedTag = function (tag) {\n return isHTMLTag(tag) || isSVG(tag)\n};\n\nfunction getTagNamespace (tag) {\n if (isSVG(tag)) {\n return 'svg'\n }\n // basic support for MathML\n // note it doesn't support other MathML elements being component roots\n if (tag === 'math') {\n return 'math'\n }\n}\n\nvar unknownElementCache = Object.create(null);\nfunction isUnknownElement (tag) {\n /* istanbul ignore if */\n if (!inBrowser) {\n return true\n }\n if (isReservedTag(tag)) {\n return false\n }\n tag = tag.toLowerCase();\n /* istanbul ignore if */\n if (unknownElementCache[tag] != null) {\n return unknownElementCache[tag]\n }\n var el = document.createElement(tag);\n if (tag.indexOf('-') > -1) {\n // http://stackoverflow.com/a/28210364/1070244\n return (unknownElementCache[tag] = (\n el.constructor === window.HTMLUnknownElement ||\n el.constructor === window.HTMLElement\n ))\n } else {\n return (unknownElementCache[tag] = /HTMLUnknownElement/.test(el.toString()))\n }\n}\n\nvar isTextInputType = makeMap('text,number,password,search,email,tel,url');\n\n/* */\n\n/**\n * Query an element selector if it's not an element already.\n */\nfunction query (el) {\n if (typeof el === 'string') {\n var selected = document.querySelector(el);\n if (!selected) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Cannot find element: ' + el\n );\n return document.createElement('div')\n }\n return selected\n } else {\n return el\n }\n}\n\n/* */\n\nfunction createElement$1 (tagName, vnode) {\n var elm = document.createElement(tagName);\n if (tagName !== 'select') {\n return elm\n }\n // false or null will remove the attribute but undefined will not\n if (vnode.data && vnode.data.attrs && vnode.data.attrs.multiple !== undefined) {\n elm.setAttribute('multiple', 'multiple');\n }\n return elm\n}\n\nfunction createElementNS (namespace, tagName) {\n return document.createElementNS(namespaceMap[namespace], tagName)\n}\n\nfunction createTextNode (text) {\n return document.createTextNode(text)\n}\n\nfunction createComment (text) {\n return document.createComment(text)\n}\n\nfunction insertBefore (parentNode, newNode, referenceNode) {\n parentNode.insertBefore(newNode, referenceNode);\n}\n\nfunction removeChild (node, child) {\n node.removeChild(child);\n}\n\nfunction appendChild (node, child) {\n node.appendChild(child);\n}\n\nfunction parentNode (node) {\n return node.parentNode\n}\n\nfunction nextSibling (node) {\n return node.nextSibling\n}\n\nfunction tagName (node) {\n return node.tagName\n}\n\nfunction setTextContent (node, text) {\n node.textContent = text;\n}\n\nfunction setStyleScope (node, scopeId) {\n node.setAttribute(scopeId, '');\n}\n\nvar nodeOps = /*#__PURE__*/Object.freeze({\n createElement: createElement$1,\n createElementNS: createElementNS,\n createTextNode: createTextNode,\n createComment: createComment,\n insertBefore: insertBefore,\n removeChild: removeChild,\n appendChild: appendChild,\n parentNode: parentNode,\n nextSibling: nextSibling,\n tagName: tagName,\n setTextContent: setTextContent,\n setStyleScope: setStyleScope\n});\n\n/* */\n\nvar ref = {\n create: function create (_, vnode) {\n registerRef(vnode);\n },\n update: function update (oldVnode, vnode) {\n if (oldVnode.data.ref !== vnode.data.ref) {\n registerRef(oldVnode, true);\n registerRef(vnode);\n }\n },\n destroy: function destroy (vnode) {\n registerRef(vnode, true);\n }\n};\n\nfunction registerRef (vnode, isRemoval) {\n var key = vnode.data.ref;\n if (!isDef(key)) { return }\n\n var vm = vnode.context;\n var ref = vnode.componentInstance || vnode.elm;\n var refs = vm.$refs;\n if (isRemoval) {\n if (Array.isArray(refs[key])) {\n remove(refs[key], ref);\n } else if (refs[key] === ref) {\n refs[key] = undefined;\n }\n } else {\n if (vnode.data.refInFor) {\n if (!Array.isArray(refs[key])) {\n refs[key] = [ref];\n } else if (refs[key].indexOf(ref) < 0) {\n // $flow-disable-line\n refs[key].push(ref);\n }\n } else {\n refs[key] = ref;\n }\n }\n}\n\n/**\n * Virtual DOM patching algorithm based on Snabbdom by\n * Simon Friis Vindum (@paldepind)\n * Licensed under the MIT License\n * https://github.com/paldepind/snabbdom/blob/master/LICENSE\n *\n * modified by Evan You (@yyx990803)\n *\n * Not type-checking this because this file is perf-critical and the cost\n * of making flow understand it is not worth it.\n */\n\nvar emptyNode = new VNode('', {}, []);\n\nvar hooks = ['create', 'activate', 'update', 'remove', 'destroy'];\n\nfunction sameVnode (a, b) {\n return (\n a.key === b.key && (\n (\n a.tag === b.tag &&\n a.isComment === b.isComment &&\n isDef(a.data) === isDef(b.data) &&\n sameInputType(a, b)\n ) || (\n isTrue(a.isAsyncPlaceholder) &&\n a.asyncFactory === b.asyncFactory &&\n isUndef(b.asyncFactory.error)\n )\n )\n )\n}\n\nfunction sameInputType (a, b) {\n if (a.tag !== 'input') { return true }\n var i;\n var typeA = isDef(i = a.data) && isDef(i = i.attrs) && i.type;\n var typeB = isDef(i = b.data) && isDef(i = i.attrs) && i.type;\n return typeA === typeB || isTextInputType(typeA) && isTextInputType(typeB)\n}\n\nfunction createKeyToOldIdx (children, beginIdx, endIdx) {\n var i, key;\n var map = {};\n for (i = beginIdx; i <= endIdx; ++i) {\n key = children[i].key;\n if (isDef(key)) { map[key] = i; }\n }\n return map\n}\n\nfunction createPatchFunction (backend) {\n var i, j;\n var cbs = {};\n\n var modules = backend.modules;\n var nodeOps = backend.nodeOps;\n\n for (i = 0; i < hooks.length; ++i) {\n cbs[hooks[i]] = [];\n for (j = 0; j < modules.length; ++j) {\n if (isDef(modules[j][hooks[i]])) {\n cbs[hooks[i]].push(modules[j][hooks[i]]);\n }\n }\n }\n\n function emptyNodeAt (elm) {\n return new VNode(nodeOps.tagName(elm).toLowerCase(), {}, [], undefined, elm)\n }\n\n function createRmCb (childElm, listeners) {\n function remove$$1 () {\n if (--remove$$1.listeners === 0) {\n removeNode(childElm);\n }\n }\n remove$$1.listeners = listeners;\n return remove$$1\n }\n\n function removeNode (el) {\n var parent = nodeOps.parentNode(el);\n // element may have already been removed due to v-html / v-text\n if (isDef(parent)) {\n nodeOps.removeChild(parent, el);\n }\n }\n\n function isUnknownElement$$1 (vnode, inVPre) {\n return (\n !inVPre &&\n !vnode.ns &&\n !(\n config.ignoredElements.length &&\n config.ignoredElements.some(function (ignore) {\n return isRegExp(ignore)\n ? ignore.test(vnode.tag)\n : ignore === vnode.tag\n })\n ) &&\n config.isUnknownElement(vnode.tag)\n )\n }\n\n var creatingElmInVPre = 0;\n\n function createElm (\n vnode,\n insertedVnodeQueue,\n parentElm,\n refElm,\n nested,\n ownerArray,\n index\n ) {\n if (isDef(vnode.elm) && isDef(ownerArray)) {\n // This vnode was used in a previous render!\n // now it's used as a new node, overwriting its elm would cause\n // potential patch errors down the road when it's used as an insertion\n // reference node. Instead, we clone the node on-demand before creating\n // associated DOM element for it.\n vnode = ownerArray[index] = cloneVNode(vnode);\n }\n\n vnode.isRootInsert = !nested; // for transition enter check\n if (createComponent(vnode, insertedVnodeQueue, parentElm, refElm)) {\n return\n }\n\n var data = vnode.data;\n var children = vnode.children;\n var tag = vnode.tag;\n if (isDef(tag)) {\n if (process.env.NODE_ENV !== 'production') {\n if (data && data.pre) {\n creatingElmInVPre++;\n }\n if (isUnknownElement$$1(vnode, creatingElmInVPre)) {\n warn(\n 'Unknown custom element: <' + tag + '> - did you ' +\n 'register the component correctly? For recursive components, ' +\n 'make sure to provide the \"name\" option.',\n vnode.context\n );\n }\n }\n\n vnode.elm = vnode.ns\n ? nodeOps.createElementNS(vnode.ns, tag)\n : nodeOps.createElement(tag, vnode);\n setScope(vnode);\n\n /* istanbul ignore if */\n {\n createChildren(vnode, children, insertedVnodeQueue);\n if (isDef(data)) {\n invokeCreateHooks(vnode, insertedVnodeQueue);\n }\n insert(parentElm, vnode.elm, refElm);\n }\n\n if (process.env.NODE_ENV !== 'production' && data && data.pre) {\n creatingElmInVPre--;\n }\n } else if (isTrue(vnode.isComment)) {\n vnode.elm = nodeOps.createComment(vnode.text);\n insert(parentElm, vnode.elm, refElm);\n } else {\n vnode.elm = nodeOps.createTextNode(vnode.text);\n insert(parentElm, vnode.elm, refElm);\n }\n }\n\n function createComponent (vnode, insertedVnodeQueue, parentElm, refElm) {\n var i = vnode.data;\n if (isDef(i)) {\n var isReactivated = isDef(vnode.componentInstance) && i.keepAlive;\n if (isDef(i = i.hook) && isDef(i = i.init)) {\n i(vnode, false /* hydrating */);\n }\n // after calling the init hook, if the vnode is a child component\n // it should've created a child instance and mounted it. the child\n // component also has set the placeholder vnode's elm.\n // in that case we can just return the element and be done.\n if (isDef(vnode.componentInstance)) {\n initComponent(vnode, insertedVnodeQueue);\n insert(parentElm, vnode.elm, refElm);\n if (isTrue(isReactivated)) {\n reactivateComponent(vnode, insertedVnodeQueue, parentElm, refElm);\n }\n return true\n }\n }\n }\n\n function initComponent (vnode, insertedVnodeQueue) {\n if (isDef(vnode.data.pendingInsert)) {\n insertedVnodeQueue.push.apply(insertedVnodeQueue, vnode.data.pendingInsert);\n vnode.data.pendingInsert = null;\n }\n vnode.elm = vnode.componentInstance.$el;\n if (isPatchable(vnode)) {\n invokeCreateHooks(vnode, insertedVnodeQueue);\n setScope(vnode);\n } else {\n // empty component root.\n // skip all element-related modules except for ref (#3455)\n registerRef(vnode);\n // make sure to invoke the insert hook\n insertedVnodeQueue.push(vnode);\n }\n }\n\n function reactivateComponent (vnode, insertedVnodeQueue, parentElm, refElm) {\n var i;\n // hack for #4339: a reactivated component with inner transition\n // does not trigger because the inner node's created hooks are not called\n // again. It's not ideal to involve module-specific logic in here but\n // there doesn't seem to be a better way to do it.\n var innerNode = vnode;\n while (innerNode.componentInstance) {\n innerNode = innerNode.componentInstance._vnode;\n if (isDef(i = innerNode.data) && isDef(i = i.transition)) {\n for (i = 0; i < cbs.activate.length; ++i) {\n cbs.activate[i](emptyNode, innerNode);\n }\n insertedVnodeQueue.push(innerNode);\n break\n }\n }\n // unlike a newly created component,\n // a reactivated keep-alive component doesn't insert itself\n insert(parentElm, vnode.elm, refElm);\n }\n\n function insert (parent, elm, ref$$1) {\n if (isDef(parent)) {\n if (isDef(ref$$1)) {\n if (nodeOps.parentNode(ref$$1) === parent) {\n nodeOps.insertBefore(parent, elm, ref$$1);\n }\n } else {\n nodeOps.appendChild(parent, elm);\n }\n }\n }\n\n function createChildren (vnode, children, insertedVnodeQueue) {\n if (Array.isArray(children)) {\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(children);\n }\n for (var i = 0; i < children.length; ++i) {\n createElm(children[i], insertedVnodeQueue, vnode.elm, null, true, children, i);\n }\n } else if (isPrimitive(vnode.text)) {\n nodeOps.appendChild(vnode.elm, nodeOps.createTextNode(String(vnode.text)));\n }\n }\n\n function isPatchable (vnode) {\n while (vnode.componentInstance) {\n vnode = vnode.componentInstance._vnode;\n }\n return isDef(vnode.tag)\n }\n\n function invokeCreateHooks (vnode, insertedVnodeQueue) {\n for (var i$1 = 0; i$1 < cbs.create.length; ++i$1) {\n cbs.create[i$1](emptyNode, vnode);\n }\n i = vnode.data.hook; // Reuse variable\n if (isDef(i)) {\n if (isDef(i.create)) { i.create(emptyNode, vnode); }\n if (isDef(i.insert)) { insertedVnodeQueue.push(vnode); }\n }\n }\n\n // set scope id attribute for scoped CSS.\n // this is implemented as a special case to avoid the overhead\n // of going through the normal attribute patching process.\n function setScope (vnode) {\n var i;\n if (isDef(i = vnode.fnScopeId)) {\n nodeOps.setStyleScope(vnode.elm, i);\n } else {\n var ancestor = vnode;\n while (ancestor) {\n if (isDef(i = ancestor.context) && isDef(i = i.$options._scopeId)) {\n nodeOps.setStyleScope(vnode.elm, i);\n }\n ancestor = ancestor.parent;\n }\n }\n // for slot content they should also get the scopeId from the host instance.\n if (isDef(i = activeInstance) &&\n i !== vnode.context &&\n i !== vnode.fnContext &&\n isDef(i = i.$options._scopeId)\n ) {\n nodeOps.setStyleScope(vnode.elm, i);\n }\n }\n\n function addVnodes (parentElm, refElm, vnodes, startIdx, endIdx, insertedVnodeQueue) {\n for (; startIdx <= endIdx; ++startIdx) {\n createElm(vnodes[startIdx], insertedVnodeQueue, parentElm, refElm, false, vnodes, startIdx);\n }\n }\n\n function invokeDestroyHook (vnode) {\n var i, j;\n var data = vnode.data;\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.destroy)) { i(vnode); }\n for (i = 0; i < cbs.destroy.length; ++i) { cbs.destroy[i](vnode); }\n }\n if (isDef(i = vnode.children)) {\n for (j = 0; j < vnode.children.length; ++j) {\n invokeDestroyHook(vnode.children[j]);\n }\n }\n }\n\n function removeVnodes (vnodes, startIdx, endIdx) {\n for (; startIdx <= endIdx; ++startIdx) {\n var ch = vnodes[startIdx];\n if (isDef(ch)) {\n if (isDef(ch.tag)) {\n removeAndInvokeRemoveHook(ch);\n invokeDestroyHook(ch);\n } else { // Text node\n removeNode(ch.elm);\n }\n }\n }\n }\n\n function removeAndInvokeRemoveHook (vnode, rm) {\n if (isDef(rm) || isDef(vnode.data)) {\n var i;\n var listeners = cbs.remove.length + 1;\n if (isDef(rm)) {\n // we have a recursively passed down rm callback\n // increase the listeners count\n rm.listeners += listeners;\n } else {\n // directly removing\n rm = createRmCb(vnode.elm, listeners);\n }\n // recursively invoke hooks on child component root node\n if (isDef(i = vnode.componentInstance) && isDef(i = i._vnode) && isDef(i.data)) {\n removeAndInvokeRemoveHook(i, rm);\n }\n for (i = 0; i < cbs.remove.length; ++i) {\n cbs.remove[i](vnode, rm);\n }\n if (isDef(i = vnode.data.hook) && isDef(i = i.remove)) {\n i(vnode, rm);\n } else {\n rm();\n }\n } else {\n removeNode(vnode.elm);\n }\n }\n\n function updateChildren (parentElm, oldCh, newCh, insertedVnodeQueue, removeOnly) {\n var oldStartIdx = 0;\n var newStartIdx = 0;\n var oldEndIdx = oldCh.length - 1;\n var oldStartVnode = oldCh[0];\n var oldEndVnode = oldCh[oldEndIdx];\n var newEndIdx = newCh.length - 1;\n var newStartVnode = newCh[0];\n var newEndVnode = newCh[newEndIdx];\n var oldKeyToIdx, idxInOld, vnodeToMove, refElm;\n\n // removeOnly is a special flag used only by <transition-group>\n // to ensure removed elements stay in correct relative positions\n // during leaving transitions\n var canMove = !removeOnly;\n\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(newCh);\n }\n\n while (oldStartIdx <= oldEndIdx && newStartIdx <= newEndIdx) {\n if (isUndef(oldStartVnode)) {\n oldStartVnode = oldCh[++oldStartIdx]; // Vnode has been moved left\n } else if (isUndef(oldEndVnode)) {\n oldEndVnode = oldCh[--oldEndIdx];\n } else if (sameVnode(oldStartVnode, newStartVnode)) {\n patchVnode(oldStartVnode, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n oldStartVnode = oldCh[++oldStartIdx];\n newStartVnode = newCh[++newStartIdx];\n } else if (sameVnode(oldEndVnode, newEndVnode)) {\n patchVnode(oldEndVnode, newEndVnode, insertedVnodeQueue, newCh, newEndIdx);\n oldEndVnode = oldCh[--oldEndIdx];\n newEndVnode = newCh[--newEndIdx];\n } else if (sameVnode(oldStartVnode, newEndVnode)) { // Vnode moved right\n patchVnode(oldStartVnode, newEndVnode, insertedVnodeQueue, newCh, newEndIdx);\n canMove && nodeOps.insertBefore(parentElm, oldStartVnode.elm, nodeOps.nextSibling(oldEndVnode.elm));\n oldStartVnode = oldCh[++oldStartIdx];\n newEndVnode = newCh[--newEndIdx];\n } else if (sameVnode(oldEndVnode, newStartVnode)) { // Vnode moved left\n patchVnode(oldEndVnode, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n canMove && nodeOps.insertBefore(parentElm, oldEndVnode.elm, oldStartVnode.elm);\n oldEndVnode = oldCh[--oldEndIdx];\n newStartVnode = newCh[++newStartIdx];\n } else {\n if (isUndef(oldKeyToIdx)) { oldKeyToIdx = createKeyToOldIdx(oldCh, oldStartIdx, oldEndIdx); }\n idxInOld = isDef(newStartVnode.key)\n ? oldKeyToIdx[newStartVnode.key]\n : findIdxInOld(newStartVnode, oldCh, oldStartIdx, oldEndIdx);\n if (isUndef(idxInOld)) { // New element\n createElm(newStartVnode, insertedVnodeQueue, parentElm, oldStartVnode.elm, false, newCh, newStartIdx);\n } else {\n vnodeToMove = oldCh[idxInOld];\n if (sameVnode(vnodeToMove, newStartVnode)) {\n patchVnode(vnodeToMove, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n oldCh[idxInOld] = undefined;\n canMove && nodeOps.insertBefore(parentElm, vnodeToMove.elm, oldStartVnode.elm);\n } else {\n // same key but different element. treat as new element\n createElm(newStartVnode, insertedVnodeQueue, parentElm, oldStartVnode.elm, false, newCh, newStartIdx);\n }\n }\n newStartVnode = newCh[++newStartIdx];\n }\n }\n if (oldStartIdx > oldEndIdx) {\n refElm = isUndef(newCh[newEndIdx + 1]) ? null : newCh[newEndIdx + 1].elm;\n addVnodes(parentElm, refElm, newCh, newStartIdx, newEndIdx, insertedVnodeQueue);\n } else if (newStartIdx > newEndIdx) {\n removeVnodes(oldCh, oldStartIdx, oldEndIdx);\n }\n }\n\n function checkDuplicateKeys (children) {\n var seenKeys = {};\n for (var i = 0; i < children.length; i++) {\n var vnode = children[i];\n var key = vnode.key;\n if (isDef(key)) {\n if (seenKeys[key]) {\n warn(\n (\"Duplicate keys detected: '\" + key + \"'. This may cause an update error.\"),\n vnode.context\n );\n } else {\n seenKeys[key] = true;\n }\n }\n }\n }\n\n function findIdxInOld (node, oldCh, start, end) {\n for (var i = start; i < end; i++) {\n var c = oldCh[i];\n if (isDef(c) && sameVnode(node, c)) { return i }\n }\n }\n\n function patchVnode (\n oldVnode,\n vnode,\n insertedVnodeQueue,\n ownerArray,\n index,\n removeOnly\n ) {\n if (oldVnode === vnode) {\n return\n }\n\n if (isDef(vnode.elm) && isDef(ownerArray)) {\n // clone reused vnode\n vnode = ownerArray[index] = cloneVNode(vnode);\n }\n\n var elm = vnode.elm = oldVnode.elm;\n\n if (isTrue(oldVnode.isAsyncPlaceholder)) {\n if (isDef(vnode.asyncFactory.resolved)) {\n hydrate(oldVnode.elm, vnode, insertedVnodeQueue);\n } else {\n vnode.isAsyncPlaceholder = true;\n }\n return\n }\n\n // reuse element for static trees.\n // note we only do this if the vnode is cloned -\n // if the new node is not cloned it means the render functions have been\n // reset by the hot-reload-api and we need to do a proper re-render.\n if (isTrue(vnode.isStatic) &&\n isTrue(oldVnode.isStatic) &&\n vnode.key === oldVnode.key &&\n (isTrue(vnode.isCloned) || isTrue(vnode.isOnce))\n ) {\n vnode.componentInstance = oldVnode.componentInstance;\n return\n }\n\n var i;\n var data = vnode.data;\n if (isDef(data) && isDef(i = data.hook) && isDef(i = i.prepatch)) {\n i(oldVnode, vnode);\n }\n\n var oldCh = oldVnode.children;\n var ch = vnode.children;\n if (isDef(data) && isPatchable(vnode)) {\n for (i = 0; i < cbs.update.length; ++i) { cbs.update[i](oldVnode, vnode); }\n if (isDef(i = data.hook) && isDef(i = i.update)) { i(oldVnode, vnode); }\n }\n if (isUndef(vnode.text)) {\n if (isDef(oldCh) && isDef(ch)) {\n if (oldCh !== ch) { updateChildren(elm, oldCh, ch, insertedVnodeQueue, removeOnly); }\n } else if (isDef(ch)) {\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(ch);\n }\n if (isDef(oldVnode.text)) { nodeOps.setTextContent(elm, ''); }\n addVnodes(elm, null, ch, 0, ch.length - 1, insertedVnodeQueue);\n } else if (isDef(oldCh)) {\n removeVnodes(oldCh, 0, oldCh.length - 1);\n } else if (isDef(oldVnode.text)) {\n nodeOps.setTextContent(elm, '');\n }\n } else if (oldVnode.text !== vnode.text) {\n nodeOps.setTextContent(elm, vnode.text);\n }\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.postpatch)) { i(oldVnode, vnode); }\n }\n }\n\n function invokeInsertHook (vnode, queue, initial) {\n // delay insert hooks for component root nodes, invoke them after the\n // element is really inserted\n if (isTrue(initial) && isDef(vnode.parent)) {\n vnode.parent.data.pendingInsert = queue;\n } else {\n for (var i = 0; i < queue.length; ++i) {\n queue[i].data.hook.insert(queue[i]);\n }\n }\n }\n\n var hydrationBailed = false;\n // list of modules that can skip create hook during hydration because they\n // are already rendered on the client or has no need for initialization\n // Note: style is excluded because it relies on initial clone for future\n // deep updates (#7063).\n var isRenderedModule = makeMap('attrs,class,staticClass,staticStyle,key');\n\n // Note: this is a browser-only function so we can assume elms are DOM nodes.\n function hydrate (elm, vnode, insertedVnodeQueue, inVPre) {\n var i;\n var tag = vnode.tag;\n var data = vnode.data;\n var children = vnode.children;\n inVPre = inVPre || (data && data.pre);\n vnode.elm = elm;\n\n if (isTrue(vnode.isComment) && isDef(vnode.asyncFactory)) {\n vnode.isAsyncPlaceholder = true;\n return true\n }\n // assert node match\n if (process.env.NODE_ENV !== 'production') {\n if (!assertNodeMatch(elm, vnode, inVPre)) {\n return false\n }\n }\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.init)) { i(vnode, true /* hydrating */); }\n if (isDef(i = vnode.componentInstance)) {\n // child component. it should have hydrated its own tree.\n initComponent(vnode, insertedVnodeQueue);\n return true\n }\n }\n if (isDef(tag)) {\n if (isDef(children)) {\n // empty element, allow client to pick up and populate children\n if (!elm.hasChildNodes()) {\n createChildren(vnode, children, insertedVnodeQueue);\n } else {\n // v-html and domProps: innerHTML\n if (isDef(i = data) && isDef(i = i.domProps) && isDef(i = i.innerHTML)) {\n if (i !== elm.innerHTML) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' &&\n typeof console !== 'undefined' &&\n !hydrationBailed\n ) {\n hydrationBailed = true;\n console.warn('Parent: ', elm);\n console.warn('server innerHTML: ', i);\n console.warn('client innerHTML: ', elm.innerHTML);\n }\n return false\n }\n } else {\n // iterate and compare children lists\n var childrenMatch = true;\n var childNode = elm.firstChild;\n for (var i$1 = 0; i$1 < children.length; i$1++) {\n if (!childNode || !hydrate(childNode, children[i$1], insertedVnodeQueue, inVPre)) {\n childrenMatch = false;\n break\n }\n childNode = childNode.nextSibling;\n }\n // if childNode is not null, it means the actual childNodes list is\n // longer than the virtual children list.\n if (!childrenMatch || childNode) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' &&\n typeof console !== 'undefined' &&\n !hydrationBailed\n ) {\n hydrationBailed = true;\n console.warn('Parent: ', elm);\n console.warn('Mismatching childNodes vs. VNodes: ', elm.childNodes, children);\n }\n return false\n }\n }\n }\n }\n if (isDef(data)) {\n var fullInvoke = false;\n for (var key in data) {\n if (!isRenderedModule(key)) {\n fullInvoke = true;\n invokeCreateHooks(vnode, insertedVnodeQueue);\n break\n }\n }\n if (!fullInvoke && data['class']) {\n // ensure collecting deps for deep class bindings for future updates\n traverse(data['class']);\n }\n }\n } else if (elm.data !== vnode.text) {\n elm.data = vnode.text;\n }\n return true\n }\n\n function assertNodeMatch (node, vnode, inVPre) {\n if (isDef(vnode.tag)) {\n return vnode.tag.indexOf('vue-component') === 0 || (\n !isUnknownElement$$1(vnode, inVPre) &&\n vnode.tag.toLowerCase() === (node.tagName && node.tagName.toLowerCase())\n )\n } else {\n return node.nodeType === (vnode.isComment ? 8 : 3)\n }\n }\n\n return function patch (oldVnode, vnode, hydrating, removeOnly) {\n if (isUndef(vnode)) {\n if (isDef(oldVnode)) { invokeDestroyHook(oldVnode); }\n return\n }\n\n var isInitialPatch = false;\n var insertedVnodeQueue = [];\n\n if (isUndef(oldVnode)) {\n // empty mount (likely as component), create new root element\n isInitialPatch = true;\n createElm(vnode, insertedVnodeQueue);\n } else {\n var isRealElement = isDef(oldVnode.nodeType);\n if (!isRealElement && sameVnode(oldVnode, vnode)) {\n // patch existing root node\n patchVnode(oldVnode, vnode, insertedVnodeQueue, null, null, removeOnly);\n } else {\n if (isRealElement) {\n // mounting to a real element\n // check if this is server-rendered content and if we can perform\n // a successful hydration.\n if (oldVnode.nodeType === 1 && oldVnode.hasAttribute(SSR_ATTR)) {\n oldVnode.removeAttribute(SSR_ATTR);\n hydrating = true;\n }\n if (isTrue(hydrating)) {\n if (hydrate(oldVnode, vnode, insertedVnodeQueue)) {\n invokeInsertHook(vnode, insertedVnodeQueue, true);\n return oldVnode\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n 'The client-side rendered virtual DOM tree is not matching ' +\n 'server-rendered content. This is likely caused by incorrect ' +\n 'HTML markup, for example nesting block-level elements inside ' +\n '<p>, or missing <tbody>. Bailing hydration and performing ' +\n 'full client-side render.'\n );\n }\n }\n // either not server-rendered, or hydration failed.\n // create an empty node and replace it\n oldVnode = emptyNodeAt(oldVnode);\n }\n\n // replacing existing element\n var oldElm = oldVnode.elm;\n var parentElm = nodeOps.parentNode(oldElm);\n\n // create new node\n createElm(\n vnode,\n insertedVnodeQueue,\n // extremely rare edge case: do not insert if old element is in a\n // leaving transition. Only happens when combining transition +\n // keep-alive + HOCs. (#4590)\n oldElm._leaveCb ? null : parentElm,\n nodeOps.nextSibling(oldElm)\n );\n\n // update parent placeholder node element, recursively\n if (isDef(vnode.parent)) {\n var ancestor = vnode.parent;\n var patchable = isPatchable(vnode);\n while (ancestor) {\n for (var i = 0; i < cbs.destroy.length; ++i) {\n cbs.destroy[i](ancestor);\n }\n ancestor.elm = vnode.elm;\n if (patchable) {\n for (var i$1 = 0; i$1 < cbs.create.length; ++i$1) {\n cbs.create[i$1](emptyNode, ancestor);\n }\n // #6513\n // invoke insert hooks that may have been merged by create hooks.\n // e.g. for directives that uses the \"inserted\" hook.\n var insert = ancestor.data.hook.insert;\n if (insert.merged) {\n // start at index 1 to avoid re-invoking component mounted hook\n for (var i$2 = 1; i$2 < insert.fns.length; i$2++) {\n insert.fns[i$2]();\n }\n }\n } else {\n registerRef(ancestor);\n }\n ancestor = ancestor.parent;\n }\n }\n\n // destroy old node\n if (isDef(parentElm)) {\n removeVnodes([oldVnode], 0, 0);\n } else if (isDef(oldVnode.tag)) {\n invokeDestroyHook(oldVnode);\n }\n }\n }\n\n invokeInsertHook(vnode, insertedVnodeQueue, isInitialPatch);\n return vnode.elm\n }\n}\n\n/* */\n\nvar directives = {\n create: updateDirectives,\n update: updateDirectives,\n destroy: function unbindDirectives (vnode) {\n updateDirectives(vnode, emptyNode);\n }\n};\n\nfunction updateDirectives (oldVnode, vnode) {\n if (oldVnode.data.directives || vnode.data.directives) {\n _update(oldVnode, vnode);\n }\n}\n\nfunction _update (oldVnode, vnode) {\n var isCreate = oldVnode === emptyNode;\n var isDestroy = vnode === emptyNode;\n var oldDirs = normalizeDirectives$1(oldVnode.data.directives, oldVnode.context);\n var newDirs = normalizeDirectives$1(vnode.data.directives, vnode.context);\n\n var dirsWithInsert = [];\n var dirsWithPostpatch = [];\n\n var key, oldDir, dir;\n for (key in newDirs) {\n oldDir = oldDirs[key];\n dir = newDirs[key];\n if (!oldDir) {\n // new directive, bind\n callHook$1(dir, 'bind', vnode, oldVnode);\n if (dir.def && dir.def.inserted) {\n dirsWithInsert.push(dir);\n }\n } else {\n // existing directive, update\n dir.oldValue = oldDir.value;\n dir.oldArg = oldDir.arg;\n callHook$1(dir, 'update', vnode, oldVnode);\n if (dir.def && dir.def.componentUpdated) {\n dirsWithPostpatch.push(dir);\n }\n }\n }\n\n if (dirsWithInsert.length) {\n var callInsert = function () {\n for (var i = 0; i < dirsWithInsert.length; i++) {\n callHook$1(dirsWithInsert[i], 'inserted', vnode, oldVnode);\n }\n };\n if (isCreate) {\n mergeVNodeHook(vnode, 'insert', callInsert);\n } else {\n callInsert();\n }\n }\n\n if (dirsWithPostpatch.length) {\n mergeVNodeHook(vnode, 'postpatch', function () {\n for (var i = 0; i < dirsWithPostpatch.length; i++) {\n callHook$1(dirsWithPostpatch[i], 'componentUpdated', vnode, oldVnode);\n }\n });\n }\n\n if (!isCreate) {\n for (key in oldDirs) {\n if (!newDirs[key]) {\n // no longer present, unbind\n callHook$1(oldDirs[key], 'unbind', oldVnode, oldVnode, isDestroy);\n }\n }\n }\n}\n\nvar emptyModifiers = Object.create(null);\n\nfunction normalizeDirectives$1 (\n dirs,\n vm\n) {\n var res = Object.create(null);\n if (!dirs) {\n // $flow-disable-line\n return res\n }\n var i, dir;\n for (i = 0; i < dirs.length; i++) {\n dir = dirs[i];\n if (!dir.modifiers) {\n // $flow-disable-line\n dir.modifiers = emptyModifiers;\n }\n res[getRawDirName(dir)] = dir;\n dir.def = resolveAsset(vm.$options, 'directives', dir.name, true);\n }\n // $flow-disable-line\n return res\n}\n\nfunction getRawDirName (dir) {\n return dir.rawName || ((dir.name) + \".\" + (Object.keys(dir.modifiers || {}).join('.')))\n}\n\nfunction callHook$1 (dir, hook, vnode, oldVnode, isDestroy) {\n var fn = dir.def && dir.def[hook];\n if (fn) {\n try {\n fn(vnode.elm, dir, vnode, oldVnode, isDestroy);\n } catch (e) {\n handleError(e, vnode.context, (\"directive \" + (dir.name) + \" \" + hook + \" hook\"));\n }\n }\n}\n\nvar baseModules = [\n ref,\n directives\n];\n\n/* */\n\nfunction updateAttrs (oldVnode, vnode) {\n var opts = vnode.componentOptions;\n if (isDef(opts) && opts.Ctor.options.inheritAttrs === false) {\n return\n }\n if (isUndef(oldVnode.data.attrs) && isUndef(vnode.data.attrs)) {\n return\n }\n var key, cur, old;\n var elm = vnode.elm;\n var oldAttrs = oldVnode.data.attrs || {};\n var attrs = vnode.data.attrs || {};\n // clone observed objects, as the user probably wants to mutate it\n if (isDef(attrs.__ob__)) {\n attrs = vnode.data.attrs = extend({}, attrs);\n }\n\n for (key in attrs) {\n cur = attrs[key];\n old = oldAttrs[key];\n if (old !== cur) {\n setAttr(elm, key, cur);\n }\n }\n // #4391: in IE9, setting type can reset value for input[type=radio]\n // #6666: IE/Edge forces progress value down to 1 before setting a max\n /* istanbul ignore if */\n if ((isIE || isEdge) && attrs.value !== oldAttrs.value) {\n setAttr(elm, 'value', attrs.value);\n }\n for (key in oldAttrs) {\n if (isUndef(attrs[key])) {\n if (isXlink(key)) {\n elm.removeAttributeNS(xlinkNS, getXlinkProp(key));\n } else if (!isEnumeratedAttr(key)) {\n elm.removeAttribute(key);\n }\n }\n }\n}\n\nfunction setAttr (el, key, value) {\n if (el.tagName.indexOf('-') > -1) {\n baseSetAttr(el, key, value);\n } else if (isBooleanAttr(key)) {\n // set attribute for blank value\n // e.g. <option disabled>Select one</option>\n if (isFalsyAttrValue(value)) {\n el.removeAttribute(key);\n } else {\n // technically allowfullscreen is a boolean attribute for <iframe>,\n // but Flash expects a value of \"true\" when used on <embed> tag\n value = key === 'allowfullscreen' && el.tagName === 'EMBED'\n ? 'true'\n : key;\n el.setAttribute(key, value);\n }\n } else if (isEnumeratedAttr(key)) {\n el.setAttribute(key, convertEnumeratedValue(key, value));\n } else if (isXlink(key)) {\n if (isFalsyAttrValue(value)) {\n el.removeAttributeNS(xlinkNS, getXlinkProp(key));\n } else {\n el.setAttributeNS(xlinkNS, key, value);\n }\n } else {\n baseSetAttr(el, key, value);\n }\n}\n\nfunction baseSetAttr (el, key, value) {\n if (isFalsyAttrValue(value)) {\n el.removeAttribute(key);\n } else {\n // #7138: IE10 & 11 fires input event when setting placeholder on\n // <textarea>... block the first input event and remove the blocker\n // immediately.\n /* istanbul ignore if */\n if (\n isIE && !isIE9 &&\n el.tagName === 'TEXTAREA' &&\n key === 'placeholder' && value !== '' && !el.__ieph\n ) {\n var blocker = function (e) {\n e.stopImmediatePropagation();\n el.removeEventListener('input', blocker);\n };\n el.addEventListener('input', blocker);\n // $flow-disable-line\n el.__ieph = true; /* IE placeholder patched */\n }\n el.setAttribute(key, value);\n }\n}\n\nvar attrs = {\n create: updateAttrs,\n update: updateAttrs\n};\n\n/* */\n\nfunction updateClass (oldVnode, vnode) {\n var el = vnode.elm;\n var data = vnode.data;\n var oldData = oldVnode.data;\n if (\n isUndef(data.staticClass) &&\n isUndef(data.class) && (\n isUndef(oldData) || (\n isUndef(oldData.staticClass) &&\n isUndef(oldData.class)\n )\n )\n ) {\n return\n }\n\n var cls = genClassForVnode(vnode);\n\n // handle transition classes\n var transitionClass = el._transitionClasses;\n if (isDef(transitionClass)) {\n cls = concat(cls, stringifyClass(transitionClass));\n }\n\n // set the class\n if (cls !== el._prevClass) {\n el.setAttribute('class', cls);\n el._prevClass = cls;\n }\n}\n\nvar klass = {\n create: updateClass,\n update: updateClass\n};\n\n/* */\n\nvar validDivisionCharRE = /[\\w).+\\-_$\\]]/;\n\nfunction parseFilters (exp) {\n var inSingle = false;\n var inDouble = false;\n var inTemplateString = false;\n var inRegex = false;\n var curly = 0;\n var square = 0;\n var paren = 0;\n var lastFilterIndex = 0;\n var c, prev, i, expression, filters;\n\n for (i = 0; i < exp.length; i++) {\n prev = c;\n c = exp.charCodeAt(i);\n if (inSingle) {\n if (c === 0x27 && prev !== 0x5C) { inSingle = false; }\n } else if (inDouble) {\n if (c === 0x22 && prev !== 0x5C) { inDouble = false; }\n } else if (inTemplateString) {\n if (c === 0x60 && prev !== 0x5C) { inTemplateString = false; }\n } else if (inRegex) {\n if (c === 0x2f && prev !== 0x5C) { inRegex = false; }\n } else if (\n c === 0x7C && // pipe\n exp.charCodeAt(i + 1) !== 0x7C &&\n exp.charCodeAt(i - 1) !== 0x7C &&\n !curly && !square && !paren\n ) {\n if (expression === undefined) {\n // first filter, end of expression\n lastFilterIndex = i + 1;\n expression = exp.slice(0, i).trim();\n } else {\n pushFilter();\n }\n } else {\n switch (c) {\n case 0x22: inDouble = true; break // \"\n case 0x27: inSingle = true; break // '\n case 0x60: inTemplateString = true; break // `\n case 0x28: paren++; break // (\n case 0x29: paren--; break // )\n case 0x5B: square++; break // [\n case 0x5D: square--; break // ]\n case 0x7B: curly++; break // {\n case 0x7D: curly--; break // }\n }\n if (c === 0x2f) { // /\n var j = i - 1;\n var p = (void 0);\n // find first non-whitespace prev char\n for (; j >= 0; j--) {\n p = exp.charAt(j);\n if (p !== ' ') { break }\n }\n if (!p || !validDivisionCharRE.test(p)) {\n inRegex = true;\n }\n }\n }\n }\n\n if (expression === undefined) {\n expression = exp.slice(0, i).trim();\n } else if (lastFilterIndex !== 0) {\n pushFilter();\n }\n\n function pushFilter () {\n (filters || (filters = [])).push(exp.slice(lastFilterIndex, i).trim());\n lastFilterIndex = i + 1;\n }\n\n if (filters) {\n for (i = 0; i < filters.length; i++) {\n expression = wrapFilter(expression, filters[i]);\n }\n }\n\n return expression\n}\n\nfunction wrapFilter (exp, filter) {\n var i = filter.indexOf('(');\n if (i < 0) {\n // _f: resolveFilter\n return (\"_f(\\\"\" + filter + \"\\\")(\" + exp + \")\")\n } else {\n var name = filter.slice(0, i);\n var args = filter.slice(i + 1);\n return (\"_f(\\\"\" + name + \"\\\")(\" + exp + (args !== ')' ? ',' + args : args))\n }\n}\n\n/* */\n\n\n\n/* eslint-disable no-unused-vars */\nfunction baseWarn (msg, range) {\n console.error((\"[Vue compiler]: \" + msg));\n}\n/* eslint-enable no-unused-vars */\n\nfunction pluckModuleFunction (\n modules,\n key\n) {\n return modules\n ? modules.map(function (m) { return m[key]; }).filter(function (_) { return _; })\n : []\n}\n\nfunction addProp (el, name, value, range, dynamic) {\n (el.props || (el.props = [])).push(rangeSetItem({ name: name, value: value, dynamic: dynamic }, range));\n el.plain = false;\n}\n\nfunction addAttr (el, name, value, range, dynamic) {\n var attrs = dynamic\n ? (el.dynamicAttrs || (el.dynamicAttrs = []))\n : (el.attrs || (el.attrs = []));\n attrs.push(rangeSetItem({ name: name, value: value, dynamic: dynamic }, range));\n el.plain = false;\n}\n\n// add a raw attr (use this in preTransforms)\nfunction addRawAttr (el, name, value, range) {\n el.attrsMap[name] = value;\n el.attrsList.push(rangeSetItem({ name: name, value: value }, range));\n}\n\nfunction addDirective (\n el,\n name,\n rawName,\n value,\n arg,\n isDynamicArg,\n modifiers,\n range\n) {\n (el.directives || (el.directives = [])).push(rangeSetItem({\n name: name,\n rawName: rawName,\n value: value,\n arg: arg,\n isDynamicArg: isDynamicArg,\n modifiers: modifiers\n }, range));\n el.plain = false;\n}\n\nfunction prependModifierMarker (symbol, name, dynamic) {\n return dynamic\n ? (\"_p(\" + name + \",\\\"\" + symbol + \"\\\")\")\n : symbol + name // mark the event as captured\n}\n\nfunction addHandler (\n el,\n name,\n value,\n modifiers,\n important,\n warn,\n range,\n dynamic\n) {\n modifiers = modifiers || emptyObject;\n // warn prevent and passive modifier\n /* istanbul ignore if */\n if (\n process.env.NODE_ENV !== 'production' && warn &&\n modifiers.prevent && modifiers.passive\n ) {\n warn(\n 'passive and prevent can\\'t be used together. ' +\n 'Passive handler can\\'t prevent default event.',\n range\n );\n }\n\n // normalize click.right and click.middle since they don't actually fire\n // this is technically browser-specific, but at least for now browsers are\n // the only target envs that have right/middle clicks.\n if (modifiers.right) {\n if (dynamic) {\n name = \"(\" + name + \")==='click'?'contextmenu':(\" + name + \")\";\n } else if (name === 'click') {\n name = 'contextmenu';\n delete modifiers.right;\n }\n } else if (modifiers.middle) {\n if (dynamic) {\n name = \"(\" + name + \")==='click'?'mouseup':(\" + name + \")\";\n } else if (name === 'click') {\n name = 'mouseup';\n }\n }\n\n // check capture modifier\n if (modifiers.capture) {\n delete modifiers.capture;\n name = prependModifierMarker('!', name, dynamic);\n }\n if (modifiers.once) {\n delete modifiers.once;\n name = prependModifierMarker('~', name, dynamic);\n }\n /* istanbul ignore if */\n if (modifiers.passive) {\n delete modifiers.passive;\n name = prependModifierMarker('&', name, dynamic);\n }\n\n var events;\n if (modifiers.native) {\n delete modifiers.native;\n events = el.nativeEvents || (el.nativeEvents = {});\n } else {\n events = el.events || (el.events = {});\n }\n\n var newHandler = rangeSetItem({ value: value.trim(), dynamic: dynamic }, range);\n if (modifiers !== emptyObject) {\n newHandler.modifiers = modifiers;\n }\n\n var handlers = events[name];\n /* istanbul ignore if */\n if (Array.isArray(handlers)) {\n important ? handlers.unshift(newHandler) : handlers.push(newHandler);\n } else if (handlers) {\n events[name] = important ? [newHandler, handlers] : [handlers, newHandler];\n } else {\n events[name] = newHandler;\n }\n\n el.plain = false;\n}\n\nfunction getRawBindingAttr (\n el,\n name\n) {\n return el.rawAttrsMap[':' + name] ||\n el.rawAttrsMap['v-bind:' + name] ||\n el.rawAttrsMap[name]\n}\n\nfunction getBindingAttr (\n el,\n name,\n getStatic\n) {\n var dynamicValue =\n getAndRemoveAttr(el, ':' + name) ||\n getAndRemoveAttr(el, 'v-bind:' + name);\n if (dynamicValue != null) {\n return parseFilters(dynamicValue)\n } else if (getStatic !== false) {\n var staticValue = getAndRemoveAttr(el, name);\n if (staticValue != null) {\n return JSON.stringify(staticValue)\n }\n }\n}\n\n// note: this only removes the attr from the Array (attrsList) so that it\n// doesn't get processed by processAttrs.\n// By default it does NOT remove it from the map (attrsMap) because the map is\n// needed during codegen.\nfunction getAndRemoveAttr (\n el,\n name,\n removeFromMap\n) {\n var val;\n if ((val = el.attrsMap[name]) != null) {\n var list = el.attrsList;\n for (var i = 0, l = list.length; i < l; i++) {\n if (list[i].name === name) {\n list.splice(i, 1);\n break\n }\n }\n }\n if (removeFromMap) {\n delete el.attrsMap[name];\n }\n return val\n}\n\nfunction getAndRemoveAttrByRegex (\n el,\n name\n) {\n var list = el.attrsList;\n for (var i = 0, l = list.length; i < l; i++) {\n var attr = list[i];\n if (name.test(attr.name)) {\n list.splice(i, 1);\n return attr\n }\n }\n}\n\nfunction rangeSetItem (\n item,\n range\n) {\n if (range) {\n if (range.start != null) {\n item.start = range.start;\n }\n if (range.end != null) {\n item.end = range.end;\n }\n }\n return item\n}\n\n/* */\n\n/**\n * Cross-platform code generation for component v-model\n */\nfunction genComponentModel (\n el,\n value,\n modifiers\n) {\n var ref = modifiers || {};\n var number = ref.number;\n var trim = ref.trim;\n\n var baseValueExpression = '$$v';\n var valueExpression = baseValueExpression;\n if (trim) {\n valueExpression =\n \"(typeof \" + baseValueExpression + \" === 'string'\" +\n \"? \" + baseValueExpression + \".trim()\" +\n \": \" + baseValueExpression + \")\";\n }\n if (number) {\n valueExpression = \"_n(\" + valueExpression + \")\";\n }\n var assignment = genAssignmentCode(value, valueExpression);\n\n el.model = {\n value: (\"(\" + value + \")\"),\n expression: JSON.stringify(value),\n callback: (\"function (\" + baseValueExpression + \") {\" + assignment + \"}\")\n };\n}\n\n/**\n * Cross-platform codegen helper for generating v-model value assignment code.\n */\nfunction genAssignmentCode (\n value,\n assignment\n) {\n var res = parseModel(value);\n if (res.key === null) {\n return (value + \"=\" + assignment)\n } else {\n return (\"$set(\" + (res.exp) + \", \" + (res.key) + \", \" + assignment + \")\")\n }\n}\n\n/**\n * Parse a v-model expression into a base path and a final key segment.\n * Handles both dot-path and possible square brackets.\n *\n * Possible cases:\n *\n * - test\n * - test[key]\n * - test[test1[key]]\n * - test[\"a\"][key]\n * - xxx.test[a[a].test1[key]]\n * - test.xxx.a[\"asa\"][test1[key]]\n *\n */\n\nvar len, str, chr, index$1, expressionPos, expressionEndPos;\n\n\n\nfunction parseModel (val) {\n // Fix https://github.com/vuejs/vue/pull/7730\n // allow v-model=\"obj.val \" (trailing whitespace)\n val = val.trim();\n len = val.length;\n\n if (val.indexOf('[') < 0 || val.lastIndexOf(']') < len - 1) {\n index$1 = val.lastIndexOf('.');\n if (index$1 > -1) {\n return {\n exp: val.slice(0, index$1),\n key: '\"' + val.slice(index$1 + 1) + '\"'\n }\n } else {\n return {\n exp: val,\n key: null\n }\n }\n }\n\n str = val;\n index$1 = expressionPos = expressionEndPos = 0;\n\n while (!eof()) {\n chr = next();\n /* istanbul ignore if */\n if (isStringStart(chr)) {\n parseString(chr);\n } else if (chr === 0x5B) {\n parseBracket(chr);\n }\n }\n\n return {\n exp: val.slice(0, expressionPos),\n key: val.slice(expressionPos + 1, expressionEndPos)\n }\n}\n\nfunction next () {\n return str.charCodeAt(++index$1)\n}\n\nfunction eof () {\n return index$1 >= len\n}\n\nfunction isStringStart (chr) {\n return chr === 0x22 || chr === 0x27\n}\n\nfunction parseBracket (chr) {\n var inBracket = 1;\n expressionPos = index$1;\n while (!eof()) {\n chr = next();\n if (isStringStart(chr)) {\n parseString(chr);\n continue\n }\n if (chr === 0x5B) { inBracket++; }\n if (chr === 0x5D) { inBracket--; }\n if (inBracket === 0) {\n expressionEndPos = index$1;\n break\n }\n }\n}\n\nfunction parseString (chr) {\n var stringQuote = chr;\n while (!eof()) {\n chr = next();\n if (chr === stringQuote) {\n break\n }\n }\n}\n\n/* */\n\nvar warn$1;\n\n// in some cases, the event used has to be determined at runtime\n// so we used some reserved tokens during compile.\nvar RANGE_TOKEN = '__r';\nvar CHECKBOX_RADIO_TOKEN = '__c';\n\nfunction model (\n el,\n dir,\n _warn\n) {\n warn$1 = _warn;\n var value = dir.value;\n var modifiers = dir.modifiers;\n var tag = el.tag;\n var type = el.attrsMap.type;\n\n if (process.env.NODE_ENV !== 'production') {\n // inputs with type=\"file\" are read only and setting the input's\n // value will throw an error.\n if (tag === 'input' && type === 'file') {\n warn$1(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\" type=\\\"file\\\">:\\n\" +\n \"File inputs are read only. Use a v-on:change listener instead.\",\n el.rawAttrsMap['v-model']\n );\n }\n }\n\n if (el.component) {\n genComponentModel(el, value, modifiers);\n // component v-model doesn't need extra runtime\n return false\n } else if (tag === 'select') {\n genSelect(el, value, modifiers);\n } else if (tag === 'input' && type === 'checkbox') {\n genCheckboxModel(el, value, modifiers);\n } else if (tag === 'input' && type === 'radio') {\n genRadioModel(el, value, modifiers);\n } else if (tag === 'input' || tag === 'textarea') {\n genDefaultModel(el, value, modifiers);\n } else if (!config.isReservedTag(tag)) {\n genComponentModel(el, value, modifiers);\n // component v-model doesn't need extra runtime\n return false\n } else if (process.env.NODE_ENV !== 'production') {\n warn$1(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\">: \" +\n \"v-model is not supported on this element type. \" +\n 'If you are working with contenteditable, it\\'s recommended to ' +\n 'wrap a library dedicated for that purpose inside a custom component.',\n el.rawAttrsMap['v-model']\n );\n }\n\n // ensure runtime directive metadata\n return true\n}\n\nfunction genCheckboxModel (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var valueBinding = getBindingAttr(el, 'value') || 'null';\n var trueValueBinding = getBindingAttr(el, 'true-value') || 'true';\n var falseValueBinding = getBindingAttr(el, 'false-value') || 'false';\n addProp(el, 'checked',\n \"Array.isArray(\" + value + \")\" +\n \"?_i(\" + value + \",\" + valueBinding + \")>-1\" + (\n trueValueBinding === 'true'\n ? (\":(\" + value + \")\")\n : (\":_q(\" + value + \",\" + trueValueBinding + \")\")\n )\n );\n addHandler(el, 'change',\n \"var $$a=\" + value + \",\" +\n '$$el=$event.target,' +\n \"$$c=$$el.checked?(\" + trueValueBinding + \"):(\" + falseValueBinding + \");\" +\n 'if(Array.isArray($$a)){' +\n \"var $$v=\" + (number ? '_n(' + valueBinding + ')' : valueBinding) + \",\" +\n '$$i=_i($$a,$$v);' +\n \"if($$el.checked){$$i<0&&(\" + (genAssignmentCode(value, '$$a.concat([$$v])')) + \")}\" +\n \"else{$$i>-1&&(\" + (genAssignmentCode(value, '$$a.slice(0,$$i).concat($$a.slice($$i+1))')) + \")}\" +\n \"}else{\" + (genAssignmentCode(value, '$$c')) + \"}\",\n null, true\n );\n}\n\nfunction genRadioModel (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var valueBinding = getBindingAttr(el, 'value') || 'null';\n valueBinding = number ? (\"_n(\" + valueBinding + \")\") : valueBinding;\n addProp(el, 'checked', (\"_q(\" + value + \",\" + valueBinding + \")\"));\n addHandler(el, 'change', genAssignmentCode(value, valueBinding), null, true);\n}\n\nfunction genSelect (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var selectedVal = \"Array.prototype.filter\" +\n \".call($event.target.options,function(o){return o.selected})\" +\n \".map(function(o){var val = \\\"_value\\\" in o ? o._value : o.value;\" +\n \"return \" + (number ? '_n(val)' : 'val') + \"})\";\n\n var assignment = '$event.target.multiple ? $$selectedVal : $$selectedVal[0]';\n var code = \"var $$selectedVal = \" + selectedVal + \";\";\n code = code + \" \" + (genAssignmentCode(value, assignment));\n addHandler(el, 'change', code, null, true);\n}\n\nfunction genDefaultModel (\n el,\n value,\n modifiers\n) {\n var type = el.attrsMap.type;\n\n // warn if v-bind:value conflicts with v-model\n // except for inputs with v-bind:type\n if (process.env.NODE_ENV !== 'production') {\n var value$1 = el.attrsMap['v-bind:value'] || el.attrsMap[':value'];\n var typeBinding = el.attrsMap['v-bind:type'] || el.attrsMap[':type'];\n if (value$1 && !typeBinding) {\n var binding = el.attrsMap['v-bind:value'] ? 'v-bind:value' : ':value';\n warn$1(\n binding + \"=\\\"\" + value$1 + \"\\\" conflicts with v-model on the same element \" +\n 'because the latter already expands to a value binding internally',\n el.rawAttrsMap[binding]\n );\n }\n }\n\n var ref = modifiers || {};\n var lazy = ref.lazy;\n var number = ref.number;\n var trim = ref.trim;\n var needCompositionGuard = !lazy && type !== 'range';\n var event = lazy\n ? 'change'\n : type === 'range'\n ? RANGE_TOKEN\n : 'input';\n\n var valueExpression = '$event.target.value';\n if (trim) {\n valueExpression = \"$event.target.value.trim()\";\n }\n if (number) {\n valueExpression = \"_n(\" + valueExpression + \")\";\n }\n\n var code = genAssignmentCode(value, valueExpression);\n if (needCompositionGuard) {\n code = \"if($event.target.composing)return;\" + code;\n }\n\n addProp(el, 'value', (\"(\" + value + \")\"));\n addHandler(el, event, code, null, true);\n if (trim || number) {\n addHandler(el, 'blur', '$forceUpdate()');\n }\n}\n\n/* */\n\n// normalize v-model event tokens that can only be determined at runtime.\n// it's important to place the event as the first in the array because\n// the whole point is ensuring the v-model callback gets called before\n// user-attached handlers.\nfunction normalizeEvents (on) {\n /* istanbul ignore if */\n if (isDef(on[RANGE_TOKEN])) {\n // IE input[type=range] only supports `change` event\n var event = isIE ? 'change' : 'input';\n on[event] = [].concat(on[RANGE_TOKEN], on[event] || []);\n delete on[RANGE_TOKEN];\n }\n // This was originally intended to fix #4521 but no longer necessary\n // after 2.5. Keeping it for backwards compat with generated code from < 2.4\n /* istanbul ignore if */\n if (isDef(on[CHECKBOX_RADIO_TOKEN])) {\n on.change = [].concat(on[CHECKBOX_RADIO_TOKEN], on.change || []);\n delete on[CHECKBOX_RADIO_TOKEN];\n }\n}\n\nvar target$1;\n\nfunction createOnceHandler$1 (event, handler, capture) {\n var _target = target$1; // save current target element in closure\n return function onceHandler () {\n var res = handler.apply(null, arguments);\n if (res !== null) {\n remove$2(event, onceHandler, capture, _target);\n }\n }\n}\n\n// #9446: Firefox <= 53 (in particular, ESR 52) has incorrect Event.timeStamp\n// implementation and does not fire microtasks in between event propagation, so\n// safe to exclude.\nvar useMicrotaskFix = isUsingMicroTask && !(isFF && Number(isFF[1]) <= 53);\n\nfunction add$1 (\n name,\n handler,\n capture,\n passive\n) {\n // async edge case #6566: inner click event triggers patch, event handler\n // attached to outer element during patch, and triggered again. This\n // happens because browsers fire microtask ticks between event propagation.\n // the solution is simple: we save the timestamp when a handler is attached,\n // and the handler would only fire if the event passed to it was fired\n // AFTER it was attached.\n if (useMicrotaskFix) {\n var attachedTimestamp = currentFlushTimestamp;\n var original = handler;\n handler = original._wrapper = function (e) {\n if (\n // no bubbling, should always fire.\n // this is just a safety net in case event.timeStamp is unreliable in\n // certain weird environments...\n e.target === e.currentTarget ||\n // event is fired after handler attachment\n e.timeStamp >= attachedTimestamp ||\n // bail for environments that have buggy event.timeStamp implementations\n // #9462 iOS 9 bug: event.timeStamp is 0 after history.pushState\n // #9681 QtWebEngine event.timeStamp is negative value\n e.timeStamp <= 0 ||\n // #9448 bail if event is fired in another document in a multi-page\n // electron/nw.js app, since event.timeStamp will be using a different\n // starting reference\n e.target.ownerDocument !== document\n ) {\n return original.apply(this, arguments)\n }\n };\n }\n target$1.addEventListener(\n name,\n handler,\n supportsPassive\n ? { capture: capture, passive: passive }\n : capture\n );\n}\n\nfunction remove$2 (\n name,\n handler,\n capture,\n _target\n) {\n (_target || target$1).removeEventListener(\n name,\n handler._wrapper || handler,\n capture\n );\n}\n\nfunction updateDOMListeners (oldVnode, vnode) {\n if (isUndef(oldVnode.data.on) && isUndef(vnode.data.on)) {\n return\n }\n var on = vnode.data.on || {};\n var oldOn = oldVnode.data.on || {};\n target$1 = vnode.elm;\n normalizeEvents(on);\n updateListeners(on, oldOn, add$1, remove$2, createOnceHandler$1, vnode.context);\n target$1 = undefined;\n}\n\nvar events = {\n create: updateDOMListeners,\n update: updateDOMListeners\n};\n\n/* */\n\nvar svgContainer;\n\nfunction updateDOMProps (oldVnode, vnode) {\n if (isUndef(oldVnode.data.domProps) && isUndef(vnode.data.domProps)) {\n return\n }\n var key, cur;\n var elm = vnode.elm;\n var oldProps = oldVnode.data.domProps || {};\n var props = vnode.data.domProps || {};\n // clone observed objects, as the user probably wants to mutate it\n if (isDef(props.__ob__)) {\n props = vnode.data.domProps = extend({}, props);\n }\n\n for (key in oldProps) {\n if (!(key in props)) {\n elm[key] = '';\n }\n }\n\n for (key in props) {\n cur = props[key];\n // ignore children if the node has textContent or innerHTML,\n // as these will throw away existing DOM nodes and cause removal errors\n // on subsequent patches (#3360)\n if (key === 'textContent' || key === 'innerHTML') {\n if (vnode.children) { vnode.children.length = 0; }\n if (cur === oldProps[key]) { continue }\n // #6601 work around Chrome version <= 55 bug where single textNode\n // replaced by innerHTML/textContent retains its parentNode property\n if (elm.childNodes.length === 1) {\n elm.removeChild(elm.childNodes[0]);\n }\n }\n\n if (key === 'value' && elm.tagName !== 'PROGRESS') {\n // store value as _value as well since\n // non-string values will be stringified\n elm._value = cur;\n // avoid resetting cursor position when value is the same\n var strCur = isUndef(cur) ? '' : String(cur);\n if (shouldUpdateValue(elm, strCur)) {\n elm.value = strCur;\n }\n } else if (key === 'innerHTML' && isSVG(elm.tagName) && isUndef(elm.innerHTML)) {\n // IE doesn't support innerHTML for SVG elements\n svgContainer = svgContainer || document.createElement('div');\n svgContainer.innerHTML = \"<svg>\" + cur + \"</svg>\";\n var svg = svgContainer.firstChild;\n while (elm.firstChild) {\n elm.removeChild(elm.firstChild);\n }\n while (svg.firstChild) {\n elm.appendChild(svg.firstChild);\n }\n } else if (\n // skip the update if old and new VDOM state is the same.\n // `value` is handled separately because the DOM value may be temporarily\n // out of sync with VDOM state due to focus, composition and modifiers.\n // This #4521 by skipping the unnecesarry `checked` update.\n cur !== oldProps[key]\n ) {\n // some property updates can throw\n // e.g. `value` on <progress> w/ non-finite value\n try {\n elm[key] = cur;\n } catch (e) {}\n }\n }\n}\n\n// check platforms/web/util/attrs.js acceptValue\n\n\nfunction shouldUpdateValue (elm, checkVal) {\n return (!elm.composing && (\n elm.tagName === 'OPTION' ||\n isNotInFocusAndDirty(elm, checkVal) ||\n isDirtyWithModifiers(elm, checkVal)\n ))\n}\n\nfunction isNotInFocusAndDirty (elm, checkVal) {\n // return true when textbox (.number and .trim) loses focus and its value is\n // not equal to the updated value\n var notInFocus = true;\n // #6157\n // work around IE bug when accessing document.activeElement in an iframe\n try { notInFocus = document.activeElement !== elm; } catch (e) {}\n return notInFocus && elm.value !== checkVal\n}\n\nfunction isDirtyWithModifiers (elm, newVal) {\n var value = elm.value;\n var modifiers = elm._vModifiers; // injected by v-model runtime\n if (isDef(modifiers)) {\n if (modifiers.number) {\n return toNumber(value) !== toNumber(newVal)\n }\n if (modifiers.trim) {\n return value.trim() !== newVal.trim()\n }\n }\n return value !== newVal\n}\n\nvar domProps = {\n create: updateDOMProps,\n update: updateDOMProps\n};\n\n/* */\n\nvar parseStyleText = cached(function (cssText) {\n var res = {};\n var listDelimiter = /;(?![^(]*\\))/g;\n var propertyDelimiter = /:(.+)/;\n cssText.split(listDelimiter).forEach(function (item) {\n if (item) {\n var tmp = item.split(propertyDelimiter);\n tmp.length > 1 && (res[tmp[0].trim()] = tmp[1].trim());\n }\n });\n return res\n});\n\n// merge static and dynamic style data on the same vnode\nfunction normalizeStyleData (data) {\n var style = normalizeStyleBinding(data.style);\n // static style is pre-processed into an object during compilation\n // and is always a fresh object, so it's safe to merge into it\n return data.staticStyle\n ? extend(data.staticStyle, style)\n : style\n}\n\n// normalize possible array / string values into Object\nfunction normalizeStyleBinding (bindingStyle) {\n if (Array.isArray(bindingStyle)) {\n return toObject(bindingStyle)\n }\n if (typeof bindingStyle === 'string') {\n return parseStyleText(bindingStyle)\n }\n return bindingStyle\n}\n\n/**\n * parent component style should be after child's\n * so that parent component's style could override it\n */\nfunction getStyle (vnode, checkChild) {\n var res = {};\n var styleData;\n\n if (checkChild) {\n var childNode = vnode;\n while (childNode.componentInstance) {\n childNode = childNode.componentInstance._vnode;\n if (\n childNode && childNode.data &&\n (styleData = normalizeStyleData(childNode.data))\n ) {\n extend(res, styleData);\n }\n }\n }\n\n if ((styleData = normalizeStyleData(vnode.data))) {\n extend(res, styleData);\n }\n\n var parentNode = vnode;\n while ((parentNode = parentNode.parent)) {\n if (parentNode.data && (styleData = normalizeStyleData(parentNode.data))) {\n extend(res, styleData);\n }\n }\n return res\n}\n\n/* */\n\nvar cssVarRE = /^--/;\nvar importantRE = /\\s*!important$/;\nvar setProp = function (el, name, val) {\n /* istanbul ignore if */\n if (cssVarRE.test(name)) {\n el.style.setProperty(name, val);\n } else if (importantRE.test(val)) {\n el.style.setProperty(hyphenate(name), val.replace(importantRE, ''), 'important');\n } else {\n var normalizedName = normalize(name);\n if (Array.isArray(val)) {\n // Support values array created by autoprefixer, e.g.\n // {display: [\"-webkit-box\", \"-ms-flexbox\", \"flex\"]}\n // Set them one by one, and the browser will only set those it can recognize\n for (var i = 0, len = val.length; i < len; i++) {\n el.style[normalizedName] = val[i];\n }\n } else {\n el.style[normalizedName] = val;\n }\n }\n};\n\nvar vendorNames = ['Webkit', 'Moz', 'ms'];\n\nvar emptyStyle;\nvar normalize = cached(function (prop) {\n emptyStyle = emptyStyle || document.createElement('div').style;\n prop = camelize(prop);\n if (prop !== 'filter' && (prop in emptyStyle)) {\n return prop\n }\n var capName = prop.charAt(0).toUpperCase() + prop.slice(1);\n for (var i = 0; i < vendorNames.length; i++) {\n var name = vendorNames[i] + capName;\n if (name in emptyStyle) {\n return name\n }\n }\n});\n\nfunction updateStyle (oldVnode, vnode) {\n var data = vnode.data;\n var oldData = oldVnode.data;\n\n if (isUndef(data.staticStyle) && isUndef(data.style) &&\n isUndef(oldData.staticStyle) && isUndef(oldData.style)\n ) {\n return\n }\n\n var cur, name;\n var el = vnode.elm;\n var oldStaticStyle = oldData.staticStyle;\n var oldStyleBinding = oldData.normalizedStyle || oldData.style || {};\n\n // if static style exists, stylebinding already merged into it when doing normalizeStyleData\n var oldStyle = oldStaticStyle || oldStyleBinding;\n\n var style = normalizeStyleBinding(vnode.data.style) || {};\n\n // store normalized style under a different key for next diff\n // make sure to clone it if it's reactive, since the user likely wants\n // to mutate it.\n vnode.data.normalizedStyle = isDef(style.__ob__)\n ? extend({}, style)\n : style;\n\n var newStyle = getStyle(vnode, true);\n\n for (name in oldStyle) {\n if (isUndef(newStyle[name])) {\n setProp(el, name, '');\n }\n }\n for (name in newStyle) {\n cur = newStyle[name];\n if (cur !== oldStyle[name]) {\n // ie9 setting to null has no effect, must use empty string\n setProp(el, name, cur == null ? '' : cur);\n }\n }\n}\n\nvar style = {\n create: updateStyle,\n update: updateStyle\n};\n\n/* */\n\nvar whitespaceRE = /\\s+/;\n\n/**\n * Add class with compatibility for SVG since classList is not supported on\n * SVG elements in IE\n */\nfunction addClass (el, cls) {\n /* istanbul ignore if */\n if (!cls || !(cls = cls.trim())) {\n return\n }\n\n /* istanbul ignore else */\n if (el.classList) {\n if (cls.indexOf(' ') > -1) {\n cls.split(whitespaceRE).forEach(function (c) { return el.classList.add(c); });\n } else {\n el.classList.add(cls);\n }\n } else {\n var cur = \" \" + (el.getAttribute('class') || '') + \" \";\n if (cur.indexOf(' ' + cls + ' ') < 0) {\n el.setAttribute('class', (cur + cls).trim());\n }\n }\n}\n\n/**\n * Remove class with compatibility for SVG since classList is not supported on\n * SVG elements in IE\n */\nfunction removeClass (el, cls) {\n /* istanbul ignore if */\n if (!cls || !(cls = cls.trim())) {\n return\n }\n\n /* istanbul ignore else */\n if (el.classList) {\n if (cls.indexOf(' ') > -1) {\n cls.split(whitespaceRE).forEach(function (c) { return el.classList.remove(c); });\n } else {\n el.classList.remove(cls);\n }\n if (!el.classList.length) {\n el.removeAttribute('class');\n }\n } else {\n var cur = \" \" + (el.getAttribute('class') || '') + \" \";\n var tar = ' ' + cls + ' ';\n while (cur.indexOf(tar) >= 0) {\n cur = cur.replace(tar, ' ');\n }\n cur = cur.trim();\n if (cur) {\n el.setAttribute('class', cur);\n } else {\n el.removeAttribute('class');\n }\n }\n}\n\n/* */\n\nfunction resolveTransition (def$$1) {\n if (!def$$1) {\n return\n }\n /* istanbul ignore else */\n if (typeof def$$1 === 'object') {\n var res = {};\n if (def$$1.css !== false) {\n extend(res, autoCssTransition(def$$1.name || 'v'));\n }\n extend(res, def$$1);\n return res\n } else if (typeof def$$1 === 'string') {\n return autoCssTransition(def$$1)\n }\n}\n\nvar autoCssTransition = cached(function (name) {\n return {\n enterClass: (name + \"-enter\"),\n enterToClass: (name + \"-enter-to\"),\n enterActiveClass: (name + \"-enter-active\"),\n leaveClass: (name + \"-leave\"),\n leaveToClass: (name + \"-leave-to\"),\n leaveActiveClass: (name + \"-leave-active\")\n }\n});\n\nvar hasTransition = inBrowser && !isIE9;\nvar TRANSITION = 'transition';\nvar ANIMATION = 'animation';\n\n// Transition property/event sniffing\nvar transitionProp = 'transition';\nvar transitionEndEvent = 'transitionend';\nvar animationProp = 'animation';\nvar animationEndEvent = 'animationend';\nif (hasTransition) {\n /* istanbul ignore if */\n if (window.ontransitionend === undefined &&\n window.onwebkittransitionend !== undefined\n ) {\n transitionProp = 'WebkitTransition';\n transitionEndEvent = 'webkitTransitionEnd';\n }\n if (window.onanimationend === undefined &&\n window.onwebkitanimationend !== undefined\n ) {\n animationProp = 'WebkitAnimation';\n animationEndEvent = 'webkitAnimationEnd';\n }\n}\n\n// binding to window is necessary to make hot reload work in IE in strict mode\nvar raf = inBrowser\n ? window.requestAnimationFrame\n ? window.requestAnimationFrame.bind(window)\n : setTimeout\n : /* istanbul ignore next */ function (fn) { return fn(); };\n\nfunction nextFrame (fn) {\n raf(function () {\n raf(fn);\n });\n}\n\nfunction addTransitionClass (el, cls) {\n var transitionClasses = el._transitionClasses || (el._transitionClasses = []);\n if (transitionClasses.indexOf(cls) < 0) {\n transitionClasses.push(cls);\n addClass(el, cls);\n }\n}\n\nfunction removeTransitionClass (el, cls) {\n if (el._transitionClasses) {\n remove(el._transitionClasses, cls);\n }\n removeClass(el, cls);\n}\n\nfunction whenTransitionEnds (\n el,\n expectedType,\n cb\n) {\n var ref = getTransitionInfo(el, expectedType);\n var type = ref.type;\n var timeout = ref.timeout;\n var propCount = ref.propCount;\n if (!type) { return cb() }\n var event = type === TRANSITION ? transitionEndEvent : animationEndEvent;\n var ended = 0;\n var end = function () {\n el.removeEventListener(event, onEnd);\n cb();\n };\n var onEnd = function (e) {\n if (e.target === el) {\n if (++ended >= propCount) {\n end();\n }\n }\n };\n setTimeout(function () {\n if (ended < propCount) {\n end();\n }\n }, timeout + 1);\n el.addEventListener(event, onEnd);\n}\n\nvar transformRE = /\\b(transform|all)(,|$)/;\n\nfunction getTransitionInfo (el, expectedType) {\n var styles = window.getComputedStyle(el);\n // JSDOM may return undefined for transition properties\n var transitionDelays = (styles[transitionProp + 'Delay'] || '').split(', ');\n var transitionDurations = (styles[transitionProp + 'Duration'] || '').split(', ');\n var transitionTimeout = getTimeout(transitionDelays, transitionDurations);\n var animationDelays = (styles[animationProp + 'Delay'] || '').split(', ');\n var animationDurations = (styles[animationProp + 'Duration'] || '').split(', ');\n var animationTimeout = getTimeout(animationDelays, animationDurations);\n\n var type;\n var timeout = 0;\n var propCount = 0;\n /* istanbul ignore if */\n if (expectedType === TRANSITION) {\n if (transitionTimeout > 0) {\n type = TRANSITION;\n timeout = transitionTimeout;\n propCount = transitionDurations.length;\n }\n } else if (expectedType === ANIMATION) {\n if (animationTimeout > 0) {\n type = ANIMATION;\n timeout = animationTimeout;\n propCount = animationDurations.length;\n }\n } else {\n timeout = Math.max(transitionTimeout, animationTimeout);\n type = timeout > 0\n ? transitionTimeout > animationTimeout\n ? TRANSITION\n : ANIMATION\n : null;\n propCount = type\n ? type === TRANSITION\n ? transitionDurations.length\n : animationDurations.length\n : 0;\n }\n var hasTransform =\n type === TRANSITION &&\n transformRE.test(styles[transitionProp + 'Property']);\n return {\n type: type,\n timeout: timeout,\n propCount: propCount,\n hasTransform: hasTransform\n }\n}\n\nfunction getTimeout (delays, durations) {\n /* istanbul ignore next */\n while (delays.length < durations.length) {\n delays = delays.concat(delays);\n }\n\n return Math.max.apply(null, durations.map(function (d, i) {\n return toMs(d) + toMs(delays[i])\n }))\n}\n\n// Old versions of Chromium (below 61.0.3163.100) formats floating pointer numbers\n// in a locale-dependent way, using a comma instead of a dot.\n// If comma is not replaced with a dot, the input will be rounded down (i.e. acting\n// as a floor function) causing unexpected behaviors\nfunction toMs (s) {\n return Number(s.slice(0, -1).replace(',', '.')) * 1000\n}\n\n/* */\n\nfunction enter (vnode, toggleDisplay) {\n var el = vnode.elm;\n\n // call leave callback now\n if (isDef(el._leaveCb)) {\n el._leaveCb.cancelled = true;\n el._leaveCb();\n }\n\n var data = resolveTransition(vnode.data.transition);\n if (isUndef(data)) {\n return\n }\n\n /* istanbul ignore if */\n if (isDef(el._enterCb) || el.nodeType !== 1) {\n return\n }\n\n var css = data.css;\n var type = data.type;\n var enterClass = data.enterClass;\n var enterToClass = data.enterToClass;\n var enterActiveClass = data.enterActiveClass;\n var appearClass = data.appearClass;\n var appearToClass = data.appearToClass;\n var appearActiveClass = data.appearActiveClass;\n var beforeEnter = data.beforeEnter;\n var enter = data.enter;\n var afterEnter = data.afterEnter;\n var enterCancelled = data.enterCancelled;\n var beforeAppear = data.beforeAppear;\n var appear = data.appear;\n var afterAppear = data.afterAppear;\n var appearCancelled = data.appearCancelled;\n var duration = data.duration;\n\n // activeInstance will always be the <transition> component managing this\n // transition. One edge case to check is when the <transition> is placed\n // as the root node of a child component. In that case we need to check\n // <transition>'s parent for appear check.\n var context = activeInstance;\n var transitionNode = activeInstance.$vnode;\n while (transitionNode && transitionNode.parent) {\n context = transitionNode.context;\n transitionNode = transitionNode.parent;\n }\n\n var isAppear = !context._isMounted || !vnode.isRootInsert;\n\n if (isAppear && !appear && appear !== '') {\n return\n }\n\n var startClass = isAppear && appearClass\n ? appearClass\n : enterClass;\n var activeClass = isAppear && appearActiveClass\n ? appearActiveClass\n : enterActiveClass;\n var toClass = isAppear && appearToClass\n ? appearToClass\n : enterToClass;\n\n var beforeEnterHook = isAppear\n ? (beforeAppear || beforeEnter)\n : beforeEnter;\n var enterHook = isAppear\n ? (typeof appear === 'function' ? appear : enter)\n : enter;\n var afterEnterHook = isAppear\n ? (afterAppear || afterEnter)\n : afterEnter;\n var enterCancelledHook = isAppear\n ? (appearCancelled || enterCancelled)\n : enterCancelled;\n\n var explicitEnterDuration = toNumber(\n isObject(duration)\n ? duration.enter\n : duration\n );\n\n if (process.env.NODE_ENV !== 'production' && explicitEnterDuration != null) {\n checkDuration(explicitEnterDuration, 'enter', vnode);\n }\n\n var expectsCSS = css !== false && !isIE9;\n var userWantsControl = getHookArgumentsLength(enterHook);\n\n var cb = el._enterCb = once(function () {\n if (expectsCSS) {\n removeTransitionClass(el, toClass);\n removeTransitionClass(el, activeClass);\n }\n if (cb.cancelled) {\n if (expectsCSS) {\n removeTransitionClass(el, startClass);\n }\n enterCancelledHook && enterCancelledHook(el);\n } else {\n afterEnterHook && afterEnterHook(el);\n }\n el._enterCb = null;\n });\n\n if (!vnode.data.show) {\n // remove pending leave element on enter by injecting an insert hook\n mergeVNodeHook(vnode, 'insert', function () {\n var parent = el.parentNode;\n var pendingNode = parent && parent._pending && parent._pending[vnode.key];\n if (pendingNode &&\n pendingNode.tag === vnode.tag &&\n pendingNode.elm._leaveCb\n ) {\n pendingNode.elm._leaveCb();\n }\n enterHook && enterHook(el, cb);\n });\n }\n\n // start enter transition\n beforeEnterHook && beforeEnterHook(el);\n if (expectsCSS) {\n addTransitionClass(el, startClass);\n addTransitionClass(el, activeClass);\n nextFrame(function () {\n removeTransitionClass(el, startClass);\n if (!cb.cancelled) {\n addTransitionClass(el, toClass);\n if (!userWantsControl) {\n if (isValidDuration(explicitEnterDuration)) {\n setTimeout(cb, explicitEnterDuration);\n } else {\n whenTransitionEnds(el, type, cb);\n }\n }\n }\n });\n }\n\n if (vnode.data.show) {\n toggleDisplay && toggleDisplay();\n enterHook && enterHook(el, cb);\n }\n\n if (!expectsCSS && !userWantsControl) {\n cb();\n }\n}\n\nfunction leave (vnode, rm) {\n var el = vnode.elm;\n\n // call enter callback now\n if (isDef(el._enterCb)) {\n el._enterCb.cancelled = true;\n el._enterCb();\n }\n\n var data = resolveTransition(vnode.data.transition);\n if (isUndef(data) || el.nodeType !== 1) {\n return rm()\n }\n\n /* istanbul ignore if */\n if (isDef(el._leaveCb)) {\n return\n }\n\n var css = data.css;\n var type = data.type;\n var leaveClass = data.leaveClass;\n var leaveToClass = data.leaveToClass;\n var leaveActiveClass = data.leaveActiveClass;\n var beforeLeave = data.beforeLeave;\n var leave = data.leave;\n var afterLeave = data.afterLeave;\n var leaveCancelled = data.leaveCancelled;\n var delayLeave = data.delayLeave;\n var duration = data.duration;\n\n var expectsCSS = css !== false && !isIE9;\n var userWantsControl = getHookArgumentsLength(leave);\n\n var explicitLeaveDuration = toNumber(\n isObject(duration)\n ? duration.leave\n : duration\n );\n\n if (process.env.NODE_ENV !== 'production' && isDef(explicitLeaveDuration)) {\n checkDuration(explicitLeaveDuration, 'leave', vnode);\n }\n\n var cb = el._leaveCb = once(function () {\n if (el.parentNode && el.parentNode._pending) {\n el.parentNode._pending[vnode.key] = null;\n }\n if (expectsCSS) {\n removeTransitionClass(el, leaveToClass);\n removeTransitionClass(el, leaveActiveClass);\n }\n if (cb.cancelled) {\n if (expectsCSS) {\n removeTransitionClass(el, leaveClass);\n }\n leaveCancelled && leaveCancelled(el);\n } else {\n rm();\n afterLeave && afterLeave(el);\n }\n el._leaveCb = null;\n });\n\n if (delayLeave) {\n delayLeave(performLeave);\n } else {\n performLeave();\n }\n\n function performLeave () {\n // the delayed leave may have already been cancelled\n if (cb.cancelled) {\n return\n }\n // record leaving element\n if (!vnode.data.show && el.parentNode) {\n (el.parentNode._pending || (el.parentNode._pending = {}))[(vnode.key)] = vnode;\n }\n beforeLeave && beforeLeave(el);\n if (expectsCSS) {\n addTransitionClass(el, leaveClass);\n addTransitionClass(el, leaveActiveClass);\n nextFrame(function () {\n removeTransitionClass(el, leaveClass);\n if (!cb.cancelled) {\n addTransitionClass(el, leaveToClass);\n if (!userWantsControl) {\n if (isValidDuration(explicitLeaveDuration)) {\n setTimeout(cb, explicitLeaveDuration);\n } else {\n whenTransitionEnds(el, type, cb);\n }\n }\n }\n });\n }\n leave && leave(el, cb);\n if (!expectsCSS && !userWantsControl) {\n cb();\n }\n }\n}\n\n// only used in dev mode\nfunction checkDuration (val, name, vnode) {\n if (typeof val !== 'number') {\n warn(\n \"<transition> explicit \" + name + \" duration is not a valid number - \" +\n \"got \" + (JSON.stringify(val)) + \".\",\n vnode.context\n );\n } else if (isNaN(val)) {\n warn(\n \"<transition> explicit \" + name + \" duration is NaN - \" +\n 'the duration expression might be incorrect.',\n vnode.context\n );\n }\n}\n\nfunction isValidDuration (val) {\n return typeof val === 'number' && !isNaN(val)\n}\n\n/**\n * Normalize a transition hook's argument length. The hook may be:\n * - a merged hook (invoker) with the original in .fns\n * - a wrapped component method (check ._length)\n * - a plain function (.length)\n */\nfunction getHookArgumentsLength (fn) {\n if (isUndef(fn)) {\n return false\n }\n var invokerFns = fn.fns;\n if (isDef(invokerFns)) {\n // invoker\n return getHookArgumentsLength(\n Array.isArray(invokerFns)\n ? invokerFns[0]\n : invokerFns\n )\n } else {\n return (fn._length || fn.length) > 1\n }\n}\n\nfunction _enter (_, vnode) {\n if (vnode.data.show !== true) {\n enter(vnode);\n }\n}\n\nvar transition = inBrowser ? {\n create: _enter,\n activate: _enter,\n remove: function remove$$1 (vnode, rm) {\n /* istanbul ignore else */\n if (vnode.data.show !== true) {\n leave(vnode, rm);\n } else {\n rm();\n }\n }\n} : {};\n\nvar platformModules = [\n attrs,\n klass,\n events,\n domProps,\n style,\n transition\n];\n\n/* */\n\n// the directive module should be applied last, after all\n// built-in modules have been applied.\nvar modules = platformModules.concat(baseModules);\n\nvar patch = createPatchFunction({ nodeOps: nodeOps, modules: modules });\n\n/**\n * Not type checking this file because flow doesn't like attaching\n * properties to Elements.\n */\n\n/* istanbul ignore if */\nif (isIE9) {\n // http://www.matts411.com/post/internet-explorer-9-oninput/\n document.addEventListener('selectionchange', function () {\n var el = document.activeElement;\n if (el && el.vmodel) {\n trigger(el, 'input');\n }\n });\n}\n\nvar directive = {\n inserted: function inserted (el, binding, vnode, oldVnode) {\n if (vnode.tag === 'select') {\n // #6903\n if (oldVnode.elm && !oldVnode.elm._vOptions) {\n mergeVNodeHook(vnode, 'postpatch', function () {\n directive.componentUpdated(el, binding, vnode);\n });\n } else {\n setSelected(el, binding, vnode.context);\n }\n el._vOptions = [].map.call(el.options, getValue);\n } else if (vnode.tag === 'textarea' || isTextInputType(el.type)) {\n el._vModifiers = binding.modifiers;\n if (!binding.modifiers.lazy) {\n el.addEventListener('compositionstart', onCompositionStart);\n el.addEventListener('compositionend', onCompositionEnd);\n // Safari < 10.2 & UIWebView doesn't fire compositionend when\n // switching focus before confirming composition choice\n // this also fixes the issue where some browsers e.g. iOS Chrome\n // fires \"change\" instead of \"input\" on autocomplete.\n el.addEventListener('change', onCompositionEnd);\n /* istanbul ignore if */\n if (isIE9) {\n el.vmodel = true;\n }\n }\n }\n },\n\n componentUpdated: function componentUpdated (el, binding, vnode) {\n if (vnode.tag === 'select') {\n setSelected(el, binding, vnode.context);\n // in case the options rendered by v-for have changed,\n // it's possible that the value is out-of-sync with the rendered options.\n // detect such cases and filter out values that no longer has a matching\n // option in the DOM.\n var prevOptions = el._vOptions;\n var curOptions = el._vOptions = [].map.call(el.options, getValue);\n if (curOptions.some(function (o, i) { return !looseEqual(o, prevOptions[i]); })) {\n // trigger change event if\n // no matching option found for at least one value\n var needReset = el.multiple\n ? binding.value.some(function (v) { return hasNoMatchingOption(v, curOptions); })\n : binding.value !== binding.oldValue && hasNoMatchingOption(binding.value, curOptions);\n if (needReset) {\n trigger(el, 'change');\n }\n }\n }\n }\n};\n\nfunction setSelected (el, binding, vm) {\n actuallySetSelected(el, binding, vm);\n /* istanbul ignore if */\n if (isIE || isEdge) {\n setTimeout(function () {\n actuallySetSelected(el, binding, vm);\n }, 0);\n }\n}\n\nfunction actuallySetSelected (el, binding, vm) {\n var value = binding.value;\n var isMultiple = el.multiple;\n if (isMultiple && !Array.isArray(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"<select multiple v-model=\\\"\" + (binding.expression) + \"\\\"> \" +\n \"expects an Array value for its binding, but got \" + (Object.prototype.toString.call(value).slice(8, -1)),\n vm\n );\n return\n }\n var selected, option;\n for (var i = 0, l = el.options.length; i < l; i++) {\n option = el.options[i];\n if (isMultiple) {\n selected = looseIndexOf(value, getValue(option)) > -1;\n if (option.selected !== selected) {\n option.selected = selected;\n }\n } else {\n if (looseEqual(getValue(option), value)) {\n if (el.selectedIndex !== i) {\n el.selectedIndex = i;\n }\n return\n }\n }\n }\n if (!isMultiple) {\n el.selectedIndex = -1;\n }\n}\n\nfunction hasNoMatchingOption (value, options) {\n return options.every(function (o) { return !looseEqual(o, value); })\n}\n\nfunction getValue (option) {\n return '_value' in option\n ? option._value\n : option.value\n}\n\nfunction onCompositionStart (e) {\n e.target.composing = true;\n}\n\nfunction onCompositionEnd (e) {\n // prevent triggering an input event for no reason\n if (!e.target.composing) { return }\n e.target.composing = false;\n trigger(e.target, 'input');\n}\n\nfunction trigger (el, type) {\n var e = document.createEvent('HTMLEvents');\n e.initEvent(type, true, true);\n el.dispatchEvent(e);\n}\n\n/* */\n\n// recursively search for possible transition defined inside the component root\nfunction locateNode (vnode) {\n return vnode.componentInstance && (!vnode.data || !vnode.data.transition)\n ? locateNode(vnode.componentInstance._vnode)\n : vnode\n}\n\nvar show = {\n bind: function bind (el, ref, vnode) {\n var value = ref.value;\n\n vnode = locateNode(vnode);\n var transition$$1 = vnode.data && vnode.data.transition;\n var originalDisplay = el.__vOriginalDisplay =\n el.style.display === 'none' ? '' : el.style.display;\n if (value && transition$$1) {\n vnode.data.show = true;\n enter(vnode, function () {\n el.style.display = originalDisplay;\n });\n } else {\n el.style.display = value ? originalDisplay : 'none';\n }\n },\n\n update: function update (el, ref, vnode) {\n var value = ref.value;\n var oldValue = ref.oldValue;\n\n /* istanbul ignore if */\n if (!value === !oldValue) { return }\n vnode = locateNode(vnode);\n var transition$$1 = vnode.data && vnode.data.transition;\n if (transition$$1) {\n vnode.data.show = true;\n if (value) {\n enter(vnode, function () {\n el.style.display = el.__vOriginalDisplay;\n });\n } else {\n leave(vnode, function () {\n el.style.display = 'none';\n });\n }\n } else {\n el.style.display = value ? el.__vOriginalDisplay : 'none';\n }\n },\n\n unbind: function unbind (\n el,\n binding,\n vnode,\n oldVnode,\n isDestroy\n ) {\n if (!isDestroy) {\n el.style.display = el.__vOriginalDisplay;\n }\n }\n};\n\nvar platformDirectives = {\n model: directive,\n show: show\n};\n\n/* */\n\nvar transitionProps = {\n name: String,\n appear: Boolean,\n css: Boolean,\n mode: String,\n type: String,\n enterClass: String,\n leaveClass: String,\n enterToClass: String,\n leaveToClass: String,\n enterActiveClass: String,\n leaveActiveClass: String,\n appearClass: String,\n appearActiveClass: String,\n appearToClass: String,\n duration: [Number, String, Object]\n};\n\n// in case the child is also an abstract component, e.g. <keep-alive>\n// we want to recursively retrieve the real component to be rendered\nfunction getRealChild (vnode) {\n var compOptions = vnode && vnode.componentOptions;\n if (compOptions && compOptions.Ctor.options.abstract) {\n return getRealChild(getFirstComponentChild(compOptions.children))\n } else {\n return vnode\n }\n}\n\nfunction extractTransitionData (comp) {\n var data = {};\n var options = comp.$options;\n // props\n for (var key in options.propsData) {\n data[key] = comp[key];\n }\n // events.\n // extract listeners and pass them directly to the transition methods\n var listeners = options._parentListeners;\n for (var key$1 in listeners) {\n data[camelize(key$1)] = listeners[key$1];\n }\n return data\n}\n\nfunction placeholder (h, rawChild) {\n if (/\\d-keep-alive$/.test(rawChild.tag)) {\n return h('keep-alive', {\n props: rawChild.componentOptions.propsData\n })\n }\n}\n\nfunction hasParentTransition (vnode) {\n while ((vnode = vnode.parent)) {\n if (vnode.data.transition) {\n return true\n }\n }\n}\n\nfunction isSameChild (child, oldChild) {\n return oldChild.key === child.key && oldChild.tag === child.tag\n}\n\nvar isNotTextNode = function (c) { return c.tag || isAsyncPlaceholder(c); };\n\nvar isVShowDirective = function (d) { return d.name === 'show'; };\n\nvar Transition = {\n name: 'transition',\n props: transitionProps,\n abstract: true,\n\n render: function render (h) {\n var this$1 = this;\n\n var children = this.$slots.default;\n if (!children) {\n return\n }\n\n // filter out text nodes (possible whitespaces)\n children = children.filter(isNotTextNode);\n /* istanbul ignore if */\n if (!children.length) {\n return\n }\n\n // warn multiple elements\n if (process.env.NODE_ENV !== 'production' && children.length > 1) {\n warn(\n '<transition> can only be used on a single element. Use ' +\n '<transition-group> for lists.',\n this.$parent\n );\n }\n\n var mode = this.mode;\n\n // warn invalid mode\n if (process.env.NODE_ENV !== 'production' &&\n mode && mode !== 'in-out' && mode !== 'out-in'\n ) {\n warn(\n 'invalid <transition> mode: ' + mode,\n this.$parent\n );\n }\n\n var rawChild = children[0];\n\n // if this is a component root node and the component's\n // parent container node also has transition, skip.\n if (hasParentTransition(this.$vnode)) {\n return rawChild\n }\n\n // apply transition data to child\n // use getRealChild() to ignore abstract components e.g. keep-alive\n var child = getRealChild(rawChild);\n /* istanbul ignore if */\n if (!child) {\n return rawChild\n }\n\n if (this._leaving) {\n return placeholder(h, rawChild)\n }\n\n // ensure a key that is unique to the vnode type and to this transition\n // component instance. This key will be used to remove pending leaving nodes\n // during entering.\n var id = \"__transition-\" + (this._uid) + \"-\";\n child.key = child.key == null\n ? child.isComment\n ? id + 'comment'\n : id + child.tag\n : isPrimitive(child.key)\n ? (String(child.key).indexOf(id) === 0 ? child.key : id + child.key)\n : child.key;\n\n var data = (child.data || (child.data = {})).transition = extractTransitionData(this);\n var oldRawChild = this._vnode;\n var oldChild = getRealChild(oldRawChild);\n\n // mark v-show\n // so that the transition module can hand over the control to the directive\n if (child.data.directives && child.data.directives.some(isVShowDirective)) {\n child.data.show = true;\n }\n\n if (\n oldChild &&\n oldChild.data &&\n !isSameChild(child, oldChild) &&\n !isAsyncPlaceholder(oldChild) &&\n // #6687 component root is a comment node\n !(oldChild.componentInstance && oldChild.componentInstance._vnode.isComment)\n ) {\n // replace old child transition data with fresh one\n // important for dynamic transitions!\n var oldData = oldChild.data.transition = extend({}, data);\n // handle transition mode\n if (mode === 'out-in') {\n // return placeholder node and queue update when leave finishes\n this._leaving = true;\n mergeVNodeHook(oldData, 'afterLeave', function () {\n this$1._leaving = false;\n this$1.$forceUpdate();\n });\n return placeholder(h, rawChild)\n } else if (mode === 'in-out') {\n if (isAsyncPlaceholder(child)) {\n return oldRawChild\n }\n var delayedLeave;\n var performLeave = function () { delayedLeave(); };\n mergeVNodeHook(data, 'afterEnter', performLeave);\n mergeVNodeHook(data, 'enterCancelled', performLeave);\n mergeVNodeHook(oldData, 'delayLeave', function (leave) { delayedLeave = leave; });\n }\n }\n\n return rawChild\n }\n};\n\n/* */\n\nvar props = extend({\n tag: String,\n moveClass: String\n}, transitionProps);\n\ndelete props.mode;\n\nvar TransitionGroup = {\n props: props,\n\n beforeMount: function beforeMount () {\n var this$1 = this;\n\n var update = this._update;\n this._update = function (vnode, hydrating) {\n var restoreActiveInstance = setActiveInstance(this$1);\n // force removing pass\n this$1.__patch__(\n this$1._vnode,\n this$1.kept,\n false, // hydrating\n true // removeOnly (!important, avoids unnecessary moves)\n );\n this$1._vnode = this$1.kept;\n restoreActiveInstance();\n update.call(this$1, vnode, hydrating);\n };\n },\n\n render: function render (h) {\n var tag = this.tag || this.$vnode.data.tag || 'span';\n var map = Object.create(null);\n var prevChildren = this.prevChildren = this.children;\n var rawChildren = this.$slots.default || [];\n var children = this.children = [];\n var transitionData = extractTransitionData(this);\n\n for (var i = 0; i < rawChildren.length; i++) {\n var c = rawChildren[i];\n if (c.tag) {\n if (c.key != null && String(c.key).indexOf('__vlist') !== 0) {\n children.push(c);\n map[c.key] = c\n ;(c.data || (c.data = {})).transition = transitionData;\n } else if (process.env.NODE_ENV !== 'production') {\n var opts = c.componentOptions;\n var name = opts ? (opts.Ctor.options.name || opts.tag || '') : c.tag;\n warn((\"<transition-group> children must be keyed: <\" + name + \">\"));\n }\n }\n }\n\n if (prevChildren) {\n var kept = [];\n var removed = [];\n for (var i$1 = 0; i$1 < prevChildren.length; i$1++) {\n var c$1 = prevChildren[i$1];\n c$1.data.transition = transitionData;\n c$1.data.pos = c$1.elm.getBoundingClientRect();\n if (map[c$1.key]) {\n kept.push(c$1);\n } else {\n removed.push(c$1);\n }\n }\n this.kept = h(tag, null, kept);\n this.removed = removed;\n }\n\n return h(tag, null, children)\n },\n\n updated: function updated () {\n var children = this.prevChildren;\n var moveClass = this.moveClass || ((this.name || 'v') + '-move');\n if (!children.length || !this.hasMove(children[0].elm, moveClass)) {\n return\n }\n\n // we divide the work into three loops to avoid mixing DOM reads and writes\n // in each iteration - which helps prevent layout thrashing.\n children.forEach(callPendingCbs);\n children.forEach(recordPosition);\n children.forEach(applyTranslation);\n\n // force reflow to put everything in position\n // assign to this to avoid being removed in tree-shaking\n // $flow-disable-line\n this._reflow = document.body.offsetHeight;\n\n children.forEach(function (c) {\n if (c.data.moved) {\n var el = c.elm;\n var s = el.style;\n addTransitionClass(el, moveClass);\n s.transform = s.WebkitTransform = s.transitionDuration = '';\n el.addEventListener(transitionEndEvent, el._moveCb = function cb (e) {\n if (e && e.target !== el) {\n return\n }\n if (!e || /transform$/.test(e.propertyName)) {\n el.removeEventListener(transitionEndEvent, cb);\n el._moveCb = null;\n removeTransitionClass(el, moveClass);\n }\n });\n }\n });\n },\n\n methods: {\n hasMove: function hasMove (el, moveClass) {\n /* istanbul ignore if */\n if (!hasTransition) {\n return false\n }\n /* istanbul ignore if */\n if (this._hasMove) {\n return this._hasMove\n }\n // Detect whether an element with the move class applied has\n // CSS transitions. Since the element may be inside an entering\n // transition at this very moment, we make a clone of it and remove\n // all other transition classes applied to ensure only the move class\n // is applied.\n var clone = el.cloneNode();\n if (el._transitionClasses) {\n el._transitionClasses.forEach(function (cls) { removeClass(clone, cls); });\n }\n addClass(clone, moveClass);\n clone.style.display = 'none';\n this.$el.appendChild(clone);\n var info = getTransitionInfo(clone);\n this.$el.removeChild(clone);\n return (this._hasMove = info.hasTransform)\n }\n }\n};\n\nfunction callPendingCbs (c) {\n /* istanbul ignore if */\n if (c.elm._moveCb) {\n c.elm._moveCb();\n }\n /* istanbul ignore if */\n if (c.elm._enterCb) {\n c.elm._enterCb();\n }\n}\n\nfunction recordPosition (c) {\n c.data.newPos = c.elm.getBoundingClientRect();\n}\n\nfunction applyTranslation (c) {\n var oldPos = c.data.pos;\n var newPos = c.data.newPos;\n var dx = oldPos.left - newPos.left;\n var dy = oldPos.top - newPos.top;\n if (dx || dy) {\n c.data.moved = true;\n var s = c.elm.style;\n s.transform = s.WebkitTransform = \"translate(\" + dx + \"px,\" + dy + \"px)\";\n s.transitionDuration = '0s';\n }\n}\n\nvar platformComponents = {\n Transition: Transition,\n TransitionGroup: TransitionGroup\n};\n\n/* */\n\n// install platform specific utils\nVue.config.mustUseProp = mustUseProp;\nVue.config.isReservedTag = isReservedTag;\nVue.config.isReservedAttr = isReservedAttr;\nVue.config.getTagNamespace = getTagNamespace;\nVue.config.isUnknownElement = isUnknownElement;\n\n// install platform runtime directives & components\nextend(Vue.options.directives, platformDirectives);\nextend(Vue.options.components, platformComponents);\n\n// install platform patch function\nVue.prototype.__patch__ = inBrowser ? patch : noop;\n\n// public mount method\nVue.prototype.$mount = function (\n el,\n hydrating\n) {\n el = el && inBrowser ? query(el) : undefined;\n return mountComponent(this, el, hydrating)\n};\n\n// devtools global hook\n/* istanbul ignore next */\nif (inBrowser) {\n setTimeout(function () {\n if (config.devtools) {\n if (devtools) {\n devtools.emit('init', Vue);\n } else if (\n process.env.NODE_ENV !== 'production' &&\n process.env.NODE_ENV !== 'test'\n ) {\n console[console.info ? 'info' : 'log'](\n 'Download the Vue Devtools extension for a better development experience:\\n' +\n 'https://github.com/vuejs/vue-devtools'\n );\n }\n }\n if (process.env.NODE_ENV !== 'production' &&\n process.env.NODE_ENV !== 'test' &&\n config.productionTip !== false &&\n typeof console !== 'undefined'\n ) {\n console[console.info ? 'info' : 'log'](\n \"You are running Vue in development mode.\\n\" +\n \"Make sure to turn on production mode when deploying for production.\\n\" +\n \"See more tips at https://vuejs.org/guide/deployment.html\"\n );\n }\n }, 0);\n}\n\n/* */\n\nvar defaultTagRE = /\\{\\{((?:.|\\r?\\n)+?)\\}\\}/g;\nvar regexEscapeRE = /[-.*+?^${}()|[\\]\\/\\\\]/g;\n\nvar buildRegex = cached(function (delimiters) {\n var open = delimiters[0].replace(regexEscapeRE, '\\\\$&');\n var close = delimiters[1].replace(regexEscapeRE, '\\\\$&');\n return new RegExp(open + '((?:.|\\\\n)+?)' + close, 'g')\n});\n\n\n\nfunction parseText (\n text,\n delimiters\n) {\n var tagRE = delimiters ? buildRegex(delimiters) : defaultTagRE;\n if (!tagRE.test(text)) {\n return\n }\n var tokens = [];\n var rawTokens = [];\n var lastIndex = tagRE.lastIndex = 0;\n var match, index, tokenValue;\n while ((match = tagRE.exec(text))) {\n index = match.index;\n // push text token\n if (index > lastIndex) {\n rawTokens.push(tokenValue = text.slice(lastIndex, index));\n tokens.push(JSON.stringify(tokenValue));\n }\n // tag token\n var exp = parseFilters(match[1].trim());\n tokens.push((\"_s(\" + exp + \")\"));\n rawTokens.push({ '@binding': exp });\n lastIndex = index + match[0].length;\n }\n if (lastIndex < text.length) {\n rawTokens.push(tokenValue = text.slice(lastIndex));\n tokens.push(JSON.stringify(tokenValue));\n }\n return {\n expression: tokens.join('+'),\n tokens: rawTokens\n }\n}\n\n/* */\n\nfunction transformNode (el, options) {\n var warn = options.warn || baseWarn;\n var staticClass = getAndRemoveAttr(el, 'class');\n if (process.env.NODE_ENV !== 'production' && staticClass) {\n var res = parseText(staticClass, options.delimiters);\n if (res) {\n warn(\n \"class=\\\"\" + staticClass + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div class=\"{{ val }}\">, use <div :class=\"val\">.',\n el.rawAttrsMap['class']\n );\n }\n }\n if (staticClass) {\n el.staticClass = JSON.stringify(staticClass);\n }\n var classBinding = getBindingAttr(el, 'class', false /* getStatic */);\n if (classBinding) {\n el.classBinding = classBinding;\n }\n}\n\nfunction genData (el) {\n var data = '';\n if (el.staticClass) {\n data += \"staticClass:\" + (el.staticClass) + \",\";\n }\n if (el.classBinding) {\n data += \"class:\" + (el.classBinding) + \",\";\n }\n return data\n}\n\nvar klass$1 = {\n staticKeys: ['staticClass'],\n transformNode: transformNode,\n genData: genData\n};\n\n/* */\n\nfunction transformNode$1 (el, options) {\n var warn = options.warn || baseWarn;\n var staticStyle = getAndRemoveAttr(el, 'style');\n if (staticStyle) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n var res = parseText(staticStyle, options.delimiters);\n if (res) {\n warn(\n \"style=\\\"\" + staticStyle + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div style=\"{{ val }}\">, use <div :style=\"val\">.',\n el.rawAttrsMap['style']\n );\n }\n }\n el.staticStyle = JSON.stringify(parseStyleText(staticStyle));\n }\n\n var styleBinding = getBindingAttr(el, 'style', false /* getStatic */);\n if (styleBinding) {\n el.styleBinding = styleBinding;\n }\n}\n\nfunction genData$1 (el) {\n var data = '';\n if (el.staticStyle) {\n data += \"staticStyle:\" + (el.staticStyle) + \",\";\n }\n if (el.styleBinding) {\n data += \"style:(\" + (el.styleBinding) + \"),\";\n }\n return data\n}\n\nvar style$1 = {\n staticKeys: ['staticStyle'],\n transformNode: transformNode$1,\n genData: genData$1\n};\n\n/* */\n\nvar decoder;\n\nvar he = {\n decode: function decode (html) {\n decoder = decoder || document.createElement('div');\n decoder.innerHTML = html;\n return decoder.textContent\n }\n};\n\n/* */\n\nvar isUnaryTag = makeMap(\n 'area,base,br,col,embed,frame,hr,img,input,isindex,keygen,' +\n 'link,meta,param,source,track,wbr'\n);\n\n// Elements that you can, intentionally, leave open\n// (and which close themselves)\nvar canBeLeftOpenTag = makeMap(\n 'colgroup,dd,dt,li,options,p,td,tfoot,th,thead,tr,source'\n);\n\n// HTML5 tags https://html.spec.whatwg.org/multipage/indices.html#elements-3\n// Phrasing Content https://html.spec.whatwg.org/multipage/dom.html#phrasing-content\nvar isNonPhrasingTag = makeMap(\n 'address,article,aside,base,blockquote,body,caption,col,colgroup,dd,' +\n 'details,dialog,div,dl,dt,fieldset,figcaption,figure,footer,form,' +\n 'h1,h2,h3,h4,h5,h6,head,header,hgroup,hr,html,legend,li,menuitem,meta,' +\n 'optgroup,option,param,rp,rt,source,style,summary,tbody,td,tfoot,th,thead,' +\n 'title,tr,track'\n);\n\n/**\n * Not type-checking this file because it's mostly vendor code.\n */\n\n// Regular Expressions for parsing tags and attributes\nvar attribute = /^\\s*([^\\s\"'<>\\/=]+)(?:\\s*(=)\\s*(?:\"([^\"]*)\"+|'([^']*)'+|([^\\s\"'=<>`]+)))?/;\nvar dynamicArgAttribute = /^\\s*((?:v-[\\w-]+:|@|:|#)\\[[^=]+\\][^\\s\"'<>\\/=]*)(?:\\s*(=)\\s*(?:\"([^\"]*)\"+|'([^']*)'+|([^\\s\"'=<>`]+)))?/;\nvar ncname = \"[a-zA-Z_][\\\\-\\\\.0-9_a-zA-Z\" + (unicodeRegExp.source) + \"]*\";\nvar qnameCapture = \"((?:\" + ncname + \"\\\\:)?\" + ncname + \")\";\nvar startTagOpen = new RegExp((\"^<\" + qnameCapture));\nvar startTagClose = /^\\s*(\\/?)>/;\nvar endTag = new RegExp((\"^<\\\\/\" + qnameCapture + \"[^>]*>\"));\nvar doctype = /^<!DOCTYPE [^>]+>/i;\n// #7298: escape - to avoid being passed as HTML comment when inlined in page\nvar comment = /^<!\\--/;\nvar conditionalComment = /^<!\\[/;\n\n// Special Elements (can contain anything)\nvar isPlainTextElement = makeMap('script,style,textarea', true);\nvar reCache = {};\n\nvar decodingMap = {\n '&lt;': '<',\n '&gt;': '>',\n '&quot;': '\"',\n '&amp;': '&',\n '&#10;': '\\n',\n '&#9;': '\\t',\n '&#39;': \"'\"\n};\nvar encodedAttr = /&(?:lt|gt|quot|amp|#39);/g;\nvar encodedAttrWithNewLines = /&(?:lt|gt|quot|amp|#39|#10|#9);/g;\n\n// #5992\nvar isIgnoreNewlineTag = makeMap('pre,textarea', true);\nvar shouldIgnoreFirstNewline = function (tag, html) { return tag && isIgnoreNewlineTag(tag) && html[0] === '\\n'; };\n\nfunction decodeAttr (value, shouldDecodeNewlines) {\n var re = shouldDecodeNewlines ? encodedAttrWithNewLines : encodedAttr;\n return value.replace(re, function (match) { return decodingMap[match]; })\n}\n\nfunction parseHTML (html, options) {\n var stack = [];\n var expectHTML = options.expectHTML;\n var isUnaryTag$$1 = options.isUnaryTag || no;\n var canBeLeftOpenTag$$1 = options.canBeLeftOpenTag || no;\n var index = 0;\n var last, lastTag;\n while (html) {\n last = html;\n // Make sure we're not in a plaintext content element like script/style\n if (!lastTag || !isPlainTextElement(lastTag)) {\n var textEnd = html.indexOf('<');\n if (textEnd === 0) {\n // Comment:\n if (comment.test(html)) {\n var commentEnd = html.indexOf('-->');\n\n if (commentEnd >= 0) {\n if (options.shouldKeepComment) {\n options.comment(html.substring(4, commentEnd), index, index + commentEnd + 3);\n }\n advance(commentEnd + 3);\n continue\n }\n }\n\n // http://en.wikipedia.org/wiki/Conditional_comment#Downlevel-revealed_conditional_comment\n if (conditionalComment.test(html)) {\n var conditionalEnd = html.indexOf(']>');\n\n if (conditionalEnd >= 0) {\n advance(conditionalEnd + 2);\n continue\n }\n }\n\n // Doctype:\n var doctypeMatch = html.match(doctype);\n if (doctypeMatch) {\n advance(doctypeMatch[0].length);\n continue\n }\n\n // End tag:\n var endTagMatch = html.match(endTag);\n if (endTagMatch) {\n var curIndex = index;\n advance(endTagMatch[0].length);\n parseEndTag(endTagMatch[1], curIndex, index);\n continue\n }\n\n // Start tag:\n var startTagMatch = parseStartTag();\n if (startTagMatch) {\n handleStartTag(startTagMatch);\n if (shouldIgnoreFirstNewline(startTagMatch.tagName, html)) {\n advance(1);\n }\n continue\n }\n }\n\n var text = (void 0), rest = (void 0), next = (void 0);\n if (textEnd >= 0) {\n rest = html.slice(textEnd);\n while (\n !endTag.test(rest) &&\n !startTagOpen.test(rest) &&\n !comment.test(rest) &&\n !conditionalComment.test(rest)\n ) {\n // < in plain text, be forgiving and treat it as text\n next = rest.indexOf('<', 1);\n if (next < 0) { break }\n textEnd += next;\n rest = html.slice(textEnd);\n }\n text = html.substring(0, textEnd);\n }\n\n if (textEnd < 0) {\n text = html;\n }\n\n if (text) {\n advance(text.length);\n }\n\n if (options.chars && text) {\n options.chars(text, index - text.length, index);\n }\n } else {\n var endTagLength = 0;\n var stackedTag = lastTag.toLowerCase();\n var reStackedTag = reCache[stackedTag] || (reCache[stackedTag] = new RegExp('([\\\\s\\\\S]*?)(</' + stackedTag + '[^>]*>)', 'i'));\n var rest$1 = html.replace(reStackedTag, function (all, text, endTag) {\n endTagLength = endTag.length;\n if (!isPlainTextElement(stackedTag) && stackedTag !== 'noscript') {\n text = text\n .replace(/<!\\--([\\s\\S]*?)-->/g, '$1') // #7298\n .replace(/<!\\[CDATA\\[([\\s\\S]*?)]]>/g, '$1');\n }\n if (shouldIgnoreFirstNewline(stackedTag, text)) {\n text = text.slice(1);\n }\n if (options.chars) {\n options.chars(text);\n }\n return ''\n });\n index += html.length - rest$1.length;\n html = rest$1;\n parseEndTag(stackedTag, index - endTagLength, index);\n }\n\n if (html === last) {\n options.chars && options.chars(html);\n if (process.env.NODE_ENV !== 'production' && !stack.length && options.warn) {\n options.warn((\"Mal-formatted tag at end of template: \\\"\" + html + \"\\\"\"), { start: index + html.length });\n }\n break\n }\n }\n\n // Clean up any remaining tags\n parseEndTag();\n\n function advance (n) {\n index += n;\n html = html.substring(n);\n }\n\n function parseStartTag () {\n var start = html.match(startTagOpen);\n if (start) {\n var match = {\n tagName: start[1],\n attrs: [],\n start: index\n };\n advance(start[0].length);\n var end, attr;\n while (!(end = html.match(startTagClose)) && (attr = html.match(dynamicArgAttribute) || html.match(attribute))) {\n attr.start = index;\n advance(attr[0].length);\n attr.end = index;\n match.attrs.push(attr);\n }\n if (end) {\n match.unarySlash = end[1];\n advance(end[0].length);\n match.end = index;\n return match\n }\n }\n }\n\n function handleStartTag (match) {\n var tagName = match.tagName;\n var unarySlash = match.unarySlash;\n\n if (expectHTML) {\n if (lastTag === 'p' && isNonPhrasingTag(tagName)) {\n parseEndTag(lastTag);\n }\n if (canBeLeftOpenTag$$1(tagName) && lastTag === tagName) {\n parseEndTag(tagName);\n }\n }\n\n var unary = isUnaryTag$$1(tagName) || !!unarySlash;\n\n var l = match.attrs.length;\n var attrs = new Array(l);\n for (var i = 0; i < l; i++) {\n var args = match.attrs[i];\n var value = args[3] || args[4] || args[5] || '';\n var shouldDecodeNewlines = tagName === 'a' && args[1] === 'href'\n ? options.shouldDecodeNewlinesForHref\n : options.shouldDecodeNewlines;\n attrs[i] = {\n name: args[1],\n value: decodeAttr(value, shouldDecodeNewlines)\n };\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n attrs[i].start = args.start + args[0].match(/^\\s*/).length;\n attrs[i].end = args.end;\n }\n }\n\n if (!unary) {\n stack.push({ tag: tagName, lowerCasedTag: tagName.toLowerCase(), attrs: attrs, start: match.start, end: match.end });\n lastTag = tagName;\n }\n\n if (options.start) {\n options.start(tagName, attrs, unary, match.start, match.end);\n }\n }\n\n function parseEndTag (tagName, start, end) {\n var pos, lowerCasedTagName;\n if (start == null) { start = index; }\n if (end == null) { end = index; }\n\n // Find the closest opened tag of the same type\n if (tagName) {\n lowerCasedTagName = tagName.toLowerCase();\n for (pos = stack.length - 1; pos >= 0; pos--) {\n if (stack[pos].lowerCasedTag === lowerCasedTagName) {\n break\n }\n }\n } else {\n // If no tag name is provided, clean shop\n pos = 0;\n }\n\n if (pos >= 0) {\n // Close all the open elements, up the stack\n for (var i = stack.length - 1; i >= pos; i--) {\n if (process.env.NODE_ENV !== 'production' &&\n (i > pos || !tagName) &&\n options.warn\n ) {\n options.warn(\n (\"tag <\" + (stack[i].tag) + \"> has no matching end tag.\"),\n { start: stack[i].start, end: stack[i].end }\n );\n }\n if (options.end) {\n options.end(stack[i].tag, start, end);\n }\n }\n\n // Remove the open elements from the stack\n stack.length = pos;\n lastTag = pos && stack[pos - 1].tag;\n } else if (lowerCasedTagName === 'br') {\n if (options.start) {\n options.start(tagName, [], true, start, end);\n }\n } else if (lowerCasedTagName === 'p') {\n if (options.start) {\n options.start(tagName, [], false, start, end);\n }\n if (options.end) {\n options.end(tagName, start, end);\n }\n }\n }\n}\n\n/* */\n\nvar onRE = /^@|^v-on:/;\nvar dirRE = /^v-|^@|^:|^#/;\nvar forAliasRE = /([\\s\\S]*?)\\s+(?:in|of)\\s+([\\s\\S]*)/;\nvar forIteratorRE = /,([^,\\}\\]]*)(?:,([^,\\}\\]]*))?$/;\nvar stripParensRE = /^\\(|\\)$/g;\nvar dynamicArgRE = /^\\[.*\\]$/;\n\nvar argRE = /:(.*)$/;\nvar bindRE = /^:|^\\.|^v-bind:/;\nvar modifierRE = /\\.[^.\\]]+(?=[^\\]]*$)/g;\n\nvar slotRE = /^v-slot(:|$)|^#/;\n\nvar lineBreakRE = /[\\r\\n]/;\nvar whitespaceRE$1 = /\\s+/g;\n\nvar invalidAttributeRE = /[\\s\"'<>\\/=]/;\n\nvar decodeHTMLCached = cached(he.decode);\n\nvar emptySlotScopeToken = \"_empty_\";\n\n// configurable state\nvar warn$2;\nvar delimiters;\nvar transforms;\nvar preTransforms;\nvar postTransforms;\nvar platformIsPreTag;\nvar platformMustUseProp;\nvar platformGetTagNamespace;\nvar maybeComponent;\n\nfunction createASTElement (\n tag,\n attrs,\n parent\n) {\n return {\n type: 1,\n tag: tag,\n attrsList: attrs,\n attrsMap: makeAttrsMap(attrs),\n rawAttrsMap: {},\n parent: parent,\n children: []\n }\n}\n\n/**\n * Convert HTML string to AST.\n */\nfunction parse (\n template,\n options\n) {\n warn$2 = options.warn || baseWarn;\n\n platformIsPreTag = options.isPreTag || no;\n platformMustUseProp = options.mustUseProp || no;\n platformGetTagNamespace = options.getTagNamespace || no;\n var isReservedTag = options.isReservedTag || no;\n maybeComponent = function (el) { return !!el.component || !isReservedTag(el.tag); };\n\n transforms = pluckModuleFunction(options.modules, 'transformNode');\n preTransforms = pluckModuleFunction(options.modules, 'preTransformNode');\n postTransforms = pluckModuleFunction(options.modules, 'postTransformNode');\n\n delimiters = options.delimiters;\n\n var stack = [];\n var preserveWhitespace = options.preserveWhitespace !== false;\n var whitespaceOption = options.whitespace;\n var root;\n var currentParent;\n var inVPre = false;\n var inPre = false;\n var warned = false;\n\n function warnOnce (msg, range) {\n if (!warned) {\n warned = true;\n warn$2(msg, range);\n }\n }\n\n function closeElement (element) {\n trimEndingWhitespace(element);\n if (!inVPre && !element.processed) {\n element = processElement(element, options);\n }\n // tree management\n if (!stack.length && element !== root) {\n // allow root elements with v-if, v-else-if and v-else\n if (root.if && (element.elseif || element.else)) {\n if (process.env.NODE_ENV !== 'production') {\n checkRootConstraints(element);\n }\n addIfCondition(root, {\n exp: element.elseif,\n block: element\n });\n } else if (process.env.NODE_ENV !== 'production') {\n warnOnce(\n \"Component template should contain exactly one root element. \" +\n \"If you are using v-if on multiple elements, \" +\n \"use v-else-if to chain them instead.\",\n { start: element.start }\n );\n }\n }\n if (currentParent && !element.forbidden) {\n if (element.elseif || element.else) {\n processIfConditions(element, currentParent);\n } else {\n if (element.slotScope) {\n // scoped slot\n // keep it in the children list so that v-else(-if) conditions can\n // find it as the prev node.\n var name = element.slotTarget || '\"default\"'\n ;(currentParent.scopedSlots || (currentParent.scopedSlots = {}))[name] = element;\n }\n currentParent.children.push(element);\n element.parent = currentParent;\n }\n }\n\n // final children cleanup\n // filter out scoped slots\n element.children = element.children.filter(function (c) { return !(c).slotScope; });\n // remove trailing whitespace node again\n trimEndingWhitespace(element);\n\n // check pre state\n if (element.pre) {\n inVPre = false;\n }\n if (platformIsPreTag(element.tag)) {\n inPre = false;\n }\n // apply post-transforms\n for (var i = 0; i < postTransforms.length; i++) {\n postTransforms[i](element, options);\n }\n }\n\n function trimEndingWhitespace (el) {\n // remove trailing whitespace node\n if (!inPre) {\n var lastNode;\n while (\n (lastNode = el.children[el.children.length - 1]) &&\n lastNode.type === 3 &&\n lastNode.text === ' '\n ) {\n el.children.pop();\n }\n }\n }\n\n function checkRootConstraints (el) {\n if (el.tag === 'slot' || el.tag === 'template') {\n warnOnce(\n \"Cannot use <\" + (el.tag) + \"> as component root element because it may \" +\n 'contain multiple nodes.',\n { start: el.start }\n );\n }\n if (el.attrsMap.hasOwnProperty('v-for')) {\n warnOnce(\n 'Cannot use v-for on stateful component root element because ' +\n 'it renders multiple elements.',\n el.rawAttrsMap['v-for']\n );\n }\n }\n\n parseHTML(template, {\n warn: warn$2,\n expectHTML: options.expectHTML,\n isUnaryTag: options.isUnaryTag,\n canBeLeftOpenTag: options.canBeLeftOpenTag,\n shouldDecodeNewlines: options.shouldDecodeNewlines,\n shouldDecodeNewlinesForHref: options.shouldDecodeNewlinesForHref,\n shouldKeepComment: options.comments,\n outputSourceRange: options.outputSourceRange,\n start: function start (tag, attrs, unary, start$1, end) {\n // check namespace.\n // inherit parent ns if there is one\n var ns = (currentParent && currentParent.ns) || platformGetTagNamespace(tag);\n\n // handle IE svg bug\n /* istanbul ignore if */\n if (isIE && ns === 'svg') {\n attrs = guardIESVGBug(attrs);\n }\n\n var element = createASTElement(tag, attrs, currentParent);\n if (ns) {\n element.ns = ns;\n }\n\n if (process.env.NODE_ENV !== 'production') {\n if (options.outputSourceRange) {\n element.start = start$1;\n element.end = end;\n element.rawAttrsMap = element.attrsList.reduce(function (cumulated, attr) {\n cumulated[attr.name] = attr;\n return cumulated\n }, {});\n }\n attrs.forEach(function (attr) {\n if (invalidAttributeRE.test(attr.name)) {\n warn$2(\n \"Invalid dynamic argument expression: attribute names cannot contain \" +\n \"spaces, quotes, <, >, / or =.\",\n {\n start: attr.start + attr.name.indexOf(\"[\"),\n end: attr.start + attr.name.length\n }\n );\n }\n });\n }\n\n if (isForbiddenTag(element) && !isServerRendering()) {\n element.forbidden = true;\n process.env.NODE_ENV !== 'production' && warn$2(\n 'Templates should only be responsible for mapping the state to the ' +\n 'UI. Avoid placing tags with side-effects in your templates, such as ' +\n \"<\" + tag + \">\" + ', as they will not be parsed.',\n { start: element.start }\n );\n }\n\n // apply pre-transforms\n for (var i = 0; i < preTransforms.length; i++) {\n element = preTransforms[i](element, options) || element;\n }\n\n if (!inVPre) {\n processPre(element);\n if (element.pre) {\n inVPre = true;\n }\n }\n if (platformIsPreTag(element.tag)) {\n inPre = true;\n }\n if (inVPre) {\n processRawAttrs(element);\n } else if (!element.processed) {\n // structural directives\n processFor(element);\n processIf(element);\n processOnce(element);\n }\n\n if (!root) {\n root = element;\n if (process.env.NODE_ENV !== 'production') {\n checkRootConstraints(root);\n }\n }\n\n if (!unary) {\n currentParent = element;\n stack.push(element);\n } else {\n closeElement(element);\n }\n },\n\n end: function end (tag, start, end$1) {\n var element = stack[stack.length - 1];\n // pop stack\n stack.length -= 1;\n currentParent = stack[stack.length - 1];\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n element.end = end$1;\n }\n closeElement(element);\n },\n\n chars: function chars (text, start, end) {\n if (!currentParent) {\n if (process.env.NODE_ENV !== 'production') {\n if (text === template) {\n warnOnce(\n 'Component template requires a root element, rather than just text.',\n { start: start }\n );\n } else if ((text = text.trim())) {\n warnOnce(\n (\"text \\\"\" + text + \"\\\" outside root element will be ignored.\"),\n { start: start }\n );\n }\n }\n return\n }\n // IE textarea placeholder bug\n /* istanbul ignore if */\n if (isIE &&\n currentParent.tag === 'textarea' &&\n currentParent.attrsMap.placeholder === text\n ) {\n return\n }\n var children = currentParent.children;\n if (inPre || text.trim()) {\n text = isTextTag(currentParent) ? text : decodeHTMLCached(text);\n } else if (!children.length) {\n // remove the whitespace-only node right after an opening tag\n text = '';\n } else if (whitespaceOption) {\n if (whitespaceOption === 'condense') {\n // in condense mode, remove the whitespace node if it contains\n // line break, otherwise condense to a single space\n text = lineBreakRE.test(text) ? '' : ' ';\n } else {\n text = ' ';\n }\n } else {\n text = preserveWhitespace ? ' ' : '';\n }\n if (text) {\n if (!inPre && whitespaceOption === 'condense') {\n // condense consecutive whitespaces into single space\n text = text.replace(whitespaceRE$1, ' ');\n }\n var res;\n var child;\n if (!inVPre && text !== ' ' && (res = parseText(text, delimiters))) {\n child = {\n type: 2,\n expression: res.expression,\n tokens: res.tokens,\n text: text\n };\n } else if (text !== ' ' || !children.length || children[children.length - 1].text !== ' ') {\n child = {\n type: 3,\n text: text\n };\n }\n if (child) {\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n child.start = start;\n child.end = end;\n }\n children.push(child);\n }\n }\n },\n comment: function comment (text, start, end) {\n // adding anyting as a sibling to the root node is forbidden\n // comments should still be allowed, but ignored\n if (currentParent) {\n var child = {\n type: 3,\n text: text,\n isComment: true\n };\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n child.start = start;\n child.end = end;\n }\n currentParent.children.push(child);\n }\n }\n });\n return root\n}\n\nfunction processPre (el) {\n if (getAndRemoveAttr(el, 'v-pre') != null) {\n el.pre = true;\n }\n}\n\nfunction processRawAttrs (el) {\n var list = el.attrsList;\n var len = list.length;\n if (len) {\n var attrs = el.attrs = new Array(len);\n for (var i = 0; i < len; i++) {\n attrs[i] = {\n name: list[i].name,\n value: JSON.stringify(list[i].value)\n };\n if (list[i].start != null) {\n attrs[i].start = list[i].start;\n attrs[i].end = list[i].end;\n }\n }\n } else if (!el.pre) {\n // non root node in pre blocks with no attributes\n el.plain = true;\n }\n}\n\nfunction processElement (\n element,\n options\n) {\n processKey(element);\n\n // determine whether this is a plain element after\n // removing structural attributes\n element.plain = (\n !element.key &&\n !element.scopedSlots &&\n !element.attrsList.length\n );\n\n processRef(element);\n processSlotContent(element);\n processSlotOutlet(element);\n processComponent(element);\n for (var i = 0; i < transforms.length; i++) {\n element = transforms[i](element, options) || element;\n }\n processAttrs(element);\n return element\n}\n\nfunction processKey (el) {\n var exp = getBindingAttr(el, 'key');\n if (exp) {\n if (process.env.NODE_ENV !== 'production') {\n if (el.tag === 'template') {\n warn$2(\n \"<template> cannot be keyed. Place the key on real elements instead.\",\n getRawBindingAttr(el, 'key')\n );\n }\n if (el.for) {\n var iterator = el.iterator2 || el.iterator1;\n var parent = el.parent;\n if (iterator && iterator === exp && parent && parent.tag === 'transition-group') {\n warn$2(\n \"Do not use v-for index as key on <transition-group> children, \" +\n \"this is the same as not using keys.\",\n getRawBindingAttr(el, 'key'),\n true /* tip */\n );\n }\n }\n }\n el.key = exp;\n }\n}\n\nfunction processRef (el) {\n var ref = getBindingAttr(el, 'ref');\n if (ref) {\n el.ref = ref;\n el.refInFor = checkInFor(el);\n }\n}\n\nfunction processFor (el) {\n var exp;\n if ((exp = getAndRemoveAttr(el, 'v-for'))) {\n var res = parseFor(exp);\n if (res) {\n extend(el, res);\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n (\"Invalid v-for expression: \" + exp),\n el.rawAttrsMap['v-for']\n );\n }\n }\n}\n\n\n\nfunction parseFor (exp) {\n var inMatch = exp.match(forAliasRE);\n if (!inMatch) { return }\n var res = {};\n res.for = inMatch[2].trim();\n var alias = inMatch[1].trim().replace(stripParensRE, '');\n var iteratorMatch = alias.match(forIteratorRE);\n if (iteratorMatch) {\n res.alias = alias.replace(forIteratorRE, '').trim();\n res.iterator1 = iteratorMatch[1].trim();\n if (iteratorMatch[2]) {\n res.iterator2 = iteratorMatch[2].trim();\n }\n } else {\n res.alias = alias;\n }\n return res\n}\n\nfunction processIf (el) {\n var exp = getAndRemoveAttr(el, 'v-if');\n if (exp) {\n el.if = exp;\n addIfCondition(el, {\n exp: exp,\n block: el\n });\n } else {\n if (getAndRemoveAttr(el, 'v-else') != null) {\n el.else = true;\n }\n var elseif = getAndRemoveAttr(el, 'v-else-if');\n if (elseif) {\n el.elseif = elseif;\n }\n }\n}\n\nfunction processIfConditions (el, parent) {\n var prev = findPrevElement(parent.children);\n if (prev && prev.if) {\n addIfCondition(prev, {\n exp: el.elseif,\n block: el\n });\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n \"v-\" + (el.elseif ? ('else-if=\"' + el.elseif + '\"') : 'else') + \" \" +\n \"used on element <\" + (el.tag) + \"> without corresponding v-if.\",\n el.rawAttrsMap[el.elseif ? 'v-else-if' : 'v-else']\n );\n }\n}\n\nfunction findPrevElement (children) {\n var i = children.length;\n while (i--) {\n if (children[i].type === 1) {\n return children[i]\n } else {\n if (process.env.NODE_ENV !== 'production' && children[i].text !== ' ') {\n warn$2(\n \"text \\\"\" + (children[i].text.trim()) + \"\\\" between v-if and v-else(-if) \" +\n \"will be ignored.\",\n children[i]\n );\n }\n children.pop();\n }\n }\n}\n\nfunction addIfCondition (el, condition) {\n if (!el.ifConditions) {\n el.ifConditions = [];\n }\n el.ifConditions.push(condition);\n}\n\nfunction processOnce (el) {\n var once$$1 = getAndRemoveAttr(el, 'v-once');\n if (once$$1 != null) {\n el.once = true;\n }\n}\n\n// handle content being passed to a component as slot,\n// e.g. <template slot=\"xxx\">, <div slot-scope=\"xxx\">\nfunction processSlotContent (el) {\n var slotScope;\n if (el.tag === 'template') {\n slotScope = getAndRemoveAttr(el, 'scope');\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && slotScope) {\n warn$2(\n \"the \\\"scope\\\" attribute for scoped slots have been deprecated and \" +\n \"replaced by \\\"slot-scope\\\" since 2.5. The new \\\"slot-scope\\\" attribute \" +\n \"can also be used on plain elements in addition to <template> to \" +\n \"denote scoped slots.\",\n el.rawAttrsMap['scope'],\n true\n );\n }\n el.slotScope = slotScope || getAndRemoveAttr(el, 'slot-scope');\n } else if ((slotScope = getAndRemoveAttr(el, 'slot-scope'))) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && el.attrsMap['v-for']) {\n warn$2(\n \"Ambiguous combined usage of slot-scope and v-for on <\" + (el.tag) + \"> \" +\n \"(v-for takes higher priority). Use a wrapper <template> for the \" +\n \"scoped slot to make it clearer.\",\n el.rawAttrsMap['slot-scope'],\n true\n );\n }\n el.slotScope = slotScope;\n }\n\n // slot=\"xxx\"\n var slotTarget = getBindingAttr(el, 'slot');\n if (slotTarget) {\n el.slotTarget = slotTarget === '\"\"' ? '\"default\"' : slotTarget;\n el.slotTargetDynamic = !!(el.attrsMap[':slot'] || el.attrsMap['v-bind:slot']);\n // preserve slot as an attribute for native shadow DOM compat\n // only for non-scoped slots.\n if (el.tag !== 'template' && !el.slotScope) {\n addAttr(el, 'slot', slotTarget, getRawBindingAttr(el, 'slot'));\n }\n }\n\n // 2.6 v-slot syntax\n {\n if (el.tag === 'template') {\n // v-slot on <template>\n var slotBinding = getAndRemoveAttrByRegex(el, slotRE);\n if (slotBinding) {\n if (process.env.NODE_ENV !== 'production') {\n if (el.slotTarget || el.slotScope) {\n warn$2(\n \"Unexpected mixed usage of different slot syntaxes.\",\n el\n );\n }\n if (el.parent && !maybeComponent(el.parent)) {\n warn$2(\n \"<template v-slot> can only appear at the root level inside \" +\n \"the receiving component\",\n el\n );\n }\n }\n var ref = getSlotName(slotBinding);\n var name = ref.name;\n var dynamic = ref.dynamic;\n el.slotTarget = name;\n el.slotTargetDynamic = dynamic;\n el.slotScope = slotBinding.value || emptySlotScopeToken; // force it into a scoped slot for perf\n }\n } else {\n // v-slot on component, denotes default slot\n var slotBinding$1 = getAndRemoveAttrByRegex(el, slotRE);\n if (slotBinding$1) {\n if (process.env.NODE_ENV !== 'production') {\n if (!maybeComponent(el)) {\n warn$2(\n \"v-slot can only be used on components or <template>.\",\n slotBinding$1\n );\n }\n if (el.slotScope || el.slotTarget) {\n warn$2(\n \"Unexpected mixed usage of different slot syntaxes.\",\n el\n );\n }\n if (el.scopedSlots) {\n warn$2(\n \"To avoid scope ambiguity, the default slot should also use \" +\n \"<template> syntax when there are other named slots.\",\n slotBinding$1\n );\n }\n }\n // add the component's children to its default slot\n var slots = el.scopedSlots || (el.scopedSlots = {});\n var ref$1 = getSlotName(slotBinding$1);\n var name$1 = ref$1.name;\n var dynamic$1 = ref$1.dynamic;\n var slotContainer = slots[name$1] = createASTElement('template', [], el);\n slotContainer.slotTarget = name$1;\n slotContainer.slotTargetDynamic = dynamic$1;\n slotContainer.children = el.children.filter(function (c) {\n if (!c.slotScope) {\n c.parent = slotContainer;\n return true\n }\n });\n slotContainer.slotScope = slotBinding$1.value || emptySlotScopeToken;\n // remove children as they are returned from scopedSlots now\n el.children = [];\n // mark el non-plain so data gets generated\n el.plain = false;\n }\n }\n }\n}\n\nfunction getSlotName (binding) {\n var name = binding.name.replace(slotRE, '');\n if (!name) {\n if (binding.name[0] !== '#') {\n name = 'default';\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n \"v-slot shorthand syntax requires a slot name.\",\n binding\n );\n }\n }\n return dynamicArgRE.test(name)\n // dynamic [name]\n ? { name: name.slice(1, -1), dynamic: true }\n // static name\n : { name: (\"\\\"\" + name + \"\\\"\"), dynamic: false }\n}\n\n// handle <slot/> outlets\nfunction processSlotOutlet (el) {\n if (el.tag === 'slot') {\n el.slotName = getBindingAttr(el, 'name');\n if (process.env.NODE_ENV !== 'production' && el.key) {\n warn$2(\n \"`key` does not work on <slot> because slots are abstract outlets \" +\n \"and can possibly expand into multiple elements. \" +\n \"Use the key on a wrapping element instead.\",\n getRawBindingAttr(el, 'key')\n );\n }\n }\n}\n\nfunction processComponent (el) {\n var binding;\n if ((binding = getBindingAttr(el, 'is'))) {\n el.component = binding;\n }\n if (getAndRemoveAttr(el, 'inline-template') != null) {\n el.inlineTemplate = true;\n }\n}\n\nfunction processAttrs (el) {\n var list = el.attrsList;\n var i, l, name, rawName, value, modifiers, syncGen, isDynamic;\n for (i = 0, l = list.length; i < l; i++) {\n name = rawName = list[i].name;\n value = list[i].value;\n if (dirRE.test(name)) {\n // mark element as dynamic\n el.hasBindings = true;\n // modifiers\n modifiers = parseModifiers(name.replace(dirRE, ''));\n // support .foo shorthand syntax for the .prop modifier\n if (modifiers) {\n name = name.replace(modifierRE, '');\n }\n if (bindRE.test(name)) { // v-bind\n name = name.replace(bindRE, '');\n value = parseFilters(value);\n isDynamic = dynamicArgRE.test(name);\n if (isDynamic) {\n name = name.slice(1, -1);\n }\n if (\n process.env.NODE_ENV !== 'production' &&\n value.trim().length === 0\n ) {\n warn$2(\n (\"The value for a v-bind expression cannot be empty. Found in \\\"v-bind:\" + name + \"\\\"\")\n );\n }\n if (modifiers) {\n if (modifiers.prop && !isDynamic) {\n name = camelize(name);\n if (name === 'innerHtml') { name = 'innerHTML'; }\n }\n if (modifiers.camel && !isDynamic) {\n name = camelize(name);\n }\n if (modifiers.sync) {\n syncGen = genAssignmentCode(value, \"$event\");\n if (!isDynamic) {\n addHandler(\n el,\n (\"update:\" + (camelize(name))),\n syncGen,\n null,\n false,\n warn$2,\n list[i]\n );\n if (hyphenate(name) !== camelize(name)) {\n addHandler(\n el,\n (\"update:\" + (hyphenate(name))),\n syncGen,\n null,\n false,\n warn$2,\n list[i]\n );\n }\n } else {\n // handler w/ dynamic event name\n addHandler(\n el,\n (\"\\\"update:\\\"+(\" + name + \")\"),\n syncGen,\n null,\n false,\n warn$2,\n list[i],\n true // dynamic\n );\n }\n }\n }\n if ((modifiers && modifiers.prop) || (\n !el.component && platformMustUseProp(el.tag, el.attrsMap.type, name)\n )) {\n addProp(el, name, value, list[i], isDynamic);\n } else {\n addAttr(el, name, value, list[i], isDynamic);\n }\n } else if (onRE.test(name)) { // v-on\n name = name.replace(onRE, '');\n isDynamic = dynamicArgRE.test(name);\n if (isDynamic) {\n name = name.slice(1, -1);\n }\n addHandler(el, name, value, modifiers, false, warn$2, list[i], isDynamic);\n } else { // normal directives\n name = name.replace(dirRE, '');\n // parse arg\n var argMatch = name.match(argRE);\n var arg = argMatch && argMatch[1];\n isDynamic = false;\n if (arg) {\n name = name.slice(0, -(arg.length + 1));\n if (dynamicArgRE.test(arg)) {\n arg = arg.slice(1, -1);\n isDynamic = true;\n }\n }\n addDirective(el, name, rawName, value, arg, isDynamic, modifiers, list[i]);\n if (process.env.NODE_ENV !== 'production' && name === 'model') {\n checkForAliasModel(el, value);\n }\n }\n } else {\n // literal attribute\n if (process.env.NODE_ENV !== 'production') {\n var res = parseText(value, delimiters);\n if (res) {\n warn$2(\n name + \"=\\\"\" + value + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div id=\"{{ val }}\">, use <div :id=\"val\">.',\n list[i]\n );\n }\n }\n addAttr(el, name, JSON.stringify(value), list[i]);\n // #6887 firefox doesn't update muted state if set via attribute\n // even immediately after element creation\n if (!el.component &&\n name === 'muted' &&\n platformMustUseProp(el.tag, el.attrsMap.type, name)) {\n addProp(el, name, 'true', list[i]);\n }\n }\n }\n}\n\nfunction checkInFor (el) {\n var parent = el;\n while (parent) {\n if (parent.for !== undefined) {\n return true\n }\n parent = parent.parent;\n }\n return false\n}\n\nfunction parseModifiers (name) {\n var match = name.match(modifierRE);\n if (match) {\n var ret = {};\n match.forEach(function (m) { ret[m.slice(1)] = true; });\n return ret\n }\n}\n\nfunction makeAttrsMap (attrs) {\n var map = {};\n for (var i = 0, l = attrs.length; i < l; i++) {\n if (\n process.env.NODE_ENV !== 'production' &&\n map[attrs[i].name] && !isIE && !isEdge\n ) {\n warn$2('duplicate attribute: ' + attrs[i].name, attrs[i]);\n }\n map[attrs[i].name] = attrs[i].value;\n }\n return map\n}\n\n// for script (e.g. type=\"x/template\") or style, do not decode content\nfunction isTextTag (el) {\n return el.tag === 'script' || el.tag === 'style'\n}\n\nfunction isForbiddenTag (el) {\n return (\n el.tag === 'style' ||\n (el.tag === 'script' && (\n !el.attrsMap.type ||\n el.attrsMap.type === 'text/javascript'\n ))\n )\n}\n\nvar ieNSBug = /^xmlns:NS\\d+/;\nvar ieNSPrefix = /^NS\\d+:/;\n\n/* istanbul ignore next */\nfunction guardIESVGBug (attrs) {\n var res = [];\n for (var i = 0; i < attrs.length; i++) {\n var attr = attrs[i];\n if (!ieNSBug.test(attr.name)) {\n attr.name = attr.name.replace(ieNSPrefix, '');\n res.push(attr);\n }\n }\n return res\n}\n\nfunction checkForAliasModel (el, value) {\n var _el = el;\n while (_el) {\n if (_el.for && _el.alias === value) {\n warn$2(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\">: \" +\n \"You are binding v-model directly to a v-for iteration alias. \" +\n \"This will not be able to modify the v-for source array because \" +\n \"writing to the alias is like modifying a function local variable. \" +\n \"Consider using an array of objects and use v-model on an object property instead.\",\n el.rawAttrsMap['v-model']\n );\n }\n _el = _el.parent;\n }\n}\n\n/* */\n\nfunction preTransformNode (el, options) {\n if (el.tag === 'input') {\n var map = el.attrsMap;\n if (!map['v-model']) {\n return\n }\n\n var typeBinding;\n if (map[':type'] || map['v-bind:type']) {\n typeBinding = getBindingAttr(el, 'type');\n }\n if (!map.type && !typeBinding && map['v-bind']) {\n typeBinding = \"(\" + (map['v-bind']) + \").type\";\n }\n\n if (typeBinding) {\n var ifCondition = getAndRemoveAttr(el, 'v-if', true);\n var ifConditionExtra = ifCondition ? (\"&&(\" + ifCondition + \")\") : \"\";\n var hasElse = getAndRemoveAttr(el, 'v-else', true) != null;\n var elseIfCondition = getAndRemoveAttr(el, 'v-else-if', true);\n // 1. checkbox\n var branch0 = cloneASTElement(el);\n // process for on the main node\n processFor(branch0);\n addRawAttr(branch0, 'type', 'checkbox');\n processElement(branch0, options);\n branch0.processed = true; // prevent it from double-processed\n branch0.if = \"(\" + typeBinding + \")==='checkbox'\" + ifConditionExtra;\n addIfCondition(branch0, {\n exp: branch0.if,\n block: branch0\n });\n // 2. add radio else-if condition\n var branch1 = cloneASTElement(el);\n getAndRemoveAttr(branch1, 'v-for', true);\n addRawAttr(branch1, 'type', 'radio');\n processElement(branch1, options);\n addIfCondition(branch0, {\n exp: \"(\" + typeBinding + \")==='radio'\" + ifConditionExtra,\n block: branch1\n });\n // 3. other\n var branch2 = cloneASTElement(el);\n getAndRemoveAttr(branch2, 'v-for', true);\n addRawAttr(branch2, ':type', typeBinding);\n processElement(branch2, options);\n addIfCondition(branch0, {\n exp: ifCondition,\n block: branch2\n });\n\n if (hasElse) {\n branch0.else = true;\n } else if (elseIfCondition) {\n branch0.elseif = elseIfCondition;\n }\n\n return branch0\n }\n }\n}\n\nfunction cloneASTElement (el) {\n return createASTElement(el.tag, el.attrsList.slice(), el.parent)\n}\n\nvar model$1 = {\n preTransformNode: preTransformNode\n};\n\nvar modules$1 = [\n klass$1,\n style$1,\n model$1\n];\n\n/* */\n\nfunction text (el, dir) {\n if (dir.value) {\n addProp(el, 'textContent', (\"_s(\" + (dir.value) + \")\"), dir);\n }\n}\n\n/* */\n\nfunction html (el, dir) {\n if (dir.value) {\n addProp(el, 'innerHTML', (\"_s(\" + (dir.value) + \")\"), dir);\n }\n}\n\nvar directives$1 = {\n model: model,\n text: text,\n html: html\n};\n\n/* */\n\nvar baseOptions = {\n expectHTML: true,\n modules: modules$1,\n directives: directives$1,\n isPreTag: isPreTag,\n isUnaryTag: isUnaryTag,\n mustUseProp: mustUseProp,\n canBeLeftOpenTag: canBeLeftOpenTag,\n isReservedTag: isReservedTag,\n getTagNamespace: getTagNamespace,\n staticKeys: genStaticKeys(modules$1)\n};\n\n/* */\n\nvar isStaticKey;\nvar isPlatformReservedTag;\n\nvar genStaticKeysCached = cached(genStaticKeys$1);\n\n/**\n * Goal of the optimizer: walk the generated template AST tree\n * and detect sub-trees that are purely static, i.e. parts of\n * the DOM that never needs to change.\n *\n * Once we detect these sub-trees, we can:\n *\n * 1. Hoist them into constants, so that we no longer need to\n * create fresh nodes for them on each re-render;\n * 2. Completely skip them in the patching process.\n */\nfunction optimize (root, options) {\n if (!root) { return }\n isStaticKey = genStaticKeysCached(options.staticKeys || '');\n isPlatformReservedTag = options.isReservedTag || no;\n // first pass: mark all non-static nodes.\n markStatic$1(root);\n // second pass: mark static roots.\n markStaticRoots(root, false);\n}\n\nfunction genStaticKeys$1 (keys) {\n return makeMap(\n 'type,tag,attrsList,attrsMap,plain,parent,children,attrs,start,end,rawAttrsMap' +\n (keys ? ',' + keys : '')\n )\n}\n\nfunction markStatic$1 (node) {\n node.static = isStatic(node);\n if (node.type === 1) {\n // do not make component slot content static. this avoids\n // 1. components not able to mutate slot nodes\n // 2. static slot content fails for hot-reloading\n if (\n !isPlatformReservedTag(node.tag) &&\n node.tag !== 'slot' &&\n node.attrsMap['inline-template'] == null\n ) {\n return\n }\n for (var i = 0, l = node.children.length; i < l; i++) {\n var child = node.children[i];\n markStatic$1(child);\n if (!child.static) {\n node.static = false;\n }\n }\n if (node.ifConditions) {\n for (var i$1 = 1, l$1 = node.ifConditions.length; i$1 < l$1; i$1++) {\n var block = node.ifConditions[i$1].block;\n markStatic$1(block);\n if (!block.static) {\n node.static = false;\n }\n }\n }\n }\n}\n\nfunction markStaticRoots (node, isInFor) {\n if (node.type === 1) {\n if (node.static || node.once) {\n node.staticInFor = isInFor;\n }\n // For a node to qualify as a static root, it should have children that\n // are not just static text. Otherwise the cost of hoisting out will\n // outweigh the benefits and it's better off to just always render it fresh.\n if (node.static && node.children.length && !(\n node.children.length === 1 &&\n node.children[0].type === 3\n )) {\n node.staticRoot = true;\n return\n } else {\n node.staticRoot = false;\n }\n if (node.children) {\n for (var i = 0, l = node.children.length; i < l; i++) {\n markStaticRoots(node.children[i], isInFor || !!node.for);\n }\n }\n if (node.ifConditions) {\n for (var i$1 = 1, l$1 = node.ifConditions.length; i$1 < l$1; i$1++) {\n markStaticRoots(node.ifConditions[i$1].block, isInFor);\n }\n }\n }\n}\n\nfunction isStatic (node) {\n if (node.type === 2) { // expression\n return false\n }\n if (node.type === 3) { // text\n return true\n }\n return !!(node.pre || (\n !node.hasBindings && // no dynamic bindings\n !node.if && !node.for && // not v-if or v-for or v-else\n !isBuiltInTag(node.tag) && // not a built-in\n isPlatformReservedTag(node.tag) && // not a component\n !isDirectChildOfTemplateFor(node) &&\n Object.keys(node).every(isStaticKey)\n ))\n}\n\nfunction isDirectChildOfTemplateFor (node) {\n while (node.parent) {\n node = node.parent;\n if (node.tag !== 'template') {\n return false\n }\n if (node.for) {\n return true\n }\n }\n return false\n}\n\n/* */\n\nvar fnExpRE = /^([\\w$_]+|\\([^)]*?\\))\\s*=>|^function(?:\\s+[\\w$]+)?\\s*\\(/;\nvar fnInvokeRE = /\\([^)]*?\\);*$/;\nvar simplePathRE = /^[A-Za-z_$][\\w$]*(?:\\.[A-Za-z_$][\\w$]*|\\['[^']*?']|\\[\"[^\"]*?\"]|\\[\\d+]|\\[[A-Za-z_$][\\w$]*])*$/;\n\n// KeyboardEvent.keyCode aliases\nvar keyCodes = {\n esc: 27,\n tab: 9,\n enter: 13,\n space: 32,\n up: 38,\n left: 37,\n right: 39,\n down: 40,\n 'delete': [8, 46]\n};\n\n// KeyboardEvent.key aliases\nvar keyNames = {\n // #7880: IE11 and Edge use `Esc` for Escape key name.\n esc: ['Esc', 'Escape'],\n tab: 'Tab',\n enter: 'Enter',\n // #9112: IE11 uses `Spacebar` for Space key name.\n space: [' ', 'Spacebar'],\n // #7806: IE11 uses key names without `Arrow` prefix for arrow keys.\n up: ['Up', 'ArrowUp'],\n left: ['Left', 'ArrowLeft'],\n right: ['Right', 'ArrowRight'],\n down: ['Down', 'ArrowDown'],\n // #9112: IE11 uses `Del` for Delete key name.\n 'delete': ['Backspace', 'Delete', 'Del']\n};\n\n// #4868: modifiers that prevent the execution of the listener\n// need to explicitly return null so that we can determine whether to remove\n// the listener for .once\nvar genGuard = function (condition) { return (\"if(\" + condition + \")return null;\"); };\n\nvar modifierCode = {\n stop: '$event.stopPropagation();',\n prevent: '$event.preventDefault();',\n self: genGuard(\"$event.target !== $event.currentTarget\"),\n ctrl: genGuard(\"!$event.ctrlKey\"),\n shift: genGuard(\"!$event.shiftKey\"),\n alt: genGuard(\"!$event.altKey\"),\n meta: genGuard(\"!$event.metaKey\"),\n left: genGuard(\"'button' in $event && $event.button !== 0\"),\n middle: genGuard(\"'button' in $event && $event.button !== 1\"),\n right: genGuard(\"'button' in $event && $event.button !== 2\")\n};\n\nfunction genHandlers (\n events,\n isNative\n) {\n var prefix = isNative ? 'nativeOn:' : 'on:';\n var staticHandlers = \"\";\n var dynamicHandlers = \"\";\n for (var name in events) {\n var handlerCode = genHandler(events[name]);\n if (events[name] && events[name].dynamic) {\n dynamicHandlers += name + \",\" + handlerCode + \",\";\n } else {\n staticHandlers += \"\\\"\" + name + \"\\\":\" + handlerCode + \",\";\n }\n }\n staticHandlers = \"{\" + (staticHandlers.slice(0, -1)) + \"}\";\n if (dynamicHandlers) {\n return prefix + \"_d(\" + staticHandlers + \",[\" + (dynamicHandlers.slice(0, -1)) + \"])\"\n } else {\n return prefix + staticHandlers\n }\n}\n\nfunction genHandler (handler) {\n if (!handler) {\n return 'function(){}'\n }\n\n if (Array.isArray(handler)) {\n return (\"[\" + (handler.map(function (handler) { return genHandler(handler); }).join(',')) + \"]\")\n }\n\n var isMethodPath = simplePathRE.test(handler.value);\n var isFunctionExpression = fnExpRE.test(handler.value);\n var isFunctionInvocation = simplePathRE.test(handler.value.replace(fnInvokeRE, ''));\n\n if (!handler.modifiers) {\n if (isMethodPath || isFunctionExpression) {\n return handler.value\n }\n return (\"function($event){\" + (isFunctionInvocation ? (\"return \" + (handler.value)) : handler.value) + \"}\") // inline statement\n } else {\n var code = '';\n var genModifierCode = '';\n var keys = [];\n for (var key in handler.modifiers) {\n if (modifierCode[key]) {\n genModifierCode += modifierCode[key];\n // left/right\n if (keyCodes[key]) {\n keys.push(key);\n }\n } else if (key === 'exact') {\n var modifiers = (handler.modifiers);\n genModifierCode += genGuard(\n ['ctrl', 'shift', 'alt', 'meta']\n .filter(function (keyModifier) { return !modifiers[keyModifier]; })\n .map(function (keyModifier) { return (\"$event.\" + keyModifier + \"Key\"); })\n .join('||')\n );\n } else {\n keys.push(key);\n }\n }\n if (keys.length) {\n code += genKeyFilter(keys);\n }\n // Make sure modifiers like prevent and stop get executed after key filtering\n if (genModifierCode) {\n code += genModifierCode;\n }\n var handlerCode = isMethodPath\n ? (\"return \" + (handler.value) + \"($event)\")\n : isFunctionExpression\n ? (\"return (\" + (handler.value) + \")($event)\")\n : isFunctionInvocation\n ? (\"return \" + (handler.value))\n : handler.value;\n return (\"function($event){\" + code + handlerCode + \"}\")\n }\n}\n\nfunction genKeyFilter (keys) {\n return (\n // make sure the key filters only apply to KeyboardEvents\n // #9441: can't use 'keyCode' in $event because Chrome autofill fires fake\n // key events that do not have keyCode property...\n \"if(!$event.type.indexOf('key')&&\" +\n (keys.map(genFilterCode).join('&&')) + \")return null;\"\n )\n}\n\nfunction genFilterCode (key) {\n var keyVal = parseInt(key, 10);\n if (keyVal) {\n return (\"$event.keyCode!==\" + keyVal)\n }\n var keyCode = keyCodes[key];\n var keyName = keyNames[key];\n return (\n \"_k($event.keyCode,\" +\n (JSON.stringify(key)) + \",\" +\n (JSON.stringify(keyCode)) + \",\" +\n \"$event.key,\" +\n \"\" + (JSON.stringify(keyName)) +\n \")\"\n )\n}\n\n/* */\n\nfunction on (el, dir) {\n if (process.env.NODE_ENV !== 'production' && dir.modifiers) {\n warn(\"v-on without argument does not support modifiers.\");\n }\n el.wrapListeners = function (code) { return (\"_g(\" + code + \",\" + (dir.value) + \")\"); };\n}\n\n/* */\n\nfunction bind$1 (el, dir) {\n el.wrapData = function (code) {\n return (\"_b(\" + code + \",'\" + (el.tag) + \"',\" + (dir.value) + \",\" + (dir.modifiers && dir.modifiers.prop ? 'true' : 'false') + (dir.modifiers && dir.modifiers.sync ? ',true' : '') + \")\")\n };\n}\n\n/* */\n\nvar baseDirectives = {\n on: on,\n bind: bind$1,\n cloak: noop\n};\n\n/* */\n\n\n\n\n\nvar CodegenState = function CodegenState (options) {\n this.options = options;\n this.warn = options.warn || baseWarn;\n this.transforms = pluckModuleFunction(options.modules, 'transformCode');\n this.dataGenFns = pluckModuleFunction(options.modules, 'genData');\n this.directives = extend(extend({}, baseDirectives), options.directives);\n var isReservedTag = options.isReservedTag || no;\n this.maybeComponent = function (el) { return !!el.component || !isReservedTag(el.tag); };\n this.onceId = 0;\n this.staticRenderFns = [];\n this.pre = false;\n};\n\n\n\nfunction generate (\n ast,\n options\n) {\n var state = new CodegenState(options);\n var code = ast ? genElement(ast, state) : '_c(\"div\")';\n return {\n render: (\"with(this){return \" + code + \"}\"),\n staticRenderFns: state.staticRenderFns\n }\n}\n\nfunction genElement (el, state) {\n if (el.parent) {\n el.pre = el.pre || el.parent.pre;\n }\n\n if (el.staticRoot && !el.staticProcessed) {\n return genStatic(el, state)\n } else if (el.once && !el.onceProcessed) {\n return genOnce(el, state)\n } else if (el.for && !el.forProcessed) {\n return genFor(el, state)\n } else if (el.if && !el.ifProcessed) {\n return genIf(el, state)\n } else if (el.tag === 'template' && !el.slotTarget && !state.pre) {\n return genChildren(el, state) || 'void 0'\n } else if (el.tag === 'slot') {\n return genSlot(el, state)\n } else {\n // component or element\n var code;\n if (el.component) {\n code = genComponent(el.component, el, state);\n } else {\n var data;\n if (!el.plain || (el.pre && state.maybeComponent(el))) {\n data = genData$2(el, state);\n }\n\n var children = el.inlineTemplate ? null : genChildren(el, state, true);\n code = \"_c('\" + (el.tag) + \"'\" + (data ? (\",\" + data) : '') + (children ? (\",\" + children) : '') + \")\";\n }\n // module transforms\n for (var i = 0; i < state.transforms.length; i++) {\n code = state.transforms[i](el, code);\n }\n return code\n }\n}\n\n// hoist static sub-trees out\nfunction genStatic (el, state) {\n el.staticProcessed = true;\n // Some elements (templates) need to behave differently inside of a v-pre\n // node. All pre nodes are static roots, so we can use this as a location to\n // wrap a state change and reset it upon exiting the pre node.\n var originalPreState = state.pre;\n if (el.pre) {\n state.pre = el.pre;\n }\n state.staticRenderFns.push((\"with(this){return \" + (genElement(el, state)) + \"}\"));\n state.pre = originalPreState;\n return (\"_m(\" + (state.staticRenderFns.length - 1) + (el.staticInFor ? ',true' : '') + \")\")\n}\n\n// v-once\nfunction genOnce (el, state) {\n el.onceProcessed = true;\n if (el.if && !el.ifProcessed) {\n return genIf(el, state)\n } else if (el.staticInFor) {\n var key = '';\n var parent = el.parent;\n while (parent) {\n if (parent.for) {\n key = parent.key;\n break\n }\n parent = parent.parent;\n }\n if (!key) {\n process.env.NODE_ENV !== 'production' && state.warn(\n \"v-once can only be used inside v-for that is keyed. \",\n el.rawAttrsMap['v-once']\n );\n return genElement(el, state)\n }\n return (\"_o(\" + (genElement(el, state)) + \",\" + (state.onceId++) + \",\" + key + \")\")\n } else {\n return genStatic(el, state)\n }\n}\n\nfunction genIf (\n el,\n state,\n altGen,\n altEmpty\n) {\n el.ifProcessed = true; // avoid recursion\n return genIfConditions(el.ifConditions.slice(), state, altGen, altEmpty)\n}\n\nfunction genIfConditions (\n conditions,\n state,\n altGen,\n altEmpty\n) {\n if (!conditions.length) {\n return altEmpty || '_e()'\n }\n\n var condition = conditions.shift();\n if (condition.exp) {\n return (\"(\" + (condition.exp) + \")?\" + (genTernaryExp(condition.block)) + \":\" + (genIfConditions(conditions, state, altGen, altEmpty)))\n } else {\n return (\"\" + (genTernaryExp(condition.block)))\n }\n\n // v-if with v-once should generate code like (a)?_m(0):_m(1)\n function genTernaryExp (el) {\n return altGen\n ? altGen(el, state)\n : el.once\n ? genOnce(el, state)\n : genElement(el, state)\n }\n}\n\nfunction genFor (\n el,\n state,\n altGen,\n altHelper\n) {\n var exp = el.for;\n var alias = el.alias;\n var iterator1 = el.iterator1 ? (\",\" + (el.iterator1)) : '';\n var iterator2 = el.iterator2 ? (\",\" + (el.iterator2)) : '';\n\n if (process.env.NODE_ENV !== 'production' &&\n state.maybeComponent(el) &&\n el.tag !== 'slot' &&\n el.tag !== 'template' &&\n !el.key\n ) {\n state.warn(\n \"<\" + (el.tag) + \" v-for=\\\"\" + alias + \" in \" + exp + \"\\\">: component lists rendered with \" +\n \"v-for should have explicit keys. \" +\n \"See https://vuejs.org/guide/list.html#key for more info.\",\n el.rawAttrsMap['v-for'],\n true /* tip */\n );\n }\n\n el.forProcessed = true; // avoid recursion\n return (altHelper || '_l') + \"((\" + exp + \"),\" +\n \"function(\" + alias + iterator1 + iterator2 + \"){\" +\n \"return \" + ((altGen || genElement)(el, state)) +\n '})'\n}\n\nfunction genData$2 (el, state) {\n var data = '{';\n\n // directives first.\n // directives may mutate the el's other properties before they are generated.\n var dirs = genDirectives(el, state);\n if (dirs) { data += dirs + ','; }\n\n // key\n if (el.key) {\n data += \"key:\" + (el.key) + \",\";\n }\n // ref\n if (el.ref) {\n data += \"ref:\" + (el.ref) + \",\";\n }\n if (el.refInFor) {\n data += \"refInFor:true,\";\n }\n // pre\n if (el.pre) {\n data += \"pre:true,\";\n }\n // record original tag name for components using \"is\" attribute\n if (el.component) {\n data += \"tag:\\\"\" + (el.tag) + \"\\\",\";\n }\n // module data generation functions\n for (var i = 0; i < state.dataGenFns.length; i++) {\n data += state.dataGenFns[i](el);\n }\n // attributes\n if (el.attrs) {\n data += \"attrs:\" + (genProps(el.attrs)) + \",\";\n }\n // DOM props\n if (el.props) {\n data += \"domProps:\" + (genProps(el.props)) + \",\";\n }\n // event handlers\n if (el.events) {\n data += (genHandlers(el.events, false)) + \",\";\n }\n if (el.nativeEvents) {\n data += (genHandlers(el.nativeEvents, true)) + \",\";\n }\n // slot target\n // only for non-scoped slots\n if (el.slotTarget && !el.slotScope) {\n data += \"slot:\" + (el.slotTarget) + \",\";\n }\n // scoped slots\n if (el.scopedSlots) {\n data += (genScopedSlots(el, el.scopedSlots, state)) + \",\";\n }\n // component v-model\n if (el.model) {\n data += \"model:{value:\" + (el.model.value) + \",callback:\" + (el.model.callback) + \",expression:\" + (el.model.expression) + \"},\";\n }\n // inline-template\n if (el.inlineTemplate) {\n var inlineTemplate = genInlineTemplate(el, state);\n if (inlineTemplate) {\n data += inlineTemplate + \",\";\n }\n }\n data = data.replace(/,$/, '') + '}';\n // v-bind dynamic argument wrap\n // v-bind with dynamic arguments must be applied using the same v-bind object\n // merge helper so that class/style/mustUseProp attrs are handled correctly.\n if (el.dynamicAttrs) {\n data = \"_b(\" + data + \",\\\"\" + (el.tag) + \"\\\",\" + (genProps(el.dynamicAttrs)) + \")\";\n }\n // v-bind data wrap\n if (el.wrapData) {\n data = el.wrapData(data);\n }\n // v-on data wrap\n if (el.wrapListeners) {\n data = el.wrapListeners(data);\n }\n return data\n}\n\nfunction genDirectives (el, state) {\n var dirs = el.directives;\n if (!dirs) { return }\n var res = 'directives:[';\n var hasRuntime = false;\n var i, l, dir, needRuntime;\n for (i = 0, l = dirs.length; i < l; i++) {\n dir = dirs[i];\n needRuntime = true;\n var gen = state.directives[dir.name];\n if (gen) {\n // compile-time directive that manipulates AST.\n // returns true if it also needs a runtime counterpart.\n needRuntime = !!gen(el, dir, state.warn);\n }\n if (needRuntime) {\n hasRuntime = true;\n res += \"{name:\\\"\" + (dir.name) + \"\\\",rawName:\\\"\" + (dir.rawName) + \"\\\"\" + (dir.value ? (\",value:(\" + (dir.value) + \"),expression:\" + (JSON.stringify(dir.value))) : '') + (dir.arg ? (\",arg:\" + (dir.isDynamicArg ? dir.arg : (\"\\\"\" + (dir.arg) + \"\\\"\"))) : '') + (dir.modifiers ? (\",modifiers:\" + (JSON.stringify(dir.modifiers))) : '') + \"},\";\n }\n }\n if (hasRuntime) {\n return res.slice(0, -1) + ']'\n }\n}\n\nfunction genInlineTemplate (el, state) {\n var ast = el.children[0];\n if (process.env.NODE_ENV !== 'production' && (\n el.children.length !== 1 || ast.type !== 1\n )) {\n state.warn(\n 'Inline-template components must have exactly one child element.',\n { start: el.start }\n );\n }\n if (ast && ast.type === 1) {\n var inlineRenderFns = generate(ast, state.options);\n return (\"inlineTemplate:{render:function(){\" + (inlineRenderFns.render) + \"},staticRenderFns:[\" + (inlineRenderFns.staticRenderFns.map(function (code) { return (\"function(){\" + code + \"}\"); }).join(',')) + \"]}\")\n }\n}\n\nfunction genScopedSlots (\n el,\n slots,\n state\n) {\n // by default scoped slots are considered \"stable\", this allows child\n // components with only scoped slots to skip forced updates from parent.\n // but in some cases we have to bail-out of this optimization\n // for example if the slot contains dynamic names, has v-if or v-for on them...\n var needsForceUpdate = el.for || Object.keys(slots).some(function (key) {\n var slot = slots[key];\n return (\n slot.slotTargetDynamic ||\n slot.if ||\n slot.for ||\n containsSlotChild(slot) // is passing down slot from parent which may be dynamic\n )\n });\n\n // #9534: if a component with scoped slots is inside a conditional branch,\n // it's possible for the same component to be reused but with different\n // compiled slot content. To avoid that, we generate a unique key based on\n // the generated code of all the slot contents.\n var needsKey = !!el.if;\n\n // OR when it is inside another scoped slot or v-for (the reactivity may be\n // disconnected due to the intermediate scope variable)\n // #9438, #9506\n // TODO: this can be further optimized by properly analyzing in-scope bindings\n // and skip force updating ones that do not actually use scope variables.\n if (!needsForceUpdate) {\n var parent = el.parent;\n while (parent) {\n if (\n (parent.slotScope && parent.slotScope !== emptySlotScopeToken) ||\n parent.for\n ) {\n needsForceUpdate = true;\n break\n }\n if (parent.if) {\n needsKey = true;\n }\n parent = parent.parent;\n }\n }\n\n var generatedSlots = Object.keys(slots)\n .map(function (key) { return genScopedSlot(slots[key], state); })\n .join(',');\n\n return (\"scopedSlots:_u([\" + generatedSlots + \"]\" + (needsForceUpdate ? \",null,true\" : \"\") + (!needsForceUpdate && needsKey ? (\",null,false,\" + (hash(generatedSlots))) : \"\") + \")\")\n}\n\nfunction hash(str) {\n var hash = 5381;\n var i = str.length;\n while(i) {\n hash = (hash * 33) ^ str.charCodeAt(--i);\n }\n return hash >>> 0\n}\n\nfunction containsSlotChild (el) {\n if (el.type === 1) {\n if (el.tag === 'slot') {\n return true\n }\n return el.children.some(containsSlotChild)\n }\n return false\n}\n\nfunction genScopedSlot (\n el,\n state\n) {\n var isLegacySyntax = el.attrsMap['slot-scope'];\n if (el.if && !el.ifProcessed && !isLegacySyntax) {\n return genIf(el, state, genScopedSlot, \"null\")\n }\n if (el.for && !el.forProcessed) {\n return genFor(el, state, genScopedSlot)\n }\n var slotScope = el.slotScope === emptySlotScopeToken\n ? \"\"\n : String(el.slotScope);\n var fn = \"function(\" + slotScope + \"){\" +\n \"return \" + (el.tag === 'template'\n ? el.if && isLegacySyntax\n ? (\"(\" + (el.if) + \")?\" + (genChildren(el, state) || 'undefined') + \":undefined\")\n : genChildren(el, state) || 'undefined'\n : genElement(el, state)) + \"}\";\n // reverse proxy v-slot without scope on this.$slots\n var reverseProxy = slotScope ? \"\" : \",proxy:true\";\n return (\"{key:\" + (el.slotTarget || \"\\\"default\\\"\") + \",fn:\" + fn + reverseProxy + \"}\")\n}\n\nfunction genChildren (\n el,\n state,\n checkSkip,\n altGenElement,\n altGenNode\n) {\n var children = el.children;\n if (children.length) {\n var el$1 = children[0];\n // optimize single v-for\n if (children.length === 1 &&\n el$1.for &&\n el$1.tag !== 'template' &&\n el$1.tag !== 'slot'\n ) {\n var normalizationType = checkSkip\n ? state.maybeComponent(el$1) ? \",1\" : \",0\"\n : \"\";\n return (\"\" + ((altGenElement || genElement)(el$1, state)) + normalizationType)\n }\n var normalizationType$1 = checkSkip\n ? getNormalizationType(children, state.maybeComponent)\n : 0;\n var gen = altGenNode || genNode;\n return (\"[\" + (children.map(function (c) { return gen(c, state); }).join(',')) + \"]\" + (normalizationType$1 ? (\",\" + normalizationType$1) : ''))\n }\n}\n\n// determine the normalization needed for the children array.\n// 0: no normalization needed\n// 1: simple normalization needed (possible 1-level deep nested array)\n// 2: full normalization needed\nfunction getNormalizationType (\n children,\n maybeComponent\n) {\n var res = 0;\n for (var i = 0; i < children.length; i++) {\n var el = children[i];\n if (el.type !== 1) {\n continue\n }\n if (needsNormalization(el) ||\n (el.ifConditions && el.ifConditions.some(function (c) { return needsNormalization(c.block); }))) {\n res = 2;\n break\n }\n if (maybeComponent(el) ||\n (el.ifConditions && el.ifConditions.some(function (c) { return maybeComponent(c.block); }))) {\n res = 1;\n }\n }\n return res\n}\n\nfunction needsNormalization (el) {\n return el.for !== undefined || el.tag === 'template' || el.tag === 'slot'\n}\n\nfunction genNode (node, state) {\n if (node.type === 1) {\n return genElement(node, state)\n } else if (node.type === 3 && node.isComment) {\n return genComment(node)\n } else {\n return genText(node)\n }\n}\n\nfunction genText (text) {\n return (\"_v(\" + (text.type === 2\n ? text.expression // no need for () because already wrapped in _s()\n : transformSpecialNewlines(JSON.stringify(text.text))) + \")\")\n}\n\nfunction genComment (comment) {\n return (\"_e(\" + (JSON.stringify(comment.text)) + \")\")\n}\n\nfunction genSlot (el, state) {\n var slotName = el.slotName || '\"default\"';\n var children = genChildren(el, state);\n var res = \"_t(\" + slotName + (children ? (\",\" + children) : '');\n var attrs = el.attrs || el.dynamicAttrs\n ? genProps((el.attrs || []).concat(el.dynamicAttrs || []).map(function (attr) { return ({\n // slot props are camelized\n name: camelize(attr.name),\n value: attr.value,\n dynamic: attr.dynamic\n }); }))\n : null;\n var bind$$1 = el.attrsMap['v-bind'];\n if ((attrs || bind$$1) && !children) {\n res += \",null\";\n }\n if (attrs) {\n res += \",\" + attrs;\n }\n if (bind$$1) {\n res += (attrs ? '' : ',null') + \",\" + bind$$1;\n }\n return res + ')'\n}\n\n// componentName is el.component, take it as argument to shun flow's pessimistic refinement\nfunction genComponent (\n componentName,\n el,\n state\n) {\n var children = el.inlineTemplate ? null : genChildren(el, state, true);\n return (\"_c(\" + componentName + \",\" + (genData$2(el, state)) + (children ? (\",\" + children) : '') + \")\")\n}\n\nfunction genProps (props) {\n var staticProps = \"\";\n var dynamicProps = \"\";\n for (var i = 0; i < props.length; i++) {\n var prop = props[i];\n var value = transformSpecialNewlines(prop.value);\n if (prop.dynamic) {\n dynamicProps += (prop.name) + \",\" + value + \",\";\n } else {\n staticProps += \"\\\"\" + (prop.name) + \"\\\":\" + value + \",\";\n }\n }\n staticProps = \"{\" + (staticProps.slice(0, -1)) + \"}\";\n if (dynamicProps) {\n return (\"_d(\" + staticProps + \",[\" + (dynamicProps.slice(0, -1)) + \"])\")\n } else {\n return staticProps\n }\n}\n\n// #3895, #4268\nfunction transformSpecialNewlines (text) {\n return text\n .replace(/\\u2028/g, '\\\\u2028')\n .replace(/\\u2029/g, '\\\\u2029')\n}\n\n/* */\n\n\n\n// these keywords should not appear inside expressions, but operators like\n// typeof, instanceof and in are allowed\nvar prohibitedKeywordRE = new RegExp('\\\\b' + (\n 'do,if,for,let,new,try,var,case,else,with,await,break,catch,class,const,' +\n 'super,throw,while,yield,delete,export,import,return,switch,default,' +\n 'extends,finally,continue,debugger,function,arguments'\n).split(',').join('\\\\b|\\\\b') + '\\\\b');\n\n// these unary operators should not be used as property/method names\nvar unaryOperatorsRE = new RegExp('\\\\b' + (\n 'delete,typeof,void'\n).split(',').join('\\\\s*\\\\([^\\\\)]*\\\\)|\\\\b') + '\\\\s*\\\\([^\\\\)]*\\\\)');\n\n// strip strings in expressions\nvar stripStringRE = /'(?:[^'\\\\]|\\\\.)*'|\"(?:[^\"\\\\]|\\\\.)*\"|`(?:[^`\\\\]|\\\\.)*\\$\\{|\\}(?:[^`\\\\]|\\\\.)*`|`(?:[^`\\\\]|\\\\.)*`/g;\n\n// detect problematic expressions in a template\nfunction detectErrors (ast, warn) {\n if (ast) {\n checkNode(ast, warn);\n }\n}\n\nfunction checkNode (node, warn) {\n if (node.type === 1) {\n for (var name in node.attrsMap) {\n if (dirRE.test(name)) {\n var value = node.attrsMap[name];\n if (value) {\n var range = node.rawAttrsMap[name];\n if (name === 'v-for') {\n checkFor(node, (\"v-for=\\\"\" + value + \"\\\"\"), warn, range);\n } else if (name === 'v-slot' || name[0] === '#') {\n checkFunctionParameterExpression(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n } else if (onRE.test(name)) {\n checkEvent(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n } else {\n checkExpression(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n }\n }\n }\n }\n if (node.children) {\n for (var i = 0; i < node.children.length; i++) {\n checkNode(node.children[i], warn);\n }\n }\n } else if (node.type === 2) {\n checkExpression(node.expression, node.text, warn, node);\n }\n}\n\nfunction checkEvent (exp, text, warn, range) {\n var stripped = exp.replace(stripStringRE, '');\n var keywordMatch = stripped.match(unaryOperatorsRE);\n if (keywordMatch && stripped.charAt(keywordMatch.index - 1) !== '$') {\n warn(\n \"avoid using JavaScript unary operator as property name: \" +\n \"\\\"\" + (keywordMatch[0]) + \"\\\" in expression \" + (text.trim()),\n range\n );\n }\n checkExpression(exp, text, warn, range);\n}\n\nfunction checkFor (node, text, warn, range) {\n checkExpression(node.for || '', text, warn, range);\n checkIdentifier(node.alias, 'v-for alias', text, warn, range);\n checkIdentifier(node.iterator1, 'v-for iterator', text, warn, range);\n checkIdentifier(node.iterator2, 'v-for iterator', text, warn, range);\n}\n\nfunction checkIdentifier (\n ident,\n type,\n text,\n warn,\n range\n) {\n if (typeof ident === 'string') {\n try {\n new Function((\"var \" + ident + \"=_\"));\n } catch (e) {\n warn((\"invalid \" + type + \" \\\"\" + ident + \"\\\" in expression: \" + (text.trim())), range);\n }\n }\n}\n\nfunction checkExpression (exp, text, warn, range) {\n try {\n new Function((\"return \" + exp));\n } catch (e) {\n var keywordMatch = exp.replace(stripStringRE, '').match(prohibitedKeywordRE);\n if (keywordMatch) {\n warn(\n \"avoid using JavaScript keyword as property name: \" +\n \"\\\"\" + (keywordMatch[0]) + \"\\\"\\n Raw expression: \" + (text.trim()),\n range\n );\n } else {\n warn(\n \"invalid expression: \" + (e.message) + \" in\\n\\n\" +\n \" \" + exp + \"\\n\\n\" +\n \" Raw expression: \" + (text.trim()) + \"\\n\",\n range\n );\n }\n }\n}\n\nfunction checkFunctionParameterExpression (exp, text, warn, range) {\n try {\n new Function(exp, '');\n } catch (e) {\n warn(\n \"invalid function parameter expression: \" + (e.message) + \" in\\n\\n\" +\n \" \" + exp + \"\\n\\n\" +\n \" Raw expression: \" + (text.trim()) + \"\\n\",\n range\n );\n }\n}\n\n/* */\n\nvar range = 2;\n\nfunction generateCodeFrame (\n source,\n start,\n end\n) {\n if ( start === void 0 ) start = 0;\n if ( end === void 0 ) end = source.length;\n\n var lines = source.split(/\\r?\\n/);\n var count = 0;\n var res = [];\n for (var i = 0; i < lines.length; i++) {\n count += lines[i].length + 1;\n if (count >= start) {\n for (var j = i - range; j <= i + range || end > count; j++) {\n if (j < 0 || j >= lines.length) { continue }\n res.push((\"\" + (j + 1) + (repeat$1(\" \", 3 - String(j + 1).length)) + \"| \" + (lines[j])));\n var lineLength = lines[j].length;\n if (j === i) {\n // push underline\n var pad = start - (count - lineLength) + 1;\n var length = end > count ? lineLength - pad : end - start;\n res.push(\" | \" + repeat$1(\" \", pad) + repeat$1(\"^\", length));\n } else if (j > i) {\n if (end > count) {\n var length$1 = Math.min(end - count, lineLength);\n res.push(\" | \" + repeat$1(\"^\", length$1));\n }\n count += lineLength + 1;\n }\n }\n break\n }\n }\n return res.join('\\n')\n}\n\nfunction repeat$1 (str, n) {\n var result = '';\n if (n > 0) {\n while (true) { // eslint-disable-line\n if (n & 1) { result += str; }\n n >>>= 1;\n if (n <= 0) { break }\n str += str;\n }\n }\n return result\n}\n\n/* */\n\n\n\nfunction createFunction (code, errors) {\n try {\n return new Function(code)\n } catch (err) {\n errors.push({ err: err, code: code });\n return noop\n }\n}\n\nfunction createCompileToFunctionFn (compile) {\n var cache = Object.create(null);\n\n return function compileToFunctions (\n template,\n options,\n vm\n ) {\n options = extend({}, options);\n var warn$$1 = options.warn || warn;\n delete options.warn;\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n // detect possible CSP restriction\n try {\n new Function('return 1');\n } catch (e) {\n if (e.toString().match(/unsafe-eval|CSP/)) {\n warn$$1(\n 'It seems you are using the standalone build of Vue.js in an ' +\n 'environment with Content Security Policy that prohibits unsafe-eval. ' +\n 'The template compiler cannot work in this environment. Consider ' +\n 'relaxing the policy to allow unsafe-eval or pre-compiling your ' +\n 'templates into render functions.'\n );\n }\n }\n }\n\n // check cache\n var key = options.delimiters\n ? String(options.delimiters) + template\n : template;\n if (cache[key]) {\n return cache[key]\n }\n\n // compile\n var compiled = compile(template, options);\n\n // check compilation errors/tips\n if (process.env.NODE_ENV !== 'production') {\n if (compiled.errors && compiled.errors.length) {\n if (options.outputSourceRange) {\n compiled.errors.forEach(function (e) {\n warn$$1(\n \"Error compiling template:\\n\\n\" + (e.msg) + \"\\n\\n\" +\n generateCodeFrame(template, e.start, e.end),\n vm\n );\n });\n } else {\n warn$$1(\n \"Error compiling template:\\n\\n\" + template + \"\\n\\n\" +\n compiled.errors.map(function (e) { return (\"- \" + e); }).join('\\n') + '\\n',\n vm\n );\n }\n }\n if (compiled.tips && compiled.tips.length) {\n if (options.outputSourceRange) {\n compiled.tips.forEach(function (e) { return tip(e.msg, vm); });\n } else {\n compiled.tips.forEach(function (msg) { return tip(msg, vm); });\n }\n }\n }\n\n // turn code into functions\n var res = {};\n var fnGenErrors = [];\n res.render = createFunction(compiled.render, fnGenErrors);\n res.staticRenderFns = compiled.staticRenderFns.map(function (code) {\n return createFunction(code, fnGenErrors)\n });\n\n // check function generation errors.\n // this should only happen if there is a bug in the compiler itself.\n // mostly for codegen development use\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n if ((!compiled.errors || !compiled.errors.length) && fnGenErrors.length) {\n warn$$1(\n \"Failed to generate render function:\\n\\n\" +\n fnGenErrors.map(function (ref) {\n var err = ref.err;\n var code = ref.code;\n\n return ((err.toString()) + \" in\\n\\n\" + code + \"\\n\");\n }).join('\\n'),\n vm\n );\n }\n }\n\n return (cache[key] = res)\n }\n}\n\n/* */\n\nfunction createCompilerCreator (baseCompile) {\n return function createCompiler (baseOptions) {\n function compile (\n template,\n options\n ) {\n var finalOptions = Object.create(baseOptions);\n var errors = [];\n var tips = [];\n\n var warn = function (msg, range, tip) {\n (tip ? tips : errors).push(msg);\n };\n\n if (options) {\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n // $flow-disable-line\n var leadingSpaceLength = template.match(/^\\s*/)[0].length;\n\n warn = function (msg, range, tip) {\n var data = { msg: msg };\n if (range) {\n if (range.start != null) {\n data.start = range.start + leadingSpaceLength;\n }\n if (range.end != null) {\n data.end = range.end + leadingSpaceLength;\n }\n }\n (tip ? tips : errors).push(data);\n };\n }\n // merge custom modules\n if (options.modules) {\n finalOptions.modules =\n (baseOptions.modules || []).concat(options.modules);\n }\n // merge custom directives\n if (options.directives) {\n finalOptions.directives = extend(\n Object.create(baseOptions.directives || null),\n options.directives\n );\n }\n // copy other options\n for (var key in options) {\n if (key !== 'modules' && key !== 'directives') {\n finalOptions[key] = options[key];\n }\n }\n }\n\n finalOptions.warn = warn;\n\n var compiled = baseCompile(template.trim(), finalOptions);\n if (process.env.NODE_ENV !== 'production') {\n detectErrors(compiled.ast, warn);\n }\n compiled.errors = errors;\n compiled.tips = tips;\n return compiled\n }\n\n return {\n compile: compile,\n compileToFunctions: createCompileToFunctionFn(compile)\n }\n }\n}\n\n/* */\n\n// `createCompilerCreator` allows creating compilers that use alternative\n// parser/optimizer/codegen, e.g the SSR optimizing compiler.\n// Here we just export a default compiler using the default parts.\nvar createCompiler = createCompilerCreator(function baseCompile (\n template,\n options\n) {\n var ast = parse(template.trim(), options);\n if (options.optimize !== false) {\n optimize(ast, options);\n }\n var code = generate(ast, options);\n return {\n ast: ast,\n render: code.render,\n staticRenderFns: code.staticRenderFns\n }\n});\n\n/* */\n\nvar ref$1 = createCompiler(baseOptions);\nvar compile = ref$1.compile;\nvar compileToFunctions = ref$1.compileToFunctions;\n\n/* */\n\n// check whether current browser encodes a char inside attribute values\nvar div;\nfunction getShouldDecode (href) {\n div = div || document.createElement('div');\n div.innerHTML = href ? \"<a href=\\\"\\n\\\"/>\" : \"<div a=\\\"\\n\\\"/>\";\n return div.innerHTML.indexOf('&#10;') > 0\n}\n\n// #3663: IE encodes newlines inside attribute values while other browsers don't\nvar shouldDecodeNewlines = inBrowser ? getShouldDecode(false) : false;\n// #6828: chrome encodes content in a[href]\nvar shouldDecodeNewlinesForHref = inBrowser ? getShouldDecode(true) : false;\n\n/* */\n\nvar idToTemplate = cached(function (id) {\n var el = query(id);\n return el && el.innerHTML\n});\n\nvar mount = Vue.prototype.$mount;\nVue.prototype.$mount = function (\n el,\n hydrating\n) {\n el = el && query(el);\n\n /* istanbul ignore if */\n if (el === document.body || el === document.documentElement) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Do not mount Vue to <html> or <body> - mount to normal elements instead.\"\n );\n return this\n }\n\n var options = this.$options;\n // resolve template/el and convert to render function\n if (!options.render) {\n var template = options.template;\n if (template) {\n if (typeof template === 'string') {\n if (template.charAt(0) === '#') {\n template = idToTemplate(template);\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && !template) {\n warn(\n (\"Template element not found or is empty: \" + (options.template)),\n this\n );\n }\n }\n } else if (template.nodeType) {\n template = template.innerHTML;\n } else {\n if (process.env.NODE_ENV !== 'production') {\n warn('invalid template option:' + template, this);\n }\n return this\n }\n } else if (el) {\n template = getOuterHTML(el);\n }\n if (template) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n mark('compile');\n }\n\n var ref = compileToFunctions(template, {\n outputSourceRange: process.env.NODE_ENV !== 'production',\n shouldDecodeNewlines: shouldDecodeNewlines,\n shouldDecodeNewlinesForHref: shouldDecodeNewlinesForHref,\n delimiters: options.delimiters,\n comments: options.comments\n }, this);\n var render = ref.render;\n var staticRenderFns = ref.staticRenderFns;\n options.render = render;\n options.staticRenderFns = staticRenderFns;\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n mark('compile end');\n measure((\"vue \" + (this._name) + \" compile\"), 'compile', 'compile end');\n }\n }\n }\n return mount.call(this, el, hydrating)\n};\n\n/**\n * Get outerHTML of elements, taking care\n * of SVG elements in IE as well.\n */\nfunction getOuterHTML (el) {\n if (el.outerHTML) {\n return el.outerHTML\n } else {\n var container = document.createElement('div');\n container.appendChild(el.cloneNode(true));\n return container.innerHTML\n }\n}\n\nVue.compile = compileToFunctions;\n\nexport default Vue;\n"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/node-libs-browser/node_modules/timers-browserify/main.js","webpack:///./node_modules/setimmediate/setImmediate.js","webpack:///./node_modules/vue/dist/vue.esm.js"],"names":["self","window","apply","Function","prototype","Timeout","id","clearFn","this","_id","_clearFn","exports","setTimeout","call","scope","arguments","clearTimeout","setInterval","clearInterval","timeout","close","unref","ref","enroll","item","msecs","_idleTimeoutId","_idleTimeout","unenroll","_unrefActive","active","_onTimeout","setImmediate","global","clearImmediate","registerImmediate","html","channel","messagePrefix","onGlobalMessage","nextHandle","tasksByHandle","currentlyRunningATask","doc","document","attachTo","Object","getPrototypeOf","toString","process","handle","nextTick","runIfPresent","postMessage","importScripts","postMessageIsAsynchronous","oldOnMessage","onmessage","canUsePostMessage","MessageChannel","port1","event","data","port2","createElement","documentElement","script","onreadystatechange","removeChild","appendChild","Math","random","source","indexOf","slice","length","addEventListener","attachEvent","callback","args","Array","i","task","run","emptyObject","freeze","isUndef","v","isDef","isTrue","isPrimitive","value","isObject","obj","_toString","isPlainObject","isRegExp","isValidArrayIndex","val","n","parseFloat","String","floor","isFinite","isPromise","then","catch","isArray","JSON","stringify","toNumber","isNaN","makeMap","str","expectsLowerCase","map","create","list","split","toLowerCase","isBuiltInTag","isReservedAttribute","remove","arr","index","splice","hasOwnProperty","hasOwn","key","cached","fn","cache","camelizeRE","camelize","replace","_","c","toUpperCase","capitalize","charAt","hyphenateRE","hyphenate","bind","ctx","boundFn","a","l","_length","toArray","start","ret","extend","to","_from","toObject","res","noop","b","no","identity","looseEqual","isObjectA","isObjectB","isArrayA","isArrayB","every","e","Date","getTime","keysA","keys","keysB","looseIndexOf","once","called","ASSET_TYPES","LIFECYCLE_HOOKS","config","optionMergeStrategies","silent","productionTip","devtools","performance","errorHandler","warnHandler","ignoredElements","keyCodes","isReservedTag","isReservedAttr","isUnknownElement","getTagNamespace","parsePlatformTagName","mustUseProp","async","_lifecycleHooks","unicodeRegExp","isReserved","charCodeAt","def","enumerable","defineProperty","writable","configurable","bailRE","RegExp","_isServer","hasProto","inBrowser","inWeex","WXEnvironment","platform","weexPlatform","UA","navigator","userAgent","isIE","test","isIE9","isEdge","isIOS","isFF","match","nativeWatch","watch","supportsPassive","opts","get","isServerRendering","undefined","env","VUE_ENV","__VUE_DEVTOOLS_GLOBAL_HOOK__","isNative","Ctor","_Set","hasSymbol","Symbol","Reflect","ownKeys","Set","set","has","add","clear","warn","uid","Dep","subs","addSub","sub","push","removeSub","depend","target","addDep","notify","update","targetStack","pushTarget","popTarget","pop","VNode","tag","children","text","elm","context","componentOptions","asyncFactory","ns","fnContext","fnOptions","fnScopeId","componentInstance","parent","raw","isStatic","isRootInsert","isComment","isCloned","isOnce","asyncMeta","isAsyncPlaceholder","prototypeAccessors","child","defineProperties","createEmptyVNode","node","createTextVNode","cloneVNode","vnode","cloned","arrayProto","arrayMethods","forEach","method","original","len","inserted","result","ob","__ob__","observeArray","dep","arrayKeys","getOwnPropertyNames","shouldObserve","toggleObserving","Observer","vmCount","src","__proto__","protoAugment","copyAugment","walk","observe","asRootData","isExtensible","_isVue","defineReactive$$1","customSetter","shallow","property","getOwnPropertyDescriptor","getter","setter","childOb","dependArray","newVal","max","del","items","strats","mergeData","from","toVal","fromVal","mergeDataOrFn","parentVal","childVal","vm","instanceData","defaultData","mergeHook","concat","hooks","dedupeHooks","mergeAssets","hook","type","key$1","props","methods","inject","computed","provide","defaultStrat","mergeOptions","options","normalizeProps","normalized","normalizeInject","dirs","directives","def$$1","normalizeDirectives","_base","extends","mixins","mergeField","strat","resolveAsset","warnMissing","assets","camelizedId","PascalCaseId","validateProp","propOptions","propsData","prop","absent","booleanIndex","getTypeIndex","Boolean","stringIndex","default","$options","_props","getType","getPropDefaultValue","prevShouldObserve","isSameType","expectedTypes","handleError","err","info","cur","$parent","errorCaptured","globalHandleError","invokeWithErrorHandling","handler","_handled","logError","console","error","timerFunc","isUsingMicroTask","callbacks","pending","flushCallbacks","copies","Promise","p","resolve","MutationObserver","counter","observer","textNode","createTextNode","characterData","cb","_resolve","seenObjects","traverse","_traverse","seen","isA","isFrozen","depId","normalizeEvent","name","passive","once$$1","capture","createFnInvoker","fns","invoker","arguments$1","updateListeners","on","oldOn","remove$$1","createOnceHandler","old","params","mergeVNodeHook","hookKey","oldHook","wrappedHook","merged","checkProp","hash","altKey","preserve","normalizeChildren","normalizeArrayChildren","nestedIndex","lastIndex","last","isTextNode","shift","_isVList","resolveInject","provideKey","_provided","provideDefault","resolveSlots","slots","attrs","slot","name$1","isWhitespace","normalizeScopedSlots","normalSlots","prevSlots","hasNormalSlots","isStable","$stable","$key","_normalized","$hasNormal","normalizeScopedSlot","key$2","proxyNormalSlot","proxy","renderList","render","iterator","next","done","renderSlot","fallback","bindObject","nodes","scopedSlotFn","$scopedSlots","$slots","$createElement","resolveFilter","isKeyNotMatch","expect","actual","checkKeyCodes","eventKeyCode","builtInKeyCode","eventKeyName","builtInKeyName","mappedKeyCode","bindObjectProps","asProp","isSync","loop","domProps","camelizedKey","hyphenatedKey","$event","renderStatic","isInFor","_staticTrees","tree","markStatic","staticRenderFns","_renderProxy","markOnce","markStaticNode","bindObjectListeners","existing","ours","resolveScopedSlots","hasDynamicKeys","contentHashKey","bindDynamicKeys","baseObj","values","prependModifier","symbol","installRenderHelpers","_o","_n","_s","_l","_t","_q","_i","_m","_f","_k","_b","_v","_e","_u","_g","_d","_p","FunctionalRenderContext","contextVm","this$1","_original","isCompiled","_compiled","needNormalization","listeners","injections","scopedSlots","_scopeId","_c","d","cloneAndMarkFunctionalResult","renderContext","clone","mergeProps","componentVNodeHooks","init","hydrating","_isDestroyed","keepAlive","mountedNode","prepatch","_isComponent","_parentVnode","inlineTemplate","createComponentInstanceForVnode","activeInstance","$mount","oldVnode","parentVnode","renderChildren","newScopedSlots","oldScopedSlots","hasDynamicScopedSlot","needsForceUpdate","_renderChildren","$vnode","_vnode","$attrs","$listeners","propKeys","_propKeys","oldListeners","_parentListeners","updateComponentListeners","$forceUpdate","updateChildComponent","insert","_isMounted","callHook","_inactive","activatedChildren","activateChildComponent","destroy","deactivateChildComponent","direct","_directInactive","isInInactiveTree","$children","$destroy","hooksToMerge","createComponent","baseCtor","cid","factory","errorComp","resolved","owner","currentRenderingInstance","owners","loading","loadingComp","sync","timerLoading","timerTimeout","$on","forceRender","renderCompleted","ensureCtor","reject","reason","component","delay","resolveAsyncComponent","createAsyncPlaceholder","resolveConstructorOptions","model","transformModel","extractPropsFromVNodeData","functional","vnodes","createFunctionalComponent","nativeOn","abstract","toMerge","_merged","mergeHook$1","installComponentHooks","f1","f2","normalizationType","alwaysNormalize","is","simpleNormalizeChildren","pre","applyNS","force","style","class","registerDeepBindings","_createElement","comp","base","__esModule","toStringTag","getFirstComponentChild","remove$1","$off","_target","onceHandler","setActiveInstance","prevActiveInstance","handlers","j","_hasHookEvent","$emit","queue","waiting","flushing","currentFlushTimestamp","getNow","now","createEvent","timeStamp","flushSchedulerQueue","watcher","sort","before","activatedQueue","updatedQueue","callActivatedHooks","_watcher","callUpdatedHooks","emit","uid$2","Watcher","expOrFn","isRenderWatcher","_watchers","deep","user","lazy","dirty","deps","newDeps","depIds","newDepIds","expression","path","segments","parsePath","cleanupDeps","tmp","queueWatcher","oldValue","evaluate","teardown","_isBeingDestroyed","sharedPropertyDefinition","sourceKey","initState","propsOptions","initProps","initMethods","_data","getData","initData","watchers","_computedWatchers","isSSR","userDef","computedWatcherOptions","defineComputed","initComputed","createWatcher","initWatch","shouldCache","createComputedGetter","createGetterInvoker","$watch","uid$3","super","superOptions","modifiedOptions","modified","latest","sealed","sealedOptions","resolveModifiedOptions","extendOptions","components","Vue","_init","initExtend","Super","SuperId","cachedCtors","_Ctor","Sub","constructor","Comp","initProps$1","initComputed$1","mixin","use","getComponentName","matches","pattern","pruneCache","keepAliveInstance","filter","cachedNode","pruneCacheEntry","current","cached$$1","_uid","vnodeComponentOptions","_componentTag","initInternalComponent","_self","$root","$refs","initLifecycle","_events","initEvents","parentData","initRender","initInjections","initProvide","el","initMixin","dataDef","propsDef","$set","$delete","immediate","stateMixin","hookRE","$once","i$1","cbs","eventsMixin","_update","prevEl","$el","prevVnode","restoreActiveInstance","__patch__","__vue__","lifecycleMixin","$nextTick","_render","renderMixin","patternTypes","builtInComponents","KeepAlive","include","exclude","Number","created","destroyed","mounted","parseInt","configDef","util","defineReactive","delete","observable","plugin","installedPlugins","_installedPlugins","unshift","install","initUse","initMixin$1","definition","initAssetRegisters","initGlobalAPI","ssrContext","version","acceptValue","attr","isEnumeratedAttr","isValidContentEditableValue","isBooleanAttr","xlinkNS","isXlink","getXlinkProp","isFalsyAttrValue","genClassForVnode","parentNode","childNode","mergeClassData","staticClass","dynamicClass","stringifyClass","renderClass","stringified","stringifyArray","stringifyObject","namespaceMap","svg","math","isHTMLTag","isSVG","unknownElementCache","isTextInputType","query","selected","querySelector","nodeOps","tagName","multiple","setAttribute","createElementNS","namespace","createComment","insertBefore","newNode","referenceNode","nextSibling","setTextContent","textContent","setStyleScope","scopeId","registerRef","isRemoval","refs","refInFor","emptyNode","sameVnode","typeA","typeB","sameInputType","createKeyToOldIdx","beginIdx","endIdx","updateDirectives","oldDir","dir","isCreate","isDestroy","oldDirs","normalizeDirectives$1","newDirs","dirsWithInsert","dirsWithPostpatch","oldArg","arg","callHook$1","componentUpdated","callInsert","emptyModifiers","modifiers","getRawDirName","rawName","join","baseModules","updateAttrs","inheritAttrs","oldAttrs","setAttr","removeAttributeNS","removeAttribute","baseSetAttr","convertEnumeratedValue","setAttributeNS","__ieph","blocker","stopImmediatePropagation","removeEventListener","updateClass","oldData","cls","transitionClass","_transitionClasses","_prevClass","chr","index$1","expressionPos","expressionEndPos","klass","validDivisionCharRE","parseFilters","exp","prev","filters","inSingle","inDouble","inTemplateString","inRegex","curly","square","paren","lastFilterIndex","trim","pushFilter","wrapFilter","baseWarn","msg","range","pluckModuleFunction","modules","m","addProp","dynamic","rangeSetItem","plain","addAttr","dynamicAttrs","addRawAttr","attrsMap","attrsList","addDirective","isDynamicArg","prependModifierMarker","addHandler","important","events","right","middle","native","nativeEvents","newHandler","getBindingAttr","getStatic","dynamicValue","getAndRemoveAttr","staticValue","removeFromMap","getAndRemoveAttrByRegex","end","genComponentModel","number","valueExpression","assignment","genAssignmentCode","lastIndexOf","eof","isStringStart","parseString","parseBracket","parseModel","inBracket","stringQuote","target$1","createOnceHandler$1","remove$2","useMicrotaskFix","add$1","attachedTimestamp","_wrapper","currentTarget","ownerDocument","updateDOMListeners","change","normalizeEvents","svgContainer","updateDOMProps","oldProps","childNodes","_value","strCur","shouldUpdateValue","innerHTML","firstChild","checkVal","composing","notInFocus","activeElement","isNotInFocusAndDirty","_vModifiers","isDirtyWithModifiers","parseStyleText","cssText","propertyDelimiter","normalizeStyleData","normalizeStyleBinding","staticStyle","bindingStyle","emptyStyle","cssVarRE","importantRE","setProp","setProperty","normalizedName","normalize","vendorNames","capName","updateStyle","oldStaticStyle","oldStyleBinding","normalizedStyle","oldStyle","newStyle","checkChild","styleData","getStyle","whitespaceRE","addClass","classList","getAttribute","removeClass","tar","resolveTransition","css","autoCssTransition","enterClass","enterToClass","enterActiveClass","leaveClass","leaveToClass","leaveActiveClass","hasTransition","transitionProp","transitionEndEvent","animationProp","animationEndEvent","ontransitionend","onwebkittransitionend","onanimationend","onwebkitanimationend","raf","requestAnimationFrame","nextFrame","addTransitionClass","transitionClasses","removeTransitionClass","whenTransitionEnds","expectedType","getTransitionInfo","propCount","ended","onEnd","transformRE","styles","getComputedStyle","transitionDelays","transitionDurations","transitionTimeout","getTimeout","animationDelays","animationDurations","animationTimeout","hasTransform","delays","durations","toMs","s","enter","toggleDisplay","_leaveCb","cancelled","transition","_enterCb","nodeType","appearClass","appearToClass","appearActiveClass","beforeEnter","afterEnter","enterCancelled","beforeAppear","appear","afterAppear","appearCancelled","duration","transitionNode","isAppear","startClass","activeClass","toClass","beforeEnterHook","enterHook","afterEnterHook","enterCancelledHook","explicitEnterDuration","expectsCSS","userWantsControl","getHookArgumentsLength","show","pendingNode","_pending","isValidDuration","leave","rm","beforeLeave","afterLeave","leaveCancelled","delayLeave","explicitLeaveDuration","performLeave","invokerFns","_enter","patch","backend","removeNode","createElm","insertedVnodeQueue","parentElm","refElm","nested","ownerArray","isReactivated","initComponent","innerNode","activate","reactivateComponent","setScope","createChildren","invokeCreateHooks","pendingInsert","isPatchable","ref$$1","ancestor","addVnodes","startIdx","invokeDestroyHook","removeVnodes","ch","removeAndInvokeRemoveHook","childElm","createRmCb","findIdxInOld","oldCh","patchVnode","removeOnly","hydrate","newCh","oldKeyToIdx","idxInOld","vnodeToMove","oldStartIdx","newStartIdx","oldEndIdx","oldStartVnode","oldEndVnode","newEndIdx","newStartVnode","newEndVnode","canMove","updateChildren","postpatch","invokeInsertHook","initial","isRenderedModule","inVPre","hasChildNodes","childrenMatch","fullInvoke","isInitialPatch","isRealElement","hasAttribute","oldElm","patchable","i$2","createPatchFunction","vmodel","trigger","directive","binding","_vOptions","setSelected","getValue","onCompositionStart","onCompositionEnd","prevOptions","curOptions","some","o","hasNoMatchingOption","actuallySetSelected","isMultiple","option","selectedIndex","initEvent","dispatchEvent","locateNode","platformDirectives","transition$$1","originalDisplay","__vOriginalDisplay","display","unbind","transitionProps","mode","getRealChild","compOptions","extractTransitionData","placeholder","h","rawChild","isNotTextNode","isVShowDirective","Transition","hasParentTransition","_leaving","oldRawChild","oldChild","isSameChild","delayedLeave","moveClass","callPendingCbs","_moveCb","recordPosition","newPos","getBoundingClientRect","applyTranslation","oldPos","pos","dx","left","dy","top","moved","transform","WebkitTransform","transitionDuration","platformComponents","TransitionGroup","beforeMount","kept","prevChildren","rawChildren","transitionData","removed","c$1","updated","hasMove","_reflow","body","offsetHeight","propertyName","_hasMove","cloneNode","HTMLUnknownElement","HTMLElement","updateComponent","mountComponent","defaultTagRE","regexEscapeRE","buildRegex","delimiters","open","klass$1","staticKeys","transformNode","classBinding","genData","decoder","style$1","styleBinding","he","isUnaryTag","canBeLeftOpenTag","isNonPhrasingTag","attribute","dynamicArgAttribute","ncname","qnameCapture","startTagOpen","startTagClose","endTag","doctype","comment","conditionalComment","isPlainTextElement","reCache","decodingMap","encodedAttr","encodedAttrWithNewLines","isIgnoreNewlineTag","shouldIgnoreFirstNewline","decodeAttr","shouldDecodeNewlines","re","warn$2","transforms","preTransforms","postTransforms","platformIsPreTag","platformMustUseProp","platformGetTagNamespace","onRE","dirRE","forAliasRE","forIteratorRE","stripParensRE","dynamicArgRE","argRE","bindRE","modifierRE","slotRE","lineBreakRE","whitespaceRE$1","decodeHTMLCached","createASTElement","makeAttrsMap","rawAttrsMap","parse","template","isPreTag","root","currentParent","stack","preserveWhitespace","whitespaceOption","whitespace","inPre","closeElement","element","trimEndingWhitespace","processed","processElement","if","elseif","else","addIfCondition","block","forbidden","findPrevElement","slotScope","slotTarget","lastNode","lastTag","expectHTML","isUnaryTag$$1","canBeLeftOpenTag$$1","endTagLength","stackedTag","reStackedTag","rest$1","all","chars","parseEndTag","textEnd","commentEnd","shouldKeepComment","substring","advance","conditionalEnd","doctypeMatch","endTagMatch","curIndex","startTagMatch","parseStartTag","handleStartTag","rest","unarySlash","unary","shouldDecodeNewlinesForHref","lowerCasedTag","lowerCasedTagName","parseHTML","comments","outputSourceRange","start$1","ieNSBug","ieNSPrefix","guardIESVGBug","processPre","processRawAttrs","processFor","processIf","processOnce","end$1","tagRE","tokenValue","tokens","rawTokens","exec","parseText","processKey","for","checkInFor","processRef","slotTargetDynamic","getRawBindingAttr","slotBinding","getSlotName","slotBinding$1","ref$1","dynamic$1","slotContainer","processSlotContent","slotName","processComponent","syncGen","isDynamic","hasBindings","parseModifiers","camel","argMatch","processAttrs","inMatch","alias","iteratorMatch","iterator1","iterator2","parseFor","condition","ifConditions","cloneASTElement","modules$1","preTransformNode","typeBinding","ifCondition","ifConditionExtra","hasElse","elseIfCondition","branch0","branch1","branch2","isStaticKey","isPlatformReservedTag","baseOptions","_warn","code","genSelect","valueBinding","trueValueBinding","falseValueBinding","genCheckboxModel","genRadioModel","needCompositionGuard","genDefaultModel","reduce","genStaticKeys","genStaticKeysCached","optimize","markStatic$1","static","isDirectChildOfTemplateFor","l$1","markStaticRoots","staticInFor","staticRoot","fnExpRE","fnInvokeRE","simplePathRE","esc","tab","space","up","down","keyNames","genGuard","modifierCode","stop","prevent","ctrl","alt","meta","genHandlers","prefix","staticHandlers","dynamicHandlers","handlerCode","genHandler","isMethodPath","isFunctionExpression","isFunctionInvocation","genModifierCode","keyModifier","genFilterCode","genKeyFilter","keyVal","keyCode","keyName","baseDirectives","wrapListeners","wrapData","cloak","CodegenState","dataGenFns","maybeComponent","onceId","generate","ast","state","genElement","staticProcessed","genStatic","onceProcessed","genOnce","forProcessed","genFor","ifProcessed","genIf","genChildren","genProps","bind$$1","genSlot","componentName","genData$2","genComponent","originalPreState","altGen","altEmpty","genIfConditions","conditions","genTernaryExp","altHelper","needRuntime","hasRuntime","gen","genDirectives","containsSlotChild","needsKey","generatedSlots","genScopedSlot","inlineRenderFns","genInlineTemplate","isLegacySyntax","reverseProxy","checkSkip","altGenElement","altGenNode","el$1","normalizationType$1","needsNormalization","getNormalizationType","genNode","genComment","transformSpecialNewlines","genText","staticProps","dynamicProps","createFunction","errors","createCompileToFunctionFn","compile","compiled","fnGenErrors","baseCompile","div","finalOptions","tips","tip","compileToFunctions","getShouldDecode","href","idToTemplate","mount","outerHTML","container","getOuterHTML"],"mappings":"sFAAA,iCAC6B,oBAATA,MAAwBA,MAChCC,OACRC,EAAQC,SAASC,UAAUF,MAiB/B,SAASG,EAAQC,EAAIC,GACnBC,KAAKC,IAAMH,EACXE,KAAKE,SAAWH,EAflBI,EAAQC,WAAa,WACnB,OAAO,IAAIP,EAAQH,EAAMW,KAAKD,WAAYE,EAAOC,WAAYC,eAE/DL,EAAQM,YAAc,WACpB,OAAO,IAAIZ,EAAQH,EAAMW,KAAKI,YAAaH,EAAOC,WAAYG,gBAEhEP,EAAQK,aACRL,EAAQO,cAAgB,SAASC,GAC3BA,GACFA,EAAQC,SAQZf,EAAQD,UAAUiB,MAAQhB,EAAQD,UAAUkB,IAAM,aAClDjB,EAAQD,UAAUgB,MAAQ,WACxBZ,KAAKE,SAASG,KAAKC,EAAON,KAAKC,MAIjCE,EAAQY,OAAS,SAASC,EAAMC,GAC9BT,aAAaQ,EAAKE,gBAClBF,EAAKG,aAAeF,GAGtBd,EAAQiB,SAAW,SAASJ,GAC1BR,aAAaQ,EAAKE,gBAClBF,EAAKG,cAAgB,GAGvBhB,EAAQkB,aAAelB,EAAQmB,OAAS,SAASN,GAC/CR,aAAaQ,EAAKE,gBAElB,IAAID,EAAQD,EAAKG,aACbF,GAAS,IACXD,EAAKE,eAAiBd,YAAW,WAC3BY,EAAKO,YACPP,EAAKO,eACNN,KAKP,EAAQ,KAIRd,EAAQqB,aAAgC,oBAAThC,MAAwBA,KAAKgC,mBAClB,IAAXC,GAA0BA,EAAOD,cACxCxB,MAAQA,KAAKwB,aACrCrB,EAAQuB,eAAkC,oBAATlC,MAAwBA,KAAKkC,qBAClB,IAAXD,GAA0BA,EAAOC,gBACxC1B,MAAQA,KAAK0B,iB,uCC9DvC,6BACI,aAEA,IAAID,EAAOD,aAAX,CAIA,IAIIG,EA6HIC,EAZAC,EArBAC,EACAC,EAjGJC,EAAa,EACbC,EAAgB,GAChBC,GAAwB,EACxBC,EAAMV,EAAOW,SAoJbC,EAAWC,OAAOC,gBAAkBD,OAAOC,eAAed,GAC9DY,EAAWA,GAAYA,EAASjC,WAAaiC,EAAWZ,EAGf,qBAArC,GAAGe,SAASnC,KAAKoB,EAAOgB,SApFxBd,EAAoB,SAASe,GACzBD,EAAQE,UAAS,WAAcC,EAAaF,QAIpD,WAGI,GAAIjB,EAAOoB,cAAgBpB,EAAOqB,cAAe,CAC7C,IAAIC,GAA4B,EAC5BC,EAAevB,EAAOwB,UAM1B,OALAxB,EAAOwB,UAAY,WACfF,GAA4B,GAEhCtB,EAAOoB,YAAY,GAAI,KACvBpB,EAAOwB,UAAYD,EACZD,GAwEJG,GAIAzB,EAAO0B,iBA9CVtB,EAAU,IAAIsB,gBACVC,MAAMH,UAAY,SAASI,GAE/BT,EADaS,EAAMC,OAIvB3B,EAAoB,SAASe,GACzBb,EAAQ0B,MAAMV,YAAYH,KA2CvBP,GAAO,uBAAwBA,EAAIqB,cAAc,WAtCpD5B,EAAOO,EAAIsB,gBACf9B,EAAoB,SAASe,GAGzB,IAAIgB,EAASvB,EAAIqB,cAAc,UAC/BE,EAAOC,mBAAqB,WACxBf,EAAaF,GACbgB,EAAOC,mBAAqB,KAC5B/B,EAAKgC,YAAYF,GACjBA,EAAS,MAEb9B,EAAKiC,YAAYH,KAKrB/B,EAAoB,SAASe,GACzBtC,WAAWwC,EAAc,EAAGF,KAlD5BZ,EAAgB,gBAAkBgC,KAAKC,SAAW,IAClDhC,EAAkB,SAASsB,GACvBA,EAAMW,SAAWvC,GACK,iBAAf4B,EAAMC,MACyB,IAAtCD,EAAMC,KAAKW,QAAQnC,IACnBc,GAAcS,EAAMC,KAAKY,MAAMpC,EAAcqC,UAIjD1C,EAAO2C,iBACP3C,EAAO2C,iBAAiB,UAAWrC,GAAiB,GAEpDN,EAAO4C,YAAY,YAAatC,GAGpCJ,EAAoB,SAASe,GACzBjB,EAAOoB,YAAYf,EAAgBY,EAAQ,OAgEnDL,EAASb,aA1KT,SAAsB8C,GAEI,mBAAbA,IACTA,EAAW,IAAI3E,SAAS,GAAK2E,IAI/B,IADA,IAAIC,EAAO,IAAIC,MAAMjE,UAAU4D,OAAS,GAC/BM,EAAI,EAAGA,EAAIF,EAAKJ,OAAQM,IAC7BF,EAAKE,GAAKlE,UAAUkE,EAAI,GAG5B,IAAIC,EAAO,CAAEJ,SAAUA,EAAUC,KAAMA,GAGvC,OAFAtC,EAAcD,GAAc0C,EAC5B/C,EAAkBK,GACXA,KA6JTK,EAASX,eAAiBA,EA1J1B,SAASA,EAAegB,UACbT,EAAcS,GAyBzB,SAASE,EAAaF,GAGlB,GAAIR,EAGA9B,WAAWwC,EAAc,EAAGF,OACzB,CACH,IAAIgC,EAAOzC,EAAcS,GACzB,GAAIgC,EAAM,CACNxC,GAAwB,EACxB,KAjCZ,SAAawC,GACT,IAAIJ,EAAWI,EAAKJ,SAChBC,EAAOG,EAAKH,KAChB,OAAQA,EAAKJ,QACb,KAAK,EACDG,IACA,MACJ,KAAK,EACDA,EAASC,EAAK,IACd,MACJ,KAAK,EACDD,EAASC,EAAK,GAAIA,EAAK,IACvB,MACJ,KAAK,EACDD,EAASC,EAAK,GAAIA,EAAK,GAAIA,EAAK,IAChC,MACJ,QACID,EAAS5E,WAnDrB,EAmDsC6E,IAiBlBI,CAAID,GACN,QACEhD,EAAegB,GACfR,GAAwB,MAvE5C,CAyLkB,oBAAT1C,UAAyC,IAAXiC,EAAyBzB,KAAOyB,EAASjC,Q,wDCzLhF;;;;;;AAOA,IAAIoF,EAActC,OAAOuC,OAAO,IAIhC,SAASC,EAASC,GAChB,OAAOA,QAGT,SAASC,EAAOD,GACd,OAAOA,QAGT,SAASE,EAAQF,GACf,OAAa,IAANA,EAUT,SAASG,EAAaC,GACpB,MACmB,iBAAVA,GACU,iBAAVA,GAEU,iBAAVA,GACU,kBAAVA,EASX,SAASC,EAAUC,GACjB,OAAe,OAARA,GAA+B,iBAARA,EAMhC,IAAIC,EAAYhD,OAAO1C,UAAU4C,SAUjC,SAAS+C,EAAeF,GACtB,MAA+B,oBAAxBC,EAAUjF,KAAKgF,GAGxB,SAASG,EAAUT,GACjB,MAA6B,oBAAtBO,EAAUjF,KAAK0E,GAMxB,SAASU,EAAmBC,GAC1B,IAAIC,EAAIC,WAAWC,OAAOH,IAC1B,OAAOC,GAAK,GAAK7B,KAAKgC,MAAMH,KAAOA,GAAKI,SAASL,GAGnD,SAASM,EAAWN,GAClB,OACEV,EAAMU,IACc,mBAAbA,EAAIO,MACU,mBAAdP,EAAIQ,MAOf,SAAS1D,EAAUkD,GACjB,OAAc,MAAPA,EACH,GACAlB,MAAM2B,QAAQT,IAASH,EAAcG,IAAQA,EAAIlD,WAAa8C,EAC5Dc,KAAKC,UAAUX,EAAK,KAAM,GAC1BG,OAAOH,GAOf,SAASY,EAAUZ,GACjB,IAAIC,EAAIC,WAAWF,GACnB,OAAOa,MAAMZ,GAAKD,EAAMC,EAO1B,SAASa,EACPC,EACAC,GAIA,IAFA,IAAIC,EAAMrE,OAAOsE,OAAO,MACpBC,EAAOJ,EAAIK,MAAM,KACZrC,EAAI,EAAGA,EAAIoC,EAAK1C,OAAQM,IAC/BkC,EAAIE,EAAKpC,KAAM,EAEjB,OAAOiC,EACH,SAAUhB,GAAO,OAAOiB,EAAIjB,EAAIqB,gBAChC,SAAUrB,GAAO,OAAOiB,EAAIjB,IAMlC,IAAIsB,EAAeR,EAAQ,kBAAkB,GAKzCS,EAAsBT,EAAQ,8BAKlC,SAASU,EAAQC,EAAKnG,GACpB,GAAImG,EAAIhD,OAAQ,CACd,IAAIiD,EAAQD,EAAIlD,QAAQjD,GACxB,GAAIoG,GAAS,EACX,OAAOD,EAAIE,OAAOD,EAAO,IAQ/B,IAAIE,EAAiBhF,OAAO1C,UAAU0H,eACtC,SAASC,EAAQlC,EAAKmC,GACpB,OAAOF,EAAejH,KAAKgF,EAAKmC,GAMlC,SAASC,EAAQC,GACf,IAAIC,EAAQrF,OAAOsE,OAAO,MAC1B,OAAO,SAAoBH,GAEzB,OADUkB,EAAMlB,KACDkB,EAAMlB,GAAOiB,EAAGjB,KAOnC,IAAImB,EAAa,SACbC,EAAWJ,GAAO,SAAUhB,GAC9B,OAAOA,EAAIqB,QAAQF,GAAY,SAAUG,EAAGC,GAAK,OAAOA,EAAIA,EAAEC,cAAgB,SAM5EC,EAAaT,GAAO,SAAUhB,GAChC,OAAOA,EAAI0B,OAAO,GAAGF,cAAgBxB,EAAIvC,MAAM,MAM7CkE,EAAc,aACdC,EAAYZ,GAAO,SAAUhB,GAC/B,OAAOA,EAAIqB,QAAQM,EAAa,OAAOrB,iBA8BzC,IAAIuB,EAAO3I,SAASC,UAAU0I,KAJ9B,SAAqBZ,EAAIa,GACvB,OAAOb,EAAGY,KAAKC,IAfjB,SAAuBb,EAAIa,GACzB,SAASC,EAASC,GAChB,IAAIC,EAAInI,UAAU4D,OAClB,OAAOuE,EACHA,EAAI,EACFhB,EAAGhI,MAAM6I,EAAKhI,WACdmH,EAAGrH,KAAKkI,EAAKE,GACff,EAAGrH,KAAKkI,GAId,OADAC,EAAQG,QAAUjB,EAAGvD,OACdqE,GAcT,SAASI,EAAS/B,EAAMgC,GACtBA,EAAQA,GAAS,EAGjB,IAFA,IAAIpE,EAAIoC,EAAK1C,OAAS0E,EAClBC,EAAM,IAAItE,MAAMC,GACbA,KACLqE,EAAIrE,GAAKoC,EAAKpC,EAAIoE,GAEpB,OAAOC,EAMT,SAASC,EAAQC,EAAIC,GACnB,IAAK,IAAIzB,KAAOyB,EACdD,EAAGxB,GAAOyB,EAAMzB,GAElB,OAAOwB,EAMT,SAASE,EAAU/B,GAEjB,IADA,IAAIgC,EAAM,GACD1E,EAAI,EAAGA,EAAI0C,EAAIhD,OAAQM,IAC1B0C,EAAI1C,IACNsE,EAAOI,EAAKhC,EAAI1C,IAGpB,OAAO0E,EAUT,SAASC,EAAMX,EAAGY,EAAGrB,IAKrB,IAAIsB,EAAK,SAAUb,EAAGY,EAAGrB,GAAK,OAAO,GAOjCuB,EAAW,SAAUxB,GAAK,OAAOA,GAerC,SAASyB,EAAYf,EAAGY,GACtB,GAAIZ,IAAMY,EAAK,OAAO,EACtB,IAAII,EAAYrE,EAASqD,GACrBiB,EAAYtE,EAASiE,GACzB,IAAII,IAAaC,EAwBV,OAAKD,IAAcC,GACjB7D,OAAO4C,KAAO5C,OAAOwD,GAxB5B,IACE,IAAIM,EAAWnF,MAAM2B,QAAQsC,GACzBmB,EAAWpF,MAAM2B,QAAQkD,GAC7B,GAAIM,GAAYC,EACd,OAAOnB,EAAEtE,SAAWkF,EAAElF,QAAUsE,EAAEoB,OAAM,SAAUC,EAAGrF,GACnD,OAAO+E,EAAWM,EAAGT,EAAE5E,OAEpB,GAAIgE,aAAasB,MAAQV,aAAaU,KAC3C,OAAOtB,EAAEuB,YAAcX,EAAEW,UACpB,GAAKL,GAAaC,EAQvB,OAAO,EAPP,IAAIK,EAAQ3H,OAAO4H,KAAKzB,GACpB0B,EAAQ7H,OAAO4H,KAAKb,GACxB,OAAOY,EAAM9F,SAAWgG,EAAMhG,QAAU8F,EAAMJ,OAAM,SAAUrC,GAC5D,OAAOgC,EAAWf,EAAEjB,GAAM6B,EAAE7B,OAMhC,MAAOsC,GAEP,OAAO,GAcb,SAASM,EAAcjD,EAAKzB,GAC1B,IAAK,IAAIjB,EAAI,EAAGA,EAAI0C,EAAIhD,OAAQM,IAC9B,GAAI+E,EAAWrC,EAAI1C,GAAIiB,GAAQ,OAAOjB,EAExC,OAAQ,EAMV,SAAS4F,EAAM3C,GACb,IAAI4C,GAAS,EACb,OAAO,WACAA,IACHA,GAAS,EACT5C,EAAGhI,MAAMM,KAAMO,aAKrB,IAEIgK,EAAc,CAChB,YACA,YACA,UAGEC,EAAkB,CACpB,eACA,UACA,cACA,UACA,eACA,UACA,gBACA,YACA,YACA,cACA,gBACA,kBAOEC,EAAS,CAKXC,sBAAuBpI,OAAOsE,OAAO,MAKrC+D,QAAQ,EAKRC,eAAe,EAKfC,UAAU,EAKVC,aAAa,EAKbC,aAAc,KAKdC,YAAa,KAKbC,gBAAiB,GAMjBC,SAAU5I,OAAOsE,OAAO,MAMxBuE,cAAe7B,EAMf8B,eAAgB9B,EAMhB+B,iBAAkB/B,EAKlBgC,gBAAiBlC,EAKjBmC,qBAAsBhC,EAMtBiC,YAAalC,EAMbmC,OAAO,EAKPC,gBAAiBlB,GAUfmB,EAAgB,8JAKpB,SAASC,EAAYnF,GACnB,IAAIuB,GAAKvB,EAAM,IAAIoF,WAAW,GAC9B,OAAa,KAAN7D,GAAoB,KAANA,EAMvB,SAAS8D,EAAKzG,EAAKmC,EAAK9B,EAAKqG,GAC3BzJ,OAAO0J,eAAe3G,EAAKmC,EAAK,CAC9BrC,MAAOO,EACPqG,aAAcA,EACdE,UAAU,EACVC,cAAc,IAOlB,IAAIC,EAAS,IAAIC,OAAQ,KAAQT,EAAoB,OAAI,WAkBzD,IAmCIU,EAnCAC,EAAW,aAAe,GAG1BC,EAA8B,oBAAX9M,OACnB+M,EAAkC,oBAAlBC,iBAAmCA,cAAcC,SACjEC,EAAeH,GAAUC,cAAcC,SAAS3F,cAChD6F,EAAKL,GAAa9M,OAAOoN,UAAUC,UAAU/F,cAC7CgG,EAAOH,GAAM,eAAeI,KAAKJ,GACjCK,EAAQL,GAAMA,EAAG3I,QAAQ,YAAc,EACvCiJ,EAASN,GAAMA,EAAG3I,QAAQ,SAAW,EAErCkJ,IADaP,GAAMA,EAAG3I,QAAQ,WACrB2I,GAAM,uBAAuBI,KAAKJ,IAA0B,QAAjBD,GAGpDS,IAFWR,GAAM,cAAcI,KAAKJ,GACtBA,GAAM,YAAYI,KAAKJ,GAC9BA,GAAMA,EAAGS,MAAM,mBAGtBC,GAAc,GAAKC,MAEnBC,IAAkB,EACtB,GAAIjB,EACF,IACE,IAAIkB,GAAO,GACXnL,OAAO0J,eAAeyB,GAAM,UAAW,CACrCC,IAAK,WAEHF,IAAkB,KAGtB/N,OAAO2E,iBAAiB,eAAgB,KAAMqJ,IAC9C,MAAO3D,IAMX,IAAI6D,GAAoB,WAWtB,YAVkBC,IAAdvB,IAOAA,GALGE,IAAcC,QAA4B,IAAX/K,IAGtBA,EAAgB,SAAuC,WAAlCA,EAAgB,QAAEoM,IAAIC,UAKpDzB,GAILxB,GAAW0B,GAAa9M,OAAOsO,6BAGnC,SAASC,GAAUC,GACjB,MAAuB,mBAATA,GAAuB,cAAcjB,KAAKiB,EAAKzL,YAG/D,IAII0L,GAJAC,GACgB,oBAAXC,QAA0BJ,GAASI,SACvB,oBAAZC,SAA2BL,GAASK,QAAQC,SAMnDJ,GAFiB,oBAARK,KAAuBP,GAASO,KAElCA,IAGc,WACnB,SAASA,IACPvO,KAAKwO,IAAMlM,OAAOsE,OAAO,MAY3B,OAVA2H,EAAI3O,UAAU6O,IAAM,SAAcjH,GAChC,OAAyB,IAAlBxH,KAAKwO,IAAIhH,IAElB+G,EAAI3O,UAAU8O,IAAM,SAAclH,GAChCxH,KAAKwO,IAAIhH,IAAO,GAElB+G,EAAI3O,UAAU+O,MAAQ,WACpB3O,KAAKwO,IAAMlM,OAAOsE,OAAO,OAGpB2H,EAdW,GAoBtB,IAAIK,GAAOxF,EA8FPyF,GAAM,EAMNC,GAAM,WACR9O,KAAKF,GAAK+O,KACV7O,KAAK+O,KAAO,IAGdD,GAAIlP,UAAUoP,OAAS,SAAiBC,GACtCjP,KAAK+O,KAAKG,KAAKD,IAGjBH,GAAIlP,UAAUuP,UAAY,SAAoBF,GAC5C/H,EAAOlH,KAAK+O,KAAME,IAGpBH,GAAIlP,UAAUwP,OAAS,WACjBN,GAAIO,QACNP,GAAIO,OAAOC,OAAOtP,OAItB8O,GAAIlP,UAAU2P,OAAS,WAErB,IAAIR,EAAO/O,KAAK+O,KAAK7K,QAOrB,IAAK,IAAIO,EAAI,EAAGiE,EAAIqG,EAAK5K,OAAQM,EAAIiE,EAAGjE,IACtCsK,EAAKtK,GAAG+K,UAOZV,GAAIO,OAAS,KACb,IAAII,GAAc,GAElB,SAASC,GAAYL,GACnBI,GAAYP,KAAKG,GACjBP,GAAIO,OAASA,EAGf,SAASM,KACPF,GAAYG,MACZd,GAAIO,OAASI,GAAYA,GAAYtL,OAAS,GAKhD,IAAI0L,GAAQ,SACVC,EACAxM,EACAyM,EACAC,EACAC,EACAC,EACAC,EACAC,GAEApQ,KAAK8P,IAAMA,EACX9P,KAAKsD,KAAOA,EACZtD,KAAK+P,SAAWA,EAChB/P,KAAKgQ,KAAOA,EACZhQ,KAAKiQ,IAAMA,EACXjQ,KAAKqQ,QAAKzC,EACV5N,KAAKkQ,QAAUA,EACflQ,KAAKsQ,eAAY1C,EACjB5N,KAAKuQ,eAAY3C,EACjB5N,KAAKwQ,eAAY5C,EACjB5N,KAAKwH,IAAMlE,GAAQA,EAAKkE,IACxBxH,KAAKmQ,iBAAmBA,EACxBnQ,KAAKyQ,uBAAoB7C,EACzB5N,KAAK0Q,YAAS9C,EACd5N,KAAK2Q,KAAM,EACX3Q,KAAK4Q,UAAW,EAChB5Q,KAAK6Q,cAAe,EACpB7Q,KAAK8Q,WAAY,EACjB9Q,KAAK+Q,UAAW,EAChB/Q,KAAKgR,QAAS,EACdhR,KAAKoQ,aAAeA,EACpBpQ,KAAKiR,eAAYrD,EACjB5N,KAAKkR,oBAAqB,GAGxBC,GAAqB,CAAEC,MAAO,CAAElF,cAAc,IAIlDiF,GAAmBC,MAAM1D,IAAM,WAC7B,OAAO1N,KAAKyQ,mBAGdnO,OAAO+O,iBAAkBxB,GAAMjQ,UAAWuR,IAE1C,IAAIG,GAAmB,SAAUtB,QACjB,IAATA,IAAkBA,EAAO,IAE9B,IAAIuB,EAAO,IAAI1B,GAGf,OAFA0B,EAAKvB,KAAOA,EACZuB,EAAKT,WAAY,EACVS,GAGT,SAASC,GAAiB9L,GACxB,OAAO,IAAImK,QAAMjC,OAAWA,OAAWA,EAAW/H,OAAOH,IAO3D,SAAS+L,GAAYC,GACnB,IAAIC,EAAS,IAAI9B,GACf6B,EAAM5B,IACN4B,EAAMpO,KAINoO,EAAM3B,UAAY2B,EAAM3B,SAAS7L,QACjCwN,EAAM1B,KACN0B,EAAMzB,IACNyB,EAAMxB,QACNwB,EAAMvB,iBACNuB,EAAMtB,cAWR,OATAuB,EAAOtB,GAAKqB,EAAMrB,GAClBsB,EAAOf,SAAWc,EAAMd,SACxBe,EAAOnK,IAAMkK,EAAMlK,IACnBmK,EAAOb,UAAYY,EAAMZ,UACzBa,EAAOrB,UAAYoB,EAAMpB,UACzBqB,EAAOpB,UAAYmB,EAAMnB,UACzBoB,EAAOnB,UAAYkB,EAAMlB,UACzBmB,EAAOV,UAAYS,EAAMT,UACzBU,EAAOZ,UAAW,EACXY,EAQT,IAAIC,GAAapN,MAAM5E,UACnBiS,GAAevP,OAAOsE,OAAOgL,IAEZ,CACnB,OACA,MACA,QACA,UACA,SACA,OACA,WAMaE,SAAQ,SAAUC,GAE/B,IAAIC,EAAWJ,GAAWG,GAC1BjG,EAAI+F,GAAcE,GAAQ,WAExB,IADA,IAAIxN,EAAO,GAAI0N,EAAM1R,UAAU4D,OACvB8N,KAAQ1N,EAAM0N,GAAQ1R,UAAW0R,GAEzC,IAEIC,EAFAC,EAASH,EAAStS,MAAMM,KAAMuE,GAC9B6N,EAAKpS,KAAKqS,OAEd,OAAQN,GACN,IAAK,OACL,IAAK,UACHG,EAAW3N,EACX,MACF,IAAK,SACH2N,EAAW3N,EAAKL,MAAM,GAM1B,OAHIgO,GAAYE,EAAGE,aAAaJ,GAEhCE,EAAGG,IAAIhD,SACA4C,QAMX,IAAIK,GAAYlQ,OAAOmQ,oBAAoBZ,IAMvCa,IAAgB,EAEpB,SAASC,GAAiBxN,GACxBuN,GAAgBvN,EASlB,IAAIyN,GAAW,SAAmBzN,GAChCnF,KAAKmF,MAAQA,EACbnF,KAAKuS,IAAM,IAAIzD,GACf9O,KAAK6S,QAAU,EACf/G,EAAI3G,EAAO,SAAUnF,MACjBwE,MAAM2B,QAAQhB,IACZmH,EAsCR,SAAuB+C,EAAQyD,GAE7BzD,EAAO0D,UAAYD,EAvCfE,CAAa7N,EAAO0M,IAgD1B,SAAsBxC,EAAQyD,EAAK5I,GACjC,IAAK,IAAIzF,EAAI,EAAGiE,EAAIwB,EAAK/F,OAAQM,EAAIiE,EAAGjE,IAAK,CAC3C,IAAI+C,EAAM0C,EAAKzF,GACfqH,EAAIuD,EAAQ7H,EAAKsL,EAAItL,KAjDnByL,CAAY9N,EAAO0M,GAAcW,IAEnCxS,KAAKsS,aAAanN,IAElBnF,KAAKkT,KAAK/N,IAsDd,SAASgO,GAAShO,EAAOiO,GAIvB,IAAIhB,EAHJ,GAAKhN,EAASD,MAAUA,aAAiB0K,IAkBzC,OAdItI,EAAOpC,EAAO,WAAaA,EAAMkN,kBAAkBO,GACrDR,EAAKjN,EAAMkN,OAEXK,KACC/E,OACAnJ,MAAM2B,QAAQhB,IAAUI,EAAcJ,KACvC7C,OAAO+Q,aAAalO,KACnBA,EAAMmO,SAEPlB,EAAK,IAAIQ,GAASzN,IAEhBiO,GAAchB,GAChBA,EAAGS,UAEET,EAMT,SAASmB,GACPlO,EACAmC,EACA9B,EACA8N,EACAC,GAEA,IAAIlB,EAAM,IAAIzD,GAEV4E,EAAWpR,OAAOqR,yBAAyBtO,EAAKmC,GACpD,IAAIkM,IAAsC,IAA1BA,EAASxH,aAAzB,CAKA,IAAI0H,EAASF,GAAYA,EAAShG,IAC9BmG,EAASH,GAAYA,EAASlF,IAC5BoF,IAAUC,GAAgC,IAArBtT,UAAU4D,SACnCuB,EAAML,EAAImC,IAGZ,IAAIsM,GAAWL,GAAWN,GAAQzN,GAClCpD,OAAO0J,eAAe3G,EAAKmC,EAAK,CAC9BuE,YAAY,EACZG,cAAc,EACdwB,IAAK,WACH,IAAIvI,EAAQyO,EAASA,EAAOvT,KAAKgF,GAAOK,EAUxC,OATIoJ,GAAIO,SACNkD,EAAInD,SACA0E,IACFA,EAAQvB,IAAInD,SACR5K,MAAM2B,QAAQhB,IAChB4O,GAAY5O,KAIXA,GAETqJ,IAAK,SAAyBwF,GAC5B,IAAI7O,EAAQyO,EAASA,EAAOvT,KAAKgF,GAAOK,EAEpCsO,IAAW7O,GAAU6O,GAAWA,GAAU7O,GAAUA,GAQpDyO,IAAWC,IACXA,EACFA,EAAOxT,KAAKgF,EAAK2O,GAEjBtO,EAAMsO,EAERF,GAAWL,GAAWN,GAAQa,GAC9BzB,EAAIhD,cAUV,SAASf,GAAKa,EAAQ7H,EAAK9B,GAMzB,GAAIlB,MAAM2B,QAAQkJ,IAAW5J,EAAkB+B,GAG7C,OAFA6H,EAAOlL,OAASL,KAAKmQ,IAAI5E,EAAOlL,OAAQqD,GACxC6H,EAAOhI,OAAOG,EAAK,EAAG9B,GACfA,EAET,GAAI8B,KAAO6H,KAAY7H,KAAOlF,OAAO1C,WAEnC,OADAyP,EAAO7H,GAAO9B,EACPA,EAET,IAAI0M,EAAK,EAASC,OAClB,OAAIhD,EAAOiE,QAAWlB,GAAMA,EAAGS,QAKtBnN,EAEJ0M,GAILmB,GAAkBnB,EAAGjN,MAAOqC,EAAK9B,GACjC0M,EAAGG,IAAIhD,SACA7J,IALL2J,EAAO7H,GAAO9B,EACPA,GAUX,SAASwO,GAAK7E,EAAQ7H,GAMpB,GAAIhD,MAAM2B,QAAQkJ,IAAW5J,EAAkB+B,GAC7C6H,EAAOhI,OAAOG,EAAK,OADrB,CAIA,IAAI4K,EAAK,EAASC,OACdhD,EAAOiE,QAAWlB,GAAMA,EAAGS,SAO1BtL,EAAO8H,EAAQ7H,YAGb6H,EAAO7H,GACT4K,GAGLA,EAAGG,IAAIhD,WAOT,SAASwE,GAAa5O,GACpB,IAAK,IAAI2E,OAAI,EAAUrF,EAAI,EAAGiE,EAAIvD,EAAMhB,OAAQM,EAAIiE,EAAGjE,KACrDqF,EAAI3E,EAAMV,KACLqF,EAAEuI,QAAUvI,EAAEuI,OAAOE,IAAInD,SAC1B5K,MAAM2B,QAAQ2D,IAChBiK,GAAYjK,GAhNlB8I,GAAShT,UAAUsT,KAAO,SAAe7N,GAEvC,IADA,IAAI6E,EAAO5H,OAAO4H,KAAK7E,GACdZ,EAAI,EAAGA,EAAIyF,EAAK/F,OAAQM,IAC/B8O,GAAkBlO,EAAK6E,EAAKzF,KAOhCmO,GAAShT,UAAU0S,aAAe,SAAuB6B,GACvD,IAAK,IAAI1P,EAAI,EAAGiE,EAAIyL,EAAMhQ,OAAQM,EAAIiE,EAAGjE,IACvC0O,GAAQgB,EAAM1P,KAgNlB,IAAI2P,GAAS3J,EAAOC,sBAoBpB,SAAS2J,GAAWrL,EAAIsL,GACtB,IAAKA,EAAQ,OAAOtL,EAOpB,IANA,IAAIxB,EAAK+M,EAAOC,EAEZtK,EAAOiE,GACPE,QAAQC,QAAQgG,GAChBhS,OAAO4H,KAAKoK,GAEP7P,EAAI,EAAGA,EAAIyF,EAAK/F,OAAQM,IAGnB,YAFZ+C,EAAM0C,EAAKzF,MAGX8P,EAAQvL,EAAGxB,GACXgN,EAAUF,EAAK9M,GACVD,EAAOyB,EAAIxB,GAGd+M,IAAUC,GACVjP,EAAcgP,IACdhP,EAAciP,IAEdH,GAAUE,EAAOC,GANjBhG,GAAIxF,EAAIxB,EAAKgN,IASjB,OAAOxL,EAMT,SAASyL,GACPC,EACAC,EACAC,GAEA,OAAKA,EAoBI,WAEL,IAAIC,EAAmC,mBAAbF,EACtBA,EAAStU,KAAKuU,EAAIA,GAClBD,EACAG,EAAmC,mBAAdJ,EACrBA,EAAUrU,KAAKuU,EAAIA,GACnBF,EACJ,OAAIG,EACKR,GAAUQ,EAAcC,GAExBA,GA7BNH,EAGAD,EAQE,WACL,OAAOL,GACe,mBAAbM,EAA0BA,EAAStU,KAAKL,KAAMA,MAAQ2U,EACxC,mBAAdD,EAA2BA,EAAUrU,KAAKL,KAAMA,MAAQ0U,IAV1DC,EAHAD,EA2Db,SAASK,GACPL,EACAC,GAEA,IAAIxL,EAAMwL,EACND,EACEA,EAAUM,OAAOL,GACjBnQ,MAAM2B,QAAQwO,GACZA,EACA,CAACA,GACLD,EACJ,OAAOvL,EAKT,SAAsB8L,GAEpB,IADA,IAAI9L,EAAM,GACD1E,EAAI,EAAGA,EAAIwQ,EAAM9Q,OAAQM,KACD,IAA3B0E,EAAIlF,QAAQgR,EAAMxQ,KACpB0E,EAAI+F,KAAK+F,EAAMxQ,IAGnB,OAAO0E,EAXH+L,CAAY/L,GACZA,EAwBN,SAASgM,GACPT,EACAC,EACAC,EACApN,GAEA,IAAI2B,EAAM7G,OAAOsE,OAAO8N,GAAa,MACrC,OAAIC,EAEK5L,EAAOI,EAAKwL,GAEZxL,EAzEXiL,GAAO9Q,KAAO,SACZoR,EACAC,EACAC,GAEA,OAAKA,EAcEH,GAAcC,EAAWC,EAAUC,GAbpCD,GAAgC,mBAAbA,EAQdD,EAEFD,GAAcC,EAAWC,IAmCpCnK,EAAgBsH,SAAQ,SAAUsD,GAChChB,GAAOgB,GAAQL,MAyBjBxK,EAAYuH,SAAQ,SAAUuD,GAC5BjB,GAAOiB,EAAO,KAAOF,MASvBf,GAAO7G,MAAQ,SACbmH,EACAC,EACAC,EACApN,GAMA,GAHIkN,IAAcpH,KAAeoH,OAAY9G,GACzC+G,IAAarH,KAAeqH,OAAW/G,IAEtC+G,EAAY,OAAOrS,OAAOsE,OAAO8N,GAAa,MAInD,IAAKA,EAAa,OAAOC,EACzB,IAAI7L,EAAM,GAEV,IAAK,IAAIwM,KADTvM,EAAOD,EAAK4L,GACMC,EAAU,CAC1B,IAAIjE,EAAS5H,EAAIwM,GACblE,EAAQuD,EAASW,GACjB5E,IAAWlM,MAAM2B,QAAQuK,KAC3BA,EAAS,CAACA,IAEZ5H,EAAIwM,GAAS5E,EACTA,EAAOsE,OAAO5D,GACd5M,MAAM2B,QAAQiL,GAASA,EAAQ,CAACA,GAEtC,OAAOtI,GAMTsL,GAAOmB,MACPnB,GAAOoB,QACPpB,GAAOqB,OACPrB,GAAOsB,SAAW,SAChBhB,EACAC,EACAC,EACApN,GAKA,IAAKkN,EAAa,OAAOC,EACzB,IAAI7L,EAAMxG,OAAOsE,OAAO,MAGxB,OAFAmC,EAAOD,EAAK4L,GACRC,GAAY5L,EAAOD,EAAK6L,GACrB7L,GAETsL,GAAOuB,QAAUlB,GAKjB,IAAImB,GAAe,SAAUlB,EAAWC,GACtC,YAAoB/G,IAAb+G,EACHD,EACAC,GAyHN,SAASkB,GACPnF,EACAU,EACAwD,GAkBA,GAZqB,mBAAVxD,IACTA,EAAQA,EAAM0E,SApGlB,SAAyBA,EAASlB,GAChC,IAAIW,EAAQO,EAAQP,MACpB,GAAKA,EAAL,CACA,IACI9Q,EAAGiB,EADHyD,EAAM,GAEV,GAAI3E,MAAM2B,QAAQoP,GAEhB,IADA9Q,EAAI8Q,EAAMpR,OACHM,KAEc,iBADnBiB,EAAM6P,EAAM9Q,MAGV0E,EADOtB,EAASnC,IACJ,CAAE2P,KAAM,YAKnB,GAAI9P,EAAcgQ,GACvB,IAAK,IAAI/N,KAAO+N,EACd7P,EAAM6P,EAAM/N,GAEZ2B,EADOtB,EAASL,IACJjC,EAAcG,GACtBA,EACA,CAAE2P,KAAM3P,QAEL,EAOXoQ,EAAQP,MAAQpM,GAwEhB4M,CAAe3E,GAlEjB,SAA0B0E,EAASlB,GACjC,IAAIa,EAASK,EAAQL,OACrB,GAAKA,EAAL,CACA,IAAIO,EAAaF,EAAQL,OAAS,GAClC,GAAIjR,MAAM2B,QAAQsP,GAChB,IAAK,IAAIhR,EAAI,EAAGA,EAAIgR,EAAOtR,OAAQM,IACjCuR,EAAWP,EAAOhR,IAAM,CAAE6P,KAAMmB,EAAOhR,SAEpC,GAAIc,EAAckQ,GACvB,IAAK,IAAIjO,KAAOiO,EAAQ,CACtB,IAAI/P,EAAM+P,EAAOjO,GACjBwO,EAAWxO,GAAOjC,EAAcG,GAC5BqD,EAAO,CAAEuL,KAAM9M,GAAO9B,GACtB,CAAE4O,KAAM5O,QAEL,GAoDXuQ,CAAgB7E,GAxClB,SAA8B0E,GAC5B,IAAII,EAAOJ,EAAQK,WACnB,GAAID,EACF,IAAK,IAAI1O,KAAO0O,EAAM,CACpB,IAAIE,EAASF,EAAK1O,GACI,mBAAX4O,IACTF,EAAK1O,GAAO,CAAEc,KAAM8N,EAAQ5G,OAAQ4G,KAmC1CC,CAAoBjF,IAMfA,EAAMkF,QACLlF,EAAMmF,UACR7F,EAASmF,GAAanF,EAAQU,EAAMmF,QAAS3B,IAE3CxD,EAAMoF,QACR,IAAK,IAAI/R,EAAI,EAAGiE,EAAI0I,EAAMoF,OAAOrS,OAAQM,EAAIiE,EAAGjE,IAC9CiM,EAASmF,GAAanF,EAAQU,EAAMoF,OAAO/R,GAAImQ,GAKrD,IACIpN,EADAsO,EAAU,GAEd,IAAKtO,KAAOkJ,EACV+F,EAAWjP,GAEb,IAAKA,KAAO4J,EACL7J,EAAOmJ,EAAQlJ,IAClBiP,EAAWjP,GAGf,SAASiP,EAAYjP,GACnB,IAAIkP,EAAQtC,GAAO5M,IAAQoO,GAC3BE,EAAQtO,GAAOkP,EAAMhG,EAAOlJ,GAAM4J,EAAM5J,GAAMoN,EAAIpN,GAEpD,OAAOsO,EAQT,SAASa,GACPb,EACAT,EACAvV,EACA8W,GAGA,GAAkB,iBAAP9W,EAAX,CAGA,IAAI+W,EAASf,EAAQT,GAErB,GAAI9N,EAAOsP,EAAQ/W,GAAO,OAAO+W,EAAO/W,GACxC,IAAIgX,EAAcjP,EAAS/H,GAC3B,GAAIyH,EAAOsP,EAAQC,GAAgB,OAAOD,EAAOC,GACjD,IAAIC,EAAe7O,EAAW4O,GAC9B,OAAIvP,EAAOsP,EAAQE,GAAwBF,EAAOE,GAExCF,EAAO/W,IAAO+W,EAAOC,IAAgBD,EAAOE,IAcxD,SAASC,GACPxP,EACAyP,EACAC,EACAtC,GAEA,IAAIuC,EAAOF,EAAYzP,GACnB4P,GAAU7P,EAAO2P,EAAW1P,GAC5BrC,EAAQ+R,EAAU1P,GAElB6P,EAAeC,GAAaC,QAASJ,EAAK9B,MAC9C,GAAIgC,GAAgB,EAClB,GAAID,IAAW7P,EAAO4P,EAAM,WAC1BhS,GAAQ,OACH,GAAc,KAAVA,GAAgBA,IAAUkD,EAAUb,GAAM,CAGnD,IAAIgQ,EAAcF,GAAazR,OAAQsR,EAAK9B,OACxCmC,EAAc,GAAKH,EAAeG,KACpCrS,GAAQ,GAKd,QAAcyI,IAAVzI,EAAqB,CACvBA,EAqBJ,SAA8ByP,EAAIuC,EAAM3P,GAEtC,IAAKD,EAAO4P,EAAM,WAChB,OAEF,IAAIrL,EAAMqL,EAAKM,QAEX,EAUJ,GAAI7C,GAAMA,EAAG8C,SAASR,gBACWtJ,IAA/BgH,EAAG8C,SAASR,UAAU1P,SACHoG,IAAnBgH,EAAG+C,OAAOnQ,GAEV,OAAOoN,EAAG+C,OAAOnQ,GAInB,MAAsB,mBAARsE,GAA6C,aAAvB8L,GAAQT,EAAK9B,MAC7CvJ,EAAIzL,KAAKuU,GACT9I,EAhDM+L,CAAoBjD,EAAIuC,EAAM3P,GAGtC,IAAIsQ,EAAoBpF,GACxBC,IAAgB,GAChBQ,GAAQhO,GACRwN,GAAgBmF,GASlB,OAAO3S,EAsHT,SAASyS,GAASlQ,GAChB,IAAI2F,EAAQ3F,GAAMA,EAAGlF,WAAW6K,MAAM,sBACtC,OAAOA,EAAQA,EAAM,GAAK,GAG5B,SAAS0K,GAAYtP,EAAGY,GACtB,OAAOuO,GAAQnP,KAAOmP,GAAQvO,GAGhC,SAASiO,GAAcjC,EAAM2C,GAC3B,IAAKxT,MAAM2B,QAAQ6R,GACjB,OAAOD,GAAWC,EAAe3C,GAAQ,GAAK,EAEhD,IAAK,IAAI5Q,EAAI,EAAGwN,EAAM+F,EAAc7T,OAAQM,EAAIwN,EAAKxN,IACnD,GAAIsT,GAAWC,EAAcvT,GAAI4Q,GAC/B,OAAO5Q,EAGX,OAAQ,EAgDV,SAASwT,GAAaC,EAAKtD,EAAIuD,GAG7BzI,KACA,IACE,GAAIkF,EAEF,IADA,IAAIwD,EAAMxD,EACFwD,EAAMA,EAAIC,SAAU,CAC1B,IAAIpD,EAAQmD,EAAIV,SAASY,cACzB,GAAIrD,EACF,IAAK,IAAIxQ,EAAI,EAAGA,EAAIwQ,EAAM9Q,OAAQM,IAChC,IAEE,IADoD,IAAtCwQ,EAAMxQ,GAAGpE,KAAK+X,EAAKF,EAAKtD,EAAIuD,GAC3B,OACf,MAAOrO,GACPyO,GAAkBzO,EAAGsO,EAAK,uBAMpCG,GAAkBL,EAAKtD,EAAIuD,GAC3B,QACAxI,MAIJ,SAAS6I,GACPC,EACAvI,EACA3L,EACAqQ,EACAuD,GAEA,IAAIhP,EACJ,KACEA,EAAM5E,EAAOkU,EAAQ/Y,MAAMwQ,EAAS3L,GAAQkU,EAAQpY,KAAK6P,MAC7C/G,EAAImK,QAAUtN,EAAUmD,KAASA,EAAIuP,WAC/CvP,EAAIjD,OAAM,SAAU4D,GAAK,OAAOmO,GAAYnO,EAAG8K,EAAIuD,EAAO,uBAG1DhP,EAAIuP,UAAW,GAEjB,MAAO5O,GACPmO,GAAYnO,EAAG8K,EAAIuD,GAErB,OAAOhP,EAGT,SAASoP,GAAmBL,EAAKtD,EAAIuD,GACnC,GAAI1N,EAAOM,aACT,IACE,OAAON,EAAOM,aAAa1K,KAAK,KAAM6X,EAAKtD,EAAIuD,GAC/C,MAAOrO,GAGHA,IAAMoO,GACRS,GAAS7O,EAAG,KAAM,uBAIxB6O,GAAST,EAAKtD,EAAIuD,GAGpB,SAASQ,GAAUT,EAAKtD,EAAIuD,GAK1B,IAAK5L,IAAaC,GAA8B,oBAAZoM,QAGlC,MAAMV,EAFNU,QAAQC,MAAMX,GAQlB,IAyBIY,GAzBAC,IAAmB,EAEnBC,GAAY,GACZC,IAAU,EAEd,SAASC,KACPD,IAAU,EACV,IAAIE,EAASH,GAAU9U,MAAM,GAC7B8U,GAAU7U,OAAS,EACnB,IAAK,IAAIM,EAAI,EAAGA,EAAI0U,EAAOhV,OAAQM,IACjC0U,EAAO1U,KAwBX,GAAuB,oBAAZ2U,SAA2BpL,GAASoL,SAAU,CACvD,IAAIC,GAAID,QAAQE,UAChBR,GAAY,WACVO,GAAEpT,KAAKiT,IAMH/L,IAAS/M,WAAWgJ,IAE1B2P,IAAmB,OACd,GAAKhM,GAAoC,oBAArBwM,mBACzBvL,GAASuL,mBAEuB,yCAAhCA,iBAAiB/W,WAoBjBsW,QAJiC,IAAjBtX,GAAgCwM,GAASxM,GAI7C,WACVA,EAAa0X,KAIH,WACV9Y,WAAW8Y,GAAgB,QAzB5B,CAID,IAAIM,GAAU,EACVC,GAAW,IAAIF,iBAAiBL,IAChCQ,GAAWtX,SAASuX,eAAe9T,OAAO2T,KAC9CC,GAAStG,QAAQuG,GAAU,CACzBE,eAAe,IAEjBd,GAAY,WACVU,IAAWA,GAAU,GAAK,EAC1BE,GAASpW,KAAOuC,OAAO2T,KAEzBT,IAAmB,EAerB,SAASpW,GAAUkX,EAAItR,GACrB,IAAIuR,EAiBJ,GAhBAd,GAAU9J,MAAK,WACb,GAAI2K,EACF,IACEA,EAAGxZ,KAAKkI,GACR,MAAOuB,GACPmO,GAAYnO,EAAGvB,EAAK,iBAEbuR,GACTA,EAASvR,MAGR0Q,KACHA,IAAU,EACVH,OAGGe,GAAyB,oBAAZT,QAChB,OAAO,IAAIA,SAAQ,SAAUE,GAC3BQ,EAAWR,KAwHjB,IAAIS,GAAc,IAAI7L,GAOtB,SAAS8L,GAAUtU,IAKnB,SAASuU,EAAWvU,EAAKwU,GACvB,IAAIzV,EAAGyF,EACHiQ,EAAM3V,MAAM2B,QAAQT,GACxB,IAAMyU,IAAQ/U,EAASM,IAASpD,OAAO8X,SAAS1U,IAAQA,aAAemK,GACrE,OAEF,GAAInK,EAAI2M,OAAQ,CACd,IAAIgI,EAAQ3U,EAAI2M,OAAOE,IAAIzS,GAC3B,GAAIoa,EAAKzL,IAAI4L,GACX,OAEFH,EAAKxL,IAAI2L,GAEX,GAAIF,EAEF,IADA1V,EAAIiB,EAAIvB,OACDM,KAAOwV,EAAUvU,EAAIjB,GAAIyV,QAIhC,IAFAhQ,EAAO5H,OAAO4H,KAAKxE,GACnBjB,EAAIyF,EAAK/F,OACFM,KAAOwV,EAAUvU,EAAIwE,EAAKzF,IAAKyV,GAvBxCD,CAAUvU,EAAKqU,IACfA,GAAYpL,QA4Bd,IAAI2L,GAAiB7S,GAAO,SAAU8S,GACpC,IAAIC,EAA6B,MAAnBD,EAAKpS,OAAO,GAEtBsS,EAA6B,OADjCF,EAAOC,EAAUD,EAAKrW,MAAM,GAAKqW,GACdpS,OAAO,GAEtBuS,EAA6B,OADjCH,EAAOE,EAAUF,EAAKrW,MAAM,GAAKqW,GACdpS,OAAO,GAE1B,MAAO,CACLoS,KAFFA,EAAOG,EAAUH,EAAKrW,MAAM,GAAKqW,EAG/BlQ,KAAMoQ,EACNC,QAASA,EACTF,QAASA,MAIb,SAASG,GAAiBC,EAAKhG,GAC7B,SAASiG,IACP,IAAIC,EAAcva,UAEdqa,EAAMC,EAAQD,IAClB,IAAIpW,MAAM2B,QAAQyU,GAOhB,OAAOpC,GAAwBoC,EAAK,KAAMra,UAAWqU,EAAI,gBALzD,IADA,IAAIjD,EAASiJ,EAAI1W,QACRO,EAAI,EAAGA,EAAIkN,EAAOxN,OAAQM,IACjC+T,GAAwB7G,EAAOlN,GAAI,KAAMqW,EAAalG,EAAI,gBAQhE,OADAiG,EAAQD,IAAMA,EACPC,EAGT,SAASE,GACPC,EACAC,EACAvM,EACAwM,EACAC,EACAvG,GAEA,IAAI2F,EAAcnC,EAAKgD,EAAK/X,EAC5B,IAAKkX,KAAQS,EACF5C,EAAM4C,EAAGT,GAClBa,EAAMH,EAAMV,GACZlX,EAAQiX,GAAeC,GACnBzV,EAAQsT,KAKDtT,EAAQsW,IACbtW,EAAQsT,EAAIwC,OACdxC,EAAM4C,EAAGT,GAAQI,GAAgBvC,EAAKxD,IAEpC3P,EAAO5B,EAAMgH,QACf+N,EAAM4C,EAAGT,GAAQY,EAAkB9X,EAAMkX,KAAMnC,EAAK/U,EAAMqX,UAE5DhM,EAAIrL,EAAMkX,KAAMnC,EAAK/U,EAAMqX,QAASrX,EAAMmX,QAASnX,EAAMgY,SAChDjD,IAAQgD,IACjBA,EAAIR,IAAMxC,EACV4C,EAAGT,GAAQa,IAGf,IAAKb,KAAQU,EACPnW,EAAQkW,EAAGT,KAEbW,GADA7X,EAAQiX,GAAeC,IACPA,KAAMU,EAAMV,GAAOlX,EAAMqX,SAO/C,SAASY,GAAgBxP,EAAKyP,EAASnG,GAIrC,IAAIyF,EAHA/O,aAAe+D,KACjB/D,EAAMA,EAAIxI,KAAK8R,OAAStJ,EAAIxI,KAAK8R,KAAO,KAG1C,IAAIoG,EAAU1P,EAAIyP,GAElB,SAASE,IACPrG,EAAK1V,MAAMM,KAAMO,WAGjB2G,EAAO2T,EAAQD,IAAKa,GAGlB3W,EAAQ0W,GAEVX,EAAUF,GAAgB,CAACc,IAGvBzW,EAAMwW,EAAQZ,MAAQ3V,EAAOuW,EAAQE,SAEvCb,EAAUW,GACFZ,IAAI1L,KAAKuM,GAGjBZ,EAAUF,GAAgB,CAACa,EAASC,IAIxCZ,EAAQa,QAAS,EACjB5P,EAAIyP,GAAWV,EA8CjB,SAASc,GACPxS,EACAyS,EACApU,EACAqU,EACAC,GAEA,GAAI9W,EAAM4W,GAAO,CACf,GAAIrU,EAAOqU,EAAMpU,GAKf,OAJA2B,EAAI3B,GAAOoU,EAAKpU,GACXsU,UACIF,EAAKpU,IAEP,EACF,GAAID,EAAOqU,EAAMC,GAKtB,OAJA1S,EAAI3B,GAAOoU,EAAKC,GACXC,UACIF,EAAKC,IAEP,EAGX,OAAO,EA8BT,SAASE,GAAmBhM,GAC1B,OAAO7K,EAAY6K,GACf,CAACyB,GAAgBzB,IACjBvL,MAAM2B,QAAQ4J,GASpB,SAASiM,EAAwBjM,EAAUkM,GACzC,IACIxX,EAAGuD,EAAGkU,EAAWC,EADjBhT,EAAM,GAEV,IAAK1E,EAAI,EAAGA,EAAIsL,EAAS5L,OAAQM,IAE3BK,EADJkD,EAAI+H,EAAStL,KACkB,kBAANuD,IACzBkU,EAAY/S,EAAIhF,OAAS,EACzBgY,EAAOhT,EAAI+S,GAEP1X,MAAM2B,QAAQ6B,GACZA,EAAE7D,OAAS,IAGTiY,IAFJpU,EAAIgU,EAAuBhU,GAAKiU,GAAe,IAAM,IAAMxX,IAE1C,KAAO2X,GAAWD,KACjChT,EAAI+S,GAAa1K,GAAgB2K,EAAKnM,KAAQhI,EAAE,GAAIgI,MACpDhI,EAAEqU,SAEJlT,EAAI+F,KAAKxP,MAAMyJ,EAAKnB,IAEb9C,EAAY8C,GACjBoU,GAAWD,GAIbhT,EAAI+S,GAAa1K,GAAgB2K,EAAKnM,KAAOhI,GAC9B,KAANA,GAETmB,EAAI+F,KAAKsC,GAAgBxJ,IAGvBoU,GAAWpU,IAAMoU,GAAWD,GAE9BhT,EAAI+S,GAAa1K,GAAgB2K,EAAKnM,KAAOhI,EAAEgI,OAG3C/K,EAAO8K,EAASuM,WAClBtX,EAAMgD,EAAE8H,MACRhL,EAAQkD,EAAER,MACVxC,EAAMiX,KACNjU,EAAER,IAAM,UAAYyU,EAAc,IAAMxX,EAAI,MAE9C0E,EAAI+F,KAAKlH,KAIf,OAAOmB,EArDD6S,CAAuBjM,QACvBnC,EAGR,SAASwO,GAAY7K,GACnB,OAAOvM,EAAMuM,IAASvM,EAAMuM,EAAKvB,QA5yEpB,IA4yEqCuB,EAAKT,UAqFzD,SAASyL,GAAe9G,EAAQb,GAC9B,GAAIa,EAAQ,CAOV,IALA,IAAItD,EAAS7P,OAAOsE,OAAO,MACvBsD,EAAOiE,GACPE,QAAQC,QAAQmH,GAChBnT,OAAO4H,KAAKuL,GAEPhR,EAAI,EAAGA,EAAIyF,EAAK/F,OAAQM,IAAK,CACpC,IAAI+C,EAAM0C,EAAKzF,GAEf,GAAY,WAAR+C,EAAJ,CAGA,IAFA,IAAIgV,EAAa/G,EAAOjO,GAAK8M,KACzBtQ,EAAS4Q,EACN5Q,GAAQ,CACb,GAAIA,EAAOyY,WAAalV,EAAOvD,EAAOyY,UAAWD,GAAa,CAC5DrK,EAAO3K,GAAOxD,EAAOyY,UAAUD,GAC/B,MAEFxY,EAASA,EAAOqU,QAElB,IAAKrU,EACH,GAAI,YAAayR,EAAOjO,GAAM,CAC5B,IAAIkV,EAAiBjH,EAAOjO,GAAKiQ,QACjCtF,EAAO3K,GAAiC,mBAAnBkV,EACjBA,EAAerc,KAAKuU,GACpB8H,OACK,GAKf,OAAOvK,GAWX,SAASwK,GACP5M,EACAG,GAEA,IAAKH,IAAaA,EAAS5L,OACzB,MAAO,GAGT,IADA,IAAIyY,EAAQ,GACHnY,EAAI,EAAGiE,EAAIqH,EAAS5L,OAAQM,EAAIiE,EAAGjE,IAAK,CAC/C,IAAI2M,EAAQrB,EAAStL,GACjBnB,EAAO8N,EAAM9N,KAOjB,GALIA,GAAQA,EAAKuZ,OAASvZ,EAAKuZ,MAAMC,aAC5BxZ,EAAKuZ,MAAMC,KAIf1L,EAAMlB,UAAYA,GAAWkB,EAAMd,YAAcJ,IACpD5M,GAAqB,MAAbA,EAAKwZ,MAUZF,EAAMnF,UAAYmF,EAAMnF,QAAU,KAAKvI,KAAKkC,OAT7C,CACA,IAAImJ,EAAOjX,EAAKwZ,KACZA,EAAQF,EAAMrC,KAAUqC,EAAMrC,GAAQ,IACxB,aAAdnJ,EAAMtB,IACRgN,EAAK5N,KAAKxP,MAAMod,EAAM1L,EAAMrB,UAAY,IAExC+M,EAAK5N,KAAKkC,IAOhB,IAAK,IAAI2L,KAAUH,EACbA,EAAMG,GAAQlT,MAAMmT,YACfJ,EAAMG,GAGjB,OAAOH,EAGT,SAASI,GAAczL,GACrB,OAAQA,EAAKT,YAAcS,EAAKnB,cAA+B,MAAdmB,EAAKvB,KAKxD,SAASiN,GACPL,EACAM,EACAC,GAEA,IAAIhU,EACAiU,EAAiB9a,OAAO4H,KAAKgT,GAAa/Y,OAAS,EACnDkZ,EAAWT,IAAUA,EAAMU,SAAWF,EACtC5V,EAAMoV,GAASA,EAAMW,KACzB,GAAKX,EAEE,IAAIA,EAAMY,YAEf,OAAOZ,EAAMY,YACR,GACLH,GACAF,GACAA,IAAcvY,GACd4C,IAAQ2V,EAAUI,OACjBH,IACAD,EAAUM,WAIX,OAAON,EAGP,IAAK,IAAI7H,KADTnM,EAAM,GACYyT,EACZA,EAAMtH,IAAuB,MAAbA,EAAM,KACxBnM,EAAImM,GAASoI,GAAoBR,EAAa5H,EAAOsH,EAAMtH,UAnB/DnM,EAAM,GAwBR,IAAK,IAAIwU,KAAST,EACVS,KAASxU,IACbA,EAAIwU,GAASC,GAAgBV,EAAaS,IAW9C,OANIf,GAASta,OAAO+Q,aAAauJ,KAC/B,EAAQY,YAAcrU,GAExB2C,EAAI3C,EAAK,UAAWkU,GACpBvR,EAAI3C,EAAK,OAAQ3B,GACjBsE,EAAI3C,EAAK,aAAciU,GAChBjU,EAGT,SAASuU,GAAoBR,EAAa1V,EAAKE,GAC7C,IAAIsO,EAAa,WACf,IAAI7M,EAAM5I,UAAU4D,OAASuD,EAAGhI,MAAM,KAAMa,WAAamH,EAAG,IAI5D,OAHAyB,EAAMA,GAAsB,iBAARA,IAAqB3E,MAAM2B,QAAQgD,GACnD,CAACA,GACD4S,GAAkB5S,MAEL,IAAfA,EAAIhF,QACY,IAAfgF,EAAIhF,QAAgBgF,EAAI,GAAG2H,gBAC1BlD,EACAzE,GAYN,OAPIzB,EAAGmW,OACLvb,OAAO0J,eAAekR,EAAa1V,EAAK,CACtCkG,IAAKsI,EACLjK,YAAY,EACZG,cAAc,IAGX8J,EAGT,SAAS4H,GAAgBhB,EAAOpV,GAC9B,OAAO,WAAc,OAAOoV,EAAMpV,IAQpC,SAASsW,GACPpY,EACAqY,GAEA,IAAIjV,EAAKrE,EAAGiE,EAAGwB,EAAM1C,EACrB,GAAIhD,MAAM2B,QAAQT,IAAuB,iBAARA,EAE/B,IADAoD,EAAM,IAAItE,MAAMkB,EAAIvB,QACfM,EAAI,EAAGiE,EAAIhD,EAAIvB,OAAQM,EAAIiE,EAAGjE,IACjCqE,EAAIrE,GAAKsZ,EAAOrY,EAAIjB,GAAIA,QAErB,GAAmB,iBAARiB,EAEhB,IADAoD,EAAM,IAAItE,MAAMkB,GACXjB,EAAI,EAAGA,EAAIiB,EAAKjB,IACnBqE,EAAIrE,GAAKsZ,EAAOtZ,EAAI,EAAGA,QAEpB,GAAIW,EAASM,GAClB,GAAIyI,IAAazI,EAAI0I,OAAO4P,UAAW,CACrClV,EAAM,GAGN,IAFA,IAAIkV,EAAWtY,EAAI0I,OAAO4P,YACtB7L,EAAS6L,EAASC,QACd9L,EAAO+L,MACbpV,EAAIoG,KAAK6O,EAAO5L,EAAOhN,MAAO2D,EAAI3E,SAClCgO,EAAS6L,EAASC,YAKpB,IAFA/T,EAAO5H,OAAO4H,KAAKxE,GACnBoD,EAAM,IAAItE,MAAM0F,EAAK/F,QAChBM,EAAI,EAAGiE,EAAIwB,EAAK/F,OAAQM,EAAIiE,EAAGjE,IAClC+C,EAAM0C,EAAKzF,GACXqE,EAAIrE,GAAKsZ,EAAOrY,EAAI8B,GAAMA,EAAK/C,GAQrC,OAJKO,EAAM8D,KACTA,EAAM,IAER,EAAMwT,UAAW,EACVxT,EAQT,SAASqV,GACP5D,EACA6D,EACA7I,EACA8I,GAEA,IACIC,EADAC,EAAeve,KAAKwe,aAAajE,GAEjCgE,GACFhJ,EAAQA,GAAS,GACb8I,IAOF9I,EAAQxM,EAAOA,EAAO,GAAIsV,GAAa9I,IAEzC+I,EAAQC,EAAahJ,IAAU6I,GAE/BE,EAAQte,KAAKye,OAAOlE,IAAS6D,EAG/B,IAAI/O,EAASkG,GAASA,EAAMuH,KAC5B,OAAIzN,EACKrP,KAAK0e,eAAe,WAAY,CAAE5B,KAAMzN,GAAUiP,GAElDA,EASX,SAASK,GAAe7e,GACtB,OAAO6W,GAAa3W,KAAK0X,SAAU,UAAW5X,IAAayJ,EAK7D,SAASqV,GAAeC,EAAQC,GAC9B,OAAIta,MAAM2B,QAAQ0Y,IACmB,IAA5BA,EAAO5a,QAAQ6a,GAEfD,IAAWC,EAStB,SAASC,GACPC,EACAxX,EACAyX,EACAC,EACAC,GAEA,IAAIC,EAAgB3U,EAAOS,SAAS1D,IAAQyX,EAC5C,OAAIE,GAAkBD,IAAiBzU,EAAOS,SAAS1D,GAC9CoX,GAAcO,EAAgBD,GAC5BE,EACFR,GAAcQ,EAAeJ,GAC3BE,EACF7W,EAAU6W,KAAkB1X,OAD9B,EAUT,SAAS6X,GACP/b,EACAwM,EACA3K,EACAma,EACAC,GAEA,GAAIpa,EACF,GAAKC,EAASD,GAKP,CAIL,IAAIyW,EAHApX,MAAM2B,QAAQhB,KAChBA,EAAQ+D,EAAS/D,IAGnB,IAAIqa,EAAO,SAAWhY,GACpB,GACU,UAARA,GACQ,UAARA,GACAP,EAAoBO,GAEpBoU,EAAOtY,MACF,CACL,IAAI+R,EAAO/R,EAAKuZ,OAASvZ,EAAKuZ,MAAMxH,KACpCuG,EAAO0D,GAAU7U,EAAOe,YAAYsE,EAAKuF,EAAM7N,GAC3ClE,EAAKmc,WAAanc,EAAKmc,SAAW,IAClCnc,EAAKuZ,QAAUvZ,EAAKuZ,MAAQ,IAElC,IAAI6C,EAAe7X,EAASL,GACxBmY,EAAgBtX,EAAUb,GACxBkY,KAAgB9D,GAAW+D,KAAiB/D,IAChDA,EAAKpU,GAAOrC,EAAMqC,GAEd+X,KACOjc,EAAK0X,KAAO1X,EAAK0X,GAAK,KAC3B,UAAYxT,GAAQ,SAAUoY,GAChCza,EAAMqC,GAAOoY,MAMrB,IAAK,IAAIpY,KAAOrC,EAAOqa,EAAMhY,QAGjC,OAAOlE,EAQT,SAASuc,GACPzY,EACA0Y,GAEA,IAAIrY,EAASzH,KAAK+f,eAAiB/f,KAAK+f,aAAe,IACnDC,EAAOvY,EAAOL,GAGlB,OAAI4Y,IAASF,GASbG,GALAD,EAAOvY,EAAOL,GAASpH,KAAK0X,SAASwI,gBAAgB9Y,GAAO/G,KAC1DL,KAAKmgB,aACL,KACAngB,MAEgB,aAAeoH,GAAQ,GARhC4Y,EAgBX,SAASI,GACPJ,EACA5Y,EACAI,GAGA,OADAyY,GAAWD,EAAO,WAAa5Y,GAASI,EAAO,IAAMA,EAAO,KAAM,GAC3DwY,EAGT,SAASC,GACPD,EACAxY,EACAwJ,GAEA,GAAIxM,MAAM2B,QAAQ6Z,GAChB,IAAK,IAAIvb,EAAI,EAAGA,EAAIub,EAAK7b,OAAQM,IAC3Bub,EAAKvb,IAAyB,iBAAZub,EAAKvb,IACzB4b,GAAeL,EAAKvb,GAAK+C,EAAM,IAAM/C,EAAIuM,QAI7CqP,GAAeL,EAAMxY,EAAKwJ,GAI9B,SAASqP,GAAgB9O,EAAM/J,EAAKwJ,GAClCO,EAAKX,UAAW,EAChBW,EAAK/J,IAAMA,EACX+J,EAAKP,OAASA,EAKhB,SAASsP,GAAqBhd,EAAM6B,GAClC,GAAIA,EACF,GAAKI,EAAcJ,GAKZ,CACL,IAAI6V,EAAK1X,EAAK0X,GAAK1X,EAAK0X,GAAKjS,EAAO,GAAIzF,EAAK0X,IAAM,GACnD,IAAK,IAAIxT,KAAOrC,EAAO,CACrB,IAAIob,EAAWvF,EAAGxT,GACdgZ,EAAOrb,EAAMqC,GACjBwT,EAAGxT,GAAO+Y,EAAW,GAAGvL,OAAOuL,EAAUC,GAAQA,QAIvD,OAAOld,EAKT,SAASmd,GACP7F,EACAzR,EAEAuX,EACAC,GAEAxX,EAAMA,GAAO,CAAEmU,SAAUoD,GACzB,IAAK,IAAIjc,EAAI,EAAGA,EAAImW,EAAIzW,OAAQM,IAAK,CACnC,IAAIqY,EAAOlC,EAAInW,GACXD,MAAM2B,QAAQ2W,GAChB2D,GAAmB3D,EAAM3T,EAAKuX,GACrB5D,IAELA,EAAKe,QACPf,EAAKpV,GAAGmW,OAAQ,GAElB1U,EAAI2T,EAAKtV,KAAOsV,EAAKpV,IAMzB,OAHIiZ,IACF,EAAMpD,KAAOoD,GAERxX,EAKT,SAASyX,GAAiBC,EAASC,GACjC,IAAK,IAAIrc,EAAI,EAAGA,EAAIqc,EAAO3c,OAAQM,GAAK,EAAG,CACzC,IAAI+C,EAAMsZ,EAAOrc,GACE,iBAAR+C,GAAoBA,IAC7BqZ,EAAQC,EAAOrc,IAAMqc,EAAOrc,EAAI,IASpC,OAAOoc,EAMT,SAASE,GAAiB5b,EAAO6b,GAC/B,MAAwB,iBAAV7b,EAAqB6b,EAAS7b,EAAQA,EAKtD,SAAS8b,GAAsB5R,GAC7BA,EAAO6R,GAAKd,GACZ/Q,EAAO8R,GAAK7a,EACZ+I,EAAO+R,GAAK5e,EACZ6M,EAAOgS,GAAKvD,GACZzO,EAAOiS,GAAKnD,GACZ9O,EAAOkS,GAAK/X,EACZ6F,EAAOmS,GAAKpX,EACZiF,EAAOoS,GAAK5B,GACZxQ,EAAOqS,GAAK/C,GACZtP,EAAOsS,GAAK5C,GACZ1P,EAAOuS,GAAKvC,GACZhQ,EAAOwS,GAAKrQ,GACZnC,EAAOyS,GAAKxQ,GACZjC,EAAO0S,GAAKtB,GACZpR,EAAO2S,GAAK1B,GACZjR,EAAO4S,GAAKrB,GACZvR,EAAO6S,GAAKnB,GAKd,SAASoB,GACP7e,EACAiS,EACAxF,EACAW,EACAzC,GAEA,IAKImU,EALAC,EAASriB,KAET8V,EAAU7H,EAAK6H,QAIfvO,EAAOmJ,EAAQ,SACjB0R,EAAY9f,OAAOsE,OAAO8J,IAEhB4R,UAAY5R,GAKtB0R,EAAY1R,EAEZA,EAASA,EAAO4R,WAElB,IAAIC,EAAatd,EAAO6Q,EAAQ0M,WAC5BC,GAAqBF,EAEzBviB,KAAKsD,KAAOA,EACZtD,KAAKuV,MAAQA,EACbvV,KAAK+P,SAAWA,EAChB/P,KAAK0Q,OAASA,EACd1Q,KAAK0iB,UAAYpf,EAAK0X,IAAMpW,EAC5B5E,KAAK2iB,WAAapG,GAAczG,EAAQL,OAAQ/E,GAChD1Q,KAAK4c,MAAQ,WAOX,OANKyF,EAAO5D,QACVxB,GACE3Z,EAAKsf,YACLP,EAAO5D,OAAS9B,GAAa5M,EAAUW,IAGpC2R,EAAO5D,QAGhBnc,OAAO0J,eAAehM,KAAM,cAAe,CACzC+L,YAAY,EACZ2B,IAAK,WACH,OAAOuP,GAAqB3Z,EAAKsf,YAAa5iB,KAAK4c,YAKnD2F,IAEFviB,KAAK0X,SAAW5B,EAEhB9V,KAAKye,OAASze,KAAK4c,QACnB5c,KAAKwe,aAAevB,GAAqB3Z,EAAKsf,YAAa5iB,KAAKye,SAG9D3I,EAAQ+M,SACV7iB,KAAK8iB,GAAK,SAAUra,EAAGY,EAAGrB,EAAG+a,GAC3B,IAAIrR,EAAQlO,GAAc4e,EAAW3Z,EAAGY,EAAGrB,EAAG+a,EAAGN,GAKjD,OAJI/Q,IAAUlN,MAAM2B,QAAQuL,KAC1BA,EAAMlB,UAAYsF,EAAQ+M,SAC1BnR,EAAMpB,UAAYI,GAEbgB,GAGT1R,KAAK8iB,GAAK,SAAUra,EAAGY,EAAGrB,EAAG+a,GAAK,OAAOvf,GAAc4e,EAAW3Z,EAAGY,EAAGrB,EAAG+a,EAAGN,IA+ClF,SAASO,GAA8BtR,EAAOpO,EAAM8e,EAAWtM,EAASmN,GAItE,IAAIC,EAAQzR,GAAWC,GASvB,OARAwR,EAAM5S,UAAY8R,EAClBc,EAAM3S,UAAYuF,EAIdxS,EAAKwZ,QACNoG,EAAM5f,OAAS4f,EAAM5f,KAAO,KAAKwZ,KAAOxZ,EAAKwZ,MAEzCoG,EAGT,SAASC,GAAYna,EAAIsL,GACvB,IAAK,IAAI9M,KAAO8M,EACdtL,EAAGnB,EAASL,IAAQ8M,EAAK9M,GA7D7ByZ,GAAqBkB,GAAwBviB,WA0E7C,IAAIwjB,GAAsB,CACxBC,KAAM,SAAe3R,EAAO4R,GAC1B,GACE5R,EAAMjB,oBACLiB,EAAMjB,kBAAkB8S,cACzB7R,EAAMpO,KAAKkgB,UACX,CAEA,IAAIC,EAAc/R,EAClB0R,GAAoBM,SAASD,EAAaA,OACrC,EACO/R,EAAMjB,kBA0JxB,SACEiB,EACAhB,GAEA,IAAIoF,EAAU,CACZ6N,cAAc,EACdC,aAAclS,EACdhB,OAAQA,GAGNmT,EAAiBnS,EAAMpO,KAAKugB,eAC5B7e,EAAM6e,KACR/N,EAAQiI,OAAS8F,EAAe9F,OAChCjI,EAAQoK,gBAAkB2D,EAAe3D,iBAE3C,OAAO,IAAIxO,EAAMvB,iBAAiBlC,KAAK6H,GAzKGgO,CACpCpS,EACAqS,KAEIC,OAAOV,EAAY5R,EAAMzB,SAAMrC,EAAW0V,KAIpDI,SAAU,SAAmBO,EAAUvS,GACrC,IAAIoE,EAAUpE,EAAMvB,kBAw8BxB,SACEyE,EACAsC,EACAwL,EACAwB,EACAC,GAEI,EAUJ,IAAIC,EAAiBF,EAAY5gB,KAAKsf,YAClCyB,EAAiBzP,EAAG4J,aACpB8F,KACDF,IAAmBA,EAAe9G,SAClC+G,IAAmBzf,IAAgByf,EAAe/G,SAClD8G,GAAkBxP,EAAG4J,aAAajB,OAAS6G,EAAe7G,MAMzDgH,KACFJ,GACAvP,EAAG8C,SAAS8M,iBACZF,GAGF1P,EAAG8C,SAASkM,aAAeM,EAC3BtP,EAAG6P,OAASP,EAERtP,EAAG8P,SACL9P,EAAG8P,OAAOhU,OAASwT,GAWrB,GATAtP,EAAG8C,SAAS8M,gBAAkBL,EAK9BvP,EAAG+P,OAAST,EAAY5gB,KAAKuZ,OAASjY,EACtCgQ,EAAGgQ,WAAalC,GAAa9d,EAGzBsS,GAAatC,EAAG8C,SAASnC,MAAO,CAClC5C,IAAgB,GAGhB,IAFA,IAAI4C,EAAQX,EAAG+C,OACXkN,EAAWjQ,EAAG8C,SAASoN,WAAa,GAC/BrgB,EAAI,EAAGA,EAAIogB,EAAS1gB,OAAQM,IAAK,CACxC,IAAI+C,EAAMqd,EAASpgB,GACfwS,EAAcrC,EAAG8C,SAASnC,MAC9BA,EAAM/N,GAAOwP,GAAaxP,EAAKyP,EAAaC,EAAWtC,GAEzDjC,IAAgB,GAEhBiC,EAAG8C,SAASR,UAAYA,EAI1BwL,EAAYA,GAAa9d,EACzB,IAAImgB,EAAenQ,EAAG8C,SAASsN,iBAC/BpQ,EAAG8C,SAASsN,iBAAmBtC,EAC/BuC,GAAyBrQ,EAAI8N,EAAWqC,GAGpCR,IACF3P,EAAG6J,OAAS9B,GAAawH,EAAgBD,EAAYhU,SACrD0E,EAAGsQ,gBAGD,EAjhCFC,CADYzT,EAAMjB,kBAAoBwT,EAASxT,kBAG7CqF,EAAQoB,UACRpB,EAAQ4M,UACRhR,EACAoE,EAAQ/F,WAIZqV,OAAQ,SAAiB1T,GACvB,IAysC8BkD,EAzsC1B1E,EAAUwB,EAAMxB,QAChBO,EAAoBiB,EAAMjB,kBACzBA,EAAkB4U,aACrB5U,EAAkB4U,YAAa,EAC/BC,GAAS7U,EAAmB,YAE1BiB,EAAMpO,KAAKkgB,YACTtT,EAAQmV,aAksCgBzQ,EA5rCFnE,GA+rC3B8U,WAAY,EACfC,GAAkBtW,KAAK0F,IA9rCjB6Q,GAAuBhV,GAAmB,KAKhDiV,QAAS,SAAkBhU,GACzB,IAAIjB,EAAoBiB,EAAMjB,kBACzBA,EAAkB8S,eAChB7R,EAAMpO,KAAKkgB,UA8gCtB,SAASmC,EAA0B/Q,EAAIgR,GACrC,GAAIA,IACFhR,EAAGiR,iBAAkB,EACjBC,GAAiBlR,IACnB,OAGJ,IAAKA,EAAG2Q,UAAW,CACjB3Q,EAAG2Q,WAAY,EACf,IAAK,IAAI9gB,EAAI,EAAGA,EAAImQ,EAAGmR,UAAU5hB,OAAQM,IACvCkhB,EAAyB/Q,EAAGmR,UAAUthB,IAExC6gB,GAAS1Q,EAAI,gBAvhCT+Q,CAAyBlV,GAAmB,GAF5CA,EAAkBuV,cAQtBC,GAAe3jB,OAAO4H,KAAKkZ,IAE/B,SAAS8C,GACPjY,EACA3K,EACA4M,EACAH,EACAD,GAEA,IAAIhL,EAAQmJ,GAAZ,CAIA,IAAIkY,EAAWjW,EAAQwH,SAASpB,MAShC,GANIlR,EAAS6I,KACXA,EAAOkY,EAASpd,OAAOkF,IAKL,mBAATA,EAAX,CAQA,IAAImC,EACJ,GAAItL,EAAQmJ,EAAKmY,WAGFxY,KADbK,EA+ZJ,SACEoY,EACAF,GAEA,GAAIlhB,EAAOohB,EAAQxN,QAAU7T,EAAMqhB,EAAQC,WACzC,OAAOD,EAAQC,UAGjB,GAAIthB,EAAMqhB,EAAQE,UAChB,OAAOF,EAAQE,SAGjB,IAAIC,EAAQC,GACRD,GAASxhB,EAAMqhB,EAAQK,UAA8C,IAAnCL,EAAQK,OAAOziB,QAAQuiB,IAE3DH,EAAQK,OAAOxX,KAAKsX,GAGtB,GAAIvhB,EAAOohB,EAAQM,UAAY3hB,EAAMqhB,EAAQO,aAC3C,OAAOP,EAAQO,YAGjB,GAAIJ,IAAUxhB,EAAMqhB,EAAQK,QAAS,CACnC,IAAIA,EAASL,EAAQK,OAAS,CAACF,GAC3BK,GAAO,EACPC,EAAe,KACfC,EAAe,KAElB,EAAQC,IAAI,kBAAkB,WAAc,OAAO9f,EAAOwf,EAAQF,MAEnE,IAAIS,EAAc,SAAUC,GAC1B,IAAK,IAAIziB,EAAI,EAAGiE,EAAIge,EAAOviB,OAAQM,EAAIiE,EAAGjE,IACvCiiB,EAAOjiB,GAAIygB,eAGVgC,IACFR,EAAOviB,OAAS,EACK,OAAjB2iB,IACFtmB,aAAasmB,GACbA,EAAe,MAEI,OAAjBC,IACFvmB,aAAaumB,GACbA,EAAe,QAKjBzN,EAAUjP,GAAK,SAAUlB,GAE3Bkd,EAAQE,SAAWY,GAAWhe,EAAKgd,GAG9BU,EAGHH,EAAOviB,OAAS,EAFhB8iB,GAAY,MAMZG,EAAS/c,GAAK,SAAUgd,GAKtBriB,EAAMqhB,EAAQC,aAChBD,EAAQxN,OAAQ,EAChBoO,GAAY,OAIZ9d,EAAMkd,EAAQ/M,EAAS8N,GA+C3B,OA7CIhiB,EAAS+D,KACPnD,EAAUmD,GAERrE,EAAQuhB,EAAQE,WAClBpd,EAAIlD,KAAKqT,EAAS8N,GAEXphB,EAAUmD,EAAIme,aACvBne,EAAIme,UAAUrhB,KAAKqT,EAAS8N,GAExBpiB,EAAMmE,EAAI0P,SACZwN,EAAQC,UAAYa,GAAWhe,EAAI0P,MAAOsN,IAGxCnhB,EAAMmE,EAAIwd,WACZN,EAAQO,YAAcO,GAAWhe,EAAIwd,QAASR,GAC5B,IAAdhd,EAAIoe,MACNlB,EAAQM,SAAU,EAElBG,EAAe1mB,YAAW,WACxB0mB,EAAe,KACXhiB,EAAQuhB,EAAQE,WAAazhB,EAAQuhB,EAAQxN,SAC/CwN,EAAQM,SAAU,EAClBM,GAAY,MAEb9d,EAAIoe,OAAS,MAIhBviB,EAAMmE,EAAIxI,WACZomB,EAAe3mB,YAAW,WACxB2mB,EAAe,KACXjiB,EAAQuhB,EAAQE,WAClBa,EAGM,QAGPje,EAAIxI,YAKbkmB,GAAO,EAEAR,EAAQM,QACXN,EAAQO,YACRP,EAAQE,UAvhBLiB,CADPpX,EAAenC,EAC4BkY,IAKzC,OA6YN,SACEE,EACA/iB,EACA4M,EACAH,EACAD,GAEA,IAAIyB,EAAOD,KAGX,OAFAC,EAAKnB,aAAeiW,EACpB9U,EAAKN,UAAY,CAAE3N,KAAMA,EAAM4M,QAASA,EAASH,SAAUA,EAAUD,IAAKA,GACnEyB,EAvZIkW,CACLrX,EACA9M,EACA4M,EACAH,EACAD,GAKNxM,EAAOA,GAAQ,GAIfokB,GAA0BzZ,GAGtBjJ,EAAM1B,EAAKqkB,QAwFjB,SAAyB7R,EAASxS,GAChC,IAAI6T,EAAQrB,EAAQ6R,OAAS7R,EAAQ6R,MAAMxQ,MAAS,QAChD9T,EAASyS,EAAQ6R,OAAS7R,EAAQ6R,MAAMtkB,OAAU,SACpDC,EAAKuZ,QAAUvZ,EAAKuZ,MAAQ,KAAK1F,GAAQ7T,EAAKqkB,MAAMxiB,MACtD,IAAI6V,EAAK1X,EAAK0X,KAAO1X,EAAK0X,GAAK,IAC3BuF,EAAWvF,EAAG3X,GACdiB,EAAWhB,EAAKqkB,MAAMrjB,SACtBU,EAAMub,IAEN/b,MAAM2B,QAAQoa,IACsB,IAAhCA,EAAStc,QAAQK,GACjBic,IAAajc,KAEjB0W,EAAG3X,GAAS,CAACiB,GAAU0Q,OAAOuL,IAGhCvF,EAAG3X,GAASiB,EAvGZsjB,CAAe3Z,EAAK6H,QAASxS,GAI/B,IAAI4T,EAr8BN,SACE5T,EACA2K,EACA6B,GAKA,IAAImH,EAAchJ,EAAK6H,QAAQP,MAC/B,IAAIzQ,EAAQmS,GAAZ,CAGA,IAAI9N,EAAM,GACN0T,EAAQvZ,EAAKuZ,MACbtH,EAAQjS,EAAKiS,MACjB,GAAIvQ,EAAM6X,IAAU7X,EAAMuQ,GACxB,IAAK,IAAI/N,KAAOyP,EAAa,CAC3B,IAAI4E,EAASxT,EAAUb,GAiBvBmU,GAAUxS,EAAKoM,EAAO/N,EAAKqU,GAAQ,IACnCF,GAAUxS,EAAK0T,EAAOrV,EAAKqU,GAAQ,GAGvC,OAAO1S,GA+5BS0e,CAA0BvkB,EAAM2K,GAGhD,GAAIhJ,EAAOgJ,EAAK6H,QAAQgS,YACtB,OAxMJ,SACE7Z,EACAiJ,EACA5T,EACA8e,EACArS,GAEA,IAAI+F,EAAU7H,EAAK6H,QACfP,EAAQ,GACR0B,EAAcnB,EAAQP,MAC1B,GAAIvQ,EAAMiS,GACR,IAAK,IAAIzP,KAAOyP,EACd1B,EAAM/N,GAAOwP,GAAaxP,EAAKyP,EAAaC,GAAatS,QAGvDI,EAAM1B,EAAKuZ,QAAUsG,GAAW5N,EAAOjS,EAAKuZ,OAC5C7X,EAAM1B,EAAKiS,QAAU4N,GAAW5N,EAAOjS,EAAKiS,OAGlD,IAAI0N,EAAgB,IAAId,GACtB7e,EACAiS,EACAxF,EACAqS,EACAnU,GAGEyD,EAAQoE,EAAQiI,OAAO1d,KAAK,KAAM4iB,EAAcH,GAAIG,GAExD,GAAIvR,aAAiB7B,GACnB,OAAOmT,GAA6BtR,EAAOpO,EAAM2f,EAAcvS,OAAQoF,EAASmN,GAC3E,GAAIze,MAAM2B,QAAQuL,GAAQ,CAG/B,IAFA,IAAIqW,EAAShM,GAAkBrK,IAAU,GACrCvI,EAAM,IAAI3E,MAAMujB,EAAO5jB,QAClBM,EAAI,EAAGA,EAAIsjB,EAAO5jB,OAAQM,IACjC0E,EAAI1E,GAAKue,GAA6B+E,EAAOtjB,GAAInB,EAAM2f,EAAcvS,OAAQoF,EAASmN,GAExF,OAAO9Z,GAmKA6e,CAA0B/Z,EAAMiJ,EAAW5T,EAAM4M,EAASH,GAKnE,IAAI2S,EAAYpf,EAAK0X,GAKrB,GAFA1X,EAAK0X,GAAK1X,EAAK2kB,SAEXhjB,EAAOgJ,EAAK6H,QAAQoS,UAAW,CAKjC,IAAIpL,EAAOxZ,EAAKwZ,KAChBxZ,EAAO,GACHwZ,IACFxZ,EAAKwZ,KAAOA,IAqClB,SAAgCxZ,GAE9B,IADA,IAAI2R,EAAQ3R,EAAK8R,OAAS9R,EAAK8R,KAAO,IAC7B3Q,EAAI,EAAGA,EAAIwhB,GAAa9hB,OAAQM,IAAK,CAC5C,IAAI+C,EAAMye,GAAaxhB,GACnB8b,EAAWtL,EAAMzN,GACjB2gB,EAAU/E,GAAoB5b,GAC9B+Y,IAAa4H,GAAa5H,GAAYA,EAAS6H,UACjDnT,EAAMzN,GAAO+Y,EAAW8H,GAAYF,EAAS5H,GAAY4H,IAvC7DG,CAAsBhlB,GAGtB,IAAIiX,EAAOtM,EAAK6H,QAAQyE,MAAQzK,EAQhC,OAPY,IAAID,GACb,iBAAoB5B,EAAQ,KAAKsM,EAAQ,IAAMA,EAAQ,IACxDjX,OAAMsK,OAAWA,OAAWA,EAAWsC,EACvC,CAAEjC,KAAMA,EAAMiJ,UAAWA,EAAWwL,UAAWA,EAAW5S,IAAKA,EAAKC,SAAUA,GAC9EK,KAoCJ,SAASiY,GAAaE,EAAIC,GACxB,IAAI9M,EAAS,SAAUjT,EAAGY,GAExBkf,EAAG9f,EAAGY,GACNmf,EAAG/f,EAAGY,IAGR,OADAqS,EAAO0M,SAAU,EACV1M,EAgCT,SAASlY,GACP0M,EACAJ,EACAxM,EACAyM,EACA0Y,EACAC,GAUA,OARIlkB,MAAM2B,QAAQ7C,IAAS4B,EAAY5B,MACrCmlB,EAAoB1Y,EACpBA,EAAWzM,EACXA,OAAOsK,GAEL3I,EAAOyjB,KACTD,EAlBmB,GAuBvB,SACEvY,EACAJ,EACAxM,EACAyM,EACA0Y,GAEA,GAAIzjB,EAAM1B,IAAS0B,EAAM,EAAOqN,QAM9B,OAAOf,KAGLtM,EAAM1B,IAAS0B,EAAM1B,EAAKqlB,MAC5B7Y,EAAMxM,EAAKqlB,IAEb,IAAK7Y,EAEH,OAAOwB,KAGL,EAYA9M,MAAM2B,QAAQ4J,IACO,mBAAhBA,EAAS,MAEhBzM,EAAOA,GAAQ,IACVsf,YAAc,CAAEnL,QAAS1H,EAAS,IACvCA,EAAS5L,OAAS,GAhEC,IAkEjBskB,EACF1Y,EAAWgM,GAAkBhM,GApEV,IAqEV0Y,IACT1Y,EApiCJ,SAAkCA,GAChC,IAAK,IAAItL,EAAI,EAAGA,EAAIsL,EAAS5L,OAAQM,IACnC,GAAID,MAAM2B,QAAQ4J,EAAStL,IACzB,OAAOD,MAAM5E,UAAUoV,OAAOtV,MAAM,GAAIqQ,GAG5C,OAAOA,EA8hCM6Y,CAAwB7Y,IAErC,IAAI2B,EAAOrB,EACX,GAAmB,iBAARP,EAAkB,CAC3B,IAAI7B,EACJoC,EAAMH,EAAQuU,QAAUvU,EAAQuU,OAAOpU,IAAO5F,EAAOa,gBAAgBwE,GASnE4B,EAREjH,EAAOU,cAAc2E,GAQf,IAAID,GACVpF,EAAOc,qBAAqBuE,GAAMxM,EAAMyM,OACxCnC,OAAWA,EAAWsC,GAEb5M,GAASA,EAAKulB,MAAQ7jB,EAAMiJ,EAAO0I,GAAazG,EAAQwH,SAAU,aAAc5H,IAOnF,IAAID,GACVC,EAAKxM,EAAMyM,OACXnC,OAAWA,EAAWsC,GAPhBgW,GAAgBjY,EAAM3K,EAAM4M,EAASH,EAAUD,QAYzD4B,EAAQwU,GAAgBpW,EAAKxM,EAAM4M,EAASH,GAE9C,OAAIvL,MAAM2B,QAAQuL,GACTA,EACE1M,EAAM0M,IACX1M,EAAMqL,IAQd,SAASyY,EAASpX,EAAOrB,EAAI0Y,GAC3BrX,EAAMrB,GAAKA,EACO,kBAAdqB,EAAM5B,MAERO,OAAKzC,EACLmb,GAAQ,GAEV,GAAI/jB,EAAM0M,EAAM3B,UACd,IAAK,IAAItL,EAAI,EAAGiE,EAAIgJ,EAAM3B,SAAS5L,OAAQM,EAAIiE,EAAGjE,IAAK,CACrD,IAAI2M,EAAQM,EAAM3B,SAAStL,GACvBO,EAAMoM,EAAMtB,OACdhL,EAAQsM,EAAMf,KAAQpL,EAAO8jB,IAAwB,QAAd3X,EAAMtB,MAC7CgZ,EAAQ1X,EAAOf,EAAI0Y,IApBND,CAAQpX,EAAOrB,GAC5BrL,EAAM1B,IA4Bd,SAA+BA,GACzB8B,EAAS9B,EAAK0lB,QAChBhP,GAAS1W,EAAK0lB,OAEZ5jB,EAAS9B,EAAK2lB,QAChBjP,GAAS1W,EAAK2lB,OAjCKC,CAAqB5lB,GACjCoO,GAEAJ,KA1FF6X,CAAejZ,EAASJ,EAAKxM,EAAMyM,EAAU0Y,GAiKtD,IAkQIpZ,GAlQAoX,GAA2B,KA4E/B,SAASU,GAAYiC,EAAMC,GAOzB,OALED,EAAKE,YACJnb,IAA0C,WAA7Bib,EAAKhb,OAAOmb,gBAE1BH,EAAOA,EAAK3R,SAEPrS,EAASgkB,GACZC,EAAKtgB,OAAOqgB,GACZA,EA8IN,SAASlY,GAAoBK,GAC3B,OAAOA,EAAKT,WAAaS,EAAKnB,aAKhC,SAASoZ,GAAwBzZ,GAC/B,GAAIvL,MAAM2B,QAAQ4J,GAChB,IAAK,IAAItL,EAAI,EAAGA,EAAIsL,EAAS5L,OAAQM,IAAK,CACxC,IAAIuD,EAAI+H,EAAStL,GACjB,GAAIO,EAAMgD,KAAOhD,EAAMgD,EAAEmI,mBAAqBe,GAAmBlJ,IAC/D,OAAOA,GAsBf,SAAS0G,GAAKrL,EAAOqE,GACnB2H,GAAO2X,IAAI3jB,EAAOqE,GAGpB,SAAS+hB,GAAUpmB,EAAOqE,GACxB2H,GAAOqa,KAAKrmB,EAAOqE,GAGrB,SAASyT,GAAmB9X,EAAOqE,GACjC,IAAIiiB,EAAUta,GACd,OAAO,SAASua,IACd,IAAIzgB,EAAMzB,EAAGhI,MAAM,KAAMa,WACb,OAAR4I,GACFwgB,EAAQD,KAAKrmB,EAAOumB,IAK1B,SAAS3E,GACPrQ,EACA8N,EACAqC,GAEA1V,GAASuF,EACTmG,GAAgB2H,EAAWqC,GAAgB,GAAIrW,GAAK+a,GAAUtO,GAAmBvG,GACjFvF,QAASzB,EAkGX,IAAImW,GAAiB,KAGrB,SAAS8F,GAAkBjV,GACzB,IAAIkV,EAAqB/F,GAEzB,OADAA,GAAiBnP,EACV,WACLmP,GAAiB+F,GA2QrB,SAAShE,GAAkBlR,GACzB,KAAOA,IAAOA,EAAKA,EAAGyD,UACpB,GAAIzD,EAAG2Q,UAAa,OAAO,EAE7B,OAAO,EAGT,SAASE,GAAwB7Q,EAAIgR,GACnC,GAAIA,GAEF,GADAhR,EAAGiR,iBAAkB,EACjBC,GAAiBlR,GACnB,YAEG,GAAIA,EAAGiR,gBACZ,OAEF,GAAIjR,EAAG2Q,WAA8B,OAAjB3Q,EAAG2Q,UAAoB,CACzC3Q,EAAG2Q,WAAY,EACf,IAAK,IAAI9gB,EAAI,EAAGA,EAAImQ,EAAGmR,UAAU5hB,OAAQM,IACvCghB,GAAuB7Q,EAAGmR,UAAUthB,IAEtC6gB,GAAS1Q,EAAI,cAoBjB,SAAS0Q,GAAU1Q,EAAIQ,GAErB1F,KACA,IAAIqa,EAAWnV,EAAG8C,SAAStC,GACvB+C,EAAO/C,EAAO,QAClB,GAAI2U,EACF,IAAK,IAAItlB,EAAI,EAAGulB,EAAID,EAAS5lB,OAAQM,EAAIulB,EAAGvlB,IAC1C+T,GAAwBuR,EAAStlB,GAAImQ,EAAI,KAAMA,EAAIuD,GAGnDvD,EAAGqV,eACLrV,EAAGsV,MAAM,QAAU9U,GAErBzF,KAKF,IAEIwa,GAAQ,GACR3E,GAAoB,GACpB/W,GAAM,GAEN2b,IAAU,EACVC,IAAW,EACXjjB,GAAQ,EAmBZ,IAAIkjB,GAAwB,EAGxBC,GAASxgB,KAAKygB,IAQlB,GAAIje,IAAcQ,EAAM,CACtB,IAAIjC,GAAcrL,OAAOqL,YAEvBA,IAC2B,mBAApBA,GAAY0f,KACnBD,KAAWnoB,SAASqoB,YAAY,SAASC,YAMzCH,GAAS,WAAc,OAAOzf,GAAY0f,QAO9C,SAASG,KAGP,IAAIC,EAAS9qB,EAcb,IAhBAwqB,GAAwBC,KACxBF,IAAW,EAWXF,GAAMU,MAAK,SAAUpiB,EAAGY,GAAK,OAAOZ,EAAE3I,GAAKuJ,EAAEvJ,MAIxCsH,GAAQ,EAAGA,GAAQ+iB,GAAMhmB,OAAQiD,MACpCwjB,EAAUT,GAAM/iB,KACJ0jB,QACVF,EAAQE,SAEVhrB,EAAK8qB,EAAQ9qB,GACb2O,GAAI3O,GAAM,KACV8qB,EAAQjmB,MAmBV,IAAIomB,EAAiBvF,GAAkBthB,QACnC8mB,EAAeb,GAAMjmB,QAtFzBkD,GAAQ+iB,GAAMhmB,OAASqhB,GAAkBrhB,OAAS,EAClDsK,GAAM,GAIN2b,GAAUC,IAAW,EAsHvB,SAA6BF,GAC3B,IAAK,IAAI1lB,EAAI,EAAGA,EAAI0lB,EAAMhmB,OAAQM,IAChC0lB,EAAM1lB,GAAG8gB,WAAY,EACrBE,GAAuB0E,EAAM1lB,IAAI,GAnCnCwmB,CAAmBF,GAUrB,SAA2BZ,GACzB,IAAI1lB,EAAI0lB,EAAMhmB,OACd,KAAOM,KAAK,CACV,IAAImmB,EAAUT,EAAM1lB,GAChBmQ,EAAKgW,EAAQhW,GACbA,EAAGsW,WAAaN,GAAWhW,EAAGyQ,aAAezQ,EAAG2O,cAClD+B,GAAS1Q,EAAI,YAfjBuW,CAAiBH,GAIbngB,IAAYJ,EAAOI,UACrBA,GAASugB,KAAK,SAsElB,IAAIC,GAAQ,EAORC,GAAU,SACZ1W,EACA2W,EACA1R,EACA/D,EACA0V,GAEAxrB,KAAK4U,GAAKA,EACN4W,IACF5W,EAAGsW,SAAWlrB,MAEhB4U,EAAG6W,UAAUvc,KAAKlP,MAEd8V,GACF9V,KAAK0rB,OAAS5V,EAAQ4V,KACtB1rB,KAAK2rB,OAAS7V,EAAQ6V,KACtB3rB,KAAK4rB,OAAS9V,EAAQ8V,KACtB5rB,KAAK6mB,OAAS/Q,EAAQ+Q,KACtB7mB,KAAK8qB,OAAShV,EAAQgV,QAEtB9qB,KAAK0rB,KAAO1rB,KAAK2rB,KAAO3rB,KAAK4rB,KAAO5rB,KAAK6mB,MAAO,EAElD7mB,KAAK6Z,GAAKA,EACV7Z,KAAKF,KAAOurB,GACZrrB,KAAKsB,QAAS,EACdtB,KAAK6rB,MAAQ7rB,KAAK4rB,KAClB5rB,KAAK8rB,KAAO,GACZ9rB,KAAK+rB,QAAU,GACf/rB,KAAKgsB,OAAS,IAAI9d,GAClBlO,KAAKisB,UAAY,IAAI/d,GACrBlO,KAAKksB,WAED,GAEmB,mBAAZX,EACTvrB,KAAK4T,OAAS2X,GAEdvrB,KAAK4T,OAx3HT,SAAoBuY,GAClB,IAAIhgB,EAAOa,KAAKmf,GAAhB,CAGA,IAAIC,EAAWD,EAAKrlB,MAAM,KAC1B,OAAO,SAAUzB,GACf,IAAK,IAAIZ,EAAI,EAAGA,EAAI2nB,EAASjoB,OAAQM,IAAK,CACxC,IAAKY,EAAO,OACZA,EAAMA,EAAI+mB,EAAS3nB,IAErB,OAAOY,IA82HOgnB,CAAUd,GACnBvrB,KAAK4T,SACR5T,KAAK4T,OAASxK,IASlBpJ,KAAKmF,MAAQnF,KAAK4rB,UACdhe,EACA5N,KAAK0N,OAMX4d,GAAQ1rB,UAAU8N,IAAM,WAEtB,IAAIvI,EADJuK,GAAW1P,MAEX,IAAI4U,EAAK5U,KAAK4U,GACd,IACEzP,EAAQnF,KAAK4T,OAAOvT,KAAKuU,EAAIA,GAC7B,MAAO9K,GACP,IAAI9J,KAAK2rB,KAGP,MAAM7hB,EAFNmO,GAAYnO,EAAG8K,EAAK,uBAA2B5U,KAAe,WAAI,KAIpE,QAGIA,KAAK0rB,MACP1R,GAAS7U,GAEXwK,KACA3P,KAAKssB,cAEP,OAAOnnB,GAMTmmB,GAAQ1rB,UAAU0P,OAAS,SAAiBiD,GAC1C,IAAIzS,EAAKyS,EAAIzS,GACRE,KAAKisB,UAAUxd,IAAI3O,KACtBE,KAAKisB,UAAUvd,IAAI5O,GACnBE,KAAK+rB,QAAQ7c,KAAKqD,GACbvS,KAAKgsB,OAAOvd,IAAI3O,IACnByS,EAAIvD,OAAOhP,QAQjBsrB,GAAQ1rB,UAAU0sB,YAAc,WAE9B,IADA,IAAI7nB,EAAIzE,KAAK8rB,KAAK3nB,OACXM,KAAK,CACV,IAAI8N,EAAMvS,KAAK8rB,KAAKrnB,GACfzE,KAAKisB,UAAUxd,IAAI8D,EAAIzS,KAC1ByS,EAAIpD,UAAUnP,MAGlB,IAAIusB,EAAMvsB,KAAKgsB,OACfhsB,KAAKgsB,OAAShsB,KAAKisB,UACnBjsB,KAAKisB,UAAYM,EACjBvsB,KAAKisB,UAAUtd,QACf4d,EAAMvsB,KAAK8rB,KACX9rB,KAAK8rB,KAAO9rB,KAAK+rB,QACjB/rB,KAAK+rB,QAAUQ,EACfvsB,KAAK+rB,QAAQ5nB,OAAS,GAOxBmnB,GAAQ1rB,UAAU4P,OAAS,WAErBxP,KAAK4rB,KACP5rB,KAAK6rB,OAAQ,EACJ7rB,KAAK6mB,KACd7mB,KAAK2E,MAnKT,SAAuBimB,GACrB,IAAI9qB,EAAK8qB,EAAQ9qB,GACjB,GAAe,MAAX2O,GAAI3O,GAAa,CAEnB,GADA2O,GAAI3O,IAAM,EACLuqB,GAEE,CAIL,IADA,IAAI5lB,EAAI0lB,GAAMhmB,OAAS,EAChBM,EAAI2C,IAAS+iB,GAAM1lB,GAAG3E,GAAK8qB,EAAQ9qB,IACxC2E,IAEF0lB,GAAM9iB,OAAO5C,EAAI,EAAG,EAAGmmB,QARvBT,GAAMjb,KAAK0b,GAWRR,KACHA,IAAU,EAMVznB,GAASgoB,MA8IX6B,CAAaxsB,OAQjBsrB,GAAQ1rB,UAAU+E,IAAM,WACtB,GAAI3E,KAAKsB,OAAQ,CACf,IAAI6D,EAAQnF,KAAK0N,MACjB,GACEvI,IAAUnF,KAAKmF,OAIfC,EAASD,IACTnF,KAAK0rB,KACL,CAEA,IAAIe,EAAWzsB,KAAKmF,MAEpB,GADAnF,KAAKmF,MAAQA,EACTnF,KAAK2rB,KACP,IACE3rB,KAAK6Z,GAAGxZ,KAAKL,KAAK4U,GAAIzP,EAAOsnB,GAC7B,MAAO3iB,GACPmO,GAAYnO,EAAG9J,KAAK4U,GAAK,yBAA6B5U,KAAe,WAAI,UAG3EA,KAAK6Z,GAAGxZ,KAAKL,KAAK4U,GAAIzP,EAAOsnB,MAUrCnB,GAAQ1rB,UAAU8sB,SAAW,WAC3B1sB,KAAKmF,MAAQnF,KAAK0N,MAClB1N,KAAK6rB,OAAQ,GAMfP,GAAQ1rB,UAAUwP,OAAS,WAEzB,IADA,IAAI3K,EAAIzE,KAAK8rB,KAAK3nB,OACXM,KACLzE,KAAK8rB,KAAKrnB,GAAG2K,UAOjBkc,GAAQ1rB,UAAU+sB,SAAW,WAC3B,GAAI3sB,KAAKsB,OAAQ,CAIVtB,KAAK4U,GAAGgY,mBACX1lB,EAAOlH,KAAK4U,GAAG6W,UAAWzrB,MAG5B,IADA,IAAIyE,EAAIzE,KAAK8rB,KAAK3nB,OACXM,KACLzE,KAAK8rB,KAAKrnB,GAAG0K,UAAUnP,MAEzBA,KAAKsB,QAAS,IAMlB,IAAIurB,GAA2B,CAC7B9gB,YAAY,EACZG,cAAc,EACdwB,IAAKtE,EACLoF,IAAKpF,GAGP,SAASyU,GAAOxO,EAAQyd,EAAWtlB,GACjCqlB,GAAyBnf,IAAM,WAC7B,OAAO1N,KAAK8sB,GAAWtlB,IAEzBqlB,GAAyBre,IAAM,SAAsB9I,GACnD1F,KAAK8sB,GAAWtlB,GAAO9B,GAEzBpD,OAAO0J,eAAeqD,EAAQ7H,EAAKqlB,IAGrC,SAASE,GAAWnY,GAClBA,EAAG6W,UAAY,GACf,IAAIhe,EAAOmH,EAAG8C,SACVjK,EAAK8H,OAaX,SAAoBX,EAAIoY,GACtB,IAAI9V,EAAYtC,EAAG8C,SAASR,WAAa,GACrC3B,EAAQX,EAAG+C,OAAS,GAGpBzN,EAAO0K,EAAG8C,SAASoN,UAAY,GACrBlQ,EAAGyD,SAGf1F,IAAgB,GAElB,IAAI6M,EAAO,SAAWhY,GACpB0C,EAAKgF,KAAK1H,GACV,IAAIrC,EAAQ6R,GAAaxP,EAAKwlB,EAAc9V,EAAWtC,GAuBrDrB,GAAkBgC,EAAO/N,EAAKrC,GAK1BqC,KAAOoN,GACXiJ,GAAMjJ,EAAI,SAAUpN,IAIxB,IAAK,IAAIA,KAAOwlB,EAAcxN,EAAMhY,GACpCmL,IAAgB,GA5DEsa,CAAUrY,EAAInH,EAAK8H,OACjC9H,EAAK+H,SAoNX,SAAsBZ,EAAIY,GACZZ,EAAG8C,SAASnC,MACxB,IAAK,IAAI/N,KAAOgO,EAsBdZ,EAAGpN,GAA+B,mBAAjBgO,EAAQhO,GAAsB4B,EAAOd,EAAKkN,EAAQhO,GAAMoN,GA5OvDsY,CAAYtY,EAAInH,EAAK+H,SACrC/H,EAAKnK,KA6DX,SAAmBsR,GACjB,IAAItR,EAAOsR,EAAG8C,SAASpU,KAIlBiC,EAHLjC,EAAOsR,EAAGuY,MAAwB,mBAAT7pB,EAwC3B,SAAkBA,EAAMsR,GAEtBlF,KACA,IACE,OAAOpM,EAAKjD,KAAKuU,EAAIA,GACrB,MAAO9K,GAEP,OADAmO,GAAYnO,EAAG8K,EAAI,UACZ,GACP,QACAjF,MAhDEyd,CAAQ9pB,EAAMsR,GACdtR,GAAQ,MAEVA,EAAO,IAQT,IAAI4G,EAAO5H,OAAO4H,KAAK5G,GACnBiS,EAAQX,EAAG8C,SAASnC,MAEpB9Q,GADUmQ,EAAG8C,SAASlC,QAClBtL,EAAK/F,QACb,KAAOM,KAAK,CACV,IAAI+C,EAAM0C,EAAKzF,GACX,EAQA8Q,GAAShO,EAAOgO,EAAO/N,IAMfoE,EAAWpE,IACrBqW,GAAMjJ,EAAI,QAASpN,GAIvB2L,GAAQ7P,GAAM,GAnGZ+pB,CAASzY,GAETzB,GAAQyB,EAAGuY,MAAQ,IAAI,GAErB1f,EAAKiI,UAiHX,SAAuBd,EAAIc,GAEzB,IAAI4X,EAAW1Y,EAAG2Y,kBAAoBjrB,OAAOsE,OAAO,MAEhD4mB,EAAQ7f,KAEZ,IAAK,IAAInG,KAAOkO,EAAU,CACxB,IAAI+X,EAAU/X,EAASlO,GACnBoM,EAA4B,mBAAZ6Z,EAAyBA,EAAUA,EAAQ/f,IAC3D,EAOC8f,IAEHF,EAAS9lB,GAAO,IAAI8jB,GAClB1W,EACAhB,GAAUxK,EACVA,EACAskB,KAOElmB,KAAOoN,GACX+Y,GAAe/Y,EAAIpN,EAAKimB,IA/IPG,CAAahZ,EAAInH,EAAKiI,UACvCjI,EAAKF,OAASE,EAAKF,QAAUD,IAyOnC,SAAoBsH,EAAIrH,GACtB,IAAK,IAAI/F,KAAO+F,EAAO,CACrB,IAAIkL,EAAUlL,EAAM/F,GACpB,GAAIhD,MAAM2B,QAAQsS,GAChB,IAAK,IAAIhU,EAAI,EAAGA,EAAIgU,EAAQtU,OAAQM,IAClCopB,GAAcjZ,EAAIpN,EAAKiR,EAAQhU,SAGjCopB,GAAcjZ,EAAIpN,EAAKiR,IAhPzBqV,CAAUlZ,EAAInH,EAAKF,OA6GvB,IAAImgB,GAAyB,CAAE9B,MAAM,GA2CrC,SAAS+B,GACPte,EACA7H,EACAimB,GAEA,IAAIM,GAAepgB,KACI,mBAAZ8f,GACTZ,GAAyBnf,IAAMqgB,EAC3BC,GAAqBxmB,GACrBymB,GAAoBR,GACxBZ,GAAyBre,IAAMpF,IAE/ByjB,GAAyBnf,IAAM+f,EAAQ/f,IACnCqgB,IAAiC,IAAlBN,EAAQ9lB,MACrBqmB,GAAqBxmB,GACrBymB,GAAoBR,EAAQ/f,KAC9BtE,EACJyjB,GAAyBre,IAAMif,EAAQjf,KAAOpF,GAWhD9G,OAAO0J,eAAeqD,EAAQ7H,EAAKqlB,IAGrC,SAASmB,GAAsBxmB,GAC7B,OAAO,WACL,IAAIojB,EAAU5qB,KAAKutB,mBAAqBvtB,KAAKutB,kBAAkB/lB,GAC/D,GAAIojB,EAOF,OANIA,EAAQiB,OACVjB,EAAQ8B,WAEN5d,GAAIO,QACNub,EAAQxb,SAEHwb,EAAQzlB,OAKrB,SAAS8oB,GAAoBvmB,GAC3B,OAAO,WACL,OAAOA,EAAGrH,KAAKL,KAAMA,OA6CzB,SAAS6tB,GACPjZ,EACA2W,EACA9S,EACA3C,GASA,OAPIvQ,EAAckT,KAChB3C,EAAU2C,EACVA,EAAUA,EAAQA,SAEG,iBAAZA,IACTA,EAAU7D,EAAG6D,IAER7D,EAAGsZ,OAAO3C,EAAS9S,EAAS3C,GAwDrC,IAAIqY,GAAQ,EAgFZ,SAASzG,GAA2BzZ,GAClC,IAAI6H,EAAU7H,EAAK6H,QACnB,GAAI7H,EAAKmgB,MAAO,CACd,IAAIC,EAAe3G,GAA0BzZ,EAAKmgB,OAElD,GAAIC,IADqBpgB,EAAKogB,aACW,CAGvCpgB,EAAKogB,aAAeA,EAEpB,IAAIC,EAcV,SAAiCrgB,GAC/B,IAAIsgB,EACAC,EAASvgB,EAAK6H,QACd2Y,EAASxgB,EAAKygB,cAClB,IAAK,IAAIlnB,KAAOgnB,EACVA,EAAOhnB,KAASinB,EAAOjnB,KACpB+mB,IAAYA,EAAW,IAC5BA,EAAS/mB,GAAOgnB,EAAOhnB,IAG3B,OAAO+mB,EAxBmBI,CAAuB1gB,GAEzCqgB,GACFvlB,EAAOkF,EAAK2gB,cAAeN,IAE7BxY,EAAU7H,EAAK6H,QAAUD,GAAawY,EAAcpgB,EAAK2gB,gBAC7CrU,OACVzE,EAAQ+Y,WAAW/Y,EAAQyE,MAAQtM,IAIzC,OAAO6H,EAgBT,SAASgZ,GAAKhZ,GAMZ9V,KAAK+uB,MAAMjZ,GA0Cb,SAASkZ,GAAYF,GAMnBA,EAAI1I,IAAM,EACV,IAAIA,EAAM,EAKV0I,EAAI/lB,OAAS,SAAU6lB,GACrBA,EAAgBA,GAAiB,GACjC,IAAIK,EAAQjvB,KACRkvB,EAAUD,EAAM7I,IAChB+I,EAAcP,EAAcQ,QAAUR,EAAcQ,MAAQ,IAChE,GAAID,EAAYD,GACd,OAAOC,EAAYD,GAGrB,IAAI3U,EAAOqU,EAAcrU,MAAQ0U,EAAMnZ,QAAQyE,KAK/C,IAAI8U,EAAM,SAAuBvZ,GAC/B9V,KAAK+uB,MAAMjZ,IA6Cb,OA3CAuZ,EAAIzvB,UAAY0C,OAAOsE,OAAOqoB,EAAMrvB,YACtB0vB,YAAcD,EAC5BA,EAAIjJ,IAAMA,IACViJ,EAAIvZ,QAAUD,GACZoZ,EAAMnZ,QACN8Y,GAEFS,EAAW,MAAIJ,EAKXI,EAAIvZ,QAAQP,OAmCpB,SAAsBga,GACpB,IAAIha,EAAQga,EAAKzZ,QAAQP,MACzB,IAAK,IAAI/N,KAAO+N,EACdsI,GAAM0R,EAAK3vB,UAAW,SAAU4H,GArC9BgoB,CAAYH,GAEVA,EAAIvZ,QAAQJ,UAuCpB,SAAyB6Z,GACvB,IAAI7Z,EAAW6Z,EAAKzZ,QAAQJ,SAC5B,IAAK,IAAIlO,KAAOkO,EACdiY,GAAe4B,EAAK3vB,UAAW4H,EAAKkO,EAASlO,IAzC3CioB,CAAeJ,GAIjBA,EAAItmB,OAASkmB,EAAMlmB,OACnBsmB,EAAIK,MAAQT,EAAMS,MAClBL,EAAIM,IAAMV,EAAMU,IAIhBplB,EAAYuH,SAAQ,SAAUuD,GAC5Bga,EAAIha,GAAQ4Z,EAAM5Z,MAGhBkF,IACF8U,EAAIvZ,QAAQ+Y,WAAWtU,GAAQ8U,GAMjCA,EAAIhB,aAAeY,EAAMnZ,QACzBuZ,EAAIT,cAAgBA,EACpBS,EAAIX,cAAgB3lB,EAAO,GAAIsmB,EAAIvZ,SAGnCqZ,EAAYD,GAAWG,EAChBA,GAsDX,SAASO,GAAkBniB,GACzB,OAAOA,IAASA,EAAKQ,KAAK6H,QAAQyE,MAAQ9M,EAAKqC,KAGjD,SAAS+f,GAASC,EAASvV,GACzB,OAAI/V,MAAM2B,QAAQ2pB,GACTA,EAAQ7rB,QAAQsW,IAAS,EACJ,iBAAZuV,EACTA,EAAQhpB,MAAM,KAAK7C,QAAQsW,IAAS,IAClC/U,EAASsqB,IACXA,EAAQ9iB,KAAKuN,GAMxB,SAASwV,GAAYC,EAAmBC,GACtC,IAAItoB,EAAQqoB,EAAkBroB,MAC1BuC,EAAO8lB,EAAkB9lB,KACzBwa,EAASsL,EAAkBtL,OAC/B,IAAK,IAAIld,KAAOG,EAAO,CACrB,IAAIuoB,EAAavoB,EAAMH,GACvB,GAAI0oB,EAAY,CACd,IAAI3V,EAAOqV,GAAiBM,EAAW/f,kBACnCoK,IAAS0V,EAAO1V,IAClB4V,GAAgBxoB,EAAOH,EAAK0C,EAAMwa,KAM1C,SAASyL,GACPxoB,EACAH,EACA0C,EACAkmB,GAEA,IAAIC,EAAY1oB,EAAMH,IAClB6oB,GAAeD,GAAWC,EAAUvgB,MAAQsgB,EAAQtgB,KACtDugB,EAAU5f,kBAAkBuV,WAE9Bre,EAAMH,GAAO,KACbN,EAAOgD,EAAM1C,IA3Uf,SAAoBsnB,GAClBA,EAAIlvB,UAAUmvB,MAAQ,SAAUjZ,GAC9B,IAAIlB,EAAK5U,KAET4U,EAAG0b,KAAOnC,KAWVvZ,EAAGtB,QAAS,EAERwC,GAAWA,EAAQ6N,aA0C3B,SAAgC/O,EAAIkB,GAClC,IAAIrI,EAAOmH,EAAG8C,SAAWpV,OAAOsE,OAAOgO,EAAG0a,YAAYxZ,SAElDoO,EAAcpO,EAAQ8N,aAC1BnW,EAAKiD,OAASoF,EAAQpF,OACtBjD,EAAKmW,aAAeM,EAEpB,IAAIqM,EAAwBrM,EAAY/T,iBACxC1C,EAAKyJ,UAAYqZ,EAAsBrZ,UACvCzJ,EAAKuX,iBAAmBuL,EAAsB7N,UAC9CjV,EAAK+W,gBAAkB+L,EAAsBxgB,SAC7CtC,EAAK+iB,cAAgBD,EAAsBzgB,IAEvCgG,EAAQiI,SACVtQ,EAAKsQ,OAASjI,EAAQiI,OACtBtQ,EAAKyS,gBAAkBpK,EAAQoK,iBArD7BuQ,CAAsB7b,EAAIkB,GAE1BlB,EAAG8C,SAAW7B,GACZ6R,GAA0B9S,EAAG0a,aAC7BxZ,GAAW,GACXlB,GAOFA,EAAGuL,aAAevL,EAGpBA,EAAG8b,MAAQ9b,EApkCf,SAAwBA,GACtB,IAAIkB,EAAUlB,EAAG8C,SAGbhH,EAASoF,EAAQpF,OACrB,GAAIA,IAAWoF,EAAQoS,SAAU,CAC/B,KAAOxX,EAAOgH,SAASwQ,UAAYxX,EAAO2H,SACxC3H,EAASA,EAAO2H,QAElB3H,EAAOqV,UAAU7W,KAAK0F,GAGxBA,EAAGyD,QAAU3H,EACbkE,EAAG+b,MAAQjgB,EAASA,EAAOigB,MAAQ/b,EAEnCA,EAAGmR,UAAY,GACfnR,EAAGgc,MAAQ,GAEXhc,EAAGsW,SAAW,KACdtW,EAAG2Q,UAAY,KACf3Q,EAAGiR,iBAAkB,EACrBjR,EAAGyQ,YAAa,EAChBzQ,EAAG2O,cAAe,EAClB3O,EAAGgY,mBAAoB,EA8iCrBiE,CAAcjc,GAvtClB,SAAqBA,GACnBA,EAAGkc,QAAUxuB,OAAOsE,OAAO,MAC3BgO,EAAGqV,eAAgB,EAEnB,IAAIvH,EAAY9N,EAAG8C,SAASsN,iBACxBtC,GACFuC,GAAyBrQ,EAAI8N,GAktC7BqO,CAAWnc,GAn/Cf,SAAqBA,GACnBA,EAAG8P,OAAS,KACZ9P,EAAGmL,aAAe,KAClB,IAAIjK,EAAUlB,EAAG8C,SACbwM,EAActP,EAAG6P,OAAS3O,EAAQ8N,aAClCX,EAAgBiB,GAAeA,EAAYhU,QAC/C0E,EAAG6J,OAAS9B,GAAa7G,EAAQ0O,gBAAiBvB,GAClDrO,EAAG4J,aAAe5Z,EAKlBgQ,EAAGkO,GAAK,SAAUra,EAAGY,EAAGrB,EAAG+a,GAAK,OAAOvf,GAAcoR,EAAInM,EAAGY,EAAGrB,EAAG+a,GAAG,IAGrEnO,EAAG8J,eAAiB,SAAUjW,EAAGY,EAAGrB,EAAG+a,GAAK,OAAOvf,GAAcoR,EAAInM,EAAGY,EAAGrB,EAAG+a,GAAG,IAIjF,IAAIiO,EAAa9M,GAAeA,EAAY5gB,KAW1CiQ,GAAkBqB,EAAI,SAAUoc,GAAcA,EAAWnU,OAASjY,EAAa,MAAM,GACrF2O,GAAkBqB,EAAI,aAAckB,EAAQkP,kBAAoBpgB,EAAa,MAAM,GAq9CnFqsB,CAAWrc,GACX0Q,GAAS1Q,EAAI,gBAlhFjB,SAAyBA,GACvB,IAAIzC,EAASoK,GAAc3H,EAAG8C,SAASjC,OAAQb,GAC3CzC,IACFQ,IAAgB,GAChBrQ,OAAO4H,KAAKiI,GAAQL,SAAQ,SAAUtK,GAYlC+L,GAAkBqB,EAAIpN,EAAK2K,EAAO3K,OAGtCmL,IAAgB,IAggFhBue,CAAetc,GACfmY,GAAUnY,GA7hFd,SAAsBA,GACpB,IAAIe,EAAUf,EAAG8C,SAAS/B,QACtBA,IACFf,EAAG6H,UAA+B,mBAAZ9G,EAClBA,EAAQtV,KAAKuU,GACbe,GAyhFJwb,CAAYvc,GACZ0Q,GAAS1Q,EAAI,WASTA,EAAG8C,SAAS0Z,IACdxc,EAAGoP,OAAOpP,EAAG8C,SAAS0Z,KAsE5BC,CAAUvC,IAnLV,SAAqBA,GAInB,IAAIwC,EAAU,CACd,IAAc,WAAc,OAAOtxB,KAAKmtB,QACpCoE,EAAW,CACf,IAAe,WAAc,OAAOvxB,KAAK2X,SAazCrV,OAAO0J,eAAe8iB,EAAIlvB,UAAW,QAAS0xB,GAC9ChvB,OAAO0J,eAAe8iB,EAAIlvB,UAAW,SAAU2xB,GAE/CzC,EAAIlvB,UAAU4xB,KAAOhjB,GACrBsgB,EAAIlvB,UAAU6xB,QAAUvd,GAExB4a,EAAIlvB,UAAUsuB,OAAS,SACrB3C,EACA1R,EACA/D,GAGA,GAAIvQ,EAAcsU,GAChB,OAAOgU,GAFA7tB,KAEkBurB,EAAS1R,EAAI/D,IAExCA,EAAUA,GAAW,IACb6V,MAAO,EACf,IAAIf,EAAU,IAAIU,GANTtrB,KAMqBurB,EAAS1R,EAAI/D,GAC3C,GAAIA,EAAQ4b,UACV,IACE7X,EAAGxZ,KATEL,KASO4qB,EAAQzlB,OACpB,MAAO0T,GACPZ,GAAYY,EAXP7Y,KAWmB,mCAAuC4qB,EAAkB,WAAI,KAGzF,OAAO,WACLA,EAAQ+B,aAsIdgF,CAAW7C,IAvwCX,SAAsBA,GACpB,IAAI8C,EAAS,SACb9C,EAAIlvB,UAAUonB,IAAM,SAAU3jB,EAAOqE,GACnC,IAAIkN,EAAK5U,KACT,GAAIwE,MAAM2B,QAAQ9C,GAChB,IAAK,IAAIoB,EAAI,EAAGiE,EAAIrF,EAAMc,OAAQM,EAAIiE,EAAGjE,IACvCmQ,EAAGoS,IAAI3jB,EAAMoB,GAAIiD,QAGlBkN,EAAGkc,QAAQztB,KAAWuR,EAAGkc,QAAQztB,GAAS,KAAK6L,KAAKxH,GAGjDkqB,EAAO5kB,KAAK3J,KACduR,EAAGqV,eAAgB,GAGvB,OAAOrV,GAGTka,EAAIlvB,UAAUiyB,MAAQ,SAAUxuB,EAAOqE,GACrC,IAAIkN,EAAK5U,KACT,SAASgb,IACPpG,EAAG8U,KAAKrmB,EAAO2X,GACftT,EAAGhI,MAAMkV,EAAIrU,WAIf,OAFAya,EAAGtT,GAAKA,EACRkN,EAAGoS,IAAI3jB,EAAO2X,GACPpG,GAGTka,EAAIlvB,UAAU8pB,KAAO,SAAUrmB,EAAOqE,GACpC,IAAIkN,EAAK5U,KAET,IAAKO,UAAU4D,OAEb,OADAyQ,EAAGkc,QAAUxuB,OAAOsE,OAAO,MACpBgO,EAGT,GAAIpQ,MAAM2B,QAAQ9C,GAAQ,CACxB,IAAK,IAAIyuB,EAAM,EAAGppB,EAAIrF,EAAMc,OAAQ2tB,EAAMppB,EAAGopB,IAC3Cld,EAAG8U,KAAKrmB,EAAMyuB,GAAMpqB,GAEtB,OAAOkN,EAGT,IASIiF,EATAkY,EAAMnd,EAAGkc,QAAQztB,GACrB,IAAK0uB,EACH,OAAOnd,EAET,IAAKlN,EAEH,OADAkN,EAAGkc,QAAQztB,GAAS,KACbuR,EAKT,IADA,IAAInQ,EAAIstB,EAAI5tB,OACLM,KAEL,IADAoV,EAAKkY,EAAIttB,MACEiD,GAAMmS,EAAGnS,KAAOA,EAAI,CAC7BqqB,EAAI1qB,OAAO5C,EAAG,GACd,MAGJ,OAAOmQ,GAGTka,EAAIlvB,UAAUsqB,MAAQ,SAAU7mB,GAC9B,IAAIuR,EAAK5U,KAaL+xB,EAAMnd,EAAGkc,QAAQztB,GACrB,GAAI0uB,EAAK,CACPA,EAAMA,EAAI5tB,OAAS,EAAIyE,EAAQmpB,GAAOA,EAGtC,IAFA,IAAIxtB,EAAOqE,EAAQrI,UAAW,GAC1B4X,EAAO,sBAAyB9U,EAAQ,IACnCoB,EAAI,EAAGiE,EAAIqpB,EAAI5tB,OAAQM,EAAIiE,EAAGjE,IACrC+T,GAAwBuZ,EAAIttB,GAAImQ,EAAIrQ,EAAMqQ,EAAIuD,GAGlD,OAAOvD,GA+qCXod,CAAYlD,IApoCZ,SAAyBA,GACvBA,EAAIlvB,UAAUqyB,QAAU,SAAUvgB,EAAO4R,GACvC,IAAI1O,EAAK5U,KACLkyB,EAAStd,EAAGud,IACZC,EAAYxd,EAAG8P,OACf2N,EAAwBxI,GAAkBjV,GAC9CA,EAAG8P,OAAShT,EAQVkD,EAAGud,IALAC,EAKMxd,EAAG0d,UAAUF,EAAW1gB,GAHxBkD,EAAG0d,UAAU1d,EAAGud,IAAKzgB,EAAO4R,GAAW,GAKlD+O,IAEIH,IACFA,EAAOK,QAAU,MAEf3d,EAAGud,MACLvd,EAAGud,IAAII,QAAU3d,GAGfA,EAAG6P,QAAU7P,EAAGyD,SAAWzD,EAAG6P,SAAW7P,EAAGyD,QAAQqM,SACtD9P,EAAGyD,QAAQ8Z,IAAMvd,EAAGud,MAMxBrD,EAAIlvB,UAAUslB,aAAe,WAClBllB,KACFkrB,UADElrB,KAEJkrB,SAAS1b,UAIhBsf,EAAIlvB,UAAUomB,SAAW,WACvB,IAAIpR,EAAK5U,KACT,IAAI4U,EAAGgY,kBAAP,CAGAtH,GAAS1Q,EAAI,iBACbA,EAAGgY,mBAAoB,EAEvB,IAAIlc,EAASkE,EAAGyD,SACZ3H,GAAWA,EAAOkc,mBAAsBhY,EAAG8C,SAASwQ,UACtDhhB,EAAOwJ,EAAOqV,UAAWnR,GAGvBA,EAAGsW,UACLtW,EAAGsW,SAASyB,WAGd,IADA,IAAIloB,EAAImQ,EAAG6W,UAAUtnB,OACdM,KACLmQ,EAAG6W,UAAUhnB,GAAGkoB,WAId/X,EAAGuY,MAAM9a,QACXuC,EAAGuY,MAAM9a,OAAOQ,UAGlB+B,EAAG2O,cAAe,EAElB3O,EAAG0d,UAAU1d,EAAG8P,OAAQ,MAExBY,GAAS1Q,EAAI,aAEbA,EAAG8U,OAEC9U,EAAGud,MACLvd,EAAGud,IAAII,QAAU,MAGf3d,EAAG6P,SACL7P,EAAG6P,OAAO/T,OAAS,QAujCzB8hB,CAAe1D,IAviDf,SAAsBA,GAEpB7N,GAAqB6N,EAAIlvB,WAEzBkvB,EAAIlvB,UAAU6yB,UAAY,SAAU/qB,GAClC,OAAO/E,GAAS+E,EAAI1H,OAGtB8uB,EAAIlvB,UAAU8yB,QAAU,WACtB,IAiBIhhB,EAjBAkD,EAAK5U,KACLc,EAAM8T,EAAG8C,SACTqG,EAASjd,EAAIid,OACb6F,EAAe9iB,EAAI8iB,aAEnBA,IACFhP,EAAG4J,aAAevB,GAChB2G,EAAatgB,KAAKsf,YAClBhO,EAAG6J,OACH7J,EAAG4J,eAMP5J,EAAG6P,OAASb,EAGZ,IAIE6C,GAA2B7R,EAC3BlD,EAAQqM,EAAO1d,KAAKuU,EAAGuL,aAAcvL,EAAG8J,gBACxC,MAAO5U,GACPmO,GAAYnO,EAAG8K,EAAI,UAYjBlD,EAAQkD,EAAG8P,OAEb,QACA+B,GAA2B,KAmB7B,OAhBIjiB,MAAM2B,QAAQuL,IAA2B,IAAjBA,EAAMvN,SAChCuN,EAAQA,EAAM,IAGVA,aAAiB7B,KAQrB6B,EAAQJ,MAGVI,EAAMhB,OAASkT,EACRlS,GAo+CXihB,CAAY7D,IA8MZ,IAAI8D,GAAe,CAAC/sB,OAAQuG,OAAQ5H,OAiFhCquB,GAAoB,CACtBC,UAhFc,CACdvY,KAAM,aACN2N,UAAU,EAEV3S,MAAO,CACLwd,QAASH,GACTI,QAASJ,GACT3e,IAAK,CAACpO,OAAQotB,SAGhBC,QAAS,WACPlzB,KAAK2H,MAAQrF,OAAOsE,OAAO,MAC3B5G,KAAKkK,KAAO,IAGdipB,UAAW,WACT,IAAK,IAAI3rB,KAAOxH,KAAK2H,MACnBwoB,GAAgBnwB,KAAK2H,MAAOH,EAAKxH,KAAKkK,OAI1CkpB,QAAS,WACP,IAAI/Q,EAASriB,KAEbA,KAAKkuB,OAAO,WAAW,SAAUxoB,GAC/BqqB,GAAW1N,GAAQ,SAAU9H,GAAQ,OAAOsV,GAAQnqB,EAAK6U,SAE3Dva,KAAKkuB,OAAO,WAAW,SAAUxoB,GAC/BqqB,GAAW1N,GAAQ,SAAU9H,GAAQ,OAAQsV,GAAQnqB,EAAK6U,UAI9DwD,OAAQ,WACN,IAAIjB,EAAO9c,KAAKye,OAAOhH,QACnB/F,EAAQ8X,GAAuB1M,GAC/B3M,EAAmBuB,GAASA,EAAMvB,iBACtC,GAAIA,EAAkB,CAEpB,IAAIoK,EAAOqV,GAAiBzf,GAExB4iB,EADM/yB,KACQ+yB,QACdC,EAFMhzB,KAEQgzB,QAClB,GAEGD,KAAaxY,IAASsV,GAAQkD,EAASxY,KAEvCyY,GAAWzY,GAAQsV,GAAQmD,EAASzY,GAErC,OAAO7I,EAGT,IACI/J,EADQ3H,KACM2H,MACduC,EAFQlK,KAEKkK,KACb1C,EAAmB,MAAbkK,EAAMlK,IAGZ2I,EAAiBlC,KAAKmY,KAAOjW,EAAiBL,IAAO,KAAQK,EAAoB,IAAK,IACtFuB,EAAMlK,IACNG,EAAMH,IACRkK,EAAMjB,kBAAoB9I,EAAMH,GAAKiJ,kBAErCvJ,EAAOgD,EAAM1C,GACb0C,EAAKgF,KAAK1H,KAEVG,EAAMH,GAAOkK,EACbxH,EAAKgF,KAAK1H,GAENxH,KAAKiU,KAAO/J,EAAK/F,OAASkvB,SAASrzB,KAAKiU,MAC1Ckc,GAAgBxoB,EAAOuC,EAAK,GAAIA,EAAMlK,KAAK0kB,SAI/ChT,EAAMpO,KAAKkgB,WAAY,EAEzB,OAAO9R,GAAUoL,GAAQA,EAAK,OAUlC,SAAwBgS,GAEtB,IAAIwE,EAAY,CAChB,IAAgB,WAAc,OAAO7oB,IAQrCnI,OAAO0J,eAAe8iB,EAAK,SAAUwE,GAKrCxE,EAAIyE,KAAO,CACT3kB,KAAMA,GACN7F,OAAQA,EACR8M,aAAcA,GACd2d,eAAgBjgB,IAGlBub,EAAItgB,IAAMA,GACVsgB,EAAI2E,OAASvf,GACb4a,EAAInsB,SAAWA,GAGfmsB,EAAI4E,WAAa,SAAUruB,GAEzB,OADA8N,GAAQ9N,GACDA,GAGTypB,EAAIhZ,QAAUxT,OAAOsE,OAAO,MAC5B2D,EAAYuH,SAAQ,SAAUuD,GAC5ByZ,EAAIhZ,QAAQT,EAAO,KAAO/S,OAAOsE,OAAO,SAK1CkoB,EAAIhZ,QAAQQ,MAAQwY,EAEpB/lB,EAAO+lB,EAAIhZ,QAAQ+Y,WAAYgE,IA3UjC,SAAkB/D,GAChBA,EAAIa,IAAM,SAAUgE,GAClB,IAAIC,EAAoB5zB,KAAK6zB,oBAAsB7zB,KAAK6zB,kBAAoB,IAC5E,GAAID,EAAiB3vB,QAAQ0vB,IAAW,EACtC,OAAO3zB,KAIT,IAAIuE,EAAOqE,EAAQrI,UAAW,GAQ9B,OAPAgE,EAAKuvB,QAAQ9zB,MACiB,mBAAnB2zB,EAAOI,QAChBJ,EAAOI,QAAQr0B,MAAMi0B,EAAQpvB,GACF,mBAAXovB,GAChBA,EAAOj0B,MAAM,KAAM6E,GAErBqvB,EAAiB1kB,KAAKykB,GACf3zB,MA6TTg0B,CAAQlF,GAvTV,SAAsBA,GACpBA,EAAIY,MAAQ,SAAUA,GAEpB,OADA1vB,KAAK8V,QAAUD,GAAa7V,KAAK8V,QAAS4Z,GACnC1vB,MAqTTi0B,CAAYnF,GACZE,GAAWF,GApNb,SAA6BA,GAI3BvkB,EAAYuH,SAAQ,SAAUuD,GAC5ByZ,EAAIzZ,GAAQ,SACVvV,EACAo0B,GAEA,OAAKA,GAOU,cAAT7e,GAAwB9P,EAAc2uB,KACxCA,EAAW3Z,KAAO2Z,EAAW3Z,MAAQza,EACrCo0B,EAAal0B,KAAK8V,QAAQQ,MAAMvN,OAAOmrB,IAE5B,cAAT7e,GAA8C,mBAAf6e,IACjCA,EAAa,CAAE5rB,KAAM4rB,EAAY1kB,OAAQ0kB,IAE3Cl0B,KAAK8V,QAAQT,EAAO,KAAKvV,GAAMo0B,EACxBA,GAdAl0B,KAAK8V,QAAQT,EAAO,KAAKvV,OA2MtCq0B,CAAmBrF,GAGrBsF,CAActF,IAEdxsB,OAAO0J,eAAe8iB,GAAIlvB,UAAW,YAAa,CAChD8N,IAAKC,KAGPrL,OAAO0J,eAAe8iB,GAAIlvB,UAAW,cAAe,CAClD8N,IAAK,WAEH,OAAO1N,KAAKykB,QAAUzkB,KAAKykB,OAAO4P,cAKtC/xB,OAAO0J,eAAe8iB,GAAK,0BAA2B,CACpD3pB,MAAOgd,KAGT2M,GAAIwF,QAAU,SAMd,IAAIlpB,GAAiB5E,EAAQ,eAGzB+tB,GAAc/tB,EAAQ,yCACtBgF,GAAc,SAAUsE,EAAKuF,EAAMmf,GACrC,MACY,UAATA,GAAoBD,GAAYzkB,IAAkB,WAATuF,GAChC,aAATmf,GAA+B,WAAR1kB,GACd,YAAT0kB,GAA8B,UAAR1kB,GACb,UAAT0kB,GAA4B,UAAR1kB,GAIrB2kB,GAAmBjuB,EAAQ,wCAE3BkuB,GAA8BluB,EAAQ,sCAWtCmuB,GAAgBnuB,EAClB,wYAQEouB,GAAU,+BAEVC,GAAU,SAAUta,GACtB,MAA0B,MAAnBA,EAAKpS,OAAO,IAAmC,UAArBoS,EAAKrW,MAAM,EAAG,IAG7C4wB,GAAe,SAAUva,GAC3B,OAAOsa,GAAQta,GAAQA,EAAKrW,MAAM,EAAGqW,EAAKpW,QAAU,IAGlD4wB,GAAmB,SAAUrvB,GAC/B,OAAc,MAAPA,IAAuB,IAARA,GAKxB,SAASsvB,GAAkBtjB,GAIzB,IAHA,IAAIpO,EAAOoO,EAAMpO,KACb2xB,EAAavjB,EACbwjB,EAAYxjB,EACT1M,EAAMkwB,EAAUzkB,qBACrBykB,EAAYA,EAAUzkB,kBAAkBiU,SACvBwQ,EAAU5xB,OACzBA,EAAO6xB,GAAeD,EAAU5xB,KAAMA,IAG1C,KAAO0B,EAAMiwB,EAAaA,EAAWvkB,SAC/BukB,GAAcA,EAAW3xB,OAC3BA,EAAO6xB,GAAe7xB,EAAM2xB,EAAW3xB,OAG3C,OAYF,SACE8xB,EACAC,GAEA,GAAIrwB,EAAMowB,IAAgBpwB,EAAMqwB,GAC9B,OAAOrgB,GAAOogB,EAAaE,GAAeD,IAG5C,MAAO,GApBAE,CAAYjyB,EAAK8xB,YAAa9xB,EAAK2lB,OAG5C,SAASkM,GAAgB/jB,EAAOV,GAC9B,MAAO,CACL0kB,YAAapgB,GAAO5D,EAAMgkB,YAAa1kB,EAAO0kB,aAC9CnM,MAAOjkB,EAAMoM,EAAM6X,OACf,CAAC7X,EAAM6X,MAAOvY,EAAOuY,OACrBvY,EAAOuY,OAef,SAASjU,GAAQvM,EAAGY,GAClB,OAAOZ,EAAIY,EAAKZ,EAAI,IAAMY,EAAKZ,EAAKY,GAAK,GAG3C,SAASisB,GAAgBnwB,GACvB,OAAIX,MAAM2B,QAAQhB,GAapB,SAAyBA,GAGvB,IAFA,IACIqwB,EADArsB,EAAM,GAED1E,EAAI,EAAGiE,EAAIvD,EAAMhB,OAAQM,EAAIiE,EAAGjE,IACnCO,EAAMwwB,EAAcF,GAAenwB,EAAMV,MAAwB,KAAhB+wB,IAC/CrsB,IAAOA,GAAO,KAClBA,GAAOqsB,GAGX,OAAOrsB,EArBEssB,CAAetwB,GAEpBC,EAASD,GAsBf,SAA0BA,GACxB,IAAIgE,EAAM,GACV,IAAK,IAAI3B,KAAOrC,EACVA,EAAMqC,KACJ2B,IAAOA,GAAO,KAClBA,GAAO3B,GAGX,OAAO2B,EA7BEusB,CAAgBvwB,GAEJ,iBAAVA,EACFA,EAGF,GA4BT,IAAIwwB,GAAe,CACjBC,IAAK,6BACLC,KAAM,sCAGJC,GAAYtvB,EACd,snBAeEuvB,GAAQvvB,EACV,kNAGA,GAKE2E,GAAgB,SAAU2E,GAC5B,OAAOgmB,GAAUhmB,IAAQimB,GAAMjmB,IAGjC,SAASxE,GAAiBwE,GACxB,OAAIimB,GAAMjmB,GACD,MAIG,SAARA,EACK,YADT,EAKF,IAAIkmB,GAAsB1zB,OAAOsE,OAAO,MA0BxC,IAAIqvB,GAAkBzvB,EAAQ,6CAO9B,SAAS0vB,GAAO9E,GACd,GAAkB,iBAAPA,EAAiB,CAC1B,IAAI+E,EAAW/zB,SAASg0B,cAAchF,GACtC,OAAK+E,GAII/zB,SAASoB,cAAc,OAIhC,OAAO4tB,EA8DX,IAAIiF,GAAuB/zB,OAAOuC,OAAO,CACvCrB,cAzDF,SAA0B8yB,EAAS5kB,GACjC,IAAIzB,EAAM7N,SAASoB,cAAc8yB,GACjC,MAAgB,WAAZA,GAIA5kB,EAAMpO,MAAQoO,EAAMpO,KAAKuZ,YAAuCjP,IAA9B8D,EAAMpO,KAAKuZ,MAAM0Z,UACrDtmB,EAAIumB,aAAa,WAAY,YAJtBvmB,GAuDTwmB,gBA9CF,SAA0BC,EAAWJ,GACnC,OAAOl0B,SAASq0B,gBAAgBd,GAAae,GAAYJ,IA8CzD3c,eA3CF,SAAyB3J,GACvB,OAAO5N,SAASuX,eAAe3J,IA2C/B2mB,cAxCF,SAAwB3mB,GACtB,OAAO5N,SAASu0B,cAAc3mB,IAwC9B4mB,aArCF,SAAuB3B,EAAY4B,EAASC,GAC1C7B,EAAW2B,aAAaC,EAASC,IAqCjClzB,YAlCF,SAAsB2N,EAAMH,GAC1BG,EAAK3N,YAAYwN,IAkCjBvN,YA/BF,SAAsB0N,EAAMH,GAC1BG,EAAK1N,YAAYuN,IA+BjB6jB,WA5BF,SAAqB1jB,GACnB,OAAOA,EAAK0jB,YA4BZ8B,YAzBF,SAAsBxlB,GACpB,OAAOA,EAAKwlB,aAyBZT,QAtBF,SAAkB/kB,GAChB,OAAOA,EAAK+kB,SAsBZU,eAnBF,SAAyBzlB,EAAMvB,GAC7BuB,EAAK0lB,YAAcjnB,GAmBnBknB,cAhBF,SAAwB3lB,EAAM4lB,GAC5B5lB,EAAKilB,aAAaW,EAAS,OAoBzBr2B,GAAM,CACR8F,OAAQ,SAAiBmB,EAAG2J,GAC1B0lB,GAAY1lB,IAEdlC,OAAQ,SAAiByU,EAAUvS,GAC7BuS,EAAS3gB,KAAKxC,MAAQ4Q,EAAMpO,KAAKxC,MACnCs2B,GAAYnT,GAAU,GACtBmT,GAAY1lB,KAGhBgU,QAAS,SAAkBhU,GACzB0lB,GAAY1lB,GAAO,KAIvB,SAAS0lB,GAAa1lB,EAAO2lB,GAC3B,IAAI7vB,EAAMkK,EAAMpO,KAAKxC,IACrB,GAAKkE,EAAMwC,GAAX,CAEA,IAAIoN,EAAKlD,EAAMxB,QACXpP,EAAM4Q,EAAMjB,mBAAqBiB,EAAMzB,IACvCqnB,EAAO1iB,EAAGgc,MACVyG,EACE7yB,MAAM2B,QAAQmxB,EAAK9vB,IACrBN,EAAOowB,EAAK9vB,GAAM1G,GACTw2B,EAAK9vB,KAAS1G,IACvBw2B,EAAK9vB,QAAOoG,GAGV8D,EAAMpO,KAAKi0B,SACR/yB,MAAM2B,QAAQmxB,EAAK9vB,IAEb8vB,EAAK9vB,GAAKvD,QAAQnD,GAAO,GAElCw2B,EAAK9vB,GAAK0H,KAAKpO,GAHfw2B,EAAK9vB,GAAO,CAAC1G,GAMfw2B,EAAK9vB,GAAO1G,GAiBlB,IAAI02B,GAAY,IAAI3nB,GAAM,GAAI,GAAI,IAE9BoF,GAAQ,CAAC,SAAU,WAAY,SAAU,SAAU,WAEvD,SAASwiB,GAAWhvB,EAAGY,GACrB,OACEZ,EAAEjB,MAAQ6B,EAAE7B,MAERiB,EAAEqH,MAAQzG,EAAEyG,KACZrH,EAAEqI,YAAczH,EAAEyH,WAClB9L,EAAMyD,EAAEnF,QAAU0B,EAAMqE,EAAE/F,OAWlC,SAAwBmF,EAAGY,GACzB,GAAc,UAAVZ,EAAEqH,IAAmB,OAAO,EAChC,IAAIrL,EACAizB,EAAQ1yB,EAAMP,EAAIgE,EAAEnF,OAAS0B,EAAMP,EAAIA,EAAEoY,QAAUpY,EAAE4Q,KACrDsiB,EAAQ3yB,EAAMP,EAAI4E,EAAE/F,OAAS0B,EAAMP,EAAIA,EAAEoY,QAAUpY,EAAE4Q,KACzD,OAAOqiB,IAAUC,GAAS1B,GAAgByB,IAAUzB,GAAgB0B,GAf9DC,CAAcnvB,EAAGY,IAEjBpE,EAAOwD,EAAEyI,qBACTzI,EAAE2H,eAAiB/G,EAAE+G,cACrBtL,EAAQuE,EAAE+G,aAAayI,QAc/B,SAASgf,GAAmB9nB,EAAU+nB,EAAUC,GAC9C,IAAItzB,EAAG+C,EACHb,EAAM,GACV,IAAKlC,EAAIqzB,EAAUrzB,GAAKszB,IAAUtzB,EAE5BO,EADJwC,EAAMuI,EAAStL,GAAG+C,OACAb,EAAIa,GAAO/C,GAE/B,OAAOkC,EAqtBT,IAAIwP,GAAa,CACfvP,OAAQoxB,GACRxoB,OAAQwoB,GACRtS,QAAS,SAA2BhU,GAClCsmB,GAAiBtmB,EAAO8lB,MAI5B,SAASQ,GAAkB/T,EAAUvS,IAC/BuS,EAAS3gB,KAAK6S,YAAczE,EAAMpO,KAAK6S,aAK7C,SAAkB8N,EAAUvS,GAC1B,IAQIlK,EAAKywB,EAAQC,EARbC,EAAWlU,IAAauT,GACxBY,EAAY1mB,IAAU8lB,GACtBa,EAAUC,GAAsBrU,EAAS3gB,KAAK6S,WAAY8N,EAAS/T,SACnEqoB,EAAUD,GAAsB5mB,EAAMpO,KAAK6S,WAAYzE,EAAMxB,SAE7DsoB,EAAiB,GACjBC,EAAoB,GAGxB,IAAKjxB,KAAO+wB,EACVN,EAASI,EAAQ7wB,GACjB0wB,EAAMK,EAAQ/wB,GACTywB,GAQHC,EAAIzL,SAAWwL,EAAO9yB,MACtB+yB,EAAIQ,OAAST,EAAOU,IACpBC,GAAWV,EAAK,SAAUxmB,EAAOuS,GAC7BiU,EAAIpsB,KAAOosB,EAAIpsB,IAAI+sB,kBACrBJ,EAAkBvpB,KAAKgpB,KAVzBU,GAAWV,EAAK,OAAQxmB,EAAOuS,GAC3BiU,EAAIpsB,KAAOosB,EAAIpsB,IAAIoG,UACrBsmB,EAAetpB,KAAKgpB,IAa1B,GAAIM,EAAer0B,OAAQ,CACzB,IAAI20B,EAAa,WACf,IAAK,IAAIr0B,EAAI,EAAGA,EAAI+zB,EAAer0B,OAAQM,IACzCm0B,GAAWJ,EAAe/zB,GAAI,WAAYiN,EAAOuS,IAGjDkU,EACF7c,GAAe5J,EAAO,SAAUonB,GAEhCA,IAIAL,EAAkBt0B,QACpBmX,GAAe5J,EAAO,aAAa,WACjC,IAAK,IAAIjN,EAAI,EAAGA,EAAIg0B,EAAkBt0B,OAAQM,IAC5Cm0B,GAAWH,EAAkBh0B,GAAI,mBAAoBiN,EAAOuS,MAKlE,IAAKkU,EACH,IAAK3wB,KAAO6wB,EACLE,EAAQ/wB,IAEXoxB,GAAWP,EAAQ7wB,GAAM,SAAUyc,EAAUA,EAAUmU,GA3D3DnG,CAAQhO,EAAUvS,GAiEtB,IAAIqnB,GAAiBz2B,OAAOsE,OAAO,MAEnC,SAAS0xB,GACPpiB,EACAtB,GAEA,IAKInQ,EAAGyzB,EALH/uB,EAAM7G,OAAOsE,OAAO,MACxB,IAAKsP,EAEH,OAAO/M,EAGT,IAAK1E,EAAI,EAAGA,EAAIyR,EAAK/R,OAAQM,KAC3ByzB,EAAMhiB,EAAKzR,IACFu0B,YAEPd,EAAIc,UAAYD,IAElB5vB,EAAI8vB,GAAcf,IAAQA,EAC1BA,EAAIpsB,IAAM6K,GAAa/B,EAAG8C,SAAU,aAAcwgB,EAAI3d,MAGxD,OAAOpR,EAGT,SAAS8vB,GAAef,GACtB,OAAOA,EAAIgB,SAAahB,EAAQ,KAAI,IAAO51B,OAAO4H,KAAKguB,EAAIc,WAAa,IAAIG,KAAK,KAGnF,SAASP,GAAYV,EAAK9iB,EAAM1D,EAAOuS,EAAUmU,GAC/C,IAAI1wB,EAAKwwB,EAAIpsB,KAAOosB,EAAIpsB,IAAIsJ,GAC5B,GAAI1N,EACF,IACEA,EAAGgK,EAAMzB,IAAKioB,EAAKxmB,EAAOuS,EAAUmU,GACpC,MAAOtuB,GACPmO,GAAYnO,EAAG4H,EAAMxB,QAAU,aAAgBgoB,EAAQ,KAAI,IAAM9iB,EAAO,UAK9E,IAAIgkB,GAAc,CAChBt4B,GACAqV,IAKF,SAASkjB,GAAapV,EAAUvS,GAC9B,IAAIjE,EAAOiE,EAAMvB,iBACjB,KAAInL,EAAMyI,KAA4C,IAAnCA,EAAKQ,KAAK6H,QAAQwjB,cAGjCx0B,EAAQmf,EAAS3gB,KAAKuZ,QAAU/X,EAAQ4M,EAAMpO,KAAKuZ,QAAvD,CAGA,IAAIrV,EAAK4Q,EACLnI,EAAMyB,EAAMzB,IACZspB,EAAWtV,EAAS3gB,KAAKuZ,OAAS,GAClCA,EAAQnL,EAAMpO,KAAKuZ,OAAS,GAMhC,IAAKrV,KAJDxC,EAAM6X,EAAMxK,UACdwK,EAAQnL,EAAMpO,KAAKuZ,MAAQ9T,EAAO,GAAI8T,IAG5BA,EACVzE,EAAMyE,EAAMrV,GACN+xB,EAAS/xB,KACH4Q,GACVohB,GAAQvpB,EAAKzI,EAAK4Q,GAStB,IAAK5Q,KAHAuF,GAAQG,IAAW2P,EAAM1X,QAAUo0B,EAASp0B,OAC/Cq0B,GAAQvpB,EAAK,QAAS4M,EAAM1X,OAElBo0B,EACNz0B,EAAQ+X,EAAMrV,MACZqtB,GAAQrtB,GACVyI,EAAIwpB,kBAAkB7E,GAASE,GAAattB,IAClCitB,GAAiBjtB,IAC3ByI,EAAIypB,gBAAgBlyB,KAM5B,SAASgyB,GAASpI,EAAI5pB,EAAKrC,GACrBisB,EAAGkF,QAAQryB,QAAQ,MAAQ,EAC7B01B,GAAYvI,EAAI5pB,EAAKrC,GACZwvB,GAAcntB,GAGnButB,GAAiB5vB,GACnBisB,EAAGsI,gBAAgBlyB,IAInBrC,EAAgB,oBAARqC,GAA4C,UAAf4pB,EAAGkF,QACpC,OACA9uB,EACJ4pB,EAAGoF,aAAahvB,EAAKrC,IAEdsvB,GAAiBjtB,GAC1B4pB,EAAGoF,aAAahvB,EA9vCS,SAAUA,EAAKrC,GAC1C,OAAO4vB,GAAiB5vB,IAAoB,UAAVA,EAC9B,QAEQ,oBAARqC,GAA6BktB,GAA4BvvB,GACvDA,EACA,OAwvCiBy0B,CAAuBpyB,EAAKrC,IACxC0vB,GAAQrtB,GACbutB,GAAiB5vB,GACnBisB,EAAGqI,kBAAkB7E,GAASE,GAAattB,IAE3C4pB,EAAGyI,eAAejF,GAASptB,EAAKrC,GAGlCw0B,GAAYvI,EAAI5pB,EAAKrC,GAIzB,SAASw0B,GAAavI,EAAI5pB,EAAKrC,GAC7B,GAAI4vB,GAAiB5vB,GACnBisB,EAAGsI,gBAAgBlyB,OACd,CAKL,GACEuF,IAASE,GACM,aAAfmkB,EAAGkF,SACK,gBAAR9uB,GAAmC,KAAVrC,IAAiBisB,EAAG0I,OAC7C,CACA,IAAIC,EAAU,SAAUjwB,GACtBA,EAAEkwB,2BACF5I,EAAG6I,oBAAoB,QAASF,IAElC3I,EAAGhtB,iBAAiB,QAAS21B,GAE7B3I,EAAG0I,QAAS,EAEd1I,EAAGoF,aAAahvB,EAAKrC,IAIzB,IAAI0X,GAAQ,CACVjW,OAAQyyB,GACR7pB,OAAQ6pB,IAKV,SAASa,GAAajW,EAAUvS,GAC9B,IAAI0f,EAAK1f,EAAMzB,IACX3M,EAAOoO,EAAMpO,KACb62B,EAAUlW,EAAS3gB,KACvB,KACEwB,EAAQxB,EAAK8xB,cACbtwB,EAAQxB,EAAK2lB,SACXnkB,EAAQq1B,IACNr1B,EAAQq1B,EAAQ/E,cAChBtwB,EAAQq1B,EAAQlR,SALtB,CAYA,IAAImR,EAAMpF,GAAiBtjB,GAGvB2oB,EAAkBjJ,EAAGkJ,mBACrBt1B,EAAMq1B,KACRD,EAAMplB,GAAOolB,EAAK9E,GAAe+E,KAI/BD,IAAQhJ,EAAGmJ,aACbnJ,EAAGoF,aAAa,QAAS4D,GACzBhJ,EAAGmJ,WAAaH,IAIpB,IA4YInoB,GAAKxL,GAAK+zB,GAAKC,GAASC,GAAeC,GA5YvCC,GAAQ,CACVh0B,OAAQszB,GACR1qB,OAAQ0qB,IAKNW,GAAsB,gBAE1B,SAASC,GAAcC,GACrB,IAQI/yB,EAAGgzB,EAAMv2B,EAAGynB,EAAY+O,EARxBC,GAAW,EACXC,GAAW,EACXC,GAAmB,EACnBC,GAAU,EACVC,EAAQ,EACRC,EAAS,EACTC,EAAQ,EACRC,EAAkB,EAGtB,IAAKh3B,EAAI,EAAGA,EAAIs2B,EAAI52B,OAAQM,IAG1B,GAFAu2B,EAAOhzB,EACPA,EAAI+yB,EAAIlvB,WAAWpH,GACfy2B,EACQ,KAANlzB,GAAuB,KAATgzB,IAAiBE,GAAW,QACzC,GAAIC,EACC,KAANnzB,GAAuB,KAATgzB,IAAiBG,GAAW,QACzC,GAAIC,EACC,KAANpzB,GAAuB,KAATgzB,IAAiBI,GAAmB,QACjD,GAAIC,EACC,KAANrzB,GAAuB,KAATgzB,IAAiBK,GAAU,QACxC,GACC,MAANrzB,GAC0B,MAA1B+yB,EAAIlvB,WAAWpH,EAAI,IACO,MAA1Bs2B,EAAIlvB,WAAWpH,EAAI,IAClB62B,GAAUC,GAAWC,EASjB,CACL,OAAQxzB,GACN,KAAK,GAAMmzB,GAAW,EAAM,MAC5B,KAAK,GAAMD,GAAW,EAAM,MAC5B,KAAK,GAAME,GAAmB,EAAM,MACpC,KAAK,GAAMI,IAAS,MACpB,KAAK,GAAMA,IAAS,MACpB,KAAK,GAAMD,IAAU,MACrB,KAAK,GAAMA,IAAU,MACrB,KAAK,IAAMD,IAAS,MACpB,KAAK,IAAMA,IAEb,GAAU,KAANtzB,EAAY,CAId,IAHA,IAAIgiB,EAAIvlB,EAAI,EACR4U,OAAI,EAED2Q,GAAK,GAEA,OADV3Q,EAAI0hB,EAAI5yB,OAAO6hB,IADFA,KAIV3Q,GAAMwhB,GAAoB7tB,KAAKqM,KAClCgiB,GAAU,cA5BKztB,IAAfse,GAEFuP,EAAkBh3B,EAAI,EACtBynB,EAAa6O,EAAI72B,MAAM,EAAGO,GAAGi3B,QAE7BC,IAmCN,SAASA,KACNV,IAAYA,EAAU,KAAK/rB,KAAK6rB,EAAI72B,MAAMu3B,EAAiBh3B,GAAGi3B,QAC/DD,EAAkBh3B,EAAI,EAGxB,QAXmBmJ,IAAfse,EACFA,EAAa6O,EAAI72B,MAAM,EAAGO,GAAGi3B,OACA,IAApBD,GACTE,IAQEV,EACF,IAAKx2B,EAAI,EAAGA,EAAIw2B,EAAQ92B,OAAQM,IAC9BynB,EAAa0P,GAAW1P,EAAY+O,EAAQx2B,IAIhD,OAAOynB,EAGT,SAAS0P,GAAYb,EAAK9K,GACxB,IAAIxrB,EAAIwrB,EAAOhsB,QAAQ,KACvB,GAAIQ,EAAI,EAEN,MAAQ,OAAUwrB,EAAS,MAAS8K,EAAM,IAE1C,IAAIxgB,EAAO0V,EAAO/rB,MAAM,EAAGO,GACvBF,EAAO0rB,EAAO/rB,MAAMO,EAAI,GAC5B,MAAQ,OAAU8V,EAAO,MAASwgB,GAAgB,MAATx2B,EAAe,IAAMA,EAAOA,GASzE,SAASs3B,GAAUC,EAAKC,GACtBnjB,QAAQC,MAAO,mBAAqBijB,GAItC,SAASE,GACPC,EACAz0B,GAEA,OAAOy0B,EACHA,EAAQt1B,KAAI,SAAUu1B,GAAK,OAAOA,EAAE10B,MAASyoB,QAAO,SAAUloB,GAAK,OAAOA,KAC1E,GAGN,SAASo0B,GAAS/K,EAAI7W,EAAMpV,EAAO42B,EAAOK,IACvChL,EAAG7b,QAAU6b,EAAG7b,MAAQ,KAAKrG,KAAKmtB,GAAa,CAAE9hB,KAAMA,EAAMpV,MAAOA,EAAOi3B,QAASA,GAAWL,IAChG3K,EAAGkL,OAAQ,EAGb,SAASC,GAASnL,EAAI7W,EAAMpV,EAAO42B,EAAOK,IAC5BA,EACPhL,EAAGoL,eAAiBpL,EAAGoL,aAAe,IACtCpL,EAAGvU,QAAUuU,EAAGvU,MAAQ,KACvB3N,KAAKmtB,GAAa,CAAE9hB,KAAMA,EAAMpV,MAAOA,EAAOi3B,QAASA,GAAWL,IACxE3K,EAAGkL,OAAQ,EAIb,SAASG,GAAYrL,EAAI7W,EAAMpV,EAAO42B,GACpC3K,EAAGsL,SAASniB,GAAQpV,EACpBisB,EAAGuL,UAAUztB,KAAKmtB,GAAa,CAAE9hB,KAAMA,EAAMpV,MAAOA,GAAS42B,IAG/D,SAASa,GACPxL,EACA7W,EACA2e,EACA/zB,EACAwzB,EACAkE,EACA7D,EACA+C,IAEC3K,EAAGjb,aAAeib,EAAGjb,WAAa,KAAKjH,KAAKmtB,GAAa,CACxD9hB,KAAMA,EACN2e,QAASA,EACT/zB,MAAOA,EACPwzB,IAAKA,EACLkE,aAAcA,EACd7D,UAAWA,GACV+C,IACH3K,EAAGkL,OAAQ,EAGb,SAASQ,GAAuB9b,EAAQzG,EAAM6hB,GAC5C,OAAOA,EACF,MAAQ7hB,EAAO,KAAQyG,EAAS,KACjCA,EAASzG,EAGf,SAASwiB,GACP3L,EACA7W,EACApV,EACA6zB,EACAgE,EACApuB,EACAmtB,EACAK,GAiDA,IAAIa,GA/CJjE,EAAYA,GAAap0B,GAiBXs4B,MACRd,EACF7hB,EAAO,IAAMA,EAAO,8BAAgCA,EAAO,IACzC,UAATA,IACTA,EAAO,qBACAye,EAAUkE,OAEVlE,EAAUmE,SACff,EACF7hB,EAAO,IAAMA,EAAO,0BAA4BA,EAAO,IACrC,UAATA,IACTA,EAAO,YAKPye,EAAUte,iBACLse,EAAUte,QACjBH,EAAOuiB,GAAsB,IAAKviB,EAAM6hB,IAEtCpD,EAAU3uB,cACL2uB,EAAU3uB,KACjBkQ,EAAOuiB,GAAsB,IAAKviB,EAAM6hB,IAGtCpD,EAAUxe,iBACLwe,EAAUxe,QACjBD,EAAOuiB,GAAsB,IAAKviB,EAAM6hB,IAItCpD,EAAUoE,eACLpE,EAAUoE,OACjBH,EAAS7L,EAAGiM,eAAiBjM,EAAGiM,aAAe,KAE/CJ,EAAS7L,EAAG6L,SAAW7L,EAAG6L,OAAS,IAGrC,IAAIK,EAAajB,GAAa,CAAEl3B,MAAOA,EAAMu2B,OAAQU,QAASA,GAAWL,GACrE/C,IAAcp0B,IAChB04B,EAAWtE,UAAYA,GAGzB,IAAIjP,EAAWkT,EAAO1iB,GAElB/V,MAAM2B,QAAQ4jB,GAChBiT,EAAYjT,EAAS+J,QAAQwJ,GAAcvT,EAAS7a,KAAKouB,GAEzDL,EAAO1iB,GADEwP,EACMiT,EAAY,CAACM,EAAYvT,GAAY,CAACA,EAAUuT,GAEhDA,EAGjBlM,EAAGkL,OAAQ,EAYb,SAASiB,GACPnM,EACA7W,EACAijB,GAEA,IAAIC,EACFC,GAAiBtM,EAAI,IAAM7W,IAC3BmjB,GAAiBtM,EAAI,UAAY7W,GACnC,GAAoB,MAAhBkjB,EACF,OAAO3C,GAAa2C,GACf,IAAkB,IAAdD,EAAqB,CAC9B,IAAIG,EAAcD,GAAiBtM,EAAI7W,GACvC,GAAmB,MAAfojB,EACF,OAAOv3B,KAAKC,UAAUs3B,IAS5B,SAASD,GACPtM,EACA7W,EACAqjB,GAEA,IAAIl4B,EACJ,GAAiC,OAA5BA,EAAM0rB,EAAGsL,SAASniB,IAErB,IADA,IAAI1T,EAAOuqB,EAAGuL,UACLl4B,EAAI,EAAGiE,EAAI7B,EAAK1C,OAAQM,EAAIiE,EAAGjE,IACtC,GAAIoC,EAAKpC,GAAG8V,OAASA,EAAM,CACzB1T,EAAKQ,OAAO5C,EAAG,GACf,MAON,OAHIm5B,UACKxM,EAAGsL,SAASniB,GAEd7U,EAGT,SAASm4B,GACPzM,EACA7W,GAGA,IADA,IAAI1T,EAAOuqB,EAAGuL,UACLl4B,EAAI,EAAGiE,EAAI7B,EAAK1C,OAAQM,EAAIiE,EAAGjE,IAAK,CAC3C,IAAI+vB,EAAO3tB,EAAKpC,GAChB,GAAI8V,EAAKvN,KAAKwnB,EAAKja,MAEjB,OADA1T,EAAKQ,OAAO5C,EAAG,GACR+vB,GAKb,SAAS6H,GACPr7B,EACA+6B,GAUA,OARIA,IACiB,MAAfA,EAAMlzB,QACR7H,EAAK6H,MAAQkzB,EAAMlzB,OAEJ,MAAbkzB,EAAM+B,MACR98B,EAAK88B,IAAM/B,EAAM+B,MAGd98B,EAQT,SAAS+8B,GACP3M,EACAjsB,EACA6zB,GAEA,IAAIl4B,EAAMk4B,GAAa,GACnBgF,EAASl9B,EAAIk9B,OAIbC,EADsB,MAFfn9B,EAAI46B,OAKbuC,EACE,8CAIAD,IACFC,EAAkB,MAAQA,EAAkB,KAE9C,IAAIC,EAAaC,GAAkBh5B,EAAO84B,GAE1C7M,EAAGzJ,MAAQ,CACTxiB,MAAQ,IAAMA,EAAQ,IACtB+mB,WAAY9lB,KAAKC,UAAUlB,GAC3Bb,SAAW,mBAA6C45B,EAAa,KAOzE,SAASC,GACPh5B,EACA+4B,GAEA,IAAI/0B,EA2BN,SAAqBzD,GAMnB,GAHAA,EAAMA,EAAIg2B,OACVzpB,GAAMvM,EAAIvB,OAENuB,EAAIzB,QAAQ,KAAO,GAAKyB,EAAI04B,YAAY,KAAOnsB,GAAM,EAEvD,OADAwoB,GAAU/0B,EAAI04B,YAAY,OACX,EACN,CACLrD,IAAKr1B,EAAIxB,MAAM,EAAGu2B,IAClBjzB,IAAK,IAAM9B,EAAIxB,MAAMu2B,GAAU,GAAK,KAG/B,CACLM,IAAKr1B,EACL8B,IAAK,MAKXf,GAAMf,EACN+0B,GAAUC,GAAgBC,GAAmB,EAE7C,MAAQ0D,MAGFC,GAFJ9D,GAAMvc,MAGJsgB,GAAY/D,IACK,KAARA,IACTgE,GAAahE,IAIjB,MAAO,CACLO,IAAKr1B,EAAIxB,MAAM,EAAGw2B,IAClBlzB,IAAK9B,EAAIxB,MAAMw2B,GAAgB,EAAGC,KA/D1B8D,CAAWt5B,GACrB,OAAgB,OAAZgE,EAAI3B,IACErC,EAAQ,IAAM+4B,EAEd,QAAW/0B,EAAO,IAAI,KAAQA,EAAO,IAAI,KAAO+0B,EAAa,IA+DzE,SAASjgB,KACP,OAAOxX,GAAIoF,aAAa4uB,IAG1B,SAAS4D,KACP,OAAO5D,IAAWxoB,GAGpB,SAASqsB,GAAe9D,GACtB,OAAe,KAARA,GAAwB,KAARA,EAGzB,SAASgE,GAAchE,GACrB,IAAIkE,EAAY,EAEhB,IADAhE,GAAgBD,IACR4D,MAEN,GAAIC,GADJ9D,EAAMvc,MAEJsgB,GAAY/D,QAKd,GAFY,KAARA,GAAgBkE,IACR,KAARlE,GAAgBkE,IACF,IAAdA,EAAiB,CACnB/D,GAAmBF,GACnB,OAKN,SAAS8D,GAAa/D,GAEpB,IADA,IAAImE,EAAcnE,GACV6D,OACN7D,EAAMvc,QACM0gB,KAYhB,IAgMIC,GAEJ,SAASC,GAAqBx7B,EAAOoV,EAASiC,GAC5C,IAAIiP,EAAUiV,GACd,OAAO,SAAShV,IACd,IAAIzgB,EAAMsP,EAAQ/Y,MAAM,KAAMa,WAClB,OAAR4I,GACF21B,GAASz7B,EAAOumB,EAAalP,EAASiP,IAQ5C,IAAIoV,GAAkBhmB,MAAsB3L,IAAQ6lB,OAAO7lB,GAAK,KAAO,IAEvE,SAAS4xB,GACPzkB,EACA9B,EACAiC,EACAF,GAQA,GAAIukB,GAAiB,CACnB,IAAIE,EAAoB3U,GACpBtY,EAAWyG,EACfA,EAAUzG,EAASktB,SAAW,SAAUp1B,GACtC,GAIEA,EAAEuF,SAAWvF,EAAEq1B,eAEfr1B,EAAE4gB,WAAauU,GAIfn1B,EAAE4gB,WAAa,GAIf5gB,EAAEuF,OAAO+vB,gBAAkBh9B,SAE3B,OAAO4P,EAAStS,MAAMM,KAAMO,YAIlCq+B,GAASx6B,iBACPmW,EACA9B,EACAjL,GACI,CAAEkN,QAASA,EAASF,QAASA,GAC7BE,GAIR,SAASokB,GACPvkB,EACA9B,EACAiC,EACAiP,IAECA,GAAWiV,IAAU3E,oBACpB1f,EACA9B,EAAQymB,UAAYzmB,EACpBiC,GAIJ,SAAS2kB,GAAoBpb,EAAUvS,GACrC,IAAI5M,EAAQmf,EAAS3gB,KAAK0X,MAAOlW,EAAQ4M,EAAMpO,KAAK0X,IAApD,CAGA,IAAIA,EAAKtJ,EAAMpO,KAAK0X,IAAM,GACtBC,EAAQgJ,EAAS3gB,KAAK0X,IAAM,GAChC4jB,GAAWltB,EAAMzB,IAlGnB,SAA0B+K,GAExB,GAAIhW,EAAMgW,EAAc,KAAI,CAE1B,IAAI3X,EAAQ0J,EAAO,SAAW,QAC9BiO,EAAG3X,GAAS,GAAG2R,OAAOgG,EAAc,IAAGA,EAAG3X,IAAU,WAC7C2X,EAAc,IAKnBhW,EAAMgW,EAAuB,OAC/BA,EAAGskB,OAAS,GAAGtqB,OAAOgG,EAAuB,IAAGA,EAAGskB,QAAU,WACtDtkB,EAAuB,KAsFhCukB,CAAgBvkB,GAChBD,GAAgBC,EAAIC,EAAO+jB,GAAOF,GAAUD,GAAqBntB,EAAMxB,SACvE0uB,QAAWhxB,GAGb,IAOI4xB,GAPAvC,GAAS,CACXr2B,OAAQy4B,GACR7vB,OAAQ6vB,IAOV,SAASI,GAAgBxb,EAAUvS,GACjC,IAAI5M,EAAQmf,EAAS3gB,KAAKmc,YAAa3a,EAAQ4M,EAAMpO,KAAKmc,UAA1D,CAGA,IAAIjY,EAAK4Q,EACLnI,EAAMyB,EAAMzB,IACZyvB,EAAWzb,EAAS3gB,KAAKmc,UAAY,GACrClK,EAAQ7D,EAAMpO,KAAKmc,UAAY,GAMnC,IAAKjY,KAJDxC,EAAMuQ,EAAMlD,UACdkD,EAAQ7D,EAAMpO,KAAKmc,SAAW1W,EAAO,GAAIwM,IAG/BmqB,EACJl4B,KAAO+N,IACXtF,EAAIzI,GAAO,IAIf,IAAKA,KAAO+N,EAAO,CAKjB,GAJA6C,EAAM7C,EAAM/N,GAIA,gBAARA,GAAiC,cAARA,EAAqB,CAEhD,GADIkK,EAAM3B,WAAY2B,EAAM3B,SAAS5L,OAAS,GAC1CiU,IAAQsnB,EAASl4B,GAAQ,SAGC,IAA1ByI,EAAI0vB,WAAWx7B,QACjB8L,EAAIrM,YAAYqM,EAAI0vB,WAAW,IAInC,GAAY,UAARn4B,GAAmC,aAAhByI,EAAIqmB,QAAwB,CAGjDrmB,EAAI2vB,OAASxnB,EAEb,IAAIynB,EAAS/6B,EAAQsT,GAAO,GAAKvS,OAAOuS,GACpC0nB,GAAkB7vB,EAAK4vB,KACzB5vB,EAAI9K,MAAQ06B,QAET,GAAY,cAARr4B,GAAuBuuB,GAAM9lB,EAAIqmB,UAAYxxB,EAAQmL,EAAI8vB,WAAY,EAE9EP,GAAeA,IAAgBp9B,SAASoB,cAAc,QACzCu8B,UAAY,QAAU3nB,EAAM,SAEzC,IADA,IAAIwd,EAAM4J,GAAaQ,WAChB/vB,EAAI+vB,YACT/vB,EAAIrM,YAAYqM,EAAI+vB,YAEtB,KAAOpK,EAAIoK,YACT/vB,EAAIpM,YAAY+xB,EAAIoK,iBAEjB,GAKL5nB,IAAQsnB,EAASl4B,GAIjB,IACEyI,EAAIzI,GAAO4Q,EACX,MAAOtO,OAQf,SAASg2B,GAAmB7vB,EAAKgwB,GAC/B,OAAShwB,EAAIiwB,YACK,WAAhBjwB,EAAIqmB,SAMR,SAA+BrmB,EAAKgwB,GAGlC,IAAIE,GAAa,EAGjB,IAAMA,EAAa/9B,SAASg+B,gBAAkBnwB,EAAO,MAAOnG,IAC5D,OAAOq2B,GAAclwB,EAAI9K,QAAU86B,EAZjCI,CAAqBpwB,EAAKgwB,IAe9B,SAA+BhwB,EAAK+D,GAClC,IAAI7O,EAAQ8K,EAAI9K,MACZ6zB,EAAY/oB,EAAIqwB,YACpB,GAAIt7B,EAAMg0B,GAAY,CACpB,GAAIA,EAAUgF,OACZ,OAAO13B,EAASnB,KAAWmB,EAAS0N,GAEtC,GAAIglB,EAAU0C,KACZ,OAAOv2B,EAAMu2B,SAAW1nB,EAAO0nB,OAGnC,OAAOv2B,IAAU6O,EAzBfusB,CAAqBtwB,EAAKgwB,IA4B9B,IAAIxgB,GAAW,CACb7Y,OAAQ64B,GACRjwB,OAAQiwB,IAKNe,GAAiB/4B,GAAO,SAAUg5B,GACpC,IAAIt3B,EAAM,GAENu3B,EAAoB,QAOxB,OANAD,EAAQ35B,MAFY,iBAESgL,SAAQ,SAAU9Q,GAC7C,GAAIA,EAAM,CACR,IAAIurB,EAAMvrB,EAAK8F,MAAM45B,GACrBnU,EAAIpoB,OAAS,IAAMgF,EAAIojB,EAAI,GAAGmP,QAAUnP,EAAI,GAAGmP,YAG5CvyB,KAIT,SAASw3B,GAAoBr9B,GAC3B,IAAI0lB,EAAQ4X,GAAsBt9B,EAAK0lB,OAGvC,OAAO1lB,EAAKu9B,YACR93B,EAAOzF,EAAKu9B,YAAa7X,GACzBA,EAIN,SAAS4X,GAAuBE,GAC9B,OAAIt8B,MAAM2B,QAAQ26B,GACT53B,EAAS43B,GAEU,iBAAjBA,EACFN,GAAeM,GAEjBA,EAuCT,IAyBIC,GAzBAC,GAAW,MACXC,GAAc,iBACdC,GAAU,SAAU9P,EAAI7W,EAAM7U,GAEhC,GAAIs7B,GAASh0B,KAAKuN,GAChB6W,EAAGpI,MAAMmY,YAAY5mB,EAAM7U,QACtB,GAAIu7B,GAAYj0B,KAAKtH,GAC1B0rB,EAAGpI,MAAMmY,YAAY94B,EAAUkS,GAAO7U,EAAIoC,QAAQm5B,GAAa,IAAK,iBAC/D,CACL,IAAIG,EAAiBC,GAAU9mB,GAC/B,GAAI/V,MAAM2B,QAAQT,GAIhB,IAAK,IAAIjB,EAAI,EAAGwN,EAAMvM,EAAIvB,OAAQM,EAAIwN,EAAKxN,IACzC2sB,EAAGpI,MAAMoY,GAAkB17B,EAAIjB,QAGjC2sB,EAAGpI,MAAMoY,GAAkB17B,IAK7B47B,GAAc,CAAC,SAAU,MAAO,MAGhCD,GAAY55B,GAAO,SAAU0P,GAG/B,GAFA4pB,GAAaA,IAAc3+B,SAASoB,cAAc,OAAOwlB,MAE5C,YADb7R,EAAOtP,EAASsP,KACUA,KAAQ4pB,GAChC,OAAO5pB,EAGT,IADA,IAAIoqB,EAAUpqB,EAAKhP,OAAO,GAAGF,cAAgBkP,EAAKjT,MAAM,GAC/CO,EAAI,EAAGA,EAAI68B,GAAYn9B,OAAQM,IAAK,CAC3C,IAAI8V,EAAO+mB,GAAY78B,GAAK88B,EAC5B,GAAIhnB,KAAQwmB,GACV,OAAOxmB,MAKb,SAASinB,GAAavd,EAAUvS,GAC9B,IAAIpO,EAAOoO,EAAMpO,KACb62B,EAAUlW,EAAS3gB,KAEvB,KAAIwB,EAAQxB,EAAKu9B,cAAgB/7B,EAAQxB,EAAK0lB,QAC5ClkB,EAAQq1B,EAAQ0G,cAAgB/7B,EAAQq1B,EAAQnR,QADlD,CAMA,IAAI5Q,EAAKmC,EACL6W,EAAK1f,EAAMzB,IACXwxB,EAAiBtH,EAAQ0G,YACzBa,EAAkBvH,EAAQwH,iBAAmBxH,EAAQnR,OAAS,GAG9D4Y,EAAWH,GAAkBC,EAE7B1Y,EAAQ4X,GAAsBlvB,EAAMpO,KAAK0lB,QAAU,GAKvDtX,EAAMpO,KAAKq+B,gBAAkB38B,EAAMgkB,EAAM3W,QACrCtJ,EAAO,GAAIigB,GACXA,EAEJ,IAAI6Y,EApGN,SAAmBnwB,EAAOowB,GACxB,IACIC,EADA54B,EAAM,GAGV,GAAI24B,EAEF,IADA,IAAI5M,EAAYxjB,EACTwjB,EAAUzkB,oBACfykB,EAAYA,EAAUzkB,kBAAkBiU,SAEzBwQ,EAAU5xB,OACtBy+B,EAAYpB,GAAmBzL,EAAU5xB,QAE1CyF,EAAOI,EAAK44B,IAKbA,EAAYpB,GAAmBjvB,EAAMpO,QACxCyF,EAAOI,EAAK44B,GAId,IADA,IAAI9M,EAAavjB,EACTujB,EAAaA,EAAWvkB,QAC1BukB,EAAW3xB,OAASy+B,EAAYpB,GAAmB1L,EAAW3xB,QAChEyF,EAAOI,EAAK44B,GAGhB,OAAO54B,EAyEQ64B,CAAStwB,GAAO,GAE/B,IAAK6I,KAAQqnB,EACP98B,EAAQ+8B,EAAStnB,KACnB2mB,GAAQ9P,EAAI7W,EAAM,IAGtB,IAAKA,KAAQsnB,GACXzpB,EAAMypB,EAAStnB,MACHqnB,EAASrnB,IAEnB2mB,GAAQ9P,EAAI7W,EAAa,MAAPnC,EAAc,GAAKA,IAK3C,IAAI4Q,GAAQ,CACVpiB,OAAQ46B,GACRhyB,OAAQgyB,IAKNS,GAAe,MAMnB,SAASC,GAAU9Q,EAAIgJ,GAErB,GAAKA,IAASA,EAAMA,EAAIsB,QAKxB,GAAItK,EAAG+Q,UACD/H,EAAIn2B,QAAQ,MAAQ,EACtBm2B,EAAItzB,MAAMm7B,IAAcnwB,SAAQ,SAAU9J,GAAK,OAAOopB,EAAG+Q,UAAUzzB,IAAI1G,MAEvEopB,EAAG+Q,UAAUzzB,IAAI0rB,OAEd,CACL,IAAIhiB,EAAM,KAAOgZ,EAAGgR,aAAa,UAAY,IAAM,IAC/ChqB,EAAInU,QAAQ,IAAMm2B,EAAM,KAAO,GACjChJ,EAAGoF,aAAa,SAAUpe,EAAMgiB,GAAKsB,SAS3C,SAAS2G,GAAajR,EAAIgJ,GAExB,GAAKA,IAASA,EAAMA,EAAIsB,QAKxB,GAAItK,EAAG+Q,UACD/H,EAAIn2B,QAAQ,MAAQ,EACtBm2B,EAAItzB,MAAMm7B,IAAcnwB,SAAQ,SAAU9J,GAAK,OAAOopB,EAAG+Q,UAAUj7B,OAAOc,MAE1EopB,EAAG+Q,UAAUj7B,OAAOkzB,GAEjBhJ,EAAG+Q,UAAUh+B,QAChBitB,EAAGsI,gBAAgB,aAEhB,CAGL,IAFA,IAAIthB,EAAM,KAAOgZ,EAAGgR,aAAa,UAAY,IAAM,IAC/CE,EAAM,IAAMlI,EAAM,IACfhiB,EAAInU,QAAQq+B,IAAQ,GACzBlqB,EAAMA,EAAItQ,QAAQw6B,EAAK,MAEzBlqB,EAAMA,EAAIsjB,QAERtK,EAAGoF,aAAa,QAASpe,GAEzBgZ,EAAGsI,gBAAgB,UAOzB,SAAS6I,GAAmBnsB,GAC1B,GAAKA,EAAL,CAIA,GAAsB,iBAAXA,EAAqB,CAC9B,IAAIjN,EAAM,GAKV,OAJmB,IAAfiN,EAAOosB,KACTz5B,EAAOI,EAAKs5B,GAAkBrsB,EAAOmE,MAAQ,MAE/CxR,EAAOI,EAAKiN,GACLjN,EACF,MAAsB,iBAAXiN,EACTqsB,GAAkBrsB,QADpB,GAKT,IAAIqsB,GAAoBh7B,GAAO,SAAU8S,GACvC,MAAO,CACLmoB,WAAanoB,EAAO,SACpBooB,aAAepoB,EAAO,YACtBqoB,iBAAmBroB,EAAO,gBAC1BsoB,WAAatoB,EAAO,SACpBuoB,aAAevoB,EAAO,YACtBwoB,iBAAmBxoB,EAAO,oBAI1ByoB,GAAgBz2B,IAAcU,EAK9Bg2B,GAAiB,aACjBC,GAAqB,gBACrBC,GAAgB,YAChBC,GAAoB,eACpBJ,UAE6Bp1B,IAA3BnO,OAAO4jC,sBACwBz1B,IAAjCnO,OAAO6jC,wBAEPL,GAAiB,mBACjBC,GAAqB,4BAEOt1B,IAA1BnO,OAAO8jC,qBACuB31B,IAAhCnO,OAAO+jC,uBAEPL,GAAgB,kBAChBC,GAAoB,uBAKxB,IAAIK,GAAMl3B,EACN9M,OAAOikC,sBACLjkC,OAAOikC,sBAAsBp7B,KAAK7I,QAClCW,WACyB,SAAUsH,GAAM,OAAOA,KAEtD,SAASi8B,GAAWj8B,GAClB+7B,IAAI,WACFA,GAAI/7B,MAIR,SAASk8B,GAAoBxS,EAAIgJ,GAC/B,IAAIyJ,EAAoBzS,EAAGkJ,qBAAuBlJ,EAAGkJ,mBAAqB,IACtEuJ,EAAkB5/B,QAAQm2B,GAAO,IACnCyJ,EAAkB30B,KAAKkrB,GACvB8H,GAAS9Q,EAAIgJ,IAIjB,SAAS0J,GAAuB1S,EAAIgJ,GAC9BhJ,EAAGkJ,oBACLpzB,EAAOkqB,EAAGkJ,mBAAoBF,GAEhCiI,GAAYjR,EAAIgJ,GAGlB,SAAS2J,GACP3S,EACA4S,EACAnqB,GAEA,IAAI/Y,EAAMmjC,GAAkB7S,EAAI4S,GAC5B3uB,EAAOvU,EAAIuU,KACX1U,EAAUG,EAAIH,QACdujC,EAAYpjC,EAAIojC,UACpB,IAAK7uB,EAAQ,OAAOwE,IACpB,IAAIxW,EA9DW,eA8DHgS,EAAsB6tB,GAAqBE,GACnDe,EAAQ,EACRrG,EAAM,WACR1M,EAAG6I,oBAAoB52B,EAAO+gC,GAC9BvqB,KAEEuqB,EAAQ,SAAUt6B,GAChBA,EAAEuF,SAAW+hB,KACT+S,GAASD,GACbpG,KAIN19B,YAAW,WACL+jC,EAAQD,GACVpG,MAEDn9B,EAAU,GACbywB,EAAGhtB,iBAAiBf,EAAO+gC,GAG7B,IAAIC,GAAc,yBAElB,SAASJ,GAAmB7S,EAAI4S,GAC9B,IASI3uB,EATAivB,EAAS7kC,OAAO8kC,iBAAiBnT,GAEjCoT,GAAoBF,EAAOrB,GAAiB,UAAY,IAAIn8B,MAAM,MAClE29B,GAAuBH,EAAOrB,GAAiB,aAAe,IAAIn8B,MAAM,MACxE49B,EAAoBC,GAAWH,EAAkBC,GACjDG,GAAmBN,EAAOnB,GAAgB,UAAY,IAAIr8B,MAAM,MAChE+9B,GAAsBP,EAAOnB,GAAgB,aAAe,IAAIr8B,MAAM,MACtEg+B,EAAmBH,GAAWC,EAAiBC,GAG/ClkC,EAAU,EACVujC,EAAY,EA8BhB,MA/He,eAmGXF,EACEU,EAAoB,IACtBrvB,EArGW,aAsGX1U,EAAU+jC,EACVR,EAAYO,EAAoBtgC,QAtGtB,cAwGH6/B,EACLc,EAAmB,IACrBzvB,EA1GU,YA2GV1U,EAAUmkC,EACVZ,EAAYW,EAAmB1gC,QASjC+/B,GALA7uB,GADA1U,EAAUmD,KAAKmQ,IAAIywB,EAAmBI,IACrB,EACbJ,EAAoBI,EAlHX,aACD,YAoHR,MArHS,eAuHTzvB,EACEovB,EAAoBtgC,OACpB0gC,EAAmB1gC,OACrB,EAKC,CACLkR,KAAMA,EACN1U,QAASA,EACTujC,UAAWA,EACXa,aAnIa,eA6Hb1vB,GACAgvB,GAAYr3B,KAAKs3B,EAAOrB,GAAiB,cAS7C,SAAS0B,GAAYK,EAAQC,GAE3B,KAAOD,EAAO7gC,OAAS8gC,EAAU9gC,QAC/B6gC,EAASA,EAAOhwB,OAAOgwB,GAGzB,OAAOlhC,KAAKmQ,IAAIvU,MAAM,KAAMulC,EAAUt+B,KAAI,SAAUoc,EAAGte,GACrD,OAAOygC,GAAKniB,GAAKmiB,GAAKF,EAAOvgC,QAQjC,SAASygC,GAAMC,GACb,OAAkD,IAA3ClS,OAAOkS,EAAEjhC,MAAM,GAAI,GAAG4D,QAAQ,IAAK,MAK5C,SAASs9B,GAAO1zB,EAAO2zB,GACrB,IAAIjU,EAAK1f,EAAMzB,IAGXjL,EAAMosB,EAAGkU,YACXlU,EAAGkU,SAASC,WAAY,EACxBnU,EAAGkU,YAGL,IAAIhiC,EAAOi/B,GAAkB7wB,EAAMpO,KAAKkiC,YACxC,IAAI1gC,EAAQxB,KAKR0B,EAAMosB,EAAGqU,WAA6B,IAAhBrU,EAAGsU,SAA7B,CA4BA,IAxBA,IAAIlD,EAAMl/B,EAAKk/B,IACXntB,EAAO/R,EAAK+R,KACZqtB,EAAap/B,EAAKo/B,WAClBC,EAAer/B,EAAKq/B,aACpBC,EAAmBt/B,EAAKs/B,iBACxB+C,EAAcriC,EAAKqiC,YACnBC,EAAgBtiC,EAAKsiC,cACrBC,EAAoBviC,EAAKuiC,kBACzBC,EAAcxiC,EAAKwiC,YACnBV,EAAQ9hC,EAAK8hC,MACbW,EAAaziC,EAAKyiC,WAClBC,EAAiB1iC,EAAK0iC,eACtBC,EAAe3iC,EAAK2iC,aACpBC,EAAS5iC,EAAK4iC,OACdC,EAAc7iC,EAAK6iC,YACnBC,EAAkB9iC,EAAK8iC,gBACvBC,EAAW/iC,EAAK+iC,SAMhBn2B,EAAU6T,GACVuiB,EAAiBviB,GAAeU,OAC7B6hB,GAAkBA,EAAe51B,QACtCR,EAAUo2B,EAAep2B,QACzBo2B,EAAiBA,EAAe51B,OAGlC,IAAI61B,GAAYr2B,EAAQmV,aAAe3T,EAAMb,aAE7C,IAAI01B,GAAaL,GAAqB,KAAXA,EAA3B,CAIA,IAAIM,EAAaD,GAAYZ,EACzBA,EACAjD,EACA+D,EAAcF,GAAYV,EAC1BA,EACAjD,EACA8D,EAAUH,GAAYX,EACtBA,EACAjD,EAEAgE,EAAkBJ,GACjBN,GACDH,EACAc,EAAYL,GACO,mBAAXL,EAAwBA,EAChCd,EACAyB,EAAiBN,GAChBJ,GACDJ,EACAe,EAAqBP,GACpBH,GACDJ,EAEAe,EAAwBzgC,EAC1BlB,EAASihC,GACLA,EAASjB,MACTiB,GAGF,EAIJ,IAAIW,GAAqB,IAARxE,IAAkBv1B,EAC/Bg6B,EAAmBC,GAAuBN,GAE1C/sB,EAAKuX,EAAGqU,SAAWp7B,GAAK,WACtB28B,IACFlD,GAAsB1S,EAAIsV,GAC1B5C,GAAsB1S,EAAIqV,IAExB5sB,EAAG0rB,WACDyB,GACFlD,GAAsB1S,EAAIoV,GAE5BM,GAAsBA,EAAmB1V,IAEzCyV,GAAkBA,EAAezV,GAEnCA,EAAGqU,SAAW,QAGX/zB,EAAMpO,KAAK6jC,MAEd7rB,GAAe5J,EAAO,UAAU,WAC9B,IAAIhB,EAAS0gB,EAAG6D,WACZmS,EAAc12B,GAAUA,EAAO22B,UAAY32B,EAAO22B,SAAS31B,EAAMlK,KACjE4/B,GACFA,EAAYt3B,MAAQ4B,EAAM5B,KAC1Bs3B,EAAYn3B,IAAIq1B,UAEhB8B,EAAYn3B,IAAIq1B,WAElBsB,GAAaA,EAAUxV,EAAIvX,MAK/B8sB,GAAmBA,EAAgBvV,GAC/B4V,IACFpD,GAAmBxS,EAAIoV,GACvB5C,GAAmBxS,EAAIqV,GACvB9C,IAAU,WACRG,GAAsB1S,EAAIoV,GACrB3sB,EAAG0rB,YACN3B,GAAmBxS,EAAIsV,GAClBO,IACCK,GAAgBP,GAClB3mC,WAAWyZ,EAAIktB,GAEfhD,GAAmB3S,EAAI/b,EAAMwE,SAOnCnI,EAAMpO,KAAK6jC,OACb9B,GAAiBA,IACjBuB,GAAaA,EAAUxV,EAAIvX,IAGxBmtB,GAAeC,GAClBptB,MAIJ,SAAS0tB,GAAO71B,EAAO81B,GACrB,IAAIpW,EAAK1f,EAAMzB,IAGXjL,EAAMosB,EAAGqU,YACXrU,EAAGqU,SAASF,WAAY,EACxBnU,EAAGqU,YAGL,IAAIniC,EAAOi/B,GAAkB7wB,EAAMpO,KAAKkiC,YACxC,GAAI1gC,EAAQxB,IAAyB,IAAhB8tB,EAAGsU,SACtB,OAAO8B,IAIT,IAAIxiC,EAAMosB,EAAGkU,UAAb,CAIA,IAAI9C,EAAMl/B,EAAKk/B,IACXntB,EAAO/R,EAAK+R,KACZwtB,EAAav/B,EAAKu/B,WAClBC,EAAex/B,EAAKw/B,aACpBC,EAAmBz/B,EAAKy/B,iBACxB0E,EAAcnkC,EAAKmkC,YACnBF,EAAQjkC,EAAKikC,MACbG,EAAapkC,EAAKokC,WAClBC,EAAiBrkC,EAAKqkC,eACtBC,EAAatkC,EAAKskC,WAClBvB,EAAW/iC,EAAK+iC,SAEhBW,GAAqB,IAARxE,IAAkBv1B,EAC/Bg6B,EAAmBC,GAAuBK,GAE1CM,EAAwBvhC,EAC1BlB,EAASihC,GACLA,EAASkB,MACTlB,GAGF,EAIJ,IAAIxsB,EAAKuX,EAAGkU,SAAWj7B,GAAK,WACtB+mB,EAAG6D,YAAc7D,EAAG6D,WAAWoS,WACjCjW,EAAG6D,WAAWoS,SAAS31B,EAAMlK,KAAO,MAElCw/B,IACFlD,GAAsB1S,EAAI0R,GAC1BgB,GAAsB1S,EAAI2R,IAExBlpB,EAAG0rB,WACDyB,GACFlD,GAAsB1S,EAAIyR,GAE5B8E,GAAkBA,EAAevW,KAEjCoW,IACAE,GAAcA,EAAWtW,IAE3BA,EAAGkU,SAAW,QAGZsC,EACFA,EAAWE,GAEXA,IAGF,SAASA,IAEHjuB,EAAG0rB,aAIF7zB,EAAMpO,KAAK6jC,MAAQ/V,EAAG6D,cACxB7D,EAAG6D,WAAWoS,WAAajW,EAAG6D,WAAWoS,SAAW,KAAM31B,EAAS,KAAKA,GAE3E+1B,GAAeA,EAAYrW,GACvB4V,IACFpD,GAAmBxS,EAAIyR,GACvBe,GAAmBxS,EAAI2R,GACvBY,IAAU,WACRG,GAAsB1S,EAAIyR,GACrBhpB,EAAG0rB,YACN3B,GAAmBxS,EAAI0R,GAClBmE,IACCK,GAAgBO,GAClBznC,WAAWyZ,EAAIguB,GAEf9D,GAAmB3S,EAAI/b,EAAMwE,SAMvC0tB,GAASA,EAAMnW,EAAIvX,GACdmtB,GAAeC,GAClBptB,MAsBN,SAASytB,GAAiB5hC,GACxB,MAAsB,iBAARA,IAAqBa,MAAMb,GAS3C,SAASwhC,GAAwBx/B,GAC/B,GAAI5C,EAAQ4C,GACV,OAAO,EAET,IAAIqgC,EAAargC,EAAGkT,IACpB,OAAI5V,EAAM+iC,GAEDb,GACL1iC,MAAM2B,QAAQ4hC,GACVA,EAAW,GACXA,IAGErgC,EAAGiB,SAAWjB,EAAGvD,QAAU,EAIvC,SAAS6jC,GAAQjgC,EAAG2J,IACM,IAApBA,EAAMpO,KAAK6jC,MACb/B,GAAM1zB,GAIV,IA4BIu2B,GA9iFJ,SAA8BC,GAC5B,IAAIzjC,EAAGulB,EACH+H,EAAM,GAENkK,EAAUiM,EAAQjM,QAClB5F,EAAU6R,EAAQ7R,QAEtB,IAAK5xB,EAAI,EAAGA,EAAIwQ,GAAM9Q,SAAUM,EAE9B,IADAstB,EAAI9c,GAAMxQ,IAAM,GACXulB,EAAI,EAAGA,EAAIiS,EAAQ93B,SAAU6lB,EAC5BhlB,EAAMi3B,EAAQjS,GAAG/U,GAAMxQ,MACzBstB,EAAI9c,GAAMxQ,IAAIyK,KAAK+sB,EAAQjS,GAAG/U,GAAMxQ,KAmB1C,SAAS0jC,EAAY/W,GACnB,IAAI1gB,EAAS2lB,EAAQpB,WAAW7D,GAE5BpsB,EAAM0L,IACR2lB,EAAQzyB,YAAY8M,EAAQ0gB,GAsBhC,SAASgX,EACP12B,EACA22B,EACAC,EACAC,EACAC,EACAC,EACArhC,GAYA,GAVIpC,EAAM0M,EAAMzB,MAAQjL,EAAMyjC,KAM5B/2B,EAAQ+2B,EAAWrhC,GAASqK,GAAWC,IAGzCA,EAAMb,cAAgB23B,GAiDxB,SAA0B92B,EAAO22B,EAAoBC,EAAWC,GAC9D,IAAI9jC,EAAIiN,EAAMpO,KACd,GAAI0B,EAAMP,GAAI,CACZ,IAAIikC,EAAgB1jC,EAAM0M,EAAMjB,oBAAsBhM,EAAE+e,UAQxD,GAPIxe,EAAMP,EAAIA,EAAE2Q,OAASpQ,EAAMP,EAAIA,EAAE4e,OACnC5e,EAAEiN,GAAO,GAMP1M,EAAM0M,EAAMjB,mBAMd,OALAk4B,EAAcj3B,EAAO22B,GACrBjjB,EAAOkjB,EAAW52B,EAAMzB,IAAKs4B,GACzBtjC,EAAOyjC,IA0BjB,SAA8Bh3B,EAAO22B,EAAoBC,EAAWC,GAClE,IAAI9jC,EAKAmkC,EAAYl3B,EAChB,KAAOk3B,EAAUn4B,mBAEf,GADAm4B,EAAYA,EAAUn4B,kBAAkBiU,OACpC1f,EAAMP,EAAImkC,EAAUtlC,OAAS0B,EAAMP,EAAIA,EAAE+gC,YAAa,CACxD,IAAK/gC,EAAI,EAAGA,EAAIstB,EAAI8W,SAAS1kC,SAAUM,EACrCstB,EAAI8W,SAASpkC,GAAG+yB,GAAWoR,GAE7BP,EAAmBn5B,KAAK05B,GACxB,MAKJxjB,EAAOkjB,EAAW52B,EAAMzB,IAAKs4B,GA5CvBO,CAAoBp3B,EAAO22B,EAAoBC,EAAWC,IAErD,GAjEPriB,CAAgBxU,EAAO22B,EAAoBC,EAAWC,GAA1D,CAIA,IAAIjlC,EAAOoO,EAAMpO,KACbyM,EAAW2B,EAAM3B,SACjBD,EAAM4B,EAAM5B,IACZ9K,EAAM8K,IAeR4B,EAAMzB,IAAMyB,EAAMrB,GACdgmB,EAAQI,gBAAgB/kB,EAAMrB,GAAIP,GAClCumB,EAAQ7yB,cAAcsM,EAAK4B,GAC/Bq3B,EAASr3B,GAIPs3B,EAAet3B,EAAO3B,EAAUs4B,GAC5BrjC,EAAM1B,IACR2lC,EAAkBv3B,EAAO22B,GAE3BjjB,EAAOkjB,EAAW52B,EAAMzB,IAAKs4B,IAMtBtjC,EAAOyM,EAAMZ,YACtBY,EAAMzB,IAAMomB,EAAQM,cAAcjlB,EAAM1B,MACxCoV,EAAOkjB,EAAW52B,EAAMzB,IAAKs4B,KAE7B72B,EAAMzB,IAAMomB,EAAQ1c,eAAejI,EAAM1B,MACzCoV,EAAOkjB,EAAW52B,EAAMzB,IAAKs4B,KA0BjC,SAASI,EAAej3B,EAAO22B,GACzBrjC,EAAM0M,EAAMpO,KAAK4lC,iBACnBb,EAAmBn5B,KAAKxP,MAAM2oC,EAAoB32B,EAAMpO,KAAK4lC,eAC7Dx3B,EAAMpO,KAAK4lC,cAAgB,MAE7Bx3B,EAAMzB,IAAMyB,EAAMjB,kBAAkB0hB,IAChCgX,EAAYz3B,IACdu3B,EAAkBv3B,EAAO22B,GACzBU,EAASr3B,KAIT0lB,GAAY1lB,GAEZ22B,EAAmBn5B,KAAKwC,IA0B5B,SAAS0T,EAAQ1U,EAAQT,EAAKm5B,GACxBpkC,EAAM0L,KACJ1L,EAAMokC,GACJ/S,EAAQpB,WAAWmU,KAAY14B,GACjC2lB,EAAQO,aAAalmB,EAAQT,EAAKm5B,GAGpC/S,EAAQxyB,YAAY6M,EAAQT,IAKlC,SAAS+4B,EAAgBt3B,EAAO3B,EAAUs4B,GACxC,GAAI7jC,MAAM2B,QAAQ4J,GAAW,CACvB,EAGJ,IAAK,IAAItL,EAAI,EAAGA,EAAIsL,EAAS5L,SAAUM,EACrC2jC,EAAUr4B,EAAStL,GAAI4jC,EAAoB32B,EAAMzB,IAAK,MAAM,EAAMF,EAAUtL,QAErES,EAAYwM,EAAM1B,OAC3BqmB,EAAQxyB,YAAY6N,EAAMzB,IAAKomB,EAAQ1c,eAAe9T,OAAO6L,EAAM1B,QAIvE,SAASm5B,EAAaz3B,GACpB,KAAOA,EAAMjB,mBACXiB,EAAQA,EAAMjB,kBAAkBiU,OAElC,OAAO1f,EAAM0M,EAAM5B,KAGrB,SAASm5B,EAAmBv3B,EAAO22B,GACjC,IAAK,IAAIvW,EAAM,EAAGA,EAAMC,EAAInrB,OAAOzC,SAAU2tB,EAC3CC,EAAInrB,OAAOkrB,GAAK0F,GAAW9lB,GAGzB1M,EADJP,EAAIiN,EAAMpO,KAAK8R,QAETpQ,EAAMP,EAAEmC,SAAWnC,EAAEmC,OAAO4wB,GAAW9lB,GACvC1M,EAAMP,EAAE2gB,SAAWijB,EAAmBn5B,KAAKwC,IAOnD,SAASq3B,EAAUr3B,GACjB,IAAIjN,EACJ,GAAIO,EAAMP,EAAIiN,EAAMlB,WAClB6lB,EAAQa,cAAcxlB,EAAMzB,IAAKxL,QAGjC,IADA,IAAI4kC,EAAW33B,EACR23B,GACDrkC,EAAMP,EAAI4kC,EAASn5B,UAAYlL,EAAMP,EAAIA,EAAEiT,SAASmL,WACtDwT,EAAQa,cAAcxlB,EAAMzB,IAAKxL,GAEnC4kC,EAAWA,EAAS34B,OAIpB1L,EAAMP,EAAIsf,KACZtf,IAAMiN,EAAMxB,SACZzL,IAAMiN,EAAMpB,WACZtL,EAAMP,EAAIA,EAAEiT,SAASmL,WAErBwT,EAAQa,cAAcxlB,EAAMzB,IAAKxL,GAIrC,SAAS6kC,EAAWhB,EAAWC,EAAQxgB,EAAQwhB,EAAUxR,EAAQsQ,GAC/D,KAAOkB,GAAYxR,IAAUwR,EAC3BnB,EAAUrgB,EAAOwhB,GAAWlB,EAAoBC,EAAWC,GAAQ,EAAOxgB,EAAQwhB,GAItF,SAASC,EAAmB93B,GAC1B,IAAIjN,EAAGulB,EACH1mB,EAAOoO,EAAMpO,KACjB,GAAI0B,EAAM1B,GAER,IADI0B,EAAMP,EAAInB,EAAK8R,OAASpQ,EAAMP,EAAIA,EAAEihB,UAAYjhB,EAAEiN,GACjDjN,EAAI,EAAGA,EAAIstB,EAAIrM,QAAQvhB,SAAUM,EAAKstB,EAAIrM,QAAQjhB,GAAGiN,GAE5D,GAAI1M,EAAMP,EAAIiN,EAAM3B,UAClB,IAAKia,EAAI,EAAGA,EAAItY,EAAM3B,SAAS5L,SAAU6lB,EACvCwf,EAAkB93B,EAAM3B,SAASia,IAKvC,SAASyf,EAAc1hB,EAAQwhB,EAAUxR,GACvC,KAAOwR,GAAYxR,IAAUwR,EAAU,CACrC,IAAIG,EAAK3hB,EAAOwhB,GACZvkC,EAAM0kC,KACJ1kC,EAAM0kC,EAAG55B,MACX65B,EAA0BD,GAC1BF,EAAkBE,IAElBvB,EAAWuB,EAAGz5B,OAMtB,SAAS05B,EAA2Bj4B,EAAO81B,GACzC,GAAIxiC,EAAMwiC,IAAOxiC,EAAM0M,EAAMpO,MAAO,CAClC,IAAImB,EACAie,EAAYqP,EAAI7qB,OAAO/C,OAAS,EAapC,IAZIa,EAAMwiC,GAGRA,EAAG9kB,WAAaA,EAGhB8kB,EAtRN,SAAqBoC,EAAUlnB,GAC7B,SAASxH,IACuB,KAAxBA,EAAUwH,WACdylB,EAAWyB,GAIf,OADA1uB,EAAUwH,UAAYA,EACfxH,EA+QE2uB,CAAWn4B,EAAMzB,IAAKyS,GAGzB1d,EAAMP,EAAIiN,EAAMjB,oBAAsBzL,EAAMP,EAAIA,EAAEigB,SAAW1f,EAAMP,EAAEnB,OACvEqmC,EAA0BllC,EAAG+iC,GAE1B/iC,EAAI,EAAGA,EAAIstB,EAAI7qB,OAAO/C,SAAUM,EACnCstB,EAAI7qB,OAAOzC,GAAGiN,EAAO81B,GAEnBxiC,EAAMP,EAAIiN,EAAMpO,KAAK8R,OAASpQ,EAAMP,EAAIA,EAAEyC,QAC5CzC,EAAEiN,EAAO81B,GAETA,SAGFW,EAAWz2B,EAAMzB,KA8FrB,SAAS65B,EAAcv4B,EAAMw4B,EAAOlhC,EAAOi1B,GACzC,IAAK,IAAIr5B,EAAIoE,EAAOpE,EAAIq5B,EAAKr5B,IAAK,CAChC,IAAIuD,EAAI+hC,EAAMtlC,GACd,GAAIO,EAAMgD,IAAMyvB,GAAUlmB,EAAMvJ,GAAM,OAAOvD,GAIjD,SAASulC,EACP/lB,EACAvS,EACA22B,EACAI,EACArhC,EACA6iC,GAEA,GAAIhmB,IAAavS,EAAjB,CAII1M,EAAM0M,EAAMzB,MAAQjL,EAAMyjC,KAE5B/2B,EAAQ+2B,EAAWrhC,GAASqK,GAAWC,IAGzC,IAAIzB,EAAMyB,EAAMzB,IAAMgU,EAAShU,IAE/B,GAAIhL,EAAOgf,EAAS/S,oBACdlM,EAAM0M,EAAMtB,aAAamW,UAC3B2jB,EAAQjmB,EAAShU,IAAKyB,EAAO22B,GAE7B32B,EAAMR,oBAAqB,OAS/B,GAAIjM,EAAOyM,EAAMd,WACf3L,EAAOgf,EAASrT,WAChBc,EAAMlK,MAAQyc,EAASzc,MACtBvC,EAAOyM,EAAMX,WAAa9L,EAAOyM,EAAMV,SAExCU,EAAMjB,kBAAoBwT,EAASxT,sBALrC,CASA,IAAIhM,EACAnB,EAAOoO,EAAMpO,KACb0B,EAAM1B,IAAS0B,EAAMP,EAAInB,EAAK8R,OAASpQ,EAAMP,EAAIA,EAAEif,WACrDjf,EAAEwf,EAAUvS,GAGd,IAAIq4B,EAAQ9lB,EAASlU,SACjB25B,EAAKh4B,EAAM3B,SACf,GAAI/K,EAAM1B,IAAS6lC,EAAYz3B,GAAQ,CACrC,IAAKjN,EAAI,EAAGA,EAAIstB,EAAIviB,OAAOrL,SAAUM,EAAKstB,EAAIviB,OAAO/K,GAAGwf,EAAUvS,GAC9D1M,EAAMP,EAAInB,EAAK8R,OAASpQ,EAAMP,EAAIA,EAAE+K,SAAW/K,EAAEwf,EAAUvS,GAE7D5M,EAAQ4M,EAAM1B,MACZhL,EAAM+kC,IAAU/kC,EAAM0kC,GACpBK,IAAUL,GAxJpB,SAAyBpB,EAAWyB,EAAOI,EAAO9B,EAAoB4B,GACpE,IAQIG,EAAaC,EAAUC,EARvBC,EAAc,EACdC,EAAc,EACdC,EAAYV,EAAM5lC,OAAS,EAC3BumC,EAAgBX,EAAM,GACtBY,EAAcZ,EAAMU,GACpBG,EAAYT,EAAMhmC,OAAS,EAC3B0mC,EAAgBV,EAAM,GACtBW,EAAcX,EAAMS,GAMpBG,GAAWd,EAMf,IAJI,EAIGM,GAAeE,GAAaD,GAAeI,GAC5C9lC,EAAQ4lC,GACVA,EAAgBX,IAAQQ,GACfzlC,EAAQ6lC,GACjBA,EAAcZ,IAAQU,GACbhT,GAAUiT,EAAeG,IAClCb,EAAWU,EAAeG,EAAexC,EAAoB8B,EAAOK,GACpEE,EAAgBX,IAAQQ,GACxBM,EAAgBV,IAAQK,IACf/S,GAAUkT,EAAaG,IAChCd,EAAWW,EAAaG,EAAazC,EAAoB8B,EAAOS,GAChED,EAAcZ,IAAQU,GACtBK,EAAcX,IAAQS,IACbnT,GAAUiT,EAAeI,IAClCd,EAAWU,EAAeI,EAAazC,EAAoB8B,EAAOS,GAClEG,GAAW1U,EAAQO,aAAa0R,EAAWoC,EAAcz6B,IAAKomB,EAAQU,YAAY4T,EAAY16B,MAC9Fy6B,EAAgBX,IAAQQ,GACxBO,EAAcX,IAAQS,IACbnT,GAAUkT,EAAaE,IAChCb,EAAWW,EAAaE,EAAexC,EAAoB8B,EAAOK,GAClEO,GAAW1U,EAAQO,aAAa0R,EAAWqC,EAAY16B,IAAKy6B,EAAcz6B,KAC1E06B,EAAcZ,IAAQU,GACtBI,EAAgBV,IAAQK,KAEpB1lC,EAAQslC,KAAgBA,EAAcvS,GAAkBkS,EAAOQ,EAAaE,IAI5E3lC,EAHJulC,EAAWrlC,EAAM6lC,EAAcrjC,KAC3B4iC,EAAYS,EAAcrjC,KAC1BsiC,EAAae,EAAed,EAAOQ,EAAaE,IAElDrC,EAAUyC,EAAexC,EAAoBC,EAAWoC,EAAcz6B,KAAK,EAAOk6B,EAAOK,GAGrF/S,GADJ6S,EAAcP,EAAMM,GACOQ,IACzBb,EAAWM,EAAaO,EAAexC,EAAoB8B,EAAOK,GAClET,EAAMM,QAAYz8B,EAClBm9B,GAAW1U,EAAQO,aAAa0R,EAAWgC,EAAYr6B,IAAKy6B,EAAcz6B,MAG1Em4B,EAAUyC,EAAexC,EAAoBC,EAAWoC,EAAcz6B,KAAK,EAAOk6B,EAAOK,GAG7FK,EAAgBV,IAAQK,IAGxBD,EAAcE,EAEhBnB,EAAUhB,EADDxjC,EAAQqlC,EAAMS,EAAY,IAAM,KAAOT,EAAMS,EAAY,GAAG36B,IACxCk6B,EAAOK,EAAaI,EAAWvC,GACnDmC,EAAcI,GACvBnB,EAAaM,EAAOQ,EAAaE,GAoFXO,CAAe/6B,EAAK85B,EAAOL,EAAIrB,EAAoB4B,GAC9DjlC,EAAM0kC,IAIX1kC,EAAMif,EAASjU,OAASqmB,EAAQW,eAAe/mB,EAAK,IACxDq5B,EAAUr5B,EAAK,KAAMy5B,EAAI,EAAGA,EAAGvlC,OAAS,EAAGkkC,IAClCrjC,EAAM+kC,GACfN,EAAaM,EAAO,EAAGA,EAAM5lC,OAAS,GAC7Ba,EAAMif,EAASjU,OACxBqmB,EAAQW,eAAe/mB,EAAK,IAErBgU,EAASjU,OAAS0B,EAAM1B,MACjCqmB,EAAQW,eAAe/mB,EAAKyB,EAAM1B,MAEhChL,EAAM1B,IACJ0B,EAAMP,EAAInB,EAAK8R,OAASpQ,EAAMP,EAAIA,EAAEwmC,YAAcxmC,EAAEwf,EAAUvS,KAItE,SAASw5B,EAAkBx5B,EAAOyY,EAAOghB,GAGvC,GAAIlmC,EAAOkmC,IAAYnmC,EAAM0M,EAAMhB,QACjCgB,EAAMhB,OAAOpN,KAAK4lC,cAAgB/e,OAElC,IAAK,IAAI1lB,EAAI,EAAGA,EAAI0lB,EAAMhmB,SAAUM,EAClC0lB,EAAM1lB,GAAGnB,KAAK8R,KAAKgQ,OAAO+E,EAAM1lB,IAKtC,IAKI2mC,EAAmB5kC,EAAQ,2CAG/B,SAAS0jC,EAASj6B,EAAKyB,EAAO22B,EAAoBgD,GAChD,IAAI5mC,EACAqL,EAAM4B,EAAM5B,IACZxM,EAAOoO,EAAMpO,KACbyM,EAAW2B,EAAM3B,SAIrB,GAHAs7B,EAASA,GAAW/nC,GAAQA,EAAKulB,IACjCnX,EAAMzB,IAAMA,EAERhL,EAAOyM,EAAMZ,YAAc9L,EAAM0M,EAAMtB,cAEzC,OADAsB,EAAMR,oBAAqB,GACpB,EAQT,GAAIlM,EAAM1B,KACJ0B,EAAMP,EAAInB,EAAK8R,OAASpQ,EAAMP,EAAIA,EAAE4e,OAAS5e,EAAEiN,GAAO,GACtD1M,EAAMP,EAAIiN,EAAMjB,oBAGlB,OADAk4B,EAAcj3B,EAAO22B,IACd,EAGX,GAAIrjC,EAAM8K,GAAM,CACd,GAAI9K,EAAM+K,GAER,GAAKE,EAAIq7B,gBAIP,GAAItmC,EAAMP,EAAInB,IAAS0B,EAAMP,EAAIA,EAAEgb,WAAaza,EAAMP,EAAIA,EAAEs7B,YAC1D,GAAIt7B,IAAMwL,EAAI8vB,UAWZ,OAAO,MAEJ,CAIL,IAFA,IAAIwL,GAAgB,EAChBrW,EAAYjlB,EAAI+vB,WACXlO,EAAM,EAAGA,EAAM/hB,EAAS5L,OAAQ2tB,IAAO,CAC9C,IAAKoD,IAAcgV,EAAQhV,EAAWnlB,EAAS+hB,GAAMuW,EAAoBgD,GAAS,CAChFE,GAAgB,EAChB,MAEFrW,EAAYA,EAAU6B,YAIxB,IAAKwU,GAAiBrW,EAUpB,OAAO,OAxCX8T,EAAet3B,EAAO3B,EAAUs4B,GA6CpC,GAAIrjC,EAAM1B,GAAO,CACf,IAAIkoC,GAAa,EACjB,IAAK,IAAIhkC,KAAOlE,EACd,IAAK8nC,EAAiB5jC,GAAM,CAC1BgkC,GAAa,EACbvC,EAAkBv3B,EAAO22B,GACzB,OAGCmD,GAAcloC,EAAY,OAE7B0W,GAAS1W,EAAY,aAGhB2M,EAAI3M,OAASoO,EAAM1B,OAC5BC,EAAI3M,KAAOoO,EAAM1B,MAEnB,OAAO,EAcT,OAAO,SAAgBiU,EAAUvS,EAAO4R,EAAW2mB,GACjD,IAAInlC,EAAQ4M,GAAZ,CAKA,IA7lBoBzB,EA6lBhBw7B,GAAiB,EACjBpD,EAAqB,GAEzB,GAAIvjC,EAAQmf,GAEVwnB,GAAiB,EACjBrD,EAAU12B,EAAO22B,OACZ,CACL,IAAIqD,EAAgB1mC,EAAMif,EAASyhB,UACnC,IAAKgG,GAAiBjU,GAAUxT,EAAUvS,GAExCs4B,EAAW/lB,EAAUvS,EAAO22B,EAAoB,KAAM,KAAM4B,OACvD,CACL,GAAIyB,EAAe,CAQjB,GAJ0B,IAAtBznB,EAASyhB,UAAkBzhB,EAAS0nB,aArgMnC,0BAsgMH1nB,EAASyV,gBAtgMN,wBAugMHpW,GAAY,GAEVre,EAAOqe,IACL4mB,EAAQjmB,EAAUvS,EAAO22B,GAE3B,OADA6C,EAAiBx5B,EAAO22B,GAAoB,GACrCpkB,EArnBGhU,EAkoBSgU,EAAvBA,EAjoBC,IAAIpU,GAAMwmB,EAAQC,QAAQrmB,GAAKlJ,cAAe,GAAI,QAAI6G,EAAWqC,GAqoBpE,IAAI27B,EAAS3nB,EAAShU,IAClBq4B,EAAYjS,EAAQpB,WAAW2W,GAcnC,GAXAxD,EACE12B,EACA22B,EAIAuD,EAAOtG,SAAW,KAAOgD,EACzBjS,EAAQU,YAAY6U,IAIlB5mC,EAAM0M,EAAMhB,QAGd,IAFA,IAAI24B,EAAW33B,EAAMhB,OACjBm7B,EAAY1C,EAAYz3B,GACrB23B,GAAU,CACf,IAAK,IAAI5kC,EAAI,EAAGA,EAAIstB,EAAIrM,QAAQvhB,SAAUM,EACxCstB,EAAIrM,QAAQjhB,GAAG4kC,GAGjB,GADAA,EAASp5B,IAAMyB,EAAMzB,IACjB47B,EAAW,CACb,IAAK,IAAI/Z,EAAM,EAAGA,EAAMC,EAAInrB,OAAOzC,SAAU2tB,EAC3CC,EAAInrB,OAAOkrB,GAAK0F,GAAW6R,GAK7B,IAAIjkB,EAASikB,EAAS/lC,KAAK8R,KAAKgQ,OAChC,GAAIA,EAAO1J,OAET,IAAK,IAAIowB,EAAM,EAAGA,EAAM1mB,EAAOxK,IAAIzW,OAAQ2nC,IACzC1mB,EAAOxK,IAAIkxB,UAIf1U,GAAYiS,GAEdA,EAAWA,EAAS34B,OAKpB1L,EAAMsjC,GACRmB,EAAa,CAACxlB,GAAW,EAAG,GACnBjf,EAAMif,EAASnU,MACxB05B,EAAkBvlB,IAMxB,OADAinB,EAAiBx5B,EAAO22B,EAAoBoD,GACrC/5B,EAAMzB,IAnGPjL,EAAMif,IAAaulB,EAAkBvlB,IAq8DnC8nB,CAAoB,CAAE1V,QAASA,GAAS4F,QAf9B,CACpBpf,GACA+d,GACAqC,GACAxd,GACAuJ,GAlBezc,EAAY,CAC3B3F,OAAQohC,GACRa,SAAUb,GACV9gC,OAAQ,SAAoBwK,EAAO81B,IAET,IAApB91B,EAAMpO,KAAK6jC,KACbI,GAAM71B,EAAO81B,GAEbA,MAGF,IAe0BxyB,OAAOokB,MAUjCnsB,GAEF7K,SAASgC,iBAAiB,mBAAmB,WAC3C,IAAIgtB,EAAKhvB,SAASg+B,cACdhP,GAAMA,EAAG4a,QACXC,GAAQ7a,EAAI,YAKlB,IAAI8a,GAAY,CACdh6B,SAAU,SAAmBkf,EAAI+a,EAASz6B,EAAOuS,GAC7B,WAAdvS,EAAM5B,KAEJmU,EAAShU,MAAQgU,EAAShU,IAAIm8B,UAChC9wB,GAAe5J,EAAO,aAAa,WACjCw6B,GAAUrT,iBAAiBzH,EAAI+a,EAASz6B,MAG1C26B,GAAYjb,EAAI+a,EAASz6B,EAAMxB,SAEjCkhB,EAAGgb,UAAY,GAAGzlC,IAAItG,KAAK+wB,EAAGtb,QAASw2B,MAChB,aAAd56B,EAAM5B,KAAsBmmB,GAAgB7E,EAAG/b,SACxD+b,EAAGkP,YAAc6L,EAAQnT,UACpBmT,EAAQnT,UAAUpN,OACrBwF,EAAGhtB,iBAAiB,mBAAoBmoC,IACxCnb,EAAGhtB,iBAAiB,iBAAkBooC,IAKtCpb,EAAGhtB,iBAAiB,SAAUooC,IAE1Bv/B,IACFmkB,EAAG4a,QAAS,MAMpBnT,iBAAkB,SAA2BzH,EAAI+a,EAASz6B,GACxD,GAAkB,WAAdA,EAAM5B,IAAkB,CAC1Bu8B,GAAYjb,EAAI+a,EAASz6B,EAAMxB,SAK/B,IAAIu8B,EAAcrb,EAAGgb,UACjBM,EAAatb,EAAGgb,UAAY,GAAGzlC,IAAItG,KAAK+wB,EAAGtb,QAASw2B,IACxD,GAAII,EAAWC,MAAK,SAAUC,EAAGnoC,GAAK,OAAQ+E,EAAWojC,EAAGH,EAAYhoC,QAGtD2sB,EAAGmF,SACf4V,EAAQhnC,MAAMwnC,MAAK,SAAU5nC,GAAK,OAAO8nC,GAAoB9nC,EAAG2nC,MAChEP,EAAQhnC,QAAUgnC,EAAQ1f,UAAYogB,GAAoBV,EAAQhnC,MAAOunC,KAE3ET,GAAQ7a,EAAI,aAOtB,SAASib,GAAajb,EAAI+a,EAASv3B,GACjCk4B,GAAoB1b,EAAI+a,EAASv3B,IAE7B7H,GAAQG,IACV9M,YAAW,WACT0sC,GAAoB1b,EAAI+a,EAASv3B,KAChC,GAIP,SAASk4B,GAAqB1b,EAAI+a,EAASv3B,GACzC,IAAIzP,EAAQgnC,EAAQhnC,MAChB4nC,EAAa3b,EAAGmF,SACpB,IAAIwW,GAAevoC,MAAM2B,QAAQhB,GAAjC,CASA,IADA,IAAIgxB,EAAU6W,EACLvoC,EAAI,EAAGiE,EAAI0oB,EAAGtb,QAAQ3R,OAAQM,EAAIiE,EAAGjE,IAE5C,GADAuoC,EAAS5b,EAAGtb,QAAQrR,GAChBsoC,EACF5W,EAAW/rB,EAAajF,EAAOmnC,GAASU,KAAY,EAChDA,EAAO7W,WAAaA,IACtB6W,EAAO7W,SAAWA,QAGpB,GAAI3sB,EAAW8iC,GAASU,GAAS7nC,GAI/B,YAHIisB,EAAG6b,gBAAkBxoC,IACvB2sB,EAAG6b,cAAgBxoC,IAMtBsoC,IACH3b,EAAG6b,eAAiB,IAIxB,SAASJ,GAAqB1nC,EAAO2Q,GACnC,OAAOA,EAAQjM,OAAM,SAAU+iC,GAAK,OAAQpjC,EAAWojC,EAAGznC,MAG5D,SAASmnC,GAAUU,GACjB,MAAO,WAAYA,EACfA,EAAOpN,OACPoN,EAAO7nC,MAGb,SAASonC,GAAoBziC,GAC3BA,EAAEuF,OAAO6wB,WAAY,EAGvB,SAASsM,GAAkB1iC,GAEpBA,EAAEuF,OAAO6wB,YACdp2B,EAAEuF,OAAO6wB,WAAY,EACrB+L,GAAQniC,EAAEuF,OAAQ,UAGpB,SAAS48B,GAAS7a,EAAI/b,GACpB,IAAIvL,EAAI1H,SAASqoB,YAAY,cAC7B3gB,EAAEojC,UAAU73B,GAAM,GAAM,GACxB+b,EAAG+b,cAAcrjC,GAMnB,SAASsjC,GAAY17B,GACnB,OAAOA,EAAMjB,mBAAuBiB,EAAMpO,MAASoO,EAAMpO,KAAKkiC,WAE1D9zB,EADA07B,GAAW17B,EAAMjB,kBAAkBiU,QAIzC,IAuDI2oB,GAAqB,CACvB1lB,MAAOukB,GACP/E,KAzDS,CACT7+B,KAAM,SAAe8oB,EAAItwB,EAAK4Q,GAC5B,IAAIvM,EAAQrE,EAAIqE,MAGZmoC,GADJ57B,EAAQ07B,GAAW17B,IACOpO,MAAQoO,EAAMpO,KAAKkiC,WACzC+H,EAAkBnc,EAAGoc,mBACF,SAArBpc,EAAGpI,MAAMykB,QAAqB,GAAKrc,EAAGpI,MAAMykB,QAC1CtoC,GAASmoC,GACX57B,EAAMpO,KAAK6jC,MAAO,EAClB/B,GAAM1zB,GAAO,WACX0f,EAAGpI,MAAMykB,QAAUF,MAGrBnc,EAAGpI,MAAMykB,QAAUtoC,EAAQooC,EAAkB,QAIjD/9B,OAAQ,SAAiB4hB,EAAItwB,EAAK4Q,GAChC,IAAIvM,EAAQrE,EAAIqE,OAIXA,IAHUrE,EAAI2rB,YAInB/a,EAAQ07B,GAAW17B,IACOpO,MAAQoO,EAAMpO,KAAKkiC,YAE3C9zB,EAAMpO,KAAK6jC,MAAO,EACdhiC,EACFigC,GAAM1zB,GAAO,WACX0f,EAAGpI,MAAMykB,QAAUrc,EAAGoc,sBAGxBjG,GAAM71B,GAAO,WACX0f,EAAGpI,MAAMykB,QAAU,WAIvBrc,EAAGpI,MAAMykB,QAAUtoC,EAAQisB,EAAGoc,mBAAqB,SAIvDE,OAAQ,SACNtc,EACA+a,EACAz6B,EACAuS,EACAmU,GAEKA,IACHhH,EAAGpI,MAAMykB,QAAUrc,EAAGoc,uBAYxBG,GAAkB,CACpBpzB,KAAM1U,OACNqgC,OAAQ3uB,QACRirB,IAAKjrB,QACLq2B,KAAM/nC,OACNwP,KAAMxP,OACN68B,WAAY78B,OACZg9B,WAAYh9B,OACZ88B,aAAc98B,OACdi9B,aAAcj9B,OACd+8B,iBAAkB/8B,OAClBk9B,iBAAkBl9B,OAClB8/B,YAAa9/B,OACbggC,kBAAmBhgC,OACnB+/B,cAAe//B,OACfwgC,SAAU,CAACpT,OAAQptB,OAAQvD,SAK7B,SAASurC,GAAcn8B,GACrB,IAAIo8B,EAAcp8B,GAASA,EAAMvB,iBACjC,OAAI29B,GAAeA,EAAY7/B,KAAK6H,QAAQoS,SACnC2lB,GAAarkB,GAAuBskB,EAAY/9B,WAEhD2B,EAIX,SAASq8B,GAAuB3kB,GAC9B,IAAI9lB,EAAO,GACPwS,EAAUsT,EAAK1R,SAEnB,IAAK,IAAIlQ,KAAOsO,EAAQoB,UACtB5T,EAAKkE,GAAO4hB,EAAK5hB,GAInB,IAAIkb,EAAY5M,EAAQkP,iBACxB,IAAK,IAAI1P,KAASoN,EAChBpf,EAAKuE,EAASyN,IAAUoN,EAAUpN,GAEpC,OAAOhS,EAGT,SAAS0qC,GAAaC,EAAGC,GACvB,GAAI,iBAAiBlhC,KAAKkhC,EAASp+B,KACjC,OAAOm+B,EAAE,aAAc,CACrB14B,MAAO24B,EAAS/9B,iBAAiB+G,YAiBvC,IAAIi3B,GAAgB,SAAUnmC,GAAK,OAAOA,EAAE8H,KAAOoB,GAAmBlJ,IAElEomC,GAAmB,SAAUrrB,GAAK,MAAkB,SAAXA,EAAExI,MAE3C8zB,GAAa,CACf9zB,KAAM,aACNhF,MAAOo4B,GACPzlB,UAAU,EAEVnK,OAAQ,SAAiBkwB,GACvB,IAAI5rB,EAASriB,KAET+P,EAAW/P,KAAKye,OAAOhH,QAC3B,GAAK1H,IAKLA,EAAWA,EAASkgB,OAAOke,KAEbhqC,OAAd,CAKI,EAQJ,IAAIypC,EAAO5tC,KAAK4tC,KAGZ,EASJ,IAAIM,EAAWn+B,EAAS,GAIxB,GA7DJ,SAA8B2B,GAC5B,KAAQA,EAAQA,EAAMhB,QACpB,GAAIgB,EAAMpO,KAAKkiC,WACb,OAAO,EA0DL8I,CAAoBtuC,KAAKykB,QAC3B,OAAOypB,EAKT,IAAI98B,EAAQy8B,GAAaK,GAEzB,IAAK98B,EACH,OAAO88B,EAGT,GAAIluC,KAAKuuC,SACP,OAAOP,GAAYC,EAAGC,GAMxB,IAAIpuC,EAAK,gBAAmBE,KAAS,KAAI,IACzCoR,EAAM5J,IAAmB,MAAb4J,EAAM5J,IACd4J,EAAMN,UACJhR,EAAK,UACLA,EAAKsR,EAAMtB,IACb5K,EAAYkM,EAAM5J,KACmB,IAAlC3B,OAAOuL,EAAM5J,KAAKvD,QAAQnE,GAAYsR,EAAM5J,IAAM1H,EAAKsR,EAAM5J,IAC9D4J,EAAM5J,IAEZ,IAAIlE,GAAQ8N,EAAM9N,OAAS8N,EAAM9N,KAAO,KAAKkiC,WAAauI,GAAsB/tC,MAC5EwuC,EAAcxuC,KAAK0kB,OACnB+pB,EAAWZ,GAAaW,GAQ5B,GAJIp9B,EAAM9N,KAAK6S,YAAc/E,EAAM9N,KAAK6S,WAAWw2B,KAAKyB,MACtDh9B,EAAM9N,KAAK6jC,MAAO,GAIlBsH,GACAA,EAASnrC,OA7Ff,SAAsB8N,EAAOq9B,GAC3B,OAAOA,EAASjnC,MAAQ4J,EAAM5J,KAAOinC,EAAS3+B,MAAQsB,EAAMtB,IA6FvD4+B,CAAYt9B,EAAOq9B,KACnBv9B,GAAmBu9B,MAElBA,EAASh+B,oBAAqBg+B,EAASh+B,kBAAkBiU,OAAO5T,WAClE,CAGA,IAAIqpB,EAAUsU,EAASnrC,KAAKkiC,WAAaz8B,EAAO,GAAIzF,GAEpD,GAAa,WAATsqC,EAOF,OALA5tC,KAAKuuC,UAAW,EAChBjzB,GAAe6e,EAAS,cAAc,WACpC9X,EAAOksB,UAAW,EAClBlsB,EAAO6C,kBAEF8oB,GAAYC,EAAGC,GACjB,GAAa,WAATN,EAAmB,CAC5B,GAAI18B,GAAmBE,GACrB,OAAOo9B,EAET,IAAIG,EACA7G,EAAe,WAAc6G,KACjCrzB,GAAehY,EAAM,aAAcwkC,GACnCxsB,GAAehY,EAAM,iBAAkBwkC,GACvCxsB,GAAe6e,EAAS,cAAc,SAAUoN,GAASoH,EAAepH,MAI5E,OAAO2G,KAMP34B,GAAQxM,EAAO,CACjB+G,IAAKjK,OACL+oC,UAAW/oC,QACV8nC,IAwIH,SAASkB,GAAgB7mC,GAEnBA,EAAEiI,IAAI6+B,SACR9mC,EAAEiI,IAAI6+B,UAGJ9mC,EAAEiI,IAAIw1B,UACRz9B,EAAEiI,IAAIw1B,WAIV,SAASsJ,GAAgB/mC,GACvBA,EAAE1E,KAAK0rC,OAAShnC,EAAEiI,IAAIg/B,wBAGxB,SAASC,GAAkBlnC,GACzB,IAAImnC,EAASnnC,EAAE1E,KAAK8rC,IAChBJ,EAAShnC,EAAE1E,KAAK0rC,OAChBK,EAAKF,EAAOG,KAAON,EAAOM,KAC1BC,EAAKJ,EAAOK,IAAMR,EAAOQ,IAC7B,GAAIH,GAAME,EAAI,CACZvnC,EAAE1E,KAAKmsC,OAAQ,EACf,IAAItK,EAAIn9B,EAAEiI,IAAI+Y,MACdmc,EAAEuK,UAAYvK,EAAEwK,gBAAkB,aAAeN,EAAK,MAAQE,EAAK,MACnEpK,EAAEyK,mBAAqB,aA9JpBr6B,GAAMq4B,KAkKb,IAAIiC,GAAqB,CACvBxB,WAAYA,GACZyB,gBAlKoB,CACpBv6B,MAAOA,GAEPw6B,YAAa,WACX,IAAI1tB,EAASriB,KAETwP,EAASxP,KAAKiyB,QAClBjyB,KAAKiyB,QAAU,SAAUvgB,EAAO4R,GAC9B,IAAI+O,EAAwBxI,GAAkBxH,GAE9CA,EAAOiQ,UACLjQ,EAAOqC,OACPrC,EAAO2tB,MACP,GACA,GAEF3tB,EAAOqC,OAASrC,EAAO2tB,KACvB3d,IACA7iB,EAAOnP,KAAKgiB,EAAQ3Q,EAAO4R,KAI/BvF,OAAQ,SAAiBkwB,GAQvB,IAPA,IAAIn+B,EAAM9P,KAAK8P,KAAO9P,KAAKykB,OAAOnhB,KAAKwM,KAAO,OAC1CnJ,EAAMrE,OAAOsE,OAAO,MACpBqpC,EAAejwC,KAAKiwC,aAAejwC,KAAK+P,SACxCmgC,EAAclwC,KAAKye,OAAOhH,SAAW,GACrC1H,EAAW/P,KAAK+P,SAAW,GAC3BogC,EAAiBpC,GAAsB/tC,MAElCyE,EAAI,EAAGA,EAAIyrC,EAAY/rC,OAAQM,IAAK,CAC3C,IAAIuD,EAAIkoC,EAAYzrC,GACpB,GAAIuD,EAAE8H,IACJ,GAAa,MAAT9H,EAAER,KAAoD,IAArC3B,OAAOmC,EAAER,KAAKvD,QAAQ,WACzC8L,EAASb,KAAKlH,GACdrB,EAAIqB,EAAER,KAAOQ,GACXA,EAAE1E,OAAS0E,EAAE1E,KAAO,KAAKkiC,WAAa2K,QAS9C,GAAIF,EAAc,CAGhB,IAFA,IAAID,EAAO,GACPI,EAAU,GACLte,EAAM,EAAGA,EAAMme,EAAa9rC,OAAQ2tB,IAAO,CAClD,IAAIue,EAAMJ,EAAane,GACvBue,EAAI/sC,KAAKkiC,WAAa2K,EACtBE,EAAI/sC,KAAK8rC,IAAMiB,EAAIpgC,IAAIg/B,wBACnBtoC,EAAI0pC,EAAI7oC,KACVwoC,EAAK9gC,KAAKmhC,GAEVD,EAAQlhC,KAAKmhC,GAGjBrwC,KAAKgwC,KAAO/B,EAAEn+B,EAAK,KAAMkgC,GACzBhwC,KAAKowC,QAAUA,EAGjB,OAAOnC,EAAEn+B,EAAK,KAAMC,IAGtBugC,QAAS,WACP,IAAIvgC,EAAW/P,KAAKiwC,aAChBrB,EAAY5uC,KAAK4uC,YAAe5uC,KAAKua,MAAQ,KAAO,QACnDxK,EAAS5L,QAAWnE,KAAKuwC,QAAQxgC,EAAS,GAAGE,IAAK2+B,KAMvD7+B,EAAS+B,QAAQ+8B,IACjB9+B,EAAS+B,QAAQi9B,IACjBh/B,EAAS+B,QAAQo9B,IAKjBlvC,KAAKwwC,QAAUpuC,SAASquC,KAAKC,aAE7B3gC,EAAS+B,SAAQ,SAAU9J,GACzB,GAAIA,EAAE1E,KAAKmsC,MAAO,CAChB,IAAIre,EAAKppB,EAAEiI,IACPk1B,EAAI/T,EAAGpI,MACX4a,GAAmBxS,EAAIwd,GACvBzJ,EAAEuK,UAAYvK,EAAEwK,gBAAkBxK,EAAEyK,mBAAqB,GACzDxe,EAAGhtB,iBAAiB8+B,GAAoB9R,EAAG0d,QAAU,SAASj1B,EAAI/P,GAC5DA,GAAKA,EAAEuF,SAAW+hB,GAGjBtnB,IAAK,aAAakD,KAAKlD,EAAE6mC,gBAC5Bvf,EAAG6I,oBAAoBiJ,GAAoBrpB,GAC3CuX,EAAG0d,QAAU,KACbhL,GAAsB1S,EAAIwd,YAOpCp5B,QAAS,CACP+6B,QAAS,SAAkBnf,EAAIwd,GAE7B,IAAK5L,GACH,OAAO,EAGT,GAAIhjC,KAAK4wC,SACP,OAAO5wC,KAAK4wC,SAOd,IAAI1tB,EAAQkO,EAAGyf,YACXzf,EAAGkJ,oBACLlJ,EAAGkJ,mBAAmBxoB,SAAQ,SAAUsoB,GAAOiI,GAAYnf,EAAOkX,MAEpE8H,GAAShf,EAAO0rB,GAChB1rB,EAAM8F,MAAMykB,QAAU,OACtBztC,KAAKmyB,IAAItuB,YAAYqf,GACrB,IAAI/K,EAAO8rB,GAAkB/gB,GAE7B,OADAljB,KAAKmyB,IAAIvuB,YAAYsf,GACbljB,KAAK4wC,SAAWz4B,EAAK4sB,iBAyCnCjW,GAAIrkB,OAAOe,YAAcA,GACzBsjB,GAAIrkB,OAAOU,cAAgBA,GAC3B2jB,GAAIrkB,OAAOW,eAAiBA,GAC5B0jB,GAAIrkB,OAAOa,gBAAkBA,GAC7BwjB,GAAIrkB,OAAOY,iBA10GX,SAA2ByE,GAEzB,IAAKvD,EACH,OAAO,EAET,GAAIpB,GAAc2E,GAChB,OAAO,EAIT,GAFAA,EAAMA,EAAI/I,cAEsB,MAA5BivB,GAAoBlmB,GACtB,OAAOkmB,GAAoBlmB,GAE7B,IAAIshB,EAAKhvB,SAASoB,cAAcsM,GAChC,OAAIA,EAAI7L,QAAQ,MAAQ,EAEd+xB,GAAoBlmB,GAC1BshB,EAAG9B,cAAgB7vB,OAAOqxC,oBAC1B1f,EAAG9B,cAAgB7vB,OAAOsxC,YAGpB/a,GAAoBlmB,GAAO,qBAAqB9C,KAAKokB,EAAG5uB,aAwzGpEuG,EAAO+lB,GAAIhZ,QAAQK,WAAYk3B,IAC/BtkC,EAAO+lB,GAAIhZ,QAAQ+Y,WAAYghB,IAG/B/gB,GAAIlvB,UAAU0yB,UAAY/lB,EAAY07B,GAAQ7+B,EAG9C0lB,GAAIlvB,UAAUokB,OAAS,SACrBoN,EACA9N,GAGA,OA76JF,SACE1O,EACAwc,EACA9N,GAyBA,IAAI0tB,EA2CJ,OAlEAp8B,EAAGud,IAAMf,EACJxc,EAAG8C,SAASqG,SACfnJ,EAAG8C,SAASqG,OAASzM,IAmBvBgU,GAAS1Q,EAAI,eAsBXo8B,EAAkB,WAChBp8B,EAAGqd,QAAQrd,EAAG8d,UAAWpP,IAO7B,IAAIgI,GAAQ1W,EAAIo8B,EAAiB5nC,EAAM,CACrC0hB,OAAQ,WACFlW,EAAGyQ,aAAezQ,EAAG2O,cACvB+B,GAAS1Q,EAAI,mBAGhB,GACH0O,GAAY,EAIK,MAAb1O,EAAG6P,SACL7P,EAAGyQ,YAAa,EAChBC,GAAS1Q,EAAI,YAERA,EAs2JAq8B,CAAejxC,KADtBoxB,EAAKA,GAAM7kB,EAAY2pB,GAAM9E,QAAMxjB,EACH0V,IAK9B/W,GACFnM,YAAW,WACLqK,EAAOI,UACLA,IACFA,GAASugB,KAAK,OAAQ0D,MAsBzB,GAKL,IAAIoiB,GAAe,2BACfC,GAAgB,yBAEhBC,GAAa3pC,GAAO,SAAU4pC,GAChC,IAAIC,EAAOD,EAAW,GAAGvpC,QAAQqpC,GAAe,QAC5CvwC,EAAQywC,EAAW,GAAGvpC,QAAQqpC,GAAe,QACjD,OAAO,IAAI/kC,OAAOklC,EAAO,gBAAkB1wC,EAAO,QA6EpD,IAAI2wC,GAAU,CACZC,WAAY,CAAC,eACbC,cArCF,SAAwBrgB,EAAItb,GACfA,EAAQlH,KAAnB,IACIwmB,EAAcsI,GAAiBtM,EAAI,SAanCgE,IACFhE,EAAGgE,YAAchvB,KAAKC,UAAU+uB,IAElC,IAAIsc,EAAenU,GAAenM,EAAI,SAAS,GAC3CsgB,IACFtgB,EAAGsgB,aAAeA,IAkBpBC,QAdF,SAAkBvgB,GAChB,IAAI9tB,EAAO,GAOX,OANI8tB,EAAGgE,cACL9xB,GAAQ,eAAkB8tB,EAAc,YAAI,KAE1CA,EAAGsgB,eACLpuC,GAAQ,SAAY8tB,EAAe,aAAI,KAElC9tB,IAgDT,IAQIsuC,GARAC,GAAU,CACZL,WAAY,CAAC,eACbC,cAvCF,SAA0BrgB,EAAItb,GACjBA,EAAQlH,KAAnB,IACIiyB,EAAcnD,GAAiBtM,EAAI,SACnCyP,IAcFzP,EAAGyP,YAAcz6B,KAAKC,UAAUm6B,GAAeK,KAGjD,IAAIiR,EAAevU,GAAenM,EAAI,SAAS,GAC3C0gB,IACF1gB,EAAG0gB,aAAeA,IAkBpBH,QAdF,SAAoBvgB,GAClB,IAAI9tB,EAAO,GAOX,OANI8tB,EAAGyP,cACLv9B,GAAQ,eAAkB8tB,EAAc,YAAI,KAE1CA,EAAG0gB,eACLxuC,GAAQ,UAAa8tB,EAAe,aAAI,MAEnC9tB,IAaLyuC,GACM,SAAiBnwC,GAGvB,OAFAgwC,GAAUA,IAAWxvC,SAASoB,cAAc,QACpCu8B,UAAYn+B,EACbgwC,GAAQ3a,aAMf+a,GAAaxrC,EACf,6FAMEyrC,GAAmBzrC,EACrB,2DAKE0rC,GAAmB1rC,EACrB,mSAYE2rC,GAAY,4EACZC,GAAsB,wGACtBC,GAAS,6BAAgC1mC,EAAoB,OAAI,KACjE2mC,GAAe,OAASD,GAAS,QAAUA,GAAS,IACpDE,GAAe,IAAInmC,OAAQ,KAAOkmC,IAClCE,GAAgB,aAChBC,GAAS,IAAIrmC,OAAQ,QAAUkmC,GAAe,UAC9CI,GAAU,qBAEVC,GAAU,SACVC,GAAqB,QAGrBC,GAAqBrsC,EAAQ,yBAAyB,GACtDssC,GAAU,GAEVC,GAAc,CAChB,OAAQ,IACR,OAAQ,IACR,SAAU,IACV,QAAS,IACT,QAAS,KACT,OAAQ,KACR,QAAS,KAEPC,GAAc,4BACdC,GAA0B,mCAG1BC,GAAqB1sC,EAAQ,gBAAgB,GAC7C2sC,GAA2B,SAAUrjC,EAAKlO,GAAQ,OAAOkO,GAAOojC,GAAmBpjC,IAAoB,OAAZlO,EAAK,IAEpG,SAASwxC,GAAYjuC,EAAOkuC,GAC1B,IAAIC,EAAKD,EAAuBJ,GAA0BD,GAC1D,OAAO7tC,EAAM2C,QAAQwrC,GAAI,SAAUjmC,GAAS,OAAO0lC,GAAY1lC,MAmQjE,IAuBIkmC,GACAlC,GACAmC,GACAC,GACAC,GACAC,GACAC,GACAC,GA9BAC,GAAO,YACPC,GAAQ,eACRC,GAAa,qCACbC,GAAgB,iCAChBC,GAAgB,WAChBC,GAAe,WAEfC,GAAQ,SACRC,GAAS,kBACTC,GAAa,wBAEbC,GAAS,kBAETC,GAAc,SACdC,GAAiB,OAIjBC,GAAmBjtC,EAAOsqC,IAe9B,SAAS4C,GACP7kC,EACA+M,EACAnM,GAEA,MAAO,CACL2E,KAAM,EACNvF,IAAKA,EACL6sB,UAAW9f,EACX6f,SAAUkY,GAAa/3B,GACvBg4B,YAAa,GACbnkC,OAAQA,EACRX,SAAU,IAOd,SAAS+kC,GACPC,EACAj/B,GAEAy9B,GAASz9B,EAAQlH,MAAQitB,GAEzB8X,GAAmB79B,EAAQk/B,UAAY1rC,EACvCsqC,GAAsB99B,EAAQtK,aAAelC,EAC7CuqC,GAA0B/9B,EAAQxK,iBAAmBhC,EACrD,IAAI6B,EAAgB2K,EAAQ3K,eAAiB7B,GAC5B,SAAU8nB,GAAM,QAASA,EAAG9J,YAAcnc,EAAcimB,EAAGthB,OAE5E0jC,GAAaxX,GAAoBlmB,EAAQmmB,QAAS,iBAClDwX,GAAgBzX,GAAoBlmB,EAAQmmB,QAAS,oBACrDyX,GAAiB1X,GAAoBlmB,EAAQmmB,QAAS,qBAEtDoV,GAAav7B,EAAQu7B,WAErB,IAGI4D,EACAC,EAJAC,EAAQ,GACRC,GAAoD,IAA/Bt/B,EAAQs/B,mBAC7BC,EAAmBv/B,EAAQw/B,WAG3BjK,GAAS,EACTkK,GAAQ,EAUZ,SAASC,EAAcC,GAyBrB,GAxBAC,EAAqBD,GAChBpK,GAAWoK,EAAQE,YACtBF,EAAUG,GAAeH,EAAS3/B,IAG/Bq/B,EAAMhxC,QAAUsxC,IAAYR,GAE3BA,EAAKY,KAAOJ,EAAQK,QAAUL,EAAQM,OAIxCC,GAAef,EAAM,CACnBla,IAAK0a,EAAQK,OACbG,MAAOR,IAWTP,IAAkBO,EAAQS,UAC5B,GAAIT,EAAQK,QAAUL,EAAQM,KAqZN3kB,EApZFqkB,GAqZtBza,EAeN,SAA0BjrB,GAExB,IADA,IAAItL,EAAIsL,EAAS5L,OACVM,KAAK,CACV,GAAyB,IAArBsL,EAAStL,GAAG4Q,KACd,OAAOtF,EAAStL,GAShBsL,EAASH,OA5BFumC,CArZwBjB,EAqZDnlC,YACtBirB,EAAK6a,IACfG,GAAehb,EAAM,CACnBD,IAAK3J,EAAG0kB,OACRG,MAAO7kB,QAxZA,CACL,GAAIqkB,EAAQW,UAAW,CAIrB,IAAI77B,EAAOk7B,EAAQY,YAAc,aAC/BnB,EAActyB,cAAgBsyB,EAActyB,YAAc,KAAKrI,GAAQk7B,EAE3EP,EAAcnlC,SAASb,KAAKumC,GAC5BA,EAAQ/kC,OAASwkC,EA0YzB,IAA8B9jB,EACxB4J,EArYFya,EAAQ1lC,SAAW0lC,EAAQ1lC,SAASkgB,QAAO,SAAUjoB,GAAK,OAAQ,EAAIouC,aAEtEV,EAAqBD,GAGjBA,EAAQ5sB,MACVwiB,GAAS,GAEPsI,GAAiB8B,EAAQ3lC,OAC3BylC,GAAQ,GAGV,IAAK,IAAI9wC,EAAI,EAAGA,EAAIivC,GAAevvC,OAAQM,IACzCivC,GAAejvC,GAAGgxC,EAAS3/B,GAI/B,SAAS4/B,EAAsBtkB,GAE7B,IAAKmkB,EAEH,IADA,IAAIe,GAEDA,EAAWllB,EAAGrhB,SAASqhB,EAAGrhB,SAAS5L,OAAS,KAC3B,IAAlBmyC,EAASjhC,MACS,MAAlBihC,EAAStmC,MAETohB,EAAGrhB,SAASH,MAyNlB,OApnBF,SAAoBhO,EAAMkU,GAOxB,IANA,IAKIqG,EAAMo6B,EALNpB,EAAQ,GACRqB,EAAa1gC,EAAQ0gC,WACrBC,EAAgB3gC,EAAQk8B,YAAc1oC,EACtCotC,EAAsB5gC,EAAQm8B,kBAAoB3oC,EAClDlC,EAAQ,EAELxF,GAAM,CAGX,GAFAua,EAAOva,EAEF20C,GAAY1D,GAAmB0D,GAkF7B,CACL,IAAII,EAAe,EACfC,EAAaL,EAAQxvC,cACrB8vC,EAAe/D,GAAQ8D,KAAgB9D,GAAQ8D,GAAc,IAAIxqC,OAAO,kBAAoBwqC,EAAa,UAAW,MACpHE,EAASl1C,EAAKkG,QAAQ+uC,GAAc,SAAUE,EAAK/mC,EAAMyiC,GAa3D,OAZAkE,EAAelE,EAAOtuC,OACjB0uC,GAAmB+D,IAA8B,aAAfA,IACrC5mC,EAAOA,EACJlI,QAAQ,sBAAuB,MAC/BA,QAAQ,4BAA6B,OAEtCqrC,GAAyByD,EAAY5mC,KACvCA,EAAOA,EAAK9L,MAAM,IAEhB4R,EAAQkhC,OACVlhC,EAAQkhC,MAAMhnC,GAET,MAET5I,GAASxF,EAAKuC,OAAS2yC,EAAO3yC,OAC9BvC,EAAOk1C,EACPG,EAAYL,EAAYxvC,EAAQuvC,EAAcvvC,OAvGF,CAC5C,IAAI8vC,EAAUt1C,EAAKqC,QAAQ,KAC3B,GAAgB,IAAZizC,EAAe,CAEjB,GAAIvE,GAAQ3lC,KAAKpL,GAAO,CACtB,IAAIu1C,EAAav1C,EAAKqC,QAAQ,UAE9B,GAAIkzC,GAAc,EAAG,CACfrhC,EAAQshC,mBACVthC,EAAQ68B,QAAQ/wC,EAAKy1C,UAAU,EAAGF,GAAa/vC,EAAOA,EAAQ+vC,EAAa,GAE7EG,EAAQH,EAAa,GACrB,UAKJ,GAAIvE,GAAmB5lC,KAAKpL,GAAO,CACjC,IAAI21C,EAAiB31C,EAAKqC,QAAQ,MAElC,GAAIszC,GAAkB,EAAG,CACvBD,EAAQC,EAAiB,GACzB,UAKJ,IAAIC,EAAe51C,EAAKyL,MAAMqlC,IAC9B,GAAI8E,EAAc,CAChBF,EAAQE,EAAa,GAAGrzC,QACxB,SAIF,IAAIszC,EAAc71C,EAAKyL,MAAMolC,IAC7B,GAAIgF,EAAa,CACf,IAAIC,EAAWtwC,EACfkwC,EAAQG,EAAY,GAAGtzC,QACvB8yC,EAAYQ,EAAY,GAAIC,EAAUtwC,GACtC,SAIF,IAAIuwC,EAAgBC,IACpB,GAAID,EAAe,CACjBE,EAAeF,GACXxE,GAAyBwE,EAAcrhB,QAAS10B,IAClD01C,EAAQ,GAEV,UAIJ,IAAItnC,OAAO,EAAU8nC,OAAO,EAAU75B,OAAO,EAC7C,GAAIi5B,GAAW,EAAG,CAEhB,IADAY,EAAOl2C,EAAKsC,MAAMgzC,KAEfzE,GAAOzlC,KAAK8qC,IACZvF,GAAavlC,KAAK8qC,IAClBnF,GAAQ3lC,KAAK8qC,IACblF,GAAmB5lC,KAAK8qC,KAGzB75B,EAAO65B,EAAK7zC,QAAQ,IAAK,IACd,IACXizC,GAAWj5B,EACX65B,EAAOl2C,EAAKsC,MAAMgzC,GAEpBlnC,EAAOpO,EAAKy1C,UAAU,EAAGH,GAGvBA,EAAU,IACZlnC,EAAOpO,GAGLoO,GACFsnC,EAAQtnC,EAAK7L,QAGX2R,EAAQkhC,OAAShnC,GACnB8F,EAAQkhC,MAAMhnC,EAAM5I,EAAQ4I,EAAK7L,OAAQiD,GA0B7C,GAAIxF,IAASua,EAAM,CACjBrG,EAAQkhC,OAASlhC,EAAQkhC,MAAMp1C,GAI/B,OAOJ,SAAS01C,EAAS3xC,GAChByB,GAASzB,EACT/D,EAAOA,EAAKy1C,UAAU1xC,GAGxB,SAASiyC,IACP,IAAI/uC,EAAQjH,EAAKyL,MAAMklC,IACvB,GAAI1pC,EAAO,CACT,IAMIi1B,EAAKtJ,EANLnnB,EAAQ,CACVipB,QAASztB,EAAM,GACfgU,MAAO,GACPhU,MAAOzB,GAIT,IAFAkwC,EAAQzuC,EAAM,GAAG1E,UAER25B,EAAMl8B,EAAKyL,MAAMmlC,OAAoBhe,EAAO5yB,EAAKyL,MAAM+kC,KAAwBxwC,EAAKyL,MAAM8kC,MACjG3d,EAAK3rB,MAAQzB,EACbkwC,EAAQ9iB,EAAK,GAAGrwB,QAChBqwB,EAAKsJ,IAAM12B,EACXiG,EAAMwP,MAAM3N,KAAKslB,GAEnB,GAAIsJ,EAIF,OAHAzwB,EAAM0qC,WAAaja,EAAI,GACvBwZ,EAAQxZ,EAAI,GAAG35B,QACfkJ,EAAMywB,IAAM12B,EACLiG,GAKb,SAASwqC,EAAgBxqC,GACvB,IAAIipB,EAAUjpB,EAAMipB,QAChByhB,EAAa1qC,EAAM0qC,WAEnBvB,IACc,MAAZD,GAAmBrE,GAAiB5b,IACtC2gB,EAAYV,GAEVG,EAAoBpgB,IAAYigB,IAAYjgB,GAC9C2gB,EAAY3gB,IAQhB,IAJA,IAAI0hB,EAAQvB,EAAcngB,MAAcyhB,EAEpCrvC,EAAI2E,EAAMwP,MAAM1Y,OAChB0Y,EAAQ,IAAIrY,MAAMkE,GACbjE,EAAI,EAAGA,EAAIiE,EAAGjE,IAAK,CAC1B,IAAIF,EAAO8I,EAAMwP,MAAMpY,GACnBU,EAAQZ,EAAK,IAAMA,EAAK,IAAMA,EAAK,IAAM,GACzC8uC,EAAmC,MAAZ/c,GAA+B,SAAZ/xB,EAAK,GAC/CuR,EAAQmiC,4BACRniC,EAAQu9B,qBACZx2B,EAAMpY,GAAK,CACT8V,KAAMhW,EAAK,GACXY,MAAOiuC,GAAWjuC,EAAOkuC,IAQxB2E,IACH7C,EAAMjmC,KAAK,CAAEY,IAAKwmB,EAAS4hB,cAAe5hB,EAAQvvB,cAAe8V,MAAOA,EAAOhU,MAAOwE,EAAMxE,MAAOi1B,IAAKzwB,EAAMywB,MAC9GyY,EAAUjgB,GAGRxgB,EAAQjN,OACViN,EAAQjN,MAAMytB,EAASzZ,EAAOm7B,EAAO3qC,EAAMxE,MAAOwE,EAAMywB,KAI5D,SAASmZ,EAAa3gB,EAASztB,EAAOi1B,GACpC,IAAIsR,EAAK+I,EAKT,GAJa,MAATtvC,IAAiBA,EAAQzB,GAClB,MAAP02B,IAAeA,EAAM12B,GAGrBkvB,EAEF,IADA6hB,EAAoB7hB,EAAQvvB,cACvBqoC,EAAM+F,EAAMhxC,OAAS,EAAGirC,GAAO,GAC9B+F,EAAM/F,GAAK8I,gBAAkBC,EADI/I,UAOvCA,EAAM,EAGR,GAAIA,GAAO,EAAG,CAEZ,IAAK,IAAI3qC,EAAI0wC,EAAMhxC,OAAS,EAAGM,GAAK2qC,EAAK3qC,IAUnCqR,EAAQgoB,KACVhoB,EAAQgoB,IAAIqX,EAAM1wC,GAAGqL,IAAKjH,EAAOi1B,GAKrCqX,EAAMhxC,OAASirC,EACfmH,EAAUnH,GAAO+F,EAAM/F,EAAM,GAAGt/B,QACD,OAAtBqoC,EACLriC,EAAQjN,OACViN,EAAQjN,MAAMytB,EAAS,IAAI,EAAMztB,EAAOi1B,GAEX,MAAtBqa,IACLriC,EAAQjN,OACViN,EAAQjN,MAAMytB,EAAS,IAAI,EAAOztB,EAAOi1B,GAEvChoB,EAAQgoB,KACVhoB,EAAQgoB,IAAIxH,EAASztB,EAAOi1B,IA1HlCmZ,IAmTAmB,CAAUrD,EAAU,CAClBnmC,KAAM2kC,GACNiD,WAAY1gC,EAAQ0gC,WACpBxE,WAAYl8B,EAAQk8B,WACpBC,iBAAkBn8B,EAAQm8B,iBAC1BoB,qBAAsBv9B,EAAQu9B,qBAC9B4E,4BAA6BniC,EAAQmiC,4BACrCb,kBAAmBthC,EAAQuiC,SAC3BC,kBAAmBxiC,EAAQwiC,kBAC3BzvC,MAAO,SAAgBiH,EAAK+M,EAAOm7B,EAAOO,EAASza,GAGjD,IAAIztB,EAAM6kC,GAAiBA,EAAc7kC,IAAOwjC,GAAwB/jC,GAIpE/C,GAAe,QAAPsD,IACVwM,EAmtBR,SAAwBA,GAEtB,IADA,IAAI1T,EAAM,GACD1E,EAAI,EAAGA,EAAIoY,EAAM1Y,OAAQM,IAAK,CACrC,IAAI+vB,EAAO3X,EAAMpY,GACZ+zC,GAAQxrC,KAAKwnB,EAAKja,QACrBia,EAAKja,KAAOia,EAAKja,KAAKzS,QAAQ2wC,GAAY,IAC1CtvC,EAAI+F,KAAKslB,IAGb,OAAOrrB,EA5tBOuvC,CAAc77B,IAGxB,IAksBmBuU,EAlsBfqkB,EAAUd,GAAiB7kC,EAAK+M,EAAOq4B,GACvC7kC,IACFolC,EAAQplC,GAAKA,GAksBN,WAFU+gB,EAtqBAqkB,GAwqBlB3lC,MACS,WAAXshB,EAAGthB,KACDshB,EAAGsL,SAASrnB,MACQ,oBAArB+b,EAAGsL,SAASrnB,OA3qBoB1H,OAC9B8nC,EAAQS,WAAY,GAUtB,IAAK,IAAIzxC,EAAI,EAAGA,EAAIgvC,GAActvC,OAAQM,IACxCgxC,EAAUhC,GAAchvC,GAAGgxC,EAAS3/B,IAAY2/B,EAG7CpK,KAuIX,SAAqBja,GACkB,MAAjCsM,GAAiBtM,EAAI,WACvBA,EAAGvI,KAAM,GAxIL8vB,CAAWlD,GACPA,EAAQ5sB,MACVwiB,GAAS,IAGTsI,GAAiB8B,EAAQ3lC,OAC3BylC,GAAQ,GAENlK,EAoIV,SAA0Bja,GACxB,IAAIvqB,EAAOuqB,EAAGuL,UACV1qB,EAAMpL,EAAK1C,OACf,GAAI8N,EAEF,IADA,IAAI4K,EAAQuU,EAAGvU,MAAQ,IAAIrY,MAAMyN,GACxBxN,EAAI,EAAGA,EAAIwN,EAAKxN,IACvBoY,EAAMpY,GAAK,CACT8V,KAAM1T,EAAKpC,GAAG8V,KACdpV,MAAOiB,KAAKC,UAAUQ,EAAKpC,GAAGU,QAEX,MAAjB0B,EAAKpC,GAAGoE,QACVgU,EAAMpY,GAAGoE,MAAQhC,EAAKpC,GAAGoE,MACzBgU,EAAMpY,GAAGq5B,IAAMj3B,EAAKpC,GAAGq5B,UAGjB1M,EAAGvI,MAEbuI,EAAGkL,OAAQ,GApJPsc,CAAgBnD,GACNA,EAAQE,YAElBkD,GAAWpD,GAqPnB,SAAoBrkB,GAClB,IAAI2J,EAAM2C,GAAiBtM,EAAI,QAC/B,GAAI2J,EACF3J,EAAGykB,GAAK9a,EACRib,GAAe5kB,EAAI,CACjB2J,IAAKA,EACLkb,MAAO7kB,QAEJ,CACiC,MAAlCsM,GAAiBtM,EAAI,YACvBA,EAAG2kB,MAAO,GAEZ,IAAID,EAASpY,GAAiBtM,EAAI,aAC9B0kB,IACF1kB,EAAG0kB,OAASA,IAlQVgD,CAAUrD,GAgTlB,SAAsBrkB,GAEL,MADDsM,GAAiBtM,EAAI,YAEjCA,EAAG/mB,MAAO,GAlTN0uC,CAAYtD,IAGTR,IACHA,EAAOQ,GAMJuC,EAIHxC,EAAaC,IAHbP,EAAgBO,EAChBN,EAAMjmC,KAAKumC,KAMf3X,IAAK,SAAchuB,EAAKjH,EAAOmwC,GAC7B,IAAIvD,EAAUN,EAAMA,EAAMhxC,OAAS,GAEnCgxC,EAAMhxC,QAAU,EAChB+wC,EAAgBC,EAAMA,EAAMhxC,OAAS,GAIrCqxC,EAAaC,IAGfuB,MAAO,SAAgBhnC,EAAMnH,EAAOi1B,GAClC,GAAKoX,KAkBDnoC,GACoB,aAAtBmoC,EAAcplC,KACdolC,EAAcxY,SAASsR,cAAgBh+B,GAFzC,CAMA,IA8kBcohB,EAxjBRjoB,EACAiI,EAvBFrB,EAAWmlC,EAAcnlC,SAiB7B,GAfEC,EADEulC,GAASvlC,EAAK0rB,OA8kBJ,YADAtK,EA5kBK8jB,GA6kBbplC,KAA+B,UAAXshB,EAAGthB,IA7kBOE,EAAO0kC,GAAiB1kC,GAChDD,EAAS5L,OAGVkxC,EACgB,aAArBA,GAGKb,GAAYxnC,KAAKgD,GAAQ,GAEzB,IAGFolC,EAAqB,IAAM,GAV3B,GAaFG,GAA8B,aAArBF,IAEZrlC,EAAOA,EAAKlI,QAAQ2sC,GAAgB,OAIjCpJ,GAAmB,MAATr7B,IAAiB7G,EArxBxC,SACE6G,EACAqhC,GAEA,IAAI4H,EAAQ5H,EAAaD,GAAWC,GAAcH,GAClD,GAAK+H,EAAMjsC,KAAKgD,GAAhB,CAOA,IAJA,IAGI3C,EAAOjG,EAAO8xC,EAHdC,EAAS,GACTC,EAAY,GACZl9B,EAAY+8B,EAAM/8B,UAAY,EAE1B7O,EAAQ4rC,EAAMI,KAAKrpC,IAAQ,EACjC5I,EAAQiG,EAAMjG,OAEF8U,IACVk9B,EAAUlqC,KAAKgqC,EAAalpC,EAAK9L,MAAMgY,EAAW9U,IAClD+xC,EAAOjqC,KAAK9I,KAAKC,UAAU6yC,KAG7B,IAAIne,EAAMD,GAAaztB,EAAM,GAAGquB,QAChCyd,EAAOjqC,KAAM,MAAQ6rB,EAAM,KAC3Bqe,EAAUlqC,KAAK,CAAE,WAAY6rB,IAC7B7e,EAAY9U,EAAQiG,EAAM,GAAGlJ,OAM/B,OAJI+X,EAAYlM,EAAK7L,SACnBi1C,EAAUlqC,KAAKgqC,EAAalpC,EAAK9L,MAAMgY,IACvCi9B,EAAOjqC,KAAK9I,KAAKC,UAAU6yC,KAEtB,CACLhtB,WAAYitB,EAAOhgB,KAAK,KACxBggB,OAAQC,IAsvBkCE,CAAUtpC,EAAMqhC,KACpDjgC,EAAQ,CACNiE,KAAM,EACN6W,WAAY/iB,EAAI+iB,WAChBitB,OAAQhwC,EAAIgwC,OACZnpC,KAAMA,GAEU,MAATA,GAAiBD,EAAS5L,QAAiD,MAAvC4L,EAASA,EAAS5L,OAAS,GAAG6L,OAC3EoB,EAAQ,CACNiE,KAAM,EACNrF,KAAMA,IAGNoB,GAKFrB,EAASb,KAAKkC,KAIpBuhC,QAAS,SAAkB3iC,EAAMnH,EAAOi1B,GAGtC,GAAIoX,EAAe,CACjB,IAAI9jC,EAAQ,CACViE,KAAM,EACNrF,KAAMA,EACNc,WAAW,GAET,EAIJokC,EAAcnlC,SAASb,KAAKkC,OAI3B6jC,EA8BT,SAASW,GACPH,EACA3/B,GA8SF,IAA4Bsb,GAvR5B,SAAqBA,GACnB,IAAI2J,EAAMwC,GAAenM,EAAI,OAC7B,GAAI2J,EAAK,CAqBP3J,EAAG5pB,IAAMuzB,GA5CXwe,CAAW9D,GAIXA,EAAQnZ,OACLmZ,EAAQjuC,MACRiuC,EAAQ7yB,cACR6yB,EAAQ9Y,UAAUx4B,OAyCvB,SAAqBitB,GACnB,IAAItwB,EAAMy8B,GAAenM,EAAI,OACzBtwB,IACFswB,EAAGtwB,IAAMA,EACTswB,EAAGmG,SAsZP,SAAqBnG,GACnB,IAAI1gB,EAAS0gB,EACb,KAAO1gB,GAAQ,CACb,QAAmB9C,IAAf8C,EAAO8oC,IACT,OAAO,EAET9oC,EAASA,EAAOA,OAElB,OAAO,EA9ZS+oC,CAAWroB,IA1C3BsoB,CAAWjE,GAuJb,SAA6BrkB,GAC3B,IAAIglB,EACW,aAAXhlB,EAAGthB,KACLsmC,EAAY1Y,GAAiBtM,EAAI,SAYjCA,EAAGglB,UAAYA,GAAa1Y,GAAiBtM,EAAI,gBACvCglB,EAAY1Y,GAAiBtM,EAAI,iBAW3CA,EAAGglB,UAAYA,GAIjB,IAAIC,EAAa9Y,GAAenM,EAAI,QAChCilB,IACFjlB,EAAGilB,WAA4B,OAAfA,EAAsB,YAAcA,EACpDjlB,EAAGuoB,qBAAuBvoB,EAAGsL,SAAS,WAAYtL,EAAGsL,SAAS,gBAG/C,aAAXtL,EAAGthB,KAAuBshB,EAAGglB,WAC/B7Z,GAAQnL,EAAI,OAAQilB,EA1gG1B,SACEjlB,EACA7W,GAEA,OAAO6W,EAAGyjB,YAAY,IAAMt6B,IAC1B6W,EAAGyjB,YAAY,UAAYt6B,IAC3B6W,EAAGyjB,YAAYt6B,GAogGmBq/B,CAAkBxoB,EAAI,UAMxD,GAAe,aAAXA,EAAGthB,IAAoB,CAEzB,IAAI+pC,EAAchc,GAAwBzM,EAAImjB,IAC9C,GAAIsF,EAAa,CACX,EAeJ,IAAI/4C,EAAMg5C,GAAYD,GAClBt/B,EAAOzZ,EAAIyZ,KACX6hB,EAAUt7B,EAAIs7B,QAClBhL,EAAGilB,WAAa97B,EAChB6W,EAAGuoB,kBAAoBvd,EACvBhL,EAAGglB,UAAYyD,EAAY10C,OAvmBT,eAymBf,CAEL,IAAI40C,EAAgBlc,GAAwBzM,EAAImjB,IAChD,GAAIwF,EAAe,CACb,EAsBJ,IAAIn9B,EAAQwU,EAAGxO,cAAgBwO,EAAGxO,YAAc,IAC5Co3B,EAAQF,GAAYC,GACpBh9B,EAASi9B,EAAMz/B,KACf0/B,EAAYD,EAAM5d,QAClB8d,EAAgBt9B,EAAMG,GAAU43B,GAAiB,WAAY,GAAIvjB,GACrE8oB,EAAc7D,WAAat5B,EAC3Bm9B,EAAcP,kBAAoBM,EAClCC,EAAcnqC,SAAWqhB,EAAGrhB,SAASkgB,QAAO,SAAUjoB,GACpD,IAAKA,EAAEouC,UAEL,OADApuC,EAAE0I,OAASwpC,GACJ,KAGXA,EAAc9D,UAAY2D,EAAc50C,OAhpBtB,UAkpBlBisB,EAAGrhB,SAAW,GAEdqhB,EAAGkL,OAAQ,IAvQjB6d,CAAmB1E,GAkSJ,UADWrkB,EAhSRqkB,GAiSX3lC,MACLshB,EAAGgpB,SAAW7c,GAAenM,EAAI,SAYrC,SAA2BA,GACzB,IAAI+a,GACCA,EAAU5O,GAAenM,EAAI,SAChCA,EAAG9J,UAAY6kB,GAE8B,MAA3CzO,GAAiBtM,EAAI,qBACvBA,EAAGvN,gBAAiB,GAnTtBw2B,CAAiB5E,GACjB,IAAK,IAAIhxC,EAAI,EAAGA,EAAI+uC,GAAWrvC,OAAQM,IACrCgxC,EAAUjC,GAAW/uC,GAAGgxC,EAAS3/B,IAAY2/B,EAG/C,OAkTF,SAAuBrkB,GACrB,IACI3sB,EAAGiE,EAAG6R,EAAM2e,EAAS/zB,EAAO6zB,EAAWshB,EAASC,EADhD1zC,EAAOuqB,EAAGuL,UAEd,IAAKl4B,EAAI,EAAGiE,EAAI7B,EAAK1C,OAAQM,EAAIiE,EAAGjE,IAAK,CAGvC,GAFA8V,EAAO2e,EAAUryB,EAAKpC,GAAG8V,KACzBpV,EAAQ0B,EAAKpC,GAAGU,MACZ4uC,GAAM/mC,KAAKuN,GASb,GAPA6W,EAAGopB,aAAc,GAEjBxhB,EAAYyhB,GAAelgC,EAAKzS,QAAQisC,GAAO,QAG7Cx5B,EAAOA,EAAKzS,QAAQwsC,GAAY,KAE9BD,GAAOrnC,KAAKuN,GACdA,EAAOA,EAAKzS,QAAQusC,GAAQ,IAC5BlvC,EAAQ21B,GAAa31B,IACrBo1C,EAAYpG,GAAannC,KAAKuN,MAE5BA,EAAOA,EAAKrW,MAAM,GAAI,IAUpB80B,IACEA,EAAU7hB,OAASojC,GAER,eADbhgC,EAAO1S,EAAS0S,MACYA,EAAO,aAEjCye,EAAU0hB,QAAUH,IACtBhgC,EAAO1S,EAAS0S,IAEdye,EAAUnS,OACZyzB,EAAUnc,GAAkBh5B,EAAO,UAC9Bo1C,EAuBHxd,GACE3L,EACC,cAAkB7W,EAAO,IAC1B+/B,EACA,MACA,EACA/G,EACA1sC,EAAKpC,IACL,IA9BFs4B,GACE3L,EACC,UAAavpB,EAAS0S,GACvB+/B,EACA,MACA,EACA/G,EACA1sC,EAAKpC,IAEH4D,EAAUkS,KAAU1S,EAAS0S,IAC/BwiB,GACE3L,EACC,UAAa/oB,EAAUkS,GACxB+/B,EACA,MACA,EACA/G,EACA1sC,EAAKpC,OAkBVu0B,GAAaA,EAAU7hB,OACzBia,EAAG9J,WAAassB,GAAoBxiB,EAAGthB,IAAKshB,EAAGsL,SAASrnB,KAAMkF,GAE/D4hB,GAAQ/K,EAAI7W,EAAMpV,EAAO0B,EAAKpC,GAAI81C,GAElChe,GAAQnL,EAAI7W,EAAMpV,EAAO0B,EAAKpC,GAAI81C,QAE/B,GAAIzG,GAAK9mC,KAAKuN,GACnBA,EAAOA,EAAKzS,QAAQgsC,GAAM,KAC1ByG,EAAYpG,GAAannC,KAAKuN,MAE5BA,EAAOA,EAAKrW,MAAM,GAAI,IAExB64B,GAAW3L,EAAI7W,EAAMpV,EAAO6zB,GAAW,EAAOua,EAAQ1sC,EAAKpC,GAAI81C,OAC1D,CAGL,IAAII,GAFJpgC,EAAOA,EAAKzS,QAAQisC,GAAO,KAEP1mC,MAAM+mC,IACtBzb,EAAMgiB,GAAYA,EAAS,GAC/BJ,GAAY,EACR5hB,IACFpe,EAAOA,EAAKrW,MAAM,IAAKy0B,EAAIx0B,OAAS,IAChCgwC,GAAannC,KAAK2rB,KACpBA,EAAMA,EAAIz0B,MAAM,GAAI,GACpBq2C,GAAY,IAGhB3d,GAAaxL,EAAI7W,EAAM2e,EAAS/zB,EAAOwzB,EAAK4hB,EAAWvhB,EAAWnyB,EAAKpC,SAmBzE83B,GAAQnL,EAAI7W,EAAMnU,KAAKC,UAAUlB,GAAQ0B,EAAKpC,KAGzC2sB,EAAG9J,WACK,UAAT/M,GACAq5B,GAAoBxiB,EAAGthB,IAAKshB,EAAGsL,SAASrnB,KAAMkF,IAChD4hB,GAAQ/K,EAAI7W,EAAM,OAAQ1T,EAAKpC,KAnbrCm2C,CAAanF,GACNA,EAsCT,SAASoD,GAAYznB,GACnB,IAAI2J,EACJ,GAAKA,EAAM2C,GAAiBtM,EAAI,SAAW,CACzC,IAAIjoB,EAcR,SAAmB4xB,GACjB,IAAI8f,EAAU9f,EAAI1tB,MAAM2mC,IACxB,IAAK6G,EAAW,OAChB,IAAI1xC,EAAM,GACVA,EAAIqwC,IAAMqB,EAAQ,GAAGnf,OACrB,IAAIof,EAAQD,EAAQ,GAAGnf,OAAO5zB,QAAQosC,GAAe,IACjD6G,EAAgBD,EAAMztC,MAAM4mC,IAC5B8G,GACF5xC,EAAI2xC,MAAQA,EAAMhzC,QAAQmsC,GAAe,IAAIvY,OAC7CvyB,EAAI6xC,UAAYD,EAAc,GAAGrf,OAC7Bqf,EAAc,KAChB5xC,EAAI8xC,UAAYF,EAAc,GAAGrf,SAGnCvyB,EAAI2xC,MAAQA,EAEd,OAAO3xC,EA9BK+xC,CAASngB,GACf5xB,GACFJ,EAAOqoB,EAAIjoB,IAoFjB,SAAS6sC,GAAgB5kB,EAAI+pB,GACtB/pB,EAAGgqB,eACNhqB,EAAGgqB,aAAe,IAEpBhqB,EAAGgqB,aAAalsC,KAAKisC,GAmIvB,SAASrB,GAAa3N,GACpB,IAAI5xB,EAAO4xB,EAAQ5xB,KAAKzS,QAAQysC,GAAQ,IAWxC,OAVKh6B,GACqB,MAApB4xB,EAAQ5xB,KAAK,KACfA,EAAO,WAQJ45B,GAAannC,KAAKuN,GAErB,CAAEA,KAAMA,EAAKrW,MAAM,GAAI,GAAIk4B,SAAS,GAEpC,CAAE7hB,KAAO,IAAOA,EAAO,IAAO6hB,SAAS,GA6K7C,SAASqe,GAAgBlgC,GACvB,IAAIlN,EAAQkN,EAAKlN,MAAMinC,IACvB,GAAIjnC,EAAO,CACT,IAAIvE,EAAM,GAEV,OADAuE,EAAMyE,SAAQ,SAAUoqB,GAAKpzB,EAAIozB,EAAEh4B,MAAM,KAAM,KACxC4E,GAIX,SAAS8rC,GAAc/3B,GAErB,IADA,IAAIlW,EAAM,GACDlC,EAAI,EAAGiE,EAAImU,EAAM1Y,OAAQM,EAAIiE,EAAGjE,IAOvCkC,EAAIkW,EAAMpY,GAAG8V,MAAQsC,EAAMpY,GAAGU,MAEhC,OAAOwB,EAkBT,IAAI6xC,GAAU,eACVC,GAAa,UAgGjB,SAAS4C,GAAiBjqB,GACxB,OAAOujB,GAAiBvjB,EAAGthB,IAAKshB,EAAGuL,UAAUz4B,QAASktB,EAAG1gB,QAG3D,IAII4qC,GAAY,CACd/J,GACAM,GANY,CACZ0J,iBAnEF,SAA2BnqB,EAAItb,GAC7B,GAAe,UAAXsb,EAAGthB,IAAiB,CACtB,IAKI0rC,EALA70C,EAAMyqB,EAAGsL,SACb,IAAK/1B,EAAI,WACP,OAWF,IAPIA,EAAI,UAAYA,EAAI,kBACtB60C,EAAcje,GAAenM,EAAI,SAE9BzqB,EAAI0O,MAASmmC,IAAe70C,EAAI,YACnC60C,EAAc,IAAO70C,EAAI,UAAa,UAGpC60C,EAAa,CACf,IAAIC,EAAc/d,GAAiBtM,EAAI,QAAQ,GAC3CsqB,EAAmBD,EAAe,MAAQA,EAAc,IAAO,GAC/DE,EAAkD,MAAxCje,GAAiBtM,EAAI,UAAU,GACzCwqB,EAAkBle,GAAiBtM,EAAI,aAAa,GAEpDyqB,EAAUR,GAAgBjqB,GAE9BynB,GAAWgD,GACXpf,GAAWof,EAAS,OAAQ,YAC5BjG,GAAeiG,EAAS/lC,GACxB+lC,EAAQlG,WAAY,EACpBkG,EAAQhG,GAAK,IAAM2F,EAAc,iBAAmBE,EACpD1F,GAAe6F,EAAS,CACtB9gB,IAAK8gB,EAAQhG,GACbI,MAAO4F,IAGT,IAAIC,EAAUT,GAAgBjqB,GAC9BsM,GAAiBoe,EAAS,SAAS,GACnCrf,GAAWqf,EAAS,OAAQ,SAC5BlG,GAAekG,EAAShmC,GACxBkgC,GAAe6F,EAAS,CACtB9gB,IAAK,IAAMygB,EAAc,cAAgBE,EACzCzF,MAAO6F,IAGT,IAAIC,EAAUV,GAAgBjqB,GAe9B,OAdAsM,GAAiBqe,EAAS,SAAS,GACnCtf,GAAWsf,EAAS,QAASP,GAC7B5F,GAAemG,EAASjmC,GACxBkgC,GAAe6F,EAAS,CACtB9gB,IAAK0gB,EACLxF,MAAO8F,IAGLJ,EACFE,EAAQ9F,MAAO,EACN6F,IACTC,EAAQ/F,OAAS8F,GAGZC,OAmCb,IAuBIG,GACAC,GAhBAC,GAAc,CAChB1F,YAAY,EACZva,QAASqf,GACTnlC,WAXiB,CACjBwR,MAhtGF,SACEyJ,EACA8G,EACAikB,GAESA,EACT,IAAIh3C,EAAQ+yB,EAAI/yB,MACZ6zB,EAAYd,EAAIc,UAChBlpB,EAAMshB,EAAGthB,IACTuF,EAAO+b,EAAGsL,SAASrnB,KAcvB,GAAI+b,EAAG9J,UAGL,OAFAyW,GAAkB3M,EAAIjsB,EAAO6zB,IAEtB,EACF,GAAY,WAARlpB,GAqEb,SACEshB,EACAjsB,EACA6zB,GAEA,IAOIojB,EAAO,8KAPEpjB,GAAaA,EAAUgF,OAIZ,UAAY,OAGzB,MACXoe,EAAOA,EAAO,IAAOje,GAAkBh5B,EAFtB,6DAGjB43B,GAAW3L,EAAI,SAAUgrB,EAAM,MAAM,GAlFnCC,CAAUjrB,EAAIjsB,EAAO6zB,QAChB,GAAY,UAARlpB,GAA4B,aAATuF,GAwBhC,SACE+b,EACAjsB,EACA6zB,GAEA,IAAIgF,EAAShF,GAAaA,EAAUgF,OAChCse,EAAe/e,GAAenM,EAAI,UAAY,OAC9CmrB,EAAmBhf,GAAenM,EAAI,eAAiB,OACvDorB,EAAoBjf,GAAenM,EAAI,gBAAkB,QAC7D+K,GAAQ/K,EAAI,UACV,iBAAmBjsB,EAAnB,QACSA,EAAQ,IAAMm3C,EAAe,QACf,SAArBC,EACK,KAAOp3C,EAAQ,IACf,OAASA,EAAQ,IAAMo3C,EAAmB,MAGnDxf,GAAW3L,EAAI,SACb,WAAajsB,EAAb,yCAE2Bo3C,EAAmB,MAAQC,EAFtD,qCAIgBxe,EAAS,MAAQse,EAAe,IAAMA,GAJtD,6CAMiCne,GAAkBh5B,EAAO,qBAN1D,mBAOsBg5B,GAAkBh5B,EAAO,6CAP/C,WAQYg5B,GAAkBh5B,EAAO,OAAU,IAC/C,MAAM,GAlDNs3C,CAAiBrrB,EAAIjsB,EAAO6zB,QACvB,GAAY,UAARlpB,GAA4B,UAATuF,GAqDhC,SACE+b,EACAjsB,EACA6zB,GAEA,IAAIgF,EAAShF,GAAaA,EAAUgF,OAChCse,EAAe/e,GAAenM,EAAI,UAAY,OAElD+K,GAAQ/K,EAAI,UAAY,MAAQjsB,EAAQ,KADxCm3C,EAAete,EAAU,MAAQse,EAAe,IAAOA,GACM,KAC7Dvf,GAAW3L,EAAI,SAAU+M,GAAkBh5B,EAAOm3C,GAAe,MAAM,GA7DrEI,CAActrB,EAAIjsB,EAAO6zB,QACpB,GAAY,UAARlpB,GAA2B,aAARA,GAgFhC,SACEshB,EACAjsB,EACA6zB,GAEA,IAAI3jB,EAAO+b,EAAGsL,SAASrnB,KAInB,EAaJ,IAAIvU,EAAMk4B,GAAa,GACnBpN,EAAO9qB,EAAI8qB,KACXoS,EAASl9B,EAAIk9B,OACbtC,EAAO56B,EAAI46B,KACXihB,GAAwB/wB,GAAiB,UAATvW,EAChChS,EAAQuoB,EACR,SACS,UAATvW,EAjJY,MAmJV,QAEF4oB,EAAkB,sBAClBvC,IACFuC,EAAkB,8BAEhBD,IACFC,EAAkB,MAAQA,EAAkB,KAG9C,IAAIme,EAAOje,GAAkBh5B,EAAO84B,GAChC0e,IACFP,EAAO,qCAAuCA,GAGhDjgB,GAAQ/K,EAAI,QAAU,IAAMjsB,EAAQ,KACpC43B,GAAW3L,EAAI/tB,EAAO+4C,EAAM,MAAM,IAC9B1gB,GAAQsC,IACVjB,GAAW3L,EAAI,OAAQ,kBAhIvBwrB,CAAgBxrB,EAAIjsB,EAAO6zB,OACtB,KAAKvuB,EAAOU,cAAc2E,GAG/B,OAFAiuB,GAAkB3M,EAAIjsB,EAAO6zB,IAEtB,EAYT,OAAO,GA+pGPhpB,KAhBF,SAAeohB,EAAI8G,GACbA,EAAI/yB,OACNg3B,GAAQ/K,EAAI,cAAgB,MAAS8G,EAAS,MAAI,IAAMA,IAe1Dt2B,KATF,SAAewvB,EAAI8G,GACbA,EAAI/yB,OACNg3B,GAAQ/K,EAAI,YAAc,MAAS8G,EAAS,MAAI,IAAMA,KAgBxD8c,SA93Ja,SAAUllC,GAAO,MAAe,QAARA,GA+3JrCkiC,WAAYA,GACZxmC,YAAaA,GACbymC,iBAAkBA,GAClB9mC,cAAeA,GACfG,gBAAiBA,GACjBkmC,WAxmUF,SAAwBvV,GACtB,OAAOA,EAAQ4gB,QAAO,SAAU3yC,EAAMgyB,GACpC,OAAOhyB,EAAK8K,OAAOknB,EAAEsV,YAAc,MAClC,IAAIrY,KAAK,KAqmUA2jB,CAAcxB,KAQxByB,GAAsBt1C,GAuB1B,SAA0ByC,GACxB,OAAO1D,EACL,iFACC0D,EAAO,IAAMA,EAAO,QAbzB,SAAS8yC,GAAU/H,EAAMn/B,GAClBm/B,IACL+G,GAAce,GAAoBjnC,EAAQ07B,YAAc,IACxDyK,GAAwBnmC,EAAQ3K,eAAiB7B,EAcnD,SAAS2zC,EAAc1rC,GAErB,GADAA,EAAK2rC,OA6DP,SAAmB3rC,GACjB,GAAkB,IAAdA,EAAK8D,KACP,OAAO,EAET,GAAkB,IAAd9D,EAAK8D,KACP,OAAO,EAET,SAAU9D,EAAKsX,MACZtX,EAAKipC,aACLjpC,EAAKskC,IAAOtkC,EAAKioC,KACjBxyC,EAAauK,EAAKzB,OACnBmsC,GAAsB1qC,EAAKzB,MAM/B,SAAqCyB,GACnC,KAAOA,EAAKb,QAAQ,CAElB,GAAiB,cADjBa,EAAOA,EAAKb,QACHZ,IACP,OAAO,EAET,GAAIyB,EAAKioC,IACP,OAAO,EAGX,OAAO,EAfJ2D,CAA2B5rC,KAC5BjP,OAAO4H,KAAKqH,GAAM1H,MAAMmyC,MA1EZprC,CAASW,GACL,IAAdA,EAAK8D,KAAY,CAInB,IACG4mC,GAAsB1qC,EAAKzB,MACf,SAAbyB,EAAKzB,KAC+B,MAApCyB,EAAKmrB,SAAS,mBAEd,OAEF,IAAK,IAAIj4B,EAAI,EAAGiE,EAAI6I,EAAKxB,SAAS5L,OAAQM,EAAIiE,EAAGjE,IAAK,CACpD,IAAI2M,EAAQG,EAAKxB,SAAStL,GAC1Bw4C,EAAa7rC,GACRA,EAAM8rC,SACT3rC,EAAK2rC,QAAS,GAGlB,GAAI3rC,EAAK6pC,aACP,IAAK,IAAItpB,EAAM,EAAGsrB,EAAM7rC,EAAK6pC,aAAaj3C,OAAQ2tB,EAAMsrB,EAAKtrB,IAAO,CAClE,IAAImkB,EAAQ1kC,EAAK6pC,aAAatpB,GAAKmkB,MACnCgH,EAAahH,GACRA,EAAMiH,SACT3rC,EAAK2rC,QAAS,KArCtBD,CAAahI,GA4Cf,SAASoI,EAAiB9rC,EAAMuO,GAC9B,GAAkB,IAAdvO,EAAK8D,KAAY,CAOnB,IANI9D,EAAK2rC,QAAU3rC,EAAKlH,QACtBkH,EAAK+rC,YAAcx9B,GAKjBvO,EAAK2rC,QAAU3rC,EAAKxB,SAAS5L,SACN,IAAzBoN,EAAKxB,SAAS5L,QACY,IAA1BoN,EAAKxB,SAAS,GAAGsF,MAGjB,YADA9D,EAAKgsC,YAAa,GAKpB,GAFEhsC,EAAKgsC,YAAa,EAEhBhsC,EAAKxB,SACP,IAAK,IAAItL,EAAI,EAAGiE,EAAI6I,EAAKxB,SAAS5L,OAAQM,EAAIiE,EAAGjE,IAC/C44C,EAAgB9rC,EAAKxB,SAAStL,GAAIqb,KAAavO,EAAKioC,KAGxD,GAAIjoC,EAAK6pC,aACP,IAAK,IAAItpB,EAAM,EAAGsrB,EAAM7rC,EAAK6pC,aAAaj3C,OAAQ2tB,EAAMsrB,EAAKtrB,IAC3DurB,EAAgB9rC,EAAK6pC,aAAatpB,GAAKmkB,MAAOn2B,IAlEpDu9B,CAAgBpI,GAAM,IAwGxB,IAAIuI,GAAU,0DACVC,GAAa,gBACbC,GAAe,+FAGfxyC,GAAW,CACbyyC,IAAK,GACLC,IAAK,EACLxY,MAAO,GACPyY,MAAO,GACPC,GAAI,GACJxO,KAAM,GACNpS,MAAO,GACP6gB,KAAM,GACN,OAAU,CAAC,EAAG,KAIZC,GAAW,CAEbL,IAAK,CAAC,MAAO,UACbC,IAAK,MACLxY,MAAO,QAEPyY,MAAO,CAAC,IAAK,YAEbC,GAAI,CAAC,KAAM,WACXxO,KAAM,CAAC,OAAQ,aACfpS,MAAO,CAAC,QAAS,cACjB6gB,KAAM,CAAC,OAAQ,aAEf,OAAU,CAAC,YAAa,SAAU,QAMhCE,GAAW,SAAU9C,GAAa,MAAQ,MAAQA,EAAY,iBAE9D+C,GAAe,CACjBC,KAAM,4BACNC,QAAS,2BACT5+C,KAAMy+C,GAAS,0CACfI,KAAMJ,GAAS,mBACf5hC,MAAO4hC,GAAS,oBAChBK,IAAKL,GAAS,kBACdM,KAAMN,GAAS,mBACf3O,KAAM2O,GAAS,6CACf9gB,OAAQ8gB,GAAS,6CACjB/gB,MAAO+gB,GAAS,8CAGlB,SAASO,GACPvhB,EACAjvB,GAEA,IAAIywC,EAASzwC,EAAW,YAAc,MAClC0wC,EAAiB,GACjBC,EAAkB,GACtB,IAAK,IAAIpkC,KAAQ0iB,EAAQ,CACvB,IAAI2hB,EAAcC,GAAW5hB,EAAO1iB,IAChC0iB,EAAO1iB,IAAS0iB,EAAO1iB,GAAM6hB,QAC/BuiB,GAAmBpkC,EAAO,IAAMqkC,EAAc,IAE9CF,GAAkB,IAAOnkC,EAAO,KAAQqkC,EAAc,IAI1D,OADAF,EAAiB,IAAOA,EAAex6C,MAAM,GAAI,GAAM,IACnDy6C,EACKF,EAAS,MAAQC,EAAiB,KAAQC,EAAgBz6C,MAAM,GAAI,GAAM,KAE1Eu6C,EAASC,EAIpB,SAASG,GAAYpmC,GACnB,IAAKA,EACH,MAAO,eAGT,GAAIjU,MAAM2B,QAAQsS,GAChB,MAAQ,IAAOA,EAAQ9R,KAAI,SAAU8R,GAAW,OAAOomC,GAAWpmC,MAAa0gB,KAAK,KAAQ,IAG9F,IAAI2lB,EAAepB,GAAa1wC,KAAKyL,EAAQtT,OACzC45C,EAAuBvB,GAAQxwC,KAAKyL,EAAQtT,OAC5C65C,EAAuBtB,GAAa1wC,KAAKyL,EAAQtT,MAAM2C,QAAQ21C,GAAY,KAE/E,GAAKhlC,EAAQugB,UAKN,CACL,IAAIojB,EAAO,GACP6C,EAAkB,GAClB/0C,EAAO,GACX,IAAK,IAAI1C,KAAOiR,EAAQugB,UACtB,GAAIklB,GAAa12C,GACfy3C,GAAmBf,GAAa12C,GAE5B0D,GAAS1D,IACX0C,EAAKgF,KAAK1H,QAEP,GAAY,UAARA,EAAiB,CAC1B,IAAIwxB,EAAavgB,EAAiB,UAClCwmC,GAAmBhB,GACjB,CAAC,OAAQ,QAAS,MAAO,QACtBhuB,QAAO,SAAUivB,GAAe,OAAQlmB,EAAUkmB,MAClDv4C,KAAI,SAAUu4C,GAAe,MAAQ,UAAYA,EAAc,SAC/D/lB,KAAK,YAGVjvB,EAAKgF,KAAK1H,GAiBd,OAdI0C,EAAK/F,SACPi4C,GAiBN,SAAuBlyC,GACrB,MAIE,mCACCA,EAAKvD,IAAIw4C,IAAehmB,KAAK,MAAS,gBAvB7BimB,CAAal1C,IAGnB+0C,IACF7C,GAAQ6C,GASF,oBAAsB7C,GAPZ0C,EACb,UAAarmC,EAAa,MAAI,WAC/BsmC,EACG,WAActmC,EAAa,MAAI,YAChCumC,EACG,UAAavmC,EAAa,MAC3BA,EAAQtT,OACmC,IAzCnD,OAAI25C,GAAgBC,EACXtmC,EAAQtT,MAET,qBAAuB65C,EAAwB,UAAavmC,EAAa,MAAKA,EAAQtT,OAAS,IAoD3G,SAASg6C,GAAe33C,GACtB,IAAI63C,EAAShsB,SAAS7rB,EAAK,IAC3B,GAAI63C,EACF,MAAQ,oBAAsBA,EAEhC,IAAIC,EAAUp0C,GAAS1D,GACnB+3C,EAAUvB,GAASx2C,GACvB,MACE,qBACCpB,KAAKC,UAAUmB,GAAQ,IACvBpB,KAAKC,UAAUi5C,GAFhB,eAIMl5C,KAAKC,UAAUk5C,GACrB,IAuBJ,IAAIC,GAAiB,CACnBxkC,GAlBF,SAAaoW,EAAI8G,GAIf9G,EAAGquB,cAAgB,SAAUrD,GAAQ,MAAQ,MAAQA,EAAO,IAAOlkB,EAAS,MAAI,MAehF5vB,KAVF,SAAiB8oB,EAAI8G,GACnB9G,EAAGsuB,SAAW,SAAUtD,GACtB,MAAQ,MAAQA,EAAO,KAAQhrB,EAAM,IAAI,KAAQ8G,EAAS,MAAI,KAAOA,EAAIc,WAAad,EAAIc,UAAU7hB,KAAO,OAAS,UAAY+gB,EAAIc,WAAad,EAAIc,UAAUnS,KAAO,QAAU,IAAM,MASxL84B,MAAOv2C,GASLw2C,GAAe,SAAuB9pC,GACxC9V,KAAK8V,QAAUA,EACf9V,KAAK4O,KAAOkH,EAAQlH,MAAQitB,GAC5B77B,KAAKwzC,WAAaxX,GAAoBlmB,EAAQmmB,QAAS,iBACvDj8B,KAAK6/C,WAAa7jB,GAAoBlmB,EAAQmmB,QAAS,WACvDj8B,KAAKmW,WAAapN,EAAOA,EAAO,GAAIy2C,IAAiB1pC,EAAQK,YAC7D,IAAIhL,EAAgB2K,EAAQ3K,eAAiB7B,EAC7CtJ,KAAK8/C,eAAiB,SAAU1uB,GAAM,QAASA,EAAG9J,YAAcnc,EAAcimB,EAAGthB,MACjF9P,KAAK+/C,OAAS,EACd//C,KAAKkgB,gBAAkB,GACvBlgB,KAAK6oB,KAAM,GAKb,SAASm3B,GACPC,EACAnqC,GAEA,IAAIoqC,EAAQ,IAAIN,GAAa9pC,GAE7B,MAAO,CACLiI,OAAS,sBAFAkiC,EAAME,GAAWF,EAAKC,GAAS,aAED,IACvChgC,gBAAiBggC,EAAMhgC,iBAI3B,SAASigC,GAAY/uB,EAAI8uB,GAKvB,GAJI9uB,EAAG1gB,SACL0gB,EAAGvI,IAAMuI,EAAGvI,KAAOuI,EAAG1gB,OAAOmY,KAG3BuI,EAAGmsB,aAAensB,EAAGgvB,gBACvB,OAAOC,GAAUjvB,EAAI8uB,GAChB,GAAI9uB,EAAG/mB,OAAS+mB,EAAGkvB,cACxB,OAAOC,GAAQnvB,EAAI8uB,GACd,GAAI9uB,EAAGooB,MAAQpoB,EAAGovB,aACvB,OAAOC,GAAOrvB,EAAI8uB,GACb,GAAI9uB,EAAGykB,KAAOzkB,EAAGsvB,YACtB,OAAOC,GAAMvvB,EAAI8uB,GACZ,GAAe,aAAX9uB,EAAGthB,KAAuBshB,EAAGilB,YAAe6J,EAAMr3B,IAEtD,IAAe,SAAXuI,EAAGthB,IACZ,OAubJ,SAAkBshB,EAAI8uB,GACpB,IAAI9F,EAAWhpB,EAAGgpB,UAAY,YAC1BrqC,EAAW6wC,GAAYxvB,EAAI8uB,GAC3B/2C,EAAM,MAAQixC,GAAYrqC,EAAY,IAAMA,EAAY,IACxD8M,EAAQuU,EAAGvU,OAASuU,EAAGoL,aACvBqkB,IAAUzvB,EAAGvU,OAAS,IAAI7H,OAAOoc,EAAGoL,cAAgB,IAAI71B,KAAI,SAAU6tB,GAAQ,MAAO,CAEnFja,KAAM1S,EAAS2sB,EAAKja,MACpBpV,MAAOqvB,EAAKrvB,MACZi3B,QAAS5H,EAAK4H,aAEhB,KACA0kB,EAAU1vB,EAAGsL,SAAS,WACrB7f,IAASikC,GAAa/wC,IACzB5G,GAAO,SAEL0T,IACF1T,GAAO,IAAM0T,GAEXikC,IACF33C,IAAQ0T,EAAQ,GAAK,SAAW,IAAMikC,GAExC,OAAO33C,EAAM,IA7cJ43C,CAAQ3vB,EAAI8uB,GAGnB,IAAI9D,EACJ,GAAIhrB,EAAG9J,UACL80B,EA4cN,SACE4E,EACA5vB,EACA8uB,GAEA,IAAInwC,EAAWqhB,EAAGvN,eAAiB,KAAO+8B,GAAYxvB,EAAI8uB,GAAO,GACjE,MAAQ,MAAQc,EAAgB,IAAOC,GAAU7vB,EAAI8uB,IAAWnwC,EAAY,IAAMA,EAAY,IAAM,IAldzFmxC,CAAa9vB,EAAG9J,UAAW8J,EAAI8uB,OACjC,CACL,IAAI58C,IACC8tB,EAAGkL,OAAUlL,EAAGvI,KAAOq3B,EAAMJ,eAAe1uB,MAC/C9tB,EAAO29C,GAAU7vB,EAAI8uB,IAGvB,IAAInwC,EAAWqhB,EAAGvN,eAAiB,KAAO+8B,GAAYxvB,EAAI8uB,GAAO,GACjE9D,EAAO,OAAUhrB,EAAM,IAAI,KAAO9tB,EAAQ,IAAMA,EAAQ,KAAOyM,EAAY,IAAMA,EAAY,IAAM,IAGrG,IAAK,IAAItL,EAAI,EAAGA,EAAIy7C,EAAM1M,WAAWrvC,OAAQM,IAC3C23C,EAAO8D,EAAM1M,WAAW/uC,GAAG2sB,EAAIgrB,GAEjC,OAAOA,EArBP,OAAOwE,GAAYxvB,EAAI8uB,IAAU,SA0BrC,SAASG,GAAWjvB,EAAI8uB,GACtB9uB,EAAGgvB,iBAAkB,EAIrB,IAAIe,EAAmBjB,EAAMr3B,IAM7B,OALIuI,EAAGvI,MACLq3B,EAAMr3B,IAAMuI,EAAGvI,KAEjBq3B,EAAMhgC,gBAAgBhR,KAAM,qBAAwBixC,GAAW/uB,EAAI8uB,GAAU,KAC7EA,EAAMr3B,IAAMs4B,EACJ,OAASjB,EAAMhgC,gBAAgB/b,OAAS,IAAMitB,EAAGksB,YAAc,QAAU,IAAM,IAIzF,SAASiD,GAASnvB,EAAI8uB,GAEpB,GADA9uB,EAAGkvB,eAAgB,EACflvB,EAAGykB,KAAOzkB,EAAGsvB,YACf,OAAOC,GAAMvvB,EAAI8uB,GACZ,GAAI9uB,EAAGksB,YAAa,CAGzB,IAFA,IAAI91C,EAAM,GACNkJ,EAAS0gB,EAAG1gB,OACTA,GAAQ,CACb,GAAIA,EAAO8oC,IAAK,CACdhyC,EAAMkJ,EAAOlJ,IACb,MAEFkJ,EAASA,EAAOA,OAElB,OAAKlJ,EAOG,MAAS24C,GAAW/uB,EAAI8uB,GAAU,IAAOA,EAAMH,SAAY,IAAMv4C,EAAM,IAFtE24C,GAAW/uB,EAAI8uB,GAIxB,OAAOG,GAAUjvB,EAAI8uB,GAIzB,SAASS,GACPvvB,EACA8uB,EACAkB,EACAC,GAGA,OADAjwB,EAAGsvB,aAAc,EAInB,SAASY,EACPC,EACArB,EACAkB,EACAC,GAEA,IAAKE,EAAWp9C,OACd,OAAOk9C,GAAY,OAGrB,IAAIlG,EAAYoG,EAAWllC,QAC3B,OAAI8+B,EAAUpgB,IACJ,IAAOogB,EAAa,IAAI,KAAQqG,EAAcrG,EAAUlF,OAAU,IAAOqL,EAAgBC,EAAYrB,EAAOkB,EAAQC,GAEpH,GAAMG,EAAcrG,EAAUlF,OAIxC,SAASuL,EAAepwB,GACtB,OAAOgwB,EACHA,EAAOhwB,EAAI8uB,GACX9uB,EAAG/mB,KACDk2C,GAAQnvB,EAAI8uB,GACZC,GAAW/uB,EAAI8uB,IA1BhBoB,CAAgBlwB,EAAGgqB,aAAal3C,QAASg8C,EAAOkB,EAAQC,GA8BjE,SAASZ,GACPrvB,EACA8uB,EACAkB,EACAK,GAEA,IAAI1mB,EAAM3J,EAAGooB,IACTsB,EAAQ1pB,EAAG0pB,MACXE,EAAY5pB,EAAG4pB,UAAa,IAAO5pB,EAAY,UAAK,GACpD6pB,EAAY7pB,EAAG6pB,UAAa,IAAO7pB,EAAY,UAAK,GAkBxD,OADAA,EAAGovB,cAAe,GACViB,GAAa,MAAQ,KAAO1mB,EAA7B,cACS+f,EAAQE,EAAYC,EAD7B,aAEWmG,GAAUjB,IAAY/uB,EAAI8uB,GAC1C,KAGJ,SAASe,GAAW7vB,EAAI8uB,GACtB,IAAI58C,EAAO,IAIP4S,EA+EN,SAAwBkb,EAAI8uB,GAC1B,IAAIhqC,EAAOkb,EAAGjb,WACd,IAAKD,EAAQ,OACb,IAEIzR,EAAGiE,EAAGwvB,EAAKwpB,EAFXv4C,EAAM,eACNw4C,GAAa,EAEjB,IAAKl9C,EAAI,EAAGiE,EAAIwN,EAAK/R,OAAQM,EAAIiE,EAAGjE,IAAK,CACvCyzB,EAAMhiB,EAAKzR,GACXi9C,GAAc,EACd,IAAIE,EAAM1B,EAAM/pC,WAAW+hB,EAAI3d,MAC3BqnC,IAGFF,IAAgBE,EAAIxwB,EAAI8G,EAAKgoB,EAAMtxC,OAEjC8yC,IACFC,GAAa,EACbx4C,GAAO,UAAc+uB,EAAQ,KAAI,cAAmBA,EAAW,QAAI,KAAQA,EAAI/yB,MAAS,WAAc+yB,EAAS,MAAI,gBAAmB9xB,KAAKC,UAAU6xB,EAAI/yB,OAAW,KAAO+yB,EAAIS,IAAO,SAAWT,EAAI2E,aAAe3E,EAAIS,IAAO,IAAQT,EAAO,IAAI,KAAU,KAAOA,EAAIc,UAAa,cAAiB5yB,KAAKC,UAAU6xB,EAAIc,WAAe,IAAM,MAGjV,GAAI2oB,EACF,OAAOx4C,EAAIjF,MAAM,GAAI,GAAK,IApGjB29C,CAAczwB,EAAI8uB,GACzBhqC,IAAQ5S,GAAQ4S,EAAO,KAGvBkb,EAAG5pB,MACLlE,GAAQ,OAAU8tB,EAAM,IAAI,KAG1BA,EAAGtwB,MACLwC,GAAQ,OAAU8tB,EAAM,IAAI,KAE1BA,EAAGmG,WACLj0B,GAAQ,kBAGN8tB,EAAGvI,MACLvlB,GAAQ,aAGN8tB,EAAG9J,YACLhkB,GAAQ,QAAY8tB,EAAM,IAAI,MAGhC,IAAK,IAAI3sB,EAAI,EAAGA,EAAIy7C,EAAML,WAAW17C,OAAQM,IAC3CnB,GAAQ48C,EAAML,WAAWp7C,GAAG2sB,GA+B9B,GA5BIA,EAAGvU,QACLvZ,GAAQ,SAAYu9C,GAASzvB,EAAGvU,OAAU,KAGxCuU,EAAG7b,QACLjS,GAAQ,YAAeu9C,GAASzvB,EAAG7b,OAAU,KAG3C6b,EAAG6L,SACL35B,GAASk7C,GAAYptB,EAAG6L,QAAQ,GAAU,KAExC7L,EAAGiM,eACL/5B,GAASk7C,GAAYptB,EAAGiM,cAAc,GAAS,KAI7CjM,EAAGilB,aAAejlB,EAAGglB,YACvB9yC,GAAQ,QAAW8tB,EAAa,WAAI,KAGlCA,EAAGxO,cACLtf,GAwEJ,SACE8tB,EACAxU,EACAsjC,GAMA,IAAI37B,EAAmB6M,EAAGooB,KAAOl3C,OAAO4H,KAAK0S,GAAO+vB,MAAK,SAAUnlC,GACjE,IAAIsV,EAAOF,EAAMpV,GACjB,OACEsV,EAAK68B,mBACL78B,EAAK+4B,IACL/4B,EAAK08B,KACLsI,GAAkBhlC,MAQlBilC,IAAa3wB,EAAGykB,GAOpB,IAAKtxB,EAEH,IADA,IAAI7T,EAAS0gB,EAAG1gB,OACTA,GAAQ,CACb,GACGA,EAAO0lC,WApqDU,YAoqDG1lC,EAAO0lC,WAC5B1lC,EAAO8oC,IACP,CACAj1B,GAAmB,EACnB,MAEE7T,EAAOmlC,KACTkM,GAAW,GAEbrxC,EAASA,EAAOA,OAIpB,IAAIsxC,EAAiB1/C,OAAO4H,KAAK0S,GAC9BjW,KAAI,SAAUa,GAAO,OAAOy6C,GAAcrlC,EAAMpV,GAAM04C,MACtD/mB,KAAK,KAER,MAAQ,mBAAqB6oB,EAAiB,KAAOz9B,EAAmB,aAAe,MAAQA,GAAoBw9B,EAAY,eAGjI,SAAct7C,GACZ,IAAImV,EAAO,KACPnX,EAAIgC,EAAItC,OACZ,KAAMM,GACJmX,EAAe,GAAPA,EAAanV,EAAIoF,aAAapH,GAExC,OAAOmX,IAAS,EATgI,CAAMomC,GAAoB,IAAM,IA3HtK,CAAgB5wB,EAAIA,EAAGxO,YAAas9B,GAAU,KAGpD9uB,EAAGzJ,QACLrkB,GAAQ,gBAAmB8tB,EAAGzJ,MAAW,MAAI,aAAgByJ,EAAGzJ,MAAc,SAAI,eAAkByJ,EAAGzJ,MAAgB,WAAI,MAGzHyJ,EAAGvN,eAAgB,CACrB,IAAIA,EAgDR,SAA4BuN,EAAI8uB,GAC9B,IAAID,EAAM7uB,EAAGrhB,SAAS,GAClB,EAQJ,GAAIkwC,GAAoB,IAAbA,EAAI5qC,KAAY,CACzB,IAAI6sC,EAAkBlC,GAASC,EAAKC,EAAMpqC,SAC1C,MAAQ,qCAAwCosC,EAAsB,OAAI,sBAAyBA,EAAgBhiC,gBAAgBvZ,KAAI,SAAUy1C,GAAQ,MAAQ,cAAgBA,EAAO,OAASjjB,KAAK,KAAQ,MA5DzLgpB,CAAkB/wB,EAAI8uB,GACvCr8B,IACFvgB,GAAQugB,EAAiB,KAkB7B,OAfAvgB,EAAOA,EAAKwE,QAAQ,KAAM,IAAM,IAI5BspB,EAAGoL,eACLl5B,EAAO,MAAQA,EAAO,KAAS8tB,EAAM,IAAI,KAASyvB,GAASzvB,EAAGoL,cAAiB,KAG7EpL,EAAGsuB,WACLp8C,EAAO8tB,EAAGsuB,SAASp8C,IAGjB8tB,EAAGquB,gBACLn8C,EAAO8tB,EAAGquB,cAAcn8C,IAEnBA,EA2GT,SAASw+C,GAAmB1wB,GAC1B,OAAgB,IAAZA,EAAG/b,OACU,SAAX+b,EAAGthB,KAGAshB,EAAGrhB,SAAS48B,KAAKmV,KAK5B,SAASG,GACP7wB,EACA8uB,GAEA,IAAIkC,EAAiBhxB,EAAGsL,SAAS,cACjC,GAAItL,EAAGykB,KAAOzkB,EAAGsvB,cAAgB0B,EAC/B,OAAOzB,GAAMvvB,EAAI8uB,EAAO+B,GAAe,QAEzC,GAAI7wB,EAAGooB,MAAQpoB,EAAGovB,aAChB,OAAOC,GAAOrvB,EAAI8uB,EAAO+B,IAE3B,IAAI7L,EAttDoB,YAstDRhlB,EAAGglB,UACf,GACAvwC,OAAOurB,EAAGglB,WACV1uC,EAAK,YAAc0uC,EAAd,aACiB,aAAXhlB,EAAGthB,IACZshB,EAAGykB,IAAMuM,EACN,IAAOhxB,EAAK,GAAI,MAAQwvB,GAAYxvB,EAAI8uB,IAAU,aAAe,aAClEU,GAAYxvB,EAAI8uB,IAAU,YAC5BC,GAAW/uB,EAAI8uB,IAAU,IAE3BmC,EAAejM,EAAY,GAAK,cACpC,MAAQ,SAAWhlB,EAAGilB,YAAc,aAAiB,OAAS3uC,EAAK26C,EAAe,IAGpF,SAASzB,GACPxvB,EACA8uB,EACAoC,EACAC,EACAC,GAEA,IAAIzyC,EAAWqhB,EAAGrhB,SAClB,GAAIA,EAAS5L,OAAQ,CACnB,IAAIs+C,EAAO1yC,EAAS,GAEpB,GAAwB,IAApBA,EAAS5L,QACXs+C,EAAKjJ,KACQ,aAAbiJ,EAAK3yC,KACQ,SAAb2yC,EAAK3yC,IACL,CACA,IAAI2Y,EAAoB65B,EACpBpC,EAAMJ,eAAe2C,GAAQ,KAAO,KACpC,GACJ,MAAQ,IAAOF,GAAiBpC,IAAYsC,EAAMvC,GAAUz3B,EAE9D,IAAIi6B,EAAsBJ,EAY9B,SACEvyC,EACA+vC,GAGA,IADA,IAAI32C,EAAM,EACD1E,EAAI,EAAGA,EAAIsL,EAAS5L,OAAQM,IAAK,CACxC,IAAI2sB,EAAKrhB,EAAStL,GAClB,GAAgB,IAAZ2sB,EAAG/b,KAAP,CAGA,GAAIstC,GAAmBvxB,IAClBA,EAAGgqB,cAAgBhqB,EAAGgqB,aAAazO,MAAK,SAAU3kC,GAAK,OAAO26C,GAAmB36C,EAAEiuC,UAAa,CACnG9sC,EAAM,EACN,OAEE22C,EAAe1uB,IACdA,EAAGgqB,cAAgBhqB,EAAGgqB,aAAazO,MAAK,SAAU3kC,GAAK,OAAO83C,EAAe93C,EAAEiuC,aAClF9sC,EAAM,IAGV,OAAOA,EA/BDy5C,CAAqB7yC,EAAUmwC,EAAMJ,gBACrC,EACA8B,EAAMY,GAAcK,GACxB,MAAQ,IAAO9yC,EAASpJ,KAAI,SAAUqB,GAAK,OAAO45C,EAAI55C,EAAGk4C,MAAW/mB,KAAK,KAAQ,KAAOupB,EAAuB,IAAMA,EAAuB,KA+BhJ,SAASC,GAAoBvxB,GAC3B,YAAkBxjB,IAAXwjB,EAAGooB,KAAgC,aAAXpoB,EAAGthB,KAAiC,SAAXshB,EAAGthB,IAG7D,SAAS+yC,GAAStxC,EAAM2uC,GACtB,OAAkB,IAAd3uC,EAAK8D,KACA8qC,GAAW5uC,EAAM2uC,GACD,IAAd3uC,EAAK8D,MAAc9D,EAAKT,UAarC,SAAqB6hC,GACnB,MAAQ,MAASvsC,KAAKC,UAAUssC,EAAQ3iC,MAAS,IAbxC8yC,CAAWvxC,GAMtB,SAAkBvB,GAChB,MAAQ,OAAuB,IAAdA,EAAKqF,KAClBrF,EAAKkc,WACL62B,GAAyB38C,KAAKC,UAAU2J,EAAKA,QAAU,IAPlDgzC,CAAQzxC,GAiDnB,SAASsvC,GAAUtrC,GAGjB,IAFA,IAAI0tC,EAAc,GACdC,EAAe,GACVz+C,EAAI,EAAGA,EAAI8Q,EAAMpR,OAAQM,IAAK,CACrC,IAAI0S,EAAO5B,EAAM9Q,GACbU,EAAQ49C,GAAyB5rC,EAAKhS,OACtCgS,EAAKilB,QACP8mB,GAAiB/rC,EAAS,KAAI,IAAMhS,EAAQ,IAE5C89C,GAAe,IAAQ9rC,EAAS,KAAI,KAAQhS,EAAQ,IAIxD,OADA89C,EAAc,IAAOA,EAAY/+C,MAAM,GAAI,GAAM,IAC7Cg/C,EACM,MAAQD,EAAc,KAAQC,EAAah/C,MAAM,GAAI,GAAM,KAE5D++C,EAKX,SAASF,GAA0B/yC,GACjC,OAAOA,EACJlI,QAAQ,UAAW,WACnBA,QAAQ,UAAW,WASE,IAAIsE,OAAO,MAAQ,iMAI3CtF,MAAM,KAAKqyB,KAAK,WAAa,OAGR,IAAI/sB,OAAO,MAAQ,qBAExCtF,MAAM,KAAKqyB,KAAK,yBAA2B,qBA0K7C,SAASgqB,GAAgB/G,EAAMgH,GAC7B,IACE,OAAO,IAAIzjD,SAASy8C,GACpB,MAAOlkC,GAEP,OADAkrC,EAAOl0C,KAAK,CAAEgJ,IAAKA,EAAKkkC,KAAMA,IACvBhzC,GAIX,SAASi6C,GAA2BC,GAClC,IAAI37C,EAAQrF,OAAOsE,OAAO,MAE1B,OAAO,SACLmuC,EACAj/B,EACAlB,IAEAkB,EAAU/M,EAAO,GAAI+M,IACClH,YACfkH,EAAQlH,KAqBf,IAAIpH,EAAMsO,EAAQu7B,WACdxrC,OAAOiQ,EAAQu7B,YAAc0D,EAC7BA,EACJ,GAAIptC,EAAMH,GACR,OAAOG,EAAMH,GAIf,IAAI+7C,EAAWD,EAAQvO,EAAUj/B,GA+BjC,IAAI3M,EAAM,GACNq6C,EAAc,GAyBlB,OAxBAr6C,EAAI4U,OAASolC,GAAeI,EAASxlC,OAAQylC,GAC7Cr6C,EAAI+W,gBAAkBqjC,EAASrjC,gBAAgBvZ,KAAI,SAAUy1C,GAC3D,OAAO+G,GAAe/G,EAAMoH,MAsBtB77C,EAAMH,GAAO2B,GAiFzB,IA3EgCs6C,GAoG5BC,GAPA1J,IA7F4ByJ,GA2EW,SACzC1O,EACAj/B,GAEA,IAAImqC,EAAMnL,GAAMC,EAASrZ,OAAQ5lB,IACR,IAArBA,EAAQknC,UACVA,GAASiD,EAAKnqC,GAEhB,IAAIsmC,EAAO4D,GAASC,EAAKnqC,GACzB,MAAO,CACLmqC,IAAKA,EACLliC,OAAQq+B,EAAKr+B,OACbmC,gBAAiBk8B,EAAKl8B,kBAtFjB,SAAyBg8B,GAC9B,SAASoH,EACPvO,EACAj/B,GAEA,IAAI6tC,EAAerhD,OAAOsE,OAAOs1C,GAC7BkH,EAAS,GACTQ,EAAO,GAMX,GAAI9tC,EA+BF,IAAK,IAAItO,KAZLsO,EAAQmmB,UACV0nB,EAAa1nB,SACVigB,EAAYjgB,SAAW,IAAIjnB,OAAOc,EAAQmmB,UAG3CnmB,EAAQK,aACVwtC,EAAaxtC,WAAapN,EACxBzG,OAAOsE,OAAOs1C,EAAY/lC,YAAc,MACxCL,EAAQK,aAIIL,EACF,YAARtO,GAA6B,eAARA,IACvBm8C,EAAan8C,GAAOsO,EAAQtO,IAKlCm8C,EAAa/0C,KA1CF,SAAUktB,EAAKC,EAAO8nB,IAC9BA,EAAMD,EAAOR,GAAQl0C,KAAK4sB,IA2C7B,IAAIynB,EAAWE,GAAY1O,EAASrZ,OAAQioB,GAM5C,OAFAJ,EAASH,OAASA,EAClBG,EAASK,KAAOA,EACTL,EAGT,MAAO,CACLD,QAASA,EACTQ,mBAAoBT,GAA0BC,MA4BzBpH,IAEvB4H,IADU9J,GAAMsJ,QACKtJ,GAAM8J,oBAM/B,SAASC,GAAiBC,GAGxB,OAFAN,GAAMA,IAAOthD,SAASoB,cAAc,QAChCu8B,UAAYikB,EAAO,iBAAqB,gBACrCN,GAAI3jB,UAAU97B,QAAQ,SAAW,EAI1C,IAAIovC,KAAuB9mC,GAAYw3C,IAAgB,GAEnD9L,KAA8B1rC,GAAYw3C,IAAgB,GAI1DE,GAAex8C,GAAO,SAAU3H,GAClC,IAAIsxB,EAAK8E,GAAMp2B,GACf,OAAOsxB,GAAMA,EAAG2O,aAGdmkB,GAAQp1B,GAAIlvB,UAAUokB,OAC1B8K,GAAIlvB,UAAUokB,OAAS,SACrBoN,EACA9N,GAKA,IAHA8N,EAAKA,GAAM8E,GAAM9E,MAGNhvB,SAASquC,MAAQrf,IAAOhvB,SAASqB,gBAI1C,OAAOzD,KAGT,IAAI8V,EAAU9V,KAAK0X,SAEnB,IAAK5B,EAAQiI,OAAQ,CACnB,IAAIg3B,EAAWj/B,EAAQi/B,SACvB,GAAIA,EACF,GAAwB,iBAAbA,EACkB,MAAvBA,EAAS5sC,OAAO,KAClB4sC,EAAWkP,GAAalP,QASrB,KAAIA,EAASrP,SAMlB,OAAO1lC,KALP+0C,EAAWA,EAAShV,eAOb3O,IACT2jB,EAkCN,SAAuB3jB,GACrB,GAAIA,EAAG+yB,UACL,OAAO/yB,EAAG+yB,UAEV,IAAIC,EAAYhiD,SAASoB,cAAc,OAEvC,OADA4gD,EAAUvgD,YAAYutB,EAAGyf,WAAU,IAC5BuT,EAAUrkB,UAxCJskB,CAAajzB,IAE1B,GAAI2jB,EAAU,CAER,EAIJ,IAAIj0C,EAAMgjD,GAAmB/O,EAAU,CACrCuD,mBAAmB,EACnBjF,qBAAsBA,GACtB4E,4BAA6BA,GAC7B5G,WAAYv7B,EAAQu7B,WACpBgH,SAAUviC,EAAQuiC,UACjBr4C,MACC+d,EAASjd,EAAIid,OACbmC,EAAkBpf,EAAIof,gBAC1BpK,EAAQiI,OAASA,EACjBjI,EAAQoK,gBAAkBA,GAS9B,OAAOgkC,GAAM7jD,KAAKL,KAAMoxB,EAAI9N,IAiB9BwL,GAAIw0B,QAAUQ,GAEC,c","file":"vendor.js?v=b6a87e35c196cb18a193","sourcesContent":["var scope = (typeof global !== \"undefined\" && global) ||\n (typeof self !== \"undefined\" && self) ||\n window;\nvar apply = Function.prototype.apply;\n\n// DOM APIs, for completeness\n\nexports.setTimeout = function() {\n return new Timeout(apply.call(setTimeout, scope, arguments), clearTimeout);\n};\nexports.setInterval = function() {\n return new Timeout(apply.call(setInterval, scope, arguments), clearInterval);\n};\nexports.clearTimeout =\nexports.clearInterval = function(timeout) {\n if (timeout) {\n timeout.close();\n }\n};\n\nfunction Timeout(id, clearFn) {\n this._id = id;\n this._clearFn = clearFn;\n}\nTimeout.prototype.unref = Timeout.prototype.ref = function() {};\nTimeout.prototype.close = function() {\n this._clearFn.call(scope, this._id);\n};\n\n// Does not start the time, just sets up the members needed.\nexports.enroll = function(item, msecs) {\n clearTimeout(item._idleTimeoutId);\n item._idleTimeout = msecs;\n};\n\nexports.unenroll = function(item) {\n clearTimeout(item._idleTimeoutId);\n item._idleTimeout = -1;\n};\n\nexports._unrefActive = exports.active = function(item) {\n clearTimeout(item._idleTimeoutId);\n\n var msecs = item._idleTimeout;\n if (msecs >= 0) {\n item._idleTimeoutId = setTimeout(function onTimeout() {\n if (item._onTimeout)\n item._onTimeout();\n }, msecs);\n }\n};\n\n// setimmediate attaches itself to the global object\nrequire(\"setimmediate\");\n// On some exotic environments, it's not clear which object `setimmediate` was\n// able to install onto. Search each possibility in the same order as the\n// `setimmediate` library.\nexports.setImmediate = (typeof self !== \"undefined\" && self.setImmediate) ||\n (typeof global !== \"undefined\" && global.setImmediate) ||\n (this && this.setImmediate);\nexports.clearImmediate = (typeof self !== \"undefined\" && self.clearImmediate) ||\n (typeof global !== \"undefined\" && global.clearImmediate) ||\n (this && this.clearImmediate);\n","(function (global, undefined) {\n \"use strict\";\n\n if (global.setImmediate) {\n return;\n }\n\n var nextHandle = 1; // Spec says greater than zero\n var tasksByHandle = {};\n var currentlyRunningATask = false;\n var doc = global.document;\n var registerImmediate;\n\n function setImmediate(callback) {\n // Callback can either be a function or a string\n if (typeof callback !== \"function\") {\n callback = new Function(\"\" + callback);\n }\n // Copy function arguments\n var args = new Array(arguments.length - 1);\n for (var i = 0; i < args.length; i++) {\n args[i] = arguments[i + 1];\n }\n // Store and register the task\n var task = { callback: callback, args: args };\n tasksByHandle[nextHandle] = task;\n registerImmediate(nextHandle);\n return nextHandle++;\n }\n\n function clearImmediate(handle) {\n delete tasksByHandle[handle];\n }\n\n function run(task) {\n var callback = task.callback;\n var args = task.args;\n switch (args.length) {\n case 0:\n callback();\n break;\n case 1:\n callback(args[0]);\n break;\n case 2:\n callback(args[0], args[1]);\n break;\n case 3:\n callback(args[0], args[1], args[2]);\n break;\n default:\n callback.apply(undefined, args);\n break;\n }\n }\n\n function runIfPresent(handle) {\n // From the spec: \"Wait until any invocations of this algorithm started before this one have completed.\"\n // So if we're currently running a task, we'll need to delay this invocation.\n if (currentlyRunningATask) {\n // Delay by doing a setTimeout. setImmediate was tried instead, but in Firefox 7 it generated a\n // \"too much recursion\" error.\n setTimeout(runIfPresent, 0, handle);\n } else {\n var task = tasksByHandle[handle];\n if (task) {\n currentlyRunningATask = true;\n try {\n run(task);\n } finally {\n clearImmediate(handle);\n currentlyRunningATask = false;\n }\n }\n }\n }\n\n function installNextTickImplementation() {\n registerImmediate = function(handle) {\n process.nextTick(function () { runIfPresent(handle); });\n };\n }\n\n function canUsePostMessage() {\n // The test against `importScripts` prevents this implementation from being installed inside a web worker,\n // where `global.postMessage` means something completely different and can't be used for this purpose.\n if (global.postMessage && !global.importScripts) {\n var postMessageIsAsynchronous = true;\n var oldOnMessage = global.onmessage;\n global.onmessage = function() {\n postMessageIsAsynchronous = false;\n };\n global.postMessage(\"\", \"*\");\n global.onmessage = oldOnMessage;\n return postMessageIsAsynchronous;\n }\n }\n\n function installPostMessageImplementation() {\n // Installs an event handler on `global` for the `message` event: see\n // * https://developer.mozilla.org/en/DOM/window.postMessage\n // * http://www.whatwg.org/specs/web-apps/current-work/multipage/comms.html#crossDocumentMessages\n\n var messagePrefix = \"setImmediate$\" + Math.random() + \"$\";\n var onGlobalMessage = function(event) {\n if (event.source === global &&\n typeof event.data === \"string\" &&\n event.data.indexOf(messagePrefix) === 0) {\n runIfPresent(+event.data.slice(messagePrefix.length));\n }\n };\n\n if (global.addEventListener) {\n global.addEventListener(\"message\", onGlobalMessage, false);\n } else {\n global.attachEvent(\"onmessage\", onGlobalMessage);\n }\n\n registerImmediate = function(handle) {\n global.postMessage(messagePrefix + handle, \"*\");\n };\n }\n\n function installMessageChannelImplementation() {\n var channel = new MessageChannel();\n channel.port1.onmessage = function(event) {\n var handle = event.data;\n runIfPresent(handle);\n };\n\n registerImmediate = function(handle) {\n channel.port2.postMessage(handle);\n };\n }\n\n function installReadyStateChangeImplementation() {\n var html = doc.documentElement;\n registerImmediate = function(handle) {\n // Create a <script> element; its readystatechange event will be fired asynchronously once it is inserted\n // into the document. Do so, thus queuing up the task. Remember to clean up once it's been called.\n var script = doc.createElement(\"script\");\n script.onreadystatechange = function () {\n runIfPresent(handle);\n script.onreadystatechange = null;\n html.removeChild(script);\n script = null;\n };\n html.appendChild(script);\n };\n }\n\n function installSetTimeoutImplementation() {\n registerImmediate = function(handle) {\n setTimeout(runIfPresent, 0, handle);\n };\n }\n\n // If supported, we should attach to the prototype of global, since that is where setTimeout et al. live.\n var attachTo = Object.getPrototypeOf && Object.getPrototypeOf(global);\n attachTo = attachTo && attachTo.setTimeout ? attachTo : global;\n\n // Don't get fooled by e.g. browserify environments.\n if ({}.toString.call(global.process) === \"[object process]\") {\n // For Node.js before 0.9\n installNextTickImplementation();\n\n } else if (canUsePostMessage()) {\n // For non-IE10 modern browsers\n installPostMessageImplementation();\n\n } else if (global.MessageChannel) {\n // For web workers, where supported\n installMessageChannelImplementation();\n\n } else if (doc && \"onreadystatechange\" in doc.createElement(\"script\")) {\n // For IE 6–8\n installReadyStateChangeImplementation();\n\n } else {\n // For older browsers\n installSetTimeoutImplementation();\n }\n\n attachTo.setImmediate = setImmediate;\n attachTo.clearImmediate = clearImmediate;\n}(typeof self === \"undefined\" ? typeof global === \"undefined\" ? this : global : self));\n","/*!\n * Vue.js v2.6.11\n * (c) 2014-2019 Evan You\n * Released under the MIT License.\n */\n/* */\n\nvar emptyObject = Object.freeze({});\n\n// These helpers produce better VM code in JS engines due to their\n// explicitness and function inlining.\nfunction isUndef (v) {\n return v === undefined || v === null\n}\n\nfunction isDef (v) {\n return v !== undefined && v !== null\n}\n\nfunction isTrue (v) {\n return v === true\n}\n\nfunction isFalse (v) {\n return v === false\n}\n\n/**\n * Check if value is primitive.\n */\nfunction isPrimitive (value) {\n return (\n typeof value === 'string' ||\n typeof value === 'number' ||\n // $flow-disable-line\n typeof value === 'symbol' ||\n typeof value === 'boolean'\n )\n}\n\n/**\n * Quick object check - this is primarily used to tell\n * Objects from primitive values when we know the value\n * is a JSON-compliant type.\n */\nfunction isObject (obj) {\n return obj !== null && typeof obj === 'object'\n}\n\n/**\n * Get the raw type string of a value, e.g., [object Object].\n */\nvar _toString = Object.prototype.toString;\n\nfunction toRawType (value) {\n return _toString.call(value).slice(8, -1)\n}\n\n/**\n * Strict object type check. Only returns true\n * for plain JavaScript objects.\n */\nfunction isPlainObject (obj) {\n return _toString.call(obj) === '[object Object]'\n}\n\nfunction isRegExp (v) {\n return _toString.call(v) === '[object RegExp]'\n}\n\n/**\n * Check if val is a valid array index.\n */\nfunction isValidArrayIndex (val) {\n var n = parseFloat(String(val));\n return n >= 0 && Math.floor(n) === n && isFinite(val)\n}\n\nfunction isPromise (val) {\n return (\n isDef(val) &&\n typeof val.then === 'function' &&\n typeof val.catch === 'function'\n )\n}\n\n/**\n * Convert a value to a string that is actually rendered.\n */\nfunction toString (val) {\n return val == null\n ? ''\n : Array.isArray(val) || (isPlainObject(val) && val.toString === _toString)\n ? JSON.stringify(val, null, 2)\n : String(val)\n}\n\n/**\n * Convert an input value to a number for persistence.\n * If the conversion fails, return original string.\n */\nfunction toNumber (val) {\n var n = parseFloat(val);\n return isNaN(n) ? val : n\n}\n\n/**\n * Make a map and return a function for checking if a key\n * is in that map.\n */\nfunction makeMap (\n str,\n expectsLowerCase\n) {\n var map = Object.create(null);\n var list = str.split(',');\n for (var i = 0; i < list.length; i++) {\n map[list[i]] = true;\n }\n return expectsLowerCase\n ? function (val) { return map[val.toLowerCase()]; }\n : function (val) { return map[val]; }\n}\n\n/**\n * Check if a tag is a built-in tag.\n */\nvar isBuiltInTag = makeMap('slot,component', true);\n\n/**\n * Check if an attribute is a reserved attribute.\n */\nvar isReservedAttribute = makeMap('key,ref,slot,slot-scope,is');\n\n/**\n * Remove an item from an array.\n */\nfunction remove (arr, item) {\n if (arr.length) {\n var index = arr.indexOf(item);\n if (index > -1) {\n return arr.splice(index, 1)\n }\n }\n}\n\n/**\n * Check whether an object has the property.\n */\nvar hasOwnProperty = Object.prototype.hasOwnProperty;\nfunction hasOwn (obj, key) {\n return hasOwnProperty.call(obj, key)\n}\n\n/**\n * Create a cached version of a pure function.\n */\nfunction cached (fn) {\n var cache = Object.create(null);\n return (function cachedFn (str) {\n var hit = cache[str];\n return hit || (cache[str] = fn(str))\n })\n}\n\n/**\n * Camelize a hyphen-delimited string.\n */\nvar camelizeRE = /-(\\w)/g;\nvar camelize = cached(function (str) {\n return str.replace(camelizeRE, function (_, c) { return c ? c.toUpperCase() : ''; })\n});\n\n/**\n * Capitalize a string.\n */\nvar capitalize = cached(function (str) {\n return str.charAt(0).toUpperCase() + str.slice(1)\n});\n\n/**\n * Hyphenate a camelCase string.\n */\nvar hyphenateRE = /\\B([A-Z])/g;\nvar hyphenate = cached(function (str) {\n return str.replace(hyphenateRE, '-$1').toLowerCase()\n});\n\n/**\n * Simple bind polyfill for environments that do not support it,\n * e.g., PhantomJS 1.x. Technically, we don't need this anymore\n * since native bind is now performant enough in most browsers.\n * But removing it would mean breaking code that was able to run in\n * PhantomJS 1.x, so this must be kept for backward compatibility.\n */\n\n/* istanbul ignore next */\nfunction polyfillBind (fn, ctx) {\n function boundFn (a) {\n var l = arguments.length;\n return l\n ? l > 1\n ? fn.apply(ctx, arguments)\n : fn.call(ctx, a)\n : fn.call(ctx)\n }\n\n boundFn._length = fn.length;\n return boundFn\n}\n\nfunction nativeBind (fn, ctx) {\n return fn.bind(ctx)\n}\n\nvar bind = Function.prototype.bind\n ? nativeBind\n : polyfillBind;\n\n/**\n * Convert an Array-like object to a real Array.\n */\nfunction toArray (list, start) {\n start = start || 0;\n var i = list.length - start;\n var ret = new Array(i);\n while (i--) {\n ret[i] = list[i + start];\n }\n return ret\n}\n\n/**\n * Mix properties into target object.\n */\nfunction extend (to, _from) {\n for (var key in _from) {\n to[key] = _from[key];\n }\n return to\n}\n\n/**\n * Merge an Array of Objects into a single Object.\n */\nfunction toObject (arr) {\n var res = {};\n for (var i = 0; i < arr.length; i++) {\n if (arr[i]) {\n extend(res, arr[i]);\n }\n }\n return res\n}\n\n/* eslint-disable no-unused-vars */\n\n/**\n * Perform no operation.\n * Stubbing args to make Flow happy without leaving useless transpiled code\n * with ...rest (https://flow.org/blog/2017/05/07/Strict-Function-Call-Arity/).\n */\nfunction noop (a, b, c) {}\n\n/**\n * Always return false.\n */\nvar no = function (a, b, c) { return false; };\n\n/* eslint-enable no-unused-vars */\n\n/**\n * Return the same value.\n */\nvar identity = function (_) { return _; };\n\n/**\n * Generate a string containing static keys from compiler modules.\n */\nfunction genStaticKeys (modules) {\n return modules.reduce(function (keys, m) {\n return keys.concat(m.staticKeys || [])\n }, []).join(',')\n}\n\n/**\n * Check if two values are loosely equal - that is,\n * if they are plain objects, do they have the same shape?\n */\nfunction looseEqual (a, b) {\n if (a === b) { return true }\n var isObjectA = isObject(a);\n var isObjectB = isObject(b);\n if (isObjectA && isObjectB) {\n try {\n var isArrayA = Array.isArray(a);\n var isArrayB = Array.isArray(b);\n if (isArrayA && isArrayB) {\n return a.length === b.length && a.every(function (e, i) {\n return looseEqual(e, b[i])\n })\n } else if (a instanceof Date && b instanceof Date) {\n return a.getTime() === b.getTime()\n } else if (!isArrayA && !isArrayB) {\n var keysA = Object.keys(a);\n var keysB = Object.keys(b);\n return keysA.length === keysB.length && keysA.every(function (key) {\n return looseEqual(a[key], b[key])\n })\n } else {\n /* istanbul ignore next */\n return false\n }\n } catch (e) {\n /* istanbul ignore next */\n return false\n }\n } else if (!isObjectA && !isObjectB) {\n return String(a) === String(b)\n } else {\n return false\n }\n}\n\n/**\n * Return the first index at which a loosely equal value can be\n * found in the array (if value is a plain object, the array must\n * contain an object of the same shape), or -1 if it is not present.\n */\nfunction looseIndexOf (arr, val) {\n for (var i = 0; i < arr.length; i++) {\n if (looseEqual(arr[i], val)) { return i }\n }\n return -1\n}\n\n/**\n * Ensure a function is called only once.\n */\nfunction once (fn) {\n var called = false;\n return function () {\n if (!called) {\n called = true;\n fn.apply(this, arguments);\n }\n }\n}\n\nvar SSR_ATTR = 'data-server-rendered';\n\nvar ASSET_TYPES = [\n 'component',\n 'directive',\n 'filter'\n];\n\nvar LIFECYCLE_HOOKS = [\n 'beforeCreate',\n 'created',\n 'beforeMount',\n 'mounted',\n 'beforeUpdate',\n 'updated',\n 'beforeDestroy',\n 'destroyed',\n 'activated',\n 'deactivated',\n 'errorCaptured',\n 'serverPrefetch'\n];\n\n/* */\n\n\n\nvar config = ({\n /**\n * Option merge strategies (used in core/util/options)\n */\n // $flow-disable-line\n optionMergeStrategies: Object.create(null),\n\n /**\n * Whether to suppress warnings.\n */\n silent: false,\n\n /**\n * Show production mode tip message on boot?\n */\n productionTip: process.env.NODE_ENV !== 'production',\n\n /**\n * Whether to enable devtools\n */\n devtools: process.env.NODE_ENV !== 'production',\n\n /**\n * Whether to record perf\n */\n performance: false,\n\n /**\n * Error handler for watcher errors\n */\n errorHandler: null,\n\n /**\n * Warn handler for watcher warns\n */\n warnHandler: null,\n\n /**\n * Ignore certain custom elements\n */\n ignoredElements: [],\n\n /**\n * Custom user key aliases for v-on\n */\n // $flow-disable-line\n keyCodes: Object.create(null),\n\n /**\n * Check if a tag is reserved so that it cannot be registered as a\n * component. This is platform-dependent and may be overwritten.\n */\n isReservedTag: no,\n\n /**\n * Check if an attribute is reserved so that it cannot be used as a component\n * prop. This is platform-dependent and may be overwritten.\n */\n isReservedAttr: no,\n\n /**\n * Check if a tag is an unknown element.\n * Platform-dependent.\n */\n isUnknownElement: no,\n\n /**\n * Get the namespace of an element\n */\n getTagNamespace: noop,\n\n /**\n * Parse the real tag name for the specific platform.\n */\n parsePlatformTagName: identity,\n\n /**\n * Check if an attribute must be bound using property, e.g. value\n * Platform-dependent.\n */\n mustUseProp: no,\n\n /**\n * Perform updates asynchronously. Intended to be used by Vue Test Utils\n * This will significantly reduce performance if set to false.\n */\n async: true,\n\n /**\n * Exposed for legacy reasons\n */\n _lifecycleHooks: LIFECYCLE_HOOKS\n});\n\n/* */\n\n/**\n * unicode letters used for parsing html tags, component names and property paths.\n * using https://www.w3.org/TR/html53/semantics-scripting.html#potentialcustomelementname\n * skipping \\u10000-\\uEFFFF due to it freezing up PhantomJS\n */\nvar unicodeRegExp = /a-zA-Z\\u00B7\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u037D\\u037F-\\u1FFF\\u200C-\\u200D\\u203F-\\u2040\\u2070-\\u218F\\u2C00-\\u2FEF\\u3001-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFFFD/;\n\n/**\n * Check if a string starts with $ or _\n */\nfunction isReserved (str) {\n var c = (str + '').charCodeAt(0);\n return c === 0x24 || c === 0x5F\n}\n\n/**\n * Define a property.\n */\nfunction def (obj, key, val, enumerable) {\n Object.defineProperty(obj, key, {\n value: val,\n enumerable: !!enumerable,\n writable: true,\n configurable: true\n });\n}\n\n/**\n * Parse simple path.\n */\nvar bailRE = new RegExp((\"[^\" + (unicodeRegExp.source) + \".$_\\\\d]\"));\nfunction parsePath (path) {\n if (bailRE.test(path)) {\n return\n }\n var segments = path.split('.');\n return function (obj) {\n for (var i = 0; i < segments.length; i++) {\n if (!obj) { return }\n obj = obj[segments[i]];\n }\n return obj\n }\n}\n\n/* */\n\n// can we use __proto__?\nvar hasProto = '__proto__' in {};\n\n// Browser environment sniffing\nvar inBrowser = typeof window !== 'undefined';\nvar inWeex = typeof WXEnvironment !== 'undefined' && !!WXEnvironment.platform;\nvar weexPlatform = inWeex && WXEnvironment.platform.toLowerCase();\nvar UA = inBrowser && window.navigator.userAgent.toLowerCase();\nvar isIE = UA && /msie|trident/.test(UA);\nvar isIE9 = UA && UA.indexOf('msie 9.0') > 0;\nvar isEdge = UA && UA.indexOf('edge/') > 0;\nvar isAndroid = (UA && UA.indexOf('android') > 0) || (weexPlatform === 'android');\nvar isIOS = (UA && /iphone|ipad|ipod|ios/.test(UA)) || (weexPlatform === 'ios');\nvar isChrome = UA && /chrome\\/\\d+/.test(UA) && !isEdge;\nvar isPhantomJS = UA && /phantomjs/.test(UA);\nvar isFF = UA && UA.match(/firefox\\/(\\d+)/);\n\n// Firefox has a \"watch\" function on Object.prototype...\nvar nativeWatch = ({}).watch;\n\nvar supportsPassive = false;\nif (inBrowser) {\n try {\n var opts = {};\n Object.defineProperty(opts, 'passive', ({\n get: function get () {\n /* istanbul ignore next */\n supportsPassive = true;\n }\n })); // https://github.com/facebook/flow/issues/285\n window.addEventListener('test-passive', null, opts);\n } catch (e) {}\n}\n\n// this needs to be lazy-evaled because vue may be required before\n// vue-server-renderer can set VUE_ENV\nvar _isServer;\nvar isServerRendering = function () {\n if (_isServer === undefined) {\n /* istanbul ignore if */\n if (!inBrowser && !inWeex && typeof global !== 'undefined') {\n // detect presence of vue-server-renderer and avoid\n // Webpack shimming the process\n _isServer = global['process'] && global['process'].env.VUE_ENV === 'server';\n } else {\n _isServer = false;\n }\n }\n return _isServer\n};\n\n// detect devtools\nvar devtools = inBrowser && window.__VUE_DEVTOOLS_GLOBAL_HOOK__;\n\n/* istanbul ignore next */\nfunction isNative (Ctor) {\n return typeof Ctor === 'function' && /native code/.test(Ctor.toString())\n}\n\nvar hasSymbol =\n typeof Symbol !== 'undefined' && isNative(Symbol) &&\n typeof Reflect !== 'undefined' && isNative(Reflect.ownKeys);\n\nvar _Set;\n/* istanbul ignore if */ // $flow-disable-line\nif (typeof Set !== 'undefined' && isNative(Set)) {\n // use native Set when available.\n _Set = Set;\n} else {\n // a non-standard Set polyfill that only works with primitive keys.\n _Set = /*@__PURE__*/(function () {\n function Set () {\n this.set = Object.create(null);\n }\n Set.prototype.has = function has (key) {\n return this.set[key] === true\n };\n Set.prototype.add = function add (key) {\n this.set[key] = true;\n };\n Set.prototype.clear = function clear () {\n this.set = Object.create(null);\n };\n\n return Set;\n }());\n}\n\n/* */\n\nvar warn = noop;\nvar tip = noop;\nvar generateComponentTrace = (noop); // work around flow check\nvar formatComponentName = (noop);\n\nif (process.env.NODE_ENV !== 'production') {\n var hasConsole = typeof console !== 'undefined';\n var classifyRE = /(?:^|[-_])(\\w)/g;\n var classify = function (str) { return str\n .replace(classifyRE, function (c) { return c.toUpperCase(); })\n .replace(/[-_]/g, ''); };\n\n warn = function (msg, vm) {\n var trace = vm ? generateComponentTrace(vm) : '';\n\n if (config.warnHandler) {\n config.warnHandler.call(null, msg, vm, trace);\n } else if (hasConsole && (!config.silent)) {\n console.error((\"[Vue warn]: \" + msg + trace));\n }\n };\n\n tip = function (msg, vm) {\n if (hasConsole && (!config.silent)) {\n console.warn(\"[Vue tip]: \" + msg + (\n vm ? generateComponentTrace(vm) : ''\n ));\n }\n };\n\n formatComponentName = function (vm, includeFile) {\n if (vm.$root === vm) {\n return '<Root>'\n }\n var options = typeof vm === 'function' && vm.cid != null\n ? vm.options\n : vm._isVue\n ? vm.$options || vm.constructor.options\n : vm;\n var name = options.name || options._componentTag;\n var file = options.__file;\n if (!name && file) {\n var match = file.match(/([^/\\\\]+)\\.vue$/);\n name = match && match[1];\n }\n\n return (\n (name ? (\"<\" + (classify(name)) + \">\") : \"<Anonymous>\") +\n (file && includeFile !== false ? (\" at \" + file) : '')\n )\n };\n\n var repeat = function (str, n) {\n var res = '';\n while (n) {\n if (n % 2 === 1) { res += str; }\n if (n > 1) { str += str; }\n n >>= 1;\n }\n return res\n };\n\n generateComponentTrace = function (vm) {\n if (vm._isVue && vm.$parent) {\n var tree = [];\n var currentRecursiveSequence = 0;\n while (vm) {\n if (tree.length > 0) {\n var last = tree[tree.length - 1];\n if (last.constructor === vm.constructor) {\n currentRecursiveSequence++;\n vm = vm.$parent;\n continue\n } else if (currentRecursiveSequence > 0) {\n tree[tree.length - 1] = [last, currentRecursiveSequence];\n currentRecursiveSequence = 0;\n }\n }\n tree.push(vm);\n vm = vm.$parent;\n }\n return '\\n\\nfound in\\n\\n' + tree\n .map(function (vm, i) { return (\"\" + (i === 0 ? '---> ' : repeat(' ', 5 + i * 2)) + (Array.isArray(vm)\n ? ((formatComponentName(vm[0])) + \"... (\" + (vm[1]) + \" recursive calls)\")\n : formatComponentName(vm))); })\n .join('\\n')\n } else {\n return (\"\\n\\n(found in \" + (formatComponentName(vm)) + \")\")\n }\n };\n}\n\n/* */\n\nvar uid = 0;\n\n/**\n * A dep is an observable that can have multiple\n * directives subscribing to it.\n */\nvar Dep = function Dep () {\n this.id = uid++;\n this.subs = [];\n};\n\nDep.prototype.addSub = function addSub (sub) {\n this.subs.push(sub);\n};\n\nDep.prototype.removeSub = function removeSub (sub) {\n remove(this.subs, sub);\n};\n\nDep.prototype.depend = function depend () {\n if (Dep.target) {\n Dep.target.addDep(this);\n }\n};\n\nDep.prototype.notify = function notify () {\n // stabilize the subscriber list first\n var subs = this.subs.slice();\n if (process.env.NODE_ENV !== 'production' && !config.async) {\n // subs aren't sorted in scheduler if not running async\n // we need to sort them now to make sure they fire in correct\n // order\n subs.sort(function (a, b) { return a.id - b.id; });\n }\n for (var i = 0, l = subs.length; i < l; i++) {\n subs[i].update();\n }\n};\n\n// The current target watcher being evaluated.\n// This is globally unique because only one watcher\n// can be evaluated at a time.\nDep.target = null;\nvar targetStack = [];\n\nfunction pushTarget (target) {\n targetStack.push(target);\n Dep.target = target;\n}\n\nfunction popTarget () {\n targetStack.pop();\n Dep.target = targetStack[targetStack.length - 1];\n}\n\n/* */\n\nvar VNode = function VNode (\n tag,\n data,\n children,\n text,\n elm,\n context,\n componentOptions,\n asyncFactory\n) {\n this.tag = tag;\n this.data = data;\n this.children = children;\n this.text = text;\n this.elm = elm;\n this.ns = undefined;\n this.context = context;\n this.fnContext = undefined;\n this.fnOptions = undefined;\n this.fnScopeId = undefined;\n this.key = data && data.key;\n this.componentOptions = componentOptions;\n this.componentInstance = undefined;\n this.parent = undefined;\n this.raw = false;\n this.isStatic = false;\n this.isRootInsert = true;\n this.isComment = false;\n this.isCloned = false;\n this.isOnce = false;\n this.asyncFactory = asyncFactory;\n this.asyncMeta = undefined;\n this.isAsyncPlaceholder = false;\n};\n\nvar prototypeAccessors = { child: { configurable: true } };\n\n// DEPRECATED: alias for componentInstance for backwards compat.\n/* istanbul ignore next */\nprototypeAccessors.child.get = function () {\n return this.componentInstance\n};\n\nObject.defineProperties( VNode.prototype, prototypeAccessors );\n\nvar createEmptyVNode = function (text) {\n if ( text === void 0 ) text = '';\n\n var node = new VNode();\n node.text = text;\n node.isComment = true;\n return node\n};\n\nfunction createTextVNode (val) {\n return new VNode(undefined, undefined, undefined, String(val))\n}\n\n// optimized shallow clone\n// used for static nodes and slot nodes because they may be reused across\n// multiple renders, cloning them avoids errors when DOM manipulations rely\n// on their elm reference.\nfunction cloneVNode (vnode) {\n var cloned = new VNode(\n vnode.tag,\n vnode.data,\n // #7975\n // clone children array to avoid mutating original in case of cloning\n // a child.\n vnode.children && vnode.children.slice(),\n vnode.text,\n vnode.elm,\n vnode.context,\n vnode.componentOptions,\n vnode.asyncFactory\n );\n cloned.ns = vnode.ns;\n cloned.isStatic = vnode.isStatic;\n cloned.key = vnode.key;\n cloned.isComment = vnode.isComment;\n cloned.fnContext = vnode.fnContext;\n cloned.fnOptions = vnode.fnOptions;\n cloned.fnScopeId = vnode.fnScopeId;\n cloned.asyncMeta = vnode.asyncMeta;\n cloned.isCloned = true;\n return cloned\n}\n\n/*\n * not type checking this file because flow doesn't play well with\n * dynamically accessing methods on Array prototype\n */\n\nvar arrayProto = Array.prototype;\nvar arrayMethods = Object.create(arrayProto);\n\nvar methodsToPatch = [\n 'push',\n 'pop',\n 'shift',\n 'unshift',\n 'splice',\n 'sort',\n 'reverse'\n];\n\n/**\n * Intercept mutating methods and emit events\n */\nmethodsToPatch.forEach(function (method) {\n // cache original method\n var original = arrayProto[method];\n def(arrayMethods, method, function mutator () {\n var args = [], len = arguments.length;\n while ( len-- ) args[ len ] = arguments[ len ];\n\n var result = original.apply(this, args);\n var ob = this.__ob__;\n var inserted;\n switch (method) {\n case 'push':\n case 'unshift':\n inserted = args;\n break\n case 'splice':\n inserted = args.slice(2);\n break\n }\n if (inserted) { ob.observeArray(inserted); }\n // notify change\n ob.dep.notify();\n return result\n });\n});\n\n/* */\n\nvar arrayKeys = Object.getOwnPropertyNames(arrayMethods);\n\n/**\n * In some cases we may want to disable observation inside a component's\n * update computation.\n */\nvar shouldObserve = true;\n\nfunction toggleObserving (value) {\n shouldObserve = value;\n}\n\n/**\n * Observer class that is attached to each observed\n * object. Once attached, the observer converts the target\n * object's property keys into getter/setters that\n * collect dependencies and dispatch updates.\n */\nvar Observer = function Observer (value) {\n this.value = value;\n this.dep = new Dep();\n this.vmCount = 0;\n def(value, '__ob__', this);\n if (Array.isArray(value)) {\n if (hasProto) {\n protoAugment(value, arrayMethods);\n } else {\n copyAugment(value, arrayMethods, arrayKeys);\n }\n this.observeArray(value);\n } else {\n this.walk(value);\n }\n};\n\n/**\n * Walk through all properties and convert them into\n * getter/setters. This method should only be called when\n * value type is Object.\n */\nObserver.prototype.walk = function walk (obj) {\n var keys = Object.keys(obj);\n for (var i = 0; i < keys.length; i++) {\n defineReactive$$1(obj, keys[i]);\n }\n};\n\n/**\n * Observe a list of Array items.\n */\nObserver.prototype.observeArray = function observeArray (items) {\n for (var i = 0, l = items.length; i < l; i++) {\n observe(items[i]);\n }\n};\n\n// helpers\n\n/**\n * Augment a target Object or Array by intercepting\n * the prototype chain using __proto__\n */\nfunction protoAugment (target, src) {\n /* eslint-disable no-proto */\n target.__proto__ = src;\n /* eslint-enable no-proto */\n}\n\n/**\n * Augment a target Object or Array by defining\n * hidden properties.\n */\n/* istanbul ignore next */\nfunction copyAugment (target, src, keys) {\n for (var i = 0, l = keys.length; i < l; i++) {\n var key = keys[i];\n def(target, key, src[key]);\n }\n}\n\n/**\n * Attempt to create an observer instance for a value,\n * returns the new observer if successfully observed,\n * or the existing observer if the value already has one.\n */\nfunction observe (value, asRootData) {\n if (!isObject(value) || value instanceof VNode) {\n return\n }\n var ob;\n if (hasOwn(value, '__ob__') && value.__ob__ instanceof Observer) {\n ob = value.__ob__;\n } else if (\n shouldObserve &&\n !isServerRendering() &&\n (Array.isArray(value) || isPlainObject(value)) &&\n Object.isExtensible(value) &&\n !value._isVue\n ) {\n ob = new Observer(value);\n }\n if (asRootData && ob) {\n ob.vmCount++;\n }\n return ob\n}\n\n/**\n * Define a reactive property on an Object.\n */\nfunction defineReactive$$1 (\n obj,\n key,\n val,\n customSetter,\n shallow\n) {\n var dep = new Dep();\n\n var property = Object.getOwnPropertyDescriptor(obj, key);\n if (property && property.configurable === false) {\n return\n }\n\n // cater for pre-defined getter/setters\n var getter = property && property.get;\n var setter = property && property.set;\n if ((!getter || setter) && arguments.length === 2) {\n val = obj[key];\n }\n\n var childOb = !shallow && observe(val);\n Object.defineProperty(obj, key, {\n enumerable: true,\n configurable: true,\n get: function reactiveGetter () {\n var value = getter ? getter.call(obj) : val;\n if (Dep.target) {\n dep.depend();\n if (childOb) {\n childOb.dep.depend();\n if (Array.isArray(value)) {\n dependArray(value);\n }\n }\n }\n return value\n },\n set: function reactiveSetter (newVal) {\n var value = getter ? getter.call(obj) : val;\n /* eslint-disable no-self-compare */\n if (newVal === value || (newVal !== newVal && value !== value)) {\n return\n }\n /* eslint-enable no-self-compare */\n if (process.env.NODE_ENV !== 'production' && customSetter) {\n customSetter();\n }\n // #7981: for accessor properties without setter\n if (getter && !setter) { return }\n if (setter) {\n setter.call(obj, newVal);\n } else {\n val = newVal;\n }\n childOb = !shallow && observe(newVal);\n dep.notify();\n }\n });\n}\n\n/**\n * Set a property on an object. Adds the new property and\n * triggers change notification if the property doesn't\n * already exist.\n */\nfunction set (target, key, val) {\n if (process.env.NODE_ENV !== 'production' &&\n (isUndef(target) || isPrimitive(target))\n ) {\n warn((\"Cannot set reactive property on undefined, null, or primitive value: \" + ((target))));\n }\n if (Array.isArray(target) && isValidArrayIndex(key)) {\n target.length = Math.max(target.length, key);\n target.splice(key, 1, val);\n return val\n }\n if (key in target && !(key in Object.prototype)) {\n target[key] = val;\n return val\n }\n var ob = (target).__ob__;\n if (target._isVue || (ob && ob.vmCount)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Avoid adding reactive properties to a Vue instance or its root $data ' +\n 'at runtime - declare it upfront in the data option.'\n );\n return val\n }\n if (!ob) {\n target[key] = val;\n return val\n }\n defineReactive$$1(ob.value, key, val);\n ob.dep.notify();\n return val\n}\n\n/**\n * Delete a property and trigger change if necessary.\n */\nfunction del (target, key) {\n if (process.env.NODE_ENV !== 'production' &&\n (isUndef(target) || isPrimitive(target))\n ) {\n warn((\"Cannot delete reactive property on undefined, null, or primitive value: \" + ((target))));\n }\n if (Array.isArray(target) && isValidArrayIndex(key)) {\n target.splice(key, 1);\n return\n }\n var ob = (target).__ob__;\n if (target._isVue || (ob && ob.vmCount)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Avoid deleting properties on a Vue instance or its root $data ' +\n '- just set it to null.'\n );\n return\n }\n if (!hasOwn(target, key)) {\n return\n }\n delete target[key];\n if (!ob) {\n return\n }\n ob.dep.notify();\n}\n\n/**\n * Collect dependencies on array elements when the array is touched, since\n * we cannot intercept array element access like property getters.\n */\nfunction dependArray (value) {\n for (var e = (void 0), i = 0, l = value.length; i < l; i++) {\n e = value[i];\n e && e.__ob__ && e.__ob__.dep.depend();\n if (Array.isArray(e)) {\n dependArray(e);\n }\n }\n}\n\n/* */\n\n/**\n * Option overwriting strategies are functions that handle\n * how to merge a parent option value and a child option\n * value into the final value.\n */\nvar strats = config.optionMergeStrategies;\n\n/**\n * Options with restrictions\n */\nif (process.env.NODE_ENV !== 'production') {\n strats.el = strats.propsData = function (parent, child, vm, key) {\n if (!vm) {\n warn(\n \"option \\\"\" + key + \"\\\" can only be used during instance \" +\n 'creation with the `new` keyword.'\n );\n }\n return defaultStrat(parent, child)\n };\n}\n\n/**\n * Helper that recursively merges two data objects together.\n */\nfunction mergeData (to, from) {\n if (!from) { return to }\n var key, toVal, fromVal;\n\n var keys = hasSymbol\n ? Reflect.ownKeys(from)\n : Object.keys(from);\n\n for (var i = 0; i < keys.length; i++) {\n key = keys[i];\n // in case the object is already observed...\n if (key === '__ob__') { continue }\n toVal = to[key];\n fromVal = from[key];\n if (!hasOwn(to, key)) {\n set(to, key, fromVal);\n } else if (\n toVal !== fromVal &&\n isPlainObject(toVal) &&\n isPlainObject(fromVal)\n ) {\n mergeData(toVal, fromVal);\n }\n }\n return to\n}\n\n/**\n * Data\n */\nfunction mergeDataOrFn (\n parentVal,\n childVal,\n vm\n) {\n if (!vm) {\n // in a Vue.extend merge, both should be functions\n if (!childVal) {\n return parentVal\n }\n if (!parentVal) {\n return childVal\n }\n // when parentVal & childVal are both present,\n // we need to return a function that returns the\n // merged result of both functions... no need to\n // check if parentVal is a function here because\n // it has to be a function to pass previous merges.\n return function mergedDataFn () {\n return mergeData(\n typeof childVal === 'function' ? childVal.call(this, this) : childVal,\n typeof parentVal === 'function' ? parentVal.call(this, this) : parentVal\n )\n }\n } else {\n return function mergedInstanceDataFn () {\n // instance merge\n var instanceData = typeof childVal === 'function'\n ? childVal.call(vm, vm)\n : childVal;\n var defaultData = typeof parentVal === 'function'\n ? parentVal.call(vm, vm)\n : parentVal;\n if (instanceData) {\n return mergeData(instanceData, defaultData)\n } else {\n return defaultData\n }\n }\n }\n}\n\nstrats.data = function (\n parentVal,\n childVal,\n vm\n) {\n if (!vm) {\n if (childVal && typeof childVal !== 'function') {\n process.env.NODE_ENV !== 'production' && warn(\n 'The \"data\" option should be a function ' +\n 'that returns a per-instance value in component ' +\n 'definitions.',\n vm\n );\n\n return parentVal\n }\n return mergeDataOrFn(parentVal, childVal)\n }\n\n return mergeDataOrFn(parentVal, childVal, vm)\n};\n\n/**\n * Hooks and props are merged as arrays.\n */\nfunction mergeHook (\n parentVal,\n childVal\n) {\n var res = childVal\n ? parentVal\n ? parentVal.concat(childVal)\n : Array.isArray(childVal)\n ? childVal\n : [childVal]\n : parentVal;\n return res\n ? dedupeHooks(res)\n : res\n}\n\nfunction dedupeHooks (hooks) {\n var res = [];\n for (var i = 0; i < hooks.length; i++) {\n if (res.indexOf(hooks[i]) === -1) {\n res.push(hooks[i]);\n }\n }\n return res\n}\n\nLIFECYCLE_HOOKS.forEach(function (hook) {\n strats[hook] = mergeHook;\n});\n\n/**\n * Assets\n *\n * When a vm is present (instance creation), we need to do\n * a three-way merge between constructor options, instance\n * options and parent options.\n */\nfunction mergeAssets (\n parentVal,\n childVal,\n vm,\n key\n) {\n var res = Object.create(parentVal || null);\n if (childVal) {\n process.env.NODE_ENV !== 'production' && assertObjectType(key, childVal, vm);\n return extend(res, childVal)\n } else {\n return res\n }\n}\n\nASSET_TYPES.forEach(function (type) {\n strats[type + 's'] = mergeAssets;\n});\n\n/**\n * Watchers.\n *\n * Watchers hashes should not overwrite one\n * another, so we merge them as arrays.\n */\nstrats.watch = function (\n parentVal,\n childVal,\n vm,\n key\n) {\n // work around Firefox's Object.prototype.watch...\n if (parentVal === nativeWatch) { parentVal = undefined; }\n if (childVal === nativeWatch) { childVal = undefined; }\n /* istanbul ignore if */\n if (!childVal) { return Object.create(parentVal || null) }\n if (process.env.NODE_ENV !== 'production') {\n assertObjectType(key, childVal, vm);\n }\n if (!parentVal) { return childVal }\n var ret = {};\n extend(ret, parentVal);\n for (var key$1 in childVal) {\n var parent = ret[key$1];\n var child = childVal[key$1];\n if (parent && !Array.isArray(parent)) {\n parent = [parent];\n }\n ret[key$1] = parent\n ? parent.concat(child)\n : Array.isArray(child) ? child : [child];\n }\n return ret\n};\n\n/**\n * Other object hashes.\n */\nstrats.props =\nstrats.methods =\nstrats.inject =\nstrats.computed = function (\n parentVal,\n childVal,\n vm,\n key\n) {\n if (childVal && process.env.NODE_ENV !== 'production') {\n assertObjectType(key, childVal, vm);\n }\n if (!parentVal) { return childVal }\n var ret = Object.create(null);\n extend(ret, parentVal);\n if (childVal) { extend(ret, childVal); }\n return ret\n};\nstrats.provide = mergeDataOrFn;\n\n/**\n * Default strategy.\n */\nvar defaultStrat = function (parentVal, childVal) {\n return childVal === undefined\n ? parentVal\n : childVal\n};\n\n/**\n * Validate component names\n */\nfunction checkComponents (options) {\n for (var key in options.components) {\n validateComponentName(key);\n }\n}\n\nfunction validateComponentName (name) {\n if (!new RegExp((\"^[a-zA-Z][\\\\-\\\\.0-9_\" + (unicodeRegExp.source) + \"]*$\")).test(name)) {\n warn(\n 'Invalid component name: \"' + name + '\". Component names ' +\n 'should conform to valid custom element name in html5 specification.'\n );\n }\n if (isBuiltInTag(name) || config.isReservedTag(name)) {\n warn(\n 'Do not use built-in or reserved HTML elements as component ' +\n 'id: ' + name\n );\n }\n}\n\n/**\n * Ensure all props option syntax are normalized into the\n * Object-based format.\n */\nfunction normalizeProps (options, vm) {\n var props = options.props;\n if (!props) { return }\n var res = {};\n var i, val, name;\n if (Array.isArray(props)) {\n i = props.length;\n while (i--) {\n val = props[i];\n if (typeof val === 'string') {\n name = camelize(val);\n res[name] = { type: null };\n } else if (process.env.NODE_ENV !== 'production') {\n warn('props must be strings when using array syntax.');\n }\n }\n } else if (isPlainObject(props)) {\n for (var key in props) {\n val = props[key];\n name = camelize(key);\n res[name] = isPlainObject(val)\n ? val\n : { type: val };\n }\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n \"Invalid value for option \\\"props\\\": expected an Array or an Object, \" +\n \"but got \" + (toRawType(props)) + \".\",\n vm\n );\n }\n options.props = res;\n}\n\n/**\n * Normalize all injections into Object-based format\n */\nfunction normalizeInject (options, vm) {\n var inject = options.inject;\n if (!inject) { return }\n var normalized = options.inject = {};\n if (Array.isArray(inject)) {\n for (var i = 0; i < inject.length; i++) {\n normalized[inject[i]] = { from: inject[i] };\n }\n } else if (isPlainObject(inject)) {\n for (var key in inject) {\n var val = inject[key];\n normalized[key] = isPlainObject(val)\n ? extend({ from: key }, val)\n : { from: val };\n }\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n \"Invalid value for option \\\"inject\\\": expected an Array or an Object, \" +\n \"but got \" + (toRawType(inject)) + \".\",\n vm\n );\n }\n}\n\n/**\n * Normalize raw function directives into object format.\n */\nfunction normalizeDirectives (options) {\n var dirs = options.directives;\n if (dirs) {\n for (var key in dirs) {\n var def$$1 = dirs[key];\n if (typeof def$$1 === 'function') {\n dirs[key] = { bind: def$$1, update: def$$1 };\n }\n }\n }\n}\n\nfunction assertObjectType (name, value, vm) {\n if (!isPlainObject(value)) {\n warn(\n \"Invalid value for option \\\"\" + name + \"\\\": expected an Object, \" +\n \"but got \" + (toRawType(value)) + \".\",\n vm\n );\n }\n}\n\n/**\n * Merge two option objects into a new one.\n * Core utility used in both instantiation and inheritance.\n */\nfunction mergeOptions (\n parent,\n child,\n vm\n) {\n if (process.env.NODE_ENV !== 'production') {\n checkComponents(child);\n }\n\n if (typeof child === 'function') {\n child = child.options;\n }\n\n normalizeProps(child, vm);\n normalizeInject(child, vm);\n normalizeDirectives(child);\n\n // Apply extends and mixins on the child options,\n // but only if it is a raw options object that isn't\n // the result of another mergeOptions call.\n // Only merged options has the _base property.\n if (!child._base) {\n if (child.extends) {\n parent = mergeOptions(parent, child.extends, vm);\n }\n if (child.mixins) {\n for (var i = 0, l = child.mixins.length; i < l; i++) {\n parent = mergeOptions(parent, child.mixins[i], vm);\n }\n }\n }\n\n var options = {};\n var key;\n for (key in parent) {\n mergeField(key);\n }\n for (key in child) {\n if (!hasOwn(parent, key)) {\n mergeField(key);\n }\n }\n function mergeField (key) {\n var strat = strats[key] || defaultStrat;\n options[key] = strat(parent[key], child[key], vm, key);\n }\n return options\n}\n\n/**\n * Resolve an asset.\n * This function is used because child instances need access\n * to assets defined in its ancestor chain.\n */\nfunction resolveAsset (\n options,\n type,\n id,\n warnMissing\n) {\n /* istanbul ignore if */\n if (typeof id !== 'string') {\n return\n }\n var assets = options[type];\n // check local registration variations first\n if (hasOwn(assets, id)) { return assets[id] }\n var camelizedId = camelize(id);\n if (hasOwn(assets, camelizedId)) { return assets[camelizedId] }\n var PascalCaseId = capitalize(camelizedId);\n if (hasOwn(assets, PascalCaseId)) { return assets[PascalCaseId] }\n // fallback to prototype chain\n var res = assets[id] || assets[camelizedId] || assets[PascalCaseId];\n if (process.env.NODE_ENV !== 'production' && warnMissing && !res) {\n warn(\n 'Failed to resolve ' + type.slice(0, -1) + ': ' + id,\n options\n );\n }\n return res\n}\n\n/* */\n\n\n\nfunction validateProp (\n key,\n propOptions,\n propsData,\n vm\n) {\n var prop = propOptions[key];\n var absent = !hasOwn(propsData, key);\n var value = propsData[key];\n // boolean casting\n var booleanIndex = getTypeIndex(Boolean, prop.type);\n if (booleanIndex > -1) {\n if (absent && !hasOwn(prop, 'default')) {\n value = false;\n } else if (value === '' || value === hyphenate(key)) {\n // only cast empty string / same name to boolean if\n // boolean has higher priority\n var stringIndex = getTypeIndex(String, prop.type);\n if (stringIndex < 0 || booleanIndex < stringIndex) {\n value = true;\n }\n }\n }\n // check default value\n if (value === undefined) {\n value = getPropDefaultValue(vm, prop, key);\n // since the default value is a fresh copy,\n // make sure to observe it.\n var prevShouldObserve = shouldObserve;\n toggleObserving(true);\n observe(value);\n toggleObserving(prevShouldObserve);\n }\n if (\n process.env.NODE_ENV !== 'production' &&\n // skip validation for weex recycle-list child component props\n !(false)\n ) {\n assertProp(prop, key, value, vm, absent);\n }\n return value\n}\n\n/**\n * Get the default value of a prop.\n */\nfunction getPropDefaultValue (vm, prop, key) {\n // no default, return undefined\n if (!hasOwn(prop, 'default')) {\n return undefined\n }\n var def = prop.default;\n // warn against non-factory defaults for Object & Array\n if (process.env.NODE_ENV !== 'production' && isObject(def)) {\n warn(\n 'Invalid default value for prop \"' + key + '\": ' +\n 'Props with type Object/Array must use a factory function ' +\n 'to return the default value.',\n vm\n );\n }\n // the raw prop value was also undefined from previous render,\n // return previous default value to avoid unnecessary watcher trigger\n if (vm && vm.$options.propsData &&\n vm.$options.propsData[key] === undefined &&\n vm._props[key] !== undefined\n ) {\n return vm._props[key]\n }\n // call factory function for non-Function types\n // a value is Function if its prototype is function even across different execution context\n return typeof def === 'function' && getType(prop.type) !== 'Function'\n ? def.call(vm)\n : def\n}\n\n/**\n * Assert whether a prop is valid.\n */\nfunction assertProp (\n prop,\n name,\n value,\n vm,\n absent\n) {\n if (prop.required && absent) {\n warn(\n 'Missing required prop: \"' + name + '\"',\n vm\n );\n return\n }\n if (value == null && !prop.required) {\n return\n }\n var type = prop.type;\n var valid = !type || type === true;\n var expectedTypes = [];\n if (type) {\n if (!Array.isArray(type)) {\n type = [type];\n }\n for (var i = 0; i < type.length && !valid; i++) {\n var assertedType = assertType(value, type[i]);\n expectedTypes.push(assertedType.expectedType || '');\n valid = assertedType.valid;\n }\n }\n\n if (!valid) {\n warn(\n getInvalidTypeMessage(name, value, expectedTypes),\n vm\n );\n return\n }\n var validator = prop.validator;\n if (validator) {\n if (!validator(value)) {\n warn(\n 'Invalid prop: custom validator check failed for prop \"' + name + '\".',\n vm\n );\n }\n }\n}\n\nvar simpleCheckRE = /^(String|Number|Boolean|Function|Symbol)$/;\n\nfunction assertType (value, type) {\n var valid;\n var expectedType = getType(type);\n if (simpleCheckRE.test(expectedType)) {\n var t = typeof value;\n valid = t === expectedType.toLowerCase();\n // for primitive wrapper objects\n if (!valid && t === 'object') {\n valid = value instanceof type;\n }\n } else if (expectedType === 'Object') {\n valid = isPlainObject(value);\n } else if (expectedType === 'Array') {\n valid = Array.isArray(value);\n } else {\n valid = value instanceof type;\n }\n return {\n valid: valid,\n expectedType: expectedType\n }\n}\n\n/**\n * Use function string name to check built-in types,\n * because a simple equality check will fail when running\n * across different vms / iframes.\n */\nfunction getType (fn) {\n var match = fn && fn.toString().match(/^\\s*function (\\w+)/);\n return match ? match[1] : ''\n}\n\nfunction isSameType (a, b) {\n return getType(a) === getType(b)\n}\n\nfunction getTypeIndex (type, expectedTypes) {\n if (!Array.isArray(expectedTypes)) {\n return isSameType(expectedTypes, type) ? 0 : -1\n }\n for (var i = 0, len = expectedTypes.length; i < len; i++) {\n if (isSameType(expectedTypes[i], type)) {\n return i\n }\n }\n return -1\n}\n\nfunction getInvalidTypeMessage (name, value, expectedTypes) {\n var message = \"Invalid prop: type check failed for prop \\\"\" + name + \"\\\".\" +\n \" Expected \" + (expectedTypes.map(capitalize).join(', '));\n var expectedType = expectedTypes[0];\n var receivedType = toRawType(value);\n var expectedValue = styleValue(value, expectedType);\n var receivedValue = styleValue(value, receivedType);\n // check if we need to specify expected value\n if (expectedTypes.length === 1 &&\n isExplicable(expectedType) &&\n !isBoolean(expectedType, receivedType)) {\n message += \" with value \" + expectedValue;\n }\n message += \", got \" + receivedType + \" \";\n // check if we need to specify received value\n if (isExplicable(receivedType)) {\n message += \"with value \" + receivedValue + \".\";\n }\n return message\n}\n\nfunction styleValue (value, type) {\n if (type === 'String') {\n return (\"\\\"\" + value + \"\\\"\")\n } else if (type === 'Number') {\n return (\"\" + (Number(value)))\n } else {\n return (\"\" + value)\n }\n}\n\nfunction isExplicable (value) {\n var explicitTypes = ['string', 'number', 'boolean'];\n return explicitTypes.some(function (elem) { return value.toLowerCase() === elem; })\n}\n\nfunction isBoolean () {\n var args = [], len = arguments.length;\n while ( len-- ) args[ len ] = arguments[ len ];\n\n return args.some(function (elem) { return elem.toLowerCase() === 'boolean'; })\n}\n\n/* */\n\nfunction handleError (err, vm, info) {\n // Deactivate deps tracking while processing error handler to avoid possible infinite rendering.\n // See: https://github.com/vuejs/vuex/issues/1505\n pushTarget();\n try {\n if (vm) {\n var cur = vm;\n while ((cur = cur.$parent)) {\n var hooks = cur.$options.errorCaptured;\n if (hooks) {\n for (var i = 0; i < hooks.length; i++) {\n try {\n var capture = hooks[i].call(cur, err, vm, info) === false;\n if (capture) { return }\n } catch (e) {\n globalHandleError(e, cur, 'errorCaptured hook');\n }\n }\n }\n }\n }\n globalHandleError(err, vm, info);\n } finally {\n popTarget();\n }\n}\n\nfunction invokeWithErrorHandling (\n handler,\n context,\n args,\n vm,\n info\n) {\n var res;\n try {\n res = args ? handler.apply(context, args) : handler.call(context);\n if (res && !res._isVue && isPromise(res) && !res._handled) {\n res.catch(function (e) { return handleError(e, vm, info + \" (Promise/async)\"); });\n // issue #9511\n // avoid catch triggering multiple times when nested calls\n res._handled = true;\n }\n } catch (e) {\n handleError(e, vm, info);\n }\n return res\n}\n\nfunction globalHandleError (err, vm, info) {\n if (config.errorHandler) {\n try {\n return config.errorHandler.call(null, err, vm, info)\n } catch (e) {\n // if the user intentionally throws the original error in the handler,\n // do not log it twice\n if (e !== err) {\n logError(e, null, 'config.errorHandler');\n }\n }\n }\n logError(err, vm, info);\n}\n\nfunction logError (err, vm, info) {\n if (process.env.NODE_ENV !== 'production') {\n warn((\"Error in \" + info + \": \\\"\" + (err.toString()) + \"\\\"\"), vm);\n }\n /* istanbul ignore else */\n if ((inBrowser || inWeex) && typeof console !== 'undefined') {\n console.error(err);\n } else {\n throw err\n }\n}\n\n/* */\n\nvar isUsingMicroTask = false;\n\nvar callbacks = [];\nvar pending = false;\n\nfunction flushCallbacks () {\n pending = false;\n var copies = callbacks.slice(0);\n callbacks.length = 0;\n for (var i = 0; i < copies.length; i++) {\n copies[i]();\n }\n}\n\n// Here we have async deferring wrappers using microtasks.\n// In 2.5 we used (macro) tasks (in combination with microtasks).\n// However, it has subtle problems when state is changed right before repaint\n// (e.g. #6813, out-in transitions).\n// Also, using (macro) tasks in event handler would cause some weird behaviors\n// that cannot be circumvented (e.g. #7109, #7153, #7546, #7834, #8109).\n// So we now use microtasks everywhere, again.\n// A major drawback of this tradeoff is that there are some scenarios\n// where microtasks have too high a priority and fire in between supposedly\n// sequential events (e.g. #4521, #6690, which have workarounds)\n// or even between bubbling of the same event (#6566).\nvar timerFunc;\n\n// The nextTick behavior leverages the microtask queue, which can be accessed\n// via either native Promise.then or MutationObserver.\n// MutationObserver has wider support, however it is seriously bugged in\n// UIWebView in iOS >= 9.3.3 when triggered in touch event handlers. It\n// completely stops working after triggering a few times... so, if native\n// Promise is available, we will use it:\n/* istanbul ignore next, $flow-disable-line */\nif (typeof Promise !== 'undefined' && isNative(Promise)) {\n var p = Promise.resolve();\n timerFunc = function () {\n p.then(flushCallbacks);\n // In problematic UIWebViews, Promise.then doesn't completely break, but\n // it can get stuck in a weird state where callbacks are pushed into the\n // microtask queue but the queue isn't being flushed, until the browser\n // needs to do some other work, e.g. handle a timer. Therefore we can\n // \"force\" the microtask queue to be flushed by adding an empty timer.\n if (isIOS) { setTimeout(noop); }\n };\n isUsingMicroTask = true;\n} else if (!isIE && typeof MutationObserver !== 'undefined' && (\n isNative(MutationObserver) ||\n // PhantomJS and iOS 7.x\n MutationObserver.toString() === '[object MutationObserverConstructor]'\n)) {\n // Use MutationObserver where native Promise is not available,\n // e.g. PhantomJS, iOS7, Android 4.4\n // (#6466 MutationObserver is unreliable in IE11)\n var counter = 1;\n var observer = new MutationObserver(flushCallbacks);\n var textNode = document.createTextNode(String(counter));\n observer.observe(textNode, {\n characterData: true\n });\n timerFunc = function () {\n counter = (counter + 1) % 2;\n textNode.data = String(counter);\n };\n isUsingMicroTask = true;\n} else if (typeof setImmediate !== 'undefined' && isNative(setImmediate)) {\n // Fallback to setImmediate.\n // Technically it leverages the (macro) task queue,\n // but it is still a better choice than setTimeout.\n timerFunc = function () {\n setImmediate(flushCallbacks);\n };\n} else {\n // Fallback to setTimeout.\n timerFunc = function () {\n setTimeout(flushCallbacks, 0);\n };\n}\n\nfunction nextTick (cb, ctx) {\n var _resolve;\n callbacks.push(function () {\n if (cb) {\n try {\n cb.call(ctx);\n } catch (e) {\n handleError(e, ctx, 'nextTick');\n }\n } else if (_resolve) {\n _resolve(ctx);\n }\n });\n if (!pending) {\n pending = true;\n timerFunc();\n }\n // $flow-disable-line\n if (!cb && typeof Promise !== 'undefined') {\n return new Promise(function (resolve) {\n _resolve = resolve;\n })\n }\n}\n\n/* */\n\nvar mark;\nvar measure;\n\nif (process.env.NODE_ENV !== 'production') {\n var perf = inBrowser && window.performance;\n /* istanbul ignore if */\n if (\n perf &&\n perf.mark &&\n perf.measure &&\n perf.clearMarks &&\n perf.clearMeasures\n ) {\n mark = function (tag) { return perf.mark(tag); };\n measure = function (name, startTag, endTag) {\n perf.measure(name, startTag, endTag);\n perf.clearMarks(startTag);\n perf.clearMarks(endTag);\n // perf.clearMeasures(name)\n };\n }\n}\n\n/* not type checking this file because flow doesn't play well with Proxy */\n\nvar initProxy;\n\nif (process.env.NODE_ENV !== 'production') {\n var allowedGlobals = makeMap(\n 'Infinity,undefined,NaN,isFinite,isNaN,' +\n 'parseFloat,parseInt,decodeURI,decodeURIComponent,encodeURI,encodeURIComponent,' +\n 'Math,Number,Date,Array,Object,Boolean,String,RegExp,Map,Set,JSON,Intl,' +\n 'require' // for Webpack/Browserify\n );\n\n var warnNonPresent = function (target, key) {\n warn(\n \"Property or method \\\"\" + key + \"\\\" is not defined on the instance but \" +\n 'referenced during render. Make sure that this property is reactive, ' +\n 'either in the data option, or for class-based components, by ' +\n 'initializing the property. ' +\n 'See: https://vuejs.org/v2/guide/reactivity.html#Declaring-Reactive-Properties.',\n target\n );\n };\n\n var warnReservedPrefix = function (target, key) {\n warn(\n \"Property \\\"\" + key + \"\\\" must be accessed with \\\"$data.\" + key + \"\\\" because \" +\n 'properties starting with \"$\" or \"_\" are not proxied in the Vue instance to ' +\n 'prevent conflicts with Vue internals. ' +\n 'See: https://vuejs.org/v2/api/#data',\n target\n );\n };\n\n var hasProxy =\n typeof Proxy !== 'undefined' && isNative(Proxy);\n\n if (hasProxy) {\n var isBuiltInModifier = makeMap('stop,prevent,self,ctrl,shift,alt,meta,exact');\n config.keyCodes = new Proxy(config.keyCodes, {\n set: function set (target, key, value) {\n if (isBuiltInModifier(key)) {\n warn((\"Avoid overwriting built-in modifier in config.keyCodes: .\" + key));\n return false\n } else {\n target[key] = value;\n return true\n }\n }\n });\n }\n\n var hasHandler = {\n has: function has (target, key) {\n var has = key in target;\n var isAllowed = allowedGlobals(key) ||\n (typeof key === 'string' && key.charAt(0) === '_' && !(key in target.$data));\n if (!has && !isAllowed) {\n if (key in target.$data) { warnReservedPrefix(target, key); }\n else { warnNonPresent(target, key); }\n }\n return has || !isAllowed\n }\n };\n\n var getHandler = {\n get: function get (target, key) {\n if (typeof key === 'string' && !(key in target)) {\n if (key in target.$data) { warnReservedPrefix(target, key); }\n else { warnNonPresent(target, key); }\n }\n return target[key]\n }\n };\n\n initProxy = function initProxy (vm) {\n if (hasProxy) {\n // determine which proxy handler to use\n var options = vm.$options;\n var handlers = options.render && options.render._withStripped\n ? getHandler\n : hasHandler;\n vm._renderProxy = new Proxy(vm, handlers);\n } else {\n vm._renderProxy = vm;\n }\n };\n}\n\n/* */\n\nvar seenObjects = new _Set();\n\n/**\n * Recursively traverse an object to evoke all converted\n * getters, so that every nested property inside the object\n * is collected as a \"deep\" dependency.\n */\nfunction traverse (val) {\n _traverse(val, seenObjects);\n seenObjects.clear();\n}\n\nfunction _traverse (val, seen) {\n var i, keys;\n var isA = Array.isArray(val);\n if ((!isA && !isObject(val)) || Object.isFrozen(val) || val instanceof VNode) {\n return\n }\n if (val.__ob__) {\n var depId = val.__ob__.dep.id;\n if (seen.has(depId)) {\n return\n }\n seen.add(depId);\n }\n if (isA) {\n i = val.length;\n while (i--) { _traverse(val[i], seen); }\n } else {\n keys = Object.keys(val);\n i = keys.length;\n while (i--) { _traverse(val[keys[i]], seen); }\n }\n}\n\n/* */\n\nvar normalizeEvent = cached(function (name) {\n var passive = name.charAt(0) === '&';\n name = passive ? name.slice(1) : name;\n var once$$1 = name.charAt(0) === '~'; // Prefixed last, checked first\n name = once$$1 ? name.slice(1) : name;\n var capture = name.charAt(0) === '!';\n name = capture ? name.slice(1) : name;\n return {\n name: name,\n once: once$$1,\n capture: capture,\n passive: passive\n }\n});\n\nfunction createFnInvoker (fns, vm) {\n function invoker () {\n var arguments$1 = arguments;\n\n var fns = invoker.fns;\n if (Array.isArray(fns)) {\n var cloned = fns.slice();\n for (var i = 0; i < cloned.length; i++) {\n invokeWithErrorHandling(cloned[i], null, arguments$1, vm, \"v-on handler\");\n }\n } else {\n // return handler return value for single handlers\n return invokeWithErrorHandling(fns, null, arguments, vm, \"v-on handler\")\n }\n }\n invoker.fns = fns;\n return invoker\n}\n\nfunction updateListeners (\n on,\n oldOn,\n add,\n remove$$1,\n createOnceHandler,\n vm\n) {\n var name, def$$1, cur, old, event;\n for (name in on) {\n def$$1 = cur = on[name];\n old = oldOn[name];\n event = normalizeEvent(name);\n if (isUndef(cur)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Invalid handler for event \\\"\" + (event.name) + \"\\\": got \" + String(cur),\n vm\n );\n } else if (isUndef(old)) {\n if (isUndef(cur.fns)) {\n cur = on[name] = createFnInvoker(cur, vm);\n }\n if (isTrue(event.once)) {\n cur = on[name] = createOnceHandler(event.name, cur, event.capture);\n }\n add(event.name, cur, event.capture, event.passive, event.params);\n } else if (cur !== old) {\n old.fns = cur;\n on[name] = old;\n }\n }\n for (name in oldOn) {\n if (isUndef(on[name])) {\n event = normalizeEvent(name);\n remove$$1(event.name, oldOn[name], event.capture);\n }\n }\n}\n\n/* */\n\nfunction mergeVNodeHook (def, hookKey, hook) {\n if (def instanceof VNode) {\n def = def.data.hook || (def.data.hook = {});\n }\n var invoker;\n var oldHook = def[hookKey];\n\n function wrappedHook () {\n hook.apply(this, arguments);\n // important: remove merged hook to ensure it's called only once\n // and prevent memory leak\n remove(invoker.fns, wrappedHook);\n }\n\n if (isUndef(oldHook)) {\n // no existing hook\n invoker = createFnInvoker([wrappedHook]);\n } else {\n /* istanbul ignore if */\n if (isDef(oldHook.fns) && isTrue(oldHook.merged)) {\n // already a merged invoker\n invoker = oldHook;\n invoker.fns.push(wrappedHook);\n } else {\n // existing plain hook\n invoker = createFnInvoker([oldHook, wrappedHook]);\n }\n }\n\n invoker.merged = true;\n def[hookKey] = invoker;\n}\n\n/* */\n\nfunction extractPropsFromVNodeData (\n data,\n Ctor,\n tag\n) {\n // we are only extracting raw values here.\n // validation and default values are handled in the child\n // component itself.\n var propOptions = Ctor.options.props;\n if (isUndef(propOptions)) {\n return\n }\n var res = {};\n var attrs = data.attrs;\n var props = data.props;\n if (isDef(attrs) || isDef(props)) {\n for (var key in propOptions) {\n var altKey = hyphenate(key);\n if (process.env.NODE_ENV !== 'production') {\n var keyInLowerCase = key.toLowerCase();\n if (\n key !== keyInLowerCase &&\n attrs && hasOwn(attrs, keyInLowerCase)\n ) {\n tip(\n \"Prop \\\"\" + keyInLowerCase + \"\\\" is passed to component \" +\n (formatComponentName(tag || Ctor)) + \", but the declared prop name is\" +\n \" \\\"\" + key + \"\\\". \" +\n \"Note that HTML attributes are case-insensitive and camelCased \" +\n \"props need to use their kebab-case equivalents when using in-DOM \" +\n \"templates. You should probably use \\\"\" + altKey + \"\\\" instead of \\\"\" + key + \"\\\".\"\n );\n }\n }\n checkProp(res, props, key, altKey, true) ||\n checkProp(res, attrs, key, altKey, false);\n }\n }\n return res\n}\n\nfunction checkProp (\n res,\n hash,\n key,\n altKey,\n preserve\n) {\n if (isDef(hash)) {\n if (hasOwn(hash, key)) {\n res[key] = hash[key];\n if (!preserve) {\n delete hash[key];\n }\n return true\n } else if (hasOwn(hash, altKey)) {\n res[key] = hash[altKey];\n if (!preserve) {\n delete hash[altKey];\n }\n return true\n }\n }\n return false\n}\n\n/* */\n\n// The template compiler attempts to minimize the need for normalization by\n// statically analyzing the template at compile time.\n//\n// For plain HTML markup, normalization can be completely skipped because the\n// generated render function is guaranteed to return Array<VNode>. There are\n// two cases where extra normalization is needed:\n\n// 1. When the children contains components - because a functional component\n// may return an Array instead of a single root. In this case, just a simple\n// normalization is needed - if any child is an Array, we flatten the whole\n// thing with Array.prototype.concat. It is guaranteed to be only 1-level deep\n// because functional components already normalize their own children.\nfunction simpleNormalizeChildren (children) {\n for (var i = 0; i < children.length; i++) {\n if (Array.isArray(children[i])) {\n return Array.prototype.concat.apply([], children)\n }\n }\n return children\n}\n\n// 2. When the children contains constructs that always generated nested Arrays,\n// e.g. <template>, <slot>, v-for, or when the children is provided by user\n// with hand-written render functions / JSX. In such cases a full normalization\n// is needed to cater to all possible types of children values.\nfunction normalizeChildren (children) {\n return isPrimitive(children)\n ? [createTextVNode(children)]\n : Array.isArray(children)\n ? normalizeArrayChildren(children)\n : undefined\n}\n\nfunction isTextNode (node) {\n return isDef(node) && isDef(node.text) && isFalse(node.isComment)\n}\n\nfunction normalizeArrayChildren (children, nestedIndex) {\n var res = [];\n var i, c, lastIndex, last;\n for (i = 0; i < children.length; i++) {\n c = children[i];\n if (isUndef(c) || typeof c === 'boolean') { continue }\n lastIndex = res.length - 1;\n last = res[lastIndex];\n // nested\n if (Array.isArray(c)) {\n if (c.length > 0) {\n c = normalizeArrayChildren(c, ((nestedIndex || '') + \"_\" + i));\n // merge adjacent text nodes\n if (isTextNode(c[0]) && isTextNode(last)) {\n res[lastIndex] = createTextVNode(last.text + (c[0]).text);\n c.shift();\n }\n res.push.apply(res, c);\n }\n } else if (isPrimitive(c)) {\n if (isTextNode(last)) {\n // merge adjacent text nodes\n // this is necessary for SSR hydration because text nodes are\n // essentially merged when rendered to HTML strings\n res[lastIndex] = createTextVNode(last.text + c);\n } else if (c !== '') {\n // convert primitive to vnode\n res.push(createTextVNode(c));\n }\n } else {\n if (isTextNode(c) && isTextNode(last)) {\n // merge adjacent text nodes\n res[lastIndex] = createTextVNode(last.text + c.text);\n } else {\n // default key for nested array children (likely generated by v-for)\n if (isTrue(children._isVList) &&\n isDef(c.tag) &&\n isUndef(c.key) &&\n isDef(nestedIndex)) {\n c.key = \"__vlist\" + nestedIndex + \"_\" + i + \"__\";\n }\n res.push(c);\n }\n }\n }\n return res\n}\n\n/* */\n\nfunction initProvide (vm) {\n var provide = vm.$options.provide;\n if (provide) {\n vm._provided = typeof provide === 'function'\n ? provide.call(vm)\n : provide;\n }\n}\n\nfunction initInjections (vm) {\n var result = resolveInject(vm.$options.inject, vm);\n if (result) {\n toggleObserving(false);\n Object.keys(result).forEach(function (key) {\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n defineReactive$$1(vm, key, result[key], function () {\n warn(\n \"Avoid mutating an injected value directly since the changes will be \" +\n \"overwritten whenever the provided component re-renders. \" +\n \"injection being mutated: \\\"\" + key + \"\\\"\",\n vm\n );\n });\n } else {\n defineReactive$$1(vm, key, result[key]);\n }\n });\n toggleObserving(true);\n }\n}\n\nfunction resolveInject (inject, vm) {\n if (inject) {\n // inject is :any because flow is not smart enough to figure out cached\n var result = Object.create(null);\n var keys = hasSymbol\n ? Reflect.ownKeys(inject)\n : Object.keys(inject);\n\n for (var i = 0; i < keys.length; i++) {\n var key = keys[i];\n // #6574 in case the inject object is observed...\n if (key === '__ob__') { continue }\n var provideKey = inject[key].from;\n var source = vm;\n while (source) {\n if (source._provided && hasOwn(source._provided, provideKey)) {\n result[key] = source._provided[provideKey];\n break\n }\n source = source.$parent;\n }\n if (!source) {\n if ('default' in inject[key]) {\n var provideDefault = inject[key].default;\n result[key] = typeof provideDefault === 'function'\n ? provideDefault.call(vm)\n : provideDefault;\n } else if (process.env.NODE_ENV !== 'production') {\n warn((\"Injection \\\"\" + key + \"\\\" not found\"), vm);\n }\n }\n }\n return result\n }\n}\n\n/* */\n\n\n\n/**\n * Runtime helper for resolving raw children VNodes into a slot object.\n */\nfunction resolveSlots (\n children,\n context\n) {\n if (!children || !children.length) {\n return {}\n }\n var slots = {};\n for (var i = 0, l = children.length; i < l; i++) {\n var child = children[i];\n var data = child.data;\n // remove slot attribute if the node is resolved as a Vue slot node\n if (data && data.attrs && data.attrs.slot) {\n delete data.attrs.slot;\n }\n // named slots should only be respected if the vnode was rendered in the\n // same context.\n if ((child.context === context || child.fnContext === context) &&\n data && data.slot != null\n ) {\n var name = data.slot;\n var slot = (slots[name] || (slots[name] = []));\n if (child.tag === 'template') {\n slot.push.apply(slot, child.children || []);\n } else {\n slot.push(child);\n }\n } else {\n (slots.default || (slots.default = [])).push(child);\n }\n }\n // ignore slots that contains only whitespace\n for (var name$1 in slots) {\n if (slots[name$1].every(isWhitespace)) {\n delete slots[name$1];\n }\n }\n return slots\n}\n\nfunction isWhitespace (node) {\n return (node.isComment && !node.asyncFactory) || node.text === ' '\n}\n\n/* */\n\nfunction normalizeScopedSlots (\n slots,\n normalSlots,\n prevSlots\n) {\n var res;\n var hasNormalSlots = Object.keys(normalSlots).length > 0;\n var isStable = slots ? !!slots.$stable : !hasNormalSlots;\n var key = slots && slots.$key;\n if (!slots) {\n res = {};\n } else if (slots._normalized) {\n // fast path 1: child component re-render only, parent did not change\n return slots._normalized\n } else if (\n isStable &&\n prevSlots &&\n prevSlots !== emptyObject &&\n key === prevSlots.$key &&\n !hasNormalSlots &&\n !prevSlots.$hasNormal\n ) {\n // fast path 2: stable scoped slots w/ no normal slots to proxy,\n // only need to normalize once\n return prevSlots\n } else {\n res = {};\n for (var key$1 in slots) {\n if (slots[key$1] && key$1[0] !== '$') {\n res[key$1] = normalizeScopedSlot(normalSlots, key$1, slots[key$1]);\n }\n }\n }\n // expose normal slots on scopedSlots\n for (var key$2 in normalSlots) {\n if (!(key$2 in res)) {\n res[key$2] = proxyNormalSlot(normalSlots, key$2);\n }\n }\n // avoriaz seems to mock a non-extensible $scopedSlots object\n // and when that is passed down this would cause an error\n if (slots && Object.isExtensible(slots)) {\n (slots)._normalized = res;\n }\n def(res, '$stable', isStable);\n def(res, '$key', key);\n def(res, '$hasNormal', hasNormalSlots);\n return res\n}\n\nfunction normalizeScopedSlot(normalSlots, key, fn) {\n var normalized = function () {\n var res = arguments.length ? fn.apply(null, arguments) : fn({});\n res = res && typeof res === 'object' && !Array.isArray(res)\n ? [res] // single vnode\n : normalizeChildren(res);\n return res && (\n res.length === 0 ||\n (res.length === 1 && res[0].isComment) // #9658\n ) ? undefined\n : res\n };\n // this is a slot using the new v-slot syntax without scope. although it is\n // compiled as a scoped slot, render fn users would expect it to be present\n // on this.$slots because the usage is semantically a normal slot.\n if (fn.proxy) {\n Object.defineProperty(normalSlots, key, {\n get: normalized,\n enumerable: true,\n configurable: true\n });\n }\n return normalized\n}\n\nfunction proxyNormalSlot(slots, key) {\n return function () { return slots[key]; }\n}\n\n/* */\n\n/**\n * Runtime helper for rendering v-for lists.\n */\nfunction renderList (\n val,\n render\n) {\n var ret, i, l, keys, key;\n if (Array.isArray(val) || typeof val === 'string') {\n ret = new Array(val.length);\n for (i = 0, l = val.length; i < l; i++) {\n ret[i] = render(val[i], i);\n }\n } else if (typeof val === 'number') {\n ret = new Array(val);\n for (i = 0; i < val; i++) {\n ret[i] = render(i + 1, i);\n }\n } else if (isObject(val)) {\n if (hasSymbol && val[Symbol.iterator]) {\n ret = [];\n var iterator = val[Symbol.iterator]();\n var result = iterator.next();\n while (!result.done) {\n ret.push(render(result.value, ret.length));\n result = iterator.next();\n }\n } else {\n keys = Object.keys(val);\n ret = new Array(keys.length);\n for (i = 0, l = keys.length; i < l; i++) {\n key = keys[i];\n ret[i] = render(val[key], key, i);\n }\n }\n }\n if (!isDef(ret)) {\n ret = [];\n }\n (ret)._isVList = true;\n return ret\n}\n\n/* */\n\n/**\n * Runtime helper for rendering <slot>\n */\nfunction renderSlot (\n name,\n fallback,\n props,\n bindObject\n) {\n var scopedSlotFn = this.$scopedSlots[name];\n var nodes;\n if (scopedSlotFn) { // scoped slot\n props = props || {};\n if (bindObject) {\n if (process.env.NODE_ENV !== 'production' && !isObject(bindObject)) {\n warn(\n 'slot v-bind without argument expects an Object',\n this\n );\n }\n props = extend(extend({}, bindObject), props);\n }\n nodes = scopedSlotFn(props) || fallback;\n } else {\n nodes = this.$slots[name] || fallback;\n }\n\n var target = props && props.slot;\n if (target) {\n return this.$createElement('template', { slot: target }, nodes)\n } else {\n return nodes\n }\n}\n\n/* */\n\n/**\n * Runtime helper for resolving filters\n */\nfunction resolveFilter (id) {\n return resolveAsset(this.$options, 'filters', id, true) || identity\n}\n\n/* */\n\nfunction isKeyNotMatch (expect, actual) {\n if (Array.isArray(expect)) {\n return expect.indexOf(actual) === -1\n } else {\n return expect !== actual\n }\n}\n\n/**\n * Runtime helper for checking keyCodes from config.\n * exposed as Vue.prototype._k\n * passing in eventKeyName as last argument separately for backwards compat\n */\nfunction checkKeyCodes (\n eventKeyCode,\n key,\n builtInKeyCode,\n eventKeyName,\n builtInKeyName\n) {\n var mappedKeyCode = config.keyCodes[key] || builtInKeyCode;\n if (builtInKeyName && eventKeyName && !config.keyCodes[key]) {\n return isKeyNotMatch(builtInKeyName, eventKeyName)\n } else if (mappedKeyCode) {\n return isKeyNotMatch(mappedKeyCode, eventKeyCode)\n } else if (eventKeyName) {\n return hyphenate(eventKeyName) !== key\n }\n}\n\n/* */\n\n/**\n * Runtime helper for merging v-bind=\"object\" into a VNode's data.\n */\nfunction bindObjectProps (\n data,\n tag,\n value,\n asProp,\n isSync\n) {\n if (value) {\n if (!isObject(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'v-bind without argument expects an Object or Array value',\n this\n );\n } else {\n if (Array.isArray(value)) {\n value = toObject(value);\n }\n var hash;\n var loop = function ( key ) {\n if (\n key === 'class' ||\n key === 'style' ||\n isReservedAttribute(key)\n ) {\n hash = data;\n } else {\n var type = data.attrs && data.attrs.type;\n hash = asProp || config.mustUseProp(tag, type, key)\n ? data.domProps || (data.domProps = {})\n : data.attrs || (data.attrs = {});\n }\n var camelizedKey = camelize(key);\n var hyphenatedKey = hyphenate(key);\n if (!(camelizedKey in hash) && !(hyphenatedKey in hash)) {\n hash[key] = value[key];\n\n if (isSync) {\n var on = data.on || (data.on = {});\n on[(\"update:\" + key)] = function ($event) {\n value[key] = $event;\n };\n }\n }\n };\n\n for (var key in value) loop( key );\n }\n }\n return data\n}\n\n/* */\n\n/**\n * Runtime helper for rendering static trees.\n */\nfunction renderStatic (\n index,\n isInFor\n) {\n var cached = this._staticTrees || (this._staticTrees = []);\n var tree = cached[index];\n // if has already-rendered static tree and not inside v-for,\n // we can reuse the same tree.\n if (tree && !isInFor) {\n return tree\n }\n // otherwise, render a fresh tree.\n tree = cached[index] = this.$options.staticRenderFns[index].call(\n this._renderProxy,\n null,\n this // for render fns generated for functional component templates\n );\n markStatic(tree, (\"__static__\" + index), false);\n return tree\n}\n\n/**\n * Runtime helper for v-once.\n * Effectively it means marking the node as static with a unique key.\n */\nfunction markOnce (\n tree,\n index,\n key\n) {\n markStatic(tree, (\"__once__\" + index + (key ? (\"_\" + key) : \"\")), true);\n return tree\n}\n\nfunction markStatic (\n tree,\n key,\n isOnce\n) {\n if (Array.isArray(tree)) {\n for (var i = 0; i < tree.length; i++) {\n if (tree[i] && typeof tree[i] !== 'string') {\n markStaticNode(tree[i], (key + \"_\" + i), isOnce);\n }\n }\n } else {\n markStaticNode(tree, key, isOnce);\n }\n}\n\nfunction markStaticNode (node, key, isOnce) {\n node.isStatic = true;\n node.key = key;\n node.isOnce = isOnce;\n}\n\n/* */\n\nfunction bindObjectListeners (data, value) {\n if (value) {\n if (!isPlainObject(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n 'v-on without argument expects an Object value',\n this\n );\n } else {\n var on = data.on = data.on ? extend({}, data.on) : {};\n for (var key in value) {\n var existing = on[key];\n var ours = value[key];\n on[key] = existing ? [].concat(existing, ours) : ours;\n }\n }\n }\n return data\n}\n\n/* */\n\nfunction resolveScopedSlots (\n fns, // see flow/vnode\n res,\n // the following are added in 2.6\n hasDynamicKeys,\n contentHashKey\n) {\n res = res || { $stable: !hasDynamicKeys };\n for (var i = 0; i < fns.length; i++) {\n var slot = fns[i];\n if (Array.isArray(slot)) {\n resolveScopedSlots(slot, res, hasDynamicKeys);\n } else if (slot) {\n // marker for reverse proxying v-slot without scope on this.$slots\n if (slot.proxy) {\n slot.fn.proxy = true;\n }\n res[slot.key] = slot.fn;\n }\n }\n if (contentHashKey) {\n (res).$key = contentHashKey;\n }\n return res\n}\n\n/* */\n\nfunction bindDynamicKeys (baseObj, values) {\n for (var i = 0; i < values.length; i += 2) {\n var key = values[i];\n if (typeof key === 'string' && key) {\n baseObj[values[i]] = values[i + 1];\n } else if (process.env.NODE_ENV !== 'production' && key !== '' && key !== null) {\n // null is a special value for explicitly removing a binding\n warn(\n (\"Invalid value for dynamic directive argument (expected string or null): \" + key),\n this\n );\n }\n }\n return baseObj\n}\n\n// helper to dynamically append modifier runtime markers to event names.\n// ensure only append when value is already string, otherwise it will be cast\n// to string and cause the type check to miss.\nfunction prependModifier (value, symbol) {\n return typeof value === 'string' ? symbol + value : value\n}\n\n/* */\n\nfunction installRenderHelpers (target) {\n target._o = markOnce;\n target._n = toNumber;\n target._s = toString;\n target._l = renderList;\n target._t = renderSlot;\n target._q = looseEqual;\n target._i = looseIndexOf;\n target._m = renderStatic;\n target._f = resolveFilter;\n target._k = checkKeyCodes;\n target._b = bindObjectProps;\n target._v = createTextVNode;\n target._e = createEmptyVNode;\n target._u = resolveScopedSlots;\n target._g = bindObjectListeners;\n target._d = bindDynamicKeys;\n target._p = prependModifier;\n}\n\n/* */\n\nfunction FunctionalRenderContext (\n data,\n props,\n children,\n parent,\n Ctor\n) {\n var this$1 = this;\n\n var options = Ctor.options;\n // ensure the createElement function in functional components\n // gets a unique context - this is necessary for correct named slot check\n var contextVm;\n if (hasOwn(parent, '_uid')) {\n contextVm = Object.create(parent);\n // $flow-disable-line\n contextVm._original = parent;\n } else {\n // the context vm passed in is a functional context as well.\n // in this case we want to make sure we are able to get a hold to the\n // real context instance.\n contextVm = parent;\n // $flow-disable-line\n parent = parent._original;\n }\n var isCompiled = isTrue(options._compiled);\n var needNormalization = !isCompiled;\n\n this.data = data;\n this.props = props;\n this.children = children;\n this.parent = parent;\n this.listeners = data.on || emptyObject;\n this.injections = resolveInject(options.inject, parent);\n this.slots = function () {\n if (!this$1.$slots) {\n normalizeScopedSlots(\n data.scopedSlots,\n this$1.$slots = resolveSlots(children, parent)\n );\n }\n return this$1.$slots\n };\n\n Object.defineProperty(this, 'scopedSlots', ({\n enumerable: true,\n get: function get () {\n return normalizeScopedSlots(data.scopedSlots, this.slots())\n }\n }));\n\n // support for compiled functional template\n if (isCompiled) {\n // exposing $options for renderStatic()\n this.$options = options;\n // pre-resolve slots for renderSlot()\n this.$slots = this.slots();\n this.$scopedSlots = normalizeScopedSlots(data.scopedSlots, this.$slots);\n }\n\n if (options._scopeId) {\n this._c = function (a, b, c, d) {\n var vnode = createElement(contextVm, a, b, c, d, needNormalization);\n if (vnode && !Array.isArray(vnode)) {\n vnode.fnScopeId = options._scopeId;\n vnode.fnContext = parent;\n }\n return vnode\n };\n } else {\n this._c = function (a, b, c, d) { return createElement(contextVm, a, b, c, d, needNormalization); };\n }\n}\n\ninstallRenderHelpers(FunctionalRenderContext.prototype);\n\nfunction createFunctionalComponent (\n Ctor,\n propsData,\n data,\n contextVm,\n children\n) {\n var options = Ctor.options;\n var props = {};\n var propOptions = options.props;\n if (isDef(propOptions)) {\n for (var key in propOptions) {\n props[key] = validateProp(key, propOptions, propsData || emptyObject);\n }\n } else {\n if (isDef(data.attrs)) { mergeProps(props, data.attrs); }\n if (isDef(data.props)) { mergeProps(props, data.props); }\n }\n\n var renderContext = new FunctionalRenderContext(\n data,\n props,\n children,\n contextVm,\n Ctor\n );\n\n var vnode = options.render.call(null, renderContext._c, renderContext);\n\n if (vnode instanceof VNode) {\n return cloneAndMarkFunctionalResult(vnode, data, renderContext.parent, options, renderContext)\n } else if (Array.isArray(vnode)) {\n var vnodes = normalizeChildren(vnode) || [];\n var res = new Array(vnodes.length);\n for (var i = 0; i < vnodes.length; i++) {\n res[i] = cloneAndMarkFunctionalResult(vnodes[i], data, renderContext.parent, options, renderContext);\n }\n return res\n }\n}\n\nfunction cloneAndMarkFunctionalResult (vnode, data, contextVm, options, renderContext) {\n // #7817 clone node before setting fnContext, otherwise if the node is reused\n // (e.g. it was from a cached normal slot) the fnContext causes named slots\n // that should not be matched to match.\n var clone = cloneVNode(vnode);\n clone.fnContext = contextVm;\n clone.fnOptions = options;\n if (process.env.NODE_ENV !== 'production') {\n (clone.devtoolsMeta = clone.devtoolsMeta || {}).renderContext = renderContext;\n }\n if (data.slot) {\n (clone.data || (clone.data = {})).slot = data.slot;\n }\n return clone\n}\n\nfunction mergeProps (to, from) {\n for (var key in from) {\n to[camelize(key)] = from[key];\n }\n}\n\n/* */\n\n/* */\n\n/* */\n\n/* */\n\n// inline hooks to be invoked on component VNodes during patch\nvar componentVNodeHooks = {\n init: function init (vnode, hydrating) {\n if (\n vnode.componentInstance &&\n !vnode.componentInstance._isDestroyed &&\n vnode.data.keepAlive\n ) {\n // kept-alive components, treat as a patch\n var mountedNode = vnode; // work around flow\n componentVNodeHooks.prepatch(mountedNode, mountedNode);\n } else {\n var child = vnode.componentInstance = createComponentInstanceForVnode(\n vnode,\n activeInstance\n );\n child.$mount(hydrating ? vnode.elm : undefined, hydrating);\n }\n },\n\n prepatch: function prepatch (oldVnode, vnode) {\n var options = vnode.componentOptions;\n var child = vnode.componentInstance = oldVnode.componentInstance;\n updateChildComponent(\n child,\n options.propsData, // updated props\n options.listeners, // updated listeners\n vnode, // new parent vnode\n options.children // new children\n );\n },\n\n insert: function insert (vnode) {\n var context = vnode.context;\n var componentInstance = vnode.componentInstance;\n if (!componentInstance._isMounted) {\n componentInstance._isMounted = true;\n callHook(componentInstance, 'mounted');\n }\n if (vnode.data.keepAlive) {\n if (context._isMounted) {\n // vue-router#1212\n // During updates, a kept-alive component's child components may\n // change, so directly walking the tree here may call activated hooks\n // on incorrect children. Instead we push them into a queue which will\n // be processed after the whole patch process ended.\n queueActivatedComponent(componentInstance);\n } else {\n activateChildComponent(componentInstance, true /* direct */);\n }\n }\n },\n\n destroy: function destroy (vnode) {\n var componentInstance = vnode.componentInstance;\n if (!componentInstance._isDestroyed) {\n if (!vnode.data.keepAlive) {\n componentInstance.$destroy();\n } else {\n deactivateChildComponent(componentInstance, true /* direct */);\n }\n }\n }\n};\n\nvar hooksToMerge = Object.keys(componentVNodeHooks);\n\nfunction createComponent (\n Ctor,\n data,\n context,\n children,\n tag\n) {\n if (isUndef(Ctor)) {\n return\n }\n\n var baseCtor = context.$options._base;\n\n // plain options object: turn it into a constructor\n if (isObject(Ctor)) {\n Ctor = baseCtor.extend(Ctor);\n }\n\n // if at this stage it's not a constructor or an async component factory,\n // reject.\n if (typeof Ctor !== 'function') {\n if (process.env.NODE_ENV !== 'production') {\n warn((\"Invalid Component definition: \" + (String(Ctor))), context);\n }\n return\n }\n\n // async component\n var asyncFactory;\n if (isUndef(Ctor.cid)) {\n asyncFactory = Ctor;\n Ctor = resolveAsyncComponent(asyncFactory, baseCtor);\n if (Ctor === undefined) {\n // return a placeholder node for async component, which is rendered\n // as a comment node but preserves all the raw information for the node.\n // the information will be used for async server-rendering and hydration.\n return createAsyncPlaceholder(\n asyncFactory,\n data,\n context,\n children,\n tag\n )\n }\n }\n\n data = data || {};\n\n // resolve constructor options in case global mixins are applied after\n // component constructor creation\n resolveConstructorOptions(Ctor);\n\n // transform component v-model data into props & events\n if (isDef(data.model)) {\n transformModel(Ctor.options, data);\n }\n\n // extract props\n var propsData = extractPropsFromVNodeData(data, Ctor, tag);\n\n // functional component\n if (isTrue(Ctor.options.functional)) {\n return createFunctionalComponent(Ctor, propsData, data, context, children)\n }\n\n // extract listeners, since these needs to be treated as\n // child component listeners instead of DOM listeners\n var listeners = data.on;\n // replace with listeners with .native modifier\n // so it gets processed during parent component patch.\n data.on = data.nativeOn;\n\n if (isTrue(Ctor.options.abstract)) {\n // abstract components do not keep anything\n // other than props & listeners & slot\n\n // work around flow\n var slot = data.slot;\n data = {};\n if (slot) {\n data.slot = slot;\n }\n }\n\n // install component management hooks onto the placeholder node\n installComponentHooks(data);\n\n // return a placeholder vnode\n var name = Ctor.options.name || tag;\n var vnode = new VNode(\n (\"vue-component-\" + (Ctor.cid) + (name ? (\"-\" + name) : '')),\n data, undefined, undefined, undefined, context,\n { Ctor: Ctor, propsData: propsData, listeners: listeners, tag: tag, children: children },\n asyncFactory\n );\n\n return vnode\n}\n\nfunction createComponentInstanceForVnode (\n vnode, // we know it's MountedComponentVNode but flow doesn't\n parent // activeInstance in lifecycle state\n) {\n var options = {\n _isComponent: true,\n _parentVnode: vnode,\n parent: parent\n };\n // check inline-template render functions\n var inlineTemplate = vnode.data.inlineTemplate;\n if (isDef(inlineTemplate)) {\n options.render = inlineTemplate.render;\n options.staticRenderFns = inlineTemplate.staticRenderFns;\n }\n return new vnode.componentOptions.Ctor(options)\n}\n\nfunction installComponentHooks (data) {\n var hooks = data.hook || (data.hook = {});\n for (var i = 0; i < hooksToMerge.length; i++) {\n var key = hooksToMerge[i];\n var existing = hooks[key];\n var toMerge = componentVNodeHooks[key];\n if (existing !== toMerge && !(existing && existing._merged)) {\n hooks[key] = existing ? mergeHook$1(toMerge, existing) : toMerge;\n }\n }\n}\n\nfunction mergeHook$1 (f1, f2) {\n var merged = function (a, b) {\n // flow complains about extra args which is why we use any\n f1(a, b);\n f2(a, b);\n };\n merged._merged = true;\n return merged\n}\n\n// transform component v-model info (value and callback) into\n// prop and event handler respectively.\nfunction transformModel (options, data) {\n var prop = (options.model && options.model.prop) || 'value';\n var event = (options.model && options.model.event) || 'input'\n ;(data.attrs || (data.attrs = {}))[prop] = data.model.value;\n var on = data.on || (data.on = {});\n var existing = on[event];\n var callback = data.model.callback;\n if (isDef(existing)) {\n if (\n Array.isArray(existing)\n ? existing.indexOf(callback) === -1\n : existing !== callback\n ) {\n on[event] = [callback].concat(existing);\n }\n } else {\n on[event] = callback;\n }\n}\n\n/* */\n\nvar SIMPLE_NORMALIZE = 1;\nvar ALWAYS_NORMALIZE = 2;\n\n// wrapper function for providing a more flexible interface\n// without getting yelled at by flow\nfunction createElement (\n context,\n tag,\n data,\n children,\n normalizationType,\n alwaysNormalize\n) {\n if (Array.isArray(data) || isPrimitive(data)) {\n normalizationType = children;\n children = data;\n data = undefined;\n }\n if (isTrue(alwaysNormalize)) {\n normalizationType = ALWAYS_NORMALIZE;\n }\n return _createElement(context, tag, data, children, normalizationType)\n}\n\nfunction _createElement (\n context,\n tag,\n data,\n children,\n normalizationType\n) {\n if (isDef(data) && isDef((data).__ob__)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Avoid using observed data object as vnode data: \" + (JSON.stringify(data)) + \"\\n\" +\n 'Always create fresh vnode data objects in each render!',\n context\n );\n return createEmptyVNode()\n }\n // object syntax in v-bind\n if (isDef(data) && isDef(data.is)) {\n tag = data.is;\n }\n if (!tag) {\n // in case of component :is set to falsy value\n return createEmptyVNode()\n }\n // warn against non-primitive key\n if (process.env.NODE_ENV !== 'production' &&\n isDef(data) && isDef(data.key) && !isPrimitive(data.key)\n ) {\n {\n warn(\n 'Avoid using non-primitive value as key, ' +\n 'use string/number value instead.',\n context\n );\n }\n }\n // support single function children as default scoped slot\n if (Array.isArray(children) &&\n typeof children[0] === 'function'\n ) {\n data = data || {};\n data.scopedSlots = { default: children[0] };\n children.length = 0;\n }\n if (normalizationType === ALWAYS_NORMALIZE) {\n children = normalizeChildren(children);\n } else if (normalizationType === SIMPLE_NORMALIZE) {\n children = simpleNormalizeChildren(children);\n }\n var vnode, ns;\n if (typeof tag === 'string') {\n var Ctor;\n ns = (context.$vnode && context.$vnode.ns) || config.getTagNamespace(tag);\n if (config.isReservedTag(tag)) {\n // platform built-in elements\n if (process.env.NODE_ENV !== 'production' && isDef(data) && isDef(data.nativeOn)) {\n warn(\n (\"The .native modifier for v-on is only valid on components but it was used on <\" + tag + \">.\"),\n context\n );\n }\n vnode = new VNode(\n config.parsePlatformTagName(tag), data, children,\n undefined, undefined, context\n );\n } else if ((!data || !data.pre) && isDef(Ctor = resolveAsset(context.$options, 'components', tag))) {\n // component\n vnode = createComponent(Ctor, data, context, children, tag);\n } else {\n // unknown or unlisted namespaced elements\n // check at runtime because it may get assigned a namespace when its\n // parent normalizes children\n vnode = new VNode(\n tag, data, children,\n undefined, undefined, context\n );\n }\n } else {\n // direct component options / constructor\n vnode = createComponent(tag, data, context, children);\n }\n if (Array.isArray(vnode)) {\n return vnode\n } else if (isDef(vnode)) {\n if (isDef(ns)) { applyNS(vnode, ns); }\n if (isDef(data)) { registerDeepBindings(data); }\n return vnode\n } else {\n return createEmptyVNode()\n }\n}\n\nfunction applyNS (vnode, ns, force) {\n vnode.ns = ns;\n if (vnode.tag === 'foreignObject') {\n // use default namespace inside foreignObject\n ns = undefined;\n force = true;\n }\n if (isDef(vnode.children)) {\n for (var i = 0, l = vnode.children.length; i < l; i++) {\n var child = vnode.children[i];\n if (isDef(child.tag) && (\n isUndef(child.ns) || (isTrue(force) && child.tag !== 'svg'))) {\n applyNS(child, ns, force);\n }\n }\n }\n}\n\n// ref #5318\n// necessary to ensure parent re-render when deep bindings like :style and\n// :class are used on slot nodes\nfunction registerDeepBindings (data) {\n if (isObject(data.style)) {\n traverse(data.style);\n }\n if (isObject(data.class)) {\n traverse(data.class);\n }\n}\n\n/* */\n\nfunction initRender (vm) {\n vm._vnode = null; // the root of the child tree\n vm._staticTrees = null; // v-once cached trees\n var options = vm.$options;\n var parentVnode = vm.$vnode = options._parentVnode; // the placeholder node in parent tree\n var renderContext = parentVnode && parentVnode.context;\n vm.$slots = resolveSlots(options._renderChildren, renderContext);\n vm.$scopedSlots = emptyObject;\n // bind the createElement fn to this instance\n // so that we get proper render context inside it.\n // args order: tag, data, children, normalizationType, alwaysNormalize\n // internal version is used by render functions compiled from templates\n vm._c = function (a, b, c, d) { return createElement(vm, a, b, c, d, false); };\n // normalization is always applied for the public version, used in\n // user-written render functions.\n vm.$createElement = function (a, b, c, d) { return createElement(vm, a, b, c, d, true); };\n\n // $attrs & $listeners are exposed for easier HOC creation.\n // they need to be reactive so that HOCs using them are always updated\n var parentData = parentVnode && parentVnode.data;\n\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n defineReactive$$1(vm, '$attrs', parentData && parentData.attrs || emptyObject, function () {\n !isUpdatingChildComponent && warn(\"$attrs is readonly.\", vm);\n }, true);\n defineReactive$$1(vm, '$listeners', options._parentListeners || emptyObject, function () {\n !isUpdatingChildComponent && warn(\"$listeners is readonly.\", vm);\n }, true);\n } else {\n defineReactive$$1(vm, '$attrs', parentData && parentData.attrs || emptyObject, null, true);\n defineReactive$$1(vm, '$listeners', options._parentListeners || emptyObject, null, true);\n }\n}\n\nvar currentRenderingInstance = null;\n\nfunction renderMixin (Vue) {\n // install runtime convenience helpers\n installRenderHelpers(Vue.prototype);\n\n Vue.prototype.$nextTick = function (fn) {\n return nextTick(fn, this)\n };\n\n Vue.prototype._render = function () {\n var vm = this;\n var ref = vm.$options;\n var render = ref.render;\n var _parentVnode = ref._parentVnode;\n\n if (_parentVnode) {\n vm.$scopedSlots = normalizeScopedSlots(\n _parentVnode.data.scopedSlots,\n vm.$slots,\n vm.$scopedSlots\n );\n }\n\n // set parent vnode. this allows render functions to have access\n // to the data on the placeholder node.\n vm.$vnode = _parentVnode;\n // render self\n var vnode;\n try {\n // There's no need to maintain a stack because all render fns are called\n // separately from one another. Nested component's render fns are called\n // when parent component is patched.\n currentRenderingInstance = vm;\n vnode = render.call(vm._renderProxy, vm.$createElement);\n } catch (e) {\n handleError(e, vm, \"render\");\n // return error render result,\n // or previous vnode to prevent render error causing blank component\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production' && vm.$options.renderError) {\n try {\n vnode = vm.$options.renderError.call(vm._renderProxy, vm.$createElement, e);\n } catch (e) {\n handleError(e, vm, \"renderError\");\n vnode = vm._vnode;\n }\n } else {\n vnode = vm._vnode;\n }\n } finally {\n currentRenderingInstance = null;\n }\n // if the returned array contains only a single node, allow it\n if (Array.isArray(vnode) && vnode.length === 1) {\n vnode = vnode[0];\n }\n // return empty vnode in case the render function errored out\n if (!(vnode instanceof VNode)) {\n if (process.env.NODE_ENV !== 'production' && Array.isArray(vnode)) {\n warn(\n 'Multiple root nodes returned from render function. Render function ' +\n 'should return a single root node.',\n vm\n );\n }\n vnode = createEmptyVNode();\n }\n // set parent\n vnode.parent = _parentVnode;\n return vnode\n };\n}\n\n/* */\n\nfunction ensureCtor (comp, base) {\n if (\n comp.__esModule ||\n (hasSymbol && comp[Symbol.toStringTag] === 'Module')\n ) {\n comp = comp.default;\n }\n return isObject(comp)\n ? base.extend(comp)\n : comp\n}\n\nfunction createAsyncPlaceholder (\n factory,\n data,\n context,\n children,\n tag\n) {\n var node = createEmptyVNode();\n node.asyncFactory = factory;\n node.asyncMeta = { data: data, context: context, children: children, tag: tag };\n return node\n}\n\nfunction resolveAsyncComponent (\n factory,\n baseCtor\n) {\n if (isTrue(factory.error) && isDef(factory.errorComp)) {\n return factory.errorComp\n }\n\n if (isDef(factory.resolved)) {\n return factory.resolved\n }\n\n var owner = currentRenderingInstance;\n if (owner && isDef(factory.owners) && factory.owners.indexOf(owner) === -1) {\n // already pending\n factory.owners.push(owner);\n }\n\n if (isTrue(factory.loading) && isDef(factory.loadingComp)) {\n return factory.loadingComp\n }\n\n if (owner && !isDef(factory.owners)) {\n var owners = factory.owners = [owner];\n var sync = true;\n var timerLoading = null;\n var timerTimeout = null\n\n ;(owner).$on('hook:destroyed', function () { return remove(owners, owner); });\n\n var forceRender = function (renderCompleted) {\n for (var i = 0, l = owners.length; i < l; i++) {\n (owners[i]).$forceUpdate();\n }\n\n if (renderCompleted) {\n owners.length = 0;\n if (timerLoading !== null) {\n clearTimeout(timerLoading);\n timerLoading = null;\n }\n if (timerTimeout !== null) {\n clearTimeout(timerTimeout);\n timerTimeout = null;\n }\n }\n };\n\n var resolve = once(function (res) {\n // cache resolved\n factory.resolved = ensureCtor(res, baseCtor);\n // invoke callbacks only if this is not a synchronous resolve\n // (async resolves are shimmed as synchronous during SSR)\n if (!sync) {\n forceRender(true);\n } else {\n owners.length = 0;\n }\n });\n\n var reject = once(function (reason) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Failed to resolve async component: \" + (String(factory)) +\n (reason ? (\"\\nReason: \" + reason) : '')\n );\n if (isDef(factory.errorComp)) {\n factory.error = true;\n forceRender(true);\n }\n });\n\n var res = factory(resolve, reject);\n\n if (isObject(res)) {\n if (isPromise(res)) {\n // () => Promise\n if (isUndef(factory.resolved)) {\n res.then(resolve, reject);\n }\n } else if (isPromise(res.component)) {\n res.component.then(resolve, reject);\n\n if (isDef(res.error)) {\n factory.errorComp = ensureCtor(res.error, baseCtor);\n }\n\n if (isDef(res.loading)) {\n factory.loadingComp = ensureCtor(res.loading, baseCtor);\n if (res.delay === 0) {\n factory.loading = true;\n } else {\n timerLoading = setTimeout(function () {\n timerLoading = null;\n if (isUndef(factory.resolved) && isUndef(factory.error)) {\n factory.loading = true;\n forceRender(false);\n }\n }, res.delay || 200);\n }\n }\n\n if (isDef(res.timeout)) {\n timerTimeout = setTimeout(function () {\n timerTimeout = null;\n if (isUndef(factory.resolved)) {\n reject(\n process.env.NODE_ENV !== 'production'\n ? (\"timeout (\" + (res.timeout) + \"ms)\")\n : null\n );\n }\n }, res.timeout);\n }\n }\n }\n\n sync = false;\n // return in case resolved synchronously\n return factory.loading\n ? factory.loadingComp\n : factory.resolved\n }\n}\n\n/* */\n\nfunction isAsyncPlaceholder (node) {\n return node.isComment && node.asyncFactory\n}\n\n/* */\n\nfunction getFirstComponentChild (children) {\n if (Array.isArray(children)) {\n for (var i = 0; i < children.length; i++) {\n var c = children[i];\n if (isDef(c) && (isDef(c.componentOptions) || isAsyncPlaceholder(c))) {\n return c\n }\n }\n }\n}\n\n/* */\n\n/* */\n\nfunction initEvents (vm) {\n vm._events = Object.create(null);\n vm._hasHookEvent = false;\n // init parent attached events\n var listeners = vm.$options._parentListeners;\n if (listeners) {\n updateComponentListeners(vm, listeners);\n }\n}\n\nvar target;\n\nfunction add (event, fn) {\n target.$on(event, fn);\n}\n\nfunction remove$1 (event, fn) {\n target.$off(event, fn);\n}\n\nfunction createOnceHandler (event, fn) {\n var _target = target;\n return function onceHandler () {\n var res = fn.apply(null, arguments);\n if (res !== null) {\n _target.$off(event, onceHandler);\n }\n }\n}\n\nfunction updateComponentListeners (\n vm,\n listeners,\n oldListeners\n) {\n target = vm;\n updateListeners(listeners, oldListeners || {}, add, remove$1, createOnceHandler, vm);\n target = undefined;\n}\n\nfunction eventsMixin (Vue) {\n var hookRE = /^hook:/;\n Vue.prototype.$on = function (event, fn) {\n var vm = this;\n if (Array.isArray(event)) {\n for (var i = 0, l = event.length; i < l; i++) {\n vm.$on(event[i], fn);\n }\n } else {\n (vm._events[event] || (vm._events[event] = [])).push(fn);\n // optimize hook:event cost by using a boolean flag marked at registration\n // instead of a hash lookup\n if (hookRE.test(event)) {\n vm._hasHookEvent = true;\n }\n }\n return vm\n };\n\n Vue.prototype.$once = function (event, fn) {\n var vm = this;\n function on () {\n vm.$off(event, on);\n fn.apply(vm, arguments);\n }\n on.fn = fn;\n vm.$on(event, on);\n return vm\n };\n\n Vue.prototype.$off = function (event, fn) {\n var vm = this;\n // all\n if (!arguments.length) {\n vm._events = Object.create(null);\n return vm\n }\n // array of events\n if (Array.isArray(event)) {\n for (var i$1 = 0, l = event.length; i$1 < l; i$1++) {\n vm.$off(event[i$1], fn);\n }\n return vm\n }\n // specific event\n var cbs = vm._events[event];\n if (!cbs) {\n return vm\n }\n if (!fn) {\n vm._events[event] = null;\n return vm\n }\n // specific handler\n var cb;\n var i = cbs.length;\n while (i--) {\n cb = cbs[i];\n if (cb === fn || cb.fn === fn) {\n cbs.splice(i, 1);\n break\n }\n }\n return vm\n };\n\n Vue.prototype.$emit = function (event) {\n var vm = this;\n if (process.env.NODE_ENV !== 'production') {\n var lowerCaseEvent = event.toLowerCase();\n if (lowerCaseEvent !== event && vm._events[lowerCaseEvent]) {\n tip(\n \"Event \\\"\" + lowerCaseEvent + \"\\\" is emitted in component \" +\n (formatComponentName(vm)) + \" but the handler is registered for \\\"\" + event + \"\\\". \" +\n \"Note that HTML attributes are case-insensitive and you cannot use \" +\n \"v-on to listen to camelCase events when using in-DOM templates. \" +\n \"You should probably use \\\"\" + (hyphenate(event)) + \"\\\" instead of \\\"\" + event + \"\\\".\"\n );\n }\n }\n var cbs = vm._events[event];\n if (cbs) {\n cbs = cbs.length > 1 ? toArray(cbs) : cbs;\n var args = toArray(arguments, 1);\n var info = \"event handler for \\\"\" + event + \"\\\"\";\n for (var i = 0, l = cbs.length; i < l; i++) {\n invokeWithErrorHandling(cbs[i], vm, args, vm, info);\n }\n }\n return vm\n };\n}\n\n/* */\n\nvar activeInstance = null;\nvar isUpdatingChildComponent = false;\n\nfunction setActiveInstance(vm) {\n var prevActiveInstance = activeInstance;\n activeInstance = vm;\n return function () {\n activeInstance = prevActiveInstance;\n }\n}\n\nfunction initLifecycle (vm) {\n var options = vm.$options;\n\n // locate first non-abstract parent\n var parent = options.parent;\n if (parent && !options.abstract) {\n while (parent.$options.abstract && parent.$parent) {\n parent = parent.$parent;\n }\n parent.$children.push(vm);\n }\n\n vm.$parent = parent;\n vm.$root = parent ? parent.$root : vm;\n\n vm.$children = [];\n vm.$refs = {};\n\n vm._watcher = null;\n vm._inactive = null;\n vm._directInactive = false;\n vm._isMounted = false;\n vm._isDestroyed = false;\n vm._isBeingDestroyed = false;\n}\n\nfunction lifecycleMixin (Vue) {\n Vue.prototype._update = function (vnode, hydrating) {\n var vm = this;\n var prevEl = vm.$el;\n var prevVnode = vm._vnode;\n var restoreActiveInstance = setActiveInstance(vm);\n vm._vnode = vnode;\n // Vue.prototype.__patch__ is injected in entry points\n // based on the rendering backend used.\n if (!prevVnode) {\n // initial render\n vm.$el = vm.__patch__(vm.$el, vnode, hydrating, false /* removeOnly */);\n } else {\n // updates\n vm.$el = vm.__patch__(prevVnode, vnode);\n }\n restoreActiveInstance();\n // update __vue__ reference\n if (prevEl) {\n prevEl.__vue__ = null;\n }\n if (vm.$el) {\n vm.$el.__vue__ = vm;\n }\n // if parent is an HOC, update its $el as well\n if (vm.$vnode && vm.$parent && vm.$vnode === vm.$parent._vnode) {\n vm.$parent.$el = vm.$el;\n }\n // updated hook is called by the scheduler to ensure that children are\n // updated in a parent's updated hook.\n };\n\n Vue.prototype.$forceUpdate = function () {\n var vm = this;\n if (vm._watcher) {\n vm._watcher.update();\n }\n };\n\n Vue.prototype.$destroy = function () {\n var vm = this;\n if (vm._isBeingDestroyed) {\n return\n }\n callHook(vm, 'beforeDestroy');\n vm._isBeingDestroyed = true;\n // remove self from parent\n var parent = vm.$parent;\n if (parent && !parent._isBeingDestroyed && !vm.$options.abstract) {\n remove(parent.$children, vm);\n }\n // teardown watchers\n if (vm._watcher) {\n vm._watcher.teardown();\n }\n var i = vm._watchers.length;\n while (i--) {\n vm._watchers[i].teardown();\n }\n // remove reference from data ob\n // frozen object may not have observer.\n if (vm._data.__ob__) {\n vm._data.__ob__.vmCount--;\n }\n // call the last hook...\n vm._isDestroyed = true;\n // invoke destroy hooks on current rendered tree\n vm.__patch__(vm._vnode, null);\n // fire destroyed hook\n callHook(vm, 'destroyed');\n // turn off all instance listeners.\n vm.$off();\n // remove __vue__ reference\n if (vm.$el) {\n vm.$el.__vue__ = null;\n }\n // release circular reference (#6759)\n if (vm.$vnode) {\n vm.$vnode.parent = null;\n }\n };\n}\n\nfunction mountComponent (\n vm,\n el,\n hydrating\n) {\n vm.$el = el;\n if (!vm.$options.render) {\n vm.$options.render = createEmptyVNode;\n if (process.env.NODE_ENV !== 'production') {\n /* istanbul ignore if */\n if ((vm.$options.template && vm.$options.template.charAt(0) !== '#') ||\n vm.$options.el || el) {\n warn(\n 'You are using the runtime-only build of Vue where the template ' +\n 'compiler is not available. Either pre-compile the templates into ' +\n 'render functions, or use the compiler-included build.',\n vm\n );\n } else {\n warn(\n 'Failed to mount component: template or render function not defined.',\n vm\n );\n }\n }\n }\n callHook(vm, 'beforeMount');\n\n var updateComponent;\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n updateComponent = function () {\n var name = vm._name;\n var id = vm._uid;\n var startTag = \"vue-perf-start:\" + id;\n var endTag = \"vue-perf-end:\" + id;\n\n mark(startTag);\n var vnode = vm._render();\n mark(endTag);\n measure((\"vue \" + name + \" render\"), startTag, endTag);\n\n mark(startTag);\n vm._update(vnode, hydrating);\n mark(endTag);\n measure((\"vue \" + name + \" patch\"), startTag, endTag);\n };\n } else {\n updateComponent = function () {\n vm._update(vm._render(), hydrating);\n };\n }\n\n // we set this to vm._watcher inside the watcher's constructor\n // since the watcher's initial patch may call $forceUpdate (e.g. inside child\n // component's mounted hook), which relies on vm._watcher being already defined\n new Watcher(vm, updateComponent, noop, {\n before: function before () {\n if (vm._isMounted && !vm._isDestroyed) {\n callHook(vm, 'beforeUpdate');\n }\n }\n }, true /* isRenderWatcher */);\n hydrating = false;\n\n // manually mounted instance, call mounted on self\n // mounted is called for render-created child components in its inserted hook\n if (vm.$vnode == null) {\n vm._isMounted = true;\n callHook(vm, 'mounted');\n }\n return vm\n}\n\nfunction updateChildComponent (\n vm,\n propsData,\n listeners,\n parentVnode,\n renderChildren\n) {\n if (process.env.NODE_ENV !== 'production') {\n isUpdatingChildComponent = true;\n }\n\n // determine whether component has slot children\n // we need to do this before overwriting $options._renderChildren.\n\n // check if there are dynamic scopedSlots (hand-written or compiled but with\n // dynamic slot names). Static scoped slots compiled from template has the\n // \"$stable\" marker.\n var newScopedSlots = parentVnode.data.scopedSlots;\n var oldScopedSlots = vm.$scopedSlots;\n var hasDynamicScopedSlot = !!(\n (newScopedSlots && !newScopedSlots.$stable) ||\n (oldScopedSlots !== emptyObject && !oldScopedSlots.$stable) ||\n (newScopedSlots && vm.$scopedSlots.$key !== newScopedSlots.$key)\n );\n\n // Any static slot children from the parent may have changed during parent's\n // update. Dynamic scoped slots may also have changed. In such cases, a forced\n // update is necessary to ensure correctness.\n var needsForceUpdate = !!(\n renderChildren || // has new static slots\n vm.$options._renderChildren || // has old static slots\n hasDynamicScopedSlot\n );\n\n vm.$options._parentVnode = parentVnode;\n vm.$vnode = parentVnode; // update vm's placeholder node without re-render\n\n if (vm._vnode) { // update child tree's parent\n vm._vnode.parent = parentVnode;\n }\n vm.$options._renderChildren = renderChildren;\n\n // update $attrs and $listeners hash\n // these are also reactive so they may trigger child update if the child\n // used them during render\n vm.$attrs = parentVnode.data.attrs || emptyObject;\n vm.$listeners = listeners || emptyObject;\n\n // update props\n if (propsData && vm.$options.props) {\n toggleObserving(false);\n var props = vm._props;\n var propKeys = vm.$options._propKeys || [];\n for (var i = 0; i < propKeys.length; i++) {\n var key = propKeys[i];\n var propOptions = vm.$options.props; // wtf flow?\n props[key] = validateProp(key, propOptions, propsData, vm);\n }\n toggleObserving(true);\n // keep a copy of raw propsData\n vm.$options.propsData = propsData;\n }\n\n // update listeners\n listeners = listeners || emptyObject;\n var oldListeners = vm.$options._parentListeners;\n vm.$options._parentListeners = listeners;\n updateComponentListeners(vm, listeners, oldListeners);\n\n // resolve slots + force update if has children\n if (needsForceUpdate) {\n vm.$slots = resolveSlots(renderChildren, parentVnode.context);\n vm.$forceUpdate();\n }\n\n if (process.env.NODE_ENV !== 'production') {\n isUpdatingChildComponent = false;\n }\n}\n\nfunction isInInactiveTree (vm) {\n while (vm && (vm = vm.$parent)) {\n if (vm._inactive) { return true }\n }\n return false\n}\n\nfunction activateChildComponent (vm, direct) {\n if (direct) {\n vm._directInactive = false;\n if (isInInactiveTree(vm)) {\n return\n }\n } else if (vm._directInactive) {\n return\n }\n if (vm._inactive || vm._inactive === null) {\n vm._inactive = false;\n for (var i = 0; i < vm.$children.length; i++) {\n activateChildComponent(vm.$children[i]);\n }\n callHook(vm, 'activated');\n }\n}\n\nfunction deactivateChildComponent (vm, direct) {\n if (direct) {\n vm._directInactive = true;\n if (isInInactiveTree(vm)) {\n return\n }\n }\n if (!vm._inactive) {\n vm._inactive = true;\n for (var i = 0; i < vm.$children.length; i++) {\n deactivateChildComponent(vm.$children[i]);\n }\n callHook(vm, 'deactivated');\n }\n}\n\nfunction callHook (vm, hook) {\n // #7573 disable dep collection when invoking lifecycle hooks\n pushTarget();\n var handlers = vm.$options[hook];\n var info = hook + \" hook\";\n if (handlers) {\n for (var i = 0, j = handlers.length; i < j; i++) {\n invokeWithErrorHandling(handlers[i], vm, null, vm, info);\n }\n }\n if (vm._hasHookEvent) {\n vm.$emit('hook:' + hook);\n }\n popTarget();\n}\n\n/* */\n\nvar MAX_UPDATE_COUNT = 100;\n\nvar queue = [];\nvar activatedChildren = [];\nvar has = {};\nvar circular = {};\nvar waiting = false;\nvar flushing = false;\nvar index = 0;\n\n/**\n * Reset the scheduler's state.\n */\nfunction resetSchedulerState () {\n index = queue.length = activatedChildren.length = 0;\n has = {};\n if (process.env.NODE_ENV !== 'production') {\n circular = {};\n }\n waiting = flushing = false;\n}\n\n// Async edge case #6566 requires saving the timestamp when event listeners are\n// attached. However, calling performance.now() has a perf overhead especially\n// if the page has thousands of event listeners. Instead, we take a timestamp\n// every time the scheduler flushes and use that for all event listeners\n// attached during that flush.\nvar currentFlushTimestamp = 0;\n\n// Async edge case fix requires storing an event listener's attach timestamp.\nvar getNow = Date.now;\n\n// Determine what event timestamp the browser is using. Annoyingly, the\n// timestamp can either be hi-res (relative to page load) or low-res\n// (relative to UNIX epoch), so in order to compare time we have to use the\n// same timestamp type when saving the flush timestamp.\n// All IE versions use low-res event timestamps, and have problematic clock\n// implementations (#9632)\nif (inBrowser && !isIE) {\n var performance = window.performance;\n if (\n performance &&\n typeof performance.now === 'function' &&\n getNow() > document.createEvent('Event').timeStamp\n ) {\n // if the event timestamp, although evaluated AFTER the Date.now(), is\n // smaller than it, it means the event is using a hi-res timestamp,\n // and we need to use the hi-res version for event listener timestamps as\n // well.\n getNow = function () { return performance.now(); };\n }\n}\n\n/**\n * Flush both queues and run the watchers.\n */\nfunction flushSchedulerQueue () {\n currentFlushTimestamp = getNow();\n flushing = true;\n var watcher, id;\n\n // Sort queue before flush.\n // This ensures that:\n // 1. Components are updated from parent to child. (because parent is always\n // created before the child)\n // 2. A component's user watchers are run before its render watcher (because\n // user watchers are created before the render watcher)\n // 3. If a component is destroyed during a parent component's watcher run,\n // its watchers can be skipped.\n queue.sort(function (a, b) { return a.id - b.id; });\n\n // do not cache length because more watchers might be pushed\n // as we run existing watchers\n for (index = 0; index < queue.length; index++) {\n watcher = queue[index];\n if (watcher.before) {\n watcher.before();\n }\n id = watcher.id;\n has[id] = null;\n watcher.run();\n // in dev build, check and stop circular updates.\n if (process.env.NODE_ENV !== 'production' && has[id] != null) {\n circular[id] = (circular[id] || 0) + 1;\n if (circular[id] > MAX_UPDATE_COUNT) {\n warn(\n 'You may have an infinite update loop ' + (\n watcher.user\n ? (\"in watcher with expression \\\"\" + (watcher.expression) + \"\\\"\")\n : \"in a component render function.\"\n ),\n watcher.vm\n );\n break\n }\n }\n }\n\n // keep copies of post queues before resetting state\n var activatedQueue = activatedChildren.slice();\n var updatedQueue = queue.slice();\n\n resetSchedulerState();\n\n // call component updated and activated hooks\n callActivatedHooks(activatedQueue);\n callUpdatedHooks(updatedQueue);\n\n // devtool hook\n /* istanbul ignore if */\n if (devtools && config.devtools) {\n devtools.emit('flush');\n }\n}\n\nfunction callUpdatedHooks (queue) {\n var i = queue.length;\n while (i--) {\n var watcher = queue[i];\n var vm = watcher.vm;\n if (vm._watcher === watcher && vm._isMounted && !vm._isDestroyed) {\n callHook(vm, 'updated');\n }\n }\n}\n\n/**\n * Queue a kept-alive component that was activated during patch.\n * The queue will be processed after the entire tree has been patched.\n */\nfunction queueActivatedComponent (vm) {\n // setting _inactive to false here so that a render function can\n // rely on checking whether it's in an inactive tree (e.g. router-view)\n vm._inactive = false;\n activatedChildren.push(vm);\n}\n\nfunction callActivatedHooks (queue) {\n for (var i = 0; i < queue.length; i++) {\n queue[i]._inactive = true;\n activateChildComponent(queue[i], true /* true */);\n }\n}\n\n/**\n * Push a watcher into the watcher queue.\n * Jobs with duplicate IDs will be skipped unless it's\n * pushed when the queue is being flushed.\n */\nfunction queueWatcher (watcher) {\n var id = watcher.id;\n if (has[id] == null) {\n has[id] = true;\n if (!flushing) {\n queue.push(watcher);\n } else {\n // if already flushing, splice the watcher based on its id\n // if already past its id, it will be run next immediately.\n var i = queue.length - 1;\n while (i > index && queue[i].id > watcher.id) {\n i--;\n }\n queue.splice(i + 1, 0, watcher);\n }\n // queue the flush\n if (!waiting) {\n waiting = true;\n\n if (process.env.NODE_ENV !== 'production' && !config.async) {\n flushSchedulerQueue();\n return\n }\n nextTick(flushSchedulerQueue);\n }\n }\n}\n\n/* */\n\n\n\nvar uid$2 = 0;\n\n/**\n * A watcher parses an expression, collects dependencies,\n * and fires callback when the expression value changes.\n * This is used for both the $watch() api and directives.\n */\nvar Watcher = function Watcher (\n vm,\n expOrFn,\n cb,\n options,\n isRenderWatcher\n) {\n this.vm = vm;\n if (isRenderWatcher) {\n vm._watcher = this;\n }\n vm._watchers.push(this);\n // options\n if (options) {\n this.deep = !!options.deep;\n this.user = !!options.user;\n this.lazy = !!options.lazy;\n this.sync = !!options.sync;\n this.before = options.before;\n } else {\n this.deep = this.user = this.lazy = this.sync = false;\n }\n this.cb = cb;\n this.id = ++uid$2; // uid for batching\n this.active = true;\n this.dirty = this.lazy; // for lazy watchers\n this.deps = [];\n this.newDeps = [];\n this.depIds = new _Set();\n this.newDepIds = new _Set();\n this.expression = process.env.NODE_ENV !== 'production'\n ? expOrFn.toString()\n : '';\n // parse expression for getter\n if (typeof expOrFn === 'function') {\n this.getter = expOrFn;\n } else {\n this.getter = parsePath(expOrFn);\n if (!this.getter) {\n this.getter = noop;\n process.env.NODE_ENV !== 'production' && warn(\n \"Failed watching path: \\\"\" + expOrFn + \"\\\" \" +\n 'Watcher only accepts simple dot-delimited paths. ' +\n 'For full control, use a function instead.',\n vm\n );\n }\n }\n this.value = this.lazy\n ? undefined\n : this.get();\n};\n\n/**\n * Evaluate the getter, and re-collect dependencies.\n */\nWatcher.prototype.get = function get () {\n pushTarget(this);\n var value;\n var vm = this.vm;\n try {\n value = this.getter.call(vm, vm);\n } catch (e) {\n if (this.user) {\n handleError(e, vm, (\"getter for watcher \\\"\" + (this.expression) + \"\\\"\"));\n } else {\n throw e\n }\n } finally {\n // \"touch\" every property so they are all tracked as\n // dependencies for deep watching\n if (this.deep) {\n traverse(value);\n }\n popTarget();\n this.cleanupDeps();\n }\n return value\n};\n\n/**\n * Add a dependency to this directive.\n */\nWatcher.prototype.addDep = function addDep (dep) {\n var id = dep.id;\n if (!this.newDepIds.has(id)) {\n this.newDepIds.add(id);\n this.newDeps.push(dep);\n if (!this.depIds.has(id)) {\n dep.addSub(this);\n }\n }\n};\n\n/**\n * Clean up for dependency collection.\n */\nWatcher.prototype.cleanupDeps = function cleanupDeps () {\n var i = this.deps.length;\n while (i--) {\n var dep = this.deps[i];\n if (!this.newDepIds.has(dep.id)) {\n dep.removeSub(this);\n }\n }\n var tmp = this.depIds;\n this.depIds = this.newDepIds;\n this.newDepIds = tmp;\n this.newDepIds.clear();\n tmp = this.deps;\n this.deps = this.newDeps;\n this.newDeps = tmp;\n this.newDeps.length = 0;\n};\n\n/**\n * Subscriber interface.\n * Will be called when a dependency changes.\n */\nWatcher.prototype.update = function update () {\n /* istanbul ignore else */\n if (this.lazy) {\n this.dirty = true;\n } else if (this.sync) {\n this.run();\n } else {\n queueWatcher(this);\n }\n};\n\n/**\n * Scheduler job interface.\n * Will be called by the scheduler.\n */\nWatcher.prototype.run = function run () {\n if (this.active) {\n var value = this.get();\n if (\n value !== this.value ||\n // Deep watchers and watchers on Object/Arrays should fire even\n // when the value is the same, because the value may\n // have mutated.\n isObject(value) ||\n this.deep\n ) {\n // set new value\n var oldValue = this.value;\n this.value = value;\n if (this.user) {\n try {\n this.cb.call(this.vm, value, oldValue);\n } catch (e) {\n handleError(e, this.vm, (\"callback for watcher \\\"\" + (this.expression) + \"\\\"\"));\n }\n } else {\n this.cb.call(this.vm, value, oldValue);\n }\n }\n }\n};\n\n/**\n * Evaluate the value of the watcher.\n * This only gets called for lazy watchers.\n */\nWatcher.prototype.evaluate = function evaluate () {\n this.value = this.get();\n this.dirty = false;\n};\n\n/**\n * Depend on all deps collected by this watcher.\n */\nWatcher.prototype.depend = function depend () {\n var i = this.deps.length;\n while (i--) {\n this.deps[i].depend();\n }\n};\n\n/**\n * Remove self from all dependencies' subscriber list.\n */\nWatcher.prototype.teardown = function teardown () {\n if (this.active) {\n // remove self from vm's watcher list\n // this is a somewhat expensive operation so we skip it\n // if the vm is being destroyed.\n if (!this.vm._isBeingDestroyed) {\n remove(this.vm._watchers, this);\n }\n var i = this.deps.length;\n while (i--) {\n this.deps[i].removeSub(this);\n }\n this.active = false;\n }\n};\n\n/* */\n\nvar sharedPropertyDefinition = {\n enumerable: true,\n configurable: true,\n get: noop,\n set: noop\n};\n\nfunction proxy (target, sourceKey, key) {\n sharedPropertyDefinition.get = function proxyGetter () {\n return this[sourceKey][key]\n };\n sharedPropertyDefinition.set = function proxySetter (val) {\n this[sourceKey][key] = val;\n };\n Object.defineProperty(target, key, sharedPropertyDefinition);\n}\n\nfunction initState (vm) {\n vm._watchers = [];\n var opts = vm.$options;\n if (opts.props) { initProps(vm, opts.props); }\n if (opts.methods) { initMethods(vm, opts.methods); }\n if (opts.data) {\n initData(vm);\n } else {\n observe(vm._data = {}, true /* asRootData */);\n }\n if (opts.computed) { initComputed(vm, opts.computed); }\n if (opts.watch && opts.watch !== nativeWatch) {\n initWatch(vm, opts.watch);\n }\n}\n\nfunction initProps (vm, propsOptions) {\n var propsData = vm.$options.propsData || {};\n var props = vm._props = {};\n // cache prop keys so that future props updates can iterate using Array\n // instead of dynamic object key enumeration.\n var keys = vm.$options._propKeys = [];\n var isRoot = !vm.$parent;\n // root instance props should be converted\n if (!isRoot) {\n toggleObserving(false);\n }\n var loop = function ( key ) {\n keys.push(key);\n var value = validateProp(key, propsOptions, propsData, vm);\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n var hyphenatedKey = hyphenate(key);\n if (isReservedAttribute(hyphenatedKey) ||\n config.isReservedAttr(hyphenatedKey)) {\n warn(\n (\"\\\"\" + hyphenatedKey + \"\\\" is a reserved attribute and cannot be used as component prop.\"),\n vm\n );\n }\n defineReactive$$1(props, key, value, function () {\n if (!isRoot && !isUpdatingChildComponent) {\n warn(\n \"Avoid mutating a prop directly since the value will be \" +\n \"overwritten whenever the parent component re-renders. \" +\n \"Instead, use a data or computed property based on the prop's \" +\n \"value. Prop being mutated: \\\"\" + key + \"\\\"\",\n vm\n );\n }\n });\n } else {\n defineReactive$$1(props, key, value);\n }\n // static props are already proxied on the component's prototype\n // during Vue.extend(). We only need to proxy props defined at\n // instantiation here.\n if (!(key in vm)) {\n proxy(vm, \"_props\", key);\n }\n };\n\n for (var key in propsOptions) loop( key );\n toggleObserving(true);\n}\n\nfunction initData (vm) {\n var data = vm.$options.data;\n data = vm._data = typeof data === 'function'\n ? getData(data, vm)\n : data || {};\n if (!isPlainObject(data)) {\n data = {};\n process.env.NODE_ENV !== 'production' && warn(\n 'data functions should return an object:\\n' +\n 'https://vuejs.org/v2/guide/components.html#data-Must-Be-a-Function',\n vm\n );\n }\n // proxy data on instance\n var keys = Object.keys(data);\n var props = vm.$options.props;\n var methods = vm.$options.methods;\n var i = keys.length;\n while (i--) {\n var key = keys[i];\n if (process.env.NODE_ENV !== 'production') {\n if (methods && hasOwn(methods, key)) {\n warn(\n (\"Method \\\"\" + key + \"\\\" has already been defined as a data property.\"),\n vm\n );\n }\n }\n if (props && hasOwn(props, key)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"The data property \\\"\" + key + \"\\\" is already declared as a prop. \" +\n \"Use prop default value instead.\",\n vm\n );\n } else if (!isReserved(key)) {\n proxy(vm, \"_data\", key);\n }\n }\n // observe data\n observe(data, true /* asRootData */);\n}\n\nfunction getData (data, vm) {\n // #7573 disable dep collection when invoking data getters\n pushTarget();\n try {\n return data.call(vm, vm)\n } catch (e) {\n handleError(e, vm, \"data()\");\n return {}\n } finally {\n popTarget();\n }\n}\n\nvar computedWatcherOptions = { lazy: true };\n\nfunction initComputed (vm, computed) {\n // $flow-disable-line\n var watchers = vm._computedWatchers = Object.create(null);\n // computed properties are just getters during SSR\n var isSSR = isServerRendering();\n\n for (var key in computed) {\n var userDef = computed[key];\n var getter = typeof userDef === 'function' ? userDef : userDef.get;\n if (process.env.NODE_ENV !== 'production' && getter == null) {\n warn(\n (\"Getter is missing for computed property \\\"\" + key + \"\\\".\"),\n vm\n );\n }\n\n if (!isSSR) {\n // create internal watcher for the computed property.\n watchers[key] = new Watcher(\n vm,\n getter || noop,\n noop,\n computedWatcherOptions\n );\n }\n\n // component-defined computed properties are already defined on the\n // component prototype. We only need to define computed properties defined\n // at instantiation here.\n if (!(key in vm)) {\n defineComputed(vm, key, userDef);\n } else if (process.env.NODE_ENV !== 'production') {\n if (key in vm.$data) {\n warn((\"The computed property \\\"\" + key + \"\\\" is already defined in data.\"), vm);\n } else if (vm.$options.props && key in vm.$options.props) {\n warn((\"The computed property \\\"\" + key + \"\\\" is already defined as a prop.\"), vm);\n }\n }\n }\n}\n\nfunction defineComputed (\n target,\n key,\n userDef\n) {\n var shouldCache = !isServerRendering();\n if (typeof userDef === 'function') {\n sharedPropertyDefinition.get = shouldCache\n ? createComputedGetter(key)\n : createGetterInvoker(userDef);\n sharedPropertyDefinition.set = noop;\n } else {\n sharedPropertyDefinition.get = userDef.get\n ? shouldCache && userDef.cache !== false\n ? createComputedGetter(key)\n : createGetterInvoker(userDef.get)\n : noop;\n sharedPropertyDefinition.set = userDef.set || noop;\n }\n if (process.env.NODE_ENV !== 'production' &&\n sharedPropertyDefinition.set === noop) {\n sharedPropertyDefinition.set = function () {\n warn(\n (\"Computed property \\\"\" + key + \"\\\" was assigned to but it has no setter.\"),\n this\n );\n };\n }\n Object.defineProperty(target, key, sharedPropertyDefinition);\n}\n\nfunction createComputedGetter (key) {\n return function computedGetter () {\n var watcher = this._computedWatchers && this._computedWatchers[key];\n if (watcher) {\n if (watcher.dirty) {\n watcher.evaluate();\n }\n if (Dep.target) {\n watcher.depend();\n }\n return watcher.value\n }\n }\n}\n\nfunction createGetterInvoker(fn) {\n return function computedGetter () {\n return fn.call(this, this)\n }\n}\n\nfunction initMethods (vm, methods) {\n var props = vm.$options.props;\n for (var key in methods) {\n if (process.env.NODE_ENV !== 'production') {\n if (typeof methods[key] !== 'function') {\n warn(\n \"Method \\\"\" + key + \"\\\" has type \\\"\" + (typeof methods[key]) + \"\\\" in the component definition. \" +\n \"Did you reference the function correctly?\",\n vm\n );\n }\n if (props && hasOwn(props, key)) {\n warn(\n (\"Method \\\"\" + key + \"\\\" has already been defined as a prop.\"),\n vm\n );\n }\n if ((key in vm) && isReserved(key)) {\n warn(\n \"Method \\\"\" + key + \"\\\" conflicts with an existing Vue instance method. \" +\n \"Avoid defining component methods that start with _ or $.\"\n );\n }\n }\n vm[key] = typeof methods[key] !== 'function' ? noop : bind(methods[key], vm);\n }\n}\n\nfunction initWatch (vm, watch) {\n for (var key in watch) {\n var handler = watch[key];\n if (Array.isArray(handler)) {\n for (var i = 0; i < handler.length; i++) {\n createWatcher(vm, key, handler[i]);\n }\n } else {\n createWatcher(vm, key, handler);\n }\n }\n}\n\nfunction createWatcher (\n vm,\n expOrFn,\n handler,\n options\n) {\n if (isPlainObject(handler)) {\n options = handler;\n handler = handler.handler;\n }\n if (typeof handler === 'string') {\n handler = vm[handler];\n }\n return vm.$watch(expOrFn, handler, options)\n}\n\nfunction stateMixin (Vue) {\n // flow somehow has problems with directly declared definition object\n // when using Object.defineProperty, so we have to procedurally build up\n // the object here.\n var dataDef = {};\n dataDef.get = function () { return this._data };\n var propsDef = {};\n propsDef.get = function () { return this._props };\n if (process.env.NODE_ENV !== 'production') {\n dataDef.set = function () {\n warn(\n 'Avoid replacing instance root $data. ' +\n 'Use nested data properties instead.',\n this\n );\n };\n propsDef.set = function () {\n warn(\"$props is readonly.\", this);\n };\n }\n Object.defineProperty(Vue.prototype, '$data', dataDef);\n Object.defineProperty(Vue.prototype, '$props', propsDef);\n\n Vue.prototype.$set = set;\n Vue.prototype.$delete = del;\n\n Vue.prototype.$watch = function (\n expOrFn,\n cb,\n options\n ) {\n var vm = this;\n if (isPlainObject(cb)) {\n return createWatcher(vm, expOrFn, cb, options)\n }\n options = options || {};\n options.user = true;\n var watcher = new Watcher(vm, expOrFn, cb, options);\n if (options.immediate) {\n try {\n cb.call(vm, watcher.value);\n } catch (error) {\n handleError(error, vm, (\"callback for immediate watcher \\\"\" + (watcher.expression) + \"\\\"\"));\n }\n }\n return function unwatchFn () {\n watcher.teardown();\n }\n };\n}\n\n/* */\n\nvar uid$3 = 0;\n\nfunction initMixin (Vue) {\n Vue.prototype._init = function (options) {\n var vm = this;\n // a uid\n vm._uid = uid$3++;\n\n var startTag, endTag;\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n startTag = \"vue-perf-start:\" + (vm._uid);\n endTag = \"vue-perf-end:\" + (vm._uid);\n mark(startTag);\n }\n\n // a flag to avoid this being observed\n vm._isVue = true;\n // merge options\n if (options && options._isComponent) {\n // optimize internal component instantiation\n // since dynamic options merging is pretty slow, and none of the\n // internal component options needs special treatment.\n initInternalComponent(vm, options);\n } else {\n vm.$options = mergeOptions(\n resolveConstructorOptions(vm.constructor),\n options || {},\n vm\n );\n }\n /* istanbul ignore else */\n if (process.env.NODE_ENV !== 'production') {\n initProxy(vm);\n } else {\n vm._renderProxy = vm;\n }\n // expose real self\n vm._self = vm;\n initLifecycle(vm);\n initEvents(vm);\n initRender(vm);\n callHook(vm, 'beforeCreate');\n initInjections(vm); // resolve injections before data/props\n initState(vm);\n initProvide(vm); // resolve provide after data/props\n callHook(vm, 'created');\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n vm._name = formatComponentName(vm, false);\n mark(endTag);\n measure((\"vue \" + (vm._name) + \" init\"), startTag, endTag);\n }\n\n if (vm.$options.el) {\n vm.$mount(vm.$options.el);\n }\n };\n}\n\nfunction initInternalComponent (vm, options) {\n var opts = vm.$options = Object.create(vm.constructor.options);\n // doing this because it's faster than dynamic enumeration.\n var parentVnode = options._parentVnode;\n opts.parent = options.parent;\n opts._parentVnode = parentVnode;\n\n var vnodeComponentOptions = parentVnode.componentOptions;\n opts.propsData = vnodeComponentOptions.propsData;\n opts._parentListeners = vnodeComponentOptions.listeners;\n opts._renderChildren = vnodeComponentOptions.children;\n opts._componentTag = vnodeComponentOptions.tag;\n\n if (options.render) {\n opts.render = options.render;\n opts.staticRenderFns = options.staticRenderFns;\n }\n}\n\nfunction resolveConstructorOptions (Ctor) {\n var options = Ctor.options;\n if (Ctor.super) {\n var superOptions = resolveConstructorOptions(Ctor.super);\n var cachedSuperOptions = Ctor.superOptions;\n if (superOptions !== cachedSuperOptions) {\n // super option changed,\n // need to resolve new options.\n Ctor.superOptions = superOptions;\n // check if there are any late-modified/attached options (#4976)\n var modifiedOptions = resolveModifiedOptions(Ctor);\n // update base extend options\n if (modifiedOptions) {\n extend(Ctor.extendOptions, modifiedOptions);\n }\n options = Ctor.options = mergeOptions(superOptions, Ctor.extendOptions);\n if (options.name) {\n options.components[options.name] = Ctor;\n }\n }\n }\n return options\n}\n\nfunction resolveModifiedOptions (Ctor) {\n var modified;\n var latest = Ctor.options;\n var sealed = Ctor.sealedOptions;\n for (var key in latest) {\n if (latest[key] !== sealed[key]) {\n if (!modified) { modified = {}; }\n modified[key] = latest[key];\n }\n }\n return modified\n}\n\nfunction Vue (options) {\n if (process.env.NODE_ENV !== 'production' &&\n !(this instanceof Vue)\n ) {\n warn('Vue is a constructor and should be called with the `new` keyword');\n }\n this._init(options);\n}\n\ninitMixin(Vue);\nstateMixin(Vue);\neventsMixin(Vue);\nlifecycleMixin(Vue);\nrenderMixin(Vue);\n\n/* */\n\nfunction initUse (Vue) {\n Vue.use = function (plugin) {\n var installedPlugins = (this._installedPlugins || (this._installedPlugins = []));\n if (installedPlugins.indexOf(plugin) > -1) {\n return this\n }\n\n // additional parameters\n var args = toArray(arguments, 1);\n args.unshift(this);\n if (typeof plugin.install === 'function') {\n plugin.install.apply(plugin, args);\n } else if (typeof plugin === 'function') {\n plugin.apply(null, args);\n }\n installedPlugins.push(plugin);\n return this\n };\n}\n\n/* */\n\nfunction initMixin$1 (Vue) {\n Vue.mixin = function (mixin) {\n this.options = mergeOptions(this.options, mixin);\n return this\n };\n}\n\n/* */\n\nfunction initExtend (Vue) {\n /**\n * Each instance constructor, including Vue, has a unique\n * cid. This enables us to create wrapped \"child\n * constructors\" for prototypal inheritance and cache them.\n */\n Vue.cid = 0;\n var cid = 1;\n\n /**\n * Class inheritance\n */\n Vue.extend = function (extendOptions) {\n extendOptions = extendOptions || {};\n var Super = this;\n var SuperId = Super.cid;\n var cachedCtors = extendOptions._Ctor || (extendOptions._Ctor = {});\n if (cachedCtors[SuperId]) {\n return cachedCtors[SuperId]\n }\n\n var name = extendOptions.name || Super.options.name;\n if (process.env.NODE_ENV !== 'production' && name) {\n validateComponentName(name);\n }\n\n var Sub = function VueComponent (options) {\n this._init(options);\n };\n Sub.prototype = Object.create(Super.prototype);\n Sub.prototype.constructor = Sub;\n Sub.cid = cid++;\n Sub.options = mergeOptions(\n Super.options,\n extendOptions\n );\n Sub['super'] = Super;\n\n // For props and computed properties, we define the proxy getters on\n // the Vue instances at extension time, on the extended prototype. This\n // avoids Object.defineProperty calls for each instance created.\n if (Sub.options.props) {\n initProps$1(Sub);\n }\n if (Sub.options.computed) {\n initComputed$1(Sub);\n }\n\n // allow further extension/mixin/plugin usage\n Sub.extend = Super.extend;\n Sub.mixin = Super.mixin;\n Sub.use = Super.use;\n\n // create asset registers, so extended classes\n // can have their private assets too.\n ASSET_TYPES.forEach(function (type) {\n Sub[type] = Super[type];\n });\n // enable recursive self-lookup\n if (name) {\n Sub.options.components[name] = Sub;\n }\n\n // keep a reference to the super options at extension time.\n // later at instantiation we can check if Super's options have\n // been updated.\n Sub.superOptions = Super.options;\n Sub.extendOptions = extendOptions;\n Sub.sealedOptions = extend({}, Sub.options);\n\n // cache constructor\n cachedCtors[SuperId] = Sub;\n return Sub\n };\n}\n\nfunction initProps$1 (Comp) {\n var props = Comp.options.props;\n for (var key in props) {\n proxy(Comp.prototype, \"_props\", key);\n }\n}\n\nfunction initComputed$1 (Comp) {\n var computed = Comp.options.computed;\n for (var key in computed) {\n defineComputed(Comp.prototype, key, computed[key]);\n }\n}\n\n/* */\n\nfunction initAssetRegisters (Vue) {\n /**\n * Create asset registration methods.\n */\n ASSET_TYPES.forEach(function (type) {\n Vue[type] = function (\n id,\n definition\n ) {\n if (!definition) {\n return this.options[type + 's'][id]\n } else {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && type === 'component') {\n validateComponentName(id);\n }\n if (type === 'component' && isPlainObject(definition)) {\n definition.name = definition.name || id;\n definition = this.options._base.extend(definition);\n }\n if (type === 'directive' && typeof definition === 'function') {\n definition = { bind: definition, update: definition };\n }\n this.options[type + 's'][id] = definition;\n return definition\n }\n };\n });\n}\n\n/* */\n\n\n\nfunction getComponentName (opts) {\n return opts && (opts.Ctor.options.name || opts.tag)\n}\n\nfunction matches (pattern, name) {\n if (Array.isArray(pattern)) {\n return pattern.indexOf(name) > -1\n } else if (typeof pattern === 'string') {\n return pattern.split(',').indexOf(name) > -1\n } else if (isRegExp(pattern)) {\n return pattern.test(name)\n }\n /* istanbul ignore next */\n return false\n}\n\nfunction pruneCache (keepAliveInstance, filter) {\n var cache = keepAliveInstance.cache;\n var keys = keepAliveInstance.keys;\n var _vnode = keepAliveInstance._vnode;\n for (var key in cache) {\n var cachedNode = cache[key];\n if (cachedNode) {\n var name = getComponentName(cachedNode.componentOptions);\n if (name && !filter(name)) {\n pruneCacheEntry(cache, key, keys, _vnode);\n }\n }\n }\n}\n\nfunction pruneCacheEntry (\n cache,\n key,\n keys,\n current\n) {\n var cached$$1 = cache[key];\n if (cached$$1 && (!current || cached$$1.tag !== current.tag)) {\n cached$$1.componentInstance.$destroy();\n }\n cache[key] = null;\n remove(keys, key);\n}\n\nvar patternTypes = [String, RegExp, Array];\n\nvar KeepAlive = {\n name: 'keep-alive',\n abstract: true,\n\n props: {\n include: patternTypes,\n exclude: patternTypes,\n max: [String, Number]\n },\n\n created: function created () {\n this.cache = Object.create(null);\n this.keys = [];\n },\n\n destroyed: function destroyed () {\n for (var key in this.cache) {\n pruneCacheEntry(this.cache, key, this.keys);\n }\n },\n\n mounted: function mounted () {\n var this$1 = this;\n\n this.$watch('include', function (val) {\n pruneCache(this$1, function (name) { return matches(val, name); });\n });\n this.$watch('exclude', function (val) {\n pruneCache(this$1, function (name) { return !matches(val, name); });\n });\n },\n\n render: function render () {\n var slot = this.$slots.default;\n var vnode = getFirstComponentChild(slot);\n var componentOptions = vnode && vnode.componentOptions;\n if (componentOptions) {\n // check pattern\n var name = getComponentName(componentOptions);\n var ref = this;\n var include = ref.include;\n var exclude = ref.exclude;\n if (\n // not included\n (include && (!name || !matches(include, name))) ||\n // excluded\n (exclude && name && matches(exclude, name))\n ) {\n return vnode\n }\n\n var ref$1 = this;\n var cache = ref$1.cache;\n var keys = ref$1.keys;\n var key = vnode.key == null\n // same constructor may get registered as different local components\n // so cid alone is not enough (#3269)\n ? componentOptions.Ctor.cid + (componentOptions.tag ? (\"::\" + (componentOptions.tag)) : '')\n : vnode.key;\n if (cache[key]) {\n vnode.componentInstance = cache[key].componentInstance;\n // make current key freshest\n remove(keys, key);\n keys.push(key);\n } else {\n cache[key] = vnode;\n keys.push(key);\n // prune oldest entry\n if (this.max && keys.length > parseInt(this.max)) {\n pruneCacheEntry(cache, keys[0], keys, this._vnode);\n }\n }\n\n vnode.data.keepAlive = true;\n }\n return vnode || (slot && slot[0])\n }\n};\n\nvar builtInComponents = {\n KeepAlive: KeepAlive\n};\n\n/* */\n\nfunction initGlobalAPI (Vue) {\n // config\n var configDef = {};\n configDef.get = function () { return config; };\n if (process.env.NODE_ENV !== 'production') {\n configDef.set = function () {\n warn(\n 'Do not replace the Vue.config object, set individual fields instead.'\n );\n };\n }\n Object.defineProperty(Vue, 'config', configDef);\n\n // exposed util methods.\n // NOTE: these are not considered part of the public API - avoid relying on\n // them unless you are aware of the risk.\n Vue.util = {\n warn: warn,\n extend: extend,\n mergeOptions: mergeOptions,\n defineReactive: defineReactive$$1\n };\n\n Vue.set = set;\n Vue.delete = del;\n Vue.nextTick = nextTick;\n\n // 2.6 explicit observable API\n Vue.observable = function (obj) {\n observe(obj);\n return obj\n };\n\n Vue.options = Object.create(null);\n ASSET_TYPES.forEach(function (type) {\n Vue.options[type + 's'] = Object.create(null);\n });\n\n // this is used to identify the \"base\" constructor to extend all plain-object\n // components with in Weex's multi-instance scenarios.\n Vue.options._base = Vue;\n\n extend(Vue.options.components, builtInComponents);\n\n initUse(Vue);\n initMixin$1(Vue);\n initExtend(Vue);\n initAssetRegisters(Vue);\n}\n\ninitGlobalAPI(Vue);\n\nObject.defineProperty(Vue.prototype, '$isServer', {\n get: isServerRendering\n});\n\nObject.defineProperty(Vue.prototype, '$ssrContext', {\n get: function get () {\n /* istanbul ignore next */\n return this.$vnode && this.$vnode.ssrContext\n }\n});\n\n// expose FunctionalRenderContext for ssr runtime helper installation\nObject.defineProperty(Vue, 'FunctionalRenderContext', {\n value: FunctionalRenderContext\n});\n\nVue.version = '2.6.11';\n\n/* */\n\n// these are reserved for web because they are directly compiled away\n// during template compilation\nvar isReservedAttr = makeMap('style,class');\n\n// attributes that should be using props for binding\nvar acceptValue = makeMap('input,textarea,option,select,progress');\nvar mustUseProp = function (tag, type, attr) {\n return (\n (attr === 'value' && acceptValue(tag)) && type !== 'button' ||\n (attr === 'selected' && tag === 'option') ||\n (attr === 'checked' && tag === 'input') ||\n (attr === 'muted' && tag === 'video')\n )\n};\n\nvar isEnumeratedAttr = makeMap('contenteditable,draggable,spellcheck');\n\nvar isValidContentEditableValue = makeMap('events,caret,typing,plaintext-only');\n\nvar convertEnumeratedValue = function (key, value) {\n return isFalsyAttrValue(value) || value === 'false'\n ? 'false'\n // allow arbitrary string value for contenteditable\n : key === 'contenteditable' && isValidContentEditableValue(value)\n ? value\n : 'true'\n};\n\nvar isBooleanAttr = makeMap(\n 'allowfullscreen,async,autofocus,autoplay,checked,compact,controls,declare,' +\n 'default,defaultchecked,defaultmuted,defaultselected,defer,disabled,' +\n 'enabled,formnovalidate,hidden,indeterminate,inert,ismap,itemscope,loop,multiple,' +\n 'muted,nohref,noresize,noshade,novalidate,nowrap,open,pauseonexit,readonly,' +\n 'required,reversed,scoped,seamless,selected,sortable,translate,' +\n 'truespeed,typemustmatch,visible'\n);\n\nvar xlinkNS = 'http://www.w3.org/1999/xlink';\n\nvar isXlink = function (name) {\n return name.charAt(5) === ':' && name.slice(0, 5) === 'xlink'\n};\n\nvar getXlinkProp = function (name) {\n return isXlink(name) ? name.slice(6, name.length) : ''\n};\n\nvar isFalsyAttrValue = function (val) {\n return val == null || val === false\n};\n\n/* */\n\nfunction genClassForVnode (vnode) {\n var data = vnode.data;\n var parentNode = vnode;\n var childNode = vnode;\n while (isDef(childNode.componentInstance)) {\n childNode = childNode.componentInstance._vnode;\n if (childNode && childNode.data) {\n data = mergeClassData(childNode.data, data);\n }\n }\n while (isDef(parentNode = parentNode.parent)) {\n if (parentNode && parentNode.data) {\n data = mergeClassData(data, parentNode.data);\n }\n }\n return renderClass(data.staticClass, data.class)\n}\n\nfunction mergeClassData (child, parent) {\n return {\n staticClass: concat(child.staticClass, parent.staticClass),\n class: isDef(child.class)\n ? [child.class, parent.class]\n : parent.class\n }\n}\n\nfunction renderClass (\n staticClass,\n dynamicClass\n) {\n if (isDef(staticClass) || isDef(dynamicClass)) {\n return concat(staticClass, stringifyClass(dynamicClass))\n }\n /* istanbul ignore next */\n return ''\n}\n\nfunction concat (a, b) {\n return a ? b ? (a + ' ' + b) : a : (b || '')\n}\n\nfunction stringifyClass (value) {\n if (Array.isArray(value)) {\n return stringifyArray(value)\n }\n if (isObject(value)) {\n return stringifyObject(value)\n }\n if (typeof value === 'string') {\n return value\n }\n /* istanbul ignore next */\n return ''\n}\n\nfunction stringifyArray (value) {\n var res = '';\n var stringified;\n for (var i = 0, l = value.length; i < l; i++) {\n if (isDef(stringified = stringifyClass(value[i])) && stringified !== '') {\n if (res) { res += ' '; }\n res += stringified;\n }\n }\n return res\n}\n\nfunction stringifyObject (value) {\n var res = '';\n for (var key in value) {\n if (value[key]) {\n if (res) { res += ' '; }\n res += key;\n }\n }\n return res\n}\n\n/* */\n\nvar namespaceMap = {\n svg: 'http://www.w3.org/2000/svg',\n math: 'http://www.w3.org/1998/Math/MathML'\n};\n\nvar isHTMLTag = makeMap(\n 'html,body,base,head,link,meta,style,title,' +\n 'address,article,aside,footer,header,h1,h2,h3,h4,h5,h6,hgroup,nav,section,' +\n 'div,dd,dl,dt,figcaption,figure,picture,hr,img,li,main,ol,p,pre,ul,' +\n 'a,b,abbr,bdi,bdo,br,cite,code,data,dfn,em,i,kbd,mark,q,rp,rt,rtc,ruby,' +\n 's,samp,small,span,strong,sub,sup,time,u,var,wbr,area,audio,map,track,video,' +\n 'embed,object,param,source,canvas,script,noscript,del,ins,' +\n 'caption,col,colgroup,table,thead,tbody,td,th,tr,' +\n 'button,datalist,fieldset,form,input,label,legend,meter,optgroup,option,' +\n 'output,progress,select,textarea,' +\n 'details,dialog,menu,menuitem,summary,' +\n 'content,element,shadow,template,blockquote,iframe,tfoot'\n);\n\n// this map is intentionally selective, only covering SVG elements that may\n// contain child elements.\nvar isSVG = makeMap(\n 'svg,animate,circle,clippath,cursor,defs,desc,ellipse,filter,font-face,' +\n 'foreignObject,g,glyph,image,line,marker,mask,missing-glyph,path,pattern,' +\n 'polygon,polyline,rect,switch,symbol,text,textpath,tspan,use,view',\n true\n);\n\nvar isPreTag = function (tag) { return tag === 'pre'; };\n\nvar isReservedTag = function (tag) {\n return isHTMLTag(tag) || isSVG(tag)\n};\n\nfunction getTagNamespace (tag) {\n if (isSVG(tag)) {\n return 'svg'\n }\n // basic support for MathML\n // note it doesn't support other MathML elements being component roots\n if (tag === 'math') {\n return 'math'\n }\n}\n\nvar unknownElementCache = Object.create(null);\nfunction isUnknownElement (tag) {\n /* istanbul ignore if */\n if (!inBrowser) {\n return true\n }\n if (isReservedTag(tag)) {\n return false\n }\n tag = tag.toLowerCase();\n /* istanbul ignore if */\n if (unknownElementCache[tag] != null) {\n return unknownElementCache[tag]\n }\n var el = document.createElement(tag);\n if (tag.indexOf('-') > -1) {\n // http://stackoverflow.com/a/28210364/1070244\n return (unknownElementCache[tag] = (\n el.constructor === window.HTMLUnknownElement ||\n el.constructor === window.HTMLElement\n ))\n } else {\n return (unknownElementCache[tag] = /HTMLUnknownElement/.test(el.toString()))\n }\n}\n\nvar isTextInputType = makeMap('text,number,password,search,email,tel,url');\n\n/* */\n\n/**\n * Query an element selector if it's not an element already.\n */\nfunction query (el) {\n if (typeof el === 'string') {\n var selected = document.querySelector(el);\n if (!selected) {\n process.env.NODE_ENV !== 'production' && warn(\n 'Cannot find element: ' + el\n );\n return document.createElement('div')\n }\n return selected\n } else {\n return el\n }\n}\n\n/* */\n\nfunction createElement$1 (tagName, vnode) {\n var elm = document.createElement(tagName);\n if (tagName !== 'select') {\n return elm\n }\n // false or null will remove the attribute but undefined will not\n if (vnode.data && vnode.data.attrs && vnode.data.attrs.multiple !== undefined) {\n elm.setAttribute('multiple', 'multiple');\n }\n return elm\n}\n\nfunction createElementNS (namespace, tagName) {\n return document.createElementNS(namespaceMap[namespace], tagName)\n}\n\nfunction createTextNode (text) {\n return document.createTextNode(text)\n}\n\nfunction createComment (text) {\n return document.createComment(text)\n}\n\nfunction insertBefore (parentNode, newNode, referenceNode) {\n parentNode.insertBefore(newNode, referenceNode);\n}\n\nfunction removeChild (node, child) {\n node.removeChild(child);\n}\n\nfunction appendChild (node, child) {\n node.appendChild(child);\n}\n\nfunction parentNode (node) {\n return node.parentNode\n}\n\nfunction nextSibling (node) {\n return node.nextSibling\n}\n\nfunction tagName (node) {\n return node.tagName\n}\n\nfunction setTextContent (node, text) {\n node.textContent = text;\n}\n\nfunction setStyleScope (node, scopeId) {\n node.setAttribute(scopeId, '');\n}\n\nvar nodeOps = /*#__PURE__*/Object.freeze({\n createElement: createElement$1,\n createElementNS: createElementNS,\n createTextNode: createTextNode,\n createComment: createComment,\n insertBefore: insertBefore,\n removeChild: removeChild,\n appendChild: appendChild,\n parentNode: parentNode,\n nextSibling: nextSibling,\n tagName: tagName,\n setTextContent: setTextContent,\n setStyleScope: setStyleScope\n});\n\n/* */\n\nvar ref = {\n create: function create (_, vnode) {\n registerRef(vnode);\n },\n update: function update (oldVnode, vnode) {\n if (oldVnode.data.ref !== vnode.data.ref) {\n registerRef(oldVnode, true);\n registerRef(vnode);\n }\n },\n destroy: function destroy (vnode) {\n registerRef(vnode, true);\n }\n};\n\nfunction registerRef (vnode, isRemoval) {\n var key = vnode.data.ref;\n if (!isDef(key)) { return }\n\n var vm = vnode.context;\n var ref = vnode.componentInstance || vnode.elm;\n var refs = vm.$refs;\n if (isRemoval) {\n if (Array.isArray(refs[key])) {\n remove(refs[key], ref);\n } else if (refs[key] === ref) {\n refs[key] = undefined;\n }\n } else {\n if (vnode.data.refInFor) {\n if (!Array.isArray(refs[key])) {\n refs[key] = [ref];\n } else if (refs[key].indexOf(ref) < 0) {\n // $flow-disable-line\n refs[key].push(ref);\n }\n } else {\n refs[key] = ref;\n }\n }\n}\n\n/**\n * Virtual DOM patching algorithm based on Snabbdom by\n * Simon Friis Vindum (@paldepind)\n * Licensed under the MIT License\n * https://github.com/paldepind/snabbdom/blob/master/LICENSE\n *\n * modified by Evan You (@yyx990803)\n *\n * Not type-checking this because this file is perf-critical and the cost\n * of making flow understand it is not worth it.\n */\n\nvar emptyNode = new VNode('', {}, []);\n\nvar hooks = ['create', 'activate', 'update', 'remove', 'destroy'];\n\nfunction sameVnode (a, b) {\n return (\n a.key === b.key && (\n (\n a.tag === b.tag &&\n a.isComment === b.isComment &&\n isDef(a.data) === isDef(b.data) &&\n sameInputType(a, b)\n ) || (\n isTrue(a.isAsyncPlaceholder) &&\n a.asyncFactory === b.asyncFactory &&\n isUndef(b.asyncFactory.error)\n )\n )\n )\n}\n\nfunction sameInputType (a, b) {\n if (a.tag !== 'input') { return true }\n var i;\n var typeA = isDef(i = a.data) && isDef(i = i.attrs) && i.type;\n var typeB = isDef(i = b.data) && isDef(i = i.attrs) && i.type;\n return typeA === typeB || isTextInputType(typeA) && isTextInputType(typeB)\n}\n\nfunction createKeyToOldIdx (children, beginIdx, endIdx) {\n var i, key;\n var map = {};\n for (i = beginIdx; i <= endIdx; ++i) {\n key = children[i].key;\n if (isDef(key)) { map[key] = i; }\n }\n return map\n}\n\nfunction createPatchFunction (backend) {\n var i, j;\n var cbs = {};\n\n var modules = backend.modules;\n var nodeOps = backend.nodeOps;\n\n for (i = 0; i < hooks.length; ++i) {\n cbs[hooks[i]] = [];\n for (j = 0; j < modules.length; ++j) {\n if (isDef(modules[j][hooks[i]])) {\n cbs[hooks[i]].push(modules[j][hooks[i]]);\n }\n }\n }\n\n function emptyNodeAt (elm) {\n return new VNode(nodeOps.tagName(elm).toLowerCase(), {}, [], undefined, elm)\n }\n\n function createRmCb (childElm, listeners) {\n function remove$$1 () {\n if (--remove$$1.listeners === 0) {\n removeNode(childElm);\n }\n }\n remove$$1.listeners = listeners;\n return remove$$1\n }\n\n function removeNode (el) {\n var parent = nodeOps.parentNode(el);\n // element may have already been removed due to v-html / v-text\n if (isDef(parent)) {\n nodeOps.removeChild(parent, el);\n }\n }\n\n function isUnknownElement$$1 (vnode, inVPre) {\n return (\n !inVPre &&\n !vnode.ns &&\n !(\n config.ignoredElements.length &&\n config.ignoredElements.some(function (ignore) {\n return isRegExp(ignore)\n ? ignore.test(vnode.tag)\n : ignore === vnode.tag\n })\n ) &&\n config.isUnknownElement(vnode.tag)\n )\n }\n\n var creatingElmInVPre = 0;\n\n function createElm (\n vnode,\n insertedVnodeQueue,\n parentElm,\n refElm,\n nested,\n ownerArray,\n index\n ) {\n if (isDef(vnode.elm) && isDef(ownerArray)) {\n // This vnode was used in a previous render!\n // now it's used as a new node, overwriting its elm would cause\n // potential patch errors down the road when it's used as an insertion\n // reference node. Instead, we clone the node on-demand before creating\n // associated DOM element for it.\n vnode = ownerArray[index] = cloneVNode(vnode);\n }\n\n vnode.isRootInsert = !nested; // for transition enter check\n if (createComponent(vnode, insertedVnodeQueue, parentElm, refElm)) {\n return\n }\n\n var data = vnode.data;\n var children = vnode.children;\n var tag = vnode.tag;\n if (isDef(tag)) {\n if (process.env.NODE_ENV !== 'production') {\n if (data && data.pre) {\n creatingElmInVPre++;\n }\n if (isUnknownElement$$1(vnode, creatingElmInVPre)) {\n warn(\n 'Unknown custom element: <' + tag + '> - did you ' +\n 'register the component correctly? For recursive components, ' +\n 'make sure to provide the \"name\" option.',\n vnode.context\n );\n }\n }\n\n vnode.elm = vnode.ns\n ? nodeOps.createElementNS(vnode.ns, tag)\n : nodeOps.createElement(tag, vnode);\n setScope(vnode);\n\n /* istanbul ignore if */\n {\n createChildren(vnode, children, insertedVnodeQueue);\n if (isDef(data)) {\n invokeCreateHooks(vnode, insertedVnodeQueue);\n }\n insert(parentElm, vnode.elm, refElm);\n }\n\n if (process.env.NODE_ENV !== 'production' && data && data.pre) {\n creatingElmInVPre--;\n }\n } else if (isTrue(vnode.isComment)) {\n vnode.elm = nodeOps.createComment(vnode.text);\n insert(parentElm, vnode.elm, refElm);\n } else {\n vnode.elm = nodeOps.createTextNode(vnode.text);\n insert(parentElm, vnode.elm, refElm);\n }\n }\n\n function createComponent (vnode, insertedVnodeQueue, parentElm, refElm) {\n var i = vnode.data;\n if (isDef(i)) {\n var isReactivated = isDef(vnode.componentInstance) && i.keepAlive;\n if (isDef(i = i.hook) && isDef(i = i.init)) {\n i(vnode, false /* hydrating */);\n }\n // after calling the init hook, if the vnode is a child component\n // it should've created a child instance and mounted it. the child\n // component also has set the placeholder vnode's elm.\n // in that case we can just return the element and be done.\n if (isDef(vnode.componentInstance)) {\n initComponent(vnode, insertedVnodeQueue);\n insert(parentElm, vnode.elm, refElm);\n if (isTrue(isReactivated)) {\n reactivateComponent(vnode, insertedVnodeQueue, parentElm, refElm);\n }\n return true\n }\n }\n }\n\n function initComponent (vnode, insertedVnodeQueue) {\n if (isDef(vnode.data.pendingInsert)) {\n insertedVnodeQueue.push.apply(insertedVnodeQueue, vnode.data.pendingInsert);\n vnode.data.pendingInsert = null;\n }\n vnode.elm = vnode.componentInstance.$el;\n if (isPatchable(vnode)) {\n invokeCreateHooks(vnode, insertedVnodeQueue);\n setScope(vnode);\n } else {\n // empty component root.\n // skip all element-related modules except for ref (#3455)\n registerRef(vnode);\n // make sure to invoke the insert hook\n insertedVnodeQueue.push(vnode);\n }\n }\n\n function reactivateComponent (vnode, insertedVnodeQueue, parentElm, refElm) {\n var i;\n // hack for #4339: a reactivated component with inner transition\n // does not trigger because the inner node's created hooks are not called\n // again. It's not ideal to involve module-specific logic in here but\n // there doesn't seem to be a better way to do it.\n var innerNode = vnode;\n while (innerNode.componentInstance) {\n innerNode = innerNode.componentInstance._vnode;\n if (isDef(i = innerNode.data) && isDef(i = i.transition)) {\n for (i = 0; i < cbs.activate.length; ++i) {\n cbs.activate[i](emptyNode, innerNode);\n }\n insertedVnodeQueue.push(innerNode);\n break\n }\n }\n // unlike a newly created component,\n // a reactivated keep-alive component doesn't insert itself\n insert(parentElm, vnode.elm, refElm);\n }\n\n function insert (parent, elm, ref$$1) {\n if (isDef(parent)) {\n if (isDef(ref$$1)) {\n if (nodeOps.parentNode(ref$$1) === parent) {\n nodeOps.insertBefore(parent, elm, ref$$1);\n }\n } else {\n nodeOps.appendChild(parent, elm);\n }\n }\n }\n\n function createChildren (vnode, children, insertedVnodeQueue) {\n if (Array.isArray(children)) {\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(children);\n }\n for (var i = 0; i < children.length; ++i) {\n createElm(children[i], insertedVnodeQueue, vnode.elm, null, true, children, i);\n }\n } else if (isPrimitive(vnode.text)) {\n nodeOps.appendChild(vnode.elm, nodeOps.createTextNode(String(vnode.text)));\n }\n }\n\n function isPatchable (vnode) {\n while (vnode.componentInstance) {\n vnode = vnode.componentInstance._vnode;\n }\n return isDef(vnode.tag)\n }\n\n function invokeCreateHooks (vnode, insertedVnodeQueue) {\n for (var i$1 = 0; i$1 < cbs.create.length; ++i$1) {\n cbs.create[i$1](emptyNode, vnode);\n }\n i = vnode.data.hook; // Reuse variable\n if (isDef(i)) {\n if (isDef(i.create)) { i.create(emptyNode, vnode); }\n if (isDef(i.insert)) { insertedVnodeQueue.push(vnode); }\n }\n }\n\n // set scope id attribute for scoped CSS.\n // this is implemented as a special case to avoid the overhead\n // of going through the normal attribute patching process.\n function setScope (vnode) {\n var i;\n if (isDef(i = vnode.fnScopeId)) {\n nodeOps.setStyleScope(vnode.elm, i);\n } else {\n var ancestor = vnode;\n while (ancestor) {\n if (isDef(i = ancestor.context) && isDef(i = i.$options._scopeId)) {\n nodeOps.setStyleScope(vnode.elm, i);\n }\n ancestor = ancestor.parent;\n }\n }\n // for slot content they should also get the scopeId from the host instance.\n if (isDef(i = activeInstance) &&\n i !== vnode.context &&\n i !== vnode.fnContext &&\n isDef(i = i.$options._scopeId)\n ) {\n nodeOps.setStyleScope(vnode.elm, i);\n }\n }\n\n function addVnodes (parentElm, refElm, vnodes, startIdx, endIdx, insertedVnodeQueue) {\n for (; startIdx <= endIdx; ++startIdx) {\n createElm(vnodes[startIdx], insertedVnodeQueue, parentElm, refElm, false, vnodes, startIdx);\n }\n }\n\n function invokeDestroyHook (vnode) {\n var i, j;\n var data = vnode.data;\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.destroy)) { i(vnode); }\n for (i = 0; i < cbs.destroy.length; ++i) { cbs.destroy[i](vnode); }\n }\n if (isDef(i = vnode.children)) {\n for (j = 0; j < vnode.children.length; ++j) {\n invokeDestroyHook(vnode.children[j]);\n }\n }\n }\n\n function removeVnodes (vnodes, startIdx, endIdx) {\n for (; startIdx <= endIdx; ++startIdx) {\n var ch = vnodes[startIdx];\n if (isDef(ch)) {\n if (isDef(ch.tag)) {\n removeAndInvokeRemoveHook(ch);\n invokeDestroyHook(ch);\n } else { // Text node\n removeNode(ch.elm);\n }\n }\n }\n }\n\n function removeAndInvokeRemoveHook (vnode, rm) {\n if (isDef(rm) || isDef(vnode.data)) {\n var i;\n var listeners = cbs.remove.length + 1;\n if (isDef(rm)) {\n // we have a recursively passed down rm callback\n // increase the listeners count\n rm.listeners += listeners;\n } else {\n // directly removing\n rm = createRmCb(vnode.elm, listeners);\n }\n // recursively invoke hooks on child component root node\n if (isDef(i = vnode.componentInstance) && isDef(i = i._vnode) && isDef(i.data)) {\n removeAndInvokeRemoveHook(i, rm);\n }\n for (i = 0; i < cbs.remove.length; ++i) {\n cbs.remove[i](vnode, rm);\n }\n if (isDef(i = vnode.data.hook) && isDef(i = i.remove)) {\n i(vnode, rm);\n } else {\n rm();\n }\n } else {\n removeNode(vnode.elm);\n }\n }\n\n function updateChildren (parentElm, oldCh, newCh, insertedVnodeQueue, removeOnly) {\n var oldStartIdx = 0;\n var newStartIdx = 0;\n var oldEndIdx = oldCh.length - 1;\n var oldStartVnode = oldCh[0];\n var oldEndVnode = oldCh[oldEndIdx];\n var newEndIdx = newCh.length - 1;\n var newStartVnode = newCh[0];\n var newEndVnode = newCh[newEndIdx];\n var oldKeyToIdx, idxInOld, vnodeToMove, refElm;\n\n // removeOnly is a special flag used only by <transition-group>\n // to ensure removed elements stay in correct relative positions\n // during leaving transitions\n var canMove = !removeOnly;\n\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(newCh);\n }\n\n while (oldStartIdx <= oldEndIdx && newStartIdx <= newEndIdx) {\n if (isUndef(oldStartVnode)) {\n oldStartVnode = oldCh[++oldStartIdx]; // Vnode has been moved left\n } else if (isUndef(oldEndVnode)) {\n oldEndVnode = oldCh[--oldEndIdx];\n } else if (sameVnode(oldStartVnode, newStartVnode)) {\n patchVnode(oldStartVnode, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n oldStartVnode = oldCh[++oldStartIdx];\n newStartVnode = newCh[++newStartIdx];\n } else if (sameVnode(oldEndVnode, newEndVnode)) {\n patchVnode(oldEndVnode, newEndVnode, insertedVnodeQueue, newCh, newEndIdx);\n oldEndVnode = oldCh[--oldEndIdx];\n newEndVnode = newCh[--newEndIdx];\n } else if (sameVnode(oldStartVnode, newEndVnode)) { // Vnode moved right\n patchVnode(oldStartVnode, newEndVnode, insertedVnodeQueue, newCh, newEndIdx);\n canMove && nodeOps.insertBefore(parentElm, oldStartVnode.elm, nodeOps.nextSibling(oldEndVnode.elm));\n oldStartVnode = oldCh[++oldStartIdx];\n newEndVnode = newCh[--newEndIdx];\n } else if (sameVnode(oldEndVnode, newStartVnode)) { // Vnode moved left\n patchVnode(oldEndVnode, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n canMove && nodeOps.insertBefore(parentElm, oldEndVnode.elm, oldStartVnode.elm);\n oldEndVnode = oldCh[--oldEndIdx];\n newStartVnode = newCh[++newStartIdx];\n } else {\n if (isUndef(oldKeyToIdx)) { oldKeyToIdx = createKeyToOldIdx(oldCh, oldStartIdx, oldEndIdx); }\n idxInOld = isDef(newStartVnode.key)\n ? oldKeyToIdx[newStartVnode.key]\n : findIdxInOld(newStartVnode, oldCh, oldStartIdx, oldEndIdx);\n if (isUndef(idxInOld)) { // New element\n createElm(newStartVnode, insertedVnodeQueue, parentElm, oldStartVnode.elm, false, newCh, newStartIdx);\n } else {\n vnodeToMove = oldCh[idxInOld];\n if (sameVnode(vnodeToMove, newStartVnode)) {\n patchVnode(vnodeToMove, newStartVnode, insertedVnodeQueue, newCh, newStartIdx);\n oldCh[idxInOld] = undefined;\n canMove && nodeOps.insertBefore(parentElm, vnodeToMove.elm, oldStartVnode.elm);\n } else {\n // same key but different element. treat as new element\n createElm(newStartVnode, insertedVnodeQueue, parentElm, oldStartVnode.elm, false, newCh, newStartIdx);\n }\n }\n newStartVnode = newCh[++newStartIdx];\n }\n }\n if (oldStartIdx > oldEndIdx) {\n refElm = isUndef(newCh[newEndIdx + 1]) ? null : newCh[newEndIdx + 1].elm;\n addVnodes(parentElm, refElm, newCh, newStartIdx, newEndIdx, insertedVnodeQueue);\n } else if (newStartIdx > newEndIdx) {\n removeVnodes(oldCh, oldStartIdx, oldEndIdx);\n }\n }\n\n function checkDuplicateKeys (children) {\n var seenKeys = {};\n for (var i = 0; i < children.length; i++) {\n var vnode = children[i];\n var key = vnode.key;\n if (isDef(key)) {\n if (seenKeys[key]) {\n warn(\n (\"Duplicate keys detected: '\" + key + \"'. This may cause an update error.\"),\n vnode.context\n );\n } else {\n seenKeys[key] = true;\n }\n }\n }\n }\n\n function findIdxInOld (node, oldCh, start, end) {\n for (var i = start; i < end; i++) {\n var c = oldCh[i];\n if (isDef(c) && sameVnode(node, c)) { return i }\n }\n }\n\n function patchVnode (\n oldVnode,\n vnode,\n insertedVnodeQueue,\n ownerArray,\n index,\n removeOnly\n ) {\n if (oldVnode === vnode) {\n return\n }\n\n if (isDef(vnode.elm) && isDef(ownerArray)) {\n // clone reused vnode\n vnode = ownerArray[index] = cloneVNode(vnode);\n }\n\n var elm = vnode.elm = oldVnode.elm;\n\n if (isTrue(oldVnode.isAsyncPlaceholder)) {\n if (isDef(vnode.asyncFactory.resolved)) {\n hydrate(oldVnode.elm, vnode, insertedVnodeQueue);\n } else {\n vnode.isAsyncPlaceholder = true;\n }\n return\n }\n\n // reuse element for static trees.\n // note we only do this if the vnode is cloned -\n // if the new node is not cloned it means the render functions have been\n // reset by the hot-reload-api and we need to do a proper re-render.\n if (isTrue(vnode.isStatic) &&\n isTrue(oldVnode.isStatic) &&\n vnode.key === oldVnode.key &&\n (isTrue(vnode.isCloned) || isTrue(vnode.isOnce))\n ) {\n vnode.componentInstance = oldVnode.componentInstance;\n return\n }\n\n var i;\n var data = vnode.data;\n if (isDef(data) && isDef(i = data.hook) && isDef(i = i.prepatch)) {\n i(oldVnode, vnode);\n }\n\n var oldCh = oldVnode.children;\n var ch = vnode.children;\n if (isDef(data) && isPatchable(vnode)) {\n for (i = 0; i < cbs.update.length; ++i) { cbs.update[i](oldVnode, vnode); }\n if (isDef(i = data.hook) && isDef(i = i.update)) { i(oldVnode, vnode); }\n }\n if (isUndef(vnode.text)) {\n if (isDef(oldCh) && isDef(ch)) {\n if (oldCh !== ch) { updateChildren(elm, oldCh, ch, insertedVnodeQueue, removeOnly); }\n } else if (isDef(ch)) {\n if (process.env.NODE_ENV !== 'production') {\n checkDuplicateKeys(ch);\n }\n if (isDef(oldVnode.text)) { nodeOps.setTextContent(elm, ''); }\n addVnodes(elm, null, ch, 0, ch.length - 1, insertedVnodeQueue);\n } else if (isDef(oldCh)) {\n removeVnodes(oldCh, 0, oldCh.length - 1);\n } else if (isDef(oldVnode.text)) {\n nodeOps.setTextContent(elm, '');\n }\n } else if (oldVnode.text !== vnode.text) {\n nodeOps.setTextContent(elm, vnode.text);\n }\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.postpatch)) { i(oldVnode, vnode); }\n }\n }\n\n function invokeInsertHook (vnode, queue, initial) {\n // delay insert hooks for component root nodes, invoke them after the\n // element is really inserted\n if (isTrue(initial) && isDef(vnode.parent)) {\n vnode.parent.data.pendingInsert = queue;\n } else {\n for (var i = 0; i < queue.length; ++i) {\n queue[i].data.hook.insert(queue[i]);\n }\n }\n }\n\n var hydrationBailed = false;\n // list of modules that can skip create hook during hydration because they\n // are already rendered on the client or has no need for initialization\n // Note: style is excluded because it relies on initial clone for future\n // deep updates (#7063).\n var isRenderedModule = makeMap('attrs,class,staticClass,staticStyle,key');\n\n // Note: this is a browser-only function so we can assume elms are DOM nodes.\n function hydrate (elm, vnode, insertedVnodeQueue, inVPre) {\n var i;\n var tag = vnode.tag;\n var data = vnode.data;\n var children = vnode.children;\n inVPre = inVPre || (data && data.pre);\n vnode.elm = elm;\n\n if (isTrue(vnode.isComment) && isDef(vnode.asyncFactory)) {\n vnode.isAsyncPlaceholder = true;\n return true\n }\n // assert node match\n if (process.env.NODE_ENV !== 'production') {\n if (!assertNodeMatch(elm, vnode, inVPre)) {\n return false\n }\n }\n if (isDef(data)) {\n if (isDef(i = data.hook) && isDef(i = i.init)) { i(vnode, true /* hydrating */); }\n if (isDef(i = vnode.componentInstance)) {\n // child component. it should have hydrated its own tree.\n initComponent(vnode, insertedVnodeQueue);\n return true\n }\n }\n if (isDef(tag)) {\n if (isDef(children)) {\n // empty element, allow client to pick up and populate children\n if (!elm.hasChildNodes()) {\n createChildren(vnode, children, insertedVnodeQueue);\n } else {\n // v-html and domProps: innerHTML\n if (isDef(i = data) && isDef(i = i.domProps) && isDef(i = i.innerHTML)) {\n if (i !== elm.innerHTML) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' &&\n typeof console !== 'undefined' &&\n !hydrationBailed\n ) {\n hydrationBailed = true;\n console.warn('Parent: ', elm);\n console.warn('server innerHTML: ', i);\n console.warn('client innerHTML: ', elm.innerHTML);\n }\n return false\n }\n } else {\n // iterate and compare children lists\n var childrenMatch = true;\n var childNode = elm.firstChild;\n for (var i$1 = 0; i$1 < children.length; i$1++) {\n if (!childNode || !hydrate(childNode, children[i$1], insertedVnodeQueue, inVPre)) {\n childrenMatch = false;\n break\n }\n childNode = childNode.nextSibling;\n }\n // if childNode is not null, it means the actual childNodes list is\n // longer than the virtual children list.\n if (!childrenMatch || childNode) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' &&\n typeof console !== 'undefined' &&\n !hydrationBailed\n ) {\n hydrationBailed = true;\n console.warn('Parent: ', elm);\n console.warn('Mismatching childNodes vs. VNodes: ', elm.childNodes, children);\n }\n return false\n }\n }\n }\n }\n if (isDef(data)) {\n var fullInvoke = false;\n for (var key in data) {\n if (!isRenderedModule(key)) {\n fullInvoke = true;\n invokeCreateHooks(vnode, insertedVnodeQueue);\n break\n }\n }\n if (!fullInvoke && data['class']) {\n // ensure collecting deps for deep class bindings for future updates\n traverse(data['class']);\n }\n }\n } else if (elm.data !== vnode.text) {\n elm.data = vnode.text;\n }\n return true\n }\n\n function assertNodeMatch (node, vnode, inVPre) {\n if (isDef(vnode.tag)) {\n return vnode.tag.indexOf('vue-component') === 0 || (\n !isUnknownElement$$1(vnode, inVPre) &&\n vnode.tag.toLowerCase() === (node.tagName && node.tagName.toLowerCase())\n )\n } else {\n return node.nodeType === (vnode.isComment ? 8 : 3)\n }\n }\n\n return function patch (oldVnode, vnode, hydrating, removeOnly) {\n if (isUndef(vnode)) {\n if (isDef(oldVnode)) { invokeDestroyHook(oldVnode); }\n return\n }\n\n var isInitialPatch = false;\n var insertedVnodeQueue = [];\n\n if (isUndef(oldVnode)) {\n // empty mount (likely as component), create new root element\n isInitialPatch = true;\n createElm(vnode, insertedVnodeQueue);\n } else {\n var isRealElement = isDef(oldVnode.nodeType);\n if (!isRealElement && sameVnode(oldVnode, vnode)) {\n // patch existing root node\n patchVnode(oldVnode, vnode, insertedVnodeQueue, null, null, removeOnly);\n } else {\n if (isRealElement) {\n // mounting to a real element\n // check if this is server-rendered content and if we can perform\n // a successful hydration.\n if (oldVnode.nodeType === 1 && oldVnode.hasAttribute(SSR_ATTR)) {\n oldVnode.removeAttribute(SSR_ATTR);\n hydrating = true;\n }\n if (isTrue(hydrating)) {\n if (hydrate(oldVnode, vnode, insertedVnodeQueue)) {\n invokeInsertHook(vnode, insertedVnodeQueue, true);\n return oldVnode\n } else if (process.env.NODE_ENV !== 'production') {\n warn(\n 'The client-side rendered virtual DOM tree is not matching ' +\n 'server-rendered content. This is likely caused by incorrect ' +\n 'HTML markup, for example nesting block-level elements inside ' +\n '<p>, or missing <tbody>. Bailing hydration and performing ' +\n 'full client-side render.'\n );\n }\n }\n // either not server-rendered, or hydration failed.\n // create an empty node and replace it\n oldVnode = emptyNodeAt(oldVnode);\n }\n\n // replacing existing element\n var oldElm = oldVnode.elm;\n var parentElm = nodeOps.parentNode(oldElm);\n\n // create new node\n createElm(\n vnode,\n insertedVnodeQueue,\n // extremely rare edge case: do not insert if old element is in a\n // leaving transition. Only happens when combining transition +\n // keep-alive + HOCs. (#4590)\n oldElm._leaveCb ? null : parentElm,\n nodeOps.nextSibling(oldElm)\n );\n\n // update parent placeholder node element, recursively\n if (isDef(vnode.parent)) {\n var ancestor = vnode.parent;\n var patchable = isPatchable(vnode);\n while (ancestor) {\n for (var i = 0; i < cbs.destroy.length; ++i) {\n cbs.destroy[i](ancestor);\n }\n ancestor.elm = vnode.elm;\n if (patchable) {\n for (var i$1 = 0; i$1 < cbs.create.length; ++i$1) {\n cbs.create[i$1](emptyNode, ancestor);\n }\n // #6513\n // invoke insert hooks that may have been merged by create hooks.\n // e.g. for directives that uses the \"inserted\" hook.\n var insert = ancestor.data.hook.insert;\n if (insert.merged) {\n // start at index 1 to avoid re-invoking component mounted hook\n for (var i$2 = 1; i$2 < insert.fns.length; i$2++) {\n insert.fns[i$2]();\n }\n }\n } else {\n registerRef(ancestor);\n }\n ancestor = ancestor.parent;\n }\n }\n\n // destroy old node\n if (isDef(parentElm)) {\n removeVnodes([oldVnode], 0, 0);\n } else if (isDef(oldVnode.tag)) {\n invokeDestroyHook(oldVnode);\n }\n }\n }\n\n invokeInsertHook(vnode, insertedVnodeQueue, isInitialPatch);\n return vnode.elm\n }\n}\n\n/* */\n\nvar directives = {\n create: updateDirectives,\n update: updateDirectives,\n destroy: function unbindDirectives (vnode) {\n updateDirectives(vnode, emptyNode);\n }\n};\n\nfunction updateDirectives (oldVnode, vnode) {\n if (oldVnode.data.directives || vnode.data.directives) {\n _update(oldVnode, vnode);\n }\n}\n\nfunction _update (oldVnode, vnode) {\n var isCreate = oldVnode === emptyNode;\n var isDestroy = vnode === emptyNode;\n var oldDirs = normalizeDirectives$1(oldVnode.data.directives, oldVnode.context);\n var newDirs = normalizeDirectives$1(vnode.data.directives, vnode.context);\n\n var dirsWithInsert = [];\n var dirsWithPostpatch = [];\n\n var key, oldDir, dir;\n for (key in newDirs) {\n oldDir = oldDirs[key];\n dir = newDirs[key];\n if (!oldDir) {\n // new directive, bind\n callHook$1(dir, 'bind', vnode, oldVnode);\n if (dir.def && dir.def.inserted) {\n dirsWithInsert.push(dir);\n }\n } else {\n // existing directive, update\n dir.oldValue = oldDir.value;\n dir.oldArg = oldDir.arg;\n callHook$1(dir, 'update', vnode, oldVnode);\n if (dir.def && dir.def.componentUpdated) {\n dirsWithPostpatch.push(dir);\n }\n }\n }\n\n if (dirsWithInsert.length) {\n var callInsert = function () {\n for (var i = 0; i < dirsWithInsert.length; i++) {\n callHook$1(dirsWithInsert[i], 'inserted', vnode, oldVnode);\n }\n };\n if (isCreate) {\n mergeVNodeHook(vnode, 'insert', callInsert);\n } else {\n callInsert();\n }\n }\n\n if (dirsWithPostpatch.length) {\n mergeVNodeHook(vnode, 'postpatch', function () {\n for (var i = 0; i < dirsWithPostpatch.length; i++) {\n callHook$1(dirsWithPostpatch[i], 'componentUpdated', vnode, oldVnode);\n }\n });\n }\n\n if (!isCreate) {\n for (key in oldDirs) {\n if (!newDirs[key]) {\n // no longer present, unbind\n callHook$1(oldDirs[key], 'unbind', oldVnode, oldVnode, isDestroy);\n }\n }\n }\n}\n\nvar emptyModifiers = Object.create(null);\n\nfunction normalizeDirectives$1 (\n dirs,\n vm\n) {\n var res = Object.create(null);\n if (!dirs) {\n // $flow-disable-line\n return res\n }\n var i, dir;\n for (i = 0; i < dirs.length; i++) {\n dir = dirs[i];\n if (!dir.modifiers) {\n // $flow-disable-line\n dir.modifiers = emptyModifiers;\n }\n res[getRawDirName(dir)] = dir;\n dir.def = resolveAsset(vm.$options, 'directives', dir.name, true);\n }\n // $flow-disable-line\n return res\n}\n\nfunction getRawDirName (dir) {\n return dir.rawName || ((dir.name) + \".\" + (Object.keys(dir.modifiers || {}).join('.')))\n}\n\nfunction callHook$1 (dir, hook, vnode, oldVnode, isDestroy) {\n var fn = dir.def && dir.def[hook];\n if (fn) {\n try {\n fn(vnode.elm, dir, vnode, oldVnode, isDestroy);\n } catch (e) {\n handleError(e, vnode.context, (\"directive \" + (dir.name) + \" \" + hook + \" hook\"));\n }\n }\n}\n\nvar baseModules = [\n ref,\n directives\n];\n\n/* */\n\nfunction updateAttrs (oldVnode, vnode) {\n var opts = vnode.componentOptions;\n if (isDef(opts) && opts.Ctor.options.inheritAttrs === false) {\n return\n }\n if (isUndef(oldVnode.data.attrs) && isUndef(vnode.data.attrs)) {\n return\n }\n var key, cur, old;\n var elm = vnode.elm;\n var oldAttrs = oldVnode.data.attrs || {};\n var attrs = vnode.data.attrs || {};\n // clone observed objects, as the user probably wants to mutate it\n if (isDef(attrs.__ob__)) {\n attrs = vnode.data.attrs = extend({}, attrs);\n }\n\n for (key in attrs) {\n cur = attrs[key];\n old = oldAttrs[key];\n if (old !== cur) {\n setAttr(elm, key, cur);\n }\n }\n // #4391: in IE9, setting type can reset value for input[type=radio]\n // #6666: IE/Edge forces progress value down to 1 before setting a max\n /* istanbul ignore if */\n if ((isIE || isEdge) && attrs.value !== oldAttrs.value) {\n setAttr(elm, 'value', attrs.value);\n }\n for (key in oldAttrs) {\n if (isUndef(attrs[key])) {\n if (isXlink(key)) {\n elm.removeAttributeNS(xlinkNS, getXlinkProp(key));\n } else if (!isEnumeratedAttr(key)) {\n elm.removeAttribute(key);\n }\n }\n }\n}\n\nfunction setAttr (el, key, value) {\n if (el.tagName.indexOf('-') > -1) {\n baseSetAttr(el, key, value);\n } else if (isBooleanAttr(key)) {\n // set attribute for blank value\n // e.g. <option disabled>Select one</option>\n if (isFalsyAttrValue(value)) {\n el.removeAttribute(key);\n } else {\n // technically allowfullscreen is a boolean attribute for <iframe>,\n // but Flash expects a value of \"true\" when used on <embed> tag\n value = key === 'allowfullscreen' && el.tagName === 'EMBED'\n ? 'true'\n : key;\n el.setAttribute(key, value);\n }\n } else if (isEnumeratedAttr(key)) {\n el.setAttribute(key, convertEnumeratedValue(key, value));\n } else if (isXlink(key)) {\n if (isFalsyAttrValue(value)) {\n el.removeAttributeNS(xlinkNS, getXlinkProp(key));\n } else {\n el.setAttributeNS(xlinkNS, key, value);\n }\n } else {\n baseSetAttr(el, key, value);\n }\n}\n\nfunction baseSetAttr (el, key, value) {\n if (isFalsyAttrValue(value)) {\n el.removeAttribute(key);\n } else {\n // #7138: IE10 & 11 fires input event when setting placeholder on\n // <textarea>... block the first input event and remove the blocker\n // immediately.\n /* istanbul ignore if */\n if (\n isIE && !isIE9 &&\n el.tagName === 'TEXTAREA' &&\n key === 'placeholder' && value !== '' && !el.__ieph\n ) {\n var blocker = function (e) {\n e.stopImmediatePropagation();\n el.removeEventListener('input', blocker);\n };\n el.addEventListener('input', blocker);\n // $flow-disable-line\n el.__ieph = true; /* IE placeholder patched */\n }\n el.setAttribute(key, value);\n }\n}\n\nvar attrs = {\n create: updateAttrs,\n update: updateAttrs\n};\n\n/* */\n\nfunction updateClass (oldVnode, vnode) {\n var el = vnode.elm;\n var data = vnode.data;\n var oldData = oldVnode.data;\n if (\n isUndef(data.staticClass) &&\n isUndef(data.class) && (\n isUndef(oldData) || (\n isUndef(oldData.staticClass) &&\n isUndef(oldData.class)\n )\n )\n ) {\n return\n }\n\n var cls = genClassForVnode(vnode);\n\n // handle transition classes\n var transitionClass = el._transitionClasses;\n if (isDef(transitionClass)) {\n cls = concat(cls, stringifyClass(transitionClass));\n }\n\n // set the class\n if (cls !== el._prevClass) {\n el.setAttribute('class', cls);\n el._prevClass = cls;\n }\n}\n\nvar klass = {\n create: updateClass,\n update: updateClass\n};\n\n/* */\n\nvar validDivisionCharRE = /[\\w).+\\-_$\\]]/;\n\nfunction parseFilters (exp) {\n var inSingle = false;\n var inDouble = false;\n var inTemplateString = false;\n var inRegex = false;\n var curly = 0;\n var square = 0;\n var paren = 0;\n var lastFilterIndex = 0;\n var c, prev, i, expression, filters;\n\n for (i = 0; i < exp.length; i++) {\n prev = c;\n c = exp.charCodeAt(i);\n if (inSingle) {\n if (c === 0x27 && prev !== 0x5C) { inSingle = false; }\n } else if (inDouble) {\n if (c === 0x22 && prev !== 0x5C) { inDouble = false; }\n } else if (inTemplateString) {\n if (c === 0x60 && prev !== 0x5C) { inTemplateString = false; }\n } else if (inRegex) {\n if (c === 0x2f && prev !== 0x5C) { inRegex = false; }\n } else if (\n c === 0x7C && // pipe\n exp.charCodeAt(i + 1) !== 0x7C &&\n exp.charCodeAt(i - 1) !== 0x7C &&\n !curly && !square && !paren\n ) {\n if (expression === undefined) {\n // first filter, end of expression\n lastFilterIndex = i + 1;\n expression = exp.slice(0, i).trim();\n } else {\n pushFilter();\n }\n } else {\n switch (c) {\n case 0x22: inDouble = true; break // \"\n case 0x27: inSingle = true; break // '\n case 0x60: inTemplateString = true; break // `\n case 0x28: paren++; break // (\n case 0x29: paren--; break // )\n case 0x5B: square++; break // [\n case 0x5D: square--; break // ]\n case 0x7B: curly++; break // {\n case 0x7D: curly--; break // }\n }\n if (c === 0x2f) { // /\n var j = i - 1;\n var p = (void 0);\n // find first non-whitespace prev char\n for (; j >= 0; j--) {\n p = exp.charAt(j);\n if (p !== ' ') { break }\n }\n if (!p || !validDivisionCharRE.test(p)) {\n inRegex = true;\n }\n }\n }\n }\n\n if (expression === undefined) {\n expression = exp.slice(0, i).trim();\n } else if (lastFilterIndex !== 0) {\n pushFilter();\n }\n\n function pushFilter () {\n (filters || (filters = [])).push(exp.slice(lastFilterIndex, i).trim());\n lastFilterIndex = i + 1;\n }\n\n if (filters) {\n for (i = 0; i < filters.length; i++) {\n expression = wrapFilter(expression, filters[i]);\n }\n }\n\n return expression\n}\n\nfunction wrapFilter (exp, filter) {\n var i = filter.indexOf('(');\n if (i < 0) {\n // _f: resolveFilter\n return (\"_f(\\\"\" + filter + \"\\\")(\" + exp + \")\")\n } else {\n var name = filter.slice(0, i);\n var args = filter.slice(i + 1);\n return (\"_f(\\\"\" + name + \"\\\")(\" + exp + (args !== ')' ? ',' + args : args))\n }\n}\n\n/* */\n\n\n\n/* eslint-disable no-unused-vars */\nfunction baseWarn (msg, range) {\n console.error((\"[Vue compiler]: \" + msg));\n}\n/* eslint-enable no-unused-vars */\n\nfunction pluckModuleFunction (\n modules,\n key\n) {\n return modules\n ? modules.map(function (m) { return m[key]; }).filter(function (_) { return _; })\n : []\n}\n\nfunction addProp (el, name, value, range, dynamic) {\n (el.props || (el.props = [])).push(rangeSetItem({ name: name, value: value, dynamic: dynamic }, range));\n el.plain = false;\n}\n\nfunction addAttr (el, name, value, range, dynamic) {\n var attrs = dynamic\n ? (el.dynamicAttrs || (el.dynamicAttrs = []))\n : (el.attrs || (el.attrs = []));\n attrs.push(rangeSetItem({ name: name, value: value, dynamic: dynamic }, range));\n el.plain = false;\n}\n\n// add a raw attr (use this in preTransforms)\nfunction addRawAttr (el, name, value, range) {\n el.attrsMap[name] = value;\n el.attrsList.push(rangeSetItem({ name: name, value: value }, range));\n}\n\nfunction addDirective (\n el,\n name,\n rawName,\n value,\n arg,\n isDynamicArg,\n modifiers,\n range\n) {\n (el.directives || (el.directives = [])).push(rangeSetItem({\n name: name,\n rawName: rawName,\n value: value,\n arg: arg,\n isDynamicArg: isDynamicArg,\n modifiers: modifiers\n }, range));\n el.plain = false;\n}\n\nfunction prependModifierMarker (symbol, name, dynamic) {\n return dynamic\n ? (\"_p(\" + name + \",\\\"\" + symbol + \"\\\")\")\n : symbol + name // mark the event as captured\n}\n\nfunction addHandler (\n el,\n name,\n value,\n modifiers,\n important,\n warn,\n range,\n dynamic\n) {\n modifiers = modifiers || emptyObject;\n // warn prevent and passive modifier\n /* istanbul ignore if */\n if (\n process.env.NODE_ENV !== 'production' && warn &&\n modifiers.prevent && modifiers.passive\n ) {\n warn(\n 'passive and prevent can\\'t be used together. ' +\n 'Passive handler can\\'t prevent default event.',\n range\n );\n }\n\n // normalize click.right and click.middle since they don't actually fire\n // this is technically browser-specific, but at least for now browsers are\n // the only target envs that have right/middle clicks.\n if (modifiers.right) {\n if (dynamic) {\n name = \"(\" + name + \")==='click'?'contextmenu':(\" + name + \")\";\n } else if (name === 'click') {\n name = 'contextmenu';\n delete modifiers.right;\n }\n } else if (modifiers.middle) {\n if (dynamic) {\n name = \"(\" + name + \")==='click'?'mouseup':(\" + name + \")\";\n } else if (name === 'click') {\n name = 'mouseup';\n }\n }\n\n // check capture modifier\n if (modifiers.capture) {\n delete modifiers.capture;\n name = prependModifierMarker('!', name, dynamic);\n }\n if (modifiers.once) {\n delete modifiers.once;\n name = prependModifierMarker('~', name, dynamic);\n }\n /* istanbul ignore if */\n if (modifiers.passive) {\n delete modifiers.passive;\n name = prependModifierMarker('&', name, dynamic);\n }\n\n var events;\n if (modifiers.native) {\n delete modifiers.native;\n events = el.nativeEvents || (el.nativeEvents = {});\n } else {\n events = el.events || (el.events = {});\n }\n\n var newHandler = rangeSetItem({ value: value.trim(), dynamic: dynamic }, range);\n if (modifiers !== emptyObject) {\n newHandler.modifiers = modifiers;\n }\n\n var handlers = events[name];\n /* istanbul ignore if */\n if (Array.isArray(handlers)) {\n important ? handlers.unshift(newHandler) : handlers.push(newHandler);\n } else if (handlers) {\n events[name] = important ? [newHandler, handlers] : [handlers, newHandler];\n } else {\n events[name] = newHandler;\n }\n\n el.plain = false;\n}\n\nfunction getRawBindingAttr (\n el,\n name\n) {\n return el.rawAttrsMap[':' + name] ||\n el.rawAttrsMap['v-bind:' + name] ||\n el.rawAttrsMap[name]\n}\n\nfunction getBindingAttr (\n el,\n name,\n getStatic\n) {\n var dynamicValue =\n getAndRemoveAttr(el, ':' + name) ||\n getAndRemoveAttr(el, 'v-bind:' + name);\n if (dynamicValue != null) {\n return parseFilters(dynamicValue)\n } else if (getStatic !== false) {\n var staticValue = getAndRemoveAttr(el, name);\n if (staticValue != null) {\n return JSON.stringify(staticValue)\n }\n }\n}\n\n// note: this only removes the attr from the Array (attrsList) so that it\n// doesn't get processed by processAttrs.\n// By default it does NOT remove it from the map (attrsMap) because the map is\n// needed during codegen.\nfunction getAndRemoveAttr (\n el,\n name,\n removeFromMap\n) {\n var val;\n if ((val = el.attrsMap[name]) != null) {\n var list = el.attrsList;\n for (var i = 0, l = list.length; i < l; i++) {\n if (list[i].name === name) {\n list.splice(i, 1);\n break\n }\n }\n }\n if (removeFromMap) {\n delete el.attrsMap[name];\n }\n return val\n}\n\nfunction getAndRemoveAttrByRegex (\n el,\n name\n) {\n var list = el.attrsList;\n for (var i = 0, l = list.length; i < l; i++) {\n var attr = list[i];\n if (name.test(attr.name)) {\n list.splice(i, 1);\n return attr\n }\n }\n}\n\nfunction rangeSetItem (\n item,\n range\n) {\n if (range) {\n if (range.start != null) {\n item.start = range.start;\n }\n if (range.end != null) {\n item.end = range.end;\n }\n }\n return item\n}\n\n/* */\n\n/**\n * Cross-platform code generation for component v-model\n */\nfunction genComponentModel (\n el,\n value,\n modifiers\n) {\n var ref = modifiers || {};\n var number = ref.number;\n var trim = ref.trim;\n\n var baseValueExpression = '$$v';\n var valueExpression = baseValueExpression;\n if (trim) {\n valueExpression =\n \"(typeof \" + baseValueExpression + \" === 'string'\" +\n \"? \" + baseValueExpression + \".trim()\" +\n \": \" + baseValueExpression + \")\";\n }\n if (number) {\n valueExpression = \"_n(\" + valueExpression + \")\";\n }\n var assignment = genAssignmentCode(value, valueExpression);\n\n el.model = {\n value: (\"(\" + value + \")\"),\n expression: JSON.stringify(value),\n callback: (\"function (\" + baseValueExpression + \") {\" + assignment + \"}\")\n };\n}\n\n/**\n * Cross-platform codegen helper for generating v-model value assignment code.\n */\nfunction genAssignmentCode (\n value,\n assignment\n) {\n var res = parseModel(value);\n if (res.key === null) {\n return (value + \"=\" + assignment)\n } else {\n return (\"$set(\" + (res.exp) + \", \" + (res.key) + \", \" + assignment + \")\")\n }\n}\n\n/**\n * Parse a v-model expression into a base path and a final key segment.\n * Handles both dot-path and possible square brackets.\n *\n * Possible cases:\n *\n * - test\n * - test[key]\n * - test[test1[key]]\n * - test[\"a\"][key]\n * - xxx.test[a[a].test1[key]]\n * - test.xxx.a[\"asa\"][test1[key]]\n *\n */\n\nvar len, str, chr, index$1, expressionPos, expressionEndPos;\n\n\n\nfunction parseModel (val) {\n // Fix https://github.com/vuejs/vue/pull/7730\n // allow v-model=\"obj.val \" (trailing whitespace)\n val = val.trim();\n len = val.length;\n\n if (val.indexOf('[') < 0 || val.lastIndexOf(']') < len - 1) {\n index$1 = val.lastIndexOf('.');\n if (index$1 > -1) {\n return {\n exp: val.slice(0, index$1),\n key: '\"' + val.slice(index$1 + 1) + '\"'\n }\n } else {\n return {\n exp: val,\n key: null\n }\n }\n }\n\n str = val;\n index$1 = expressionPos = expressionEndPos = 0;\n\n while (!eof()) {\n chr = next();\n /* istanbul ignore if */\n if (isStringStart(chr)) {\n parseString(chr);\n } else if (chr === 0x5B) {\n parseBracket(chr);\n }\n }\n\n return {\n exp: val.slice(0, expressionPos),\n key: val.slice(expressionPos + 1, expressionEndPos)\n }\n}\n\nfunction next () {\n return str.charCodeAt(++index$1)\n}\n\nfunction eof () {\n return index$1 >= len\n}\n\nfunction isStringStart (chr) {\n return chr === 0x22 || chr === 0x27\n}\n\nfunction parseBracket (chr) {\n var inBracket = 1;\n expressionPos = index$1;\n while (!eof()) {\n chr = next();\n if (isStringStart(chr)) {\n parseString(chr);\n continue\n }\n if (chr === 0x5B) { inBracket++; }\n if (chr === 0x5D) { inBracket--; }\n if (inBracket === 0) {\n expressionEndPos = index$1;\n break\n }\n }\n}\n\nfunction parseString (chr) {\n var stringQuote = chr;\n while (!eof()) {\n chr = next();\n if (chr === stringQuote) {\n break\n }\n }\n}\n\n/* */\n\nvar warn$1;\n\n// in some cases, the event used has to be determined at runtime\n// so we used some reserved tokens during compile.\nvar RANGE_TOKEN = '__r';\nvar CHECKBOX_RADIO_TOKEN = '__c';\n\nfunction model (\n el,\n dir,\n _warn\n) {\n warn$1 = _warn;\n var value = dir.value;\n var modifiers = dir.modifiers;\n var tag = el.tag;\n var type = el.attrsMap.type;\n\n if (process.env.NODE_ENV !== 'production') {\n // inputs with type=\"file\" are read only and setting the input's\n // value will throw an error.\n if (tag === 'input' && type === 'file') {\n warn$1(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\" type=\\\"file\\\">:\\n\" +\n \"File inputs are read only. Use a v-on:change listener instead.\",\n el.rawAttrsMap['v-model']\n );\n }\n }\n\n if (el.component) {\n genComponentModel(el, value, modifiers);\n // component v-model doesn't need extra runtime\n return false\n } else if (tag === 'select') {\n genSelect(el, value, modifiers);\n } else if (tag === 'input' && type === 'checkbox') {\n genCheckboxModel(el, value, modifiers);\n } else if (tag === 'input' && type === 'radio') {\n genRadioModel(el, value, modifiers);\n } else if (tag === 'input' || tag === 'textarea') {\n genDefaultModel(el, value, modifiers);\n } else if (!config.isReservedTag(tag)) {\n genComponentModel(el, value, modifiers);\n // component v-model doesn't need extra runtime\n return false\n } else if (process.env.NODE_ENV !== 'production') {\n warn$1(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\">: \" +\n \"v-model is not supported on this element type. \" +\n 'If you are working with contenteditable, it\\'s recommended to ' +\n 'wrap a library dedicated for that purpose inside a custom component.',\n el.rawAttrsMap['v-model']\n );\n }\n\n // ensure runtime directive metadata\n return true\n}\n\nfunction genCheckboxModel (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var valueBinding = getBindingAttr(el, 'value') || 'null';\n var trueValueBinding = getBindingAttr(el, 'true-value') || 'true';\n var falseValueBinding = getBindingAttr(el, 'false-value') || 'false';\n addProp(el, 'checked',\n \"Array.isArray(\" + value + \")\" +\n \"?_i(\" + value + \",\" + valueBinding + \")>-1\" + (\n trueValueBinding === 'true'\n ? (\":(\" + value + \")\")\n : (\":_q(\" + value + \",\" + trueValueBinding + \")\")\n )\n );\n addHandler(el, 'change',\n \"var $$a=\" + value + \",\" +\n '$$el=$event.target,' +\n \"$$c=$$el.checked?(\" + trueValueBinding + \"):(\" + falseValueBinding + \");\" +\n 'if(Array.isArray($$a)){' +\n \"var $$v=\" + (number ? '_n(' + valueBinding + ')' : valueBinding) + \",\" +\n '$$i=_i($$a,$$v);' +\n \"if($$el.checked){$$i<0&&(\" + (genAssignmentCode(value, '$$a.concat([$$v])')) + \")}\" +\n \"else{$$i>-1&&(\" + (genAssignmentCode(value, '$$a.slice(0,$$i).concat($$a.slice($$i+1))')) + \")}\" +\n \"}else{\" + (genAssignmentCode(value, '$$c')) + \"}\",\n null, true\n );\n}\n\nfunction genRadioModel (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var valueBinding = getBindingAttr(el, 'value') || 'null';\n valueBinding = number ? (\"_n(\" + valueBinding + \")\") : valueBinding;\n addProp(el, 'checked', (\"_q(\" + value + \",\" + valueBinding + \")\"));\n addHandler(el, 'change', genAssignmentCode(value, valueBinding), null, true);\n}\n\nfunction genSelect (\n el,\n value,\n modifiers\n) {\n var number = modifiers && modifiers.number;\n var selectedVal = \"Array.prototype.filter\" +\n \".call($event.target.options,function(o){return o.selected})\" +\n \".map(function(o){var val = \\\"_value\\\" in o ? o._value : o.value;\" +\n \"return \" + (number ? '_n(val)' : 'val') + \"})\";\n\n var assignment = '$event.target.multiple ? $$selectedVal : $$selectedVal[0]';\n var code = \"var $$selectedVal = \" + selectedVal + \";\";\n code = code + \" \" + (genAssignmentCode(value, assignment));\n addHandler(el, 'change', code, null, true);\n}\n\nfunction genDefaultModel (\n el,\n value,\n modifiers\n) {\n var type = el.attrsMap.type;\n\n // warn if v-bind:value conflicts with v-model\n // except for inputs with v-bind:type\n if (process.env.NODE_ENV !== 'production') {\n var value$1 = el.attrsMap['v-bind:value'] || el.attrsMap[':value'];\n var typeBinding = el.attrsMap['v-bind:type'] || el.attrsMap[':type'];\n if (value$1 && !typeBinding) {\n var binding = el.attrsMap['v-bind:value'] ? 'v-bind:value' : ':value';\n warn$1(\n binding + \"=\\\"\" + value$1 + \"\\\" conflicts with v-model on the same element \" +\n 'because the latter already expands to a value binding internally',\n el.rawAttrsMap[binding]\n );\n }\n }\n\n var ref = modifiers || {};\n var lazy = ref.lazy;\n var number = ref.number;\n var trim = ref.trim;\n var needCompositionGuard = !lazy && type !== 'range';\n var event = lazy\n ? 'change'\n : type === 'range'\n ? RANGE_TOKEN\n : 'input';\n\n var valueExpression = '$event.target.value';\n if (trim) {\n valueExpression = \"$event.target.value.trim()\";\n }\n if (number) {\n valueExpression = \"_n(\" + valueExpression + \")\";\n }\n\n var code = genAssignmentCode(value, valueExpression);\n if (needCompositionGuard) {\n code = \"if($event.target.composing)return;\" + code;\n }\n\n addProp(el, 'value', (\"(\" + value + \")\"));\n addHandler(el, event, code, null, true);\n if (trim || number) {\n addHandler(el, 'blur', '$forceUpdate()');\n }\n}\n\n/* */\n\n// normalize v-model event tokens that can only be determined at runtime.\n// it's important to place the event as the first in the array because\n// the whole point is ensuring the v-model callback gets called before\n// user-attached handlers.\nfunction normalizeEvents (on) {\n /* istanbul ignore if */\n if (isDef(on[RANGE_TOKEN])) {\n // IE input[type=range] only supports `change` event\n var event = isIE ? 'change' : 'input';\n on[event] = [].concat(on[RANGE_TOKEN], on[event] || []);\n delete on[RANGE_TOKEN];\n }\n // This was originally intended to fix #4521 but no longer necessary\n // after 2.5. Keeping it for backwards compat with generated code from < 2.4\n /* istanbul ignore if */\n if (isDef(on[CHECKBOX_RADIO_TOKEN])) {\n on.change = [].concat(on[CHECKBOX_RADIO_TOKEN], on.change || []);\n delete on[CHECKBOX_RADIO_TOKEN];\n }\n}\n\nvar target$1;\n\nfunction createOnceHandler$1 (event, handler, capture) {\n var _target = target$1; // save current target element in closure\n return function onceHandler () {\n var res = handler.apply(null, arguments);\n if (res !== null) {\n remove$2(event, onceHandler, capture, _target);\n }\n }\n}\n\n// #9446: Firefox <= 53 (in particular, ESR 52) has incorrect Event.timeStamp\n// implementation and does not fire microtasks in between event propagation, so\n// safe to exclude.\nvar useMicrotaskFix = isUsingMicroTask && !(isFF && Number(isFF[1]) <= 53);\n\nfunction add$1 (\n name,\n handler,\n capture,\n passive\n) {\n // async edge case #6566: inner click event triggers patch, event handler\n // attached to outer element during patch, and triggered again. This\n // happens because browsers fire microtask ticks between event propagation.\n // the solution is simple: we save the timestamp when a handler is attached,\n // and the handler would only fire if the event passed to it was fired\n // AFTER it was attached.\n if (useMicrotaskFix) {\n var attachedTimestamp = currentFlushTimestamp;\n var original = handler;\n handler = original._wrapper = function (e) {\n if (\n // no bubbling, should always fire.\n // this is just a safety net in case event.timeStamp is unreliable in\n // certain weird environments...\n e.target === e.currentTarget ||\n // event is fired after handler attachment\n e.timeStamp >= attachedTimestamp ||\n // bail for environments that have buggy event.timeStamp implementations\n // #9462 iOS 9 bug: event.timeStamp is 0 after history.pushState\n // #9681 QtWebEngine event.timeStamp is negative value\n e.timeStamp <= 0 ||\n // #9448 bail if event is fired in another document in a multi-page\n // electron/nw.js app, since event.timeStamp will be using a different\n // starting reference\n e.target.ownerDocument !== document\n ) {\n return original.apply(this, arguments)\n }\n };\n }\n target$1.addEventListener(\n name,\n handler,\n supportsPassive\n ? { capture: capture, passive: passive }\n : capture\n );\n}\n\nfunction remove$2 (\n name,\n handler,\n capture,\n _target\n) {\n (_target || target$1).removeEventListener(\n name,\n handler._wrapper || handler,\n capture\n );\n}\n\nfunction updateDOMListeners (oldVnode, vnode) {\n if (isUndef(oldVnode.data.on) && isUndef(vnode.data.on)) {\n return\n }\n var on = vnode.data.on || {};\n var oldOn = oldVnode.data.on || {};\n target$1 = vnode.elm;\n normalizeEvents(on);\n updateListeners(on, oldOn, add$1, remove$2, createOnceHandler$1, vnode.context);\n target$1 = undefined;\n}\n\nvar events = {\n create: updateDOMListeners,\n update: updateDOMListeners\n};\n\n/* */\n\nvar svgContainer;\n\nfunction updateDOMProps (oldVnode, vnode) {\n if (isUndef(oldVnode.data.domProps) && isUndef(vnode.data.domProps)) {\n return\n }\n var key, cur;\n var elm = vnode.elm;\n var oldProps = oldVnode.data.domProps || {};\n var props = vnode.data.domProps || {};\n // clone observed objects, as the user probably wants to mutate it\n if (isDef(props.__ob__)) {\n props = vnode.data.domProps = extend({}, props);\n }\n\n for (key in oldProps) {\n if (!(key in props)) {\n elm[key] = '';\n }\n }\n\n for (key in props) {\n cur = props[key];\n // ignore children if the node has textContent or innerHTML,\n // as these will throw away existing DOM nodes and cause removal errors\n // on subsequent patches (#3360)\n if (key === 'textContent' || key === 'innerHTML') {\n if (vnode.children) { vnode.children.length = 0; }\n if (cur === oldProps[key]) { continue }\n // #6601 work around Chrome version <= 55 bug where single textNode\n // replaced by innerHTML/textContent retains its parentNode property\n if (elm.childNodes.length === 1) {\n elm.removeChild(elm.childNodes[0]);\n }\n }\n\n if (key === 'value' && elm.tagName !== 'PROGRESS') {\n // store value as _value as well since\n // non-string values will be stringified\n elm._value = cur;\n // avoid resetting cursor position when value is the same\n var strCur = isUndef(cur) ? '' : String(cur);\n if (shouldUpdateValue(elm, strCur)) {\n elm.value = strCur;\n }\n } else if (key === 'innerHTML' && isSVG(elm.tagName) && isUndef(elm.innerHTML)) {\n // IE doesn't support innerHTML for SVG elements\n svgContainer = svgContainer || document.createElement('div');\n svgContainer.innerHTML = \"<svg>\" + cur + \"</svg>\";\n var svg = svgContainer.firstChild;\n while (elm.firstChild) {\n elm.removeChild(elm.firstChild);\n }\n while (svg.firstChild) {\n elm.appendChild(svg.firstChild);\n }\n } else if (\n // skip the update if old and new VDOM state is the same.\n // `value` is handled separately because the DOM value may be temporarily\n // out of sync with VDOM state due to focus, composition and modifiers.\n // This #4521 by skipping the unnecesarry `checked` update.\n cur !== oldProps[key]\n ) {\n // some property updates can throw\n // e.g. `value` on <progress> w/ non-finite value\n try {\n elm[key] = cur;\n } catch (e) {}\n }\n }\n}\n\n// check platforms/web/util/attrs.js acceptValue\n\n\nfunction shouldUpdateValue (elm, checkVal) {\n return (!elm.composing && (\n elm.tagName === 'OPTION' ||\n isNotInFocusAndDirty(elm, checkVal) ||\n isDirtyWithModifiers(elm, checkVal)\n ))\n}\n\nfunction isNotInFocusAndDirty (elm, checkVal) {\n // return true when textbox (.number and .trim) loses focus and its value is\n // not equal to the updated value\n var notInFocus = true;\n // #6157\n // work around IE bug when accessing document.activeElement in an iframe\n try { notInFocus = document.activeElement !== elm; } catch (e) {}\n return notInFocus && elm.value !== checkVal\n}\n\nfunction isDirtyWithModifiers (elm, newVal) {\n var value = elm.value;\n var modifiers = elm._vModifiers; // injected by v-model runtime\n if (isDef(modifiers)) {\n if (modifiers.number) {\n return toNumber(value) !== toNumber(newVal)\n }\n if (modifiers.trim) {\n return value.trim() !== newVal.trim()\n }\n }\n return value !== newVal\n}\n\nvar domProps = {\n create: updateDOMProps,\n update: updateDOMProps\n};\n\n/* */\n\nvar parseStyleText = cached(function (cssText) {\n var res = {};\n var listDelimiter = /;(?![^(]*\\))/g;\n var propertyDelimiter = /:(.+)/;\n cssText.split(listDelimiter).forEach(function (item) {\n if (item) {\n var tmp = item.split(propertyDelimiter);\n tmp.length > 1 && (res[tmp[0].trim()] = tmp[1].trim());\n }\n });\n return res\n});\n\n// merge static and dynamic style data on the same vnode\nfunction normalizeStyleData (data) {\n var style = normalizeStyleBinding(data.style);\n // static style is pre-processed into an object during compilation\n // and is always a fresh object, so it's safe to merge into it\n return data.staticStyle\n ? extend(data.staticStyle, style)\n : style\n}\n\n// normalize possible array / string values into Object\nfunction normalizeStyleBinding (bindingStyle) {\n if (Array.isArray(bindingStyle)) {\n return toObject(bindingStyle)\n }\n if (typeof bindingStyle === 'string') {\n return parseStyleText(bindingStyle)\n }\n return bindingStyle\n}\n\n/**\n * parent component style should be after child's\n * so that parent component's style could override it\n */\nfunction getStyle (vnode, checkChild) {\n var res = {};\n var styleData;\n\n if (checkChild) {\n var childNode = vnode;\n while (childNode.componentInstance) {\n childNode = childNode.componentInstance._vnode;\n if (\n childNode && childNode.data &&\n (styleData = normalizeStyleData(childNode.data))\n ) {\n extend(res, styleData);\n }\n }\n }\n\n if ((styleData = normalizeStyleData(vnode.data))) {\n extend(res, styleData);\n }\n\n var parentNode = vnode;\n while ((parentNode = parentNode.parent)) {\n if (parentNode.data && (styleData = normalizeStyleData(parentNode.data))) {\n extend(res, styleData);\n }\n }\n return res\n}\n\n/* */\n\nvar cssVarRE = /^--/;\nvar importantRE = /\\s*!important$/;\nvar setProp = function (el, name, val) {\n /* istanbul ignore if */\n if (cssVarRE.test(name)) {\n el.style.setProperty(name, val);\n } else if (importantRE.test(val)) {\n el.style.setProperty(hyphenate(name), val.replace(importantRE, ''), 'important');\n } else {\n var normalizedName = normalize(name);\n if (Array.isArray(val)) {\n // Support values array created by autoprefixer, e.g.\n // {display: [\"-webkit-box\", \"-ms-flexbox\", \"flex\"]}\n // Set them one by one, and the browser will only set those it can recognize\n for (var i = 0, len = val.length; i < len; i++) {\n el.style[normalizedName] = val[i];\n }\n } else {\n el.style[normalizedName] = val;\n }\n }\n};\n\nvar vendorNames = ['Webkit', 'Moz', 'ms'];\n\nvar emptyStyle;\nvar normalize = cached(function (prop) {\n emptyStyle = emptyStyle || document.createElement('div').style;\n prop = camelize(prop);\n if (prop !== 'filter' && (prop in emptyStyle)) {\n return prop\n }\n var capName = prop.charAt(0).toUpperCase() + prop.slice(1);\n for (var i = 0; i < vendorNames.length; i++) {\n var name = vendorNames[i] + capName;\n if (name in emptyStyle) {\n return name\n }\n }\n});\n\nfunction updateStyle (oldVnode, vnode) {\n var data = vnode.data;\n var oldData = oldVnode.data;\n\n if (isUndef(data.staticStyle) && isUndef(data.style) &&\n isUndef(oldData.staticStyle) && isUndef(oldData.style)\n ) {\n return\n }\n\n var cur, name;\n var el = vnode.elm;\n var oldStaticStyle = oldData.staticStyle;\n var oldStyleBinding = oldData.normalizedStyle || oldData.style || {};\n\n // if static style exists, stylebinding already merged into it when doing normalizeStyleData\n var oldStyle = oldStaticStyle || oldStyleBinding;\n\n var style = normalizeStyleBinding(vnode.data.style) || {};\n\n // store normalized style under a different key for next diff\n // make sure to clone it if it's reactive, since the user likely wants\n // to mutate it.\n vnode.data.normalizedStyle = isDef(style.__ob__)\n ? extend({}, style)\n : style;\n\n var newStyle = getStyle(vnode, true);\n\n for (name in oldStyle) {\n if (isUndef(newStyle[name])) {\n setProp(el, name, '');\n }\n }\n for (name in newStyle) {\n cur = newStyle[name];\n if (cur !== oldStyle[name]) {\n // ie9 setting to null has no effect, must use empty string\n setProp(el, name, cur == null ? '' : cur);\n }\n }\n}\n\nvar style = {\n create: updateStyle,\n update: updateStyle\n};\n\n/* */\n\nvar whitespaceRE = /\\s+/;\n\n/**\n * Add class with compatibility for SVG since classList is not supported on\n * SVG elements in IE\n */\nfunction addClass (el, cls) {\n /* istanbul ignore if */\n if (!cls || !(cls = cls.trim())) {\n return\n }\n\n /* istanbul ignore else */\n if (el.classList) {\n if (cls.indexOf(' ') > -1) {\n cls.split(whitespaceRE).forEach(function (c) { return el.classList.add(c); });\n } else {\n el.classList.add(cls);\n }\n } else {\n var cur = \" \" + (el.getAttribute('class') || '') + \" \";\n if (cur.indexOf(' ' + cls + ' ') < 0) {\n el.setAttribute('class', (cur + cls).trim());\n }\n }\n}\n\n/**\n * Remove class with compatibility for SVG since classList is not supported on\n * SVG elements in IE\n */\nfunction removeClass (el, cls) {\n /* istanbul ignore if */\n if (!cls || !(cls = cls.trim())) {\n return\n }\n\n /* istanbul ignore else */\n if (el.classList) {\n if (cls.indexOf(' ') > -1) {\n cls.split(whitespaceRE).forEach(function (c) { return el.classList.remove(c); });\n } else {\n el.classList.remove(cls);\n }\n if (!el.classList.length) {\n el.removeAttribute('class');\n }\n } else {\n var cur = \" \" + (el.getAttribute('class') || '') + \" \";\n var tar = ' ' + cls + ' ';\n while (cur.indexOf(tar) >= 0) {\n cur = cur.replace(tar, ' ');\n }\n cur = cur.trim();\n if (cur) {\n el.setAttribute('class', cur);\n } else {\n el.removeAttribute('class');\n }\n }\n}\n\n/* */\n\nfunction resolveTransition (def$$1) {\n if (!def$$1) {\n return\n }\n /* istanbul ignore else */\n if (typeof def$$1 === 'object') {\n var res = {};\n if (def$$1.css !== false) {\n extend(res, autoCssTransition(def$$1.name || 'v'));\n }\n extend(res, def$$1);\n return res\n } else if (typeof def$$1 === 'string') {\n return autoCssTransition(def$$1)\n }\n}\n\nvar autoCssTransition = cached(function (name) {\n return {\n enterClass: (name + \"-enter\"),\n enterToClass: (name + \"-enter-to\"),\n enterActiveClass: (name + \"-enter-active\"),\n leaveClass: (name + \"-leave\"),\n leaveToClass: (name + \"-leave-to\"),\n leaveActiveClass: (name + \"-leave-active\")\n }\n});\n\nvar hasTransition = inBrowser && !isIE9;\nvar TRANSITION = 'transition';\nvar ANIMATION = 'animation';\n\n// Transition property/event sniffing\nvar transitionProp = 'transition';\nvar transitionEndEvent = 'transitionend';\nvar animationProp = 'animation';\nvar animationEndEvent = 'animationend';\nif (hasTransition) {\n /* istanbul ignore if */\n if (window.ontransitionend === undefined &&\n window.onwebkittransitionend !== undefined\n ) {\n transitionProp = 'WebkitTransition';\n transitionEndEvent = 'webkitTransitionEnd';\n }\n if (window.onanimationend === undefined &&\n window.onwebkitanimationend !== undefined\n ) {\n animationProp = 'WebkitAnimation';\n animationEndEvent = 'webkitAnimationEnd';\n }\n}\n\n// binding to window is necessary to make hot reload work in IE in strict mode\nvar raf = inBrowser\n ? window.requestAnimationFrame\n ? window.requestAnimationFrame.bind(window)\n : setTimeout\n : /* istanbul ignore next */ function (fn) { return fn(); };\n\nfunction nextFrame (fn) {\n raf(function () {\n raf(fn);\n });\n}\n\nfunction addTransitionClass (el, cls) {\n var transitionClasses = el._transitionClasses || (el._transitionClasses = []);\n if (transitionClasses.indexOf(cls) < 0) {\n transitionClasses.push(cls);\n addClass(el, cls);\n }\n}\n\nfunction removeTransitionClass (el, cls) {\n if (el._transitionClasses) {\n remove(el._transitionClasses, cls);\n }\n removeClass(el, cls);\n}\n\nfunction whenTransitionEnds (\n el,\n expectedType,\n cb\n) {\n var ref = getTransitionInfo(el, expectedType);\n var type = ref.type;\n var timeout = ref.timeout;\n var propCount = ref.propCount;\n if (!type) { return cb() }\n var event = type === TRANSITION ? transitionEndEvent : animationEndEvent;\n var ended = 0;\n var end = function () {\n el.removeEventListener(event, onEnd);\n cb();\n };\n var onEnd = function (e) {\n if (e.target === el) {\n if (++ended >= propCount) {\n end();\n }\n }\n };\n setTimeout(function () {\n if (ended < propCount) {\n end();\n }\n }, timeout + 1);\n el.addEventListener(event, onEnd);\n}\n\nvar transformRE = /\\b(transform|all)(,|$)/;\n\nfunction getTransitionInfo (el, expectedType) {\n var styles = window.getComputedStyle(el);\n // JSDOM may return undefined for transition properties\n var transitionDelays = (styles[transitionProp + 'Delay'] || '').split(', ');\n var transitionDurations = (styles[transitionProp + 'Duration'] || '').split(', ');\n var transitionTimeout = getTimeout(transitionDelays, transitionDurations);\n var animationDelays = (styles[animationProp + 'Delay'] || '').split(', ');\n var animationDurations = (styles[animationProp + 'Duration'] || '').split(', ');\n var animationTimeout = getTimeout(animationDelays, animationDurations);\n\n var type;\n var timeout = 0;\n var propCount = 0;\n /* istanbul ignore if */\n if (expectedType === TRANSITION) {\n if (transitionTimeout > 0) {\n type = TRANSITION;\n timeout = transitionTimeout;\n propCount = transitionDurations.length;\n }\n } else if (expectedType === ANIMATION) {\n if (animationTimeout > 0) {\n type = ANIMATION;\n timeout = animationTimeout;\n propCount = animationDurations.length;\n }\n } else {\n timeout = Math.max(transitionTimeout, animationTimeout);\n type = timeout > 0\n ? transitionTimeout > animationTimeout\n ? TRANSITION\n : ANIMATION\n : null;\n propCount = type\n ? type === TRANSITION\n ? transitionDurations.length\n : animationDurations.length\n : 0;\n }\n var hasTransform =\n type === TRANSITION &&\n transformRE.test(styles[transitionProp + 'Property']);\n return {\n type: type,\n timeout: timeout,\n propCount: propCount,\n hasTransform: hasTransform\n }\n}\n\nfunction getTimeout (delays, durations) {\n /* istanbul ignore next */\n while (delays.length < durations.length) {\n delays = delays.concat(delays);\n }\n\n return Math.max.apply(null, durations.map(function (d, i) {\n return toMs(d) + toMs(delays[i])\n }))\n}\n\n// Old versions of Chromium (below 61.0.3163.100) formats floating pointer numbers\n// in a locale-dependent way, using a comma instead of a dot.\n// If comma is not replaced with a dot, the input will be rounded down (i.e. acting\n// as a floor function) causing unexpected behaviors\nfunction toMs (s) {\n return Number(s.slice(0, -1).replace(',', '.')) * 1000\n}\n\n/* */\n\nfunction enter (vnode, toggleDisplay) {\n var el = vnode.elm;\n\n // call leave callback now\n if (isDef(el._leaveCb)) {\n el._leaveCb.cancelled = true;\n el._leaveCb();\n }\n\n var data = resolveTransition(vnode.data.transition);\n if (isUndef(data)) {\n return\n }\n\n /* istanbul ignore if */\n if (isDef(el._enterCb) || el.nodeType !== 1) {\n return\n }\n\n var css = data.css;\n var type = data.type;\n var enterClass = data.enterClass;\n var enterToClass = data.enterToClass;\n var enterActiveClass = data.enterActiveClass;\n var appearClass = data.appearClass;\n var appearToClass = data.appearToClass;\n var appearActiveClass = data.appearActiveClass;\n var beforeEnter = data.beforeEnter;\n var enter = data.enter;\n var afterEnter = data.afterEnter;\n var enterCancelled = data.enterCancelled;\n var beforeAppear = data.beforeAppear;\n var appear = data.appear;\n var afterAppear = data.afterAppear;\n var appearCancelled = data.appearCancelled;\n var duration = data.duration;\n\n // activeInstance will always be the <transition> component managing this\n // transition. One edge case to check is when the <transition> is placed\n // as the root node of a child component. In that case we need to check\n // <transition>'s parent for appear check.\n var context = activeInstance;\n var transitionNode = activeInstance.$vnode;\n while (transitionNode && transitionNode.parent) {\n context = transitionNode.context;\n transitionNode = transitionNode.parent;\n }\n\n var isAppear = !context._isMounted || !vnode.isRootInsert;\n\n if (isAppear && !appear && appear !== '') {\n return\n }\n\n var startClass = isAppear && appearClass\n ? appearClass\n : enterClass;\n var activeClass = isAppear && appearActiveClass\n ? appearActiveClass\n : enterActiveClass;\n var toClass = isAppear && appearToClass\n ? appearToClass\n : enterToClass;\n\n var beforeEnterHook = isAppear\n ? (beforeAppear || beforeEnter)\n : beforeEnter;\n var enterHook = isAppear\n ? (typeof appear === 'function' ? appear : enter)\n : enter;\n var afterEnterHook = isAppear\n ? (afterAppear || afterEnter)\n : afterEnter;\n var enterCancelledHook = isAppear\n ? (appearCancelled || enterCancelled)\n : enterCancelled;\n\n var explicitEnterDuration = toNumber(\n isObject(duration)\n ? duration.enter\n : duration\n );\n\n if (process.env.NODE_ENV !== 'production' && explicitEnterDuration != null) {\n checkDuration(explicitEnterDuration, 'enter', vnode);\n }\n\n var expectsCSS = css !== false && !isIE9;\n var userWantsControl = getHookArgumentsLength(enterHook);\n\n var cb = el._enterCb = once(function () {\n if (expectsCSS) {\n removeTransitionClass(el, toClass);\n removeTransitionClass(el, activeClass);\n }\n if (cb.cancelled) {\n if (expectsCSS) {\n removeTransitionClass(el, startClass);\n }\n enterCancelledHook && enterCancelledHook(el);\n } else {\n afterEnterHook && afterEnterHook(el);\n }\n el._enterCb = null;\n });\n\n if (!vnode.data.show) {\n // remove pending leave element on enter by injecting an insert hook\n mergeVNodeHook(vnode, 'insert', function () {\n var parent = el.parentNode;\n var pendingNode = parent && parent._pending && parent._pending[vnode.key];\n if (pendingNode &&\n pendingNode.tag === vnode.tag &&\n pendingNode.elm._leaveCb\n ) {\n pendingNode.elm._leaveCb();\n }\n enterHook && enterHook(el, cb);\n });\n }\n\n // start enter transition\n beforeEnterHook && beforeEnterHook(el);\n if (expectsCSS) {\n addTransitionClass(el, startClass);\n addTransitionClass(el, activeClass);\n nextFrame(function () {\n removeTransitionClass(el, startClass);\n if (!cb.cancelled) {\n addTransitionClass(el, toClass);\n if (!userWantsControl) {\n if (isValidDuration(explicitEnterDuration)) {\n setTimeout(cb, explicitEnterDuration);\n } else {\n whenTransitionEnds(el, type, cb);\n }\n }\n }\n });\n }\n\n if (vnode.data.show) {\n toggleDisplay && toggleDisplay();\n enterHook && enterHook(el, cb);\n }\n\n if (!expectsCSS && !userWantsControl) {\n cb();\n }\n}\n\nfunction leave (vnode, rm) {\n var el = vnode.elm;\n\n // call enter callback now\n if (isDef(el._enterCb)) {\n el._enterCb.cancelled = true;\n el._enterCb();\n }\n\n var data = resolveTransition(vnode.data.transition);\n if (isUndef(data) || el.nodeType !== 1) {\n return rm()\n }\n\n /* istanbul ignore if */\n if (isDef(el._leaveCb)) {\n return\n }\n\n var css = data.css;\n var type = data.type;\n var leaveClass = data.leaveClass;\n var leaveToClass = data.leaveToClass;\n var leaveActiveClass = data.leaveActiveClass;\n var beforeLeave = data.beforeLeave;\n var leave = data.leave;\n var afterLeave = data.afterLeave;\n var leaveCancelled = data.leaveCancelled;\n var delayLeave = data.delayLeave;\n var duration = data.duration;\n\n var expectsCSS = css !== false && !isIE9;\n var userWantsControl = getHookArgumentsLength(leave);\n\n var explicitLeaveDuration = toNumber(\n isObject(duration)\n ? duration.leave\n : duration\n );\n\n if (process.env.NODE_ENV !== 'production' && isDef(explicitLeaveDuration)) {\n checkDuration(explicitLeaveDuration, 'leave', vnode);\n }\n\n var cb = el._leaveCb = once(function () {\n if (el.parentNode && el.parentNode._pending) {\n el.parentNode._pending[vnode.key] = null;\n }\n if (expectsCSS) {\n removeTransitionClass(el, leaveToClass);\n removeTransitionClass(el, leaveActiveClass);\n }\n if (cb.cancelled) {\n if (expectsCSS) {\n removeTransitionClass(el, leaveClass);\n }\n leaveCancelled && leaveCancelled(el);\n } else {\n rm();\n afterLeave && afterLeave(el);\n }\n el._leaveCb = null;\n });\n\n if (delayLeave) {\n delayLeave(performLeave);\n } else {\n performLeave();\n }\n\n function performLeave () {\n // the delayed leave may have already been cancelled\n if (cb.cancelled) {\n return\n }\n // record leaving element\n if (!vnode.data.show && el.parentNode) {\n (el.parentNode._pending || (el.parentNode._pending = {}))[(vnode.key)] = vnode;\n }\n beforeLeave && beforeLeave(el);\n if (expectsCSS) {\n addTransitionClass(el, leaveClass);\n addTransitionClass(el, leaveActiveClass);\n nextFrame(function () {\n removeTransitionClass(el, leaveClass);\n if (!cb.cancelled) {\n addTransitionClass(el, leaveToClass);\n if (!userWantsControl) {\n if (isValidDuration(explicitLeaveDuration)) {\n setTimeout(cb, explicitLeaveDuration);\n } else {\n whenTransitionEnds(el, type, cb);\n }\n }\n }\n });\n }\n leave && leave(el, cb);\n if (!expectsCSS && !userWantsControl) {\n cb();\n }\n }\n}\n\n// only used in dev mode\nfunction checkDuration (val, name, vnode) {\n if (typeof val !== 'number') {\n warn(\n \"<transition> explicit \" + name + \" duration is not a valid number - \" +\n \"got \" + (JSON.stringify(val)) + \".\",\n vnode.context\n );\n } else if (isNaN(val)) {\n warn(\n \"<transition> explicit \" + name + \" duration is NaN - \" +\n 'the duration expression might be incorrect.',\n vnode.context\n );\n }\n}\n\nfunction isValidDuration (val) {\n return typeof val === 'number' && !isNaN(val)\n}\n\n/**\n * Normalize a transition hook's argument length. The hook may be:\n * - a merged hook (invoker) with the original in .fns\n * - a wrapped component method (check ._length)\n * - a plain function (.length)\n */\nfunction getHookArgumentsLength (fn) {\n if (isUndef(fn)) {\n return false\n }\n var invokerFns = fn.fns;\n if (isDef(invokerFns)) {\n // invoker\n return getHookArgumentsLength(\n Array.isArray(invokerFns)\n ? invokerFns[0]\n : invokerFns\n )\n } else {\n return (fn._length || fn.length) > 1\n }\n}\n\nfunction _enter (_, vnode) {\n if (vnode.data.show !== true) {\n enter(vnode);\n }\n}\n\nvar transition = inBrowser ? {\n create: _enter,\n activate: _enter,\n remove: function remove$$1 (vnode, rm) {\n /* istanbul ignore else */\n if (vnode.data.show !== true) {\n leave(vnode, rm);\n } else {\n rm();\n }\n }\n} : {};\n\nvar platformModules = [\n attrs,\n klass,\n events,\n domProps,\n style,\n transition\n];\n\n/* */\n\n// the directive module should be applied last, after all\n// built-in modules have been applied.\nvar modules = platformModules.concat(baseModules);\n\nvar patch = createPatchFunction({ nodeOps: nodeOps, modules: modules });\n\n/**\n * Not type checking this file because flow doesn't like attaching\n * properties to Elements.\n */\n\n/* istanbul ignore if */\nif (isIE9) {\n // http://www.matts411.com/post/internet-explorer-9-oninput/\n document.addEventListener('selectionchange', function () {\n var el = document.activeElement;\n if (el && el.vmodel) {\n trigger(el, 'input');\n }\n });\n}\n\nvar directive = {\n inserted: function inserted (el, binding, vnode, oldVnode) {\n if (vnode.tag === 'select') {\n // #6903\n if (oldVnode.elm && !oldVnode.elm._vOptions) {\n mergeVNodeHook(vnode, 'postpatch', function () {\n directive.componentUpdated(el, binding, vnode);\n });\n } else {\n setSelected(el, binding, vnode.context);\n }\n el._vOptions = [].map.call(el.options, getValue);\n } else if (vnode.tag === 'textarea' || isTextInputType(el.type)) {\n el._vModifiers = binding.modifiers;\n if (!binding.modifiers.lazy) {\n el.addEventListener('compositionstart', onCompositionStart);\n el.addEventListener('compositionend', onCompositionEnd);\n // Safari < 10.2 & UIWebView doesn't fire compositionend when\n // switching focus before confirming composition choice\n // this also fixes the issue where some browsers e.g. iOS Chrome\n // fires \"change\" instead of \"input\" on autocomplete.\n el.addEventListener('change', onCompositionEnd);\n /* istanbul ignore if */\n if (isIE9) {\n el.vmodel = true;\n }\n }\n }\n },\n\n componentUpdated: function componentUpdated (el, binding, vnode) {\n if (vnode.tag === 'select') {\n setSelected(el, binding, vnode.context);\n // in case the options rendered by v-for have changed,\n // it's possible that the value is out-of-sync with the rendered options.\n // detect such cases and filter out values that no longer has a matching\n // option in the DOM.\n var prevOptions = el._vOptions;\n var curOptions = el._vOptions = [].map.call(el.options, getValue);\n if (curOptions.some(function (o, i) { return !looseEqual(o, prevOptions[i]); })) {\n // trigger change event if\n // no matching option found for at least one value\n var needReset = el.multiple\n ? binding.value.some(function (v) { return hasNoMatchingOption(v, curOptions); })\n : binding.value !== binding.oldValue && hasNoMatchingOption(binding.value, curOptions);\n if (needReset) {\n trigger(el, 'change');\n }\n }\n }\n }\n};\n\nfunction setSelected (el, binding, vm) {\n actuallySetSelected(el, binding, vm);\n /* istanbul ignore if */\n if (isIE || isEdge) {\n setTimeout(function () {\n actuallySetSelected(el, binding, vm);\n }, 0);\n }\n}\n\nfunction actuallySetSelected (el, binding, vm) {\n var value = binding.value;\n var isMultiple = el.multiple;\n if (isMultiple && !Array.isArray(value)) {\n process.env.NODE_ENV !== 'production' && warn(\n \"<select multiple v-model=\\\"\" + (binding.expression) + \"\\\"> \" +\n \"expects an Array value for its binding, but got \" + (Object.prototype.toString.call(value).slice(8, -1)),\n vm\n );\n return\n }\n var selected, option;\n for (var i = 0, l = el.options.length; i < l; i++) {\n option = el.options[i];\n if (isMultiple) {\n selected = looseIndexOf(value, getValue(option)) > -1;\n if (option.selected !== selected) {\n option.selected = selected;\n }\n } else {\n if (looseEqual(getValue(option), value)) {\n if (el.selectedIndex !== i) {\n el.selectedIndex = i;\n }\n return\n }\n }\n }\n if (!isMultiple) {\n el.selectedIndex = -1;\n }\n}\n\nfunction hasNoMatchingOption (value, options) {\n return options.every(function (o) { return !looseEqual(o, value); })\n}\n\nfunction getValue (option) {\n return '_value' in option\n ? option._value\n : option.value\n}\n\nfunction onCompositionStart (e) {\n e.target.composing = true;\n}\n\nfunction onCompositionEnd (e) {\n // prevent triggering an input event for no reason\n if (!e.target.composing) { return }\n e.target.composing = false;\n trigger(e.target, 'input');\n}\n\nfunction trigger (el, type) {\n var e = document.createEvent('HTMLEvents');\n e.initEvent(type, true, true);\n el.dispatchEvent(e);\n}\n\n/* */\n\n// recursively search for possible transition defined inside the component root\nfunction locateNode (vnode) {\n return vnode.componentInstance && (!vnode.data || !vnode.data.transition)\n ? locateNode(vnode.componentInstance._vnode)\n : vnode\n}\n\nvar show = {\n bind: function bind (el, ref, vnode) {\n var value = ref.value;\n\n vnode = locateNode(vnode);\n var transition$$1 = vnode.data && vnode.data.transition;\n var originalDisplay = el.__vOriginalDisplay =\n el.style.display === 'none' ? '' : el.style.display;\n if (value && transition$$1) {\n vnode.data.show = true;\n enter(vnode, function () {\n el.style.display = originalDisplay;\n });\n } else {\n el.style.display = value ? originalDisplay : 'none';\n }\n },\n\n update: function update (el, ref, vnode) {\n var value = ref.value;\n var oldValue = ref.oldValue;\n\n /* istanbul ignore if */\n if (!value === !oldValue) { return }\n vnode = locateNode(vnode);\n var transition$$1 = vnode.data && vnode.data.transition;\n if (transition$$1) {\n vnode.data.show = true;\n if (value) {\n enter(vnode, function () {\n el.style.display = el.__vOriginalDisplay;\n });\n } else {\n leave(vnode, function () {\n el.style.display = 'none';\n });\n }\n } else {\n el.style.display = value ? el.__vOriginalDisplay : 'none';\n }\n },\n\n unbind: function unbind (\n el,\n binding,\n vnode,\n oldVnode,\n isDestroy\n ) {\n if (!isDestroy) {\n el.style.display = el.__vOriginalDisplay;\n }\n }\n};\n\nvar platformDirectives = {\n model: directive,\n show: show\n};\n\n/* */\n\nvar transitionProps = {\n name: String,\n appear: Boolean,\n css: Boolean,\n mode: String,\n type: String,\n enterClass: String,\n leaveClass: String,\n enterToClass: String,\n leaveToClass: String,\n enterActiveClass: String,\n leaveActiveClass: String,\n appearClass: String,\n appearActiveClass: String,\n appearToClass: String,\n duration: [Number, String, Object]\n};\n\n// in case the child is also an abstract component, e.g. <keep-alive>\n// we want to recursively retrieve the real component to be rendered\nfunction getRealChild (vnode) {\n var compOptions = vnode && vnode.componentOptions;\n if (compOptions && compOptions.Ctor.options.abstract) {\n return getRealChild(getFirstComponentChild(compOptions.children))\n } else {\n return vnode\n }\n}\n\nfunction extractTransitionData (comp) {\n var data = {};\n var options = comp.$options;\n // props\n for (var key in options.propsData) {\n data[key] = comp[key];\n }\n // events.\n // extract listeners and pass them directly to the transition methods\n var listeners = options._parentListeners;\n for (var key$1 in listeners) {\n data[camelize(key$1)] = listeners[key$1];\n }\n return data\n}\n\nfunction placeholder (h, rawChild) {\n if (/\\d-keep-alive$/.test(rawChild.tag)) {\n return h('keep-alive', {\n props: rawChild.componentOptions.propsData\n })\n }\n}\n\nfunction hasParentTransition (vnode) {\n while ((vnode = vnode.parent)) {\n if (vnode.data.transition) {\n return true\n }\n }\n}\n\nfunction isSameChild (child, oldChild) {\n return oldChild.key === child.key && oldChild.tag === child.tag\n}\n\nvar isNotTextNode = function (c) { return c.tag || isAsyncPlaceholder(c); };\n\nvar isVShowDirective = function (d) { return d.name === 'show'; };\n\nvar Transition = {\n name: 'transition',\n props: transitionProps,\n abstract: true,\n\n render: function render (h) {\n var this$1 = this;\n\n var children = this.$slots.default;\n if (!children) {\n return\n }\n\n // filter out text nodes (possible whitespaces)\n children = children.filter(isNotTextNode);\n /* istanbul ignore if */\n if (!children.length) {\n return\n }\n\n // warn multiple elements\n if (process.env.NODE_ENV !== 'production' && children.length > 1) {\n warn(\n '<transition> can only be used on a single element. Use ' +\n '<transition-group> for lists.',\n this.$parent\n );\n }\n\n var mode = this.mode;\n\n // warn invalid mode\n if (process.env.NODE_ENV !== 'production' &&\n mode && mode !== 'in-out' && mode !== 'out-in'\n ) {\n warn(\n 'invalid <transition> mode: ' + mode,\n this.$parent\n );\n }\n\n var rawChild = children[0];\n\n // if this is a component root node and the component's\n // parent container node also has transition, skip.\n if (hasParentTransition(this.$vnode)) {\n return rawChild\n }\n\n // apply transition data to child\n // use getRealChild() to ignore abstract components e.g. keep-alive\n var child = getRealChild(rawChild);\n /* istanbul ignore if */\n if (!child) {\n return rawChild\n }\n\n if (this._leaving) {\n return placeholder(h, rawChild)\n }\n\n // ensure a key that is unique to the vnode type and to this transition\n // component instance. This key will be used to remove pending leaving nodes\n // during entering.\n var id = \"__transition-\" + (this._uid) + \"-\";\n child.key = child.key == null\n ? child.isComment\n ? id + 'comment'\n : id + child.tag\n : isPrimitive(child.key)\n ? (String(child.key).indexOf(id) === 0 ? child.key : id + child.key)\n : child.key;\n\n var data = (child.data || (child.data = {})).transition = extractTransitionData(this);\n var oldRawChild = this._vnode;\n var oldChild = getRealChild(oldRawChild);\n\n // mark v-show\n // so that the transition module can hand over the control to the directive\n if (child.data.directives && child.data.directives.some(isVShowDirective)) {\n child.data.show = true;\n }\n\n if (\n oldChild &&\n oldChild.data &&\n !isSameChild(child, oldChild) &&\n !isAsyncPlaceholder(oldChild) &&\n // #6687 component root is a comment node\n !(oldChild.componentInstance && oldChild.componentInstance._vnode.isComment)\n ) {\n // replace old child transition data with fresh one\n // important for dynamic transitions!\n var oldData = oldChild.data.transition = extend({}, data);\n // handle transition mode\n if (mode === 'out-in') {\n // return placeholder node and queue update when leave finishes\n this._leaving = true;\n mergeVNodeHook(oldData, 'afterLeave', function () {\n this$1._leaving = false;\n this$1.$forceUpdate();\n });\n return placeholder(h, rawChild)\n } else if (mode === 'in-out') {\n if (isAsyncPlaceholder(child)) {\n return oldRawChild\n }\n var delayedLeave;\n var performLeave = function () { delayedLeave(); };\n mergeVNodeHook(data, 'afterEnter', performLeave);\n mergeVNodeHook(data, 'enterCancelled', performLeave);\n mergeVNodeHook(oldData, 'delayLeave', function (leave) { delayedLeave = leave; });\n }\n }\n\n return rawChild\n }\n};\n\n/* */\n\nvar props = extend({\n tag: String,\n moveClass: String\n}, transitionProps);\n\ndelete props.mode;\n\nvar TransitionGroup = {\n props: props,\n\n beforeMount: function beforeMount () {\n var this$1 = this;\n\n var update = this._update;\n this._update = function (vnode, hydrating) {\n var restoreActiveInstance = setActiveInstance(this$1);\n // force removing pass\n this$1.__patch__(\n this$1._vnode,\n this$1.kept,\n false, // hydrating\n true // removeOnly (!important, avoids unnecessary moves)\n );\n this$1._vnode = this$1.kept;\n restoreActiveInstance();\n update.call(this$1, vnode, hydrating);\n };\n },\n\n render: function render (h) {\n var tag = this.tag || this.$vnode.data.tag || 'span';\n var map = Object.create(null);\n var prevChildren = this.prevChildren = this.children;\n var rawChildren = this.$slots.default || [];\n var children = this.children = [];\n var transitionData = extractTransitionData(this);\n\n for (var i = 0; i < rawChildren.length; i++) {\n var c = rawChildren[i];\n if (c.tag) {\n if (c.key != null && String(c.key).indexOf('__vlist') !== 0) {\n children.push(c);\n map[c.key] = c\n ;(c.data || (c.data = {})).transition = transitionData;\n } else if (process.env.NODE_ENV !== 'production') {\n var opts = c.componentOptions;\n var name = opts ? (opts.Ctor.options.name || opts.tag || '') : c.tag;\n warn((\"<transition-group> children must be keyed: <\" + name + \">\"));\n }\n }\n }\n\n if (prevChildren) {\n var kept = [];\n var removed = [];\n for (var i$1 = 0; i$1 < prevChildren.length; i$1++) {\n var c$1 = prevChildren[i$1];\n c$1.data.transition = transitionData;\n c$1.data.pos = c$1.elm.getBoundingClientRect();\n if (map[c$1.key]) {\n kept.push(c$1);\n } else {\n removed.push(c$1);\n }\n }\n this.kept = h(tag, null, kept);\n this.removed = removed;\n }\n\n return h(tag, null, children)\n },\n\n updated: function updated () {\n var children = this.prevChildren;\n var moveClass = this.moveClass || ((this.name || 'v') + '-move');\n if (!children.length || !this.hasMove(children[0].elm, moveClass)) {\n return\n }\n\n // we divide the work into three loops to avoid mixing DOM reads and writes\n // in each iteration - which helps prevent layout thrashing.\n children.forEach(callPendingCbs);\n children.forEach(recordPosition);\n children.forEach(applyTranslation);\n\n // force reflow to put everything in position\n // assign to this to avoid being removed in tree-shaking\n // $flow-disable-line\n this._reflow = document.body.offsetHeight;\n\n children.forEach(function (c) {\n if (c.data.moved) {\n var el = c.elm;\n var s = el.style;\n addTransitionClass(el, moveClass);\n s.transform = s.WebkitTransform = s.transitionDuration = '';\n el.addEventListener(transitionEndEvent, el._moveCb = function cb (e) {\n if (e && e.target !== el) {\n return\n }\n if (!e || /transform$/.test(e.propertyName)) {\n el.removeEventListener(transitionEndEvent, cb);\n el._moveCb = null;\n removeTransitionClass(el, moveClass);\n }\n });\n }\n });\n },\n\n methods: {\n hasMove: function hasMove (el, moveClass) {\n /* istanbul ignore if */\n if (!hasTransition) {\n return false\n }\n /* istanbul ignore if */\n if (this._hasMove) {\n return this._hasMove\n }\n // Detect whether an element with the move class applied has\n // CSS transitions. Since the element may be inside an entering\n // transition at this very moment, we make a clone of it and remove\n // all other transition classes applied to ensure only the move class\n // is applied.\n var clone = el.cloneNode();\n if (el._transitionClasses) {\n el._transitionClasses.forEach(function (cls) { removeClass(clone, cls); });\n }\n addClass(clone, moveClass);\n clone.style.display = 'none';\n this.$el.appendChild(clone);\n var info = getTransitionInfo(clone);\n this.$el.removeChild(clone);\n return (this._hasMove = info.hasTransform)\n }\n }\n};\n\nfunction callPendingCbs (c) {\n /* istanbul ignore if */\n if (c.elm._moveCb) {\n c.elm._moveCb();\n }\n /* istanbul ignore if */\n if (c.elm._enterCb) {\n c.elm._enterCb();\n }\n}\n\nfunction recordPosition (c) {\n c.data.newPos = c.elm.getBoundingClientRect();\n}\n\nfunction applyTranslation (c) {\n var oldPos = c.data.pos;\n var newPos = c.data.newPos;\n var dx = oldPos.left - newPos.left;\n var dy = oldPos.top - newPos.top;\n if (dx || dy) {\n c.data.moved = true;\n var s = c.elm.style;\n s.transform = s.WebkitTransform = \"translate(\" + dx + \"px,\" + dy + \"px)\";\n s.transitionDuration = '0s';\n }\n}\n\nvar platformComponents = {\n Transition: Transition,\n TransitionGroup: TransitionGroup\n};\n\n/* */\n\n// install platform specific utils\nVue.config.mustUseProp = mustUseProp;\nVue.config.isReservedTag = isReservedTag;\nVue.config.isReservedAttr = isReservedAttr;\nVue.config.getTagNamespace = getTagNamespace;\nVue.config.isUnknownElement = isUnknownElement;\n\n// install platform runtime directives & components\nextend(Vue.options.directives, platformDirectives);\nextend(Vue.options.components, platformComponents);\n\n// install platform patch function\nVue.prototype.__patch__ = inBrowser ? patch : noop;\n\n// public mount method\nVue.prototype.$mount = function (\n el,\n hydrating\n) {\n el = el && inBrowser ? query(el) : undefined;\n return mountComponent(this, el, hydrating)\n};\n\n// devtools global hook\n/* istanbul ignore next */\nif (inBrowser) {\n setTimeout(function () {\n if (config.devtools) {\n if (devtools) {\n devtools.emit('init', Vue);\n } else if (\n process.env.NODE_ENV !== 'production' &&\n process.env.NODE_ENV !== 'test'\n ) {\n console[console.info ? 'info' : 'log'](\n 'Download the Vue Devtools extension for a better development experience:\\n' +\n 'https://github.com/vuejs/vue-devtools'\n );\n }\n }\n if (process.env.NODE_ENV !== 'production' &&\n process.env.NODE_ENV !== 'test' &&\n config.productionTip !== false &&\n typeof console !== 'undefined'\n ) {\n console[console.info ? 'info' : 'log'](\n \"You are running Vue in development mode.\\n\" +\n \"Make sure to turn on production mode when deploying for production.\\n\" +\n \"See more tips at https://vuejs.org/guide/deployment.html\"\n );\n }\n }, 0);\n}\n\n/* */\n\nvar defaultTagRE = /\\{\\{((?:.|\\r?\\n)+?)\\}\\}/g;\nvar regexEscapeRE = /[-.*+?^${}()|[\\]\\/\\\\]/g;\n\nvar buildRegex = cached(function (delimiters) {\n var open = delimiters[0].replace(regexEscapeRE, '\\\\$&');\n var close = delimiters[1].replace(regexEscapeRE, '\\\\$&');\n return new RegExp(open + '((?:.|\\\\n)+?)' + close, 'g')\n});\n\n\n\nfunction parseText (\n text,\n delimiters\n) {\n var tagRE = delimiters ? buildRegex(delimiters) : defaultTagRE;\n if (!tagRE.test(text)) {\n return\n }\n var tokens = [];\n var rawTokens = [];\n var lastIndex = tagRE.lastIndex = 0;\n var match, index, tokenValue;\n while ((match = tagRE.exec(text))) {\n index = match.index;\n // push text token\n if (index > lastIndex) {\n rawTokens.push(tokenValue = text.slice(lastIndex, index));\n tokens.push(JSON.stringify(tokenValue));\n }\n // tag token\n var exp = parseFilters(match[1].trim());\n tokens.push((\"_s(\" + exp + \")\"));\n rawTokens.push({ '@binding': exp });\n lastIndex = index + match[0].length;\n }\n if (lastIndex < text.length) {\n rawTokens.push(tokenValue = text.slice(lastIndex));\n tokens.push(JSON.stringify(tokenValue));\n }\n return {\n expression: tokens.join('+'),\n tokens: rawTokens\n }\n}\n\n/* */\n\nfunction transformNode (el, options) {\n var warn = options.warn || baseWarn;\n var staticClass = getAndRemoveAttr(el, 'class');\n if (process.env.NODE_ENV !== 'production' && staticClass) {\n var res = parseText(staticClass, options.delimiters);\n if (res) {\n warn(\n \"class=\\\"\" + staticClass + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div class=\"{{ val }}\">, use <div :class=\"val\">.',\n el.rawAttrsMap['class']\n );\n }\n }\n if (staticClass) {\n el.staticClass = JSON.stringify(staticClass);\n }\n var classBinding = getBindingAttr(el, 'class', false /* getStatic */);\n if (classBinding) {\n el.classBinding = classBinding;\n }\n}\n\nfunction genData (el) {\n var data = '';\n if (el.staticClass) {\n data += \"staticClass:\" + (el.staticClass) + \",\";\n }\n if (el.classBinding) {\n data += \"class:\" + (el.classBinding) + \",\";\n }\n return data\n}\n\nvar klass$1 = {\n staticKeys: ['staticClass'],\n transformNode: transformNode,\n genData: genData\n};\n\n/* */\n\nfunction transformNode$1 (el, options) {\n var warn = options.warn || baseWarn;\n var staticStyle = getAndRemoveAttr(el, 'style');\n if (staticStyle) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n var res = parseText(staticStyle, options.delimiters);\n if (res) {\n warn(\n \"style=\\\"\" + staticStyle + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div style=\"{{ val }}\">, use <div :style=\"val\">.',\n el.rawAttrsMap['style']\n );\n }\n }\n el.staticStyle = JSON.stringify(parseStyleText(staticStyle));\n }\n\n var styleBinding = getBindingAttr(el, 'style', false /* getStatic */);\n if (styleBinding) {\n el.styleBinding = styleBinding;\n }\n}\n\nfunction genData$1 (el) {\n var data = '';\n if (el.staticStyle) {\n data += \"staticStyle:\" + (el.staticStyle) + \",\";\n }\n if (el.styleBinding) {\n data += \"style:(\" + (el.styleBinding) + \"),\";\n }\n return data\n}\n\nvar style$1 = {\n staticKeys: ['staticStyle'],\n transformNode: transformNode$1,\n genData: genData$1\n};\n\n/* */\n\nvar decoder;\n\nvar he = {\n decode: function decode (html) {\n decoder = decoder || document.createElement('div');\n decoder.innerHTML = html;\n return decoder.textContent\n }\n};\n\n/* */\n\nvar isUnaryTag = makeMap(\n 'area,base,br,col,embed,frame,hr,img,input,isindex,keygen,' +\n 'link,meta,param,source,track,wbr'\n);\n\n// Elements that you can, intentionally, leave open\n// (and which close themselves)\nvar canBeLeftOpenTag = makeMap(\n 'colgroup,dd,dt,li,options,p,td,tfoot,th,thead,tr,source'\n);\n\n// HTML5 tags https://html.spec.whatwg.org/multipage/indices.html#elements-3\n// Phrasing Content https://html.spec.whatwg.org/multipage/dom.html#phrasing-content\nvar isNonPhrasingTag = makeMap(\n 'address,article,aside,base,blockquote,body,caption,col,colgroup,dd,' +\n 'details,dialog,div,dl,dt,fieldset,figcaption,figure,footer,form,' +\n 'h1,h2,h3,h4,h5,h6,head,header,hgroup,hr,html,legend,li,menuitem,meta,' +\n 'optgroup,option,param,rp,rt,source,style,summary,tbody,td,tfoot,th,thead,' +\n 'title,tr,track'\n);\n\n/**\n * Not type-checking this file because it's mostly vendor code.\n */\n\n// Regular Expressions for parsing tags and attributes\nvar attribute = /^\\s*([^\\s\"'<>\\/=]+)(?:\\s*(=)\\s*(?:\"([^\"]*)\"+|'([^']*)'+|([^\\s\"'=<>`]+)))?/;\nvar dynamicArgAttribute = /^\\s*((?:v-[\\w-]+:|@|:|#)\\[[^=]+\\][^\\s\"'<>\\/=]*)(?:\\s*(=)\\s*(?:\"([^\"]*)\"+|'([^']*)'+|([^\\s\"'=<>`]+)))?/;\nvar ncname = \"[a-zA-Z_][\\\\-\\\\.0-9_a-zA-Z\" + (unicodeRegExp.source) + \"]*\";\nvar qnameCapture = \"((?:\" + ncname + \"\\\\:)?\" + ncname + \")\";\nvar startTagOpen = new RegExp((\"^<\" + qnameCapture));\nvar startTagClose = /^\\s*(\\/?)>/;\nvar endTag = new RegExp((\"^<\\\\/\" + qnameCapture + \"[^>]*>\"));\nvar doctype = /^<!DOCTYPE [^>]+>/i;\n// #7298: escape - to avoid being passed as HTML comment when inlined in page\nvar comment = /^<!\\--/;\nvar conditionalComment = /^<!\\[/;\n\n// Special Elements (can contain anything)\nvar isPlainTextElement = makeMap('script,style,textarea', true);\nvar reCache = {};\n\nvar decodingMap = {\n '&lt;': '<',\n '&gt;': '>',\n '&quot;': '\"',\n '&amp;': '&',\n '&#10;': '\\n',\n '&#9;': '\\t',\n '&#39;': \"'\"\n};\nvar encodedAttr = /&(?:lt|gt|quot|amp|#39);/g;\nvar encodedAttrWithNewLines = /&(?:lt|gt|quot|amp|#39|#10|#9);/g;\n\n// #5992\nvar isIgnoreNewlineTag = makeMap('pre,textarea', true);\nvar shouldIgnoreFirstNewline = function (tag, html) { return tag && isIgnoreNewlineTag(tag) && html[0] === '\\n'; };\n\nfunction decodeAttr (value, shouldDecodeNewlines) {\n var re = shouldDecodeNewlines ? encodedAttrWithNewLines : encodedAttr;\n return value.replace(re, function (match) { return decodingMap[match]; })\n}\n\nfunction parseHTML (html, options) {\n var stack = [];\n var expectHTML = options.expectHTML;\n var isUnaryTag$$1 = options.isUnaryTag || no;\n var canBeLeftOpenTag$$1 = options.canBeLeftOpenTag || no;\n var index = 0;\n var last, lastTag;\n while (html) {\n last = html;\n // Make sure we're not in a plaintext content element like script/style\n if (!lastTag || !isPlainTextElement(lastTag)) {\n var textEnd = html.indexOf('<');\n if (textEnd === 0) {\n // Comment:\n if (comment.test(html)) {\n var commentEnd = html.indexOf('-->');\n\n if (commentEnd >= 0) {\n if (options.shouldKeepComment) {\n options.comment(html.substring(4, commentEnd), index, index + commentEnd + 3);\n }\n advance(commentEnd + 3);\n continue\n }\n }\n\n // http://en.wikipedia.org/wiki/Conditional_comment#Downlevel-revealed_conditional_comment\n if (conditionalComment.test(html)) {\n var conditionalEnd = html.indexOf(']>');\n\n if (conditionalEnd >= 0) {\n advance(conditionalEnd + 2);\n continue\n }\n }\n\n // Doctype:\n var doctypeMatch = html.match(doctype);\n if (doctypeMatch) {\n advance(doctypeMatch[0].length);\n continue\n }\n\n // End tag:\n var endTagMatch = html.match(endTag);\n if (endTagMatch) {\n var curIndex = index;\n advance(endTagMatch[0].length);\n parseEndTag(endTagMatch[1], curIndex, index);\n continue\n }\n\n // Start tag:\n var startTagMatch = parseStartTag();\n if (startTagMatch) {\n handleStartTag(startTagMatch);\n if (shouldIgnoreFirstNewline(startTagMatch.tagName, html)) {\n advance(1);\n }\n continue\n }\n }\n\n var text = (void 0), rest = (void 0), next = (void 0);\n if (textEnd >= 0) {\n rest = html.slice(textEnd);\n while (\n !endTag.test(rest) &&\n !startTagOpen.test(rest) &&\n !comment.test(rest) &&\n !conditionalComment.test(rest)\n ) {\n // < in plain text, be forgiving and treat it as text\n next = rest.indexOf('<', 1);\n if (next < 0) { break }\n textEnd += next;\n rest = html.slice(textEnd);\n }\n text = html.substring(0, textEnd);\n }\n\n if (textEnd < 0) {\n text = html;\n }\n\n if (text) {\n advance(text.length);\n }\n\n if (options.chars && text) {\n options.chars(text, index - text.length, index);\n }\n } else {\n var endTagLength = 0;\n var stackedTag = lastTag.toLowerCase();\n var reStackedTag = reCache[stackedTag] || (reCache[stackedTag] = new RegExp('([\\\\s\\\\S]*?)(</' + stackedTag + '[^>]*>)', 'i'));\n var rest$1 = html.replace(reStackedTag, function (all, text, endTag) {\n endTagLength = endTag.length;\n if (!isPlainTextElement(stackedTag) && stackedTag !== 'noscript') {\n text = text\n .replace(/<!\\--([\\s\\S]*?)-->/g, '$1') // #7298\n .replace(/<!\\[CDATA\\[([\\s\\S]*?)]]>/g, '$1');\n }\n if (shouldIgnoreFirstNewline(stackedTag, text)) {\n text = text.slice(1);\n }\n if (options.chars) {\n options.chars(text);\n }\n return ''\n });\n index += html.length - rest$1.length;\n html = rest$1;\n parseEndTag(stackedTag, index - endTagLength, index);\n }\n\n if (html === last) {\n options.chars && options.chars(html);\n if (process.env.NODE_ENV !== 'production' && !stack.length && options.warn) {\n options.warn((\"Mal-formatted tag at end of template: \\\"\" + html + \"\\\"\"), { start: index + html.length });\n }\n break\n }\n }\n\n // Clean up any remaining tags\n parseEndTag();\n\n function advance (n) {\n index += n;\n html = html.substring(n);\n }\n\n function parseStartTag () {\n var start = html.match(startTagOpen);\n if (start) {\n var match = {\n tagName: start[1],\n attrs: [],\n start: index\n };\n advance(start[0].length);\n var end, attr;\n while (!(end = html.match(startTagClose)) && (attr = html.match(dynamicArgAttribute) || html.match(attribute))) {\n attr.start = index;\n advance(attr[0].length);\n attr.end = index;\n match.attrs.push(attr);\n }\n if (end) {\n match.unarySlash = end[1];\n advance(end[0].length);\n match.end = index;\n return match\n }\n }\n }\n\n function handleStartTag (match) {\n var tagName = match.tagName;\n var unarySlash = match.unarySlash;\n\n if (expectHTML) {\n if (lastTag === 'p' && isNonPhrasingTag(tagName)) {\n parseEndTag(lastTag);\n }\n if (canBeLeftOpenTag$$1(tagName) && lastTag === tagName) {\n parseEndTag(tagName);\n }\n }\n\n var unary = isUnaryTag$$1(tagName) || !!unarySlash;\n\n var l = match.attrs.length;\n var attrs = new Array(l);\n for (var i = 0; i < l; i++) {\n var args = match.attrs[i];\n var value = args[3] || args[4] || args[5] || '';\n var shouldDecodeNewlines = tagName === 'a' && args[1] === 'href'\n ? options.shouldDecodeNewlinesForHref\n : options.shouldDecodeNewlines;\n attrs[i] = {\n name: args[1],\n value: decodeAttr(value, shouldDecodeNewlines)\n };\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n attrs[i].start = args.start + args[0].match(/^\\s*/).length;\n attrs[i].end = args.end;\n }\n }\n\n if (!unary) {\n stack.push({ tag: tagName, lowerCasedTag: tagName.toLowerCase(), attrs: attrs, start: match.start, end: match.end });\n lastTag = tagName;\n }\n\n if (options.start) {\n options.start(tagName, attrs, unary, match.start, match.end);\n }\n }\n\n function parseEndTag (tagName, start, end) {\n var pos, lowerCasedTagName;\n if (start == null) { start = index; }\n if (end == null) { end = index; }\n\n // Find the closest opened tag of the same type\n if (tagName) {\n lowerCasedTagName = tagName.toLowerCase();\n for (pos = stack.length - 1; pos >= 0; pos--) {\n if (stack[pos].lowerCasedTag === lowerCasedTagName) {\n break\n }\n }\n } else {\n // If no tag name is provided, clean shop\n pos = 0;\n }\n\n if (pos >= 0) {\n // Close all the open elements, up the stack\n for (var i = stack.length - 1; i >= pos; i--) {\n if (process.env.NODE_ENV !== 'production' &&\n (i > pos || !tagName) &&\n options.warn\n ) {\n options.warn(\n (\"tag <\" + (stack[i].tag) + \"> has no matching end tag.\"),\n { start: stack[i].start, end: stack[i].end }\n );\n }\n if (options.end) {\n options.end(stack[i].tag, start, end);\n }\n }\n\n // Remove the open elements from the stack\n stack.length = pos;\n lastTag = pos && stack[pos - 1].tag;\n } else if (lowerCasedTagName === 'br') {\n if (options.start) {\n options.start(tagName, [], true, start, end);\n }\n } else if (lowerCasedTagName === 'p') {\n if (options.start) {\n options.start(tagName, [], false, start, end);\n }\n if (options.end) {\n options.end(tagName, start, end);\n }\n }\n }\n}\n\n/* */\n\nvar onRE = /^@|^v-on:/;\nvar dirRE = /^v-|^@|^:|^#/;\nvar forAliasRE = /([\\s\\S]*?)\\s+(?:in|of)\\s+([\\s\\S]*)/;\nvar forIteratorRE = /,([^,\\}\\]]*)(?:,([^,\\}\\]]*))?$/;\nvar stripParensRE = /^\\(|\\)$/g;\nvar dynamicArgRE = /^\\[.*\\]$/;\n\nvar argRE = /:(.*)$/;\nvar bindRE = /^:|^\\.|^v-bind:/;\nvar modifierRE = /\\.[^.\\]]+(?=[^\\]]*$)/g;\n\nvar slotRE = /^v-slot(:|$)|^#/;\n\nvar lineBreakRE = /[\\r\\n]/;\nvar whitespaceRE$1 = /\\s+/g;\n\nvar invalidAttributeRE = /[\\s\"'<>\\/=]/;\n\nvar decodeHTMLCached = cached(he.decode);\n\nvar emptySlotScopeToken = \"_empty_\";\n\n// configurable state\nvar warn$2;\nvar delimiters;\nvar transforms;\nvar preTransforms;\nvar postTransforms;\nvar platformIsPreTag;\nvar platformMustUseProp;\nvar platformGetTagNamespace;\nvar maybeComponent;\n\nfunction createASTElement (\n tag,\n attrs,\n parent\n) {\n return {\n type: 1,\n tag: tag,\n attrsList: attrs,\n attrsMap: makeAttrsMap(attrs),\n rawAttrsMap: {},\n parent: parent,\n children: []\n }\n}\n\n/**\n * Convert HTML string to AST.\n */\nfunction parse (\n template,\n options\n) {\n warn$2 = options.warn || baseWarn;\n\n platformIsPreTag = options.isPreTag || no;\n platformMustUseProp = options.mustUseProp || no;\n platformGetTagNamespace = options.getTagNamespace || no;\n var isReservedTag = options.isReservedTag || no;\n maybeComponent = function (el) { return !!el.component || !isReservedTag(el.tag); };\n\n transforms = pluckModuleFunction(options.modules, 'transformNode');\n preTransforms = pluckModuleFunction(options.modules, 'preTransformNode');\n postTransforms = pluckModuleFunction(options.modules, 'postTransformNode');\n\n delimiters = options.delimiters;\n\n var stack = [];\n var preserveWhitespace = options.preserveWhitespace !== false;\n var whitespaceOption = options.whitespace;\n var root;\n var currentParent;\n var inVPre = false;\n var inPre = false;\n var warned = false;\n\n function warnOnce (msg, range) {\n if (!warned) {\n warned = true;\n warn$2(msg, range);\n }\n }\n\n function closeElement (element) {\n trimEndingWhitespace(element);\n if (!inVPre && !element.processed) {\n element = processElement(element, options);\n }\n // tree management\n if (!stack.length && element !== root) {\n // allow root elements with v-if, v-else-if and v-else\n if (root.if && (element.elseif || element.else)) {\n if (process.env.NODE_ENV !== 'production') {\n checkRootConstraints(element);\n }\n addIfCondition(root, {\n exp: element.elseif,\n block: element\n });\n } else if (process.env.NODE_ENV !== 'production') {\n warnOnce(\n \"Component template should contain exactly one root element. \" +\n \"If you are using v-if on multiple elements, \" +\n \"use v-else-if to chain them instead.\",\n { start: element.start }\n );\n }\n }\n if (currentParent && !element.forbidden) {\n if (element.elseif || element.else) {\n processIfConditions(element, currentParent);\n } else {\n if (element.slotScope) {\n // scoped slot\n // keep it in the children list so that v-else(-if) conditions can\n // find it as the prev node.\n var name = element.slotTarget || '\"default\"'\n ;(currentParent.scopedSlots || (currentParent.scopedSlots = {}))[name] = element;\n }\n currentParent.children.push(element);\n element.parent = currentParent;\n }\n }\n\n // final children cleanup\n // filter out scoped slots\n element.children = element.children.filter(function (c) { return !(c).slotScope; });\n // remove trailing whitespace node again\n trimEndingWhitespace(element);\n\n // check pre state\n if (element.pre) {\n inVPre = false;\n }\n if (platformIsPreTag(element.tag)) {\n inPre = false;\n }\n // apply post-transforms\n for (var i = 0; i < postTransforms.length; i++) {\n postTransforms[i](element, options);\n }\n }\n\n function trimEndingWhitespace (el) {\n // remove trailing whitespace node\n if (!inPre) {\n var lastNode;\n while (\n (lastNode = el.children[el.children.length - 1]) &&\n lastNode.type === 3 &&\n lastNode.text === ' '\n ) {\n el.children.pop();\n }\n }\n }\n\n function checkRootConstraints (el) {\n if (el.tag === 'slot' || el.tag === 'template') {\n warnOnce(\n \"Cannot use <\" + (el.tag) + \"> as component root element because it may \" +\n 'contain multiple nodes.',\n { start: el.start }\n );\n }\n if (el.attrsMap.hasOwnProperty('v-for')) {\n warnOnce(\n 'Cannot use v-for on stateful component root element because ' +\n 'it renders multiple elements.',\n el.rawAttrsMap['v-for']\n );\n }\n }\n\n parseHTML(template, {\n warn: warn$2,\n expectHTML: options.expectHTML,\n isUnaryTag: options.isUnaryTag,\n canBeLeftOpenTag: options.canBeLeftOpenTag,\n shouldDecodeNewlines: options.shouldDecodeNewlines,\n shouldDecodeNewlinesForHref: options.shouldDecodeNewlinesForHref,\n shouldKeepComment: options.comments,\n outputSourceRange: options.outputSourceRange,\n start: function start (tag, attrs, unary, start$1, end) {\n // check namespace.\n // inherit parent ns if there is one\n var ns = (currentParent && currentParent.ns) || platformGetTagNamespace(tag);\n\n // handle IE svg bug\n /* istanbul ignore if */\n if (isIE && ns === 'svg') {\n attrs = guardIESVGBug(attrs);\n }\n\n var element = createASTElement(tag, attrs, currentParent);\n if (ns) {\n element.ns = ns;\n }\n\n if (process.env.NODE_ENV !== 'production') {\n if (options.outputSourceRange) {\n element.start = start$1;\n element.end = end;\n element.rawAttrsMap = element.attrsList.reduce(function (cumulated, attr) {\n cumulated[attr.name] = attr;\n return cumulated\n }, {});\n }\n attrs.forEach(function (attr) {\n if (invalidAttributeRE.test(attr.name)) {\n warn$2(\n \"Invalid dynamic argument expression: attribute names cannot contain \" +\n \"spaces, quotes, <, >, / or =.\",\n {\n start: attr.start + attr.name.indexOf(\"[\"),\n end: attr.start + attr.name.length\n }\n );\n }\n });\n }\n\n if (isForbiddenTag(element) && !isServerRendering()) {\n element.forbidden = true;\n process.env.NODE_ENV !== 'production' && warn$2(\n 'Templates should only be responsible for mapping the state to the ' +\n 'UI. Avoid placing tags with side-effects in your templates, such as ' +\n \"<\" + tag + \">\" + ', as they will not be parsed.',\n { start: element.start }\n );\n }\n\n // apply pre-transforms\n for (var i = 0; i < preTransforms.length; i++) {\n element = preTransforms[i](element, options) || element;\n }\n\n if (!inVPre) {\n processPre(element);\n if (element.pre) {\n inVPre = true;\n }\n }\n if (platformIsPreTag(element.tag)) {\n inPre = true;\n }\n if (inVPre) {\n processRawAttrs(element);\n } else if (!element.processed) {\n // structural directives\n processFor(element);\n processIf(element);\n processOnce(element);\n }\n\n if (!root) {\n root = element;\n if (process.env.NODE_ENV !== 'production') {\n checkRootConstraints(root);\n }\n }\n\n if (!unary) {\n currentParent = element;\n stack.push(element);\n } else {\n closeElement(element);\n }\n },\n\n end: function end (tag, start, end$1) {\n var element = stack[stack.length - 1];\n // pop stack\n stack.length -= 1;\n currentParent = stack[stack.length - 1];\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n element.end = end$1;\n }\n closeElement(element);\n },\n\n chars: function chars (text, start, end) {\n if (!currentParent) {\n if (process.env.NODE_ENV !== 'production') {\n if (text === template) {\n warnOnce(\n 'Component template requires a root element, rather than just text.',\n { start: start }\n );\n } else if ((text = text.trim())) {\n warnOnce(\n (\"text \\\"\" + text + \"\\\" outside root element will be ignored.\"),\n { start: start }\n );\n }\n }\n return\n }\n // IE textarea placeholder bug\n /* istanbul ignore if */\n if (isIE &&\n currentParent.tag === 'textarea' &&\n currentParent.attrsMap.placeholder === text\n ) {\n return\n }\n var children = currentParent.children;\n if (inPre || text.trim()) {\n text = isTextTag(currentParent) ? text : decodeHTMLCached(text);\n } else if (!children.length) {\n // remove the whitespace-only node right after an opening tag\n text = '';\n } else if (whitespaceOption) {\n if (whitespaceOption === 'condense') {\n // in condense mode, remove the whitespace node if it contains\n // line break, otherwise condense to a single space\n text = lineBreakRE.test(text) ? '' : ' ';\n } else {\n text = ' ';\n }\n } else {\n text = preserveWhitespace ? ' ' : '';\n }\n if (text) {\n if (!inPre && whitespaceOption === 'condense') {\n // condense consecutive whitespaces into single space\n text = text.replace(whitespaceRE$1, ' ');\n }\n var res;\n var child;\n if (!inVPre && text !== ' ' && (res = parseText(text, delimiters))) {\n child = {\n type: 2,\n expression: res.expression,\n tokens: res.tokens,\n text: text\n };\n } else if (text !== ' ' || !children.length || children[children.length - 1].text !== ' ') {\n child = {\n type: 3,\n text: text\n };\n }\n if (child) {\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n child.start = start;\n child.end = end;\n }\n children.push(child);\n }\n }\n },\n comment: function comment (text, start, end) {\n // adding anyting as a sibling to the root node is forbidden\n // comments should still be allowed, but ignored\n if (currentParent) {\n var child = {\n type: 3,\n text: text,\n isComment: true\n };\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n child.start = start;\n child.end = end;\n }\n currentParent.children.push(child);\n }\n }\n });\n return root\n}\n\nfunction processPre (el) {\n if (getAndRemoveAttr(el, 'v-pre') != null) {\n el.pre = true;\n }\n}\n\nfunction processRawAttrs (el) {\n var list = el.attrsList;\n var len = list.length;\n if (len) {\n var attrs = el.attrs = new Array(len);\n for (var i = 0; i < len; i++) {\n attrs[i] = {\n name: list[i].name,\n value: JSON.stringify(list[i].value)\n };\n if (list[i].start != null) {\n attrs[i].start = list[i].start;\n attrs[i].end = list[i].end;\n }\n }\n } else if (!el.pre) {\n // non root node in pre blocks with no attributes\n el.plain = true;\n }\n}\n\nfunction processElement (\n element,\n options\n) {\n processKey(element);\n\n // determine whether this is a plain element after\n // removing structural attributes\n element.plain = (\n !element.key &&\n !element.scopedSlots &&\n !element.attrsList.length\n );\n\n processRef(element);\n processSlotContent(element);\n processSlotOutlet(element);\n processComponent(element);\n for (var i = 0; i < transforms.length; i++) {\n element = transforms[i](element, options) || element;\n }\n processAttrs(element);\n return element\n}\n\nfunction processKey (el) {\n var exp = getBindingAttr(el, 'key');\n if (exp) {\n if (process.env.NODE_ENV !== 'production') {\n if (el.tag === 'template') {\n warn$2(\n \"<template> cannot be keyed. Place the key on real elements instead.\",\n getRawBindingAttr(el, 'key')\n );\n }\n if (el.for) {\n var iterator = el.iterator2 || el.iterator1;\n var parent = el.parent;\n if (iterator && iterator === exp && parent && parent.tag === 'transition-group') {\n warn$2(\n \"Do not use v-for index as key on <transition-group> children, \" +\n \"this is the same as not using keys.\",\n getRawBindingAttr(el, 'key'),\n true /* tip */\n );\n }\n }\n }\n el.key = exp;\n }\n}\n\nfunction processRef (el) {\n var ref = getBindingAttr(el, 'ref');\n if (ref) {\n el.ref = ref;\n el.refInFor = checkInFor(el);\n }\n}\n\nfunction processFor (el) {\n var exp;\n if ((exp = getAndRemoveAttr(el, 'v-for'))) {\n var res = parseFor(exp);\n if (res) {\n extend(el, res);\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n (\"Invalid v-for expression: \" + exp),\n el.rawAttrsMap['v-for']\n );\n }\n }\n}\n\n\n\nfunction parseFor (exp) {\n var inMatch = exp.match(forAliasRE);\n if (!inMatch) { return }\n var res = {};\n res.for = inMatch[2].trim();\n var alias = inMatch[1].trim().replace(stripParensRE, '');\n var iteratorMatch = alias.match(forIteratorRE);\n if (iteratorMatch) {\n res.alias = alias.replace(forIteratorRE, '').trim();\n res.iterator1 = iteratorMatch[1].trim();\n if (iteratorMatch[2]) {\n res.iterator2 = iteratorMatch[2].trim();\n }\n } else {\n res.alias = alias;\n }\n return res\n}\n\nfunction processIf (el) {\n var exp = getAndRemoveAttr(el, 'v-if');\n if (exp) {\n el.if = exp;\n addIfCondition(el, {\n exp: exp,\n block: el\n });\n } else {\n if (getAndRemoveAttr(el, 'v-else') != null) {\n el.else = true;\n }\n var elseif = getAndRemoveAttr(el, 'v-else-if');\n if (elseif) {\n el.elseif = elseif;\n }\n }\n}\n\nfunction processIfConditions (el, parent) {\n var prev = findPrevElement(parent.children);\n if (prev && prev.if) {\n addIfCondition(prev, {\n exp: el.elseif,\n block: el\n });\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n \"v-\" + (el.elseif ? ('else-if=\"' + el.elseif + '\"') : 'else') + \" \" +\n \"used on element <\" + (el.tag) + \"> without corresponding v-if.\",\n el.rawAttrsMap[el.elseif ? 'v-else-if' : 'v-else']\n );\n }\n}\n\nfunction findPrevElement (children) {\n var i = children.length;\n while (i--) {\n if (children[i].type === 1) {\n return children[i]\n } else {\n if (process.env.NODE_ENV !== 'production' && children[i].text !== ' ') {\n warn$2(\n \"text \\\"\" + (children[i].text.trim()) + \"\\\" between v-if and v-else(-if) \" +\n \"will be ignored.\",\n children[i]\n );\n }\n children.pop();\n }\n }\n}\n\nfunction addIfCondition (el, condition) {\n if (!el.ifConditions) {\n el.ifConditions = [];\n }\n el.ifConditions.push(condition);\n}\n\nfunction processOnce (el) {\n var once$$1 = getAndRemoveAttr(el, 'v-once');\n if (once$$1 != null) {\n el.once = true;\n }\n}\n\n// handle content being passed to a component as slot,\n// e.g. <template slot=\"xxx\">, <div slot-scope=\"xxx\">\nfunction processSlotContent (el) {\n var slotScope;\n if (el.tag === 'template') {\n slotScope = getAndRemoveAttr(el, 'scope');\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && slotScope) {\n warn$2(\n \"the \\\"scope\\\" attribute for scoped slots have been deprecated and \" +\n \"replaced by \\\"slot-scope\\\" since 2.5. The new \\\"slot-scope\\\" attribute \" +\n \"can also be used on plain elements in addition to <template> to \" +\n \"denote scoped slots.\",\n el.rawAttrsMap['scope'],\n true\n );\n }\n el.slotScope = slotScope || getAndRemoveAttr(el, 'slot-scope');\n } else if ((slotScope = getAndRemoveAttr(el, 'slot-scope'))) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && el.attrsMap['v-for']) {\n warn$2(\n \"Ambiguous combined usage of slot-scope and v-for on <\" + (el.tag) + \"> \" +\n \"(v-for takes higher priority). Use a wrapper <template> for the \" +\n \"scoped slot to make it clearer.\",\n el.rawAttrsMap['slot-scope'],\n true\n );\n }\n el.slotScope = slotScope;\n }\n\n // slot=\"xxx\"\n var slotTarget = getBindingAttr(el, 'slot');\n if (slotTarget) {\n el.slotTarget = slotTarget === '\"\"' ? '\"default\"' : slotTarget;\n el.slotTargetDynamic = !!(el.attrsMap[':slot'] || el.attrsMap['v-bind:slot']);\n // preserve slot as an attribute for native shadow DOM compat\n // only for non-scoped slots.\n if (el.tag !== 'template' && !el.slotScope) {\n addAttr(el, 'slot', slotTarget, getRawBindingAttr(el, 'slot'));\n }\n }\n\n // 2.6 v-slot syntax\n {\n if (el.tag === 'template') {\n // v-slot on <template>\n var slotBinding = getAndRemoveAttrByRegex(el, slotRE);\n if (slotBinding) {\n if (process.env.NODE_ENV !== 'production') {\n if (el.slotTarget || el.slotScope) {\n warn$2(\n \"Unexpected mixed usage of different slot syntaxes.\",\n el\n );\n }\n if (el.parent && !maybeComponent(el.parent)) {\n warn$2(\n \"<template v-slot> can only appear at the root level inside \" +\n \"the receiving component\",\n el\n );\n }\n }\n var ref = getSlotName(slotBinding);\n var name = ref.name;\n var dynamic = ref.dynamic;\n el.slotTarget = name;\n el.slotTargetDynamic = dynamic;\n el.slotScope = slotBinding.value || emptySlotScopeToken; // force it into a scoped slot for perf\n }\n } else {\n // v-slot on component, denotes default slot\n var slotBinding$1 = getAndRemoveAttrByRegex(el, slotRE);\n if (slotBinding$1) {\n if (process.env.NODE_ENV !== 'production') {\n if (!maybeComponent(el)) {\n warn$2(\n \"v-slot can only be used on components or <template>.\",\n slotBinding$1\n );\n }\n if (el.slotScope || el.slotTarget) {\n warn$2(\n \"Unexpected mixed usage of different slot syntaxes.\",\n el\n );\n }\n if (el.scopedSlots) {\n warn$2(\n \"To avoid scope ambiguity, the default slot should also use \" +\n \"<template> syntax when there are other named slots.\",\n slotBinding$1\n );\n }\n }\n // add the component's children to its default slot\n var slots = el.scopedSlots || (el.scopedSlots = {});\n var ref$1 = getSlotName(slotBinding$1);\n var name$1 = ref$1.name;\n var dynamic$1 = ref$1.dynamic;\n var slotContainer = slots[name$1] = createASTElement('template', [], el);\n slotContainer.slotTarget = name$1;\n slotContainer.slotTargetDynamic = dynamic$1;\n slotContainer.children = el.children.filter(function (c) {\n if (!c.slotScope) {\n c.parent = slotContainer;\n return true\n }\n });\n slotContainer.slotScope = slotBinding$1.value || emptySlotScopeToken;\n // remove children as they are returned from scopedSlots now\n el.children = [];\n // mark el non-plain so data gets generated\n el.plain = false;\n }\n }\n }\n}\n\nfunction getSlotName (binding) {\n var name = binding.name.replace(slotRE, '');\n if (!name) {\n if (binding.name[0] !== '#') {\n name = 'default';\n } else if (process.env.NODE_ENV !== 'production') {\n warn$2(\n \"v-slot shorthand syntax requires a slot name.\",\n binding\n );\n }\n }\n return dynamicArgRE.test(name)\n // dynamic [name]\n ? { name: name.slice(1, -1), dynamic: true }\n // static name\n : { name: (\"\\\"\" + name + \"\\\"\"), dynamic: false }\n}\n\n// handle <slot/> outlets\nfunction processSlotOutlet (el) {\n if (el.tag === 'slot') {\n el.slotName = getBindingAttr(el, 'name');\n if (process.env.NODE_ENV !== 'production' && el.key) {\n warn$2(\n \"`key` does not work on <slot> because slots are abstract outlets \" +\n \"and can possibly expand into multiple elements. \" +\n \"Use the key on a wrapping element instead.\",\n getRawBindingAttr(el, 'key')\n );\n }\n }\n}\n\nfunction processComponent (el) {\n var binding;\n if ((binding = getBindingAttr(el, 'is'))) {\n el.component = binding;\n }\n if (getAndRemoveAttr(el, 'inline-template') != null) {\n el.inlineTemplate = true;\n }\n}\n\nfunction processAttrs (el) {\n var list = el.attrsList;\n var i, l, name, rawName, value, modifiers, syncGen, isDynamic;\n for (i = 0, l = list.length; i < l; i++) {\n name = rawName = list[i].name;\n value = list[i].value;\n if (dirRE.test(name)) {\n // mark element as dynamic\n el.hasBindings = true;\n // modifiers\n modifiers = parseModifiers(name.replace(dirRE, ''));\n // support .foo shorthand syntax for the .prop modifier\n if (modifiers) {\n name = name.replace(modifierRE, '');\n }\n if (bindRE.test(name)) { // v-bind\n name = name.replace(bindRE, '');\n value = parseFilters(value);\n isDynamic = dynamicArgRE.test(name);\n if (isDynamic) {\n name = name.slice(1, -1);\n }\n if (\n process.env.NODE_ENV !== 'production' &&\n value.trim().length === 0\n ) {\n warn$2(\n (\"The value for a v-bind expression cannot be empty. Found in \\\"v-bind:\" + name + \"\\\"\")\n );\n }\n if (modifiers) {\n if (modifiers.prop && !isDynamic) {\n name = camelize(name);\n if (name === 'innerHtml') { name = 'innerHTML'; }\n }\n if (modifiers.camel && !isDynamic) {\n name = camelize(name);\n }\n if (modifiers.sync) {\n syncGen = genAssignmentCode(value, \"$event\");\n if (!isDynamic) {\n addHandler(\n el,\n (\"update:\" + (camelize(name))),\n syncGen,\n null,\n false,\n warn$2,\n list[i]\n );\n if (hyphenate(name) !== camelize(name)) {\n addHandler(\n el,\n (\"update:\" + (hyphenate(name))),\n syncGen,\n null,\n false,\n warn$2,\n list[i]\n );\n }\n } else {\n // handler w/ dynamic event name\n addHandler(\n el,\n (\"\\\"update:\\\"+(\" + name + \")\"),\n syncGen,\n null,\n false,\n warn$2,\n list[i],\n true // dynamic\n );\n }\n }\n }\n if ((modifiers && modifiers.prop) || (\n !el.component && platformMustUseProp(el.tag, el.attrsMap.type, name)\n )) {\n addProp(el, name, value, list[i], isDynamic);\n } else {\n addAttr(el, name, value, list[i], isDynamic);\n }\n } else if (onRE.test(name)) { // v-on\n name = name.replace(onRE, '');\n isDynamic = dynamicArgRE.test(name);\n if (isDynamic) {\n name = name.slice(1, -1);\n }\n addHandler(el, name, value, modifiers, false, warn$2, list[i], isDynamic);\n } else { // normal directives\n name = name.replace(dirRE, '');\n // parse arg\n var argMatch = name.match(argRE);\n var arg = argMatch && argMatch[1];\n isDynamic = false;\n if (arg) {\n name = name.slice(0, -(arg.length + 1));\n if (dynamicArgRE.test(arg)) {\n arg = arg.slice(1, -1);\n isDynamic = true;\n }\n }\n addDirective(el, name, rawName, value, arg, isDynamic, modifiers, list[i]);\n if (process.env.NODE_ENV !== 'production' && name === 'model') {\n checkForAliasModel(el, value);\n }\n }\n } else {\n // literal attribute\n if (process.env.NODE_ENV !== 'production') {\n var res = parseText(value, delimiters);\n if (res) {\n warn$2(\n name + \"=\\\"\" + value + \"\\\": \" +\n 'Interpolation inside attributes has been removed. ' +\n 'Use v-bind or the colon shorthand instead. For example, ' +\n 'instead of <div id=\"{{ val }}\">, use <div :id=\"val\">.',\n list[i]\n );\n }\n }\n addAttr(el, name, JSON.stringify(value), list[i]);\n // #6887 firefox doesn't update muted state if set via attribute\n // even immediately after element creation\n if (!el.component &&\n name === 'muted' &&\n platformMustUseProp(el.tag, el.attrsMap.type, name)) {\n addProp(el, name, 'true', list[i]);\n }\n }\n }\n}\n\nfunction checkInFor (el) {\n var parent = el;\n while (parent) {\n if (parent.for !== undefined) {\n return true\n }\n parent = parent.parent;\n }\n return false\n}\n\nfunction parseModifiers (name) {\n var match = name.match(modifierRE);\n if (match) {\n var ret = {};\n match.forEach(function (m) { ret[m.slice(1)] = true; });\n return ret\n }\n}\n\nfunction makeAttrsMap (attrs) {\n var map = {};\n for (var i = 0, l = attrs.length; i < l; i++) {\n if (\n process.env.NODE_ENV !== 'production' &&\n map[attrs[i].name] && !isIE && !isEdge\n ) {\n warn$2('duplicate attribute: ' + attrs[i].name, attrs[i]);\n }\n map[attrs[i].name] = attrs[i].value;\n }\n return map\n}\n\n// for script (e.g. type=\"x/template\") or style, do not decode content\nfunction isTextTag (el) {\n return el.tag === 'script' || el.tag === 'style'\n}\n\nfunction isForbiddenTag (el) {\n return (\n el.tag === 'style' ||\n (el.tag === 'script' && (\n !el.attrsMap.type ||\n el.attrsMap.type === 'text/javascript'\n ))\n )\n}\n\nvar ieNSBug = /^xmlns:NS\\d+/;\nvar ieNSPrefix = /^NS\\d+:/;\n\n/* istanbul ignore next */\nfunction guardIESVGBug (attrs) {\n var res = [];\n for (var i = 0; i < attrs.length; i++) {\n var attr = attrs[i];\n if (!ieNSBug.test(attr.name)) {\n attr.name = attr.name.replace(ieNSPrefix, '');\n res.push(attr);\n }\n }\n return res\n}\n\nfunction checkForAliasModel (el, value) {\n var _el = el;\n while (_el) {\n if (_el.for && _el.alias === value) {\n warn$2(\n \"<\" + (el.tag) + \" v-model=\\\"\" + value + \"\\\">: \" +\n \"You are binding v-model directly to a v-for iteration alias. \" +\n \"This will not be able to modify the v-for source array because \" +\n \"writing to the alias is like modifying a function local variable. \" +\n \"Consider using an array of objects and use v-model on an object property instead.\",\n el.rawAttrsMap['v-model']\n );\n }\n _el = _el.parent;\n }\n}\n\n/* */\n\nfunction preTransformNode (el, options) {\n if (el.tag === 'input') {\n var map = el.attrsMap;\n if (!map['v-model']) {\n return\n }\n\n var typeBinding;\n if (map[':type'] || map['v-bind:type']) {\n typeBinding = getBindingAttr(el, 'type');\n }\n if (!map.type && !typeBinding && map['v-bind']) {\n typeBinding = \"(\" + (map['v-bind']) + \").type\";\n }\n\n if (typeBinding) {\n var ifCondition = getAndRemoveAttr(el, 'v-if', true);\n var ifConditionExtra = ifCondition ? (\"&&(\" + ifCondition + \")\") : \"\";\n var hasElse = getAndRemoveAttr(el, 'v-else', true) != null;\n var elseIfCondition = getAndRemoveAttr(el, 'v-else-if', true);\n // 1. checkbox\n var branch0 = cloneASTElement(el);\n // process for on the main node\n processFor(branch0);\n addRawAttr(branch0, 'type', 'checkbox');\n processElement(branch0, options);\n branch0.processed = true; // prevent it from double-processed\n branch0.if = \"(\" + typeBinding + \")==='checkbox'\" + ifConditionExtra;\n addIfCondition(branch0, {\n exp: branch0.if,\n block: branch0\n });\n // 2. add radio else-if condition\n var branch1 = cloneASTElement(el);\n getAndRemoveAttr(branch1, 'v-for', true);\n addRawAttr(branch1, 'type', 'radio');\n processElement(branch1, options);\n addIfCondition(branch0, {\n exp: \"(\" + typeBinding + \")==='radio'\" + ifConditionExtra,\n block: branch1\n });\n // 3. other\n var branch2 = cloneASTElement(el);\n getAndRemoveAttr(branch2, 'v-for', true);\n addRawAttr(branch2, ':type', typeBinding);\n processElement(branch2, options);\n addIfCondition(branch0, {\n exp: ifCondition,\n block: branch2\n });\n\n if (hasElse) {\n branch0.else = true;\n } else if (elseIfCondition) {\n branch0.elseif = elseIfCondition;\n }\n\n return branch0\n }\n }\n}\n\nfunction cloneASTElement (el) {\n return createASTElement(el.tag, el.attrsList.slice(), el.parent)\n}\n\nvar model$1 = {\n preTransformNode: preTransformNode\n};\n\nvar modules$1 = [\n klass$1,\n style$1,\n model$1\n];\n\n/* */\n\nfunction text (el, dir) {\n if (dir.value) {\n addProp(el, 'textContent', (\"_s(\" + (dir.value) + \")\"), dir);\n }\n}\n\n/* */\n\nfunction html (el, dir) {\n if (dir.value) {\n addProp(el, 'innerHTML', (\"_s(\" + (dir.value) + \")\"), dir);\n }\n}\n\nvar directives$1 = {\n model: model,\n text: text,\n html: html\n};\n\n/* */\n\nvar baseOptions = {\n expectHTML: true,\n modules: modules$1,\n directives: directives$1,\n isPreTag: isPreTag,\n isUnaryTag: isUnaryTag,\n mustUseProp: mustUseProp,\n canBeLeftOpenTag: canBeLeftOpenTag,\n isReservedTag: isReservedTag,\n getTagNamespace: getTagNamespace,\n staticKeys: genStaticKeys(modules$1)\n};\n\n/* */\n\nvar isStaticKey;\nvar isPlatformReservedTag;\n\nvar genStaticKeysCached = cached(genStaticKeys$1);\n\n/**\n * Goal of the optimizer: walk the generated template AST tree\n * and detect sub-trees that are purely static, i.e. parts of\n * the DOM that never needs to change.\n *\n * Once we detect these sub-trees, we can:\n *\n * 1. Hoist them into constants, so that we no longer need to\n * create fresh nodes for them on each re-render;\n * 2. Completely skip them in the patching process.\n */\nfunction optimize (root, options) {\n if (!root) { return }\n isStaticKey = genStaticKeysCached(options.staticKeys || '');\n isPlatformReservedTag = options.isReservedTag || no;\n // first pass: mark all non-static nodes.\n markStatic$1(root);\n // second pass: mark static roots.\n markStaticRoots(root, false);\n}\n\nfunction genStaticKeys$1 (keys) {\n return makeMap(\n 'type,tag,attrsList,attrsMap,plain,parent,children,attrs,start,end,rawAttrsMap' +\n (keys ? ',' + keys : '')\n )\n}\n\nfunction markStatic$1 (node) {\n node.static = isStatic(node);\n if (node.type === 1) {\n // do not make component slot content static. this avoids\n // 1. components not able to mutate slot nodes\n // 2. static slot content fails for hot-reloading\n if (\n !isPlatformReservedTag(node.tag) &&\n node.tag !== 'slot' &&\n node.attrsMap['inline-template'] == null\n ) {\n return\n }\n for (var i = 0, l = node.children.length; i < l; i++) {\n var child = node.children[i];\n markStatic$1(child);\n if (!child.static) {\n node.static = false;\n }\n }\n if (node.ifConditions) {\n for (var i$1 = 1, l$1 = node.ifConditions.length; i$1 < l$1; i$1++) {\n var block = node.ifConditions[i$1].block;\n markStatic$1(block);\n if (!block.static) {\n node.static = false;\n }\n }\n }\n }\n}\n\nfunction markStaticRoots (node, isInFor) {\n if (node.type === 1) {\n if (node.static || node.once) {\n node.staticInFor = isInFor;\n }\n // For a node to qualify as a static root, it should have children that\n // are not just static text. Otherwise the cost of hoisting out will\n // outweigh the benefits and it's better off to just always render it fresh.\n if (node.static && node.children.length && !(\n node.children.length === 1 &&\n node.children[0].type === 3\n )) {\n node.staticRoot = true;\n return\n } else {\n node.staticRoot = false;\n }\n if (node.children) {\n for (var i = 0, l = node.children.length; i < l; i++) {\n markStaticRoots(node.children[i], isInFor || !!node.for);\n }\n }\n if (node.ifConditions) {\n for (var i$1 = 1, l$1 = node.ifConditions.length; i$1 < l$1; i$1++) {\n markStaticRoots(node.ifConditions[i$1].block, isInFor);\n }\n }\n }\n}\n\nfunction isStatic (node) {\n if (node.type === 2) { // expression\n return false\n }\n if (node.type === 3) { // text\n return true\n }\n return !!(node.pre || (\n !node.hasBindings && // no dynamic bindings\n !node.if && !node.for && // not v-if or v-for or v-else\n !isBuiltInTag(node.tag) && // not a built-in\n isPlatformReservedTag(node.tag) && // not a component\n !isDirectChildOfTemplateFor(node) &&\n Object.keys(node).every(isStaticKey)\n ))\n}\n\nfunction isDirectChildOfTemplateFor (node) {\n while (node.parent) {\n node = node.parent;\n if (node.tag !== 'template') {\n return false\n }\n if (node.for) {\n return true\n }\n }\n return false\n}\n\n/* */\n\nvar fnExpRE = /^([\\w$_]+|\\([^)]*?\\))\\s*=>|^function(?:\\s+[\\w$]+)?\\s*\\(/;\nvar fnInvokeRE = /\\([^)]*?\\);*$/;\nvar simplePathRE = /^[A-Za-z_$][\\w$]*(?:\\.[A-Za-z_$][\\w$]*|\\['[^']*?']|\\[\"[^\"]*?\"]|\\[\\d+]|\\[[A-Za-z_$][\\w$]*])*$/;\n\n// KeyboardEvent.keyCode aliases\nvar keyCodes = {\n esc: 27,\n tab: 9,\n enter: 13,\n space: 32,\n up: 38,\n left: 37,\n right: 39,\n down: 40,\n 'delete': [8, 46]\n};\n\n// KeyboardEvent.key aliases\nvar keyNames = {\n // #7880: IE11 and Edge use `Esc` for Escape key name.\n esc: ['Esc', 'Escape'],\n tab: 'Tab',\n enter: 'Enter',\n // #9112: IE11 uses `Spacebar` for Space key name.\n space: [' ', 'Spacebar'],\n // #7806: IE11 uses key names without `Arrow` prefix for arrow keys.\n up: ['Up', 'ArrowUp'],\n left: ['Left', 'ArrowLeft'],\n right: ['Right', 'ArrowRight'],\n down: ['Down', 'ArrowDown'],\n // #9112: IE11 uses `Del` for Delete key name.\n 'delete': ['Backspace', 'Delete', 'Del']\n};\n\n// #4868: modifiers that prevent the execution of the listener\n// need to explicitly return null so that we can determine whether to remove\n// the listener for .once\nvar genGuard = function (condition) { return (\"if(\" + condition + \")return null;\"); };\n\nvar modifierCode = {\n stop: '$event.stopPropagation();',\n prevent: '$event.preventDefault();',\n self: genGuard(\"$event.target !== $event.currentTarget\"),\n ctrl: genGuard(\"!$event.ctrlKey\"),\n shift: genGuard(\"!$event.shiftKey\"),\n alt: genGuard(\"!$event.altKey\"),\n meta: genGuard(\"!$event.metaKey\"),\n left: genGuard(\"'button' in $event && $event.button !== 0\"),\n middle: genGuard(\"'button' in $event && $event.button !== 1\"),\n right: genGuard(\"'button' in $event && $event.button !== 2\")\n};\n\nfunction genHandlers (\n events,\n isNative\n) {\n var prefix = isNative ? 'nativeOn:' : 'on:';\n var staticHandlers = \"\";\n var dynamicHandlers = \"\";\n for (var name in events) {\n var handlerCode = genHandler(events[name]);\n if (events[name] && events[name].dynamic) {\n dynamicHandlers += name + \",\" + handlerCode + \",\";\n } else {\n staticHandlers += \"\\\"\" + name + \"\\\":\" + handlerCode + \",\";\n }\n }\n staticHandlers = \"{\" + (staticHandlers.slice(0, -1)) + \"}\";\n if (dynamicHandlers) {\n return prefix + \"_d(\" + staticHandlers + \",[\" + (dynamicHandlers.slice(0, -1)) + \"])\"\n } else {\n return prefix + staticHandlers\n }\n}\n\nfunction genHandler (handler) {\n if (!handler) {\n return 'function(){}'\n }\n\n if (Array.isArray(handler)) {\n return (\"[\" + (handler.map(function (handler) { return genHandler(handler); }).join(',')) + \"]\")\n }\n\n var isMethodPath = simplePathRE.test(handler.value);\n var isFunctionExpression = fnExpRE.test(handler.value);\n var isFunctionInvocation = simplePathRE.test(handler.value.replace(fnInvokeRE, ''));\n\n if (!handler.modifiers) {\n if (isMethodPath || isFunctionExpression) {\n return handler.value\n }\n return (\"function($event){\" + (isFunctionInvocation ? (\"return \" + (handler.value)) : handler.value) + \"}\") // inline statement\n } else {\n var code = '';\n var genModifierCode = '';\n var keys = [];\n for (var key in handler.modifiers) {\n if (modifierCode[key]) {\n genModifierCode += modifierCode[key];\n // left/right\n if (keyCodes[key]) {\n keys.push(key);\n }\n } else if (key === 'exact') {\n var modifiers = (handler.modifiers);\n genModifierCode += genGuard(\n ['ctrl', 'shift', 'alt', 'meta']\n .filter(function (keyModifier) { return !modifiers[keyModifier]; })\n .map(function (keyModifier) { return (\"$event.\" + keyModifier + \"Key\"); })\n .join('||')\n );\n } else {\n keys.push(key);\n }\n }\n if (keys.length) {\n code += genKeyFilter(keys);\n }\n // Make sure modifiers like prevent and stop get executed after key filtering\n if (genModifierCode) {\n code += genModifierCode;\n }\n var handlerCode = isMethodPath\n ? (\"return \" + (handler.value) + \"($event)\")\n : isFunctionExpression\n ? (\"return (\" + (handler.value) + \")($event)\")\n : isFunctionInvocation\n ? (\"return \" + (handler.value))\n : handler.value;\n return (\"function($event){\" + code + handlerCode + \"}\")\n }\n}\n\nfunction genKeyFilter (keys) {\n return (\n // make sure the key filters only apply to KeyboardEvents\n // #9441: can't use 'keyCode' in $event because Chrome autofill fires fake\n // key events that do not have keyCode property...\n \"if(!$event.type.indexOf('key')&&\" +\n (keys.map(genFilterCode).join('&&')) + \")return null;\"\n )\n}\n\nfunction genFilterCode (key) {\n var keyVal = parseInt(key, 10);\n if (keyVal) {\n return (\"$event.keyCode!==\" + keyVal)\n }\n var keyCode = keyCodes[key];\n var keyName = keyNames[key];\n return (\n \"_k($event.keyCode,\" +\n (JSON.stringify(key)) + \",\" +\n (JSON.stringify(keyCode)) + \",\" +\n \"$event.key,\" +\n \"\" + (JSON.stringify(keyName)) +\n \")\"\n )\n}\n\n/* */\n\nfunction on (el, dir) {\n if (process.env.NODE_ENV !== 'production' && dir.modifiers) {\n warn(\"v-on without argument does not support modifiers.\");\n }\n el.wrapListeners = function (code) { return (\"_g(\" + code + \",\" + (dir.value) + \")\"); };\n}\n\n/* */\n\nfunction bind$1 (el, dir) {\n el.wrapData = function (code) {\n return (\"_b(\" + code + \",'\" + (el.tag) + \"',\" + (dir.value) + \",\" + (dir.modifiers && dir.modifiers.prop ? 'true' : 'false') + (dir.modifiers && dir.modifiers.sync ? ',true' : '') + \")\")\n };\n}\n\n/* */\n\nvar baseDirectives = {\n on: on,\n bind: bind$1,\n cloak: noop\n};\n\n/* */\n\n\n\n\n\nvar CodegenState = function CodegenState (options) {\n this.options = options;\n this.warn = options.warn || baseWarn;\n this.transforms = pluckModuleFunction(options.modules, 'transformCode');\n this.dataGenFns = pluckModuleFunction(options.modules, 'genData');\n this.directives = extend(extend({}, baseDirectives), options.directives);\n var isReservedTag = options.isReservedTag || no;\n this.maybeComponent = function (el) { return !!el.component || !isReservedTag(el.tag); };\n this.onceId = 0;\n this.staticRenderFns = [];\n this.pre = false;\n};\n\n\n\nfunction generate (\n ast,\n options\n) {\n var state = new CodegenState(options);\n var code = ast ? genElement(ast, state) : '_c(\"div\")';\n return {\n render: (\"with(this){return \" + code + \"}\"),\n staticRenderFns: state.staticRenderFns\n }\n}\n\nfunction genElement (el, state) {\n if (el.parent) {\n el.pre = el.pre || el.parent.pre;\n }\n\n if (el.staticRoot && !el.staticProcessed) {\n return genStatic(el, state)\n } else if (el.once && !el.onceProcessed) {\n return genOnce(el, state)\n } else if (el.for && !el.forProcessed) {\n return genFor(el, state)\n } else if (el.if && !el.ifProcessed) {\n return genIf(el, state)\n } else if (el.tag === 'template' && !el.slotTarget && !state.pre) {\n return genChildren(el, state) || 'void 0'\n } else if (el.tag === 'slot') {\n return genSlot(el, state)\n } else {\n // component or element\n var code;\n if (el.component) {\n code = genComponent(el.component, el, state);\n } else {\n var data;\n if (!el.plain || (el.pre && state.maybeComponent(el))) {\n data = genData$2(el, state);\n }\n\n var children = el.inlineTemplate ? null : genChildren(el, state, true);\n code = \"_c('\" + (el.tag) + \"'\" + (data ? (\",\" + data) : '') + (children ? (\",\" + children) : '') + \")\";\n }\n // module transforms\n for (var i = 0; i < state.transforms.length; i++) {\n code = state.transforms[i](el, code);\n }\n return code\n }\n}\n\n// hoist static sub-trees out\nfunction genStatic (el, state) {\n el.staticProcessed = true;\n // Some elements (templates) need to behave differently inside of a v-pre\n // node. All pre nodes are static roots, so we can use this as a location to\n // wrap a state change and reset it upon exiting the pre node.\n var originalPreState = state.pre;\n if (el.pre) {\n state.pre = el.pre;\n }\n state.staticRenderFns.push((\"with(this){return \" + (genElement(el, state)) + \"}\"));\n state.pre = originalPreState;\n return (\"_m(\" + (state.staticRenderFns.length - 1) + (el.staticInFor ? ',true' : '') + \")\")\n}\n\n// v-once\nfunction genOnce (el, state) {\n el.onceProcessed = true;\n if (el.if && !el.ifProcessed) {\n return genIf(el, state)\n } else if (el.staticInFor) {\n var key = '';\n var parent = el.parent;\n while (parent) {\n if (parent.for) {\n key = parent.key;\n break\n }\n parent = parent.parent;\n }\n if (!key) {\n process.env.NODE_ENV !== 'production' && state.warn(\n \"v-once can only be used inside v-for that is keyed. \",\n el.rawAttrsMap['v-once']\n );\n return genElement(el, state)\n }\n return (\"_o(\" + (genElement(el, state)) + \",\" + (state.onceId++) + \",\" + key + \")\")\n } else {\n return genStatic(el, state)\n }\n}\n\nfunction genIf (\n el,\n state,\n altGen,\n altEmpty\n) {\n el.ifProcessed = true; // avoid recursion\n return genIfConditions(el.ifConditions.slice(), state, altGen, altEmpty)\n}\n\nfunction genIfConditions (\n conditions,\n state,\n altGen,\n altEmpty\n) {\n if (!conditions.length) {\n return altEmpty || '_e()'\n }\n\n var condition = conditions.shift();\n if (condition.exp) {\n return (\"(\" + (condition.exp) + \")?\" + (genTernaryExp(condition.block)) + \":\" + (genIfConditions(conditions, state, altGen, altEmpty)))\n } else {\n return (\"\" + (genTernaryExp(condition.block)))\n }\n\n // v-if with v-once should generate code like (a)?_m(0):_m(1)\n function genTernaryExp (el) {\n return altGen\n ? altGen(el, state)\n : el.once\n ? genOnce(el, state)\n : genElement(el, state)\n }\n}\n\nfunction genFor (\n el,\n state,\n altGen,\n altHelper\n) {\n var exp = el.for;\n var alias = el.alias;\n var iterator1 = el.iterator1 ? (\",\" + (el.iterator1)) : '';\n var iterator2 = el.iterator2 ? (\",\" + (el.iterator2)) : '';\n\n if (process.env.NODE_ENV !== 'production' &&\n state.maybeComponent(el) &&\n el.tag !== 'slot' &&\n el.tag !== 'template' &&\n !el.key\n ) {\n state.warn(\n \"<\" + (el.tag) + \" v-for=\\\"\" + alias + \" in \" + exp + \"\\\">: component lists rendered with \" +\n \"v-for should have explicit keys. \" +\n \"See https://vuejs.org/guide/list.html#key for more info.\",\n el.rawAttrsMap['v-for'],\n true /* tip */\n );\n }\n\n el.forProcessed = true; // avoid recursion\n return (altHelper || '_l') + \"((\" + exp + \"),\" +\n \"function(\" + alias + iterator1 + iterator2 + \"){\" +\n \"return \" + ((altGen || genElement)(el, state)) +\n '})'\n}\n\nfunction genData$2 (el, state) {\n var data = '{';\n\n // directives first.\n // directives may mutate the el's other properties before they are generated.\n var dirs = genDirectives(el, state);\n if (dirs) { data += dirs + ','; }\n\n // key\n if (el.key) {\n data += \"key:\" + (el.key) + \",\";\n }\n // ref\n if (el.ref) {\n data += \"ref:\" + (el.ref) + \",\";\n }\n if (el.refInFor) {\n data += \"refInFor:true,\";\n }\n // pre\n if (el.pre) {\n data += \"pre:true,\";\n }\n // record original tag name for components using \"is\" attribute\n if (el.component) {\n data += \"tag:\\\"\" + (el.tag) + \"\\\",\";\n }\n // module data generation functions\n for (var i = 0; i < state.dataGenFns.length; i++) {\n data += state.dataGenFns[i](el);\n }\n // attributes\n if (el.attrs) {\n data += \"attrs:\" + (genProps(el.attrs)) + \",\";\n }\n // DOM props\n if (el.props) {\n data += \"domProps:\" + (genProps(el.props)) + \",\";\n }\n // event handlers\n if (el.events) {\n data += (genHandlers(el.events, false)) + \",\";\n }\n if (el.nativeEvents) {\n data += (genHandlers(el.nativeEvents, true)) + \",\";\n }\n // slot target\n // only for non-scoped slots\n if (el.slotTarget && !el.slotScope) {\n data += \"slot:\" + (el.slotTarget) + \",\";\n }\n // scoped slots\n if (el.scopedSlots) {\n data += (genScopedSlots(el, el.scopedSlots, state)) + \",\";\n }\n // component v-model\n if (el.model) {\n data += \"model:{value:\" + (el.model.value) + \",callback:\" + (el.model.callback) + \",expression:\" + (el.model.expression) + \"},\";\n }\n // inline-template\n if (el.inlineTemplate) {\n var inlineTemplate = genInlineTemplate(el, state);\n if (inlineTemplate) {\n data += inlineTemplate + \",\";\n }\n }\n data = data.replace(/,$/, '') + '}';\n // v-bind dynamic argument wrap\n // v-bind with dynamic arguments must be applied using the same v-bind object\n // merge helper so that class/style/mustUseProp attrs are handled correctly.\n if (el.dynamicAttrs) {\n data = \"_b(\" + data + \",\\\"\" + (el.tag) + \"\\\",\" + (genProps(el.dynamicAttrs)) + \")\";\n }\n // v-bind data wrap\n if (el.wrapData) {\n data = el.wrapData(data);\n }\n // v-on data wrap\n if (el.wrapListeners) {\n data = el.wrapListeners(data);\n }\n return data\n}\n\nfunction genDirectives (el, state) {\n var dirs = el.directives;\n if (!dirs) { return }\n var res = 'directives:[';\n var hasRuntime = false;\n var i, l, dir, needRuntime;\n for (i = 0, l = dirs.length; i < l; i++) {\n dir = dirs[i];\n needRuntime = true;\n var gen = state.directives[dir.name];\n if (gen) {\n // compile-time directive that manipulates AST.\n // returns true if it also needs a runtime counterpart.\n needRuntime = !!gen(el, dir, state.warn);\n }\n if (needRuntime) {\n hasRuntime = true;\n res += \"{name:\\\"\" + (dir.name) + \"\\\",rawName:\\\"\" + (dir.rawName) + \"\\\"\" + (dir.value ? (\",value:(\" + (dir.value) + \"),expression:\" + (JSON.stringify(dir.value))) : '') + (dir.arg ? (\",arg:\" + (dir.isDynamicArg ? dir.arg : (\"\\\"\" + (dir.arg) + \"\\\"\"))) : '') + (dir.modifiers ? (\",modifiers:\" + (JSON.stringify(dir.modifiers))) : '') + \"},\";\n }\n }\n if (hasRuntime) {\n return res.slice(0, -1) + ']'\n }\n}\n\nfunction genInlineTemplate (el, state) {\n var ast = el.children[0];\n if (process.env.NODE_ENV !== 'production' && (\n el.children.length !== 1 || ast.type !== 1\n )) {\n state.warn(\n 'Inline-template components must have exactly one child element.',\n { start: el.start }\n );\n }\n if (ast && ast.type === 1) {\n var inlineRenderFns = generate(ast, state.options);\n return (\"inlineTemplate:{render:function(){\" + (inlineRenderFns.render) + \"},staticRenderFns:[\" + (inlineRenderFns.staticRenderFns.map(function (code) { return (\"function(){\" + code + \"}\"); }).join(',')) + \"]}\")\n }\n}\n\nfunction genScopedSlots (\n el,\n slots,\n state\n) {\n // by default scoped slots are considered \"stable\", this allows child\n // components with only scoped slots to skip forced updates from parent.\n // but in some cases we have to bail-out of this optimization\n // for example if the slot contains dynamic names, has v-if or v-for on them...\n var needsForceUpdate = el.for || Object.keys(slots).some(function (key) {\n var slot = slots[key];\n return (\n slot.slotTargetDynamic ||\n slot.if ||\n slot.for ||\n containsSlotChild(slot) // is passing down slot from parent which may be dynamic\n )\n });\n\n // #9534: if a component with scoped slots is inside a conditional branch,\n // it's possible for the same component to be reused but with different\n // compiled slot content. To avoid that, we generate a unique key based on\n // the generated code of all the slot contents.\n var needsKey = !!el.if;\n\n // OR when it is inside another scoped slot or v-for (the reactivity may be\n // disconnected due to the intermediate scope variable)\n // #9438, #9506\n // TODO: this can be further optimized by properly analyzing in-scope bindings\n // and skip force updating ones that do not actually use scope variables.\n if (!needsForceUpdate) {\n var parent = el.parent;\n while (parent) {\n if (\n (parent.slotScope && parent.slotScope !== emptySlotScopeToken) ||\n parent.for\n ) {\n needsForceUpdate = true;\n break\n }\n if (parent.if) {\n needsKey = true;\n }\n parent = parent.parent;\n }\n }\n\n var generatedSlots = Object.keys(slots)\n .map(function (key) { return genScopedSlot(slots[key], state); })\n .join(',');\n\n return (\"scopedSlots:_u([\" + generatedSlots + \"]\" + (needsForceUpdate ? \",null,true\" : \"\") + (!needsForceUpdate && needsKey ? (\",null,false,\" + (hash(generatedSlots))) : \"\") + \")\")\n}\n\nfunction hash(str) {\n var hash = 5381;\n var i = str.length;\n while(i) {\n hash = (hash * 33) ^ str.charCodeAt(--i);\n }\n return hash >>> 0\n}\n\nfunction containsSlotChild (el) {\n if (el.type === 1) {\n if (el.tag === 'slot') {\n return true\n }\n return el.children.some(containsSlotChild)\n }\n return false\n}\n\nfunction genScopedSlot (\n el,\n state\n) {\n var isLegacySyntax = el.attrsMap['slot-scope'];\n if (el.if && !el.ifProcessed && !isLegacySyntax) {\n return genIf(el, state, genScopedSlot, \"null\")\n }\n if (el.for && !el.forProcessed) {\n return genFor(el, state, genScopedSlot)\n }\n var slotScope = el.slotScope === emptySlotScopeToken\n ? \"\"\n : String(el.slotScope);\n var fn = \"function(\" + slotScope + \"){\" +\n \"return \" + (el.tag === 'template'\n ? el.if && isLegacySyntax\n ? (\"(\" + (el.if) + \")?\" + (genChildren(el, state) || 'undefined') + \":undefined\")\n : genChildren(el, state) || 'undefined'\n : genElement(el, state)) + \"}\";\n // reverse proxy v-slot without scope on this.$slots\n var reverseProxy = slotScope ? \"\" : \",proxy:true\";\n return (\"{key:\" + (el.slotTarget || \"\\\"default\\\"\") + \",fn:\" + fn + reverseProxy + \"}\")\n}\n\nfunction genChildren (\n el,\n state,\n checkSkip,\n altGenElement,\n altGenNode\n) {\n var children = el.children;\n if (children.length) {\n var el$1 = children[0];\n // optimize single v-for\n if (children.length === 1 &&\n el$1.for &&\n el$1.tag !== 'template' &&\n el$1.tag !== 'slot'\n ) {\n var normalizationType = checkSkip\n ? state.maybeComponent(el$1) ? \",1\" : \",0\"\n : \"\";\n return (\"\" + ((altGenElement || genElement)(el$1, state)) + normalizationType)\n }\n var normalizationType$1 = checkSkip\n ? getNormalizationType(children, state.maybeComponent)\n : 0;\n var gen = altGenNode || genNode;\n return (\"[\" + (children.map(function (c) { return gen(c, state); }).join(',')) + \"]\" + (normalizationType$1 ? (\",\" + normalizationType$1) : ''))\n }\n}\n\n// determine the normalization needed for the children array.\n// 0: no normalization needed\n// 1: simple normalization needed (possible 1-level deep nested array)\n// 2: full normalization needed\nfunction getNormalizationType (\n children,\n maybeComponent\n) {\n var res = 0;\n for (var i = 0; i < children.length; i++) {\n var el = children[i];\n if (el.type !== 1) {\n continue\n }\n if (needsNormalization(el) ||\n (el.ifConditions && el.ifConditions.some(function (c) { return needsNormalization(c.block); }))) {\n res = 2;\n break\n }\n if (maybeComponent(el) ||\n (el.ifConditions && el.ifConditions.some(function (c) { return maybeComponent(c.block); }))) {\n res = 1;\n }\n }\n return res\n}\n\nfunction needsNormalization (el) {\n return el.for !== undefined || el.tag === 'template' || el.tag === 'slot'\n}\n\nfunction genNode (node, state) {\n if (node.type === 1) {\n return genElement(node, state)\n } else if (node.type === 3 && node.isComment) {\n return genComment(node)\n } else {\n return genText(node)\n }\n}\n\nfunction genText (text) {\n return (\"_v(\" + (text.type === 2\n ? text.expression // no need for () because already wrapped in _s()\n : transformSpecialNewlines(JSON.stringify(text.text))) + \")\")\n}\n\nfunction genComment (comment) {\n return (\"_e(\" + (JSON.stringify(comment.text)) + \")\")\n}\n\nfunction genSlot (el, state) {\n var slotName = el.slotName || '\"default\"';\n var children = genChildren(el, state);\n var res = \"_t(\" + slotName + (children ? (\",\" + children) : '');\n var attrs = el.attrs || el.dynamicAttrs\n ? genProps((el.attrs || []).concat(el.dynamicAttrs || []).map(function (attr) { return ({\n // slot props are camelized\n name: camelize(attr.name),\n value: attr.value,\n dynamic: attr.dynamic\n }); }))\n : null;\n var bind$$1 = el.attrsMap['v-bind'];\n if ((attrs || bind$$1) && !children) {\n res += \",null\";\n }\n if (attrs) {\n res += \",\" + attrs;\n }\n if (bind$$1) {\n res += (attrs ? '' : ',null') + \",\" + bind$$1;\n }\n return res + ')'\n}\n\n// componentName is el.component, take it as argument to shun flow's pessimistic refinement\nfunction genComponent (\n componentName,\n el,\n state\n) {\n var children = el.inlineTemplate ? null : genChildren(el, state, true);\n return (\"_c(\" + componentName + \",\" + (genData$2(el, state)) + (children ? (\",\" + children) : '') + \")\")\n}\n\nfunction genProps (props) {\n var staticProps = \"\";\n var dynamicProps = \"\";\n for (var i = 0; i < props.length; i++) {\n var prop = props[i];\n var value = transformSpecialNewlines(prop.value);\n if (prop.dynamic) {\n dynamicProps += (prop.name) + \",\" + value + \",\";\n } else {\n staticProps += \"\\\"\" + (prop.name) + \"\\\":\" + value + \",\";\n }\n }\n staticProps = \"{\" + (staticProps.slice(0, -1)) + \"}\";\n if (dynamicProps) {\n return (\"_d(\" + staticProps + \",[\" + (dynamicProps.slice(0, -1)) + \"])\")\n } else {\n return staticProps\n }\n}\n\n// #3895, #4268\nfunction transformSpecialNewlines (text) {\n return text\n .replace(/\\u2028/g, '\\\\u2028')\n .replace(/\\u2029/g, '\\\\u2029')\n}\n\n/* */\n\n\n\n// these keywords should not appear inside expressions, but operators like\n// typeof, instanceof and in are allowed\nvar prohibitedKeywordRE = new RegExp('\\\\b' + (\n 'do,if,for,let,new,try,var,case,else,with,await,break,catch,class,const,' +\n 'super,throw,while,yield,delete,export,import,return,switch,default,' +\n 'extends,finally,continue,debugger,function,arguments'\n).split(',').join('\\\\b|\\\\b') + '\\\\b');\n\n// these unary operators should not be used as property/method names\nvar unaryOperatorsRE = new RegExp('\\\\b' + (\n 'delete,typeof,void'\n).split(',').join('\\\\s*\\\\([^\\\\)]*\\\\)|\\\\b') + '\\\\s*\\\\([^\\\\)]*\\\\)');\n\n// strip strings in expressions\nvar stripStringRE = /'(?:[^'\\\\]|\\\\.)*'|\"(?:[^\"\\\\]|\\\\.)*\"|`(?:[^`\\\\]|\\\\.)*\\$\\{|\\}(?:[^`\\\\]|\\\\.)*`|`(?:[^`\\\\]|\\\\.)*`/g;\n\n// detect problematic expressions in a template\nfunction detectErrors (ast, warn) {\n if (ast) {\n checkNode(ast, warn);\n }\n}\n\nfunction checkNode (node, warn) {\n if (node.type === 1) {\n for (var name in node.attrsMap) {\n if (dirRE.test(name)) {\n var value = node.attrsMap[name];\n if (value) {\n var range = node.rawAttrsMap[name];\n if (name === 'v-for') {\n checkFor(node, (\"v-for=\\\"\" + value + \"\\\"\"), warn, range);\n } else if (name === 'v-slot' || name[0] === '#') {\n checkFunctionParameterExpression(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n } else if (onRE.test(name)) {\n checkEvent(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n } else {\n checkExpression(value, (name + \"=\\\"\" + value + \"\\\"\"), warn, range);\n }\n }\n }\n }\n if (node.children) {\n for (var i = 0; i < node.children.length; i++) {\n checkNode(node.children[i], warn);\n }\n }\n } else if (node.type === 2) {\n checkExpression(node.expression, node.text, warn, node);\n }\n}\n\nfunction checkEvent (exp, text, warn, range) {\n var stripped = exp.replace(stripStringRE, '');\n var keywordMatch = stripped.match(unaryOperatorsRE);\n if (keywordMatch && stripped.charAt(keywordMatch.index - 1) !== '$') {\n warn(\n \"avoid using JavaScript unary operator as property name: \" +\n \"\\\"\" + (keywordMatch[0]) + \"\\\" in expression \" + (text.trim()),\n range\n );\n }\n checkExpression(exp, text, warn, range);\n}\n\nfunction checkFor (node, text, warn, range) {\n checkExpression(node.for || '', text, warn, range);\n checkIdentifier(node.alias, 'v-for alias', text, warn, range);\n checkIdentifier(node.iterator1, 'v-for iterator', text, warn, range);\n checkIdentifier(node.iterator2, 'v-for iterator', text, warn, range);\n}\n\nfunction checkIdentifier (\n ident,\n type,\n text,\n warn,\n range\n) {\n if (typeof ident === 'string') {\n try {\n new Function((\"var \" + ident + \"=_\"));\n } catch (e) {\n warn((\"invalid \" + type + \" \\\"\" + ident + \"\\\" in expression: \" + (text.trim())), range);\n }\n }\n}\n\nfunction checkExpression (exp, text, warn, range) {\n try {\n new Function((\"return \" + exp));\n } catch (e) {\n var keywordMatch = exp.replace(stripStringRE, '').match(prohibitedKeywordRE);\n if (keywordMatch) {\n warn(\n \"avoid using JavaScript keyword as property name: \" +\n \"\\\"\" + (keywordMatch[0]) + \"\\\"\\n Raw expression: \" + (text.trim()),\n range\n );\n } else {\n warn(\n \"invalid expression: \" + (e.message) + \" in\\n\\n\" +\n \" \" + exp + \"\\n\\n\" +\n \" Raw expression: \" + (text.trim()) + \"\\n\",\n range\n );\n }\n }\n}\n\nfunction checkFunctionParameterExpression (exp, text, warn, range) {\n try {\n new Function(exp, '');\n } catch (e) {\n warn(\n \"invalid function parameter expression: \" + (e.message) + \" in\\n\\n\" +\n \" \" + exp + \"\\n\\n\" +\n \" Raw expression: \" + (text.trim()) + \"\\n\",\n range\n );\n }\n}\n\n/* */\n\nvar range = 2;\n\nfunction generateCodeFrame (\n source,\n start,\n end\n) {\n if ( start === void 0 ) start = 0;\n if ( end === void 0 ) end = source.length;\n\n var lines = source.split(/\\r?\\n/);\n var count = 0;\n var res = [];\n for (var i = 0; i < lines.length; i++) {\n count += lines[i].length + 1;\n if (count >= start) {\n for (var j = i - range; j <= i + range || end > count; j++) {\n if (j < 0 || j >= lines.length) { continue }\n res.push((\"\" + (j + 1) + (repeat$1(\" \", 3 - String(j + 1).length)) + \"| \" + (lines[j])));\n var lineLength = lines[j].length;\n if (j === i) {\n // push underline\n var pad = start - (count - lineLength) + 1;\n var length = end > count ? lineLength - pad : end - start;\n res.push(\" | \" + repeat$1(\" \", pad) + repeat$1(\"^\", length));\n } else if (j > i) {\n if (end > count) {\n var length$1 = Math.min(end - count, lineLength);\n res.push(\" | \" + repeat$1(\"^\", length$1));\n }\n count += lineLength + 1;\n }\n }\n break\n }\n }\n return res.join('\\n')\n}\n\nfunction repeat$1 (str, n) {\n var result = '';\n if (n > 0) {\n while (true) { // eslint-disable-line\n if (n & 1) { result += str; }\n n >>>= 1;\n if (n <= 0) { break }\n str += str;\n }\n }\n return result\n}\n\n/* */\n\n\n\nfunction createFunction (code, errors) {\n try {\n return new Function(code)\n } catch (err) {\n errors.push({ err: err, code: code });\n return noop\n }\n}\n\nfunction createCompileToFunctionFn (compile) {\n var cache = Object.create(null);\n\n return function compileToFunctions (\n template,\n options,\n vm\n ) {\n options = extend({}, options);\n var warn$$1 = options.warn || warn;\n delete options.warn;\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n // detect possible CSP restriction\n try {\n new Function('return 1');\n } catch (e) {\n if (e.toString().match(/unsafe-eval|CSP/)) {\n warn$$1(\n 'It seems you are using the standalone build of Vue.js in an ' +\n 'environment with Content Security Policy that prohibits unsafe-eval. ' +\n 'The template compiler cannot work in this environment. Consider ' +\n 'relaxing the policy to allow unsafe-eval or pre-compiling your ' +\n 'templates into render functions.'\n );\n }\n }\n }\n\n // check cache\n var key = options.delimiters\n ? String(options.delimiters) + template\n : template;\n if (cache[key]) {\n return cache[key]\n }\n\n // compile\n var compiled = compile(template, options);\n\n // check compilation errors/tips\n if (process.env.NODE_ENV !== 'production') {\n if (compiled.errors && compiled.errors.length) {\n if (options.outputSourceRange) {\n compiled.errors.forEach(function (e) {\n warn$$1(\n \"Error compiling template:\\n\\n\" + (e.msg) + \"\\n\\n\" +\n generateCodeFrame(template, e.start, e.end),\n vm\n );\n });\n } else {\n warn$$1(\n \"Error compiling template:\\n\\n\" + template + \"\\n\\n\" +\n compiled.errors.map(function (e) { return (\"- \" + e); }).join('\\n') + '\\n',\n vm\n );\n }\n }\n if (compiled.tips && compiled.tips.length) {\n if (options.outputSourceRange) {\n compiled.tips.forEach(function (e) { return tip(e.msg, vm); });\n } else {\n compiled.tips.forEach(function (msg) { return tip(msg, vm); });\n }\n }\n }\n\n // turn code into functions\n var res = {};\n var fnGenErrors = [];\n res.render = createFunction(compiled.render, fnGenErrors);\n res.staticRenderFns = compiled.staticRenderFns.map(function (code) {\n return createFunction(code, fnGenErrors)\n });\n\n // check function generation errors.\n // this should only happen if there is a bug in the compiler itself.\n // mostly for codegen development use\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production') {\n if ((!compiled.errors || !compiled.errors.length) && fnGenErrors.length) {\n warn$$1(\n \"Failed to generate render function:\\n\\n\" +\n fnGenErrors.map(function (ref) {\n var err = ref.err;\n var code = ref.code;\n\n return ((err.toString()) + \" in\\n\\n\" + code + \"\\n\");\n }).join('\\n'),\n vm\n );\n }\n }\n\n return (cache[key] = res)\n }\n}\n\n/* */\n\nfunction createCompilerCreator (baseCompile) {\n return function createCompiler (baseOptions) {\n function compile (\n template,\n options\n ) {\n var finalOptions = Object.create(baseOptions);\n var errors = [];\n var tips = [];\n\n var warn = function (msg, range, tip) {\n (tip ? tips : errors).push(msg);\n };\n\n if (options) {\n if (process.env.NODE_ENV !== 'production' && options.outputSourceRange) {\n // $flow-disable-line\n var leadingSpaceLength = template.match(/^\\s*/)[0].length;\n\n warn = function (msg, range, tip) {\n var data = { msg: msg };\n if (range) {\n if (range.start != null) {\n data.start = range.start + leadingSpaceLength;\n }\n if (range.end != null) {\n data.end = range.end + leadingSpaceLength;\n }\n }\n (tip ? tips : errors).push(data);\n };\n }\n // merge custom modules\n if (options.modules) {\n finalOptions.modules =\n (baseOptions.modules || []).concat(options.modules);\n }\n // merge custom directives\n if (options.directives) {\n finalOptions.directives = extend(\n Object.create(baseOptions.directives || null),\n options.directives\n );\n }\n // copy other options\n for (var key in options) {\n if (key !== 'modules' && key !== 'directives') {\n finalOptions[key] = options[key];\n }\n }\n }\n\n finalOptions.warn = warn;\n\n var compiled = baseCompile(template.trim(), finalOptions);\n if (process.env.NODE_ENV !== 'production') {\n detectErrors(compiled.ast, warn);\n }\n compiled.errors = errors;\n compiled.tips = tips;\n return compiled\n }\n\n return {\n compile: compile,\n compileToFunctions: createCompileToFunctionFn(compile)\n }\n }\n}\n\n/* */\n\n// `createCompilerCreator` allows creating compilers that use alternative\n// parser/optimizer/codegen, e.g the SSR optimizing compiler.\n// Here we just export a default compiler using the default parts.\nvar createCompiler = createCompilerCreator(function baseCompile (\n template,\n options\n) {\n var ast = parse(template.trim(), options);\n if (options.optimize !== false) {\n optimize(ast, options);\n }\n var code = generate(ast, options);\n return {\n ast: ast,\n render: code.render,\n staticRenderFns: code.staticRenderFns\n }\n});\n\n/* */\n\nvar ref$1 = createCompiler(baseOptions);\nvar compile = ref$1.compile;\nvar compileToFunctions = ref$1.compileToFunctions;\n\n/* */\n\n// check whether current browser encodes a char inside attribute values\nvar div;\nfunction getShouldDecode (href) {\n div = div || document.createElement('div');\n div.innerHTML = href ? \"<a href=\\\"\\n\\\"/>\" : \"<div a=\\\"\\n\\\"/>\";\n return div.innerHTML.indexOf('&#10;') > 0\n}\n\n// #3663: IE encodes newlines inside attribute values while other browsers don't\nvar shouldDecodeNewlines = inBrowser ? getShouldDecode(false) : false;\n// #6828: chrome encodes content in a[href]\nvar shouldDecodeNewlinesForHref = inBrowser ? getShouldDecode(true) : false;\n\n/* */\n\nvar idToTemplate = cached(function (id) {\n var el = query(id);\n return el && el.innerHTML\n});\n\nvar mount = Vue.prototype.$mount;\nVue.prototype.$mount = function (\n el,\n hydrating\n) {\n el = el && query(el);\n\n /* istanbul ignore if */\n if (el === document.body || el === document.documentElement) {\n process.env.NODE_ENV !== 'production' && warn(\n \"Do not mount Vue to <html> or <body> - mount to normal elements instead.\"\n );\n return this\n }\n\n var options = this.$options;\n // resolve template/el and convert to render function\n if (!options.render) {\n var template = options.template;\n if (template) {\n if (typeof template === 'string') {\n if (template.charAt(0) === '#') {\n template = idToTemplate(template);\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && !template) {\n warn(\n (\"Template element not found or is empty: \" + (options.template)),\n this\n );\n }\n }\n } else if (template.nodeType) {\n template = template.innerHTML;\n } else {\n if (process.env.NODE_ENV !== 'production') {\n warn('invalid template option:' + template, this);\n }\n return this\n }\n } else if (el) {\n template = getOuterHTML(el);\n }\n if (template) {\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n mark('compile');\n }\n\n var ref = compileToFunctions(template, {\n outputSourceRange: process.env.NODE_ENV !== 'production',\n shouldDecodeNewlines: shouldDecodeNewlines,\n shouldDecodeNewlinesForHref: shouldDecodeNewlinesForHref,\n delimiters: options.delimiters,\n comments: options.comments\n }, this);\n var render = ref.render;\n var staticRenderFns = ref.staticRenderFns;\n options.render = render;\n options.staticRenderFns = staticRenderFns;\n\n /* istanbul ignore if */\n if (process.env.NODE_ENV !== 'production' && config.performance && mark) {\n mark('compile end');\n measure((\"vue \" + (this._name) + \" compile\"), 'compile', 'compile end');\n }\n }\n }\n return mount.call(this, el, hydrating)\n};\n\n/**\n * Get outerHTML of elements, taking care\n * of SVG elements in IE as well.\n */\nfunction getOuterHTML (el) {\n if (el.outerHTML) {\n return el.outerHTML\n } else {\n var container = document.createElement('div');\n container.appendChild(el.cloneNode(true));\n return container.innerHTML\n }\n}\n\nVue.compile = compileToFunctions;\n\nexport default Vue;\n"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/vendors~editor-collab~editor-guest.js b/js/vendors~editor-collab~editor-guest.js
index 938c3b873..9bbbefa9c 100644
--- a/js/vendors~editor-collab~editor-guest.js
+++ b/js/vendors~editor-collab~editor-guest.js
@@ -1,4 +1,4 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[187],{212:function(A,t,e){"use strict";var n=e(7),i=e(2),o=e(55),a=e(12),r=e(4),s=e(19),c=e(111),u=e(34),l=e(0),d=e(32),f=e(107).f,g=e(54).f,p=e(8).f,v=e(195).trim,m=i.Number,h=m.prototype,b="Number"==s(d(h)),B=function(A){var t,e,n,i,o,a,r,s,c=u(A,!1);if("string"==typeof c&&c.length>2)if(43===(t=(c=v(c)).charCodeAt(0))||45===t){if(88===(e=c.charCodeAt(2))||120===e)return NaN}else if(48===t){switch(c.charCodeAt(1)){case 66:case 98:n=2,i=49;break;case 79:case 111:n=8,i=55;break;default:return+c}for(a=(o=c.slice(2)).length,r=0;r<a;r++)if((s=o.charCodeAt(r))<48||s>i)return NaN;return parseInt(o,n)}return+c};if(o("Number",!m(" 0o1")||!m("0b1")||m("+0x1"))){for(var M,y=function(A){var t=arguments.length<1?0:A,e=this;return e instanceof y&&(b?l((function(){h.valueOf.call(e)})):"Number"!=s(e))?c(new m(B(t)),e,y):B(t)},I=n?f(m):"MAX_VALUE,MIN_VALUE,NaN,NEGATIVE_INFINITY,POSITIVE_INFINITY,EPSILON,isFinite,isInteger,isNaN,isSafeInteger,MAX_SAFE_INTEGER,MIN_SAFE_INTEGER,parseFloat,parseInt,isInteger".split(","),x=0;I.length>x;x++)r(m,M=I[x])&&!r(y,M)&&p(y,M,g(m,M));y.prototype=h,h.constructor=y,a(i,"Number",y)}},363:function(A,t,e){window,A.exports=function(A){var t={};function e(n){if(t[n])return t[n].exports;var i=t[n]={i:n,l:!1,exports:{}};return A[n].call(i.exports,i,i.exports,e),i.l=!0,i.exports}return e.m=A,e.c=t,e.d=function(A,t,n){e.o(A,t)||Object.defineProperty(A,t,{enumerable:!0,get:n})},e.r=function(A){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(A,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(A,"__esModule",{value:!0})},e.t=function(A,t){if(1&t&&(A=e(A)),8&t)return A;if(4&t&&"object"==typeof A&&A&&A.__esModule)return A;var n=Object.create(null);if(e.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:A}),2&t&&"string"!=typeof A)for(var i in A)e.d(n,i,function(t){return A[t]}.bind(null,i));return n},e.n=function(A){var t=A&&A.__esModule?function(){return A.default}:function(){return A};return e.d(t,"a",t),t},e.o=function(A,t){return Object.prototype.hasOwnProperty.call(A,t)},e.p="/dist/",e(e.s=55)}([function(A,t,e){"use strict";function n(A,t,e,n,i,o,a,r){var s,c="function"==typeof A?A.options:A;if(t&&(c.render=t,c.staticRenderFns=e,c._compiled=!0),n&&(c.functional=!0),o&&(c._scopeId="data-v-"+o),a?(s=function(A){(A=A||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(A=__VUE_SSR_CONTEXT__),i&&i.call(this,A),A&&A._registeredComponents&&A._registeredComponents.add(a)},c._ssrRegister=s):i&&(s=r?function(){i.call(this,this.$root.$options.shadowRoot)}:i),s)if(c.functional){c._injectStyles=s;var u=c.render;c.render=function(A,t){return s.call(t),u(A,t)}}else{var l=c.beforeCreate;c.beforeCreate=l?[].concat(l,s):[s]}return{exports:A,options:c}}e.d(t,"a",(function(){return n}))},function(A,t,e){"use strict";A.exports=function(A){var t=[];return t.toString=function(){return this.map((function(t){var e=function(A,t){var e,n,i,o=A[1]||"",a=A[3];if(!a)return o;if(t&&"function"==typeof btoa){var r=(e=a,n=btoa(unescape(encodeURIComponent(JSON.stringify(e)))),i="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(i," */")),s=a.sources.map((function(A){return"/*# sourceURL=".concat(a.sourceRoot||"").concat(A," */")}));return[o].concat(s).concat([r]).join("\n")}return[o].join("\n")}(t,A);return t[2]?"@media ".concat(t[2]," {").concat(e,"}"):e})).join("")},t.i=function(A,e,n){"string"==typeof A&&(A=[[null,A,""]]);var i={};if(n)for(var o=0;o<this.length;o++){var a=this[o][0];null!=a&&(i[a]=!0)}for(var r=0;r<A.length;r++){var s=[].concat(A[r]);n&&i[s[0]]||(e&&(s[2]?s[2]="".concat(e," and ").concat(s[2]):s[2]=e),t.push(s))}},t}},function(A,t,e){"use strict";function n(A,t){for(var e=[],n={},i=0;i<t.length;i++){var o=t[i],a=o[0],r={id:A+":"+i,css:o[1],media:o[2],sourceMap:o[3]};n[a]?n[a].parts.push(r):e.push(n[a]={id:a,parts:[r]})}return e}e.r(t),e.d(t,"default",(function(){return f}));var i="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!i)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var o={},a=i&&(document.head||document.getElementsByTagName("head")[0]),r=null,s=0,c=!1,u=function(){},l=null,d="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function f(A,t,e,i){c=e,l=i||{};var a=n(A,t);return g(a),function(t){for(var e=[],i=0;i<a.length;i++){var r=a[i];(s=o[r.id]).refs--,e.push(s)}for(t?g(a=n(A,t)):a=[],i=0;i<e.length;i++){var s;if(0===(s=e[i]).refs){for(var c=0;c<s.parts.length;c++)s.parts[c]();delete o[s.id]}}}}function g(A){for(var t=0;t<A.length;t++){var e=A[t],n=o[e.id];if(n){n.refs++;for(var i=0;i<n.parts.length;i++)n.parts[i](e.parts[i]);for(;i<e.parts.length;i++)n.parts.push(v(e.parts[i]));n.parts.length>e.parts.length&&(n.parts.length=e.parts.length)}else{var a=[];for(i=0;i<e.parts.length;i++)a.push(v(e.parts[i]));o[e.id]={id:e.id,refs:1,parts:a}}}}function p(){var A=document.createElement("style");return A.type="text/css",a.appendChild(A),A}function v(A){var t,e,n=document.querySelector('style[data-vue-ssr-id~="'+A.id+'"]');if(n){if(c)return u;n.parentNode.removeChild(n)}if(d){var i=s++;n=r||(r=p()),t=b.bind(null,n,i,!1),e=b.bind(null,n,i,!0)}else n=p(),t=B.bind(null,n),e=function(){n.parentNode.removeChild(n)};return t(A),function(n){if(n){if(n.css===A.css&&n.media===A.media&&n.sourceMap===A.sourceMap)return;t(A=n)}else e()}}var m,h=(m=[],function(A,t){return m[A]=t,m.filter(Boolean).join("\n")});function b(A,t,e,n){var i=e?"":n.css;if(A.styleSheet)A.styleSheet.cssText=h(t,i);else{var o=document.createTextNode(i),a=A.childNodes;a[t]&&A.removeChild(a[t]),a.length?A.insertBefore(o,a[t]):A.appendChild(o)}}function B(A,t){var e=t.css,n=t.media,i=t.sourceMap;if(n&&A.setAttribute("media",n),l.ssrId&&A.setAttribute("data-vue-ssr-id",t.id),i&&(e+="\n/*# sourceURL="+i.sources[0]+" */",e+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+" */"),A.styleSheet)A.styleSheet.cssText=e;else{for(;A.firstChild;)A.removeChild(A.firstChild);A.appendChild(document.createTextNode(e))}}},,function(A,t){A.exports=e(193)},function(A,t){A.exports=e(51)},,function(A,t,e){"use strict";A.exports=function(A,t){return t||(t={}),"string"!=typeof(A=A&&A.__esModule?A.default:A)?A:(/^['"].*['"]$/.test(A)&&(A=A.slice(1,-1)),t.hash&&(A+=t.hash),/["'() \t\n]/.test(A)||t.needQuotes?'"'.concat(A.replace(/"/g,'\\"').replace(/\n/g,"\\n"),'"'):A)}},function(A,t,e){"use strict";e.r(t),t.default="data:application/vnd.ms-fontobject;base64,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"},function(A,t,e){"use strict";e.r(t),t.default="data:font/woff;base64,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"},function(A,t,e){"use strict";e.r(t),t.default="data:font/ttf;base64,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"},function(A,t,e){"use strict";e.r(t),t.default="data:image/svg+xml;base64,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"},,function(A,t,e){"use strict";e.r(t);var n=e(4);e(42),
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[187],{208:function(A,t,e){"use strict";var n=e(7),i=e(2),a=e(55),o=e(12),r=e(4),s=e(19),c=e(111),l=e(34),u=e(0),d=e(32),g=e(107).f,f=e(54).f,p=e(8).f,v=e(195).trim,m=i.Number,b=m.prototype,B="Number"==s(d(b)),M=function(A){var t,e,n,i,a,o,r,s,c=l(A,!1);if("string"==typeof c&&c.length>2)if(43===(t=(c=v(c)).charCodeAt(0))||45===t){if(88===(e=c.charCodeAt(2))||120===e)return NaN}else if(48===t){switch(c.charCodeAt(1)){case 66:case 98:n=2,i=49;break;case 79:case 111:n=8,i=55;break;default:return+c}for(o=(a=c.slice(2)).length,r=0;r<o;r++)if((s=a.charCodeAt(r))<48||s>i)return NaN;return parseInt(a,n)}return+c};if(a("Number",!m(" 0o1")||!m("0b1")||m("+0x1"))){for(var I,h=function(A){var t=arguments.length<1?0:A,e=this;return e instanceof h&&(B?u((function(){b.valueOf.call(e)})):"Number"!=s(e))?c(new m(M(t)),e,h):M(t)},x=n?g(m):"MAX_VALUE,MIN_VALUE,NaN,NEGATIVE_INFINITY,POSITIVE_INFINITY,EPSILON,isFinite,isInteger,isNaN,isSafeInteger,MAX_SAFE_INTEGER,MIN_SAFE_INTEGER,parseFloat,parseInt,isInteger".split(","),E=0;x.length>E;E++)r(m,I=x[E])&&!r(h,I)&&p(h,I,f(m,I));h.prototype=b,b.constructor=h,o(i,"Number",h)}},364:function(A,t,e){window,A.exports=function(A){var t={};function e(n){if(t[n])return t[n].exports;var i=t[n]={i:n,l:!1,exports:{}};return A[n].call(i.exports,i,i.exports,e),i.l=!0,i.exports}return e.m=A,e.c=t,e.d=function(A,t,n){e.o(A,t)||Object.defineProperty(A,t,{enumerable:!0,get:n})},e.r=function(A){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(A,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(A,"__esModule",{value:!0})},e.t=function(A,t){if(1&t&&(A=e(A)),8&t)return A;if(4&t&&"object"==typeof A&&A&&A.__esModule)return A;var n=Object.create(null);if(e.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:A}),2&t&&"string"!=typeof A)for(var i in A)e.d(n,i,function(t){return A[t]}.bind(null,i));return n},e.n=function(A){var t=A&&A.__esModule?function(){return A.default}:function(){return A};return e.d(t,"a",t),t},e.o=function(A,t){return Object.prototype.hasOwnProperty.call(A,t)},e.p="/dist/",e(e.s=56)}([function(A,t,e){"use strict";function n(A,t,e,n,i,a,o,r){var s,c="function"==typeof A?A.options:A;if(t&&(c.render=t,c.staticRenderFns=e,c._compiled=!0),n&&(c.functional=!0),a&&(c._scopeId="data-v-"+a),o?(s=function(A){(A=A||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(A=__VUE_SSR_CONTEXT__),i&&i.call(this,A),A&&A._registeredComponents&&A._registeredComponents.add(o)},c._ssrRegister=s):i&&(s=r?function(){i.call(this,(c.functional?this.parent:this).$root.$options.shadowRoot)}:i),s)if(c.functional){c._injectStyles=s;var l=c.render;c.render=function(A,t){return s.call(t),l(A,t)}}else{var u=c.beforeCreate;c.beforeCreate=u?[].concat(u,s):[s]}return{exports:A,options:c}}e.d(t,"a",(function(){return n}))},function(A,t,e){"use strict";A.exports=function(A){var t=[];return t.toString=function(){return this.map((function(t){var e=function(A,t){var e,n,i,a=A[1]||"",o=A[3];if(!o)return a;if(t&&"function"==typeof btoa){var r=(e=o,n=btoa(unescape(encodeURIComponent(JSON.stringify(e)))),i="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(i," */")),s=o.sources.map((function(A){return"/*# sourceURL=".concat(o.sourceRoot||"").concat(A," */")}));return[a].concat(s).concat([r]).join("\n")}return[a].join("\n")}(t,A);return t[2]?"@media ".concat(t[2]," {").concat(e,"}"):e})).join("")},t.i=function(A,e,n){"string"==typeof A&&(A=[[null,A,""]]);var i={};if(n)for(var a=0;a<this.length;a++){var o=this[a][0];null!=o&&(i[o]=!0)}for(var r=0;r<A.length;r++){var s=[].concat(A[r]);n&&i[s[0]]||(e&&(s[2]?s[2]="".concat(e," and ").concat(s[2]):s[2]=e),t.push(s))}},t}},function(A,t,e){"use strict";function n(A,t){for(var e=[],n={},i=0;i<t.length;i++){var a=t[i],o=a[0],r={id:A+":"+i,css:a[1],media:a[2],sourceMap:a[3]};n[o]?n[o].parts.push(r):e.push(n[o]={id:o,parts:[r]})}return e}e.r(t),e.d(t,"default",(function(){return g}));var i="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!i)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var a={},o=i&&(document.head||document.getElementsByTagName("head")[0]),r=null,s=0,c=!1,l=function(){},u=null,d="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function g(A,t,e,i){c=e,u=i||{};var o=n(A,t);return f(o),function(t){for(var e=[],i=0;i<o.length;i++){var r=o[i];(s=a[r.id]).refs--,e.push(s)}for(t?f(o=n(A,t)):o=[],i=0;i<e.length;i++){var s;if(0===(s=e[i]).refs){for(var c=0;c<s.parts.length;c++)s.parts[c]();delete a[s.id]}}}}function f(A){for(var t=0;t<A.length;t++){var e=A[t],n=a[e.id];if(n){n.refs++;for(var i=0;i<n.parts.length;i++)n.parts[i](e.parts[i]);for(;i<e.parts.length;i++)n.parts.push(v(e.parts[i]));n.parts.length>e.parts.length&&(n.parts.length=e.parts.length)}else{var o=[];for(i=0;i<e.parts.length;i++)o.push(v(e.parts[i]));a[e.id]={id:e.id,refs:1,parts:o}}}}function p(){var A=document.createElement("style");return A.type="text/css",o.appendChild(A),A}function v(A){var t,e,n=document.querySelector('style[data-vue-ssr-id~="'+A.id+'"]');if(n){if(c)return l;n.parentNode.removeChild(n)}if(d){var i=s++;n=r||(r=p()),t=B.bind(null,n,i,!1),e=B.bind(null,n,i,!0)}else n=p(),t=M.bind(null,n),e=function(){n.parentNode.removeChild(n)};return t(A),function(n){if(n){if(n.css===A.css&&n.media===A.media&&n.sourceMap===A.sourceMap)return;t(A=n)}else e()}}var m,b=(m=[],function(A,t){return m[A]=t,m.filter(Boolean).join("\n")});function B(A,t,e,n){var i=e?"":n.css;if(A.styleSheet)A.styleSheet.cssText=b(t,i);else{var a=document.createTextNode(i),o=A.childNodes;o[t]&&A.removeChild(o[t]),o.length?A.insertBefore(a,o[t]):A.appendChild(a)}}function M(A,t){var e=t.css,n=t.media,i=t.sourceMap;if(n&&A.setAttribute("media",n),u.ssrId&&A.setAttribute("data-vue-ssr-id",t.id),i&&(e+="\n/*# sourceURL="+i.sources[0]+" */",e+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+" */"),A.styleSheet)A.styleSheet.cssText=e;else{for(;A.firstChild;)A.removeChild(A.firstChild);A.appendChild(document.createTextNode(e))}}},,function(A,t){A.exports=e(193)},function(A,t){A.exports=e(51)},,function(A,t){A.exports=e(212)},function(A,t,e){"use strict";A.exports=function(A,t){return t||(t={}),"string"!=typeof(A=A&&A.__esModule?A.default:A)?A:(/^['"].*['"]$/.test(A)&&(A=A.slice(1,-1)),t.hash&&(A+=t.hash),/["'() \t\n]/.test(A)||t.needQuotes?'"'.concat(A.replace(/"/g,'\\"').replace(/\n/g,"\\n"),'"'):A)}},function(A,t,e){"use strict";e.r(t),t.default="data:application/vnd.ms-fontobject;base64,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"},function(A,t,e){"use strict";e.r(t),t.default="data:font/woff;base64,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"},function(A,t,e){"use strict";e.r(t),t.default="data:font/ttf;base64,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"},function(A,t,e){"use strict";e.r(t),t.default="data:image/svg+xml;base64,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"},function(A,t,e){"use strict";e.r(t);var n=e(4);e(43),
/**
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
@@ -21,7 +21,7 @@
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
-n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data-v-'.concat("6f41c0d",'><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>'),n.VTooltip.options.defaultHtml=!1,t.default=n.VTooltip},function(A,t){A.exports=e(103)},function(A,t){A.exports=e(206)},function(A,t){A.exports=e(207)},,,function(A,t){A.exports=e(52)},function(A,t){A.exports=e(100)},function(A,t){A.exports=e(102)},function(A,t){A.exports=e(53)},function(A,t){A.exports=e(187)},function(A,t){A.exports=e(60)},,function(A,t){A.exports=e(99)},,,,function(A,t){A.exports=e(212)},,,function(A,t){A.exports=e(101)},function(A,t,e){var n=e(82);"string"==typeof n&&(n=[[A.i,n,""]]),n.locals&&(A.exports=n.locals),(0,e(2).default)("1f2ec49f",n,!0,{})},function(A,t,e){var n=e(84);"string"==typeof n&&(n=[[A.i,n,""]]),n.locals&&(A.exports=n.locals),(0,e(2).default)("9c561116",n,!0,{})},function(A,t,e){var n=e(86);"string"==typeof n&&(n=[[A.i,n,""]]),n.locals&&(A.exports=n.locals),(0,e(2).default)("93093140",n,!0,{})},,,,,function(A,t,e){var n=e(97);"string"==typeof n&&(n=[[A.i,n,""]]),n.locals&&(A.exports=n.locals),(0,e(2).default)("56332d2d",n,!0,{})},function(A,t,e){var n=e(43);"string"==typeof n&&(n=[[A.i,n,""]]),n.locals&&(A.exports=n.locals),(0,e(2).default)("941c791e",n,!0,{})},function(A,t,e){(t=e(1)(!1)).push([A.i,".vue-tooltip[data-v-6f41c0d]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;white-space:normal;text-decoration:none;letter-spacing:normal;word-spacing:normal;text-transform:none;word-wrap:normal;word-break:normal;opacity:0;text-shadow:none;font-family:'Nunito', 'Open Sans', Frutiger, Calibri, 'Myriad Pro', Myriad, sans-serif;font-size:12px;font-weight:normal;font-style:normal;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-6f41c0d][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-6f41c0d][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-6f41c0d][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-6f41c0d] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-6f41c0d] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\n",""]),A.exports=t},function(A,t){},function(A,t){A.exports=e(11)},,,,,function(A,t,e){"use strict";
+n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data-v-'.concat("91c9b6f",'><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>'),n.VTooltip.options.defaultHtml=!1,t.default=n.VTooltip},,function(A,t){A.exports=e(103)},function(A,t){A.exports=e(206)},function(A,t){A.exports=e(53)},,function(A,t){A.exports=e(52)},function(A,t){A.exports=e(100)},function(A,t){A.exports=e(102)},function(A,t){A.exports=e(60)},,,function(A,t){A.exports=e(208)},,function(A,t){A.exports=e(187)},function(A,t){A.exports=e(99)},,,function(A,t){A.exports=e(101)},,function(A,t,e){var n=e(85);"string"==typeof n&&(n=[[A.i,n,""]]),n.locals&&(A.exports=n.locals),(0,e(2).default)("1f2ec49f",n,!0,{})},function(A,t,e){var n=e(87);"string"==typeof n&&(n=[[A.i,n,""]]),n.locals&&(A.exports=n.locals),(0,e(2).default)("9c561116",n,!0,{})},function(A,t,e){var n=e(89);"string"==typeof n&&(n=[[A.i,n,""]]),n.locals&&(A.exports=n.locals),(0,e(2).default)("93093140",n,!0,{})},,function(A,t,e){var n=e(96);"string"==typeof n&&(n=[[A.i,n,""]]),n.locals&&(A.exports=n.locals),(0,e(2).default)("6624c67e",n,!0,{})},function(A,t){A.exports=e(11)},,,function(A,t){},,function(A,t,e){var n=e(44);"string"==typeof n&&(n=[[A.i,n,""]]),n.locals&&(A.exports=n.locals),(0,e(2).default)("941c791e",n,!0,{})},function(A,t,e){(t=e(1)(!1)).push([A.i,".vue-tooltip[data-v-91c9b6f]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;opacity:0;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-91c9b6f][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-91c9b6f][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-91c9b6f][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-91c9b6f] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-91c9b6f] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\n",""]),A.exports=t},function(A,t,e){"use strict";
/**
* @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -43,7 +43,7 @@ n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
-function n(A,t,e){this.r=A,this.g=t,this.b=e}function i(A,t,e){var i=[];i.push(t);for(var o=function(A,t){var e=new Array(3);return e[0]=(t[1].r-t[0].r)/A,e[1]=(t[1].g-t[0].g)/A,e[2]=(t[1].b-t[0].b)/A,e}(A,[t,e]),a=1;a<A;a++){var r=parseInt(t.r+o[0]*a,10),s=parseInt(t.g+o[1]*a,10),c=parseInt(t.b+o[2]*a,10);i.push(new n(r,s,c))}return i}e(24),t.a=function(A){A||(A=6);var t=new n(182,70,157),e=new n(221,203,85),o=new n(0,130,201),a=i(A,t,e),r=i(A,e,o),s=i(A,o,t);return a.concat(r).concat(s)}},function(A,t){A.exports=e(109)},function(A,t){},function(A,t,e){"use strict";e.r(t),e(14),e(19),e(5),e(26),e(20),e(21),e(23);var n={name:"PopoverMenuItem",props:{item:{type:Object,required:!0,default:function(){return{key:"nextcloud-link",href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}},validator:function(A){return!A.input||-1!==["text","checkbox"].indexOf(A.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(A){return!1}}},methods:{action:function(A){this.item.action&&this.item.action(A)}}},i=(e(81),e(83),e(0)),o={name:"PopoverMenu",components:{PopoverMenuItem:Object(i.a)(n,(function(){var A=this,t=A.$createElement,e=A._self._c||t;return e("li",[A.item.href?e("a",{staticClass:"focusable",attrs:{href:A.item.href?A.item.href:"#",target:A.item.target?A.item.target:"",download:A.item.download,rel:"noreferrer noopener"},on:{click:A.action}},[A.iconIsUrl?e("img",{attrs:{src:A.item.icon}}):e("span",{class:A.item.icon}),A._v(" "),A.item.text&&A.item.longtext?e("p",[e("strong",{staticClass:"menuitem-text"},[A._v("\n\t\t\t\t"+A._s(A.item.text)+"\n\t\t\t")]),e("br"),A._v(" "),e("span",{staticClass:"menuitem-text-detail"},[A._v("\n\t\t\t\t"+A._s(A.item.longtext)+"\n\t\t\t")])]):A.item.text?e("span",[A._v("\n\t\t\t"+A._s(A.item.text)+"\n\t\t")]):A.item.longtext?e("p",[A._v("\n\t\t\t"+A._s(A.item.longtext)+"\n\t\t")]):A._e()]):A.item.input?e("span",{staticClass:"menuitem",class:{active:A.item.active}},["checkbox"!==A.item.input?e("span",{class:A.item.icon}):A._e(),A._v(" "),"text"===A.item.input?e("form",{class:A.item.input,on:{submit:function(t){return t.preventDefault(),A.item.action(t)}}},[e("input",{attrs:{type:A.item.input,placeholder:A.item.text,required:""},domProps:{value:A.item.value}}),A._v(" "),e("input",{staticClass:"icon-confirm",attrs:{type:"submit",value:""}})]):["checkbox"===A.item.input?e("input",{directives:[{name:"model",rawName:"v-model",value:A.item.model,expression:"item.model"}],class:A.item.input,attrs:{id:A.key,type:"checkbox"},domProps:{checked:Array.isArray(A.item.model)?A._i(A.item.model,null)>-1:A.item.model},on:{change:[function(t){var e=A.item.model,n=t.target,i=!!n.checked;if(Array.isArray(e)){var o=A._i(e,null);n.checked?o<0&&A.$set(A.item,"model",e.concat([null])):o>-1&&A.$set(A.item,"model",e.slice(0,o).concat(e.slice(o+1)))}else A.$set(A.item,"model",i)},A.item.action]}}):"radio"===A.item.input?e("input",{directives:[{name:"model",rawName:"v-model",value:A.item.model,expression:"item.model"}],class:A.item.input,attrs:{id:A.key,type:"radio"},domProps:{checked:A._q(A.item.model,null)},on:{change:[function(t){return A.$set(A.item,"model",null)},A.item.action]}}):e("input",{directives:[{name:"model",rawName:"v-model",value:A.item.model,expression:"item.model"}],class:A.item.input,attrs:{id:A.key,type:A.item.input},domProps:{value:A.item.model},on:{change:A.item.action,input:function(t){t.target.composing||A.$set(A.item,"model",t.target.value)}}}),A._v(" "),e("label",{attrs:{for:A.key},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),A.item.action(t)}}},[A._v("\n\t\t\t\t"+A._s(A.item.text)+"\n\t\t\t")])]],2):A.item.action?e("button",{staticClass:"menuitem focusable",class:{active:A.item.active},attrs:{disabled:A.item.disabled},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),A.item.action(t)}}},[e("span",{class:A.item.icon}),A._v(" "),A.item.text&&A.item.longtext?e("p",[e("strong",{staticClass:"menuitem-text"},[A._v("\n\t\t\t\t"+A._s(A.item.text)+"\n\t\t\t")]),e("br"),A._v(" "),e("span",{staticClass:"menuitem-text-detail"},[A._v("\n\t\t\t\t"+A._s(A.item.longtext)+"\n\t\t\t")])]):A.item.text?e("span",[A._v("\n\t\t\t"+A._s(A.item.text)+"\n\t\t")]):A.item.longtext?e("p",[A._v("\n\t\t\t"+A._s(A.item.longtext)+"\n\t\t")]):A._e()]):e("span",{staticClass:"menuitem",class:{active:A.item.active}},[e("span",{class:A.item.icon}),A._v(" "),A.item.text&&A.item.longtext?e("p",[e("strong",{staticClass:"menuitem-text"},[A._v("\n\t\t\t\t"+A._s(A.item.text)+"\n\t\t\t")]),e("br"),A._v(" "),e("span",{staticClass:"menuitem-text-detail"},[A._v("\n\t\t\t\t"+A._s(A.item.longtext)+"\n\t\t\t")])]):A.item.text?e("span",[A._v("\n\t\t\t"+A._s(A.item.text)+"\n\t\t")]):A.item.longtext?e("p",[A._v("\n\t\t\t"+A._s(A.item.longtext)+"\n\t\t")]):A._e()])])}),[],!1,null,"75ab886e",null).exports},props:{menu:{type:Array,default:function(){return[{href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}]},required:!0}}},a=(e(85),e(44)),r=e.n(a),s=Object(i.a)(o,(function(){var A=this.$createElement,t=this._self._c||A;return t("ul",this._l(this.menu,(function(A,e){return t("PopoverMenuItem",{key:e,attrs:{item:A}})})),1)}),[],!1,null,"81c2afc0",null);"function"==typeof r.a&&r()(s);var c=s.exports;
+function n(A,t,e){this.r=A,this.g=t,this.b=e}function i(A,t,e){var i=[];i.push(t);for(var a=function(A,t){var e=new Array(3);return e[0]=(t[1].r-t[0].r)/A,e[1]=(t[1].g-t[0].g)/A,e[2]=(t[1].b-t[0].b)/A,e}(A,[t,e]),o=1;o<A;o++){var r=parseInt(t.r+a[0]*o,10),s=parseInt(t.g+a[1]*o,10),c=parseInt(t.b+a[2]*o,10);i.push(new n(r,s,c))}return i}e(22),t.a=function(A){A||(A=6);var t=new n(182,70,157),e=new n(221,203,85),a=new n(0,130,201),o=i(A,t,e),r=i(A,e,a),s=i(A,a,t);return o.concat(r).concat(s)}},,,function(A,t){A.exports=e(29)},function(A,t){A.exports=e(109)},function(A,t){},,,function(A,t,e){"use strict";e.r(t),e(15),e(19),e(5),e(28),e(20),e(21),e(27);var n={name:"PopoverMenuItem",props:{item:{type:Object,required:!0,default:function(){return{key:"nextcloud-link",href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}},validator:function(A){return!A.input||-1!==["text","checkbox"].indexOf(A.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(A){return!1}}},methods:{action:function(A){this.item.action&&this.item.action(A)}}},i=(e(84),e(86),e(0)),a={name:"PopoverMenu",components:{PopoverMenuItem:Object(i.a)(n,(function(){var A=this,t=A.$createElement,e=A._self._c||t;return e("li",[A.item.href?e("a",{staticClass:"focusable",attrs:{href:A.item.href?A.item.href:"#",target:A.item.target?A.item.target:"",download:A.item.download,rel:"noreferrer noopener"},on:{click:A.action}},[A.iconIsUrl?e("img",{attrs:{src:A.item.icon}}):e("span",{class:A.item.icon}),A._v(" "),A.item.text&&A.item.longtext?e("p",[e("strong",{staticClass:"menuitem-text"},[A._v("\n\t\t\t\t"+A._s(A.item.text)+"\n\t\t\t")]),e("br"),A._v(" "),e("span",{staticClass:"menuitem-text-detail"},[A._v("\n\t\t\t\t"+A._s(A.item.longtext)+"\n\t\t\t")])]):A.item.text?e("span",[A._v("\n\t\t\t"+A._s(A.item.text)+"\n\t\t")]):A.item.longtext?e("p",[A._v("\n\t\t\t"+A._s(A.item.longtext)+"\n\t\t")]):A._e()]):A.item.input?e("span",{staticClass:"menuitem",class:{active:A.item.active}},["checkbox"!==A.item.input?e("span",{class:A.item.icon}):A._e(),A._v(" "),"text"===A.item.input?e("form",{class:A.item.input,on:{submit:function(t){return t.preventDefault(),A.item.action(t)}}},[e("input",{attrs:{type:A.item.input,placeholder:A.item.text,required:""},domProps:{value:A.item.value}}),A._v(" "),e("input",{staticClass:"icon-confirm",attrs:{type:"submit",value:""}})]):["checkbox"===A.item.input?e("input",{directives:[{name:"model",rawName:"v-model",value:A.item.model,expression:"item.model"}],class:A.item.input,attrs:{id:A.key,type:"checkbox"},domProps:{checked:Array.isArray(A.item.model)?A._i(A.item.model,null)>-1:A.item.model},on:{change:[function(t){var e=A.item.model,n=t.target,i=!!n.checked;if(Array.isArray(e)){var a=A._i(e,null);n.checked?a<0&&A.$set(A.item,"model",e.concat([null])):a>-1&&A.$set(A.item,"model",e.slice(0,a).concat(e.slice(a+1)))}else A.$set(A.item,"model",i)},A.item.action]}}):"radio"===A.item.input?e("input",{directives:[{name:"model",rawName:"v-model",value:A.item.model,expression:"item.model"}],class:A.item.input,attrs:{id:A.key,type:"radio"},domProps:{checked:A._q(A.item.model,null)},on:{change:[function(t){return A.$set(A.item,"model",null)},A.item.action]}}):e("input",{directives:[{name:"model",rawName:"v-model",value:A.item.model,expression:"item.model"}],class:A.item.input,attrs:{id:A.key,type:A.item.input},domProps:{value:A.item.model},on:{change:A.item.action,input:function(t){t.target.composing||A.$set(A.item,"model",t.target.value)}}}),A._v(" "),e("label",{attrs:{for:A.key},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),A.item.action(t)}}},[A._v("\n\t\t\t\t"+A._s(A.item.text)+"\n\t\t\t")])]],2):A.item.action?e("button",{staticClass:"menuitem focusable",class:{active:A.item.active},attrs:{disabled:A.item.disabled},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),A.item.action(t)}}},[e("span",{class:A.item.icon}),A._v(" "),A.item.text&&A.item.longtext?e("p",[e("strong",{staticClass:"menuitem-text"},[A._v("\n\t\t\t\t"+A._s(A.item.text)+"\n\t\t\t")]),e("br"),A._v(" "),e("span",{staticClass:"menuitem-text-detail"},[A._v("\n\t\t\t\t"+A._s(A.item.longtext)+"\n\t\t\t")])]):A.item.text?e("span",[A._v("\n\t\t\t"+A._s(A.item.text)+"\n\t\t")]):A.item.longtext?e("p",[A._v("\n\t\t\t"+A._s(A.item.longtext)+"\n\t\t")]):A._e()]):e("span",{staticClass:"menuitem",class:{active:A.item.active}},[e("span",{class:A.item.icon}),A._v(" "),A.item.text&&A.item.longtext?e("p",[e("strong",{staticClass:"menuitem-text"},[A._v("\n\t\t\t\t"+A._s(A.item.text)+"\n\t\t\t")]),e("br"),A._v(" "),e("span",{staticClass:"menuitem-text-detail"},[A._v("\n\t\t\t\t"+A._s(A.item.longtext)+"\n\t\t\t")])]):A.item.text?e("span",[A._v("\n\t\t\t"+A._s(A.item.text)+"\n\t\t")]):A.item.longtext?e("p",[A._v("\n\t\t\t"+A._s(A.item.longtext)+"\n\t\t")]):A._e()])])}),[],!1,null,"75ab886e",null).exports},props:{menu:{type:Array,default:function(){return[{href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}]},required:!0}}},o=(e(88),e(41)),r=e.n(o),s=Object(i.a)(a,(function(){var A=this.$createElement,t=this._self._c||A;return t("ul",this._l(this.menu,(function(A,e){return t("PopoverMenuItem",{key:e,attrs:{item:A}})})),1)}),[],!1,null,"81c2afc0",null);"function"==typeof r.a&&r()(s);var c=s.exports;
/**
* @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -64,7 +64,7 @@ function n(A,t,e){this.r=A,this.g=t,this.b=e}function i(A,t,e){var i=[];i.push(t
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */t.default=c},function(A,t){A.exports=e(29)},function(A,t,e){"use strict";e.r(t),e(24),e(78),e(15),e(30),e(5),e(75),e(76);var n=e(16),i=e(53),o=e(51),a=e(54),r=e.n(a),s=e(45),c=e(13),u=(e(22),e(95),e(33),e(70)),l=e.n(u),d=e(50);function f(A,t,e,n,i,o,a){try{var r=A[o](a),s=r.value}catch(A){return void e(A)}r.done?t(s):Promise.resolve(s).then(n,i)}function g(A){return function(){var t=this,e=arguments;return new Promise((function(n,i){var o=A.apply(t,e);function a(A){f(o,n,i,a,r,"next",A)}function r(A){f(o,n,i,a,r,"throw",A)}a(void 0)}))}}var p={name:"Avatar",directives:{tooltip:c.default,ClickOutside:n.directive},components:{PopoverMenu:i.default},props:{url:{type:String,default:void 0},iconClass:{type:String,default:void 0},user:{type:String,default:void 0},isGuest:{type:Boolean,default:!1},displayName:{type:String,default:void 0},size:{type:Number,default:32},allowPlaceholder:{type:Boolean,default:!0},disableTooltip:{type:Boolean,default:!1},disableMenu:{type:Boolean,default:!1},tooltipMessage:{type:String,default:null},isNoUser:{type:Boolean,default:!1},status:{type:String,default:null,validator:function(A){switch(A){case"positive":case"negative":case"neutral":return!0}return!1}},statusColor:{type:[Number,String],default:null,validator:function(A){return/^([a-f0-9]{3}){1,2}$/i.test(A)}},menuPosition:{type:String,default:"center"}},data:function(){return{avatarUrlLoaded:null,avatarSrcSetLoaded:null,userDoesNotExist:!1,isAvatarLoaded:!1,isMenuLoaded:!1,contactsMenuActions:[],contactsMenuOpenState:!1}},computed:{getUserIdentifier:function(){return this.isDisplayNameDefined?this.displayName:this.isUserDefined?this.user:""},isUserDefined:function(){return void 0!==this.user},isDisplayNameDefined:function(){return void 0!==this.displayName},isUrlDefined:function(){return void 0!==this.url},hasMenu:function(){var A;return!this.disableMenu&&(this.isMenuLoaded?this.menu.length>0:!(this.user===(null===(A=Object(o.getCurrentUser)())||void 0===A?void 0:A.uid)||this.userDoesNotExist||this.url))},shouldShowPlaceholder:function(){return this.allowPlaceholder&&this.userDoesNotExist},avatarStyle:function(){var A={width:this.size+"px",height:this.size+"px",lineHeight:this.size+"px",fontSize:Math.round(.55*this.size)+"px"};if(!this.iconClass&&!this.avatarSrcSetLoaded){var t=function(A){var t=A.toLowerCase();return null===t.match(/^([0-9a-f]{4}-?){8}$/)&&(t=l()(t)),t=t.replace(/[^0-9a-f]/g,""),Object(d.a)(6)[function(A,t){for(var e=0,n=[],i=0;i<A.length;i++)n.push(parseInt(A.charAt(i),16)%16);for(var o in n)e+=n[o];return parseInt(parseInt(e,10)%18,10)}(t)]}(this.getUserIdentifier);A.backgroundColor="rgb("+t.r+", "+t.g+", "+t.b+")"}return A},tooltip:function(){return!this.disableTooltip&&(this.tooltipMessage?this.tooltipMessage:this.displayName)},initials:function(){return this.shouldShowPlaceholder?this.getUserIdentifier.charAt(0).toUpperCase():"?"},menu:function(){return this.contactsMenuActions.map((function(A){return{href:A.hyperlink,icon:A.icon,text:A.title}}))}},watch:{url:function(){this.userDoesNotExist=!1,this.loadAvatarUrl()},user:function(){this.userDoesNotExist=!1,this.isMenuLoaded=!1,this.loadAvatarUrl()}},mounted:function(){this.loadAvatarUrl()},methods:{toggleMenu:function(){var A=this;return g(regeneratorRuntime.mark((function t(){return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:if(A.hasMenu){t.next=2;break}return t.abrupt("return");case 2:if(A.contactsMenuOpenState){t.next=5;break}return t.next=5,A.fetchContactsMenu();case 5:A.contactsMenuOpenState=!A.contactsMenuOpenState;case 6:case"end":return t.stop()}}),t)})))()},closeMenu:function(){this.contactsMenuOpenState=!1},fetchContactsMenu:function(){var A=this;return g(regeneratorRuntime.mark((function t(){var e,n,i;return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:return t.prev=0,e=encodeURIComponent(A.user),t.next=4,r.a.post(Object(s.generateUrl)("contactsmenu/findOne"),"shareType=0&shareWith=".concat(e));case 4:n=t.sent,i=n.data,A.contactsMenuActions=i.topAction?[i.topAction].concat(i.actions):i.actions,t.next=12;break;case 9:t.prev=9,t.t0=t.catch(0),A.contactsMenuOpenState=!1;case 12:A.isMenuLoaded=!0;case 13:case"end":return t.stop()}}),t,null,[[0,9]])})))()},loadAvatarUrl:function(){var A=this;if(this.isAvatarLoaded=!1,!this.isUrlDefined&&(!this.isUserDefined||this.isNoUser))return this.isAvatarLoaded=!0,void(this.userDoesNotExist=!0);var t=function(t,e){var n,i="/avatar/{user}/{size}";A.isGuest&&(i="/avatar/guest/{user}/{size}");var a=Object(s.generateUrl)(i,{user:t,size:e});return t===(null===(n=Object(o.getCurrentUser)())||void 0===n?void 0:n.uid)&&"undefined"!=typeof oc_userconfig&&(a+="?v="+oc_userconfig.avatar.version),a},e=t(this.user,this.size);this.isUrlDefined&&(e=this.url);var n=[e+" 1x",t(this.user,2*this.size)+" 2x",t(this.user,4*this.size)+" 4x"].join(", "),i=new Image;i.onload=function(){A.avatarUrlLoaded=e,A.isUrlDefined||(A.avatarSrcSetLoaded=n),A.isAvatarLoaded=!0},i.onerror=function(){A.userDoesNotExist=!0,A.isAvatarLoaded=!0},this.isUrlDefined||(i.srcset=n),i.src=e}}},v=(e(96),e(0)),m=e(52),h=e.n(m),b=Object(v.a)(p,(function(){var A=this,t=A.$createElement,e=A._self._c||t;return e("div",{directives:[{name:"tooltip",rawName:"v-tooltip",value:A.tooltip,expression:"tooltip"},{name:"click-outside",rawName:"v-click-outside",value:A.closeMenu,expression:"closeMenu"}],staticClass:"avatardiv popovermenu-wrapper",class:{"avatardiv--unknown":A.userDoesNotExist,"avatardiv--with-menu":A.hasMenu},style:A.avatarStyle,on:{click:A.toggleMenu}},[A.iconClass?e("div",{staticClass:"avatar-class-icon",class:A.iconClass}):A.isAvatarLoaded&&!A.userDoesNotExist?e("img",{attrs:{src:A.avatarUrlLoaded,srcset:A.avatarSrcSetLoaded}}):A._e(),A._v(" "),A.hasMenu?e("div",{staticClass:"icon-more"}):A._e(),A._v(" "),A.status?e("div",{staticClass:"avatardiv__status",class:"avatardiv__status--"+A.status,style:{backgroundColor:"#"+A.statusColor}},["neutral"===A.status?e("svg",{attrs:{xmlns:"http://www.w3.org/2000/svg",width:"12",height:"11",viewBox:"0 0 3.175 2.91"}},[e("path",{style:{fill:"#"+A.statusColor},attrs:{d:"M3.21 3.043H.494l.679-1.177.68-1.176.678 1.176z",stroke:"#fff","stroke-width":".265","stroke-linecap":"square"}})]):A._e()]):A._e(),A._v(" "),A.userDoesNotExist?e("div",{staticClass:"unknown"},[A._v("\n\t\t"+A._s(A.initials)+"\n\t")]):A._e(),A._v(" "),A.hasMenu?e("div",{directives:[{name:"show",rawName:"v-show",value:A.contactsMenuOpenState,expression:"contactsMenuOpenState"}],staticClass:"popovermenu",class:"menu-"+A.menuPosition},[e("PopoverMenu",{attrs:{"is-open":A.contactsMenuOpenState,menu:A.menu}})],1):A._e()])}),[],!1,null,"42481a4b",null);"function"==typeof h.a&&h()(b);var B=b.exports;
+ */t.default=c},,,function(A,t,e){"use strict";e.r(t),e(22),e(68),e(7),e(25),e(5),e(66),e(67);var n=e(16),i=e(53),a=e(49),o=e(48),r=e.n(o),s=e(38),c=e(13),l=e(61);function u(A,t,e,n,i,a,o){try{var r=A[a](o),s=r.value}catch(A){return void e(A)}r.done?t(s):Promise.resolve(s).then(n,i)}function d(A){return function(){var t=this,e=arguments;return new Promise((function(n,i){var a=A.apply(t,e);function o(A){u(a,n,i,o,r,"next",A)}function r(A){u(a,n,i,o,r,"throw",A)}o(void 0)}))}}var g={name:"Avatar",directives:{tooltip:c.default,ClickOutside:n.directive},components:{PopoverMenu:i.default},props:{url:{type:String,default:void 0},iconClass:{type:String,default:void 0},user:{type:String,default:void 0},isGuest:{type:Boolean,default:!1},displayName:{type:String,default:void 0},size:{type:Number,default:32},allowPlaceholder:{type:Boolean,default:!0},disableTooltip:{type:Boolean,default:!1},disableMenu:{type:Boolean,default:!1},tooltipMessage:{type:String,default:null},isNoUser:{type:Boolean,default:!1},status:{type:String,default:null,validator:function(A){switch(A){case"positive":case"negative":case"neutral":return!0}return!1}},statusColor:{type:[Number,String],default:null,validator:function(A){return/^([a-f0-9]{3}){1,2}$/i.test(A)}},menuPosition:{type:String,default:"center"}},data:function(){return{avatarUrlLoaded:null,avatarSrcSetLoaded:null,userDoesNotExist:!1,isAvatarLoaded:!1,isMenuLoaded:!1,contactsMenuActions:[],contactsMenuOpenState:!1}},computed:{getUserIdentifier:function(){return this.isDisplayNameDefined?this.displayName:this.isUserDefined?this.user:""},isUserDefined:function(){return void 0!==this.user},isDisplayNameDefined:function(){return void 0!==this.displayName},isUrlDefined:function(){return void 0!==this.url},hasMenu:function(){var A;return!this.disableMenu&&(this.isMenuLoaded?this.menu.length>0:!(this.user===(null===(A=Object(a.getCurrentUser)())||void 0===A?void 0:A.uid)||this.userDoesNotExist||this.url))},shouldShowPlaceholder:function(){return this.allowPlaceholder&&this.userDoesNotExist},avatarStyle:function(){var A={width:this.size+"px",height:this.size+"px",lineHeight:this.size+"px",fontSize:Math.round(.55*this.size)+"px"};if(!this.iconClass&&!this.avatarSrcSetLoaded){var t=Object(l.default)(this.getUserIdentifier);A.backgroundColor="rgb("+t.r+", "+t.g+", "+t.b+")"}return A},tooltip:function(){return!this.disableTooltip&&(this.tooltipMessage?this.tooltipMessage:this.displayName)},initials:function(){return this.shouldShowPlaceholder?this.getUserIdentifier.charAt(0).toUpperCase():"?"},menu:function(){return this.contactsMenuActions.map((function(A){return{href:A.hyperlink,icon:A.icon,text:A.title}}))}},watch:{url:function(){this.userDoesNotExist=!1,this.loadAvatarUrl()},user:function(){this.userDoesNotExist=!1,this.isMenuLoaded=!1,this.loadAvatarUrl()}},mounted:function(){this.loadAvatarUrl()},methods:{toggleMenu:function(){var A=this;return d(regeneratorRuntime.mark((function t(){return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:if(A.hasMenu){t.next=2;break}return t.abrupt("return");case 2:if(A.contactsMenuOpenState){t.next=5;break}return t.next=5,A.fetchContactsMenu();case 5:A.contactsMenuOpenState=!A.contactsMenuOpenState;case 6:case"end":return t.stop()}}),t)})))()},closeMenu:function(){this.contactsMenuOpenState=!1},fetchContactsMenu:function(){var A=this;return d(regeneratorRuntime.mark((function t(){var e,n,i;return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:return t.prev=0,e=encodeURIComponent(A.user),t.next=4,r.a.post(Object(s.generateUrl)("contactsmenu/findOne"),"shareType=0&shareWith=".concat(e));case 4:n=t.sent,i=n.data,A.contactsMenuActions=i.topAction?[i.topAction].concat(i.actions):i.actions,t.next=12;break;case 9:t.prev=9,t.t0=t.catch(0),A.contactsMenuOpenState=!1;case 12:A.isMenuLoaded=!0;case 13:case"end":return t.stop()}}),t,null,[[0,9]])})))()},loadAvatarUrl:function(){var A=this;if(this.isAvatarLoaded=!1,!this.isUrlDefined&&(!this.isUserDefined||this.isNoUser))return this.isAvatarLoaded=!0,void(this.userDoesNotExist=!0);var t=function(t,e){var n,i="/avatar/{user}/{size}";A.isGuest&&(i="/avatar/guest/{user}/{size}");var o=Object(s.generateUrl)(i,{user:t,size:e});return t===(null===(n=Object(a.getCurrentUser)())||void 0===n?void 0:n.uid)&&"undefined"!=typeof oc_userconfig&&(o+="?v="+oc_userconfig.avatar.version),o},e=t(this.user,this.size);this.isUrlDefined&&(e=this.url);var n=[e+" 1x",t(this.user,2*this.size)+" 2x",t(this.user,4*this.size)+" 4x"].join(", "),i=new Image;i.onload=function(){A.avatarUrlLoaded=e,A.isUrlDefined||(A.avatarSrcSetLoaded=n),A.isAvatarLoaded=!0},i.onerror=function(){A.userDoesNotExist=!0,A.isAvatarLoaded=!0},this.isUrlDefined||(i.srcset=n),i.src=e}}},f=(e(95),e(0)),p=e(50),v=e.n(p),m=Object(f.a)(g,(function(){var A=this,t=A.$createElement,e=A._self._c||t;return e("div",{directives:[{name:"tooltip",rawName:"v-tooltip",value:A.tooltip,expression:"tooltip"},{name:"click-outside",rawName:"v-click-outside",value:A.closeMenu,expression:"closeMenu"}],staticClass:"avatardiv popovermenu-wrapper",class:{"avatardiv--unknown":A.userDoesNotExist,"avatardiv--with-menu":A.hasMenu},style:A.avatarStyle,on:{click:A.toggleMenu}},[A.iconClass?e("div",{staticClass:"avatar-class-icon",class:A.iconClass}):A.isAvatarLoaded&&!A.userDoesNotExist?e("img",{attrs:{src:A.avatarUrlLoaded,srcset:A.avatarSrcSetLoaded}}):A._e(),A._v(" "),A.hasMenu?e("div",{staticClass:"icon-more"}):A._e(),A._v(" "),A.status?e("div",{staticClass:"avatardiv__status",class:"avatardiv__status--"+A.status,style:{backgroundColor:"#"+A.statusColor}},["neutral"===A.status?e("svg",{attrs:{xmlns:"http://www.w3.org/2000/svg",width:"12",height:"11",viewBox:"0 0 3.175 2.91"}},[e("path",{style:{fill:"#"+A.statusColor},attrs:{d:"M3.21 3.043H.494l.679-1.177.68-1.176.678 1.176z",stroke:"#fff","stroke-width":".265","stroke-linecap":"square"}})]):A._e()]):A._e(),A._v(" "),A.userDoesNotExist?e("div",{staticClass:"unknown"},[A._v("\n\t\t"+A._s(A.initials)+"\n\t")]):A._e(),A._v(" "),A.hasMenu?e("div",{directives:[{name:"show",rawName:"v-show",value:A.contactsMenuOpenState,expression:"contactsMenuOpenState"}],staticClass:"popovermenu",class:"menu-"+A.menuPosition},[e("PopoverMenu",{attrs:{"is-open":A.contactsMenuOpenState,menu:A.menu}})],1):A._e()])}),[],!1,null,"2e9fb762",null);"function"==typeof v.a&&v()(m);var b=m.exports;
/**
* @copyright Copyright (c) 2018 Julius Härtl <jus@bitgrid.net>
*
@@ -85,12 +85,12 @@ function n(A,t,e){this.r=A,this.g=t,this.b=e}function i(A,t,e){var i=[];i.push(t
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */t.default=B},,,,,,,,,,,,,,,function(A,t){A.exports=e(651)},,,,,function(A,t){A.exports=e(654)},function(A,t){A.exports=e(661)},,function(A,t){A.exports=e(662)},,,function(A,t,e){"use strict";var n=e(34);e.n(n).a},function(A,t,e){(t=e(1)(!1)).push([A.i,"\nbutton.menuitem[data-v-75ab886e] {\n\ttext-align: left;\n}\nbutton.menuitem *[data-v-75ab886e] {\n\tcursor: pointer;\n}\nbutton.menuitem[data-v-75ab886e]:disabled {\n\topacity: 0.5 !important;\n\tcursor: default;\n}\nbutton.menuitem:disabled *[data-v-75ab886e] {\n\tcursor: default;\n}\n.menuitem.active[data-v-75ab886e] {\n\tbox-shadow: inset 2px 0 var(--color-primary);\n\tborder-radius: 0;\n}\n",""]),A.exports=t},function(A,t,e){"use strict";var n=e(35);e.n(n).a},function(A,t,e){(t=e(1)(!1)).push([A.i,"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\n",""]),A.exports=t},function(A,t,e){"use strict";var n=e(36);e.n(n).a},function(A,t,e){(t=e(1)(!1)).push([A.i,"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\n",""]),A.exports=t},,,,,,,,,function(A,t){A.exports=e(663)},function(A,t,e){"use strict";var n=e(41);e.n(n).a},function(A,t,e){var n=e(1),i=e(7),o=e(8),a=e(9),r=e(10),s=e(11);t=n(!1);var c=i(o),u=i(a),l=i(r),d=i(s);t.push([A.i,'@font-face{font-family:"iconfont-vue-6f41c0d";src:url('+c+");src:url("+c+') format("embedded-opentype"),url('+u+') format("woff"),url('+l+') format("truetype"),url('+d+') format("svg")}.icon[data-v-42481a4b]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-left[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-right-double[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-right[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.breadcrumb[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.checkmark[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.close[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.confirm[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.info[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.menu[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.more[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.pause[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.play[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.triangle-s[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";content:""}.avatardiv[data-v-42481a4b]{position:relative;display:inline-block}.avatardiv--unknown[data-v-42481a4b]{position:relative;background-color:var(--color-text-maxcontrast)}.avatardiv--with-menu[data-v-42481a4b]{cursor:pointer}.avatardiv--with-menu .icon-more[data-v-42481a4b]{position:absolute;top:0;left:0;display:flex;align-items:center;justify-content:center;width:inherit;height:inherit;cursor:pointer;opacity:0;background:none;font-size:18px}.avatardiv--with-menu .icon-more[data-v-42481a4b]:before{font-family:"iconfont-vue-6f41c0d";font-style:normal;font-weight:400;content:""}.avatardiv--with-menu .icon-more[data-v-42481a4b]::before{display:block}.avatardiv--with-menu:focus .icon-more[data-v-42481a4b],.avatardiv--with-menu:hover .icon-more[data-v-42481a4b]{opacity:1}.avatardiv--with-menu:focus img[data-v-42481a4b],.avatardiv--with-menu:hover img[data-v-42481a4b]{opacity:0}.avatardiv--with-menu .icon-more[data-v-42481a4b],.avatardiv--with-menu img[data-v-42481a4b]{transition:opacity var(--animation-quick)}.avatardiv>.unknown[data-v-42481a4b]{position:absolute;top:0;left:0;display:block;width:100%;text-align:center;font-weight:normal;color:var(--color-main-background)}.avatardiv img[data-v-42481a4b]{width:100%;height:100%}.avatardiv .avatardiv__status[data-v-42481a4b]{position:absolute;top:22px;left:22px;width:10px;height:10px;border:1px solid rgba(255,255,255,0.5);background-clip:content-box}.avatardiv .avatardiv__status--positive[data-v-42481a4b]{border-radius:50%;background-color:var(--color-success)}.avatardiv .avatardiv__status--negative[data-v-42481a4b]{background-color:var(--color-error)}.avatardiv .avatardiv__status--neutral[data-v-42481a4b]{border:none;background-color:transparent !important}.avatardiv .avatardiv__status--neutral svg[data-v-42481a4b]{position:absolute;top:-3px;left:-2px}.avatardiv .avatardiv__status--neutral svg path[data-v-42481a4b]{fill:#aaa}.avatardiv .popovermenu-wrapper[data-v-42481a4b]{position:relative;display:inline-block}.avatardiv .popovermenu[data-v-42481a4b]{display:block;margin:0;font-size:14px}.avatar-class-icon[data-v-42481a4b]{border-radius:50%;background-color:var(--color-background-darker)}\n',""]),A.exports=t}])},364:function(A,t){var e={utf8:{stringToBytes:function(A){return e.bin.stringToBytes(unescape(encodeURIComponent(A)))},bytesToString:function(A){return decodeURIComponent(escape(e.bin.bytesToString(A)))}},bin:{stringToBytes:function(A){for(var t=[],e=0;e<A.length;e++)t.push(255&A.charCodeAt(e));return t},bytesToString:function(A){for(var t=[],e=0;e<A.length;e++)t.push(String.fromCharCode(A[e]));return t.join("")}}};A.exports=e},365:function(A,t,e){var n,i,o,a=e(2),r=e(0),s=e(19),c=e(30),u=e(116),l=e(61),d=e(366),f=a.location,g=a.setImmediate,p=a.clearImmediate,v=a.process,m=a.MessageChannel,h=a.Dispatch,b=0,B={},M=function(A){if(B.hasOwnProperty(A)){var t=B[A];delete B[A],t()}},y=function(A){return function(){M(A)}},I=function(A){M(A.data)},x=function(A){a.postMessage(A+"",f.protocol+"//"+f.host)};g&&p||(g=function(A){for(var t=[],e=1;arguments.length>e;)t.push(arguments[e++]);return B[++b]=function(){("function"==typeof A?A:Function(A)).apply(void 0,t)},n(b),b},p=function(A){delete B[A]},"process"==s(v)?n=function(A){v.nextTick(y(A))}:h&&h.now?n=function(A){h.now(y(A))}:m&&!d?(o=(i=new m).port2,i.port1.onmessage=I,n=c(o.postMessage,o,1)):!a.addEventListener||"function"!=typeof postMessage||a.importScripts||r(x)||"file:"===f.protocol?n="onreadystatechange"in l("script")?function(A){u.appendChild(l("script")).onreadystatechange=function(){u.removeChild(this),M(A)}}:function(A){setTimeout(y(A),0)}:(n=x,a.addEventListener("message",I,!1))),A.exports={set:g,clear:p}},366:function(A,t,e){var n=e(114);A.exports=/(iphone|ipod|ipad).*applewebkit/i.test(n)},367:function(A,t,e){"use strict";var n=e(104),i=function(A){var t,e;this.promise=new A((function(A,n){if(void 0!==t||void 0!==e)throw TypeError("Bad Promise constructor");t=A,e=n})),this.resolve=n(t),this.reject=n(e)};A.exports.f=function(A){return new i(A)}},651:function(A,t,e){var n,i,o,a,r;n=e(652),i=e(364).utf8,o=e(653),a=e(364).bin,(r=function(A,t){A.constructor==String?A=t&&"binary"===t.encoding?a.stringToBytes(A):i.stringToBytes(A):o(A)?A=Array.prototype.slice.call(A,0):Array.isArray(A)||(A=A.toString());for(var e=n.bytesToWords(A),s=8*A.length,c=1732584193,u=-271733879,l=-1732584194,d=271733878,f=0;f<e.length;f++)e[f]=16711935&(e[f]<<8|e[f]>>>24)|4278255360&(e[f]<<24|e[f]>>>8);e[s>>>5]|=128<<s%32,e[14+(s+64>>>9<<4)]=s;var g=r._ff,p=r._gg,v=r._hh,m=r._ii;for(f=0;f<e.length;f+=16){var h=c,b=u,B=l,M=d;c=g(c,u,l,d,e[f+0],7,-680876936),d=g(d,c,u,l,e[f+1],12,-389564586),l=g(l,d,c,u,e[f+2],17,606105819),u=g(u,l,d,c,e[f+3],22,-1044525330),c=g(c,u,l,d,e[f+4],7,-176418897),d=g(d,c,u,l,e[f+5],12,1200080426),l=g(l,d,c,u,e[f+6],17,-1473231341),u=g(u,l,d,c,e[f+7],22,-45705983),c=g(c,u,l,d,e[f+8],7,1770035416),d=g(d,c,u,l,e[f+9],12,-1958414417),l=g(l,d,c,u,e[f+10],17,-42063),u=g(u,l,d,c,e[f+11],22,-1990404162),c=g(c,u,l,d,e[f+12],7,1804603682),d=g(d,c,u,l,e[f+13],12,-40341101),l=g(l,d,c,u,e[f+14],17,-1502002290),c=p(c,u=g(u,l,d,c,e[f+15],22,1236535329),l,d,e[f+1],5,-165796510),d=p(d,c,u,l,e[f+6],9,-1069501632),l=p(l,d,c,u,e[f+11],14,643717713),u=p(u,l,d,c,e[f+0],20,-373897302),c=p(c,u,l,d,e[f+5],5,-701558691),d=p(d,c,u,l,e[f+10],9,38016083),l=p(l,d,c,u,e[f+15],14,-660478335),u=p(u,l,d,c,e[f+4],20,-405537848),c=p(c,u,l,d,e[f+9],5,568446438),d=p(d,c,u,l,e[f+14],9,-1019803690),l=p(l,d,c,u,e[f+3],14,-187363961),u=p(u,l,d,c,e[f+8],20,1163531501),c=p(c,u,l,d,e[f+13],5,-1444681467),d=p(d,c,u,l,e[f+2],9,-51403784),l=p(l,d,c,u,e[f+7],14,1735328473),c=v(c,u=p(u,l,d,c,e[f+12],20,-1926607734),l,d,e[f+5],4,-378558),d=v(d,c,u,l,e[f+8],11,-2022574463),l=v(l,d,c,u,e[f+11],16,1839030562),u=v(u,l,d,c,e[f+14],23,-35309556),c=v(c,u,l,d,e[f+1],4,-1530992060),d=v(d,c,u,l,e[f+4],11,1272893353),l=v(l,d,c,u,e[f+7],16,-155497632),u=v(u,l,d,c,e[f+10],23,-1094730640),c=v(c,u,l,d,e[f+13],4,681279174),d=v(d,c,u,l,e[f+0],11,-358537222),l=v(l,d,c,u,e[f+3],16,-722521979),u=v(u,l,d,c,e[f+6],23,76029189),c=v(c,u,l,d,e[f+9],4,-640364487),d=v(d,c,u,l,e[f+12],11,-421815835),l=v(l,d,c,u,e[f+15],16,530742520),c=m(c,u=v(u,l,d,c,e[f+2],23,-995338651),l,d,e[f+0],6,-198630844),d=m(d,c,u,l,e[f+7],10,1126891415),l=m(l,d,c,u,e[f+14],15,-1416354905),u=m(u,l,d,c,e[f+5],21,-57434055),c=m(c,u,l,d,e[f+12],6,1700485571),d=m(d,c,u,l,e[f+3],10,-1894986606),l=m(l,d,c,u,e[f+10],15,-1051523),u=m(u,l,d,c,e[f+1],21,-2054922799),c=m(c,u,l,d,e[f+8],6,1873313359),d=m(d,c,u,l,e[f+15],10,-30611744),l=m(l,d,c,u,e[f+6],15,-1560198380),u=m(u,l,d,c,e[f+13],21,1309151649),c=m(c,u,l,d,e[f+4],6,-145523070),d=m(d,c,u,l,e[f+11],10,-1120210379),l=m(l,d,c,u,e[f+2],15,718787259),u=m(u,l,d,c,e[f+9],21,-343485551),c=c+h>>>0,u=u+b>>>0,l=l+B>>>0,d=d+M>>>0}return n.endian([c,u,l,d])})._ff=function(A,t,e,n,i,o,a){var r=A+(t&e|~t&n)+(i>>>0)+a;return(r<<o|r>>>32-o)+t},r._gg=function(A,t,e,n,i,o,a){var r=A+(t&n|e&~n)+(i>>>0)+a;return(r<<o|r>>>32-o)+t},r._hh=function(A,t,e,n,i,o,a){var r=A+(t^e^n)+(i>>>0)+a;return(r<<o|r>>>32-o)+t},r._ii=function(A,t,e,n,i,o,a){var r=A+(e^(t|~n))+(i>>>0)+a;return(r<<o|r>>>32-o)+t},r._blocksize=16,r._digestsize=16,A.exports=function(A,t){if(null==A)throw new Error("Illegal argument "+A);var e=n.wordsToBytes(r(A,t));return t&&t.asBytes?e:t&&t.asString?a.bytesToString(e):n.bytesToHex(e)}},652:function(A,t){var e,n;e="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",n={rotl:function(A,t){return A<<t|A>>>32-t},rotr:function(A,t){return A<<32-t|A>>>t},endian:function(A){if(A.constructor==Number)return 16711935&n.rotl(A,8)|4278255360&n.rotl(A,24);for(var t=0;t<A.length;t++)A[t]=n.endian(A[t]);return A},randomBytes:function(A){for(var t=[];A>0;A--)t.push(Math.floor(256*Math.random()));return t},bytesToWords:function(A){for(var t=[],e=0,n=0;e<A.length;e++,n+=8)t[n>>>5]|=A[e]<<24-n%32;return t},wordsToBytes:function(A){for(var t=[],e=0;e<32*A.length;e+=8)t.push(A[e>>>5]>>>24-e%32&255);return t},bytesToHex:function(A){for(var t=[],e=0;e<A.length;e++)t.push((A[e]>>>4).toString(16)),t.push((15&A[e]).toString(16));return t.join("")},hexToBytes:function(A){for(var t=[],e=0;e<A.length;e+=2)t.push(parseInt(A.substr(e,2),16));return t},bytesToBase64:function(A){for(var t=[],n=0;n<A.length;n+=3)for(var i=A[n]<<16|A[n+1]<<8|A[n+2],o=0;o<4;o++)8*n+6*o<=8*A.length?t.push(e.charAt(i>>>6*(3-o)&63)):t.push("=");return t.join("")},base64ToBytes:function(A){A=A.replace(/[^A-Z0-9+\/]/gi,"");for(var t=[],n=0,i=0;n<A.length;i=++n%4)0!=i&&t.push((e.indexOf(A.charAt(n-1))&Math.pow(2,-2*i+8)-1)<<2*i|e.indexOf(A.charAt(n))>>>6-2*i);return t}},A.exports=n},653:function(A,t){function e(A){return!!A.constructor&&"function"==typeof A.constructor.isBuffer&&A.constructor.isBuffer(A)}
+ */t.default=b},function(A,t){A.exports=e(652)},,,,function(A,t,e){"use strict";e.r(t),e(17),e(90),e(31);var n=e(57),i=e.n(n),a=e(45);t.default=function(A){var t=A.toLowerCase();return null===t.match(/^([0-9a-f]{4}-?){8}$/)&&(t=i()(t)),t=t.replace(/[^0-9a-f]/g,""),Object(a.a)(6)[function(A,t){for(var e=0,n=[],i=0;i<A.length;i++)n.push(parseInt(A.charAt(i),16)%16);for(var a in n)e+=n[a];return parseInt(parseInt(e,10)%18,10)}(t)]}},,,,,function(A,t){A.exports=e(655)},function(A,t){A.exports=e(353)},function(A,t){A.exports=e(662)},,,,,,,,,,,,,,,,function(A,t,e){"use strict";var n=e(33);e.n(n).a},function(A,t,e){(t=e(1)(!1)).push([A.i,"\nbutton.menuitem[data-v-75ab886e] {\n\ttext-align: left;\n}\nbutton.menuitem *[data-v-75ab886e] {\n\tcursor: pointer;\n}\nbutton.menuitem[data-v-75ab886e]:disabled {\n\topacity: 0.5 !important;\n\tcursor: default;\n}\nbutton.menuitem:disabled *[data-v-75ab886e] {\n\tcursor: default;\n}\n.menuitem.active[data-v-75ab886e] {\n\tbox-shadow: inset 2px 0 var(--color-primary);\n\tborder-radius: 0;\n}\n",""]),A.exports=t},function(A,t,e){"use strict";var n=e(34);e.n(n).a},function(A,t,e){(t=e(1)(!1)).push([A.i,"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\n",""]),A.exports=t},function(A,t,e){"use strict";var n=e(35);e.n(n).a},function(A,t,e){(t=e(1)(!1)).push([A.i,"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\n",""]),A.exports=t},function(A,t){A.exports=e(663)},,,,,function(A,t,e){"use strict";var n=e(37);e.n(n).a},function(A,t,e){var n=e(1),i=e(8),a=e(9),o=e(10),r=e(11),s=e(12);t=n(!1);var c=i(a),l=i(o),u=i(r),d=i(s);t.push([A.i,'@font-face{font-family:"iconfont-vue-91c9b6f";src:url('+c+");src:url("+c+') format("embedded-opentype"),url('+l+') format("woff"),url('+u+') format("truetype"),url('+d+') format("svg")}.icon[data-v-2e9fb762]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-left[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-right-double[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-right[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.breadcrumb[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.checkmark[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.close[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.confirm[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.info[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.menu[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.more[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.pause[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.play[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.triangle-s[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";content:""}.avatardiv[data-v-2e9fb762]{position:relative;display:inline-block}.avatardiv--unknown[data-v-2e9fb762]{position:relative;background-color:var(--color-text-maxcontrast)}.avatardiv[data-v-2e9fb762]:not(.avatardiv--unknown){background-color:#fff !important;box-shadow:0 0 5px rgba(0,0,0,0.05) inset}.avatardiv--with-menu[data-v-2e9fb762]{cursor:pointer}.avatardiv--with-menu .icon-more[data-v-2e9fb762]{position:absolute;top:0;left:0;display:flex;align-items:center;justify-content:center;width:inherit;height:inherit;cursor:pointer;opacity:0;background:none;font-size:18px}.avatardiv--with-menu .icon-more[data-v-2e9fb762]:before{font-family:"iconfont-vue-91c9b6f";font-style:normal;font-weight:400;content:""}.avatardiv--with-menu .icon-more[data-v-2e9fb762]::before{display:block}.avatardiv--with-menu:focus .icon-more[data-v-2e9fb762],.avatardiv--with-menu:hover .icon-more[data-v-2e9fb762]{opacity:1}.avatardiv--with-menu:focus img[data-v-2e9fb762],.avatardiv--with-menu:hover img[data-v-2e9fb762]{opacity:0}.avatardiv--with-menu .icon-more[data-v-2e9fb762],.avatardiv--with-menu img[data-v-2e9fb762]{transition:opacity var(--animation-quick)}.avatardiv>.unknown[data-v-2e9fb762]{position:absolute;top:0;left:0;display:block;width:100%;text-align:center;font-weight:normal;color:var(--color-main-background)}.avatardiv img[data-v-2e9fb762]{width:100%;height:100%}.avatardiv .avatardiv__status[data-v-2e9fb762]{position:absolute;top:22px;left:22px;width:10px;height:10px;border:1px solid rgba(255,255,255,0.5);background-clip:content-box}.avatardiv .avatardiv__status--positive[data-v-2e9fb762]{border-radius:50%;background-color:var(--color-success)}.avatardiv .avatardiv__status--negative[data-v-2e9fb762]{background-color:var(--color-error)}.avatardiv .avatardiv__status--neutral[data-v-2e9fb762]{border:none;background-color:transparent !important}.avatardiv .avatardiv__status--neutral svg[data-v-2e9fb762]{position:absolute;top:-3px;left:-2px}.avatardiv .avatardiv__status--neutral svg path[data-v-2e9fb762]{fill:#aaa}.avatardiv .popovermenu-wrapper[data-v-2e9fb762]{position:relative;display:inline-block}.avatardiv .popovermenu[data-v-2e9fb762]{display:block;margin:0;font-size:14px}.avatar-class-icon[data-v-2e9fb762]{border-radius:50%;background-color:var(--color-background-darker)}\n',""]),A.exports=t}])},365:function(A,t){var e={utf8:{stringToBytes:function(A){return e.bin.stringToBytes(unescape(encodeURIComponent(A)))},bytesToString:function(A){return decodeURIComponent(escape(e.bin.bytesToString(A)))}},bin:{stringToBytes:function(A){for(var t=[],e=0;e<A.length;e++)t.push(255&A.charCodeAt(e));return t},bytesToString:function(A){for(var t=[],e=0;e<A.length;e++)t.push(String.fromCharCode(A[e]));return t.join("")}}};A.exports=e},366:function(A,t,e){var n,i,a,o=e(2),r=e(0),s=e(19),c=e(30),l=e(116),u=e(61),d=e(367),g=o.location,f=o.setImmediate,p=o.clearImmediate,v=o.process,m=o.MessageChannel,b=o.Dispatch,B=0,M={},I=function(A){if(M.hasOwnProperty(A)){var t=M[A];delete M[A],t()}},h=function(A){return function(){I(A)}},x=function(A){I(A.data)},E=function(A){o.postMessage(A+"",g.protocol+"//"+g.host)};f&&p||(f=function(A){for(var t=[],e=1;arguments.length>e;)t.push(arguments[e++]);return M[++B]=function(){("function"==typeof A?A:Function(A)).apply(void 0,t)},n(B),B},p=function(A){delete M[A]},"process"==s(v)?n=function(A){v.nextTick(h(A))}:b&&b.now?n=function(A){b.now(h(A))}:m&&!d?(a=(i=new m).port2,i.port1.onmessage=x,n=c(a.postMessage,a,1)):!o.addEventListener||"function"!=typeof postMessage||o.importScripts||r(E)||"file:"===g.protocol?n="onreadystatechange"in u("script")?function(A){l.appendChild(u("script")).onreadystatechange=function(){l.removeChild(this),I(A)}}:function(A){setTimeout(h(A),0)}:(n=E,o.addEventListener("message",x,!1))),A.exports={set:f,clear:p}},367:function(A,t,e){var n=e(114);A.exports=/(iphone|ipod|ipad).*applewebkit/i.test(n)},368:function(A,t,e){"use strict";var n=e(104),i=function(A){var t,e;this.promise=new A((function(A,n){if(void 0!==t||void 0!==e)throw TypeError("Bad Promise constructor");t=A,e=n})),this.resolve=n(t),this.reject=n(e)};A.exports.f=function(A){return new i(A)}},652:function(A,t,e){var n,i,a,o,r;n=e(653),i=e(365).utf8,a=e(654),o=e(365).bin,(r=function(A,t){A.constructor==String?A=t&&"binary"===t.encoding?o.stringToBytes(A):i.stringToBytes(A):a(A)?A=Array.prototype.slice.call(A,0):Array.isArray(A)||(A=A.toString());for(var e=n.bytesToWords(A),s=8*A.length,c=1732584193,l=-271733879,u=-1732584194,d=271733878,g=0;g<e.length;g++)e[g]=16711935&(e[g]<<8|e[g]>>>24)|4278255360&(e[g]<<24|e[g]>>>8);e[s>>>5]|=128<<s%32,e[14+(s+64>>>9<<4)]=s;var f=r._ff,p=r._gg,v=r._hh,m=r._ii;for(g=0;g<e.length;g+=16){var b=c,B=l,M=u,I=d;c=f(c,l,u,d,e[g+0],7,-680876936),d=f(d,c,l,u,e[g+1],12,-389564586),u=f(u,d,c,l,e[g+2],17,606105819),l=f(l,u,d,c,e[g+3],22,-1044525330),c=f(c,l,u,d,e[g+4],7,-176418897),d=f(d,c,l,u,e[g+5],12,1200080426),u=f(u,d,c,l,e[g+6],17,-1473231341),l=f(l,u,d,c,e[g+7],22,-45705983),c=f(c,l,u,d,e[g+8],7,1770035416),d=f(d,c,l,u,e[g+9],12,-1958414417),u=f(u,d,c,l,e[g+10],17,-42063),l=f(l,u,d,c,e[g+11],22,-1990404162),c=f(c,l,u,d,e[g+12],7,1804603682),d=f(d,c,l,u,e[g+13],12,-40341101),u=f(u,d,c,l,e[g+14],17,-1502002290),c=p(c,l=f(l,u,d,c,e[g+15],22,1236535329),u,d,e[g+1],5,-165796510),d=p(d,c,l,u,e[g+6],9,-1069501632),u=p(u,d,c,l,e[g+11],14,643717713),l=p(l,u,d,c,e[g+0],20,-373897302),c=p(c,l,u,d,e[g+5],5,-701558691),d=p(d,c,l,u,e[g+10],9,38016083),u=p(u,d,c,l,e[g+15],14,-660478335),l=p(l,u,d,c,e[g+4],20,-405537848),c=p(c,l,u,d,e[g+9],5,568446438),d=p(d,c,l,u,e[g+14],9,-1019803690),u=p(u,d,c,l,e[g+3],14,-187363961),l=p(l,u,d,c,e[g+8],20,1163531501),c=p(c,l,u,d,e[g+13],5,-1444681467),d=p(d,c,l,u,e[g+2],9,-51403784),u=p(u,d,c,l,e[g+7],14,1735328473),c=v(c,l=p(l,u,d,c,e[g+12],20,-1926607734),u,d,e[g+5],4,-378558),d=v(d,c,l,u,e[g+8],11,-2022574463),u=v(u,d,c,l,e[g+11],16,1839030562),l=v(l,u,d,c,e[g+14],23,-35309556),c=v(c,l,u,d,e[g+1],4,-1530992060),d=v(d,c,l,u,e[g+4],11,1272893353),u=v(u,d,c,l,e[g+7],16,-155497632),l=v(l,u,d,c,e[g+10],23,-1094730640),c=v(c,l,u,d,e[g+13],4,681279174),d=v(d,c,l,u,e[g+0],11,-358537222),u=v(u,d,c,l,e[g+3],16,-722521979),l=v(l,u,d,c,e[g+6],23,76029189),c=v(c,l,u,d,e[g+9],4,-640364487),d=v(d,c,l,u,e[g+12],11,-421815835),u=v(u,d,c,l,e[g+15],16,530742520),c=m(c,l=v(l,u,d,c,e[g+2],23,-995338651),u,d,e[g+0],6,-198630844),d=m(d,c,l,u,e[g+7],10,1126891415),u=m(u,d,c,l,e[g+14],15,-1416354905),l=m(l,u,d,c,e[g+5],21,-57434055),c=m(c,l,u,d,e[g+12],6,1700485571),d=m(d,c,l,u,e[g+3],10,-1894986606),u=m(u,d,c,l,e[g+10],15,-1051523),l=m(l,u,d,c,e[g+1],21,-2054922799),c=m(c,l,u,d,e[g+8],6,1873313359),d=m(d,c,l,u,e[g+15],10,-30611744),u=m(u,d,c,l,e[g+6],15,-1560198380),l=m(l,u,d,c,e[g+13],21,1309151649),c=m(c,l,u,d,e[g+4],6,-145523070),d=m(d,c,l,u,e[g+11],10,-1120210379),u=m(u,d,c,l,e[g+2],15,718787259),l=m(l,u,d,c,e[g+9],21,-343485551),c=c+b>>>0,l=l+B>>>0,u=u+M>>>0,d=d+I>>>0}return n.endian([c,l,u,d])})._ff=function(A,t,e,n,i,a,o){var r=A+(t&e|~t&n)+(i>>>0)+o;return(r<<a|r>>>32-a)+t},r._gg=function(A,t,e,n,i,a,o){var r=A+(t&n|e&~n)+(i>>>0)+o;return(r<<a|r>>>32-a)+t},r._hh=function(A,t,e,n,i,a,o){var r=A+(t^e^n)+(i>>>0)+o;return(r<<a|r>>>32-a)+t},r._ii=function(A,t,e,n,i,a,o){var r=A+(e^(t|~n))+(i>>>0)+o;return(r<<a|r>>>32-a)+t},r._blocksize=16,r._digestsize=16,A.exports=function(A,t){if(null==A)throw new Error("Illegal argument "+A);var e=n.wordsToBytes(r(A,t));return t&&t.asBytes?e:t&&t.asString?o.bytesToString(e):n.bytesToHex(e)}},653:function(A,t){var e,n;e="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",n={rotl:function(A,t){return A<<t|A>>>32-t},rotr:function(A,t){return A<<32-t|A>>>t},endian:function(A){if(A.constructor==Number)return 16711935&n.rotl(A,8)|4278255360&n.rotl(A,24);for(var t=0;t<A.length;t++)A[t]=n.endian(A[t]);return A},randomBytes:function(A){for(var t=[];A>0;A--)t.push(Math.floor(256*Math.random()));return t},bytesToWords:function(A){for(var t=[],e=0,n=0;e<A.length;e++,n+=8)t[n>>>5]|=A[e]<<24-n%32;return t},wordsToBytes:function(A){for(var t=[],e=0;e<32*A.length;e+=8)t.push(A[e>>>5]>>>24-e%32&255);return t},bytesToHex:function(A){for(var t=[],e=0;e<A.length;e++)t.push((A[e]>>>4).toString(16)),t.push((15&A[e]).toString(16));return t.join("")},hexToBytes:function(A){for(var t=[],e=0;e<A.length;e+=2)t.push(parseInt(A.substr(e,2),16));return t},bytesToBase64:function(A){for(var t=[],n=0;n<A.length;n+=3)for(var i=A[n]<<16|A[n+1]<<8|A[n+2],a=0;a<4;a++)8*n+6*a<=8*A.length?t.push(e.charAt(i>>>6*(3-a)&63)):t.push("=");return t.join("")},base64ToBytes:function(A){A=A.replace(/[^A-Z0-9+\/]/gi,"");for(var t=[],n=0,i=0;n<A.length;i=++n%4)0!=i&&t.push((e.indexOf(A.charAt(n-1))&Math.pow(2,-2*i+8)-1)<<2*i|e.indexOf(A.charAt(n))>>>6-2*i);return t}},A.exports=n},654:function(A,t){function e(A){return!!A.constructor&&"function"==typeof A.constructor.isBuffer&&A.constructor.isBuffer(A)}
/*!
* Determine if an object is a Buffer
*
* @author Feross Aboukhadijeh <https://feross.org>
* @license MIT
*/
-A.exports=function(A){return null!=A&&(e(A)||function(A){return"function"==typeof A.readFloatLE&&"function"==typeof A.slice&&e(A.slice(0,0))}(A)||!!A._isBuffer)}},654:function(A,t,e){"use strict";var n,i,o,a,r=e(10),s=e(35),c=e(2),u=e(22),l=e(655),d=e(12),f=e(112),g=e(33),p=e(121),v=e(6),m=e(104),h=e(57),b=e(19),B=e(62),M=e(66),y=e(120),I=e(656),x=e(365).set,E=e(657),w=e(658),T=e(659),N=e(367),C=e(660),D=e(20),Q=e(55),G=e(1),L=e(65),S=G("species"),Y="Promise",U=D.get,j=D.set,Z=D.getterFor(Y),k=l,z=c.TypeError,F=c.document,H=c.process,R=u("fetch"),J=N.f,O=J,W="process"==b(H),K=!!(F&&F.createEvent&&c.dispatchEvent),P=Q(Y,(function(){if(!(B(k)!==String(k))){if(66===L)return!0;if(!W&&"function"!=typeof PromiseRejectionEvent)return!0}if(s&&!k.prototype.finally)return!0;if(L>=51&&/native code/.test(k))return!1;var A=k.resolve(1),t=function(A){A((function(){}),(function(){}))};return(A.constructor={})[S]=t,!(A.then((function(){}))instanceof t)})),X=P||!y((function(A){k.all(A).catch((function(){}))})),_=function(A){var t;return!(!v(A)||"function"!=typeof(t=A.then))&&t},V=function(A,t,e){if(!t.notified){t.notified=!0;var n=t.reactions;E((function(){for(var i=t.value,o=1==t.state,a=0;n.length>a;){var r,s,c,u=n[a++],l=o?u.ok:u.fail,d=u.resolve,f=u.reject,g=u.domain;try{l?(o||(2===t.rejection&&tA(A,t),t.rejection=1),!0===l?r=i:(g&&g.enter(),r=l(i),g&&(g.exit(),c=!0)),r===u.promise?f(z("Promise-chain cycle")):(s=_(r))?s.call(r,d,f):d(r)):f(i)}catch(A){g&&!c&&g.exit(),f(A)}}t.reactions=[],t.notified=!1,e&&!t.rejection&&$(A,t)}))}},q=function(A,t,e){var n,i;K?((n=F.createEvent("Event")).promise=t,n.reason=e,n.initEvent(A,!1,!0),c.dispatchEvent(n)):n={promise:t,reason:e},(i=c["on"+A])?i(n):"unhandledrejection"===A&&T("Unhandled promise rejection",e)},$=function(A,t){x.call(c,(function(){var e,n=t.value;if(AA(t)&&(e=C((function(){W?H.emit("unhandledRejection",n,A):q("unhandledrejection",A,n)})),t.rejection=W||AA(t)?2:1,e.error))throw e.value}))},AA=function(A){return 1!==A.rejection&&!A.parent},tA=function(A,t){x.call(c,(function(){W?H.emit("rejectionHandled",A):q("rejectionhandled",A,t.value)}))},eA=function(A,t,e,n){return function(i){A(t,e,i,n)}},nA=function(A,t,e,n){t.done||(t.done=!0,n&&(t=n),t.value=e,t.state=2,V(A,t,!0))},iA=function(A,t,e,n){if(!t.done){t.done=!0,n&&(t=n);try{if(A===e)throw z("Promise can't be resolved itself");var i=_(e);i?E((function(){var n={done:!1};try{i.call(e,eA(iA,A,n,t),eA(nA,A,n,t))}catch(e){nA(A,n,e,t)}})):(t.value=e,t.state=1,V(A,t,!1))}catch(e){nA(A,{done:!1},e,t)}}};P&&(k=function(A){h(this,k,Y),m(A),n.call(this);var t=U(this);try{A(eA(iA,this,t),eA(nA,this,t))}catch(A){nA(this,t,A)}},(n=function(A){j(this,{type:Y,done:!1,notified:!1,parent:!1,reactions:[],rejection:!1,state:0,value:void 0})}).prototype=f(k.prototype,{then:function(A,t){var e=Z(this),n=J(I(this,k));return n.ok="function"!=typeof A||A,n.fail="function"==typeof t&&t,n.domain=W?H.domain:void 0,e.parent=!0,e.reactions.push(n),0!=e.state&&V(this,e,!1),n.promise},catch:function(A){return this.then(void 0,A)}}),i=function(){var A=new n,t=U(A);this.promise=A,this.resolve=eA(iA,A,t),this.reject=eA(nA,A,t)},N.f=J=function(A){return A===k||A===o?new i(A):O(A)},s||"function"!=typeof l||(a=l.prototype.then,d(l.prototype,"then",(function(A,t){var e=this;return new k((function(A,t){a.call(e,A,t)})).then(A,t)}),{unsafe:!0}),"function"==typeof R&&r({global:!0,enumerable:!0,forced:!0},{fetch:function(A){return w(k,R.apply(c,arguments))}}))),r({global:!0,wrap:!0,forced:P},{Promise:k}),g(k,Y,!1,!0),p(Y),o=u(Y),r({target:Y,stat:!0,forced:P},{reject:function(A){var t=J(this);return t.reject.call(void 0,A),t.promise}}),r({target:Y,stat:!0,forced:s||P},{resolve:function(A){return w(s&&this===o?k:this,A)}}),r({target:Y,stat:!0,forced:X},{all:function(A){var t=this,e=J(t),n=e.resolve,i=e.reject,o=C((function(){var e=m(t.resolve),o=[],a=0,r=1;M(A,(function(A){var s=a++,c=!1;o.push(void 0),r++,e.call(t,A).then((function(A){c||(c=!0,o[s]=A,--r||n(o))}),i)})),--r||n(o)}));return o.error&&i(o.value),e.promise},race:function(A){var t=this,e=J(t),n=e.reject,i=C((function(){var i=m(t.resolve);M(A,(function(A){i.call(t,A).then(e.resolve,n)}))}));return i.error&&n(i.value),e.promise}})},655:function(A,t,e){var n=e(2);A.exports=n.Promise},656:function(A,t,e){var n=e(5),i=e(104),o=e(1)("species");A.exports=function(A,t){var e,a=n(A).constructor;return void 0===a||null==(e=n(a)[o])?t:i(e)}},657:function(A,t,e){var n,i,o,a,r,s,c,u,l=e(2),d=e(54).f,f=e(19),g=e(365).set,p=e(366),v=l.MutationObserver||l.WebKitMutationObserver,m=l.process,h=l.Promise,b="process"==f(m),B=d(l,"queueMicrotask"),M=B&&B.value;M||(n=function(){var A,t;for(b&&(A=m.domain)&&A.exit();i;){t=i.fn,i=i.next;try{t()}catch(A){throw i?a():o=void 0,A}}o=void 0,A&&A.enter()},b?a=function(){m.nextTick(n)}:v&&!p?(r=!0,s=document.createTextNode(""),new v(n).observe(s,{characterData:!0}),a=function(){s.data=r=!r}):h&&h.resolve?(c=h.resolve(void 0),u=c.then,a=function(){u.call(c,n)}):a=function(){g.call(l,n)}),A.exports=M||function(A){var t={fn:A,next:void 0};o&&(o.next=t),i||(i=t,a()),o=t}},658:function(A,t,e){var n=e(5),i=e(6),o=e(367);A.exports=function(A,t){if(n(A),i(t)&&t.constructor===A)return t;var e=o.f(A);return(0,e.resolve)(t),e.promise}},659:function(A,t,e){var n=e(2);A.exports=function(A,t){var e=n.console;e&&e.error&&(1===arguments.length?e.error(A):e.error(A,t))}},660:function(A,t){A.exports=function(A){try{return{error:!1,value:A()}}catch(A){return{error:!0,value:A}}}},661:function(A,t,e){var n=function(A){"use strict";var t=Object.prototype,e=t.hasOwnProperty,n="function"==typeof Symbol?Symbol:{},i=n.iterator||"@@iterator",o=n.asyncIterator||"@@asyncIterator",a=n.toStringTag||"@@toStringTag";function r(A,t,e,n){var i=t&&t.prototype instanceof u?t:u,o=Object.create(i.prototype),a=new y(n||[]);return o._invoke=function(A,t,e){var n="suspendedStart";return function(i,o){if("executing"===n)throw new Error("Generator is already running");if("completed"===n){if("throw"===i)throw o;return x()}for(e.method=i,e.arg=o;;){var a=e.delegate;if(a){var r=b(a,e);if(r){if(r===c)continue;return r}}if("next"===e.method)e.sent=e._sent=e.arg;else if("throw"===e.method){if("suspendedStart"===n)throw n="completed",e.arg;e.dispatchException(e.arg)}else"return"===e.method&&e.abrupt("return",e.arg);n="executing";var u=s(A,t,e);if("normal"===u.type){if(n=e.done?"completed":"suspendedYield",u.arg===c)continue;return{value:u.arg,done:e.done}}"throw"===u.type&&(n="completed",e.method="throw",e.arg=u.arg)}}}(A,e,a),o}function s(A,t,e){try{return{type:"normal",arg:A.call(t,e)}}catch(A){return{type:"throw",arg:A}}}A.wrap=r;var c={};function u(){}function l(){}function d(){}var f={};f[i]=function(){return this};var g=Object.getPrototypeOf,p=g&&g(g(I([])));p&&p!==t&&e.call(p,i)&&(f=p);var v=d.prototype=u.prototype=Object.create(f);function m(A){["next","throw","return"].forEach((function(t){A[t]=function(A){return this._invoke(t,A)}}))}function h(A,t){var n;this._invoke=function(i,o){function a(){return new t((function(n,a){!function n(i,o,a,r){var c=s(A[i],A,o);if("throw"!==c.type){var u=c.arg,l=u.value;return l&&"object"==typeof l&&e.call(l,"__await")?t.resolve(l.__await).then((function(A){n("next",A,a,r)}),(function(A){n("throw",A,a,r)})):t.resolve(l).then((function(A){u.value=A,a(u)}),(function(A){return n("throw",A,a,r)}))}r(c.arg)}(i,o,n,a)}))}return n=n?n.then(a,a):a()}}function b(A,t){var e=A.iterator[t.method];if(void 0===e){if(t.delegate=null,"throw"===t.method){if(A.iterator.return&&(t.method="return",t.arg=void 0,b(A,t),"throw"===t.method))return c;t.method="throw",t.arg=new TypeError("The iterator does not provide a 'throw' method")}return c}var n=s(e,A.iterator,t.arg);if("throw"===n.type)return t.method="throw",t.arg=n.arg,t.delegate=null,c;var i=n.arg;return i?i.done?(t[A.resultName]=i.value,t.next=A.nextLoc,"return"!==t.method&&(t.method="next",t.arg=void 0),t.delegate=null,c):i:(t.method="throw",t.arg=new TypeError("iterator result is not an object"),t.delegate=null,c)}function B(A){var t={tryLoc:A[0]};1 in A&&(t.catchLoc=A[1]),2 in A&&(t.finallyLoc=A[2],t.afterLoc=A[3]),this.tryEntries.push(t)}function M(A){var t=A.completion||{};t.type="normal",delete t.arg,A.completion=t}function y(A){this.tryEntries=[{tryLoc:"root"}],A.forEach(B,this),this.reset(!0)}function I(A){if(A){var t=A[i];if(t)return t.call(A);if("function"==typeof A.next)return A;if(!isNaN(A.length)){var n=-1,o=function t(){for(;++n<A.length;)if(e.call(A,n))return t.value=A[n],t.done=!1,t;return t.value=void 0,t.done=!0,t};return o.next=o}}return{next:x}}function x(){return{value:void 0,done:!0}}return l.prototype=v.constructor=d,d.constructor=l,d[a]=l.displayName="GeneratorFunction",A.isGeneratorFunction=function(A){var t="function"==typeof A&&A.constructor;return!!t&&(t===l||"GeneratorFunction"===(t.displayName||t.name))},A.mark=function(A){return Object.setPrototypeOf?Object.setPrototypeOf(A,d):(A.__proto__=d,a in A||(A[a]="GeneratorFunction")),A.prototype=Object.create(v),A},A.awrap=function(A){return{__await:A}},m(h.prototype),h.prototype[o]=function(){return this},A.AsyncIterator=h,A.async=function(t,e,n,i,o){void 0===o&&(o=Promise);var a=new h(r(t,e,n,i),o);return A.isGeneratorFunction(e)?a:a.next().then((function(A){return A.done?A.value:a.next()}))},m(v),v[a]="Generator",v[i]=function(){return this},v.toString=function(){return"[object Generator]"},A.keys=function(A){var t=[];for(var e in A)t.push(e);return t.reverse(),function e(){for(;t.length;){var n=t.pop();if(n in A)return e.value=n,e.done=!1,e}return e.done=!0,e}},A.values=I,y.prototype={constructor:y,reset:function(A){if(this.prev=0,this.next=0,this.sent=this._sent=void 0,this.done=!1,this.delegate=null,this.method="next",this.arg=void 0,this.tryEntries.forEach(M),!A)for(var t in this)"t"===t.charAt(0)&&e.call(this,t)&&!isNaN(+t.slice(1))&&(this[t]=void 0)},stop:function(){this.done=!0;var A=this.tryEntries[0].completion;if("throw"===A.type)throw A.arg;return this.rval},dispatchException:function(A){if(this.done)throw A;var t=this;function n(e,n){return a.type="throw",a.arg=A,t.next=e,n&&(t.method="next",t.arg=void 0),!!n}for(var i=this.tryEntries.length-1;i>=0;--i){var o=this.tryEntries[i],a=o.completion;if("root"===o.tryLoc)return n("end");if(o.tryLoc<=this.prev){var r=e.call(o,"catchLoc"),s=e.call(o,"finallyLoc");if(r&&s){if(this.prev<o.catchLoc)return n(o.catchLoc,!0);if(this.prev<o.finallyLoc)return n(o.finallyLoc)}else if(r){if(this.prev<o.catchLoc)return n(o.catchLoc,!0)}else{if(!s)throw new Error("try statement without catch or finally");if(this.prev<o.finallyLoc)return n(o.finallyLoc)}}}},abrupt:function(A,t){for(var n=this.tryEntries.length-1;n>=0;--n){var i=this.tryEntries[n];if(i.tryLoc<=this.prev&&e.call(i,"finallyLoc")&&this.prev<i.finallyLoc){var o=i;break}}o&&("break"===A||"continue"===A)&&o.tryLoc<=t&&t<=o.finallyLoc&&(o=null);var a=o?o.completion:{};return a.type=A,a.arg=t,o?(this.method="next",this.next=o.finallyLoc,c):this.complete(a)},complete:function(A,t){if("throw"===A.type)throw A.arg;return"break"===A.type||"continue"===A.type?this.next=A.arg:"return"===A.type?(this.rval=this.arg=A.arg,this.method="return",this.next="end"):"normal"===A.type&&t&&(this.next=t),c},finish:function(A){for(var t=this.tryEntries.length-1;t>=0;--t){var e=this.tryEntries[t];if(e.finallyLoc===A)return this.complete(e.completion,e.afterLoc),M(e),c}},catch:function(A){for(var t=this.tryEntries.length-1;t>=0;--t){var e=this.tryEntries[t];if(e.tryLoc===A){var n=e.completion;if("throw"===n.type){var i=n.arg;M(e)}return i}}throw new Error("illegal catch attempt")},delegateYield:function(A,t,e){return this.delegate={iterator:I(A),resultName:t,nextLoc:e},"next"===this.method&&(this.arg=void 0),c}},A}(A.exports);try{regeneratorRuntime=n}catch(A){Function("r","regeneratorRuntime = r")(n)}},662:function(A,t,e){"use strict";var n=e(10),i=e(36),o=e(25),a=e(64),r=[].join,s=i!=Object,c=a("join",",");n({target:"Array",proto:!0,forced:s||!c},{join:function(A){return r.call(o(this),void 0===A?",":A)}})},663:function(A,t,e){"use strict";var n=e(122),i=e(5),o=e(15),a=e(21),r=e(123),s=e(124);n("match",1,(function(A,t,e){return[function(t){var e=a(this),n=null==t?void 0:t[A];return void 0!==n?n.call(t,e):new RegExp(t)[A](String(e))},function(A){var n=e(t,A,this);if(n.done)return n.value;var a=i(A),c=String(this);if(!a.global)return s(a,c);var u=a.unicode;a.lastIndex=0;for(var l,d=[],f=0;null!==(l=s(a,c));){var g=String(l[0]);d[f]=g,""===g&&(a.lastIndex=r(c,o(a.lastIndex),u)),f++}return 0===f?null:d}]}))}}]);
-//# sourceMappingURL=vendors~editor-collab~editor-guest.js.map?v=12d203d6dad17366477c \ No newline at end of file
+A.exports=function(A){return null!=A&&(e(A)||function(A){return"function"==typeof A.readFloatLE&&"function"==typeof A.slice&&e(A.slice(0,0))}(A)||!!A._isBuffer)}},655:function(A,t,e){"use strict";var n,i,a,o,r=e(10),s=e(35),c=e(2),l=e(22),u=e(656),d=e(12),g=e(112),f=e(33),p=e(121),v=e(6),m=e(104),b=e(57),B=e(19),M=e(62),I=e(66),h=e(120),x=e(657),E=e(366).set,y=e(658),T=e(659),w=e(660),C=e(368),N=e(661),D=e(20),Q=e(55),G=e(1),Y=e(65),S=G("species"),L="Promise",U=D.get,j=D.set,Z=D.getterFor(L),z=u,k=c.TypeError,H=c.document,O=c.process,R=l("fetch"),F=C.f,J=F,W="process"==B(O),K=!!(H&&H.createEvent&&c.dispatchEvent),X=Q(L,(function(){if(!(M(z)!==String(z))){if(66===Y)return!0;if(!W&&"function"!=typeof PromiseRejectionEvent)return!0}if(s&&!z.prototype.finally)return!0;if(Y>=51&&/native code/.test(z))return!1;var A=z.resolve(1),t=function(A){A((function(){}),(function(){}))};return(A.constructor={})[S]=t,!(A.then((function(){}))instanceof t)})),P=X||!h((function(A){z.all(A).catch((function(){}))})),V=function(A){var t;return!(!v(A)||"function"!=typeof(t=A.then))&&t},_=function(A,t,e){if(!t.notified){t.notified=!0;var n=t.reactions;y((function(){for(var i=t.value,a=1==t.state,o=0;n.length>o;){var r,s,c,l=n[o++],u=a?l.ok:l.fail,d=l.resolve,g=l.reject,f=l.domain;try{u?(a||(2===t.rejection&&tA(A,t),t.rejection=1),!0===u?r=i:(f&&f.enter(),r=u(i),f&&(f.exit(),c=!0)),r===l.promise?g(k("Promise-chain cycle")):(s=V(r))?s.call(r,d,g):d(r)):g(i)}catch(A){f&&!c&&f.exit(),g(A)}}t.reactions=[],t.notified=!1,e&&!t.rejection&&$(A,t)}))}},q=function(A,t,e){var n,i;K?((n=H.createEvent("Event")).promise=t,n.reason=e,n.initEvent(A,!1,!0),c.dispatchEvent(n)):n={promise:t,reason:e},(i=c["on"+A])?i(n):"unhandledrejection"===A&&w("Unhandled promise rejection",e)},$=function(A,t){E.call(c,(function(){var e,n=t.value;if(AA(t)&&(e=N((function(){W?O.emit("unhandledRejection",n,A):q("unhandledrejection",A,n)})),t.rejection=W||AA(t)?2:1,e.error))throw e.value}))},AA=function(A){return 1!==A.rejection&&!A.parent},tA=function(A,t){E.call(c,(function(){W?O.emit("rejectionHandled",A):q("rejectionhandled",A,t.value)}))},eA=function(A,t,e,n){return function(i){A(t,e,i,n)}},nA=function(A,t,e,n){t.done||(t.done=!0,n&&(t=n),t.value=e,t.state=2,_(A,t,!0))},iA=function(A,t,e,n){if(!t.done){t.done=!0,n&&(t=n);try{if(A===e)throw k("Promise can't be resolved itself");var i=V(e);i?y((function(){var n={done:!1};try{i.call(e,eA(iA,A,n,t),eA(nA,A,n,t))}catch(e){nA(A,n,e,t)}})):(t.value=e,t.state=1,_(A,t,!1))}catch(e){nA(A,{done:!1},e,t)}}};X&&(z=function(A){b(this,z,L),m(A),n.call(this);var t=U(this);try{A(eA(iA,this,t),eA(nA,this,t))}catch(A){nA(this,t,A)}},(n=function(A){j(this,{type:L,done:!1,notified:!1,parent:!1,reactions:[],rejection:!1,state:0,value:void 0})}).prototype=g(z.prototype,{then:function(A,t){var e=Z(this),n=F(x(this,z));return n.ok="function"!=typeof A||A,n.fail="function"==typeof t&&t,n.domain=W?O.domain:void 0,e.parent=!0,e.reactions.push(n),0!=e.state&&_(this,e,!1),n.promise},catch:function(A){return this.then(void 0,A)}}),i=function(){var A=new n,t=U(A);this.promise=A,this.resolve=eA(iA,A,t),this.reject=eA(nA,A,t)},C.f=F=function(A){return A===z||A===a?new i(A):J(A)},s||"function"!=typeof u||(o=u.prototype.then,d(u.prototype,"then",(function(A,t){var e=this;return new z((function(A,t){o.call(e,A,t)})).then(A,t)}),{unsafe:!0}),"function"==typeof R&&r({global:!0,enumerable:!0,forced:!0},{fetch:function(A){return T(z,R.apply(c,arguments))}}))),r({global:!0,wrap:!0,forced:X},{Promise:z}),f(z,L,!1,!0),p(L),a=l(L),r({target:L,stat:!0,forced:X},{reject:function(A){var t=F(this);return t.reject.call(void 0,A),t.promise}}),r({target:L,stat:!0,forced:s||X},{resolve:function(A){return T(s&&this===a?z:this,A)}}),r({target:L,stat:!0,forced:P},{all:function(A){var t=this,e=F(t),n=e.resolve,i=e.reject,a=N((function(){var e=m(t.resolve),a=[],o=0,r=1;I(A,(function(A){var s=o++,c=!1;a.push(void 0),r++,e.call(t,A).then((function(A){c||(c=!0,a[s]=A,--r||n(a))}),i)})),--r||n(a)}));return a.error&&i(a.value),e.promise},race:function(A){var t=this,e=F(t),n=e.reject,i=N((function(){var i=m(t.resolve);I(A,(function(A){i.call(t,A).then(e.resolve,n)}))}));return i.error&&n(i.value),e.promise}})},656:function(A,t,e){var n=e(2);A.exports=n.Promise},657:function(A,t,e){var n=e(5),i=e(104),a=e(1)("species");A.exports=function(A,t){var e,o=n(A).constructor;return void 0===o||null==(e=n(o)[a])?t:i(e)}},658:function(A,t,e){var n,i,a,o,r,s,c,l,u=e(2),d=e(54).f,g=e(19),f=e(366).set,p=e(367),v=u.MutationObserver||u.WebKitMutationObserver,m=u.process,b=u.Promise,B="process"==g(m),M=d(u,"queueMicrotask"),I=M&&M.value;I||(n=function(){var A,t;for(B&&(A=m.domain)&&A.exit();i;){t=i.fn,i=i.next;try{t()}catch(A){throw i?o():a=void 0,A}}a=void 0,A&&A.enter()},B?o=function(){m.nextTick(n)}:v&&!p?(r=!0,s=document.createTextNode(""),new v(n).observe(s,{characterData:!0}),o=function(){s.data=r=!r}):b&&b.resolve?(c=b.resolve(void 0),l=c.then,o=function(){l.call(c,n)}):o=function(){f.call(u,n)}),A.exports=I||function(A){var t={fn:A,next:void 0};a&&(a.next=t),i||(i=t,o()),a=t}},659:function(A,t,e){var n=e(5),i=e(6),a=e(368);A.exports=function(A,t){if(n(A),i(t)&&t.constructor===A)return t;var e=a.f(A);return(0,e.resolve)(t),e.promise}},660:function(A,t,e){var n=e(2);A.exports=function(A,t){var e=n.console;e&&e.error&&(1===arguments.length?e.error(A):e.error(A,t))}},661:function(A,t){A.exports=function(A){try{return{error:!1,value:A()}}catch(A){return{error:!0,value:A}}}},662:function(A,t,e){"use strict";var n=e(10),i=e(36),a=e(25),o=e(64),r=[].join,s=i!=Object,c=o("join",",");n({target:"Array",proto:!0,forced:s||!c},{join:function(A){return r.call(a(this),void 0===A?",":A)}})},663:function(A,t,e){"use strict";var n=e(122),i=e(5),a=e(15),o=e(21),r=e(123),s=e(124);n("match",1,(function(A,t,e){return[function(t){var e=o(this),n=null==t?void 0:t[A];return void 0!==n?n.call(t,e):new RegExp(t)[A](String(e))},function(A){var n=e(t,A,this);if(n.done)return n.value;var o=i(A),c=String(this);if(!o.global)return s(o,c);var l=o.unicode;o.lastIndex=0;for(var u,d=[],g=0;null!==(u=s(o,c));){var f=String(u[0]);d[g]=f,""===f&&(o.lastIndex=r(c,a(o.lastIndex),l)),g++}return 0===g?null:d}]}))}}]);
+//# sourceMappingURL=vendors~editor-collab~editor-guest.js.map?v=c9868841dbd0da14f4c2 \ No newline at end of file
diff --git a/js/vendors~editor-collab~editor-guest.js.map b/js/vendors~editor-collab~editor-guest.js.map
index 869bbc40a..73e244df9 100644
--- a/js/vendors~editor-collab~editor-guest.js.map
+++ b/js/vendors~editor-collab~editor-guest.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/core-js/modules/es.number.constructor.js","webpack:///./node_modules/@nextcloud/vue/dist/Components/Avatar.js","webpack:///./node_modules/charenc/charenc.js","webpack:///./node_modules/core-js/internals/task.js","webpack:///./node_modules/core-js/internals/engine-is-ios.js","webpack:///./node_modules/core-js/internals/new-promise-capability.js","webpack:///./node_modules/md5/md5.js","webpack:///./node_modules/crypt/crypt.js","webpack:///./node_modules/is-buffer/index.js","webpack:///./node_modules/core-js/modules/es.promise.js","webpack:///./node_modules/core-js/internals/native-promise-constructor.js","webpack:///./node_modules/core-js/internals/species-constructor.js","webpack:///./node_modules/core-js/internals/microtask.js","webpack:///./node_modules/core-js/internals/promise-resolve.js","webpack:///./node_modules/core-js/internals/host-report-errors.js","webpack:///./node_modules/core-js/internals/perform.js","webpack:///./node_modules/regenerator-runtime/runtime.js","webpack:///./node_modules/core-js/modules/es.array.join.js","webpack:///./node_modules/core-js/modules/es.string.match.js"],"names":["DESCRIPTORS","global","isForced","redefine","has","classof","inheritIfRequired","toPrimitive","fails","create","getOwnPropertyNames","f","getOwnPropertyDescriptor","defineProperty","trim","NativeNumber","NumberPrototype","prototype","BROKEN_CLASSOF","toNumber","argument","first","third","radix","maxCode","digits","length","index","code","it","charCodeAt","NaN","slice","parseInt","key","NumberWrapper","value","arguments","dummy","this","valueOf","call","keys","split","j","constructor","window","module","exports","A","t","e","a","i","l","m","c","d","o","Object","enumerable","get","r","Symbol","toStringTag","__esModule","bind","n","default","hasOwnProperty","p","s","options","render","staticRenderFns","_compiled","functional","_scopeId","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","beforeCreate","concat","toString","map","btoa","unescape","encodeURIComponent","JSON","stringify","sources","sourceRoot","join","push","id","css","media","sourceMap","parts","g","document","DEBUG","Error","head","getElementsByTagName","u","navigator","test","userAgent","toLowerCase","refs","v","createElement","type","appendChild","querySelector","parentNode","removeChild","M","b","B","filter","Boolean","styleSheet","cssText","createTextNode","childNodes","insertBefore","setAttribute","ssrId","firstChild","hash","needQuotes","replace","VTooltip","defaultTemplate","defaultHtml","locals","Array","name","props","item","required","href","icon","text","validator","input","indexOf","computed","Math","round","random","iconIsUrl","URL","methods","action","components","PopoverMenuItem","$createElement","_self","_c","staticClass","attrs","target","download","rel","on","click","src","class","_v","longtext","_s","_e","active","submit","preventDefault","placeholder","domProps","directives","rawName","model","expression","checked","isArray","_i","change","$set","_q","composing","for","stopPropagation","disabled","menu","_l","done","Promise","resolve","then","apply","tooltip","ClickOutside","directive","PopoverMenu","url","String","iconClass","user","isGuest","displayName","size","Number","allowPlaceholder","disableTooltip","disableMenu","tooltipMessage","isNoUser","status","statusColor","menuPosition","data","avatarUrlLoaded","avatarSrcSetLoaded","userDoesNotExist","isAvatarLoaded","isMenuLoaded","contactsMenuActions","contactsMenuOpenState","getUserIdentifier","isDisplayNameDefined","isUserDefined","isUrlDefined","hasMenu","getCurrentUser","uid","shouldShowPlaceholder","avatarStyle","width","height","lineHeight","fontSize","match","charAt","backgroundColor","initials","toUpperCase","hyperlink","title","watch","loadAvatarUrl","mounted","toggleMenu","regeneratorRuntime","mark","wrap","prev","next","abrupt","fetchContactsMenu","stop","closeMenu","post","generateUrl","sent","topAction","actions","t0","catch","oc_userconfig","avatar","version","Image","onload","onerror","srcset","style","xmlns","viewBox","fill","stroke","I","charenc","utf8","stringToBytes","str","bin","bytesToString","bytes","decodeURIComponent","escape","fromCharCode","defer","channel","port","html","IS_IOS","location","set","setImmediate","clear","clearImmediate","process","MessageChannel","Dispatch","counter","queue","run","fn","runner","listener","event","postMessage","protocol","host","args","Function","undefined","nextTick","now","port2","port1","onmessage","addEventListener","importScripts","setTimeout","aFunction","PromiseCapability","C","reject","promise","$$resolve","$$reject","TypeError","crypt","isBuffer","md5","message","encoding","bytesToWords","FF","_ff","GG","_gg","HH","_hh","II","_ii","aa","bb","cc","dd","endian","x","_blocksize","_digestsize","digestbytes","wordsToBytes","asBytes","asString","bytesToHex","base64map","rotl","rotr","randomBytes","floor","words","hex","hexToBytes","substr","bytesToBase64","base64","triplet","base64ToBytes","imod4","pow","obj","readFloatLE","isSlowBuffer","_isBuffer","Internal","OwnPromiseCapability","PromiseWrapper","nativeThen","$","IS_PURE","getBuiltIn","NativePromise","redefineAll","setToStringTag","setSpecies","isObject","anInstance","inspectSource","iterate","checkCorrectnessOfIteration","speciesConstructor","task","microtask","promiseResolve","hostReportErrors","newPromiseCapabilityModule","perform","InternalStateModule","wellKnownSymbol","V8_VERSION","SPECIES","PROMISE","getInternalState","setInternalState","getInternalPromiseState","getterFor","PromiseConstructor","$fetch","newPromiseCapability","newGenericPromiseCapability","IS_NODE","DISPATCH_EVENT","createEvent","dispatchEvent","FORCED","PromiseRejectionEvent","FakePromise","exec","INCORRECT_ITERATION","iterable","all","isThenable","notify","state","isReject","notified","chain","reactions","ok","result","exited","reaction","handler","fail","domain","rejection","onHandleUnhandled","enter","exit","error","onUnhandled","reason","initEvent","isUnhandled","emit","unwrap","internalReject","internalResolve","wrapper","executor","onFulfilled","onRejected","that","unsafe","forced","fetch","stat","capability","$promiseResolve","values","remaining","alreadyCalled","race","anObject","O","defaultConstructor","S","flush","last","toggle","node","macrotask","MutationObserver","WebKitMutationObserver","queueMicrotaskDescriptor","queueMicrotask","observe","characterData","promiseCapability","console","runtime","Op","hasOwn","$Symbol","iteratorSymbol","iterator","asyncIteratorSymbol","asyncIterator","toStringTagSymbol","innerFn","outerFn","self","tryLocsList","protoGenerator","Generator","generator","context","Context","_invoke","method","arg","doneResult","delegate","delegateResult","maybeInvokeDelegate","ContinueSentinel","_sent","dispatchException","record","tryCatch","makeInvokeMethod","err","GeneratorFunction","GeneratorFunctionPrototype","IteratorPrototype","getProto","getPrototypeOf","NativeIteratorPrototype","Gp","defineIteratorMethods","forEach","AsyncIterator","PromiseImpl","previousPromise","callInvokeWithMethodAndArg","invoke","__await","unwrapped","info","resultName","nextLoc","pushTryEntry","locs","entry","tryLoc","catchLoc","finallyLoc","afterLoc","tryEntries","resetTryEntry","completion","reset","iteratorMethod","isNaN","isGeneratorFunction","genFun","ctor","setPrototypeOf","__proto__","awrap","async","iter","object","reverse","pop","skipTempReset","rootRecord","rval","exception","handle","loc","caught","hasCatch","hasFinally","finallyEntry","complete","finish","thrown","delegateYield","accidentalStrictMode","IndexedObject","toIndexedObject","arrayMethodIsStrict","nativeJoin","ES3_STRINGS","STRICT_METHOD","proto","separator","fixRegExpWellKnownSymbolLogic","toLength","requireObjectCoercible","advanceStringIndex","regExpExec","MATCH","nativeMatch","maybeCallNative","regexp","matcher","RegExp","res","rx","fullUnicode","unicode","lastIndex","matchStr"],"mappings":"oGACA,IAAIA,EAAc,EAAQ,GACtBC,EAAS,EAAQ,GACjBC,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAM,EAAQ,GACdC,EAAU,EAAQ,IAClBC,EAAoB,EAAQ,KAC5BC,EAAc,EAAQ,IACtBC,EAAQ,EAAQ,GAChBC,EAAS,EAAQ,IACjBC,EAAsB,EAAQ,KAA8CC,EAC5EC,EAA2B,EAAQ,IAAmDD,EACtFE,EAAiB,EAAQ,GAAuCF,EAChEG,EAAO,EAAQ,KAA4BA,KAG3CC,EAAed,EAAa,OAC5Be,EAAkBD,EAAaE,UAG/BC,EALS,UAKQb,EAAQI,EAAOO,IAIhCG,EAAW,SAAUC,GACvB,IACIC,EAAOC,EAAOC,EAAOC,EAASC,EAAQC,EAAQC,EAAOC,EADrDC,EAAKtB,EAAYa,GAAU,GAE/B,GAAiB,iBAANS,GAAkBA,EAAGH,OAAS,EAGvC,GAAc,MADdL,GADAQ,EAAKf,EAAKe,IACCC,WAAW,KACQ,KAAVT,GAElB,GAAc,MADdC,EAAQO,EAAGC,WAAW,KACQ,MAAVR,EAAe,OAAOS,SACrC,GAAc,KAAVV,EAAc,CACvB,OAAQQ,EAAGC,WAAW,IACpB,KAAK,GAAI,KAAK,GAAIP,EAAQ,EAAGC,EAAU,GAAI,MAC3C,KAAK,GAAI,KAAK,IAAKD,EAAQ,EAAGC,EAAU,GAAI,MAC5C,QAAS,OAAQK,EAInB,IADAH,GADAD,EAASI,EAAGG,MAAM,IACFN,OACXC,EAAQ,EAAGA,EAAQD,EAAQC,IAI9B,IAHAC,EAAOH,EAAOK,WAAWH,IAGd,IAAMC,EAAOJ,EAAS,OAAOO,IACxC,OAAOE,SAASR,EAAQF,GAE5B,OAAQM,GAKZ,GAAI3B,EAtCS,UAsCSa,EAAa,UAAYA,EAAa,QAAUA,EAAa,SAAU,CAS3F,IARA,IAcqBmB,EAdjBC,EAAgB,SAAgBC,GAClC,IAAIP,EAAKQ,UAAUX,OAAS,EAAI,EAAIU,EAChCE,EAAQC,KACZ,OAAOD,aAAiBH,IAElBjB,EAAiBV,GAAM,WAAcQ,EAAgBwB,QAAQC,KAAKH,MA5C/D,UA4C4EjC,EAAQiC,IACvFhC,EAAkB,IAAIS,EAAaI,EAASU,IAAMS,EAAOH,GAAiBhB,EAASU,IAElFa,EAAO1C,EAAcU,EAAoBK,GAAgB,6KAMhE4B,MAAM,KAAMC,EAAI,EAAQF,EAAKhB,OAASkB,EAAGA,IACrCxC,EAAIW,EAAcmB,EAAMQ,EAAKE,MAAQxC,EAAI+B,EAAeD,IAC1DrB,EAAesB,EAAeD,EAAKtB,EAAyBG,EAAcmB,IAG9EC,EAAclB,UAAYD,EAC1BA,EAAgB6B,YAAcV,EAC9BhC,EAASF,EA5DE,SA4DckC,K,oBC5EuQW,OAAjOC,EAAOC,QAAoP,SAASC,GAAG,IAAIC,EAAE,GAAG,SAASC,EAAEC,GAAG,GAAGF,EAAEE,GAAG,OAAOF,EAAEE,GAAGJ,QAAQ,IAAIK,EAAEH,EAAEE,GAAG,CAACC,EAAED,EAAEE,GAAE,EAAGN,QAAQ,IAAI,OAAOC,EAAEG,GAAGX,KAAKY,EAAEL,QAAQK,EAAEA,EAAEL,QAAQG,GAAGE,EAAEC,GAAE,EAAGD,EAAEL,QAAQ,OAAOG,EAAEI,EAAEN,EAAEE,EAAEK,EAAEN,EAAEC,EAAEM,EAAE,SAASR,EAAEC,EAAEE,GAAGD,EAAEO,EAAET,EAAEC,IAAIS,OAAO9C,eAAeoC,EAAEC,EAAE,CAACU,YAAW,EAAGC,IAAIT,KAAKD,EAAEW,EAAE,SAASb,GAAG,oBAAoBc,QAAQA,OAAOC,aAAaL,OAAO9C,eAAeoC,EAAEc,OAAOC,YAAY,CAAC5B,MAAM,WAAWuB,OAAO9C,eAAeoC,EAAE,aAAa,CAACb,OAAM,KAAMe,EAAED,EAAE,SAASD,EAAEC,GAAG,GAAG,EAAEA,IAAID,EAAEE,EAAEF,IAAI,EAAEC,EAAE,OAAOD,EAAE,GAAG,EAAEC,GAAG,iBAAiBD,GAAGA,GAAGA,EAAEgB,WAAW,OAAOhB,EAAE,IAAIG,EAAEO,OAAOlD,OAAO,MAAM,GAAG0C,EAAEW,EAAEV,GAAGO,OAAO9C,eAAeuC,EAAE,UAAU,CAACQ,YAAW,EAAGxB,MAAMa,IAAI,EAAEC,GAAG,iBAAiBD,EAAE,IAAI,IAAII,KAAKJ,EAAEE,EAAEM,EAAEL,EAAEC,EAAE,SAASH,GAAG,OAAOD,EAAEC,IAAIgB,KAAK,KAAKb,IAAI,OAAOD,GAAGD,EAAEgB,EAAE,SAASlB,GAAG,IAAIC,EAAED,GAAGA,EAAEgB,WAAW,WAAW,OAAOhB,EAAEmB,SAAS,WAAW,OAAOnB,GAAG,OAAOE,EAAEM,EAAEP,EAAE,IAAIA,GAAGA,GAAGC,EAAEO,EAAE,SAAST,EAAEC,GAAG,OAAOS,OAAO1C,UAAUoD,eAAe5B,KAAKQ,EAAEC,IAAIC,EAAEmB,EAAE,SAASnB,EAAEA,EAAEoB,EAAE,IAAv5B,CAA45B,CAAC,SAAStB,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,EAAEC,EAAEC,EAAEC,EAAEc,EAAET,EAAEI,GAAG,IAAIS,EAAEf,EAAE,mBAAmBP,EAAEA,EAAEuB,QAAQvB,EAAE,GAAGC,IAAIM,EAAEiB,OAAOvB,EAAEM,EAAEkB,gBAAgBvB,EAAEK,EAAEmB,WAAU,GAAIvB,IAAII,EAAEoB,YAAW,GAAIT,IAAIX,EAAEqB,SAAS,UAAUV,GAAGT,GAAGa,EAAE,SAAStB,IAAIA,EAAEA,GAAGV,KAAKuC,QAAQvC,KAAKuC,OAAOC,YAAYxC,KAAKyC,QAAQzC,KAAKyC,OAAOF,QAAQvC,KAAKyC,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBhC,EAAEgC,qBAAqB5B,GAAGA,EAAEZ,KAAKF,KAAKU,GAAGA,GAAGA,EAAEiC,uBAAuBjC,EAAEiC,sBAAsBC,IAAIzB,IAAIF,EAAE4B,aAAab,GAAGlB,IAAIkB,EAAET,EAAE,WAAWT,EAAEZ,KAAKF,KAAKA,KAAK8C,MAAMC,SAASC,aAAalC,GAAGkB,EAAE,GAAGf,EAAEoB,WAAW,CAACpB,EAAEgC,cAAcjB,EAAE,IAAIjB,EAAEE,EAAEiB,OAAOjB,EAAEiB,OAAO,SAASxB,EAAEC,GAAG,OAAOqB,EAAE9B,KAAKS,GAAGI,EAAEL,EAAEC,QAAQ,CAAC,IAAIO,EAAED,EAAEiC,aAAajC,EAAEiC,aAAahC,EAAE,GAAGiC,OAAOjC,EAAEc,GAAG,CAACA,GAAG,MAAM,CAACvB,QAAQC,EAAEuB,QAAQhB,GAAGL,EAAEM,EAAEP,EAAE,KAAI,WAAY,OAAOE,MAAM,SAASH,EAAEC,EAAEC,GAAG,aAAaF,EAAED,QAAQ,SAASC,GAAG,IAAIC,EAAE,GAAG,OAAOA,EAAEyC,SAAS,WAAW,OAAOpD,KAAKqD,KAAI,SAAU1C,GAAG,IAAIC,EAAE,SAASF,EAAEC,GAAG,IAAyXQ,EAAEI,EAAES,EAAzXpB,EAAEF,EAAE,IAAI,GAAGG,EAAEH,EAAE,GAAG,IAAIG,EAAE,OAAOD,EAAE,GAAGD,GAAG,mBAAmB2C,KAAK,CAAC,IAAIxC,GAAGK,EAAEN,EAAEU,EAAE+B,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUvC,MAAMa,EAAE,+DAA+DmB,OAAO5B,GAAG,OAAO4B,OAAOnB,EAAE,QAAQJ,EAAEf,EAAE8C,QAAQN,KAAI,SAAU3C,GAAG,MAAM,iBAAiByC,OAAOtC,EAAE+C,YAAY,IAAIT,OAAOzC,EAAE,UAAU,MAAM,CAACE,GAAGuC,OAAOvB,GAAGuB,OAAO,CAACrC,IAAI+C,KAAK,MAAgB,MAAM,CAACjD,GAAGiD,KAAK,MAA5Z,CAAmalD,EAAED,GAAG,OAAOC,EAAE,GAAG,UAAUwC,OAAOxC,EAAE,GAAG,MAAMwC,OAAOvC,EAAE,KAAKA,KAAKiD,KAAK,KAAKlD,EAAEG,EAAE,SAASJ,EAAEE,EAAEC,GAAG,iBAAiBH,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAII,EAAE,GAAG,GAAGD,EAAE,IAAI,IAAIe,EAAE,EAAEA,EAAE5B,KAAKb,OAAOyC,IAAI,CAAC,IAAIT,EAAEnB,KAAK4B,GAAG,GAAG,MAAMT,IAAIL,EAAEK,IAAG,GAAI,IAAI,IAAII,EAAE,EAAEA,EAAEb,EAAEvB,OAAOoC,IAAI,CAAC,IAAIS,EAAE,GAAGmB,OAAOzC,EAAEa,IAAIV,GAAGC,EAAEkB,EAAE,MAAMpB,IAAIoB,EAAE,GAAGA,EAAE,GAAG,GAAGmB,OAAOvC,EAAE,SAASuC,OAAOnB,EAAE,IAAIA,EAAE,GAAGpB,GAAGD,EAAEmD,KAAK9B,MAAMrB,IAAI,SAASD,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,GAAG,IAAI,IAAIC,EAAE,GAAGC,EAAE,GAAGC,EAAE,EAAEA,EAAEH,EAAExB,OAAO2B,IAAI,CAAC,IAAIc,EAAEjB,EAAEG,GAAGK,EAAES,EAAE,GAAGL,EAAE,CAACwC,GAAGrD,EAAE,IAAII,EAAEkD,IAAIpC,EAAE,GAAGqC,MAAMrC,EAAE,GAAGsC,UAAUtC,EAAE,IAAIf,EAAEM,GAAGN,EAAEM,GAAGgD,MAAML,KAAKvC,GAAGX,EAAEkD,KAAKjD,EAAEM,GAAG,CAAC4C,GAAG5C,EAAEgD,MAAM,CAAC5C,KAAK,OAAOX,EAAEA,EAAEW,EAAEZ,GAAGC,EAAEM,EAAEP,EAAE,WAAU,WAAY,OAAOyD,KAAK,IAAItD,EAAE,oBAAoBuD,SAAS,GAAG,oBAAoBC,OAAOA,QAAQxD,EAAE,MAAM,IAAIyD,MAAM,2JAA2J,IAAI3C,EAAE,GAAGT,EAAEL,IAAIuD,SAASG,MAAMH,SAASI,qBAAqB,QAAQ,IAAIlD,EAAE,KAAKS,EAAE,EAAEf,GAAE,EAAGF,EAAE,aAAaG,EAAE,KAAKwD,EAAE,oBAAoBC,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAASV,EAAE1D,EAAEC,EAAEC,EAAEE,GAAGG,EAAEL,EAAEM,EAAEJ,GAAG,GAAG,IAAIK,EAAEN,EAAEH,EAAEC,GAAG,OAAOK,EAAEG,GAAG,SAASR,GAAG,IAAI,IAAIC,EAAE,GAAGE,EAAE,EAAEA,EAAEK,EAAEhC,OAAO2B,IAAI,CAAC,IAAIS,EAAEJ,EAAEL,IAAIkB,EAAEJ,EAAEL,EAAEwC,KAAKgB,OAAOnE,EAAEkD,KAAK9B,GAAsB,IAAnBrB,EAAEK,EAAEG,EAAEN,EAAEH,EAAEC,IAAIQ,EAAE,GAAOL,EAAE,EAAEA,EAAEF,EAAEzB,OAAO2B,IAAI,CAAC,IAAIkB,EAAE,GAAG,KAAKA,EAAEpB,EAAEE,IAAIiE,KAAK,CAAC,IAAI,IAAI9D,EAAE,EAAEA,EAAEe,EAAEmC,MAAMhF,OAAO8B,IAAIe,EAAEmC,MAAMlD,YAAYW,EAAEI,EAAE+B,OAAO,SAAS/C,EAAEN,GAAG,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAEvB,OAAOwB,IAAI,CAAC,IAAIC,EAAEF,EAAEC,GAAGE,EAAEe,EAAEhB,EAAEmD,IAAI,GAAGlD,EAAE,CAACA,EAAEkE,OAAO,IAAI,IAAIjE,EAAE,EAAEA,EAAED,EAAEsD,MAAMhF,OAAO2B,IAAID,EAAEsD,MAAMrD,GAAGF,EAAEuD,MAAMrD,IAAI,KAAKA,EAAEF,EAAEuD,MAAMhF,OAAO2B,IAAID,EAAEsD,MAAML,KAAKkB,EAAEpE,EAAEuD,MAAMrD,KAAKD,EAAEsD,MAAMhF,OAAOyB,EAAEuD,MAAMhF,SAAS0B,EAAEsD,MAAMhF,OAAOyB,EAAEuD,MAAMhF,YAAY,CAAC,IAAIgC,EAAE,GAAG,IAAIL,EAAE,EAAEA,EAAEF,EAAEuD,MAAMhF,OAAO2B,IAAIK,EAAE2C,KAAKkB,EAAEpE,EAAEuD,MAAMrD,KAAKc,EAAEhB,EAAEmD,IAAI,CAACA,GAAGnD,EAAEmD,GAAGgB,KAAK,EAAEZ,MAAMhD,KAAK,SAASY,IAAI,IAAIrB,EAAE2D,SAASY,cAAc,SAAS,OAAOvE,EAAEwE,KAAK,WAAW/D,EAAEgE,YAAYzE,GAAGA,EAAE,SAASsE,EAAEtE,GAAG,IAAIC,EAAEC,EAAEC,EAAEwD,SAASe,cAAc,2BAA2B1E,EAAEqD,GAAG,MAAM,GAAGlD,EAAE,CAAC,GAAGI,EAAE,OAAOF,EAAEF,EAAEwE,WAAWC,YAAYzE,GAAG,GAAG6D,EAAE,CAAC,IAAI5D,EAAEkB,IAAInB,EAAEU,IAAIA,EAAEQ,KAAKpB,EAAE4E,EAAE5D,KAAK,KAAKd,EAAEC,GAAE,GAAIF,EAAE2E,EAAE5D,KAAK,KAAKd,EAAEC,GAAE,QAASD,EAAEkB,IAAIpB,EAAEvC,EAAEuD,KAAK,KAAKd,GAAGD,EAAE,WAAWC,EAAEwE,WAAWC,YAAYzE,IAAI,OAAOF,EAAED,GAAG,SAASG,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAEmD,MAAMtD,EAAEsD,KAAKnD,EAAEoD,QAAQvD,EAAEuD,OAAOpD,EAAEqD,YAAYxD,EAAEwD,UAAU,OAAOvD,EAAED,EAAEG,QAAQD,KAAK,IAAI4E,EAAEC,GAAGD,EAAE,GAAG,SAAS9E,EAAEC,GAAG,OAAO6E,EAAE9E,GAAGC,EAAE6E,EAAEE,OAAOC,SAAS9B,KAAK,QAAQ,SAAS0B,EAAE7E,EAAEC,EAAEC,EAAEC,GAAG,IAAIC,EAAEF,EAAE,GAAGC,EAAEmD,IAAI,GAAGtD,EAAEkF,WAAWlF,EAAEkF,WAAWC,QAAQJ,EAAE9E,EAAEG,OAAO,CAAC,IAAIc,EAAEyC,SAASyB,eAAehF,GAAGK,EAAET,EAAEqF,WAAW5E,EAAER,IAAID,EAAE4E,YAAYnE,EAAER,IAAIQ,EAAEhC,OAAOuB,EAAEsF,aAAapE,EAAET,EAAER,IAAID,EAAEyE,YAAYvD,IAAI,SAASxD,EAAEsC,EAAEC,GAAG,IAAIC,EAAED,EAAEqD,IAAInD,EAAEF,EAAEsD,MAAMnD,EAAEH,EAAEuD,UAAU,GAAGrD,GAAGH,EAAEuF,aAAa,QAAQpF,GAAGK,EAAEgF,OAAOxF,EAAEuF,aAAa,kBAAkBtF,EAAEoD,IAAIjD,IAAIF,GAAG,mBAAmBE,EAAE6C,QAAQ,GAAG,MAAM/C,GAAG,uDAAuD0C,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU5C,MAAM,OAAOJ,EAAEkF,WAAWlF,EAAEkF,WAAWC,QAAQjF,MAAM,CAAC,KAAKF,EAAEyF,YAAYzF,EAAE4E,YAAY5E,EAAEyF,YAAYzF,EAAEyE,YAAYd,SAASyB,eAAelF,OAAO,CAAC,SAASF,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAc,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAwC,CAAC,SAASC,EAAEC,EAAEC,GAAG,aAAaF,EAAED,QAAQ,SAASC,EAAEC,GAAG,OAAOA,IAAIA,EAAE,IAAI,iBAAiBD,EAAEA,GAAGA,EAAEgB,WAAWhB,EAAEmB,QAAQnB,GAAGA,GAAG,eAAekE,KAAKlE,KAAKA,EAAEA,EAAEjB,MAAM,GAAG,IAAIkB,EAAEyF,OAAO1F,GAAGC,EAAEyF,MAAM,cAAcxB,KAAKlE,IAAIC,EAAE0F,WAAW,IAAIlD,OAAOzC,EAAE4F,QAAQ,KAAK,OAAOA,QAAQ,MAAM,OAAO,KAAK5F,KAAK,SAASA,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGA,EAAEkB,QAAQ,k/HAAk/H,SAASnB,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGA,EAAEkB,QAAQ,kzHAAkzH,SAASnB,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGA,EAAEkB,QAAQ,itHAAitH,SAASnB,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGA,EAAEkB,QAAQ,8gMAA8gM,CAAC,SAASnB,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAG,IAAIE,EAAED,EAAE,GAAGA,EAAE;;;;;;;;;;;;;;;;;;;;;;;AAuBr8uBC,EAAE0F,SAAStE,QAAQuE,gBAAgB,kDAAkDrD,OAAO,UAAU,6EAA6EtC,EAAE0F,SAAStE,QAAQwE,aAAY,EAAG9F,EAAEkB,QAAQhB,EAAE0F,UAAU,SAAS7F,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAsC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAiC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAoB,CAAC,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAsC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAuC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAiD,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAmC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAA4B,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAoC,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAwC,CAAC,CAAC,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAA0C,CAAC,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAsC,SAASC,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAE6F,SAAShG,EAAED,QAAQI,EAAE6F,SAAQ,EAAG9F,EAAE,GAAGiB,SAAS,WAAWhB,GAAE,EAAG,KAAK,SAASH,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAE6F,SAAShG,EAAED,QAAQI,EAAE6F,SAAQ,EAAG9F,EAAE,GAAGiB,SAAS,WAAWhB,GAAE,EAAG,KAAK,SAASH,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAE6F,SAAShG,EAAED,QAAQI,EAAE6F,SAAQ,EAAG9F,EAAE,GAAGiB,SAAS,WAAWhB,GAAE,EAAG,KAAK,CAAC,CAAC,CAAC,CAAC,SAASH,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAE6F,SAAShG,EAAED,QAAQI,EAAE6F,SAAQ,EAAG9F,EAAE,GAAGiB,SAAS,WAAWhB,GAAE,EAAG,KAAK,SAASH,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAE6F,SAAShG,EAAED,QAAQI,EAAE6F,SAAQ,EAAG9F,EAAE,GAAGiB,SAAS,WAAWhB,GAAE,EAAG,KAAK,SAASH,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKkD,KAAK,CAACpD,EAAEI,EAAE,igEAAigE,KAAKJ,EAAED,QAAQE,GAAG,SAASD,EAAEC,KAAK,SAASD,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAsB,CAAC,CAAC,CAAC,CAAC,SAASC,EAAEC,EAAEC,GAAG;;;;;;;;;;;;;;;;;;;;;;AAsB71H,SAASC,EAAEH,EAAEC,EAAEC,GAAGZ,KAAKuB,EAAEb,EAAEV,KAAKoE,EAAEzD,EAAEX,KAAKwF,EAAE5E,EAAE,SAASE,EAAEJ,EAAEC,EAAEC,GAAG,IAAIE,EAAE,GAAGA,EAAEgD,KAAKnD,GAAG,IAAI,IAAIiB,EAAE,SAASlB,EAAEC,GAAG,IAAIC,EAAE,IAAI+F,MAAM,GAAG,OAAO/F,EAAE,IAAID,EAAE,GAAGY,EAAEZ,EAAE,GAAGY,GAAGb,EAAEE,EAAE,IAAID,EAAE,GAAGyD,EAAEzD,EAAE,GAAGyD,GAAG1D,EAAEE,EAAE,IAAID,EAAE,GAAG6E,EAAE7E,EAAE,GAAG6E,GAAG9E,EAAEE,EAA7G,CAAgHF,EAAE,CAACC,EAAEC,IAAIO,EAAE,EAAEA,EAAET,EAAES,IAAI,CAAC,IAAII,EAAE7B,SAASiB,EAAEY,EAAEK,EAAE,GAAGT,EAAE,IAAIa,EAAEtC,SAASiB,EAAEyD,EAAExC,EAAE,GAAGT,EAAE,IAAIF,EAAEvB,SAASiB,EAAE6E,EAAE5D,EAAE,GAAGT,EAAE,IAAIL,EAAEgD,KAAK,IAAIjD,EAAEU,EAAES,EAAEf,IAAI,OAAOH,EAtB0hHF,EAAE,IAsB1hHD,EAAEE,EAAE,SAASH,GAAGA,IAAIA,EAAE,GAAG,IAAIC,EAAE,IAAIE,EAAE,IAAI,GAAG,KAAKD,EAAE,IAAIC,EAAE,IAAI,IAAI,IAAIe,EAAE,IAAIf,EAAE,EAAE,IAAI,KAAKM,EAAEL,EAAEJ,EAAEC,EAAEC,GAAGW,EAAET,EAAEJ,EAAEE,EAAEgB,GAAGI,EAAElB,EAAEJ,EAAEkB,EAAEjB,GAAG,OAAOQ,EAAEgC,OAAO5B,GAAG4B,OAAOnB,KAAK,SAAStB,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAoB,SAASC,EAAEC,KAAK,SAASD,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGC,EAAE,IAAIA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAE,CAAC+F,KAAK,kBAAkBC,MAAM,CAACC,KAAK,CAAC5B,KAAK9D,OAAO2F,UAAS,EAAGlF,QAAQ,WAAW,MAAM,CAAClC,IAAI,iBAAiBqH,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,cAAcC,UAAU,SAASzG,GAAG,OAAOA,EAAE0G,QAAQ,IAAI,CAAC,OAAO,YAAYC,QAAQ3G,EAAE0G,UAAUE,SAAS,CAAC3H,IAAI,WAAW,OAAOK,KAAK8G,KAAKnH,IAAIK,KAAK8G,KAAKnH,IAAI4H,KAAKC,MAAM,GAAGD,KAAKE,SAAS,KAAKrE,SAAS,KAAKsE,UAAU,WAAW,IAAI,OAAO,IAAIC,IAAI3H,KAAK8G,KAAKG,OAAM,EAAG,MAAMvG,GAAG,OAAM,KAAMkH,QAAQ,CAACC,OAAO,SAASnH,GAAGV,KAAK8G,KAAKe,QAAQ7H,KAAK8G,KAAKe,OAAOnH,MAAMI,GAAGF,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIgB,EAAE,CAACgF,KAAK,cAAckB,WAAW,CAACC,gBAAgB3G,OAAON,EAAED,EAATO,CAAYP,GAAE,WAAY,IAAIH,EAAEV,KAAKW,EAAED,EAAEsH,eAAepH,EAAEF,EAAEuH,MAAMC,IAAIvH,EAAE,OAAOC,EAAE,KAAK,CAACF,EAAEoG,KAAKE,KAAKpG,EAAE,IAAI,CAACuH,YAAY,YAAYC,MAAM,CAACpB,KAAKtG,EAAEoG,KAAKE,KAAKtG,EAAEoG,KAAKE,KAAK,IAAIqB,OAAO3H,EAAEoG,KAAKuB,OAAO3H,EAAEoG,KAAKuB,OAAO,GAAGC,SAAS5H,EAAEoG,KAAKwB,SAASC,IAAI,uBAAuBC,GAAG,CAACC,MAAM/H,EAAEmH,SAAS,CAACnH,EAAEgH,UAAU9G,EAAE,MAAM,CAACwH,MAAM,CAACM,IAAIhI,EAAEoG,KAAKG,QAAQrG,EAAE,OAAO,CAAC+H,MAAMjI,EAAEoG,KAAKG,OAAOvG,EAAEkI,GAAG,KAAKlI,EAAEoG,KAAKI,MAAMxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACA,EAAE,SAAS,CAACuH,YAAY,iBAAiB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,cAActG,EAAE,MAAMF,EAAEkI,GAAG,KAAKhI,EAAE,OAAO,CAACuH,YAAY,wBAAwB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,gBAAgBnI,EAAEoG,KAAKI,KAAKtG,EAAE,OAAO,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,YAAYxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,YAAYnI,EAAEqI,OAAOrI,EAAEoG,KAAKM,MAAMxG,EAAE,OAAO,CAACuH,YAAY,WAAWQ,MAAM,CAACK,OAAOtI,EAAEoG,KAAKkC,SAAS,CAAC,aAAatI,EAAEoG,KAAKM,MAAMxG,EAAE,OAAO,CAAC+H,MAAMjI,EAAEoG,KAAKG,OAAOvG,EAAEqI,KAAKrI,EAAEkI,GAAG,KAAK,SAASlI,EAAEoG,KAAKM,MAAMxG,EAAE,OAAO,CAAC+H,MAAMjI,EAAEoG,KAAKM,MAAMoB,GAAG,CAACS,OAAO,SAAStI,GAAG,OAAOA,EAAEuI,iBAAiBxI,EAAEoG,KAAKe,OAAOlH,MAAM,CAACC,EAAE,QAAQ,CAACwH,MAAM,CAAClD,KAAKxE,EAAEoG,KAAKM,MAAM+B,YAAYzI,EAAEoG,KAAKI,KAAKH,SAAS,IAAIqC,SAAS,CAACvJ,MAAMa,EAAEoG,KAAKjH,SAASa,EAAEkI,GAAG,KAAKhI,EAAE,QAAQ,CAACuH,YAAY,eAAeC,MAAM,CAAClD,KAAK,SAASrF,MAAM,QAAQ,CAAC,aAAaa,EAAEoG,KAAKM,MAAMxG,EAAE,QAAQ,CAACyI,WAAW,CAAC,CAACzC,KAAK,QAAQ0C,QAAQ,UAAUzJ,MAAMa,EAAEoG,KAAKyC,MAAMC,WAAW,eAAeb,MAAMjI,EAAEoG,KAAKM,MAAMgB,MAAM,CAACrE,GAAGrD,EAAEf,IAAIuF,KAAK,YAAYkE,SAAS,CAACK,QAAQ9C,MAAM+C,QAAQhJ,EAAEoG,KAAKyC,OAAO7I,EAAEiJ,GAAGjJ,EAAEoG,KAAKyC,MAAM,OAAO,EAAE7I,EAAEoG,KAAKyC,OAAOf,GAAG,CAACoB,OAAO,CAAC,SAASjJ,GAAG,IAAIC,EAAEF,EAAEoG,KAAKyC,MAAM1I,EAAEF,EAAE0H,OAAOvH,IAAID,EAAE4I,QAAQ,GAAG9C,MAAM+C,QAAQ9I,GAAG,CAAC,IAAIgB,EAAElB,EAAEiJ,GAAG/I,EAAE,MAAMC,EAAE4I,QAAQ7H,EAAE,GAAGlB,EAAEmJ,KAAKnJ,EAAEoG,KAAK,QAAQlG,EAAEuC,OAAO,CAAC,QAAQvB,GAAG,GAAGlB,EAAEmJ,KAAKnJ,EAAEoG,KAAK,QAAQlG,EAAEnB,MAAM,EAAEmC,GAAGuB,OAAOvC,EAAEnB,MAAMmC,EAAE,UAAUlB,EAAEmJ,KAAKnJ,EAAEoG,KAAK,QAAQhG,IAAIJ,EAAEoG,KAAKe,WAAW,UAAUnH,EAAEoG,KAAKM,MAAMxG,EAAE,QAAQ,CAACyI,WAAW,CAAC,CAACzC,KAAK,QAAQ0C,QAAQ,UAAUzJ,MAAMa,EAAEoG,KAAKyC,MAAMC,WAAW,eAAeb,MAAMjI,EAAEoG,KAAKM,MAAMgB,MAAM,CAACrE,GAAGrD,EAAEf,IAAIuF,KAAK,SAASkE,SAAS,CAACK,QAAQ/I,EAAEoJ,GAAGpJ,EAAEoG,KAAKyC,MAAM,OAAOf,GAAG,CAACoB,OAAO,CAAC,SAASjJ,GAAG,OAAOD,EAAEmJ,KAAKnJ,EAAEoG,KAAK,QAAQ,OAAOpG,EAAEoG,KAAKe,WAAWjH,EAAE,QAAQ,CAACyI,WAAW,CAAC,CAACzC,KAAK,QAAQ0C,QAAQ,UAAUzJ,MAAMa,EAAEoG,KAAKyC,MAAMC,WAAW,eAAeb,MAAMjI,EAAEoG,KAAKM,MAAMgB,MAAM,CAACrE,GAAGrD,EAAEf,IAAIuF,KAAKxE,EAAEoG,KAAKM,OAAOgC,SAAS,CAACvJ,MAAMa,EAAEoG,KAAKyC,OAAOf,GAAG,CAACoB,OAAOlJ,EAAEoG,KAAKe,OAAOT,MAAM,SAASzG,GAAGA,EAAE0H,OAAO0B,WAAWrJ,EAAEmJ,KAAKnJ,EAAEoG,KAAK,QAAQnG,EAAE0H,OAAOxI,WAAWa,EAAEkI,GAAG,KAAKhI,EAAE,QAAQ,CAACwH,MAAM,CAAC4B,IAAItJ,EAAEf,KAAK6I,GAAG,CAACC,MAAM,SAAS9H,GAAG,OAAOA,EAAEsJ,kBAAkBtJ,EAAEuI,iBAAiBxI,EAAEoG,KAAKe,OAAOlH,MAAM,CAACD,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,gBAAgB,GAAGxG,EAAEoG,KAAKe,OAAOjH,EAAE,SAAS,CAACuH,YAAY,qBAAqBQ,MAAM,CAACK,OAAOtI,EAAEoG,KAAKkC,QAAQZ,MAAM,CAAC8B,SAASxJ,EAAEoG,KAAKoD,UAAU1B,GAAG,CAACC,MAAM,SAAS9H,GAAG,OAAOA,EAAEsJ,kBAAkBtJ,EAAEuI,iBAAiBxI,EAAEoG,KAAKe,OAAOlH,MAAM,CAACC,EAAE,OAAO,CAAC+H,MAAMjI,EAAEoG,KAAKG,OAAOvG,EAAEkI,GAAG,KAAKlI,EAAEoG,KAAKI,MAAMxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACA,EAAE,SAAS,CAACuH,YAAY,iBAAiB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,cAActG,EAAE,MAAMF,EAAEkI,GAAG,KAAKhI,EAAE,OAAO,CAACuH,YAAY,wBAAwB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,gBAAgBnI,EAAEoG,KAAKI,KAAKtG,EAAE,OAAO,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,YAAYxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,YAAYnI,EAAEqI,OAAOnI,EAAE,OAAO,CAACuH,YAAY,WAAWQ,MAAM,CAACK,OAAOtI,EAAEoG,KAAKkC,SAAS,CAACpI,EAAE,OAAO,CAAC+H,MAAMjI,EAAEoG,KAAKG,OAAOvG,EAAEkI,GAAG,KAAKlI,EAAEoG,KAAKI,MAAMxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACA,EAAE,SAAS,CAACuH,YAAY,iBAAiB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,cAActG,EAAE,MAAMF,EAAEkI,GAAG,KAAKhI,EAAE,OAAO,CAACuH,YAAY,wBAAwB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,gBAAgBnI,EAAEoG,KAAKI,KAAKtG,EAAE,OAAO,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,YAAYxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,YAAYnI,EAAEqI,WAAW,IAAG,EAAG,KAAK,WAAW,MAAMtI,SAASoG,MAAM,CAACsD,KAAK,CAACjF,KAAKyB,MAAM9E,QAAQ,WAAW,MAAM,CAAC,CAACmF,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,eAAeH,UAAS,KAAM5F,GAAGP,EAAE,IAAIA,EAAE,KAAKW,EAAEX,EAAEgB,EAAET,GAAGa,EAAEZ,OAAON,EAAED,EAATO,CAAYQ,GAAE,WAAY,IAAIlB,EAAEV,KAAKgI,eAAerH,EAAEX,KAAKiI,MAAMC,IAAIxH,EAAE,OAAOC,EAAE,KAAKX,KAAKoK,GAAGpK,KAAKmK,MAAK,SAAUzJ,EAAEE,GAAG,OAAOD,EAAE,kBAAkB,CAAChB,IAAIiB,EAAEwH,MAAM,CAACtB,KAAKpG,QAAQ,KAAK,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBa,EAAEV,GAAGU,IAAIS,GAAG,IAAIf,EAAEe,EAAEvB;;;;;;;;;;;;;;;;;;;;;GAqBxuKE,EAAEkB,QAAQZ,GAAG,SAASP,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAqB,SAASC,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGC,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,IAAIE,EAAEF,EAAE,IAAIgB,EAAEhB,EAAE,IAAIO,EAAEP,EAAE,IAAIW,EAAEX,EAAEgB,EAAET,GAAGa,EAAEpB,EAAE,IAAIK,EAAEL,EAAE,IAAIG,GAAGH,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,KAAKM,EAAEN,EAAEgB,EAAEb,GAAG2D,EAAE9D,EAAE,IAAyS,SAASI,EAAEN,EAAEC,EAAEC,EAAEC,EAAEC,EAAEc,EAAET,GAAG,IAAI,IAAII,EAAEb,EAAEkB,GAAGT,GAAGa,EAAET,EAAE1B,MAAM,MAAMa,GAAG,YAAYE,EAAEF,GAAGa,EAAE8I,KAAK1J,EAAEqB,GAAGsI,QAAQC,QAAQvI,GAAGwI,KAAK3J,EAAEC,GAAG,SAASiB,EAAErB,GAAG,OAAO,WAAW,IAAIC,EAAEX,KAAKY,EAAEd,UAAU,OAAO,IAAIwK,SAAQ,SAAUzJ,EAAEC,GAAG,IAAIc,EAAElB,EAAE+J,MAAM9J,EAAEC,GAAG,SAASO,EAAET,GAAGM,EAAEY,EAAEf,EAAEC,EAAEK,EAAEI,EAAE,OAAOb,GAAG,SAASa,EAAEb,GAAGM,EAAEY,EAAEf,EAAEC,EAAEK,EAAEI,EAAE,QAAQb,GAAGS,OAAE,OAAY,IAAI6D,EAAE,CAAC4B,KAAK,SAASyC,WAAW,CAACqB,QAAQzJ,EAAEY,QAAQ8I,aAAa9J,EAAE+J,WAAW9C,WAAW,CAAC+C,YAAY/J,EAAEe,SAASgF,MAAM,CAACiE,IAAI,CAAC5F,KAAK6F,OAAOlJ,aAAQ,GAAQmJ,UAAU,CAAC9F,KAAK6F,OAAOlJ,aAAQ,GAAQoJ,KAAK,CAAC/F,KAAK6F,OAAOlJ,aAAQ,GAAQqJ,QAAQ,CAAChG,KAAKS,QAAQ9D,SAAQ,GAAIsJ,YAAY,CAACjG,KAAK6F,OAAOlJ,aAAQ,GAAQuJ,KAAK,CAAClG,KAAKmG,OAAOxJ,QAAQ,IAAIyJ,iBAAiB,CAACpG,KAAKS,QAAQ9D,SAAQ,GAAI0J,eAAe,CAACrG,KAAKS,QAAQ9D,SAAQ,GAAI2J,YAAY,CAACtG,KAAKS,QAAQ9D,SAAQ,GAAI4J,eAAe,CAACvG,KAAK6F,OAAOlJ,QAAQ,MAAM6J,SAAS,CAACxG,KAAKS,QAAQ9D,SAAQ,GAAI8J,OAAO,CAACzG,KAAK6F,OAAOlJ,QAAQ,KAAKsF,UAAU,SAASzG,GAAG,OAAOA,GAAG,IAAI,WAAW,IAAI,WAAW,IAAI,UAAU,OAAM,EAAG,OAAM,IAAKkL,YAAY,CAAC1G,KAAK,CAACmG,OAAON,QAAQlJ,QAAQ,KAAKsF,UAAU,SAASzG,GAAG,MAAM,wBAAwBkE,KAAKlE,KAAKmL,aAAa,CAAC3G,KAAK6F,OAAOlJ,QAAQ,WAAWiK,KAAK,WAAW,MAAM,CAACC,gBAAgB,KAAKC,mBAAmB,KAAKC,kBAAiB,EAAGC,gBAAe,EAAGC,cAAa,EAAGC,oBAAoB,GAAGC,uBAAsB,IAAK/E,SAAS,CAACgF,kBAAkB,WAAW,OAAOtM,KAAKuM,qBAAqBvM,KAAKmL,YAAYnL,KAAKwM,cAAcxM,KAAKiL,KAAK,IAAIuB,cAAc,WAAW,YAAO,IAASxM,KAAKiL,MAAMsB,qBAAqB,WAAW,YAAO,IAASvM,KAAKmL,aAAasB,aAAa,WAAW,YAAO,IAASzM,KAAK8K,KAAK4B,QAAQ,WAAW,IAAIhM,EAAE,OAAOV,KAAKwL,cAAcxL,KAAKmM,aAAanM,KAAKmK,KAAKhL,OAAO,IAAIa,KAAKiL,QAAQ,QAAQvK,EAAEU,OAAOQ,EAAE+K,eAATvL,UAA6B,IAASV,OAAE,EAAOA,EAAEkM,MAAM5M,KAAKiM,kBAAkBjM,KAAK8K,OAAO+B,sBAAsB,WAAW,OAAO7M,KAAKsL,kBAAkBtL,KAAKiM,kBAAkBa,YAAY,WAAW,IAAIpM,EAAE,CAACqM,MAAM/M,KAAKoL,KAAK,KAAK4B,OAAOhN,KAAKoL,KAAK,KAAK6B,WAAWjN,KAAKoL,KAAK,KAAK8B,SAAS3F,KAAKC,MAAM,IAAIxH,KAAKoL,MAAM,MAAM,IAAIpL,KAAKgL,YAAYhL,KAAKgM,mBAAmB,CAAC,IAAIrL,EAAxzE,SAASD,GAAG,IAAIC,EAAED,EAAEoE,cAA8F,OAAhF,OAAOnE,EAAEwM,MAAM,0BAA0BxM,EAAEO,IAAIP,IAAIA,EAAEA,EAAE2F,QAAQ,aAAa,IAAWlF,OAAOsD,EAAE7D,EAATO,CAAY,GAAG,SAASV,EAAEC,GAAG,IAAI,IAAIC,EAAE,EAAEC,EAAE,GAAGC,EAAE,EAAEA,EAAEJ,EAAEvB,OAAO2B,IAAID,EAAEiD,KAAKpE,SAASgB,EAAE0M,OAAOtM,GAAG,IAAI,IAAI,IAAI,IAAIc,KAAKf,EAAED,GAAGC,EAAEe,GAAG,OAAOlC,SAASA,SAASkB,EAAE,IAAa,GAAP,IAA9I,CAAmJD,IAA+hEyD,CAAEpE,KAAKsM,mBAAmB5L,EAAE2M,gBAAgB,OAAO1M,EAAEY,EAAE,KAAKZ,EAAEyD,EAAE,KAAKzD,EAAE6E,EAAE,IAAI,OAAO9E,GAAGgK,QAAQ,WAAW,OAAO1K,KAAKuL,iBAAiBvL,KAAKyL,eAAezL,KAAKyL,eAAezL,KAAKmL,cAAcmC,SAAS,WAAW,OAAOtN,KAAK6M,sBAAsB7M,KAAKsM,kBAAkBc,OAAO,GAAGG,cAAc,KAAKpD,KAAK,WAAW,OAAOnK,KAAKoM,oBAAoB/I,KAAI,SAAU3C,GAAG,MAAM,CAACsG,KAAKtG,EAAE8M,UAAUvG,KAAKvG,EAAEuG,KAAKC,KAAKxG,EAAE+M,YAAYC,MAAM,CAAC5C,IAAI,WAAW9K,KAAKiM,kBAAiB,EAAGjM,KAAK2N,iBAAiB1C,KAAK,WAAWjL,KAAKiM,kBAAiB,EAAGjM,KAAKmM,cAAa,EAAGnM,KAAK2N,kBAAkBC,QAAQ,WAAW5N,KAAK2N,iBAAiB/F,QAAQ,CAACiG,WAAW,WAAW,IAAInN,EAAEV,KAAK,OAAO+B,EAAE+L,mBAAmBC,MAAK,SAAUpN,IAAI,OAAOmN,mBAAmBE,MAAK,SAAUrN,GAAG,OAAO,OAAOA,EAAEsN,KAAKtN,EAAEuN,MAAM,KAAK,EAAE,GAAGxN,EAAEgM,QAAQ,CAAC/L,EAAEuN,KAAK,EAAE,MAAM,OAAOvN,EAAEwN,OAAO,UAAU,KAAK,EAAE,GAAGzN,EAAE2L,sBAAsB,CAAC1L,EAAEuN,KAAK,EAAE,MAAM,OAAOvN,EAAEuN,KAAK,EAAExN,EAAE0N,oBAAoB,KAAK,EAAE1N,EAAE2L,uBAAuB3L,EAAE2L,sBAAsB,KAAK,EAAE,IAAI,MAAM,OAAO1L,EAAE0N,UAAU1N,MAAnWoB,IAA6WuM,UAAU,WAAWtO,KAAKqM,uBAAsB,GAAI+B,kBAAkB,WAAW,IAAI1N,EAAEV,KAAK,OAAO+B,EAAE+L,mBAAmBC,MAAK,SAAUpN,IAAI,IAAIC,EAAEC,EAAEC,EAAE,OAAOgN,mBAAmBE,MAAK,SAAUrN,GAAG,OAAO,OAAOA,EAAEsN,KAAKtN,EAAEuN,MAAM,KAAK,EAAE,OAAOvN,EAAEsN,KAAK,EAAErN,EAAE4C,mBAAmB9C,EAAEuK,MAAMtK,EAAEuN,KAAK,EAAE3M,EAAEV,EAAE0N,KAAKnN,OAAOY,EAAEwM,YAATpN,CAAsB,wBAAwB,yBAAyB+B,OAAOvC,IAAI,KAAK,EAAEC,EAAEF,EAAE8N,KAAK3N,EAAED,EAAEiL,KAAKpL,EAAE0L,oBAAoBtL,EAAE4N,UAAU,CAAC5N,EAAE4N,WAAWvL,OAAOrC,EAAE6N,SAAS7N,EAAE6N,QAAQhO,EAAEuN,KAAK,GAAG,MAAM,KAAK,EAAEvN,EAAEsN,KAAK,EAAEtN,EAAEiO,GAAGjO,EAAEkO,MAAM,GAAGnO,EAAE2L,uBAAsB,EAAG,KAAK,GAAG3L,EAAEyL,cAAa,EAAG,KAAK,GAAG,IAAI,MAAM,OAAOxL,EAAE0N,UAAU1N,EAAE,KAAK,CAAC,CAAC,EAAE,QAA7gBoB,IAAyhB4L,cAAc,WAAW,IAAIjN,EAAEV,KAAK,GAAGA,KAAKkM,gBAAe,GAAIlM,KAAKyM,gBAAgBzM,KAAKwM,eAAexM,KAAK0L,UAAU,OAAO1L,KAAKkM,gBAAe,OAAQlM,KAAKiM,kBAAiB,GAAI,IAAItL,EAAE,SAASA,EAAEC,GAAG,IAAIC,EAAEC,EAAE,wBAAwBJ,EAAEwK,UAAUpK,EAAE,+BAA+B,IAAIK,EAAEC,OAAOY,EAAEwM,YAATpN,CAAsBN,EAAE,CAACmK,KAAKtK,EAAEyK,KAAKxK,IAAI,OAAOD,KAAK,QAAQE,EAAEO,OAAOQ,EAAE+K,eAATvL,UAA6B,IAASP,OAAE,EAAOA,EAAE+L,MAAM,oBAAoBkC,gBAAgB3N,GAAG,MAAM2N,cAAcC,OAAOC,SAAS7N,GAAGP,EAAED,EAAEX,KAAKiL,KAAKjL,KAAKoL,MAAMpL,KAAKyM,eAAe7L,EAAEZ,KAAK8K,KAAK,IAAIjK,EAAE,CAACD,EAAE,MAAMD,EAAEX,KAAKiL,KAAK,EAAEjL,KAAKoL,MAAM,MAAMzK,EAAEX,KAAKiL,KAAK,EAAEjL,KAAKoL,MAAM,OAAOvH,KAAK,MAAM/C,EAAE,IAAImO,MAAMnO,EAAEoO,OAAO,WAAWxO,EAAEqL,gBAAgBnL,EAAEF,EAAE+L,eAAe/L,EAAEsL,mBAAmBnL,GAAGH,EAAEwL,gBAAe,GAAIpL,EAAEqO,QAAQ,WAAWzO,EAAEuL,kBAAiB,EAAGvL,EAAEwL,gBAAe,GAAIlM,KAAKyM,eAAe3L,EAAEsO,OAAOvO,GAAGC,EAAE4H,IAAI9H,KAAK4E,GAAG5E,EAAE,IAAIA,EAAE,IAAI6E,EAAE7E,EAAE,IAAI2E,EAAE3E,EAAEgB,EAAE6D,GAAGrH,EAAEgD,OAAOoE,EAAE3E,EAATO,CAAY4D,GAAE,WAAY,IAAItE,EAAEV,KAAKW,EAAED,EAAEsH,eAAepH,EAAEF,EAAEuH,MAAMC,IAAIvH,EAAE,OAAOC,EAAE,MAAM,CAACyI,WAAW,CAAC,CAACzC,KAAK,UAAU0C,QAAQ,YAAYzJ,MAAMa,EAAEgK,QAAQlB,WAAW,WAAW,CAAC5C,KAAK,gBAAgB0C,QAAQ,kBAAkBzJ,MAAMa,EAAE4N,UAAU9E,WAAW,cAAcrB,YAAY,gCAAgCQ,MAAM,CAAC,qBAAqBjI,EAAEuL,iBAAiB,uBAAuBvL,EAAEgM,SAAS2C,MAAM3O,EAAEoM,YAAYtE,GAAG,CAACC,MAAM/H,EAAEmN,aAAa,CAACnN,EAAEsK,UAAUpK,EAAE,MAAM,CAACuH,YAAY,oBAAoBQ,MAAMjI,EAAEsK,YAAYtK,EAAEwL,iBAAiBxL,EAAEuL,iBAAiBrL,EAAE,MAAM,CAACwH,MAAM,CAACM,IAAIhI,EAAEqL,gBAAgBqD,OAAO1O,EAAEsL,sBAAsBtL,EAAEqI,KAAKrI,EAAEkI,GAAG,KAAKlI,EAAEgM,QAAQ9L,EAAE,MAAM,CAACuH,YAAY,cAAczH,EAAEqI,KAAKrI,EAAEkI,GAAG,KAAKlI,EAAEiL,OAAO/K,EAAE,MAAM,CAACuH,YAAY,oBAAoBQ,MAAM,sBAAsBjI,EAAEiL,OAAO0D,MAAM,CAAChC,gBAAgB,IAAI3M,EAAEkL,cAAc,CAAC,YAAYlL,EAAEiL,OAAO/K,EAAE,MAAM,CAACwH,MAAM,CAACkH,MAAM,6BAA6BvC,MAAM,KAAKC,OAAO,KAAKuC,QAAQ,mBAAmB,CAAC3O,EAAE,OAAO,CAACyO,MAAM,CAACG,KAAK,IAAI9O,EAAEkL,aAAaxD,MAAM,CAAClH,EAAE,kDAAkDuO,OAAO,OAAO,eAAe,OAAO,iBAAiB,cAAc/O,EAAEqI,OAAOrI,EAAEqI,KAAKrI,EAAEkI,GAAG,KAAKlI,EAAEuL,iBAAiBrL,EAAE,MAAM,CAACuH,YAAY,WAAW,CAACzH,EAAEkI,GAAG,SAASlI,EAAEoI,GAAGpI,EAAE4M,UAAU,UAAU5M,EAAEqI,KAAKrI,EAAEkI,GAAG,KAAKlI,EAAEgM,QAAQ9L,EAAE,MAAM,CAACyI,WAAW,CAAC,CAACzC,KAAK,OAAO0C,QAAQ,SAASzJ,MAAMa,EAAE2L,sBAAsB7C,WAAW,0BAA0BrB,YAAY,cAAcQ,MAAM,QAAQjI,EAAEmL,cAAc,CAACjL,EAAE,cAAc,CAACwH,MAAM,CAAC,UAAU1H,EAAE2L,sBAAsBlC,KAAKzJ,EAAEyJ,SAAS,GAAGzJ,EAAEqI,SAAS,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBxD,EAAE1E,GAAG0E,IAAInH,GAAG,IAAIsR,EAAEtR,EAAEqC;;;;;;;;;;;;;;;;;;;;;GAqB9lNE,EAAEkB,QAAQ6N,GAAG,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,SAAShP,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAQ,CAAC,CAAC,CAAC,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAA+B,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAgC,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAkC,CAAC,CAAC,SAASC,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEgB,EAAEf,GAAGA,GAAG,SAASH,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKkD,KAAK,CAACpD,EAAEI,EAAE,kZAAkZ,KAAKJ,EAAED,QAAQE,GAAG,SAASD,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEgB,EAAEf,GAAGA,GAAG,SAASH,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKkD,KAAK,CAACpD,EAAEI,EAAE,i9NAAi9N,KAAKJ,EAAED,QAAQE,GAAG,SAASD,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEgB,EAAEf,GAAGA,GAAG,SAASH,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKkD,KAAK,CAACpD,EAAEI,EAAE,4DAA4D,KAAKJ,EAAED,QAAQE,GAAG,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,SAASD,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAoC,SAASC,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEgB,EAAEf,GAAGA,GAAG,SAASH,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,GAAGE,EAAEF,EAAE,GAAGgB,EAAEhB,EAAE,GAAGO,EAAEP,EAAE,GAAGW,EAAEX,EAAE,IAAIoB,EAAEpB,EAAE,IAAID,EAAEE,GAAE,GAAI,IAAII,EAAEH,EAAEc,GAAGb,EAAED,EAAEK,GAAGD,EAAEJ,EAAES,GAAGmD,EAAE5D,EAAEkB,GAAGrB,EAAEmD,KAAK,CAACpD,EAAEI,EAAE,yDAAyDG,EAAE,aAAaA,EAAE,qCAAqCF,EAAE,wBAAwBG,EAAE,4BAA4BwD,EAAE,g4GAAg4G,KAAKhE,EAAED,QAAQE,M,kBCvF5xX,IAAIgP,EAAU,CAEZC,KAAM,CAEJC,cAAe,SAASC,GACtB,OAAOH,EAAQI,IAAIF,cAActM,SAASC,mBAAmBsM,MAI/DE,cAAe,SAASC,GACtB,OAAOC,mBAAmBC,OAAOR,EAAQI,IAAIC,cAAcC,OAK/DF,IAAK,CAEHF,cAAe,SAASC,GACtB,IAAK,IAAIG,EAAQ,GAAInP,EAAI,EAAGA,EAAIgP,EAAI3Q,OAAQ2B,IAC1CmP,EAAMnM,KAAyB,IAApBgM,EAAIvQ,WAAWuB,IAC5B,OAAOmP,GAITD,cAAe,SAASC,GACtB,IAAK,IAAIH,EAAM,GAAIhP,EAAI,EAAGA,EAAImP,EAAM9Q,OAAQ2B,IAC1CgP,EAAIhM,KAAKiH,OAAOqF,aAAaH,EAAMnP,KACrC,OAAOgP,EAAIjM,KAAK,OAKtBrD,EAAOC,QAAUkP,G,oBChCjB,IAiBIU,EAAOC,EAASC,EAjBhB7S,EAAS,EAAQ,GACjBO,EAAQ,EAAQ,GAChBH,EAAU,EAAQ,IAClB6D,EAAO,EAAQ,IACf6O,EAAO,EAAQ,KACfvL,EAAgB,EAAQ,IACxBwL,EAAS,EAAQ,KAEjBC,EAAWhT,EAAOgT,SAClBC,EAAMjT,EAAOkT,aACbC,EAAQnT,EAAOoT,eACfC,EAAUrT,EAAOqT,QACjBC,EAAiBtT,EAAOsT,eACxBC,EAAWvT,EAAOuT,SAClBC,EAAU,EACVC,EAAQ,GAIRC,EAAM,SAAUrN,GAElB,GAAIoN,EAAMrP,eAAeiC,GAAK,CAC5B,IAAIsN,EAAKF,EAAMpN,UACRoN,EAAMpN,GACbsN,MAIAC,EAAS,SAAUvN,GACrB,OAAO,WACLqN,EAAIrN,KAIJwN,EAAW,SAAUC,GACvBJ,EAAII,EAAM1F,OAGRyC,EAAO,SAAUxK,GAEnBrG,EAAO+T,YAAY1N,EAAK,GAAI2M,EAASgB,SAAW,KAAOhB,EAASiB,OAI7DhB,GAAQE,IACXF,EAAM,SAAsBU,GAG1B,IAFA,IAAIO,EAAO,GACP9Q,EAAI,EACDhB,UAAUX,OAAS2B,GAAG8Q,EAAK9N,KAAKhE,UAAUgB,MAMjD,OALAqQ,IAAQD,GAAW,YAEH,mBAANG,EAAmBA,EAAKQ,SAASR,IAAK5G,WAAMqH,EAAWF,IAEjEvB,EAAMa,GACCA,GAETL,EAAQ,SAAwB9M,UACvBoN,EAAMpN,IAGS,WAApBjG,EAAQiT,GACVV,EAAQ,SAAUtM,GAChBgN,EAAQgB,SAAST,EAAOvN,KAGjBkN,GAAYA,EAASe,IAC9B3B,EAAQ,SAAUtM,GAChBkN,EAASe,IAAIV,EAAOvN,KAIbiN,IAAmBP,GAE5BF,GADAD,EAAU,IAAIU,GACCiB,MACf3B,EAAQ4B,MAAMC,UAAYZ,EAC1BlB,EAAQ1O,EAAK4O,EAAKkB,YAAalB,EAAM,KAIrC7S,EAAO0U,kBACe,mBAAfX,aACN/T,EAAO2U,eACPpU,EAAMsQ,IACe,UAAtBmC,EAASgB,SAMTrB,EAzEqB,uBAwEUpL,EAAc,UACrC,SAAUlB,GAChByM,EAAKrL,YAAYF,EAAc,WAA6B,mBAAI,WAC9DuL,EAAKlL,YAAYtF,MACjBoR,EAAIrN,KAKA,SAAUA,GAChBuO,WAAWhB,EAAOvN,GAAK,KAbzBsM,EAAQ9B,EACR7Q,EAAO0U,iBAAiB,UAAWb,GAAU,KAiBjD/Q,EAAOC,QAAU,CACfkQ,IAAKA,EACLE,MAAOA,I,oBCzGT,IAAIhM,EAAY,EAAQ,KAExBrE,EAAOC,QAAU,mCAAmCmE,KAAKC,I,iCCDzD,IAAI0N,EAAY,EAAQ,KAEpBC,EAAoB,SAAUC,GAChC,IAAIlI,EAASmI,EACb1S,KAAK2S,QAAU,IAAIF,GAAE,SAAUG,EAAWC,GACxC,QAAgBf,IAAZvH,QAAoCuH,IAAXY,EAAsB,MAAMI,UAAU,2BACnEvI,EAAUqI,EACVF,EAASG,KAEX7S,KAAKuK,QAAUgI,EAAUhI,GACzBvK,KAAK0S,OAASH,EAAUG,IAI1BlS,EAAOC,QAAQrC,EAAI,SAAUqU,GAC3B,OAAO,IAAID,EAAkBC,K,oBChB/B,IACMM,EACAnD,EACAoD,EACAjD,EAGJkD,EANIF,EAAQ,EAAQ,KAChBnD,EAAO,EAAQ,KAAWA,KAC1BoD,EAAW,EAAQ,KACnBjD,EAAM,EAAQ,KAAWA,KAG7BkD,EAAM,SAAUC,EAASjR,GAEnBiR,EAAQ5S,aAAeyK,OAEvBmI,EADEjR,GAAgC,WAArBA,EAAQkR,SACXpD,EAAIF,cAAcqD,GAElBtD,EAAKC,cAAcqD,GACxBF,EAASE,GAChBA,EAAUvM,MAAMjI,UAAUe,MAAMS,KAAKgT,EAAS,GACtCvM,MAAM+C,QAAQwJ,KACtBA,EAAUA,EAAQ9P,YAWpB,IARA,IAAIpC,EAAI+R,EAAMK,aAAaF,GACvBnS,EAAqB,EAAjBmS,EAAQ/T,OACZ0B,EAAK,WACL2E,GAAK,UACLvE,GAAK,WACLC,EAAK,UAGAJ,EAAI,EAAGA,EAAIE,EAAE7B,OAAQ2B,IAC5BE,EAAEF,GAAsC,UAA/BE,EAAEF,IAAO,EAAME,EAAEF,KAAO,IACO,YAA/BE,EAAEF,IAAM,GAAOE,EAAEF,KAAQ,GAIpCE,EAAED,IAAM,IAAM,KAASA,EAAI,GAC3BC,EAA4B,IAAvBD,EAAI,KAAQ,GAAM,IAAWA,EAGlC,IAAIsS,EAAKJ,EAAIK,IACTC,EAAKN,EAAIO,IACTC,EAAKR,EAAIS,IACTC,EAAKV,EAAIW,IAEb,IAAS9S,EAAI,EAAGA,EAAIE,EAAE7B,OAAQ2B,GAAK,GAAI,CAErC,IAAI+S,EAAKhT,EACLiT,EAAKtO,EACLuO,EAAK9S,EACL+S,EAAK9S,EAETL,EAAIwS,EAAGxS,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAImS,EAAGnS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAG,GAAI,IAAK,WACjCG,EAAIoS,EAAGpS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAG,GAAI,GAAK,WACjC0E,EAAI6N,EAAG7N,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,YACjCD,EAAIwS,EAAGxS,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAImS,EAAGnS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAG,GAAI,GAAK,YACjCG,EAAIoS,EAAGpS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAG,GAAI,IAAK,YACjC0E,EAAI6N,EAAG7N,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,UACjCD,EAAIwS,EAAGxS,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAG,GAAK,EAAI,YACjCI,EAAImS,EAAGnS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAG,GAAI,IAAK,YACjCG,EAAIoS,EAAGpS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAE,IAAK,IAAK,OACjC0E,EAAI6N,EAAG7N,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,IAAK,YACjCD,EAAIwS,EAAGxS,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAE,IAAM,EAAI,YACjCI,EAAImS,EAAGnS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAE,IAAK,IAAK,UACjCG,EAAIoS,EAAGpS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAE,IAAK,IAAK,YAGjCD,EAAI0S,EAAG1S,EAFP2E,EAAI6N,EAAG7N,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,GAAK,YAEpBG,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIqS,EAAGrS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAG,GAAK,GAAI,YACjCG,EAAIsS,EAAGtS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAE,IAAK,GAAK,WACjC0E,EAAI+N,EAAG/N,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,WACjCD,EAAI0S,EAAG1S,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIqS,EAAGrS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAE,IAAM,EAAI,UACjCG,EAAIsS,EAAGtS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAE,IAAK,IAAK,WACjC0E,EAAI+N,EAAG/N,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,WACjCD,EAAI0S,EAAG1S,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAG,GAAK,EAAI,WACjCI,EAAIqS,EAAGrS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAE,IAAM,GAAI,YACjCG,EAAIsS,EAAGtS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAG,GAAI,IAAK,WACjC0E,EAAI+N,EAAG/N,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,GAAK,YACjCD,EAAI0S,EAAG1S,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAE,IAAM,GAAI,YACjCI,EAAIqS,EAAGrS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAG,GAAK,GAAI,UACjCG,EAAIsS,EAAGtS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAG,GAAI,GAAK,YAGjCD,EAAI4S,EAAG5S,EAFP2E,EAAI+N,EAAG/N,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,IAAK,YAEpBG,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,QACjCI,EAAIuS,EAAGvS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAG,GAAI,IAAK,YACjCG,EAAIwS,EAAGxS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAE,IAAK,GAAK,YACjC0E,EAAIiO,EAAGjO,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,IAAK,UACjCD,EAAI4S,EAAG5S,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,YACjCI,EAAIuS,EAAGvS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAG,GAAI,GAAK,YACjCG,EAAIwS,EAAGxS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAG,GAAI,IAAK,WACjC0E,EAAIiO,EAAGjO,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,IAAK,YACjCD,EAAI4S,EAAG5S,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAE,IAAM,EAAI,WACjCI,EAAIuS,EAAGvS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAG,GAAI,IAAK,WACjCG,EAAIwS,EAAGxS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAG,GAAI,IAAK,WACjC0E,EAAIiO,EAAGjO,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,GAAK,UACjCD,EAAI4S,EAAG5S,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIuS,EAAGvS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAE,IAAK,IAAK,WACjCG,EAAIwS,EAAGxS,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAE,IAAK,GAAK,WAGjCD,EAAI8S,EAAG9S,EAFP2E,EAAIiO,EAAGjO,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,WAEpBG,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIyS,EAAGzS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAG,GAAI,GAAK,YACjCG,EAAI0S,EAAG1S,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAE,IAAK,IAAK,YACjC0E,EAAImO,EAAGnO,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,UACjCD,EAAI8S,EAAG9S,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAE,IAAM,EAAI,YACjCI,EAAIyS,EAAGzS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAG,GAAI,IAAK,YACjCG,EAAI0S,EAAG1S,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAE,IAAK,IAAK,SACjC0E,EAAImO,EAAGnO,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,YACjCD,EAAI8S,EAAG9S,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAG,GAAK,EAAI,YACjCI,EAAIyS,EAAGzS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAE,IAAK,IAAK,UACjCG,EAAI0S,EAAG1S,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAG,GAAI,IAAK,YACjC0E,EAAImO,EAAGnO,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,GAAK,YACjCD,EAAI8S,EAAG9S,EAAG2E,EAAGvE,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIyS,EAAGzS,EAAGL,EAAG2E,EAAGvE,EAAGD,EAAEF,EAAE,IAAK,IAAK,YACjCG,EAAI0S,EAAG1S,EAAGC,EAAGL,EAAG2E,EAAGxE,EAAEF,EAAG,GAAI,GAAK,WACjC0E,EAAImO,EAAGnO,EAAGvE,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,WAEjCD,EAAKA,EAAIgT,IAAQ,EACjBrO,EAAKA,EAAIsO,IAAQ,EACjB7S,EAAKA,EAAI8S,IAAQ,EACjB7S,EAAKA,EAAI8S,IAAQ,EAGnB,OAAOjB,EAAMkB,OAAO,CAACpT,EAAG2E,EAAGvE,EAAGC,MAI5BoS,IAAO,SAAUzS,EAAG2E,EAAGvE,EAAGC,EAAGgT,EAAGlS,EAAGrB,GACrC,IAAIiB,EAAIf,GAAK2E,EAAIvE,GAAKuE,EAAItE,IAAMgT,IAAM,GAAKvT,EAC3C,OAASiB,GAAKI,EAAMJ,IAAO,GAAKI,GAAOwD,GAEzCyN,EAAIO,IAAO,SAAU3S,EAAG2E,EAAGvE,EAAGC,EAAGgT,EAAGlS,EAAGrB,GACrC,IAAIiB,EAAIf,GAAK2E,EAAItE,EAAID,GAAKC,IAAMgT,IAAM,GAAKvT,EAC3C,OAASiB,GAAKI,EAAMJ,IAAO,GAAKI,GAAOwD,GAEzCyN,EAAIS,IAAO,SAAU7S,EAAG2E,EAAGvE,EAAGC,EAAGgT,EAAGlS,EAAGrB,GACrC,IAAIiB,EAAIf,GAAK2E,EAAIvE,EAAIC,IAAMgT,IAAM,GAAKvT,EACtC,OAASiB,GAAKI,EAAMJ,IAAO,GAAKI,GAAOwD,GAEzCyN,EAAIW,IAAO,SAAU/S,EAAG2E,EAAGvE,EAAGC,EAAGgT,EAAGlS,EAAGrB,GACrC,IAAIiB,EAAIf,GAAKI,GAAKuE,GAAKtE,KAAOgT,IAAM,GAAKvT,EACzC,OAASiB,GAAKI,EAAMJ,IAAO,GAAKI,GAAOwD,GAIzCyN,EAAIkB,WAAa,GACjBlB,EAAImB,YAAc,GAElB5T,EAAOC,QAAU,SAAUyS,EAASjR,GAClC,GAAIiR,QACF,MAAM,IAAI3O,MAAM,oBAAsB2O,GAExC,IAAImB,EAActB,EAAMuB,aAAarB,EAAIC,EAASjR,IAClD,OAAOA,GAAWA,EAAQsS,QAAUF,EAChCpS,GAAWA,EAAQuS,SAAWzE,EAAIC,cAAcqE,GAChDtB,EAAM0B,WAAWJ,K,kBC5JzB,IACMK,EAGJ3B,EAHI2B,EACE,mEAEN3B,EAAQ,CAEN4B,KAAM,SAAS/S,EAAG4D,GAChB,OAAQ5D,GAAK4D,EAAM5D,IAAO,GAAK4D,GAIjCoP,KAAM,SAAShT,EAAG4D,GAChB,OAAQ5D,GAAM,GAAK4D,EAAO5D,IAAM4D,GAIlCyO,OAAQ,SAASrS,GAEf,GAAIA,EAAEtB,aAAe+K,OACnB,OAA0B,SAAnB0H,EAAM4B,KAAK/S,EAAG,GAAsC,WAApBmR,EAAM4B,KAAK/S,EAAG,IAIvD,IAAK,IAAId,EAAI,EAAGA,EAAIc,EAAEzC,OAAQ2B,IAC5Bc,EAAEd,GAAKiS,EAAMkB,OAAOrS,EAAEd,IACxB,OAAOc,GAITiT,YAAa,SAASjT,GACpB,IAAK,IAAIqO,EAAQ,GAAIrO,EAAI,EAAGA,IAC1BqO,EAAMnM,KAAKyD,KAAKuN,MAAsB,IAAhBvN,KAAKE,WAC7B,OAAOwI,GAITmD,aAAc,SAASnD,GACrB,IAAK,IAAI8E,EAAQ,GAAIjU,EAAI,EAAG0E,EAAI,EAAG1E,EAAImP,EAAM9Q,OAAQ2B,IAAK0E,GAAK,EAC7DuP,EAAMvP,IAAM,IAAMyK,EAAMnP,IAAO,GAAK0E,EAAI,GAC1C,OAAOuP,GAITT,aAAc,SAASS,GACrB,IAAK,IAAI9E,EAAQ,GAAIzK,EAAI,EAAGA,EAAmB,GAAfuP,EAAM5V,OAAaqG,GAAK,EACtDyK,EAAMnM,KAAMiR,EAAMvP,IAAM,KAAQ,GAAKA,EAAI,GAAO,KAClD,OAAOyK,GAITwE,WAAY,SAASxE,GACnB,IAAK,IAAI+E,EAAM,GAAIlU,EAAI,EAAGA,EAAImP,EAAM9Q,OAAQ2B,IAC1CkU,EAAIlR,MAAMmM,EAAMnP,KAAO,GAAGsC,SAAS,KACnC4R,EAAIlR,MAAiB,GAAXmM,EAAMnP,IAAUsC,SAAS,KAErC,OAAO4R,EAAInR,KAAK,KAIlBoR,WAAY,SAASD,GACnB,IAAK,IAAI/E,EAAQ,GAAIhP,EAAI,EAAGA,EAAI+T,EAAI7V,OAAQ8B,GAAK,EAC/CgP,EAAMnM,KAAKpE,SAASsV,EAAIE,OAAOjU,EAAG,GAAI,KACxC,OAAOgP,GAITkF,cAAe,SAASlF,GACtB,IAAK,IAAImF,EAAS,GAAItU,EAAI,EAAGA,EAAImP,EAAM9Q,OAAQ2B,GAAK,EAElD,IADA,IAAIuU,EAAWpF,EAAMnP,IAAM,GAAOmP,EAAMnP,EAAI,IAAM,EAAKmP,EAAMnP,EAAI,GACxDT,EAAI,EAAGA,EAAI,EAAGA,IACb,EAAJS,EAAY,EAAJT,GAAwB,EAAf4P,EAAM9Q,OACzBiW,EAAOtR,KAAK4Q,EAAUtH,OAAQiI,IAAY,GAAK,EAAIhV,GAAM,KAEzD+U,EAAOtR,KAAK,KAElB,OAAOsR,EAAOvR,KAAK,KAIrByR,cAAe,SAASF,GAEtBA,EAASA,EAAO9O,QAAQ,iBAAkB,IAE1C,IAAK,IAAI2J,EAAQ,GAAInP,EAAI,EAAGyU,EAAQ,EAAGzU,EAAIsU,EAAOjW,OAC9CoW,IAAUzU,EAAI,EACH,GAATyU,GACJtF,EAAMnM,MAAO4Q,EAAUrN,QAAQ+N,EAAOhI,OAAOtM,EAAI,IAC1CyG,KAAKiO,IAAI,GAAI,EAAID,EAAQ,GAAK,IAAgB,EAARA,EACtCb,EAAUrN,QAAQ+N,EAAOhI,OAAOtM,MAAS,EAAY,EAARyU,GAEtD,OAAOtF,IAIXzP,EAAOC,QAAUsS,G,kBCjFnB,SAASC,EAAUyC,GACjB,QAASA,EAAInV,aAAmD,mBAA7BmV,EAAInV,YAAY0S,UAA2ByC,EAAInV,YAAY0S,SAASyC;;;;;;;AALzGjV,EAAOC,QAAU,SAAUgV,GACzB,OAAc,MAAPA,IAAgBzC,EAASyC,IAQlC,SAAuBA,GACrB,MAAkC,mBAApBA,EAAIC,aAAmD,mBAAdD,EAAIhW,OAAwBuT,EAASyC,EAAIhW,MAAM,EAAG,IATjEkW,CAAaF,MAAUA,EAAIG,a,iCCTrE,IAiDIC,EAAUC,EAAsBC,EAAgBC,EAjDhDC,EAAI,EAAQ,IACZC,EAAU,EAAQ,IAClBxY,EAAS,EAAQ,GACjByY,EAAa,EAAQ,IACrBC,EAAgB,EAAQ,KACxBxY,EAAW,EAAQ,IACnByY,EAAc,EAAQ,KACtBC,EAAiB,EAAQ,IACzBC,EAAa,EAAQ,KACrBC,EAAW,EAAQ,GACnBjE,EAAY,EAAQ,KACpBkE,EAAa,EAAQ,IACrB3Y,EAAU,EAAQ,IAClB4Y,EAAgB,EAAQ,IACxBC,EAAU,EAAQ,IAClBC,EAA8B,EAAQ,KACtCC,EAAqB,EAAQ,KAC7BC,EAAO,EAAQ,KAAqBnG,IACpCoG,EAAY,EAAQ,KACpBC,EAAiB,EAAQ,KACzBC,EAAmB,EAAQ,KAC3BC,EAA6B,EAAQ,KACrCC,EAAU,EAAQ,KAClBC,EAAsB,EAAQ,IAC9BzZ,EAAW,EAAQ,IACnB0Z,EAAkB,EAAQ,GAC1BC,EAAa,EAAQ,IAErBC,EAAUF,EAAgB,WAC1BG,EAAU,UACVC,EAAmBL,EAAoB9V,IACvCoW,EAAmBN,EAAoBzG,IACvCgH,EAA0BP,EAAoBQ,UAAUJ,GACxDK,EAAqBzB,EACrBtD,EAAYpV,EAAOoV,UACnBzO,EAAW3G,EAAO2G,SAClB0M,EAAUrT,EAAOqT,QACjB+G,EAAS3B,EAAW,SACpB4B,EAAuBb,EAA2B9Y,EAClD4Z,EAA8BD,EAC9BE,EAA8B,WAApBna,EAAQiT,GAClBmH,KAAoB7T,GAAYA,EAAS8T,aAAeza,EAAO0a,eAU/DC,EAAS1a,EAAS6Z,GAAS,WAE7B,KAD6Bd,EAAcmB,KAAwB9M,OAAO8M,IAC7C,CAI3B,GAAmB,KAAfP,EAAmB,OAAO,EAE9B,IAAKW,GAA2C,mBAAzBK,sBAAqC,OAAO,EAGrE,GAAIpC,IAAY2B,EAAmBnZ,UAAmB,QAAG,OAAO,EAIhE,GAAI4Y,GAAc,IAAM,cAAc1S,KAAKiT,GAAqB,OAAO,EAEvE,IAAIlF,EAAUkF,EAAmBtN,QAAQ,GACrCgO,EAAc,SAAUC,GAC1BA,GAAK,eAA6B,gBAIpC,OAFkB7F,EAAQrS,YAAc,IAC5BiX,GAAWgB,IACd5F,EAAQnI,MAAK,yBAAwC+N,MAG5DE,EAAsBJ,IAAWzB,GAA4B,SAAU8B,GACzEb,EAAmBc,IAAID,GAAiB,OAAE,kBAIxCE,EAAa,SAAUtZ,GACzB,IAAIkL,EACJ,SAAOgM,EAASlX,IAAkC,mBAAnBkL,EAAOlL,EAAGkL,QAAsBA,GAG7DqO,EAAS,SAAUlG,EAASmG,EAAOC,GACrC,IAAID,EAAME,SAAV,CACAF,EAAME,UAAW,EACjB,IAAIC,EAAQH,EAAMI,UAClBnC,GAAU,WAKR,IAJA,IAAIlX,EAAQiZ,EAAMjZ,MACdsZ,EAhDQ,GAgDHL,EAAMA,MACX1Z,EAAQ,EAEL6Z,EAAM9Z,OAASC,GAAO,CAC3B,IAKIga,EAAQ5O,EAAM6O,EALdC,EAAWL,EAAM7Z,KACjBma,EAAUJ,EAAKG,EAASH,GAAKG,EAASE,KACtCjP,EAAU+O,EAAS/O,QACnBmI,EAAS4G,EAAS5G,OAClB+G,EAASH,EAASG,OAEtB,IACMF,GACGJ,IAzDC,IA0DAL,EAAMY,WAAyBC,GAAkBhH,EAASmG,GAC9DA,EAAMY,UA5DJ,IA8DY,IAAZH,EAAkBH,EAASvZ,GAEzB4Z,GAAQA,EAAOG,QACnBR,EAASG,EAAQ1Z,GACb4Z,IACFA,EAAOI,OACPR,GAAS,IAGTD,IAAWE,EAAS3G,QACtBD,EAAOI,EAAU,yBACRtI,EAAOoO,EAAWQ,IAC3B5O,EAAKtK,KAAKkZ,EAAQ7O,EAASmI,GACtBnI,EAAQ6O,IACV1G,EAAO7S,GACd,MAAOia,GACHL,IAAWJ,GAAQI,EAAOI,OAC9BnH,EAAOoH,IAGXhB,EAAMI,UAAY,GAClBJ,EAAME,UAAW,EACbD,IAAaD,EAAMY,WAAWK,EAAYpH,EAASmG,QAIvDV,EAAgB,SAAUxR,EAAM+L,EAASqH,GAC3C,IAAIxI,EAAO+H,EACPrB,IACF1G,EAAQnN,EAAS8T,YAAY,UACvBxF,QAAUA,EAChBnB,EAAMwI,OAASA,EACfxI,EAAMyI,UAAUrT,GAAM,GAAO,GAC7BlJ,EAAO0a,cAAc5G,IAChBA,EAAQ,CAAEmB,QAASA,EAASqH,OAAQA,IACvCT,EAAU7b,EAAO,KAAOkJ,IAAO2S,EAAQ/H,GAtGnB,uBAuGf5K,GAA8BqQ,EAAiB,8BAA+B+C,IAGrFD,EAAc,SAAUpH,EAASmG,GACnChC,EAAK5W,KAAKxC,GAAQ,WAChB,IAEI0b,EAFAvZ,EAAQiZ,EAAMjZ,MAGlB,GAFmBqa,GAAYpB,KAG7BM,EAASjC,GAAQ,WACXc,EACFlH,EAAQoJ,KAAK,qBAAsBta,EAAO8S,GACrCyF,EAnHW,qBAmHwBzF,EAAS9S,MAGrDiZ,EAAMY,UAAYzB,GAAWiC,GAAYpB,GAhH/B,EADF,EAkHJM,EAAOU,OAAO,MAAMV,EAAOvZ,UAKjCqa,GAAc,SAAUpB,GAC1B,OAxHY,IAwHLA,EAAMY,YAA0BZ,EAAMrW,QAG3CkX,GAAoB,SAAUhH,EAASmG,GACzChC,EAAK5W,KAAKxC,GAAQ,WACZua,EACFlH,EAAQoJ,KAAK,mBAAoBxH,GAC5ByF,EAnIa,mBAmIoBzF,EAASmG,EAAMjZ,WAIvD8B,GAAO,SAAU0P,EAAIsB,EAASmG,EAAOsB,GACvC,OAAO,SAAUva,GACfwR,EAAGsB,EAASmG,EAAOjZ,EAAOua,KAI1BC,GAAiB,SAAU1H,EAASmG,EAAOjZ,EAAOua,GAChDtB,EAAMzO,OACVyO,EAAMzO,MAAO,EACT+P,IAAQtB,EAAQsB,GACpBtB,EAAMjZ,MAAQA,EACdiZ,EAAMA,MA/IO,EAgJbD,EAAOlG,EAASmG,GAAO,KAGrBwB,GAAkB,SAAU3H,EAASmG,EAAOjZ,EAAOua,GACrD,IAAItB,EAAMzO,KAAV,CACAyO,EAAMzO,MAAO,EACT+P,IAAQtB,EAAQsB,GACpB,IACE,GAAIzH,IAAY9S,EAAO,MAAMiT,EAAU,oCACvC,IAAItI,EAAOoO,EAAW/Y,GAClB2K,EACFuM,GAAU,WACR,IAAIwD,EAAU,CAAElQ,MAAM,GACtB,IACEG,EAAKtK,KAAKL,EACR8B,GAAK2Y,GAAiB3H,EAAS4H,EAASzB,GACxCnX,GAAK0Y,GAAgB1H,EAAS4H,EAASzB,IAEzC,MAAOgB,GACPO,GAAe1H,EAAS4H,EAAST,EAAOhB,QAI5CA,EAAMjZ,MAAQA,EACdiZ,EAAMA,MAzKI,EA0KVD,EAAOlG,EAASmG,GAAO,IAEzB,MAAOgB,GACPO,GAAe1H,EAAS,CAAEtI,MAAM,GAASyP,EAAOhB,MAKhDT,IAEFR,EAAqB,SAAiB2C,GACpC/D,EAAWzW,KAAM6X,EAAoBL,GACrCjF,EAAUiI,GACV3E,EAAS3V,KAAKF,MACd,IAAI8Y,EAAQrB,EAAiBzX,MAC7B,IACEwa,EAAS7Y,GAAK2Y,GAAiBta,KAAM8Y,GAAQnX,GAAK0Y,GAAgBra,KAAM8Y,IACxE,MAAOgB,GACPO,GAAera,KAAM8Y,EAAOgB,MAIhCjE,EAAW,SAAiB2E,GAC1B9C,EAAiB1X,KAAM,CACrBkF,KAAMsS,EACNnN,MAAM,EACN2O,UAAU,EACVvW,QAAQ,EACRyW,UAAW,GACXQ,WAAW,EACXZ,MAzMQ,EA0MRjZ,WAAOiS,MAGFpT,UAAY2X,EAAYwB,EAAmBnZ,UAAW,CAG7D8L,KAAM,SAAciQ,EAAaC,GAC/B,IAAI5B,EAAQnB,EAAwB3X,MAChCsZ,EAAWvB,EAAqBlB,EAAmB7W,KAAM6X,IAO7D,OANAyB,EAASH,GAA2B,mBAAfsB,GAA4BA,EACjDnB,EAASE,KAA4B,mBAAdkB,GAA4BA,EACnDpB,EAASG,OAASxB,EAAUlH,EAAQ0I,YAAS3H,EAC7CgH,EAAMrW,QAAS,EACfqW,EAAMI,UAAUpV,KAAKwV,GAvNb,GAwNJR,EAAMA,OAAkBD,EAAO7Y,KAAM8Y,GAAO,GACzCQ,EAAS3G,SAIlB,MAAS,SAAU+H,GACjB,OAAO1a,KAAKwK,UAAKsH,EAAW4I,MAGhC5E,EAAuB,WACrB,IAAInD,EAAU,IAAIkD,EACdiD,EAAQrB,EAAiB9E,GAC7B3S,KAAK2S,QAAUA,EACf3S,KAAKuK,QAAU5I,GAAK2Y,GAAiB3H,EAASmG,GAC9C9Y,KAAK0S,OAAS/Q,GAAK0Y,GAAgB1H,EAASmG,IAE9C5B,EAA2B9Y,EAAI2Z,EAAuB,SAAUtF,GAC9D,OAAOA,IAAMoF,GAAsBpF,IAAMsD,EACrC,IAAID,EAAqBrD,GACzBuF,EAA4BvF,IAG7ByD,GAAmC,mBAAjBE,IACrBJ,EAAaI,EAAc1X,UAAU8L,KAGrC5M,EAASwY,EAAc1X,UAAW,QAAQ,SAAc+b,EAAaC,GACnE,IAAIC,EAAO3a,KACX,OAAO,IAAI6X,GAAmB,SAAUtN,EAASmI,GAC/CsD,EAAW9V,KAAKya,EAAMpQ,EAASmI,MAC9BlI,KAAKiQ,EAAaC,KAEpB,CAAEE,QAAQ,IAGQ,mBAAV9C,GAAsB7B,EAAE,CAAEvY,QAAQ,EAAM2D,YAAY,EAAMwZ,QAAQ,GAAQ,CAEnFC,MAAO,SAAe1T,GACpB,OAAO4P,EAAea,EAAoBC,EAAOrN,MAAM/M,EAAQoC,iBAMvEmW,EAAE,CAAEvY,QAAQ,EAAMsQ,MAAM,EAAM6M,OAAQxC,GAAU,CAC9C/N,QAASuN,IAGXvB,EAAeuB,EAAoBL,GAAS,GAAO,GACnDjB,EAAWiB,GAEXzB,EAAiBI,EAAWqB,GAG5BvB,EAAE,CAAE5N,OAAQmP,EAASuD,MAAM,EAAMF,OAAQxC,GAAU,CAGjD3F,OAAQ,SAAgBnR,GACtB,IAAIyZ,EAAajD,EAAqB/X,MAEtC,OADAgb,EAAWtI,OAAOxS,UAAK4R,EAAWvQ,GAC3ByZ,EAAWrI,WAItBsD,EAAE,CAAE5N,OAAQmP,EAASuD,MAAM,EAAMF,OAAQ3E,GAAWmC,GAAU,CAG5D9N,QAAS,SAAiB2J,GACxB,OAAO8C,EAAed,GAAWlW,OAAS+V,EAAiB8B,EAAqB7X,KAAMkU,MAI1F+B,EAAE,CAAE5N,OAAQmP,EAASuD,MAAM,EAAMF,OAAQpC,GAAuB,CAG9DE,IAAK,SAAaD,GAChB,IAAIjG,EAAIzS,KACJgb,EAAajD,EAAqBtF,GAClClI,EAAUyQ,EAAWzQ,QACrBmI,EAASsI,EAAWtI,OACpB0G,EAASjC,GAAQ,WACnB,IAAI8D,EAAkB1I,EAAUE,EAAElI,SAC9B2Q,EAAS,GACThK,EAAU,EACViK,EAAY,EAChBxE,EAAQ+B,GAAU,SAAU/F,GAC1B,IAAIvT,EAAQ8R,IACRkK,GAAgB,EACpBF,EAAOpX,UAAKgO,GACZqJ,IACAF,EAAgB/a,KAAKuS,EAAGE,GAASnI,MAAK,SAAU3K,GAC1Cub,IACJA,GAAgB,EAChBF,EAAO9b,GAASS,IACdsb,GAAa5Q,EAAQ2Q,MACtBxI,QAEHyI,GAAa5Q,EAAQ2Q,MAGzB,OADI9B,EAAOU,OAAOpH,EAAO0G,EAAOvZ,OACzBmb,EAAWrI,SAIpB0I,KAAM,SAAc3C,GAClB,IAAIjG,EAAIzS,KACJgb,EAAajD,EAAqBtF,GAClCC,EAASsI,EAAWtI,OACpB0G,EAASjC,GAAQ,WACnB,IAAI8D,EAAkB1I,EAAUE,EAAElI,SAClCoM,EAAQ+B,GAAU,SAAU/F,GAC1BsI,EAAgB/a,KAAKuS,EAAGE,GAASnI,KAAKwQ,EAAWzQ,QAASmI,SAI9D,OADI0G,EAAOU,OAAOpH,EAAO0G,EAAOvZ,OACzBmb,EAAWrI,Y,oBCxXtB,IAAIjV,EAAS,EAAQ,GAErB8C,EAAOC,QAAU/C,EAAO4M,S,oBCFxB,IAAIgR,EAAW,EAAQ,GACnB/I,EAAY,EAAQ,KAGpBgF,EAFkB,EAAQ,EAEhBF,CAAgB,WAI9B7W,EAAOC,QAAU,SAAU8a,EAAGC,GAC5B,IACIC,EADAhJ,EAAI6I,EAASC,GAAGjb,YAEpB,YAAawR,IAANW,GAAiDX,OAA7B2J,EAAIH,EAAS7I,GAAG8E,IAAyBiE,EAAqBjJ,EAAUkJ,K,oBCXrG,IAcIC,EAAOlX,EAAMmX,EAAM9C,EAAQ+C,EAAQC,EAAMlJ,EAASnI,EAdlD9M,EAAS,EAAQ,GACjBW,EAA2B,EAAQ,IAAmDD,EACtFN,EAAU,EAAQ,IAClBge,EAAY,EAAQ,KAAqBnL,IACzCF,EAAS,EAAQ,KAEjBsL,EAAmBre,EAAOqe,kBAAoBre,EAAOse,uBACrDjL,EAAUrT,EAAOqT,QACjBzG,EAAU5M,EAAO4M,QACjB2N,EAA8B,WAApBna,EAAQiT,GAElBkL,EAA2B5d,EAAyBX,EAAQ,kBAC5Dwe,EAAiBD,GAA4BA,EAAyBpc,MAKrEqc,IACHR,EAAQ,WACN,IAAIjZ,EAAQ4O,EAEZ,IADI4G,IAAYxV,EAASsO,EAAQ0I,SAAShX,EAAOoX,OAC1CrV,GAAM,CACX6M,EAAK7M,EAAK6M,GACV7M,EAAOA,EAAK0J,KACZ,IACEmD,IACA,MAAOyI,GAGP,MAFItV,EAAMqU,IACL8C,OAAO7J,EACNgI,GAER6B,OAAO7J,EACLrP,GAAQA,EAAOmX,SAIjB3B,EACFY,EAAS,WACP9H,EAAQgB,SAAS2J,IAGVK,IAAqBtL,GAC9BmL,GAAS,EACTC,EAAOxX,SAASyB,eAAe,IAC/B,IAAIiW,EAAiBL,GAAOS,QAAQN,EAAM,CAAEO,eAAe,IAC3DvD,EAAS,WACPgD,EAAK/P,KAAO8P,GAAUA,IAGftR,GAAWA,EAAQC,SAE5BoI,EAAUrI,EAAQC,aAAQuH,GAC1BtH,EAAOmI,EAAQnI,KACfqO,EAAS,WACPrO,EAAKtK,KAAKyS,EAAS+I,KASrB7C,EAAS,WAEPiD,EAAU5b,KAAKxC,EAAQge,KAK7Blb,EAAOC,QAAUyb,GAAkB,SAAU7K,GAC3C,IAAIyF,EAAO,CAAEzF,GAAIA,EAAInD,UAAM4D,GACvB6J,IAAMA,EAAKzN,KAAO4I,GACjBtS,IACHA,EAAOsS,EACP+B,KACA8C,EAAO7E,I,oBC5EX,IAAIwE,EAAW,EAAQ,GACnB9E,EAAW,EAAQ,GACnBuB,EAAuB,EAAQ,KAEnCvX,EAAOC,QAAU,SAAUgS,EAAGyB,GAE5B,GADAoH,EAAS7I,GACL+D,EAAStC,IAAMA,EAAE5T,cAAgBmS,EAAG,OAAOyB,EAC/C,IAAImI,EAAoBtE,EAAqB3Z,EAAEqU,GAG/C,OADAlI,EADc8R,EAAkB9R,SACxB2J,GACDmI,EAAkB1J,U,oBCV3B,IAAIjV,EAAS,EAAQ,GAErB8C,EAAOC,QAAU,SAAUI,EAAG2E,GAC5B,IAAI8W,EAAU5e,EAAO4e,QACjBA,GAAWA,EAAQxC,QACA,IAArBha,UAAUX,OAAemd,EAAQxC,MAAMjZ,GAAKyb,EAAQxC,MAAMjZ,EAAG2E,M,kBCLjEhF,EAAOC,QAAU,SAAU+X,GACzB,IACE,MAAO,CAAEsB,OAAO,EAAOja,MAAO2Y,KAC9B,MAAOsB,GACP,MAAO,CAAEA,OAAO,EAAMja,MAAOia,M,oBCGjC,IAAIyC,EAAW,SAAU9b,GACvB,aAEA,IAAI+b,EAAKpb,OAAO1C,UACZ+d,EAASD,EAAG1a,eAEZ4a,EAA4B,mBAAXlb,OAAwBA,OAAS,GAClDmb,EAAiBD,EAAQE,UAAY,aACrCC,EAAsBH,EAAQI,eAAiB,kBAC/CC,EAAoBL,EAAQjb,aAAe,gBAE/C,SAASuM,EAAKgP,EAASC,EAASC,EAAMC,GAEpC,IAAIC,EAAiBH,GAAWA,EAAQve,qBAAqB2e,EAAYJ,EAAUI,EAC/EC,EAAYlc,OAAOlD,OAAOkf,EAAe1e,WACzC6e,EAAU,IAAIC,EAAQL,GAAe,IAMzC,OAFAG,EAAUG,QAqMZ,SAA0BT,EAASE,EAAMK,GACvC,IAAIzE,EA9KuB,iBAgL3B,OAAO,SAAgB4E,EAAQC,GAC7B,GA/KoB,cA+KhB7E,EACF,MAAM,IAAIvU,MAAM,gCAGlB,GAlLoB,cAkLhBuU,EAA6B,CAC/B,GAAe,UAAX4E,EACF,MAAMC,EAKR,OAAOC,IAMT,IAHAL,EAAQG,OAASA,EACjBH,EAAQI,IAAMA,IAED,CACX,IAAIE,EAAWN,EAAQM,SACvB,GAAIA,EAAU,CACZ,IAAIC,EAAiBC,EAAoBF,EAAUN,GACnD,GAAIO,EAAgB,CAClB,GAAIA,IAAmBE,EAAkB,SACzC,OAAOF,GAIX,GAAuB,SAAnBP,EAAQG,OAGVH,EAAQ9O,KAAO8O,EAAQU,MAAQV,EAAQI,SAElC,GAAuB,UAAnBJ,EAAQG,OAAoB,CACrC,GAlNqB,mBAkNjB5E,EAEF,MADAA,EAhNc,YAiNRyE,EAAQI,IAGhBJ,EAAQW,kBAAkBX,EAAQI,SAEN,WAAnBJ,EAAQG,QACjBH,EAAQpP,OAAO,SAAUoP,EAAQI,KAGnC7E,EA3NkB,YA6NlB,IAAIqF,EAASC,EAASpB,EAASE,EAAMK,GACrC,GAAoB,WAAhBY,EAAOjZ,KAAmB,CAO5B,GAJA4T,EAAQyE,EAAQlT,KAhOA,YAFK,iBAsOjB8T,EAAOR,MAAQK,EACjB,SAGF,MAAO,CACLne,MAAOse,EAAOR,IACdtT,KAAMkT,EAAQlT,MAGS,UAAhB8T,EAAOjZ,OAChB4T,EA9OgB,YAiPhByE,EAAQG,OAAS,QACjBH,EAAQI,IAAMQ,EAAOR,OA7QPU,CAAiBrB,EAASE,EAAMK,GAE7CD,EAcT,SAASc,EAAS/M,EAAIoE,EAAKkI,GACzB,IACE,MAAO,CAAEzY,KAAM,SAAUyY,IAAKtM,EAAGnR,KAAKuV,EAAKkI,IAC3C,MAAOW,GACP,MAAO,CAAEpZ,KAAM,QAASyY,IAAKW,IAhBjC7d,EAAQuN,KAAOA,EAoBf,IAOIgQ,EAAmB,GAMvB,SAASX,KACT,SAASkB,KACT,SAASC,KAIT,IAAIC,EAAoB,GACxBA,EAAkB9B,GAAkB,WAClC,OAAO3c,MAGT,IAAI0e,EAAWtd,OAAOud,eAClBC,EAA0BF,GAAYA,EAASA,EAASxD,EAAO,MAC/D0D,GACAA,IAA4BpC,GAC5BC,EAAOvc,KAAK0e,EAAyBjC,KAGvC8B,EAAoBG,GAGtB,IAAIC,EAAKL,EAA2B9f,UAClC2e,EAAU3e,UAAY0C,OAAOlD,OAAOugB,GAQtC,SAASK,EAAsBpgB,GAC7B,CAAC,OAAQ,QAAS,UAAUqgB,SAAQ,SAASrB,GAC3Chf,EAAUgf,GAAU,SAASC,GAC3B,OAAO3d,KAAKyd,QAAQC,EAAQC,OAoClC,SAASqB,EAAc1B,EAAW2B,GAgChC,IAAIC,EAgCJlf,KAAKyd,QA9BL,SAAiBC,EAAQC,GACvB,SAASwB,IACP,OAAO,IAAIF,GAAY,SAAS1U,EAASmI,IAnC7C,SAAS0M,EAAO1B,EAAQC,EAAKpT,EAASmI,GACpC,IAAIyL,EAASC,EAASd,EAAUI,GAASJ,EAAWK,GACpD,GAAoB,UAAhBQ,EAAOjZ,KAEJ,CACL,IAAIkU,EAAS+E,EAAOR,IAChB9d,EAAQuZ,EAAOvZ,MACnB,OAAIA,GACiB,iBAAVA,GACP4c,EAAOvc,KAAKL,EAAO,WACdof,EAAY1U,QAAQ1K,EAAMwf,SAAS7U,MAAK,SAAS3K,GACtDuf,EAAO,OAAQvf,EAAO0K,EAASmI,MAC9B,SAAS4L,GACVc,EAAO,QAASd,EAAK/T,EAASmI,MAI3BuM,EAAY1U,QAAQ1K,GAAO2K,MAAK,SAAS8U,GAI9ClG,EAAOvZ,MAAQyf,EACf/U,EAAQ6O,MACP,SAASU,GAGV,OAAOsF,EAAO,QAAStF,EAAOvP,EAASmI,MAvBzCA,EAAOyL,EAAOR,KAiCZyB,CAAO1B,EAAQC,EAAKpT,EAASmI,MAIjC,OAAOwM,EAaLA,EAAkBA,EAAgB1U,KAChC2U,EAGAA,GACEA,KAkHV,SAASpB,EAAoBF,EAAUN,GACrC,IAAIG,EAASG,EAASjB,SAASW,EAAQG,QACvC,QAvSE5L,IAuSE4L,EAAsB,CAKxB,GAFAH,EAAQM,SAAW,KAEI,UAAnBN,EAAQG,OAAoB,CAE9B,GAAIG,EAASjB,SAAiB,SAG5BW,EAAQG,OAAS,SACjBH,EAAQI,SAlTZ7L,EAmTIiM,EAAoBF,EAAUN,GAEP,UAAnBA,EAAQG,QAGV,OAAOM,EAIXT,EAAQG,OAAS,QACjBH,EAAQI,IAAM,IAAI7K,UAChB,kDAGJ,OAAOkL,EAGT,IAAIG,EAASC,EAASV,EAAQG,EAASjB,SAAUW,EAAQI,KAEzD,GAAoB,UAAhBQ,EAAOjZ,KAIT,OAHAqY,EAAQG,OAAS,QACjBH,EAAQI,IAAMQ,EAAOR,IACrBJ,EAAQM,SAAW,KACZG,EAGT,IAAIuB,EAAOpB,EAAOR,IAElB,OAAM4B,EAOFA,EAAKlV,MAGPkT,EAAQM,EAAS2B,YAAcD,EAAK1f,MAGpC0d,EAAQrP,KAAO2P,EAAS4B,QAQD,WAAnBlC,EAAQG,SACVH,EAAQG,OAAS,OACjBH,EAAQI,SAtWV7L,GAgXFyL,EAAQM,SAAW,KACZG,GANEuB,GA3BPhC,EAAQG,OAAS,QACjBH,EAAQI,IAAM,IAAI7K,UAAU,oCAC5ByK,EAAQM,SAAW,KACZG,GAoDX,SAAS0B,EAAaC,GACpB,IAAIC,EAAQ,CAAEC,OAAQF,EAAK,IAEvB,KAAKA,IACPC,EAAME,SAAWH,EAAK,IAGpB,KAAKA,IACPC,EAAMG,WAAaJ,EAAK,GACxBC,EAAMI,SAAWL,EAAK,IAGxB3f,KAAKigB,WAAWnc,KAAK8b,GAGvB,SAASM,EAAcN,GACrB,IAAIzB,EAASyB,EAAMO,YAAc,GACjChC,EAAOjZ,KAAO,gBACPiZ,EAAOR,IACdiC,EAAMO,WAAahC,EAGrB,SAASX,EAAQL,GAIfnd,KAAKigB,WAAa,CAAC,CAAEJ,OAAQ,SAC7B1C,EAAY4B,QAAQW,EAAc1f,MAClCA,KAAKogB,OAAM,GA8Bb,SAASlF,EAAOxC,GACd,GAAIA,EAAU,CACZ,IAAI2H,EAAiB3H,EAASiE,GAC9B,GAAI0D,EACF,OAAOA,EAAengB,KAAKwY,GAG7B,GAA6B,mBAAlBA,EAASxK,KAClB,OAAOwK,EAGT,IAAK4H,MAAM5H,EAASvZ,QAAS,CAC3B,IAAI2B,GAAK,EAAGoN,EAAO,SAASA,IAC1B,OAASpN,EAAI4X,EAASvZ,QACpB,GAAIsd,EAAOvc,KAAKwY,EAAU5X,GAGxB,OAFAoN,EAAKrO,MAAQ6Y,EAAS5X,GACtBoN,EAAK7D,MAAO,EACL6D,EAOX,OAHAA,EAAKrO,WAtdTiS,EAudI5D,EAAK7D,MAAO,EAEL6D,GAGT,OAAOA,EAAKA,KAAOA,GAKvB,MAAO,CAAEA,KAAM0P,GAIjB,SAASA,IACP,MAAO,CAAE/d,WAtePiS,EAseyBzH,MAAM,GA+MnC,OA3mBAkU,EAAkB7f,UAAYmgB,EAAGve,YAAcke,EAC/CA,EAA2Ble,YAAcie,EACzCC,EAA2BzB,GACzBwB,EAAkBpT,YAAc,oBAYlC1K,EAAQ8f,oBAAsB,SAASC,GACrC,IAAIC,EAAyB,mBAAXD,GAAyBA,EAAOlgB,YAClD,QAAOmgB,IACHA,IAASlC,GAG2B,uBAAnCkC,EAAKtV,aAAesV,EAAK7Z,QAIhCnG,EAAQsN,KAAO,SAASyS,GAUtB,OATIpf,OAAOsf,eACTtf,OAAOsf,eAAeF,EAAQhC,IAE9BgC,EAAOG,UAAYnC,EACbzB,KAAqByD,IACzBA,EAAOzD,GAAqB,sBAGhCyD,EAAO9hB,UAAY0C,OAAOlD,OAAO2gB,GAC1B2B,GAOT/f,EAAQmgB,MAAQ,SAASjD,GACvB,MAAO,CAAE0B,QAAS1B,IAsEpBmB,EAAsBE,EAActgB,WACpCsgB,EAActgB,UAAUme,GAAuB,WAC7C,OAAO7c,MAETS,EAAQue,cAAgBA,EAKxBve,EAAQogB,MAAQ,SAAS7D,EAASC,EAASC,EAAMC,EAAa8B,QACxC,IAAhBA,IAAwBA,EAAc3U,SAE1C,IAAIwW,EAAO,IAAI9B,EACbhR,EAAKgP,EAASC,EAASC,EAAMC,GAC7B8B,GAGF,OAAOxe,EAAQ8f,oBAAoBtD,GAC/B6D,EACAA,EAAK5S,OAAO1D,MAAK,SAAS4O,GACxB,OAAOA,EAAO/O,KAAO+O,EAAOvZ,MAAQihB,EAAK5S,WAuKjD4Q,EAAsBD,GAEtBA,EAAG9B,GAAqB,YAOxB8B,EAAGlC,GAAkB,WACnB,OAAO3c,MAGT6e,EAAGzb,SAAW,WACZ,MAAO,sBAkCT3C,EAAQN,KAAO,SAAS4gB,GACtB,IAAI5gB,EAAO,GACX,IAAK,IAAIR,KAAOohB,EACd5gB,EAAK2D,KAAKnE,GAMZ,OAJAQ,EAAK6gB,UAIE,SAAS9S,IACd,KAAO/N,EAAKhB,QAAQ,CAClB,IAAIQ,EAAMQ,EAAK8gB,MACf,GAAIthB,KAAOohB,EAGT,OAFA7S,EAAKrO,MAAQF,EACbuO,EAAK7D,MAAO,EACL6D,EAQX,OADAA,EAAK7D,MAAO,EACL6D,IAsCXzN,EAAQya,OAASA,EAMjBsC,EAAQ9e,UAAY,CAClB4B,YAAakd,EAEb4C,MAAO,SAASc,GAcd,GAbAlhB,KAAKiO,KAAO,EACZjO,KAAKkO,KAAO,EAGZlO,KAAKyO,KAAOzO,KAAKie,WAjfjBnM,EAkfA9R,KAAKqK,MAAO,EACZrK,KAAK6d,SAAW,KAEhB7d,KAAK0d,OAAS,OACd1d,KAAK2d,SAtfL7L,EAwfA9R,KAAKigB,WAAWlB,QAAQmB,IAEnBgB,EACH,IAAK,IAAIta,KAAQ5G,KAEQ,MAAnB4G,EAAKwG,OAAO,IACZqP,EAAOvc,KAAKF,KAAM4G,KACjB0Z,OAAO1Z,EAAKnH,MAAM,MACrBO,KAAK4G,QAhgBXkL,IAsgBFzD,KAAM,WACJrO,KAAKqK,MAAO,EAEZ,IACI8W,EADYnhB,KAAKigB,WAAW,GACLE,WAC3B,GAAwB,UAApBgB,EAAWjc,KACb,MAAMic,EAAWxD,IAGnB,OAAO3d,KAAKohB,MAGdlD,kBAAmB,SAASmD,GAC1B,GAAIrhB,KAAKqK,KACP,MAAMgX,EAGR,IAAI9D,EAAUvd,KACd,SAASshB,EAAOC,EAAKC,GAYnB,OAXArD,EAAOjZ,KAAO,QACdiZ,EAAOR,IAAM0D,EACb9D,EAAQrP,KAAOqT,EAEXC,IAGFjE,EAAQG,OAAS,OACjBH,EAAQI,SAjiBZ7L,KAoiBY0P,EAGZ,IAAK,IAAI1gB,EAAId,KAAKigB,WAAW9gB,OAAS,EAAG2B,GAAK,IAAKA,EAAG,CACpD,IAAI8e,EAAQ5f,KAAKigB,WAAWnf,GACxBqd,EAASyB,EAAMO,WAEnB,GAAqB,SAAjBP,EAAMC,OAIR,OAAOyB,EAAO,OAGhB,GAAI1B,EAAMC,QAAU7f,KAAKiO,KAAM,CAC7B,IAAIwT,EAAWhF,EAAOvc,KAAK0f,EAAO,YAC9B8B,EAAajF,EAAOvc,KAAK0f,EAAO,cAEpC,GAAI6B,GAAYC,EAAY,CAC1B,GAAI1hB,KAAKiO,KAAO2R,EAAME,SACpB,OAAOwB,EAAO1B,EAAME,UAAU,GACzB,GAAI9f,KAAKiO,KAAO2R,EAAMG,WAC3B,OAAOuB,EAAO1B,EAAMG,iBAGjB,GAAI0B,GACT,GAAIzhB,KAAKiO,KAAO2R,EAAME,SACpB,OAAOwB,EAAO1B,EAAME,UAAU,OAG3B,KAAI4B,EAMT,MAAM,IAAInd,MAAM,0CALhB,GAAIvE,KAAKiO,KAAO2R,EAAMG,WACpB,OAAOuB,EAAO1B,EAAMG,gBAU9B5R,OAAQ,SAASjJ,EAAMyY,GACrB,IAAK,IAAI7c,EAAId,KAAKigB,WAAW9gB,OAAS,EAAG2B,GAAK,IAAKA,EAAG,CACpD,IAAI8e,EAAQ5f,KAAKigB,WAAWnf,GAC5B,GAAI8e,EAAMC,QAAU7f,KAAKiO,MACrBwO,EAAOvc,KAAK0f,EAAO,eACnB5f,KAAKiO,KAAO2R,EAAMG,WAAY,CAChC,IAAI4B,EAAe/B,EACnB,OAIA+B,IACU,UAATzc,GACS,aAATA,IACDyc,EAAa9B,QAAUlC,GACvBA,GAAOgE,EAAa5B,aAGtB4B,EAAe,MAGjB,IAAIxD,EAASwD,EAAeA,EAAaxB,WAAa,GAItD,OAHAhC,EAAOjZ,KAAOA,EACdiZ,EAAOR,IAAMA,EAETgE,GACF3hB,KAAK0d,OAAS,OACd1d,KAAKkO,KAAOyT,EAAa5B,WAClB/B,GAGFhe,KAAK4hB,SAASzD,IAGvByD,SAAU,SAASzD,EAAQ6B,GACzB,GAAoB,UAAhB7B,EAAOjZ,KACT,MAAMiZ,EAAOR,IAcf,MAXoB,UAAhBQ,EAAOjZ,MACS,aAAhBiZ,EAAOjZ,KACTlF,KAAKkO,KAAOiQ,EAAOR,IACM,WAAhBQ,EAAOjZ,MAChBlF,KAAKohB,KAAOphB,KAAK2d,IAAMQ,EAAOR,IAC9B3d,KAAK0d,OAAS,SACd1d,KAAKkO,KAAO,OACa,WAAhBiQ,EAAOjZ,MAAqB8a,IACrChgB,KAAKkO,KAAO8R,GAGPhC,GAGT6D,OAAQ,SAAS9B,GACf,IAAK,IAAIjf,EAAId,KAAKigB,WAAW9gB,OAAS,EAAG2B,GAAK,IAAKA,EAAG,CACpD,IAAI8e,EAAQ5f,KAAKigB,WAAWnf,GAC5B,GAAI8e,EAAMG,aAAeA,EAGvB,OAFA/f,KAAK4hB,SAAShC,EAAMO,WAAYP,EAAMI,UACtCE,EAAcN,GACP5B,IAKb,MAAS,SAAS6B,GAChB,IAAK,IAAI/e,EAAId,KAAKigB,WAAW9gB,OAAS,EAAG2B,GAAK,IAAKA,EAAG,CACpD,IAAI8e,EAAQ5f,KAAKigB,WAAWnf,GAC5B,GAAI8e,EAAMC,SAAWA,EAAQ,CAC3B,IAAI1B,EAASyB,EAAMO,WACnB,GAAoB,UAAhBhC,EAAOjZ,KAAkB,CAC3B,IAAI4c,EAAS3D,EAAOR,IACpBuC,EAAcN,GAEhB,OAAOkC,GAMX,MAAM,IAAIvd,MAAM,0BAGlBwd,cAAe,SAASrJ,EAAU8G,EAAYC,GAa5C,OAZAzf,KAAK6d,SAAW,CACdjB,SAAU1B,EAAOxC,GACjB8G,WAAYA,EACZC,QAASA,GAGS,SAAhBzf,KAAK0d,SAGP1d,KAAK2d,SA1qBP7L,GA6qBOkM,IAQJvd,EA1rBK,CAisBiBD,EAAOC,SAGtC,IACEqN,mBAAqByO,EACrB,MAAOyF,GAUPnQ,SAAS,IAAK,yBAAdA,CAAwC0K,K,iCCttB1C,IAAItG,EAAI,EAAQ,IACZgM,EAAgB,EAAQ,IACxBC,EAAkB,EAAQ,IAC1BC,EAAsB,EAAQ,IAE9BC,EAAa,GAAGve,KAEhBwe,EAAcJ,GAAiB7gB,OAC/BkhB,EAAgBH,EAAoB,OAAQ,KAIhDlM,EAAE,CAAE5N,OAAQ,QAASka,OAAO,EAAM1H,OAAQwH,IAAgBC,GAAiB,CACzEze,KAAM,SAAc2e,GAClB,OAAOJ,EAAWliB,KAAKgiB,EAAgBliB,WAAqB8R,IAAd0Q,EAA0B,IAAMA,O,iCCdlF,IAAIC,EAAgC,EAAQ,KACxCnH,EAAW,EAAQ,GACnBoH,EAAW,EAAQ,IACnBC,EAAyB,EAAQ,IACjCC,EAAqB,EAAQ,KAC7BC,EAAa,EAAQ,KAGzBJ,EAA8B,QAAS,GAAG,SAAUK,EAAOC,EAAaC,GACtE,MAAO,CAGL,SAAeC,GACb,IAAI1H,EAAIoH,EAAuB3iB,MAC3BkjB,EAAoBpR,MAAVmR,OAAsBnR,EAAYmR,EAAOH,GACvD,YAAmBhR,IAAZoR,EAAwBA,EAAQhjB,KAAK+iB,EAAQ1H,GAAK,IAAI4H,OAAOF,GAAQH,GAAO/X,OAAOwQ,KAI5F,SAAU0H,GACR,IAAIG,EAAMJ,EAAgBD,EAAaE,EAAQjjB,MAC/C,GAAIojB,EAAI/Y,KAAM,OAAO+Y,EAAIvjB,MAEzB,IAAIwjB,EAAK/H,EAAS2H,GACdxH,EAAI1Q,OAAO/K,MAEf,IAAKqjB,EAAG3lB,OAAQ,OAAOmlB,EAAWQ,EAAI5H,GAEtC,IAAI6H,EAAcD,EAAGE,QACrBF,EAAGG,UAAY,EAIf,IAHA,IAEIpK,EAFA1Y,EAAI,GACJkB,EAAI,EAEgC,QAAhCwX,EAASyJ,EAAWQ,EAAI5H,KAAc,CAC5C,IAAIgI,EAAW1Y,OAAOqO,EAAO,IAC7B1Y,EAAEkB,GAAK6hB,EACU,KAAbA,IAAiBJ,EAAGG,UAAYZ,EAAmBnH,EAAGiH,EAASW,EAAGG,WAAYF,IAClF1hB,IAEF,OAAa,IAANA,EAAU,KAAOlB","file":"vendors~editor-collab~editor-guest.js?v=12d203d6dad17366477c","sourcesContent":["'use strict';\nvar DESCRIPTORS = require('../internals/descriptors');\nvar global = require('../internals/global');\nvar isForced = require('../internals/is-forced');\nvar redefine = require('../internals/redefine');\nvar has = require('../internals/has');\nvar classof = require('../internals/classof-raw');\nvar inheritIfRequired = require('../internals/inherit-if-required');\nvar toPrimitive = require('../internals/to-primitive');\nvar fails = require('../internals/fails');\nvar create = require('../internals/object-create');\nvar getOwnPropertyNames = require('../internals/object-get-own-property-names').f;\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar defineProperty = require('../internals/object-define-property').f;\nvar trim = require('../internals/string-trim').trim;\n\nvar NUMBER = 'Number';\nvar NativeNumber = global[NUMBER];\nvar NumberPrototype = NativeNumber.prototype;\n\n// Opera ~12 has broken Object#toString\nvar BROKEN_CLASSOF = classof(create(NumberPrototype)) == NUMBER;\n\n// `ToNumber` abstract operation\n// https://tc39.github.io/ecma262/#sec-tonumber\nvar toNumber = function (argument) {\n var it = toPrimitive(argument, false);\n var first, third, radix, maxCode, digits, length, index, code;\n if (typeof it == 'string' && it.length > 2) {\n it = trim(it);\n first = it.charCodeAt(0);\n if (first === 43 || first === 45) {\n third = it.charCodeAt(2);\n if (third === 88 || third === 120) return NaN; // Number('+0x1') should be NaN, old V8 fix\n } else if (first === 48) {\n switch (it.charCodeAt(1)) {\n case 66: case 98: radix = 2; maxCode = 49; break; // fast equal of /^0b[01]+$/i\n case 79: case 111: radix = 8; maxCode = 55; break; // fast equal of /^0o[0-7]+$/i\n default: return +it;\n }\n digits = it.slice(2);\n length = digits.length;\n for (index = 0; index < length; index++) {\n code = digits.charCodeAt(index);\n // parseInt parses a string to a first unavailable symbol\n // but ToNumber should return NaN if a string contains unavailable symbols\n if (code < 48 || code > maxCode) return NaN;\n } return parseInt(digits, radix);\n }\n } return +it;\n};\n\n// `Number` constructor\n// https://tc39.github.io/ecma262/#sec-number-constructor\nif (isForced(NUMBER, !NativeNumber(' 0o1') || !NativeNumber('0b1') || NativeNumber('+0x1'))) {\n var NumberWrapper = function Number(value) {\n var it = arguments.length < 1 ? 0 : value;\n var dummy = this;\n return dummy instanceof NumberWrapper\n // check on 1..constructor(foo) case\n && (BROKEN_CLASSOF ? fails(function () { NumberPrototype.valueOf.call(dummy); }) : classof(dummy) != NUMBER)\n ? inheritIfRequired(new NativeNumber(toNumber(it)), dummy, NumberWrapper) : toNumber(it);\n };\n for (var keys = DESCRIPTORS ? getOwnPropertyNames(NativeNumber) : (\n // ES3:\n 'MAX_VALUE,MIN_VALUE,NaN,NEGATIVE_INFINITY,POSITIVE_INFINITY,' +\n // ES2015 (in case, if modules with ES2015 Number statics required before):\n 'EPSILON,isFinite,isInteger,isNaN,isSafeInteger,MAX_SAFE_INTEGER,' +\n 'MIN_SAFE_INTEGER,parseFloat,parseInt,isInteger'\n ).split(','), j = 0, key; keys.length > j; j++) {\n if (has(NativeNumber, key = keys[j]) && !has(NumberWrapper, key)) {\n defineProperty(NumberWrapper, key, getOwnPropertyDescriptor(NativeNumber, key));\n }\n }\n NumberWrapper.prototype = NumberPrototype;\n NumberPrototype.constructor = NumberWrapper;\n redefine(global, NUMBER, NumberWrapper);\n}\n","!function(A,t){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=t():\"function\"==typeof define&&define.amd?define(\"Components/Avatar\",[],t):\"object\"==typeof exports?exports[\"Components/Avatar\"]=t():(A.NextcloudVue=A.NextcloudVue||{},A.NextcloudVue[\"Components/Avatar\"]=t())}(window,(function(){return function(A){var t={};function e(a){if(t[a])return t[a].exports;var i=t[a]={i:a,l:!1,exports:{}};return A[a].call(i.exports,i,i.exports,e),i.l=!0,i.exports}return e.m=A,e.c=t,e.d=function(A,t,a){e.o(A,t)||Object.defineProperty(A,t,{enumerable:!0,get:a})},e.r=function(A){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(A,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(A,\"__esModule\",{value:!0})},e.t=function(A,t){if(1&t&&(A=e(A)),8&t)return A;if(4&t&&\"object\"==typeof A&&A&&A.__esModule)return A;var a=Object.create(null);if(e.r(a),Object.defineProperty(a,\"default\",{enumerable:!0,value:A}),2&t&&\"string\"!=typeof A)for(var i in A)e.d(a,i,function(t){return A[t]}.bind(null,i));return a},e.n=function(A){var t=A&&A.__esModule?function(){return A.default}:function(){return A};return e.d(t,\"a\",t),t},e.o=function(A,t){return Object.prototype.hasOwnProperty.call(A,t)},e.p=\"/dist/\",e(e.s=55)}([function(A,t,e){\"use strict\";function a(A,t,e,a,i,n,o,r){var s,c=\"function\"==typeof A?A.options:A;if(t&&(c.render=t,c.staticRenderFns=e,c._compiled=!0),a&&(c.functional=!0),n&&(c._scopeId=\"data-v-\"+n),o?(s=function(A){(A=A||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(A=__VUE_SSR_CONTEXT__),i&&i.call(this,A),A&&A._registeredComponents&&A._registeredComponents.add(o)},c._ssrRegister=s):i&&(s=r?function(){i.call(this,this.$root.$options.shadowRoot)}:i),s)if(c.functional){c._injectStyles=s;var l=c.render;c.render=function(A,t){return s.call(t),l(A,t)}}else{var d=c.beforeCreate;c.beforeCreate=d?[].concat(d,s):[s]}return{exports:A,options:c}}e.d(t,\"a\",(function(){return a}))},function(A,t,e){\"use strict\";A.exports=function(A){var t=[];return t.toString=function(){return this.map((function(t){var e=function(A,t){var e=A[1]||\"\",a=A[3];if(!a)return e;if(t&&\"function\"==typeof btoa){var i=(o=a,r=btoa(unescape(encodeURIComponent(JSON.stringify(o)))),s=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(r),\"/*# \".concat(s,\" */\")),n=a.sources.map((function(A){return\"/*# sourceURL=\".concat(a.sourceRoot||\"\").concat(A,\" */\")}));return[e].concat(n).concat([i]).join(\"\\n\")}var o,r,s;return[e].join(\"\\n\")}(t,A);return t[2]?\"@media \".concat(t[2],\" {\").concat(e,\"}\"):e})).join(\"\")},t.i=function(A,e,a){\"string\"==typeof A&&(A=[[null,A,\"\"]]);var i={};if(a)for(var n=0;n<this.length;n++){var o=this[n][0];null!=o&&(i[o]=!0)}for(var r=0;r<A.length;r++){var s=[].concat(A[r]);a&&i[s[0]]||(e&&(s[2]?s[2]=\"\".concat(e,\" and \").concat(s[2]):s[2]=e),t.push(s))}},t}},function(A,t,e){\"use strict\";function a(A,t){for(var e=[],a={},i=0;i<t.length;i++){var n=t[i],o=n[0],r={id:A+\":\"+i,css:n[1],media:n[2],sourceMap:n[3]};a[o]?a[o].parts.push(r):e.push(a[o]={id:o,parts:[r]})}return e}e.r(t),e.d(t,\"default\",(function(){return g}));var i=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!i)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var n={},o=i&&(document.head||document.getElementsByTagName(\"head\")[0]),r=null,s=0,c=!1,l=function(){},d=null,u=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function g(A,t,e,i){c=e,d=i||{};var o=a(A,t);return m(o),function(t){for(var e=[],i=0;i<o.length;i++){var r=o[i];(s=n[r.id]).refs--,e.push(s)}t?m(o=a(A,t)):o=[];for(i=0;i<e.length;i++){var s;if(0===(s=e[i]).refs){for(var c=0;c<s.parts.length;c++)s.parts[c]();delete n[s.id]}}}}function m(A){for(var t=0;t<A.length;t++){var e=A[t],a=n[e.id];if(a){a.refs++;for(var i=0;i<a.parts.length;i++)a.parts[i](e.parts[i]);for(;i<e.parts.length;i++)a.parts.push(v(e.parts[i]));a.parts.length>e.parts.length&&(a.parts.length=e.parts.length)}else{var o=[];for(i=0;i<e.parts.length;i++)o.push(v(e.parts[i]));n[e.id]={id:e.id,refs:1,parts:o}}}}function p(){var A=document.createElement(\"style\");return A.type=\"text/css\",o.appendChild(A),A}function v(A){var t,e,a=document.querySelector('style[data-vue-ssr-id~=\"'+A.id+'\"]');if(a){if(c)return l;a.parentNode.removeChild(a)}if(u){var i=s++;a=r||(r=p()),t=M.bind(null,a,i,!1),e=M.bind(null,a,i,!0)}else a=p(),t=f.bind(null,a),e=function(){a.parentNode.removeChild(a)};return t(A),function(a){if(a){if(a.css===A.css&&a.media===A.media&&a.sourceMap===A.sourceMap)return;t(A=a)}else e()}}var b,B=(b=[],function(A,t){return b[A]=t,b.filter(Boolean).join(\"\\n\")});function M(A,t,e,a){var i=e?\"\":a.css;if(A.styleSheet)A.styleSheet.cssText=B(t,i);else{var n=document.createTextNode(i),o=A.childNodes;o[t]&&A.removeChild(o[t]),o.length?A.insertBefore(n,o[t]):A.appendChild(n)}}function f(A,t){var e=t.css,a=t.media,i=t.sourceMap;if(a&&A.setAttribute(\"media\",a),d.ssrId&&A.setAttribute(\"data-vue-ssr-id\",t.id),i&&(e+=\"\\n/*# sourceURL=\"+i.sources[0]+\" */\",e+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+\" */\"),A.styleSheet)A.styleSheet.cssText=e;else{for(;A.firstChild;)A.removeChild(A.firstChild);A.appendChild(document.createTextNode(e))}}},,function(A,t){A.exports=require(\"v-tooltip\")},function(A,t){A.exports=require(\"core-js/modules/es.object.to-string\")},,function(A,t,e){\"use strict\";A.exports=function(A,t){return t||(t={}),\"string\"!=typeof(A=A&&A.__esModule?A.default:A)?A:(/^['\"].*['\"]$/.test(A)&&(A=A.slice(1,-1)),t.hash&&(A+=t.hash),/[\"'() \\t\\n]/.test(A)||t.needQuotes?'\"'.concat(A.replace(/\"/g,'\\\\\"').replace(/\\n/g,\"\\\\n\"),'\"'):A)}},function(A,t,e){\"use strict\";e.r(t),t.default=\"data:application/vnd.ms-fontobject;base64,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\"},function(A,t,e){\"use strict\";e.r(t),t.default=\"data:font/woff;base64,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\"},function(A,t,e){\"use strict\";e.r(t),t.default=\"data:font/ttf;base64,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\"},function(A,t,e){\"use strict\";e.r(t),t.default=\"data:image/svg+xml;base64,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\"},,function(A,t,e){\"use strict\";e.r(t);var a=e(4);e(42);\n/**\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\na.VTooltip.options.defaultTemplate='<div class=\"vue-tooltip\" role=\"tooltip\" data-v-'.concat(\"6f41c0d\",'><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>'),a.VTooltip.options.defaultHtml=!1,t.default=a.VTooltip},function(A,t){A.exports=require(\"core-js/modules/es.array.index-of\")},function(A,t){A.exports=require(\"core-js/modules/es.array.map\")},function(A,t){A.exports=require(\"v-click-outside\")},,,function(A,t){A.exports=require(\"core-js/modules/es.array.iterator\")},function(A,t){A.exports=require(\"core-js/modules/es.string.iterator\")},function(A,t){A.exports=require(\"core-js/modules/web.dom-collections.iterator\")},function(A,t){A.exports=require(\"core-js/modules/es.regexp.exec\")},function(A,t){A.exports=require(\"core-js/modules/web.url\")},function(A,t){A.exports=require(\"core-js/modules/es.array.concat\")},,function(A,t){A.exports=require(\"core-js/modules/es.regexp.to-string\")},,,,function(A,t){A.exports=require(\"core-js/modules/es.number.constructor\")},,,function(A,t){A.exports=require(\"core-js/modules/es.string.replace\")},function(A,t,e){var a=e(82);\"string\"==typeof a&&(a=[[A.i,a,\"\"]]),a.locals&&(A.exports=a.locals);(0,e(2).default)(\"1f2ec49f\",a,!0,{})},function(A,t,e){var a=e(84);\"string\"==typeof a&&(a=[[A.i,a,\"\"]]),a.locals&&(A.exports=a.locals);(0,e(2).default)(\"9c561116\",a,!0,{})},function(A,t,e){var a=e(86);\"string\"==typeof a&&(a=[[A.i,a,\"\"]]),a.locals&&(A.exports=a.locals);(0,e(2).default)(\"93093140\",a,!0,{})},,,,,function(A,t,e){var a=e(97);\"string\"==typeof a&&(a=[[A.i,a,\"\"]]),a.locals&&(A.exports=a.locals);(0,e(2).default)(\"56332d2d\",a,!0,{})},function(A,t,e){var a=e(43);\"string\"==typeof a&&(a=[[A.i,a,\"\"]]),a.locals&&(A.exports=a.locals);(0,e(2).default)(\"941c791e\",a,!0,{})},function(A,t,e){(t=e(1)(!1)).push([A.i,\".vue-tooltip[data-v-6f41c0d]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;white-space:normal;text-decoration:none;letter-spacing:normal;word-spacing:normal;text-transform:none;word-wrap:normal;word-break:normal;opacity:0;text-shadow:none;font-family:'Nunito', 'Open Sans', Frutiger, Calibri, 'Myriad Pro', Myriad, sans-serif;font-size:12px;font-weight:normal;font-style:normal;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-6f41c0d][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-6f41c0d][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-6f41c0d][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-6f41c0d] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-6f41c0d] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\\n\",\"\"]),A.exports=t},function(A,t){},function(A,t){A.exports=require(\"@nextcloud/router\")},,,,,function(A,t,e){\"use strict\";e(24);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nfunction a(A,t,e){this.r=A,this.g=t,this.b=e}function i(A,t,e){var i=[];i.push(t);for(var n=function(A,t){var e=new Array(3);return e[0]=(t[1].r-t[0].r)/A,e[1]=(t[1].g-t[0].g)/A,e[2]=(t[1].b-t[0].b)/A,e}(A,[t,e]),o=1;o<A;o++){var r=parseInt(t.r+n[0]*o,10),s=parseInt(t.g+n[1]*o,10),c=parseInt(t.b+n[2]*o,10);i.push(new a(r,s,c))}return i}t.a=function(A){A||(A=6);var t=new a(182,70,157),e=new a(221,203,85),n=new a(0,130,201),o=i(A,t,e),r=i(A,e,n),s=i(A,n,t);return o.concat(r).concat(s)}},function(A,t){A.exports=require(\"@nextcloud/auth\")},function(A,t){},function(A,t,e){\"use strict\";e.r(t);e(14),e(19),e(5),e(26),e(20),e(21),e(23);var a={name:\"PopoverMenuItem\",props:{item:{type:Object,required:!0,default:function(){return{key:\"nextcloud-link\",href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}},validator:function(A){return!A.input||-1!==[\"text\",\"checkbox\"].indexOf(A.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(A){return!1}}},methods:{action:function(A){this.item.action&&this.item.action(A)}}},i=(e(81),e(83),e(0)),n={name:\"PopoverMenu\",components:{PopoverMenuItem:Object(i.a)(a,(function(){var A=this,t=A.$createElement,e=A._self._c||t;return e(\"li\",[A.item.href?e(\"a\",{staticClass:\"focusable\",attrs:{href:A.item.href?A.item.href:\"#\",target:A.item.target?A.item.target:\"\",download:A.item.download,rel:\"noreferrer noopener\"},on:{click:A.action}},[A.iconIsUrl?e(\"img\",{attrs:{src:A.item.icon}}):e(\"span\",{class:A.item.icon}),A._v(\" \"),A.item.text&&A.item.longtext?e(\"p\",[e(\"strong\",{staticClass:\"menuitem-text\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\\t\")]),e(\"br\"),A._v(\" \"),e(\"span\",{staticClass:\"menuitem-text-detail\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\\t\")])]):A.item.text?e(\"span\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\")]):A.item.longtext?e(\"p\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\")]):A._e()]):A.item.input?e(\"span\",{staticClass:\"menuitem\",class:{active:A.item.active}},[\"checkbox\"!==A.item.input?e(\"span\",{class:A.item.icon}):A._e(),A._v(\" \"),\"text\"===A.item.input?e(\"form\",{class:A.item.input,on:{submit:function(t){return t.preventDefault(),A.item.action(t)}}},[e(\"input\",{attrs:{type:A.item.input,placeholder:A.item.text,required:\"\"},domProps:{value:A.item.value}}),A._v(\" \"),e(\"input\",{staticClass:\"icon-confirm\",attrs:{type:\"submit\",value:\"\"}})]):[\"checkbox\"===A.item.input?e(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:A.item.model,expression:\"item.model\"}],class:A.item.input,attrs:{id:A.key,type:\"checkbox\"},domProps:{checked:Array.isArray(A.item.model)?A._i(A.item.model,null)>-1:A.item.model},on:{change:[function(t){var e=A.item.model,a=t.target,i=!!a.checked;if(Array.isArray(e)){var n=A._i(e,null);a.checked?n<0&&A.$set(A.item,\"model\",e.concat([null])):n>-1&&A.$set(A.item,\"model\",e.slice(0,n).concat(e.slice(n+1)))}else A.$set(A.item,\"model\",i)},A.item.action]}}):\"radio\"===A.item.input?e(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:A.item.model,expression:\"item.model\"}],class:A.item.input,attrs:{id:A.key,type:\"radio\"},domProps:{checked:A._q(A.item.model,null)},on:{change:[function(t){return A.$set(A.item,\"model\",null)},A.item.action]}}):e(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:A.item.model,expression:\"item.model\"}],class:A.item.input,attrs:{id:A.key,type:A.item.input},domProps:{value:A.item.model},on:{change:A.item.action,input:function(t){t.target.composing||A.$set(A.item,\"model\",t.target.value)}}}),A._v(\" \"),e(\"label\",{attrs:{for:A.key},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),A.item.action(t)}}},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\\t\")])]],2):A.item.action?e(\"button\",{staticClass:\"menuitem focusable\",class:{active:A.item.active},attrs:{disabled:A.item.disabled},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),A.item.action(t)}}},[e(\"span\",{class:A.item.icon}),A._v(\" \"),A.item.text&&A.item.longtext?e(\"p\",[e(\"strong\",{staticClass:\"menuitem-text\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\\t\")]),e(\"br\"),A._v(\" \"),e(\"span\",{staticClass:\"menuitem-text-detail\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\\t\")])]):A.item.text?e(\"span\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\")]):A.item.longtext?e(\"p\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\")]):A._e()]):e(\"span\",{staticClass:\"menuitem\",class:{active:A.item.active}},[e(\"span\",{class:A.item.icon}),A._v(\" \"),A.item.text&&A.item.longtext?e(\"p\",[e(\"strong\",{staticClass:\"menuitem-text\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\\t\")]),e(\"br\"),A._v(\" \"),e(\"span\",{staticClass:\"menuitem-text-detail\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\\t\")])]):A.item.text?e(\"span\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\")]):A.item.longtext?e(\"p\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\")]):A._e()])])}),[],!1,null,\"75ab886e\",null).exports},props:{menu:{type:Array,default:function(){return[{href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}]},required:!0}}},o=(e(85),e(44)),r=e.n(o),s=Object(i.a)(n,(function(){var A=this.$createElement,t=this._self._c||A;return t(\"ul\",this._l(this.menu,(function(A,e){return t(\"PopoverMenuItem\",{key:e,attrs:{item:A}})})),1)}),[],!1,null,\"81c2afc0\",null);\"function\"==typeof r.a&&r()(s);var c=s.exports;\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.default=c},function(A,t){A.exports=require(\"@nextcloud/axios\")},function(A,t,e){\"use strict\";e.r(t);e(24),e(78),e(15),e(30),e(5),e(75),e(76);var a=e(16),i=e(53),n=e(51),o=e(54),r=e.n(o),s=e(45),c=e(13),l=(e(22),e(95),e(33),e(70)),d=e.n(l),u=e(50),g=function(A){var t=A.toLowerCase();null===t.match(/^([0-9a-f]{4}-?){8}$/)&&(t=d()(t)),t=t.replace(/[^0-9a-f]/g,\"\");return Object(u.a)(6)[function(A,t){for(var e=0,a=[],i=0;i<A.length;i++)a.push(parseInt(A.charAt(i),16)%16);for(var n in a)e+=a[n];return parseInt(parseInt(e,10)%t,10)}(t,18)]};function m(A,t,e,a,i,n,o){try{var r=A[n](o),s=r.value}catch(A){return void e(A)}r.done?t(s):Promise.resolve(s).then(a,i)}function p(A){return function(){var t=this,e=arguments;return new Promise((function(a,i){var n=A.apply(t,e);function o(A){m(n,a,i,o,r,\"next\",A)}function r(A){m(n,a,i,o,r,\"throw\",A)}o(void 0)}))}}var v={name:\"Avatar\",directives:{tooltip:c.default,ClickOutside:a.directive},components:{PopoverMenu:i.default},props:{url:{type:String,default:void 0},iconClass:{type:String,default:void 0},user:{type:String,default:void 0},isGuest:{type:Boolean,default:!1},displayName:{type:String,default:void 0},size:{type:Number,default:32},allowPlaceholder:{type:Boolean,default:!0},disableTooltip:{type:Boolean,default:!1},disableMenu:{type:Boolean,default:!1},tooltipMessage:{type:String,default:null},isNoUser:{type:Boolean,default:!1},status:{type:String,default:null,validator:function(A){switch(A){case\"positive\":case\"negative\":case\"neutral\":return!0}return!1}},statusColor:{type:[Number,String],default:null,validator:function(A){return/^([a-f0-9]{3}){1,2}$/i.test(A)}},menuPosition:{type:String,default:\"center\"}},data:function(){return{avatarUrlLoaded:null,avatarSrcSetLoaded:null,userDoesNotExist:!1,isAvatarLoaded:!1,isMenuLoaded:!1,contactsMenuActions:[],contactsMenuOpenState:!1}},computed:{getUserIdentifier:function(){return this.isDisplayNameDefined?this.displayName:this.isUserDefined?this.user:\"\"},isUserDefined:function(){return void 0!==this.user},isDisplayNameDefined:function(){return void 0!==this.displayName},isUrlDefined:function(){return void 0!==this.url},hasMenu:function(){var A;return!this.disableMenu&&(this.isMenuLoaded?this.menu.length>0:!(this.user===(null===(A=Object(n.getCurrentUser)())||void 0===A?void 0:A.uid)||this.userDoesNotExist||this.url))},shouldShowPlaceholder:function(){return this.allowPlaceholder&&this.userDoesNotExist},avatarStyle:function(){var A={width:this.size+\"px\",height:this.size+\"px\",lineHeight:this.size+\"px\",fontSize:Math.round(.55*this.size)+\"px\"};if(!this.iconClass&&!this.avatarSrcSetLoaded){var t=g(this.getUserIdentifier);A.backgroundColor=\"rgb(\"+t.r+\", \"+t.g+\", \"+t.b+\")\"}return A},tooltip:function(){return!this.disableTooltip&&(this.tooltipMessage?this.tooltipMessage:this.displayName)},initials:function(){return this.shouldShowPlaceholder?this.getUserIdentifier.charAt(0).toUpperCase():\"?\"},menu:function(){return this.contactsMenuActions.map((function(A){return{href:A.hyperlink,icon:A.icon,text:A.title}}))}},watch:{url:function(){this.userDoesNotExist=!1,this.loadAvatarUrl()},user:function(){this.userDoesNotExist=!1,this.isMenuLoaded=!1,this.loadAvatarUrl()}},mounted:function(){this.loadAvatarUrl()},methods:{toggleMenu:function(){var A=this;return p(regeneratorRuntime.mark((function t(){return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:if(A.hasMenu){t.next=2;break}return t.abrupt(\"return\");case 2:if(A.contactsMenuOpenState){t.next=5;break}return t.next=5,A.fetchContactsMenu();case 5:A.contactsMenuOpenState=!A.contactsMenuOpenState;case 6:case\"end\":return t.stop()}}),t)})))()},closeMenu:function(){this.contactsMenuOpenState=!1},fetchContactsMenu:function(){var A=this;return p(regeneratorRuntime.mark((function t(){var e,a,i;return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:return t.prev=0,e=encodeURIComponent(A.user),t.next=4,r.a.post(Object(s.generateUrl)(\"contactsmenu/findOne\"),\"shareType=0&shareWith=\".concat(e));case 4:a=t.sent,i=a.data,A.contactsMenuActions=i.topAction?[i.topAction].concat(i.actions):i.actions,t.next=12;break;case 9:t.prev=9,t.t0=t.catch(0),A.contactsMenuOpenState=!1;case 12:A.isMenuLoaded=!0;case 13:case\"end\":return t.stop()}}),t,null,[[0,9]])})))()},loadAvatarUrl:function(){var A=this;if(this.isAvatarLoaded=!1,!this.isUrlDefined&&(!this.isUserDefined||this.isNoUser))return this.isAvatarLoaded=!0,void(this.userDoesNotExist=!0);var t=function(t,e){var a,i=\"/avatar/{user}/{size}\";A.isGuest&&(i=\"/avatar/guest/{user}/{size}\");var o=Object(s.generateUrl)(i,{user:t,size:e});return t===(null===(a=Object(n.getCurrentUser)())||void 0===a?void 0:a.uid)&&\"undefined\"!=typeof oc_userconfig&&(o+=\"?v=\"+oc_userconfig.avatar.version),o},e=t(this.user,this.size);this.isUrlDefined&&(e=this.url);var a=[e+\" 1x\",t(this.user,2*this.size)+\" 2x\",t(this.user,4*this.size)+\" 4x\"].join(\", \"),i=new Image;i.onload=function(){A.avatarUrlLoaded=e,A.isUrlDefined||(A.avatarSrcSetLoaded=a),A.isAvatarLoaded=!0},i.onerror=function(){A.userDoesNotExist=!0,A.isAvatarLoaded=!0},this.isUrlDefined||(i.srcset=a),i.src=e}}},b=(e(96),e(0)),B=e(52),M=e.n(B),f=Object(b.a)(v,(function(){var A=this,t=A.$createElement,e=A._self._c||t;return e(\"div\",{directives:[{name:\"tooltip\",rawName:\"v-tooltip\",value:A.tooltip,expression:\"tooltip\"},{name:\"click-outside\",rawName:\"v-click-outside\",value:A.closeMenu,expression:\"closeMenu\"}],staticClass:\"avatardiv popovermenu-wrapper\",class:{\"avatardiv--unknown\":A.userDoesNotExist,\"avatardiv--with-menu\":A.hasMenu},style:A.avatarStyle,on:{click:A.toggleMenu}},[A.iconClass?e(\"div\",{staticClass:\"avatar-class-icon\",class:A.iconClass}):A.isAvatarLoaded&&!A.userDoesNotExist?e(\"img\",{attrs:{src:A.avatarUrlLoaded,srcset:A.avatarSrcSetLoaded}}):A._e(),A._v(\" \"),A.hasMenu?e(\"div\",{staticClass:\"icon-more\"}):A._e(),A._v(\" \"),A.status?e(\"div\",{staticClass:\"avatardiv__status\",class:\"avatardiv__status--\"+A.status,style:{backgroundColor:\"#\"+A.statusColor}},[\"neutral\"===A.status?e(\"svg\",{attrs:{xmlns:\"http://www.w3.org/2000/svg\",width:\"12\",height:\"11\",viewBox:\"0 0 3.175 2.91\"}},[e(\"path\",{style:{fill:\"#\"+A.statusColor},attrs:{d:\"M3.21 3.043H.494l.679-1.177.68-1.176.678 1.176z\",stroke:\"#fff\",\"stroke-width\":\".265\",\"stroke-linecap\":\"square\"}})]):A._e()]):A._e(),A._v(\" \"),A.userDoesNotExist?e(\"div\",{staticClass:\"unknown\"},[A._v(\"\\n\\t\\t\"+A._s(A.initials)+\"\\n\\t\")]):A._e(),A._v(\" \"),A.hasMenu?e(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:A.contactsMenuOpenState,expression:\"contactsMenuOpenState\"}],staticClass:\"popovermenu\",class:\"menu-\"+A.menuPosition},[e(\"PopoverMenu\",{attrs:{\"is-open\":A.contactsMenuOpenState,menu:A.menu}})],1):A._e()])}),[],!1,null,\"42481a4b\",null);\"function\"==typeof M.a&&M()(f);var I=f.exports;\n/**\n * @copyright Copyright (c) 2018 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.default=I},,,,,,,,,,,,,,,function(A,t){A.exports=require(\"md5\")},,,,,function(A,t){A.exports=require(\"core-js/modules/es.promise\")},function(A,t){A.exports=require(\"regenerator-runtime/runtime\")},,function(A,t){A.exports=require(\"core-js/modules/es.array.join\")},,,function(A,t,e){\"use strict\";var a=e(34);e.n(a).a},function(A,t,e){(t=e(1)(!1)).push([A.i,\"\\nbutton.menuitem[data-v-75ab886e] {\\n\\ttext-align: left;\\n}\\nbutton.menuitem *[data-v-75ab886e] {\\n\\tcursor: pointer;\\n}\\nbutton.menuitem[data-v-75ab886e]:disabled {\\n\\topacity: 0.5 !important;\\n\\tcursor: default;\\n}\\nbutton.menuitem:disabled *[data-v-75ab886e] {\\n\\tcursor: default;\\n}\\n.menuitem.active[data-v-75ab886e] {\\n\\tbox-shadow: inset 2px 0 var(--color-primary);\\n\\tborder-radius: 0;\\n}\\n\",\"\"]),A.exports=t},function(A,t,e){\"use strict\";var a=e(35);e.n(a).a},function(A,t,e){(t=e(1)(!1)).push([A.i,\"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\\n\",\"\"]),A.exports=t},function(A,t,e){\"use strict\";var a=e(36);e.n(a).a},function(A,t,e){(t=e(1)(!1)).push([A.i,\"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\\n\",\"\"]),A.exports=t},,,,,,,,,function(A,t){A.exports=require(\"core-js/modules/es.string.match\")},function(A,t,e){\"use strict\";var a=e(41);e.n(a).a},function(A,t,e){var a=e(1),i=e(7),n=e(8),o=e(9),r=e(10),s=e(11);t=a(!1);var c=i(n),l=i(o),d=i(r),u=i(s);t.push([A.i,'@font-face{font-family:\"iconfont-vue-6f41c0d\";src:url('+c+\");src:url(\"+c+') format(\"embedded-opentype\"),url('+l+') format(\"woff\"),url('+d+') format(\"truetype\"),url('+u+') format(\"svg\")}.icon[data-v-42481a4b]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-left[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-right-double[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-right[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.breadcrumb[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.checkmark[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.close[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.confirm[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.info[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.menu[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.more[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.pause[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.play[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.triangle-s[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.avatardiv[data-v-42481a4b]{position:relative;display:inline-block}.avatardiv--unknown[data-v-42481a4b]{position:relative;background-color:var(--color-text-maxcontrast)}.avatardiv--with-menu[data-v-42481a4b]{cursor:pointer}.avatardiv--with-menu .icon-more[data-v-42481a4b]{position:absolute;top:0;left:0;display:flex;align-items:center;justify-content:center;width:inherit;height:inherit;cursor:pointer;opacity:0;background:none;font-size:18px}.avatardiv--with-menu .icon-more[data-v-42481a4b]:before{font-family:\"iconfont-vue-6f41c0d\";font-style:normal;font-weight:400;content:\"\"}.avatardiv--with-menu .icon-more[data-v-42481a4b]::before{display:block}.avatardiv--with-menu:focus .icon-more[data-v-42481a4b],.avatardiv--with-menu:hover .icon-more[data-v-42481a4b]{opacity:1}.avatardiv--with-menu:focus img[data-v-42481a4b],.avatardiv--with-menu:hover img[data-v-42481a4b]{opacity:0}.avatardiv--with-menu .icon-more[data-v-42481a4b],.avatardiv--with-menu img[data-v-42481a4b]{transition:opacity var(--animation-quick)}.avatardiv>.unknown[data-v-42481a4b]{position:absolute;top:0;left:0;display:block;width:100%;text-align:center;font-weight:normal;color:var(--color-main-background)}.avatardiv img[data-v-42481a4b]{width:100%;height:100%}.avatardiv .avatardiv__status[data-v-42481a4b]{position:absolute;top:22px;left:22px;width:10px;height:10px;border:1px solid rgba(255,255,255,0.5);background-clip:content-box}.avatardiv .avatardiv__status--positive[data-v-42481a4b]{border-radius:50%;background-color:var(--color-success)}.avatardiv .avatardiv__status--negative[data-v-42481a4b]{background-color:var(--color-error)}.avatardiv .avatardiv__status--neutral[data-v-42481a4b]{border:none;background-color:transparent !important}.avatardiv .avatardiv__status--neutral svg[data-v-42481a4b]{position:absolute;top:-3px;left:-2px}.avatardiv .avatardiv__status--neutral svg path[data-v-42481a4b]{fill:#aaa}.avatardiv .popovermenu-wrapper[data-v-42481a4b]{position:relative;display:inline-block}.avatardiv .popovermenu[data-v-42481a4b]{display:block;margin:0;font-size:14px}.avatar-class-icon[data-v-42481a4b]{border-radius:50%;background-color:var(--color-background-darker)}\\n',\"\"]),A.exports=t}])}));\n//# sourceMappingURL=Avatar.js.map","var charenc = {\n // UTF-8 encoding\n utf8: {\n // Convert a string to a byte array\n stringToBytes: function(str) {\n return charenc.bin.stringToBytes(unescape(encodeURIComponent(str)));\n },\n\n // Convert a byte array to a string\n bytesToString: function(bytes) {\n return decodeURIComponent(escape(charenc.bin.bytesToString(bytes)));\n }\n },\n\n // Binary encoding\n bin: {\n // Convert a string to a byte array\n stringToBytes: function(str) {\n for (var bytes = [], i = 0; i < str.length; i++)\n bytes.push(str.charCodeAt(i) & 0xFF);\n return bytes;\n },\n\n // Convert a byte array to a string\n bytesToString: function(bytes) {\n for (var str = [], i = 0; i < bytes.length; i++)\n str.push(String.fromCharCode(bytes[i]));\n return str.join('');\n }\n }\n};\n\nmodule.exports = charenc;\n","var global = require('../internals/global');\nvar fails = require('../internals/fails');\nvar classof = require('../internals/classof-raw');\nvar bind = require('../internals/function-bind-context');\nvar html = require('../internals/html');\nvar createElement = require('../internals/document-create-element');\nvar IS_IOS = require('../internals/engine-is-ios');\n\nvar location = global.location;\nvar set = global.setImmediate;\nvar clear = global.clearImmediate;\nvar process = global.process;\nvar MessageChannel = global.MessageChannel;\nvar Dispatch = global.Dispatch;\nvar counter = 0;\nvar queue = {};\nvar ONREADYSTATECHANGE = 'onreadystatechange';\nvar defer, channel, port;\n\nvar run = function (id) {\n // eslint-disable-next-line no-prototype-builtins\n if (queue.hasOwnProperty(id)) {\n var fn = queue[id];\n delete queue[id];\n fn();\n }\n};\n\nvar runner = function (id) {\n return function () {\n run(id);\n };\n};\n\nvar listener = function (event) {\n run(event.data);\n};\n\nvar post = function (id) {\n // old engines have not location.origin\n global.postMessage(id + '', location.protocol + '//' + location.host);\n};\n\n// Node.js 0.9+ & IE10+ has setImmediate, otherwise:\nif (!set || !clear) {\n set = function setImmediate(fn) {\n var args = [];\n var i = 1;\n while (arguments.length > i) args.push(arguments[i++]);\n queue[++counter] = function () {\n // eslint-disable-next-line no-new-func\n (typeof fn == 'function' ? fn : Function(fn)).apply(undefined, args);\n };\n defer(counter);\n return counter;\n };\n clear = function clearImmediate(id) {\n delete queue[id];\n };\n // Node.js 0.8-\n if (classof(process) == 'process') {\n defer = function (id) {\n process.nextTick(runner(id));\n };\n // Sphere (JS game engine) Dispatch API\n } else if (Dispatch && Dispatch.now) {\n defer = function (id) {\n Dispatch.now(runner(id));\n };\n // Browsers with MessageChannel, includes WebWorkers\n // except iOS - https://github.com/zloirock/core-js/issues/624\n } else if (MessageChannel && !IS_IOS) {\n channel = new MessageChannel();\n port = channel.port2;\n channel.port1.onmessage = listener;\n defer = bind(port.postMessage, port, 1);\n // Browsers with postMessage, skip WebWorkers\n // IE8 has postMessage, but it's sync & typeof its postMessage is 'object'\n } else if (\n global.addEventListener &&\n typeof postMessage == 'function' &&\n !global.importScripts &&\n !fails(post) &&\n location.protocol !== 'file:'\n ) {\n defer = post;\n global.addEventListener('message', listener, false);\n // IE8-\n } else if (ONREADYSTATECHANGE in createElement('script')) {\n defer = function (id) {\n html.appendChild(createElement('script'))[ONREADYSTATECHANGE] = function () {\n html.removeChild(this);\n run(id);\n };\n };\n // Rest old browsers\n } else {\n defer = function (id) {\n setTimeout(runner(id), 0);\n };\n }\n}\n\nmodule.exports = {\n set: set,\n clear: clear\n};\n","var userAgent = require('../internals/engine-user-agent');\n\nmodule.exports = /(iphone|ipod|ipad).*applewebkit/i.test(userAgent);\n","'use strict';\nvar aFunction = require('../internals/a-function');\n\nvar PromiseCapability = function (C) {\n var resolve, reject;\n this.promise = new C(function ($$resolve, $$reject) {\n if (resolve !== undefined || reject !== undefined) throw TypeError('Bad Promise constructor');\n resolve = $$resolve;\n reject = $$reject;\n });\n this.resolve = aFunction(resolve);\n this.reject = aFunction(reject);\n};\n\n// 25.4.1.5 NewPromiseCapability(C)\nmodule.exports.f = function (C) {\n return new PromiseCapability(C);\n};\n","(function(){\r\n var crypt = require('crypt'),\r\n utf8 = require('charenc').utf8,\r\n isBuffer = require('is-buffer'),\r\n bin = require('charenc').bin,\r\n\r\n // The core\r\n md5 = function (message, options) {\r\n // Convert to byte array\r\n if (message.constructor == String)\r\n if (options && options.encoding === 'binary')\r\n message = bin.stringToBytes(message);\r\n else\r\n message = utf8.stringToBytes(message);\r\n else if (isBuffer(message))\r\n message = Array.prototype.slice.call(message, 0);\r\n else if (!Array.isArray(message))\r\n message = message.toString();\r\n // else, assume byte array already\r\n\r\n var m = crypt.bytesToWords(message),\r\n l = message.length * 8,\r\n a = 1732584193,\r\n b = -271733879,\r\n c = -1732584194,\r\n d = 271733878;\r\n\r\n // Swap endian\r\n for (var i = 0; i < m.length; i++) {\r\n m[i] = ((m[i] << 8) | (m[i] >>> 24)) & 0x00FF00FF |\r\n ((m[i] << 24) | (m[i] >>> 8)) & 0xFF00FF00;\r\n }\r\n\r\n // Padding\r\n m[l >>> 5] |= 0x80 << (l % 32);\r\n m[(((l + 64) >>> 9) << 4) + 14] = l;\r\n\r\n // Method shortcuts\r\n var FF = md5._ff,\r\n GG = md5._gg,\r\n HH = md5._hh,\r\n II = md5._ii;\r\n\r\n for (var i = 0; i < m.length; i += 16) {\r\n\r\n var aa = a,\r\n bb = b,\r\n cc = c,\r\n dd = d;\r\n\r\n a = FF(a, b, c, d, m[i+ 0], 7, -680876936);\r\n d = FF(d, a, b, c, m[i+ 1], 12, -389564586);\r\n c = FF(c, d, a, b, m[i+ 2], 17, 606105819);\r\n b = FF(b, c, d, a, m[i+ 3], 22, -1044525330);\r\n a = FF(a, b, c, d, m[i+ 4], 7, -176418897);\r\n d = FF(d, a, b, c, m[i+ 5], 12, 1200080426);\r\n c = FF(c, d, a, b, m[i+ 6], 17, -1473231341);\r\n b = FF(b, c, d, a, m[i+ 7], 22, -45705983);\r\n a = FF(a, b, c, d, m[i+ 8], 7, 1770035416);\r\n d = FF(d, a, b, c, m[i+ 9], 12, -1958414417);\r\n c = FF(c, d, a, b, m[i+10], 17, -42063);\r\n b = FF(b, c, d, a, m[i+11], 22, -1990404162);\r\n a = FF(a, b, c, d, m[i+12], 7, 1804603682);\r\n d = FF(d, a, b, c, m[i+13], 12, -40341101);\r\n c = FF(c, d, a, b, m[i+14], 17, -1502002290);\r\n b = FF(b, c, d, a, m[i+15], 22, 1236535329);\r\n\r\n a = GG(a, b, c, d, m[i+ 1], 5, -165796510);\r\n d = GG(d, a, b, c, m[i+ 6], 9, -1069501632);\r\n c = GG(c, d, a, b, m[i+11], 14, 643717713);\r\n b = GG(b, c, d, a, m[i+ 0], 20, -373897302);\r\n a = GG(a, b, c, d, m[i+ 5], 5, -701558691);\r\n d = GG(d, a, b, c, m[i+10], 9, 38016083);\r\n c = GG(c, d, a, b, m[i+15], 14, -660478335);\r\n b = GG(b, c, d, a, m[i+ 4], 20, -405537848);\r\n a = GG(a, b, c, d, m[i+ 9], 5, 568446438);\r\n d = GG(d, a, b, c, m[i+14], 9, -1019803690);\r\n c = GG(c, d, a, b, m[i+ 3], 14, -187363961);\r\n b = GG(b, c, d, a, m[i+ 8], 20, 1163531501);\r\n a = GG(a, b, c, d, m[i+13], 5, -1444681467);\r\n d = GG(d, a, b, c, m[i+ 2], 9, -51403784);\r\n c = GG(c, d, a, b, m[i+ 7], 14, 1735328473);\r\n b = GG(b, c, d, a, m[i+12], 20, -1926607734);\r\n\r\n a = HH(a, b, c, d, m[i+ 5], 4, -378558);\r\n d = HH(d, a, b, c, m[i+ 8], 11, -2022574463);\r\n c = HH(c, d, a, b, m[i+11], 16, 1839030562);\r\n b = HH(b, c, d, a, m[i+14], 23, -35309556);\r\n a = HH(a, b, c, d, m[i+ 1], 4, -1530992060);\r\n d = HH(d, a, b, c, m[i+ 4], 11, 1272893353);\r\n c = HH(c, d, a, b, m[i+ 7], 16, -155497632);\r\n b = HH(b, c, d, a, m[i+10], 23, -1094730640);\r\n a = HH(a, b, c, d, m[i+13], 4, 681279174);\r\n d = HH(d, a, b, c, m[i+ 0], 11, -358537222);\r\n c = HH(c, d, a, b, m[i+ 3], 16, -722521979);\r\n b = HH(b, c, d, a, m[i+ 6], 23, 76029189);\r\n a = HH(a, b, c, d, m[i+ 9], 4, -640364487);\r\n d = HH(d, a, b, c, m[i+12], 11, -421815835);\r\n c = HH(c, d, a, b, m[i+15], 16, 530742520);\r\n b = HH(b, c, d, a, m[i+ 2], 23, -995338651);\r\n\r\n a = II(a, b, c, d, m[i+ 0], 6, -198630844);\r\n d = II(d, a, b, c, m[i+ 7], 10, 1126891415);\r\n c = II(c, d, a, b, m[i+14], 15, -1416354905);\r\n b = II(b, c, d, a, m[i+ 5], 21, -57434055);\r\n a = II(a, b, c, d, m[i+12], 6, 1700485571);\r\n d = II(d, a, b, c, m[i+ 3], 10, -1894986606);\r\n c = II(c, d, a, b, m[i+10], 15, -1051523);\r\n b = II(b, c, d, a, m[i+ 1], 21, -2054922799);\r\n a = II(a, b, c, d, m[i+ 8], 6, 1873313359);\r\n d = II(d, a, b, c, m[i+15], 10, -30611744);\r\n c = II(c, d, a, b, m[i+ 6], 15, -1560198380);\r\n b = II(b, c, d, a, m[i+13], 21, 1309151649);\r\n a = II(a, b, c, d, m[i+ 4], 6, -145523070);\r\n d = II(d, a, b, c, m[i+11], 10, -1120210379);\r\n c = II(c, d, a, b, m[i+ 2], 15, 718787259);\r\n b = II(b, c, d, a, m[i+ 9], 21, -343485551);\r\n\r\n a = (a + aa) >>> 0;\r\n b = (b + bb) >>> 0;\r\n c = (c + cc) >>> 0;\r\n d = (d + dd) >>> 0;\r\n }\r\n\r\n return crypt.endian([a, b, c, d]);\r\n };\r\n\r\n // Auxiliary functions\r\n md5._ff = function (a, b, c, d, x, s, t) {\r\n var n = a + (b & c | ~b & d) + (x >>> 0) + t;\r\n return ((n << s) | (n >>> (32 - s))) + b;\r\n };\r\n md5._gg = function (a, b, c, d, x, s, t) {\r\n var n = a + (b & d | c & ~d) + (x >>> 0) + t;\r\n return ((n << s) | (n >>> (32 - s))) + b;\r\n };\r\n md5._hh = function (a, b, c, d, x, s, t) {\r\n var n = a + (b ^ c ^ d) + (x >>> 0) + t;\r\n return ((n << s) | (n >>> (32 - s))) + b;\r\n };\r\n md5._ii = function (a, b, c, d, x, s, t) {\r\n var n = a + (c ^ (b | ~d)) + (x >>> 0) + t;\r\n return ((n << s) | (n >>> (32 - s))) + b;\r\n };\r\n\r\n // Package private blocksize\r\n md5._blocksize = 16;\r\n md5._digestsize = 16;\r\n\r\n module.exports = function (message, options) {\r\n if (message === undefined || message === null)\r\n throw new Error('Illegal argument ' + message);\r\n\r\n var digestbytes = crypt.wordsToBytes(md5(message, options));\r\n return options && options.asBytes ? digestbytes :\r\n options && options.asString ? bin.bytesToString(digestbytes) :\r\n crypt.bytesToHex(digestbytes);\r\n };\r\n\r\n})();\r\n","(function() {\n var base64map\n = 'ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/',\n\n crypt = {\n // Bit-wise rotation left\n rotl: function(n, b) {\n return (n << b) | (n >>> (32 - b));\n },\n\n // Bit-wise rotation right\n rotr: function(n, b) {\n return (n << (32 - b)) | (n >>> b);\n },\n\n // Swap big-endian to little-endian and vice versa\n endian: function(n) {\n // If number given, swap endian\n if (n.constructor == Number) {\n return crypt.rotl(n, 8) & 0x00FF00FF | crypt.rotl(n, 24) & 0xFF00FF00;\n }\n\n // Else, assume array and swap all items\n for (var i = 0; i < n.length; i++)\n n[i] = crypt.endian(n[i]);\n return n;\n },\n\n // Generate an array of any length of random bytes\n randomBytes: function(n) {\n for (var bytes = []; n > 0; n--)\n bytes.push(Math.floor(Math.random() * 256));\n return bytes;\n },\n\n // Convert a byte array to big-endian 32-bit words\n bytesToWords: function(bytes) {\n for (var words = [], i = 0, b = 0; i < bytes.length; i++, b += 8)\n words[b >>> 5] |= bytes[i] << (24 - b % 32);\n return words;\n },\n\n // Convert big-endian 32-bit words to a byte array\n wordsToBytes: function(words) {\n for (var bytes = [], b = 0; b < words.length * 32; b += 8)\n bytes.push((words[b >>> 5] >>> (24 - b % 32)) & 0xFF);\n return bytes;\n },\n\n // Convert a byte array to a hex string\n bytesToHex: function(bytes) {\n for (var hex = [], i = 0; i < bytes.length; i++) {\n hex.push((bytes[i] >>> 4).toString(16));\n hex.push((bytes[i] & 0xF).toString(16));\n }\n return hex.join('');\n },\n\n // Convert a hex string to a byte array\n hexToBytes: function(hex) {\n for (var bytes = [], c = 0; c < hex.length; c += 2)\n bytes.push(parseInt(hex.substr(c, 2), 16));\n return bytes;\n },\n\n // Convert a byte array to a base-64 string\n bytesToBase64: function(bytes) {\n for (var base64 = [], i = 0; i < bytes.length; i += 3) {\n var triplet = (bytes[i] << 16) | (bytes[i + 1] << 8) | bytes[i + 2];\n for (var j = 0; j < 4; j++)\n if (i * 8 + j * 6 <= bytes.length * 8)\n base64.push(base64map.charAt((triplet >>> 6 * (3 - j)) & 0x3F));\n else\n base64.push('=');\n }\n return base64.join('');\n },\n\n // Convert a base-64 string to a byte array\n base64ToBytes: function(base64) {\n // Remove non-base-64 characters\n base64 = base64.replace(/[^A-Z0-9+\\/]/ig, '');\n\n for (var bytes = [], i = 0, imod4 = 0; i < base64.length;\n imod4 = ++i % 4) {\n if (imod4 == 0) continue;\n bytes.push(((base64map.indexOf(base64.charAt(i - 1))\n & (Math.pow(2, -2 * imod4 + 8) - 1)) << (imod4 * 2))\n | (base64map.indexOf(base64.charAt(i)) >>> (6 - imod4 * 2)));\n }\n return bytes;\n }\n };\n\n module.exports = crypt;\n})();\n","/*!\n * Determine if an object is a Buffer\n *\n * @author Feross Aboukhadijeh <https://feross.org>\n * @license MIT\n */\n\n// The _isBuffer check is for Safari 5-7 support, because it's missing\n// Object.prototype.constructor. Remove this eventually\nmodule.exports = function (obj) {\n return obj != null && (isBuffer(obj) || isSlowBuffer(obj) || !!obj._isBuffer)\n}\n\nfunction isBuffer (obj) {\n return !!obj.constructor && typeof obj.constructor.isBuffer === 'function' && obj.constructor.isBuffer(obj)\n}\n\n// For Node v0.10 support. Remove this eventually.\nfunction isSlowBuffer (obj) {\n return typeof obj.readFloatLE === 'function' && typeof obj.slice === 'function' && isBuffer(obj.slice(0, 0))\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar IS_PURE = require('../internals/is-pure');\nvar global = require('../internals/global');\nvar getBuiltIn = require('../internals/get-built-in');\nvar NativePromise = require('../internals/native-promise-constructor');\nvar redefine = require('../internals/redefine');\nvar redefineAll = require('../internals/redefine-all');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar setSpecies = require('../internals/set-species');\nvar isObject = require('../internals/is-object');\nvar aFunction = require('../internals/a-function');\nvar anInstance = require('../internals/an-instance');\nvar classof = require('../internals/classof-raw');\nvar inspectSource = require('../internals/inspect-source');\nvar iterate = require('../internals/iterate');\nvar checkCorrectnessOfIteration = require('../internals/check-correctness-of-iteration');\nvar speciesConstructor = require('../internals/species-constructor');\nvar task = require('../internals/task').set;\nvar microtask = require('../internals/microtask');\nvar promiseResolve = require('../internals/promise-resolve');\nvar hostReportErrors = require('../internals/host-report-errors');\nvar newPromiseCapabilityModule = require('../internals/new-promise-capability');\nvar perform = require('../internals/perform');\nvar InternalStateModule = require('../internals/internal-state');\nvar isForced = require('../internals/is-forced');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar SPECIES = wellKnownSymbol('species');\nvar PROMISE = 'Promise';\nvar getInternalState = InternalStateModule.get;\nvar setInternalState = InternalStateModule.set;\nvar getInternalPromiseState = InternalStateModule.getterFor(PROMISE);\nvar PromiseConstructor = NativePromise;\nvar TypeError = global.TypeError;\nvar document = global.document;\nvar process = global.process;\nvar $fetch = getBuiltIn('fetch');\nvar newPromiseCapability = newPromiseCapabilityModule.f;\nvar newGenericPromiseCapability = newPromiseCapability;\nvar IS_NODE = classof(process) == 'process';\nvar DISPATCH_EVENT = !!(document && document.createEvent && global.dispatchEvent);\nvar UNHANDLED_REJECTION = 'unhandledrejection';\nvar REJECTION_HANDLED = 'rejectionhandled';\nvar PENDING = 0;\nvar FULFILLED = 1;\nvar REJECTED = 2;\nvar HANDLED = 1;\nvar UNHANDLED = 2;\nvar Internal, OwnPromiseCapability, PromiseWrapper, nativeThen;\n\nvar FORCED = isForced(PROMISE, function () {\n var GLOBAL_CORE_JS_PROMISE = inspectSource(PromiseConstructor) !== String(PromiseConstructor);\n if (!GLOBAL_CORE_JS_PROMISE) {\n // V8 6.6 (Node 10 and Chrome 66) have a bug with resolving custom thenables\n // https://bugs.chromium.org/p/chromium/issues/detail?id=830565\n // We can't detect it synchronously, so just check versions\n if (V8_VERSION === 66) return true;\n // Unhandled rejections tracking support, NodeJS Promise without it fails @@species test\n if (!IS_NODE && typeof PromiseRejectionEvent != 'function') return true;\n }\n // We need Promise#finally in the pure version for preventing prototype pollution\n if (IS_PURE && !PromiseConstructor.prototype['finally']) return true;\n // We can't use @@species feature detection in V8 since it causes\n // deoptimization and performance degradation\n // https://github.com/zloirock/core-js/issues/679\n if (V8_VERSION >= 51 && /native code/.test(PromiseConstructor)) return false;\n // Detect correctness of subclassing with @@species support\n var promise = PromiseConstructor.resolve(1);\n var FakePromise = function (exec) {\n exec(function () { /* empty */ }, function () { /* empty */ });\n };\n var constructor = promise.constructor = {};\n constructor[SPECIES] = FakePromise;\n return !(promise.then(function () { /* empty */ }) instanceof FakePromise);\n});\n\nvar INCORRECT_ITERATION = FORCED || !checkCorrectnessOfIteration(function (iterable) {\n PromiseConstructor.all(iterable)['catch'](function () { /* empty */ });\n});\n\n// helpers\nvar isThenable = function (it) {\n var then;\n return isObject(it) && typeof (then = it.then) == 'function' ? then : false;\n};\n\nvar notify = function (promise, state, isReject) {\n if (state.notified) return;\n state.notified = true;\n var chain = state.reactions;\n microtask(function () {\n var value = state.value;\n var ok = state.state == FULFILLED;\n var index = 0;\n // variable length - can't use forEach\n while (chain.length > index) {\n var reaction = chain[index++];\n var handler = ok ? reaction.ok : reaction.fail;\n var resolve = reaction.resolve;\n var reject = reaction.reject;\n var domain = reaction.domain;\n var result, then, exited;\n try {\n if (handler) {\n if (!ok) {\n if (state.rejection === UNHANDLED) onHandleUnhandled(promise, state);\n state.rejection = HANDLED;\n }\n if (handler === true) result = value;\n else {\n if (domain) domain.enter();\n result = handler(value); // can throw\n if (domain) {\n domain.exit();\n exited = true;\n }\n }\n if (result === reaction.promise) {\n reject(TypeError('Promise-chain cycle'));\n } else if (then = isThenable(result)) {\n then.call(result, resolve, reject);\n } else resolve(result);\n } else reject(value);\n } catch (error) {\n if (domain && !exited) domain.exit();\n reject(error);\n }\n }\n state.reactions = [];\n state.notified = false;\n if (isReject && !state.rejection) onUnhandled(promise, state);\n });\n};\n\nvar dispatchEvent = function (name, promise, reason) {\n var event, handler;\n if (DISPATCH_EVENT) {\n event = document.createEvent('Event');\n event.promise = promise;\n event.reason = reason;\n event.initEvent(name, false, true);\n global.dispatchEvent(event);\n } else event = { promise: promise, reason: reason };\n if (handler = global['on' + name]) handler(event);\n else if (name === UNHANDLED_REJECTION) hostReportErrors('Unhandled promise rejection', reason);\n};\n\nvar onUnhandled = function (promise, state) {\n task.call(global, function () {\n var value = state.value;\n var IS_UNHANDLED = isUnhandled(state);\n var result;\n if (IS_UNHANDLED) {\n result = perform(function () {\n if (IS_NODE) {\n process.emit('unhandledRejection', value, promise);\n } else dispatchEvent(UNHANDLED_REJECTION, promise, value);\n });\n // Browsers should not trigger `rejectionHandled` event if it was handled here, NodeJS - should\n state.rejection = IS_NODE || isUnhandled(state) ? UNHANDLED : HANDLED;\n if (result.error) throw result.value;\n }\n });\n};\n\nvar isUnhandled = function (state) {\n return state.rejection !== HANDLED && !state.parent;\n};\n\nvar onHandleUnhandled = function (promise, state) {\n task.call(global, function () {\n if (IS_NODE) {\n process.emit('rejectionHandled', promise);\n } else dispatchEvent(REJECTION_HANDLED, promise, state.value);\n });\n};\n\nvar bind = function (fn, promise, state, unwrap) {\n return function (value) {\n fn(promise, state, value, unwrap);\n };\n};\n\nvar internalReject = function (promise, state, value, unwrap) {\n if (state.done) return;\n state.done = true;\n if (unwrap) state = unwrap;\n state.value = value;\n state.state = REJECTED;\n notify(promise, state, true);\n};\n\nvar internalResolve = function (promise, state, value, unwrap) {\n if (state.done) return;\n state.done = true;\n if (unwrap) state = unwrap;\n try {\n if (promise === value) throw TypeError(\"Promise can't be resolved itself\");\n var then = isThenable(value);\n if (then) {\n microtask(function () {\n var wrapper = { done: false };\n try {\n then.call(value,\n bind(internalResolve, promise, wrapper, state),\n bind(internalReject, promise, wrapper, state)\n );\n } catch (error) {\n internalReject(promise, wrapper, error, state);\n }\n });\n } else {\n state.value = value;\n state.state = FULFILLED;\n notify(promise, state, false);\n }\n } catch (error) {\n internalReject(promise, { done: false }, error, state);\n }\n};\n\n// constructor polyfill\nif (FORCED) {\n // 25.4.3.1 Promise(executor)\n PromiseConstructor = function Promise(executor) {\n anInstance(this, PromiseConstructor, PROMISE);\n aFunction(executor);\n Internal.call(this);\n var state = getInternalState(this);\n try {\n executor(bind(internalResolve, this, state), bind(internalReject, this, state));\n } catch (error) {\n internalReject(this, state, error);\n }\n };\n // eslint-disable-next-line no-unused-vars\n Internal = function Promise(executor) {\n setInternalState(this, {\n type: PROMISE,\n done: false,\n notified: false,\n parent: false,\n reactions: [],\n rejection: false,\n state: PENDING,\n value: undefined\n });\n };\n Internal.prototype = redefineAll(PromiseConstructor.prototype, {\n // `Promise.prototype.then` method\n // https://tc39.github.io/ecma262/#sec-promise.prototype.then\n then: function then(onFulfilled, onRejected) {\n var state = getInternalPromiseState(this);\n var reaction = newPromiseCapability(speciesConstructor(this, PromiseConstructor));\n reaction.ok = typeof onFulfilled == 'function' ? onFulfilled : true;\n reaction.fail = typeof onRejected == 'function' && onRejected;\n reaction.domain = IS_NODE ? process.domain : undefined;\n state.parent = true;\n state.reactions.push(reaction);\n if (state.state != PENDING) notify(this, state, false);\n return reaction.promise;\n },\n // `Promise.prototype.catch` method\n // https://tc39.github.io/ecma262/#sec-promise.prototype.catch\n 'catch': function (onRejected) {\n return this.then(undefined, onRejected);\n }\n });\n OwnPromiseCapability = function () {\n var promise = new Internal();\n var state = getInternalState(promise);\n this.promise = promise;\n this.resolve = bind(internalResolve, promise, state);\n this.reject = bind(internalReject, promise, state);\n };\n newPromiseCapabilityModule.f = newPromiseCapability = function (C) {\n return C === PromiseConstructor || C === PromiseWrapper\n ? new OwnPromiseCapability(C)\n : newGenericPromiseCapability(C);\n };\n\n if (!IS_PURE && typeof NativePromise == 'function') {\n nativeThen = NativePromise.prototype.then;\n\n // wrap native Promise#then for native async functions\n redefine(NativePromise.prototype, 'then', function then(onFulfilled, onRejected) {\n var that = this;\n return new PromiseConstructor(function (resolve, reject) {\n nativeThen.call(that, resolve, reject);\n }).then(onFulfilled, onRejected);\n // https://github.com/zloirock/core-js/issues/640\n }, { unsafe: true });\n\n // wrap fetch result\n if (typeof $fetch == 'function') $({ global: true, enumerable: true, forced: true }, {\n // eslint-disable-next-line no-unused-vars\n fetch: function fetch(input /* , init */) {\n return promiseResolve(PromiseConstructor, $fetch.apply(global, arguments));\n }\n });\n }\n}\n\n$({ global: true, wrap: true, forced: FORCED }, {\n Promise: PromiseConstructor\n});\n\nsetToStringTag(PromiseConstructor, PROMISE, false, true);\nsetSpecies(PROMISE);\n\nPromiseWrapper = getBuiltIn(PROMISE);\n\n// statics\n$({ target: PROMISE, stat: true, forced: FORCED }, {\n // `Promise.reject` method\n // https://tc39.github.io/ecma262/#sec-promise.reject\n reject: function reject(r) {\n var capability = newPromiseCapability(this);\n capability.reject.call(undefined, r);\n return capability.promise;\n }\n});\n\n$({ target: PROMISE, stat: true, forced: IS_PURE || FORCED }, {\n // `Promise.resolve` method\n // https://tc39.github.io/ecma262/#sec-promise.resolve\n resolve: function resolve(x) {\n return promiseResolve(IS_PURE && this === PromiseWrapper ? PromiseConstructor : this, x);\n }\n});\n\n$({ target: PROMISE, stat: true, forced: INCORRECT_ITERATION }, {\n // `Promise.all` method\n // https://tc39.github.io/ecma262/#sec-promise.all\n all: function all(iterable) {\n var C = this;\n var capability = newPromiseCapability(C);\n var resolve = capability.resolve;\n var reject = capability.reject;\n var result = perform(function () {\n var $promiseResolve = aFunction(C.resolve);\n var values = [];\n var counter = 0;\n var remaining = 1;\n iterate(iterable, function (promise) {\n var index = counter++;\n var alreadyCalled = false;\n values.push(undefined);\n remaining++;\n $promiseResolve.call(C, promise).then(function (value) {\n if (alreadyCalled) return;\n alreadyCalled = true;\n values[index] = value;\n --remaining || resolve(values);\n }, reject);\n });\n --remaining || resolve(values);\n });\n if (result.error) reject(result.value);\n return capability.promise;\n },\n // `Promise.race` method\n // https://tc39.github.io/ecma262/#sec-promise.race\n race: function race(iterable) {\n var C = this;\n var capability = newPromiseCapability(C);\n var reject = capability.reject;\n var result = perform(function () {\n var $promiseResolve = aFunction(C.resolve);\n iterate(iterable, function (promise) {\n $promiseResolve.call(C, promise).then(capability.resolve, reject);\n });\n });\n if (result.error) reject(result.value);\n return capability.promise;\n }\n});\n","var global = require('../internals/global');\n\nmodule.exports = global.Promise;\n","var anObject = require('../internals/an-object');\nvar aFunction = require('../internals/a-function');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar SPECIES = wellKnownSymbol('species');\n\n// `SpeciesConstructor` abstract operation\n// https://tc39.github.io/ecma262/#sec-speciesconstructor\nmodule.exports = function (O, defaultConstructor) {\n var C = anObject(O).constructor;\n var S;\n return C === undefined || (S = anObject(C)[SPECIES]) == undefined ? defaultConstructor : aFunction(S);\n};\n","var global = require('../internals/global');\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar classof = require('../internals/classof-raw');\nvar macrotask = require('../internals/task').set;\nvar IS_IOS = require('../internals/engine-is-ios');\n\nvar MutationObserver = global.MutationObserver || global.WebKitMutationObserver;\nvar process = global.process;\nvar Promise = global.Promise;\nvar IS_NODE = classof(process) == 'process';\n// Node.js 11 shows ExperimentalWarning on getting `queueMicrotask`\nvar queueMicrotaskDescriptor = getOwnPropertyDescriptor(global, 'queueMicrotask');\nvar queueMicrotask = queueMicrotaskDescriptor && queueMicrotaskDescriptor.value;\n\nvar flush, head, last, notify, toggle, node, promise, then;\n\n// modern engines have queueMicrotask method\nif (!queueMicrotask) {\n flush = function () {\n var parent, fn;\n if (IS_NODE && (parent = process.domain)) parent.exit();\n while (head) {\n fn = head.fn;\n head = head.next;\n try {\n fn();\n } catch (error) {\n if (head) notify();\n else last = undefined;\n throw error;\n }\n } last = undefined;\n if (parent) parent.enter();\n };\n\n // Node.js\n if (IS_NODE) {\n notify = function () {\n process.nextTick(flush);\n };\n // browsers with MutationObserver, except iOS - https://github.com/zloirock/core-js/issues/339\n } else if (MutationObserver && !IS_IOS) {\n toggle = true;\n node = document.createTextNode('');\n new MutationObserver(flush).observe(node, { characterData: true });\n notify = function () {\n node.data = toggle = !toggle;\n };\n // environments with maybe non-completely correct, but existent Promise\n } else if (Promise && Promise.resolve) {\n // Promise.resolve without an argument throws an error in LG WebOS 2\n promise = Promise.resolve(undefined);\n then = promise.then;\n notify = function () {\n then.call(promise, flush);\n };\n // for other environments - macrotask based on:\n // - setImmediate\n // - MessageChannel\n // - window.postMessag\n // - onreadystatechange\n // - setTimeout\n } else {\n notify = function () {\n // strange IE + webpack dev server bug - use .call(global)\n macrotask.call(global, flush);\n };\n }\n}\n\nmodule.exports = queueMicrotask || function (fn) {\n var task = { fn: fn, next: undefined };\n if (last) last.next = task;\n if (!head) {\n head = task;\n notify();\n } last = task;\n};\n","var anObject = require('../internals/an-object');\nvar isObject = require('../internals/is-object');\nvar newPromiseCapability = require('../internals/new-promise-capability');\n\nmodule.exports = function (C, x) {\n anObject(C);\n if (isObject(x) && x.constructor === C) return x;\n var promiseCapability = newPromiseCapability.f(C);\n var resolve = promiseCapability.resolve;\n resolve(x);\n return promiseCapability.promise;\n};\n","var global = require('../internals/global');\n\nmodule.exports = function (a, b) {\n var console = global.console;\n if (console && console.error) {\n arguments.length === 1 ? console.error(a) : console.error(a, b);\n }\n};\n","module.exports = function (exec) {\n try {\n return { error: false, value: exec() };\n } catch (error) {\n return { error: true, value: error };\n }\n};\n","/**\n * Copyright (c) 2014-present, Facebook, Inc.\n *\n * This source code is licensed under the MIT license found in the\n * LICENSE file in the root directory of this source tree.\n */\n\nvar runtime = (function (exports) {\n \"use strict\";\n\n var Op = Object.prototype;\n var hasOwn = Op.hasOwnProperty;\n var undefined; // More compressible than void 0.\n var $Symbol = typeof Symbol === \"function\" ? Symbol : {};\n var iteratorSymbol = $Symbol.iterator || \"@@iterator\";\n var asyncIteratorSymbol = $Symbol.asyncIterator || \"@@asyncIterator\";\n var toStringTagSymbol = $Symbol.toStringTag || \"@@toStringTag\";\n\n function wrap(innerFn, outerFn, self, tryLocsList) {\n // If outerFn provided and outerFn.prototype is a Generator, then outerFn.prototype instanceof Generator.\n var protoGenerator = outerFn && outerFn.prototype instanceof Generator ? outerFn : Generator;\n var generator = Object.create(protoGenerator.prototype);\n var context = new Context(tryLocsList || []);\n\n // The ._invoke method unifies the implementations of the .next,\n // .throw, and .return methods.\n generator._invoke = makeInvokeMethod(innerFn, self, context);\n\n return generator;\n }\n exports.wrap = wrap;\n\n // Try/catch helper to minimize deoptimizations. Returns a completion\n // record like context.tryEntries[i].completion. This interface could\n // have been (and was previously) designed to take a closure to be\n // invoked without arguments, but in all the cases we care about we\n // already have an existing method we want to call, so there's no need\n // to create a new function object. We can even get away with assuming\n // the method takes exactly one argument, since that happens to be true\n // in every case, so we don't have to touch the arguments object. The\n // only additional allocation required is the completion record, which\n // has a stable shape and so hopefully should be cheap to allocate.\n function tryCatch(fn, obj, arg) {\n try {\n return { type: \"normal\", arg: fn.call(obj, arg) };\n } catch (err) {\n return { type: \"throw\", arg: err };\n }\n }\n\n var GenStateSuspendedStart = \"suspendedStart\";\n var GenStateSuspendedYield = \"suspendedYield\";\n var GenStateExecuting = \"executing\";\n var GenStateCompleted = \"completed\";\n\n // Returning this object from the innerFn has the same effect as\n // breaking out of the dispatch switch statement.\n var ContinueSentinel = {};\n\n // Dummy constructor functions that we use as the .constructor and\n // .constructor.prototype properties for functions that return Generator\n // objects. For full spec compliance, you may wish to configure your\n // minifier not to mangle the names of these two functions.\n function Generator() {}\n function GeneratorFunction() {}\n function GeneratorFunctionPrototype() {}\n\n // This is a polyfill for %IteratorPrototype% for environments that\n // don't natively support it.\n var IteratorPrototype = {};\n IteratorPrototype[iteratorSymbol] = function () {\n return this;\n };\n\n var getProto = Object.getPrototypeOf;\n var NativeIteratorPrototype = getProto && getProto(getProto(values([])));\n if (NativeIteratorPrototype &&\n NativeIteratorPrototype !== Op &&\n hasOwn.call(NativeIteratorPrototype, iteratorSymbol)) {\n // This environment has a native %IteratorPrototype%; use it instead\n // of the polyfill.\n IteratorPrototype = NativeIteratorPrototype;\n }\n\n var Gp = GeneratorFunctionPrototype.prototype =\n Generator.prototype = Object.create(IteratorPrototype);\n GeneratorFunction.prototype = Gp.constructor = GeneratorFunctionPrototype;\n GeneratorFunctionPrototype.constructor = GeneratorFunction;\n GeneratorFunctionPrototype[toStringTagSymbol] =\n GeneratorFunction.displayName = \"GeneratorFunction\";\n\n // Helper for defining the .next, .throw, and .return methods of the\n // Iterator interface in terms of a single ._invoke method.\n function defineIteratorMethods(prototype) {\n [\"next\", \"throw\", \"return\"].forEach(function(method) {\n prototype[method] = function(arg) {\n return this._invoke(method, arg);\n };\n });\n }\n\n exports.isGeneratorFunction = function(genFun) {\n var ctor = typeof genFun === \"function\" && genFun.constructor;\n return ctor\n ? ctor === GeneratorFunction ||\n // For the native GeneratorFunction constructor, the best we can\n // do is to check its .name property.\n (ctor.displayName || ctor.name) === \"GeneratorFunction\"\n : false;\n };\n\n exports.mark = function(genFun) {\n if (Object.setPrototypeOf) {\n Object.setPrototypeOf(genFun, GeneratorFunctionPrototype);\n } else {\n genFun.__proto__ = GeneratorFunctionPrototype;\n if (!(toStringTagSymbol in genFun)) {\n genFun[toStringTagSymbol] = \"GeneratorFunction\";\n }\n }\n genFun.prototype = Object.create(Gp);\n return genFun;\n };\n\n // Within the body of any async function, `await x` is transformed to\n // `yield regeneratorRuntime.awrap(x)`, so that the runtime can test\n // `hasOwn.call(value, \"__await\")` to determine if the yielded value is\n // meant to be awaited.\n exports.awrap = function(arg) {\n return { __await: arg };\n };\n\n function AsyncIterator(generator, PromiseImpl) {\n function invoke(method, arg, resolve, reject) {\n var record = tryCatch(generator[method], generator, arg);\n if (record.type === \"throw\") {\n reject(record.arg);\n } else {\n var result = record.arg;\n var value = result.value;\n if (value &&\n typeof value === \"object\" &&\n hasOwn.call(value, \"__await\")) {\n return PromiseImpl.resolve(value.__await).then(function(value) {\n invoke(\"next\", value, resolve, reject);\n }, function(err) {\n invoke(\"throw\", err, resolve, reject);\n });\n }\n\n return PromiseImpl.resolve(value).then(function(unwrapped) {\n // When a yielded Promise is resolved, its final value becomes\n // the .value of the Promise<{value,done}> result for the\n // current iteration.\n result.value = unwrapped;\n resolve(result);\n }, function(error) {\n // If a rejected Promise was yielded, throw the rejection back\n // into the async generator function so it can be handled there.\n return invoke(\"throw\", error, resolve, reject);\n });\n }\n }\n\n var previousPromise;\n\n function enqueue(method, arg) {\n function callInvokeWithMethodAndArg() {\n return new PromiseImpl(function(resolve, reject) {\n invoke(method, arg, resolve, reject);\n });\n }\n\n return previousPromise =\n // If enqueue has been called before, then we want to wait until\n // all previous Promises have been resolved before calling invoke,\n // so that results are always delivered in the correct order. If\n // enqueue has not been called before, then it is important to\n // call invoke immediately, without waiting on a callback to fire,\n // so that the async generator function has the opportunity to do\n // any necessary setup in a predictable way. This predictability\n // is why the Promise constructor synchronously invokes its\n // executor callback, and why async functions synchronously\n // execute code before the first await. Since we implement simple\n // async functions in terms of async generators, it is especially\n // important to get this right, even though it requires care.\n previousPromise ? previousPromise.then(\n callInvokeWithMethodAndArg,\n // Avoid propagating failures to Promises returned by later\n // invocations of the iterator.\n callInvokeWithMethodAndArg\n ) : callInvokeWithMethodAndArg();\n }\n\n // Define the unified helper method that is used to implement .next,\n // .throw, and .return (see defineIteratorMethods).\n this._invoke = enqueue;\n }\n\n defineIteratorMethods(AsyncIterator.prototype);\n AsyncIterator.prototype[asyncIteratorSymbol] = function () {\n return this;\n };\n exports.AsyncIterator = AsyncIterator;\n\n // Note that simple async functions are implemented on top of\n // AsyncIterator objects; they just return a Promise for the value of\n // the final result produced by the iterator.\n exports.async = function(innerFn, outerFn, self, tryLocsList, PromiseImpl) {\n if (PromiseImpl === void 0) PromiseImpl = Promise;\n\n var iter = new AsyncIterator(\n wrap(innerFn, outerFn, self, tryLocsList),\n PromiseImpl\n );\n\n return exports.isGeneratorFunction(outerFn)\n ? iter // If outerFn is a generator, return the full iterator.\n : iter.next().then(function(result) {\n return result.done ? result.value : iter.next();\n });\n };\n\n function makeInvokeMethod(innerFn, self, context) {\n var state = GenStateSuspendedStart;\n\n return function invoke(method, arg) {\n if (state === GenStateExecuting) {\n throw new Error(\"Generator is already running\");\n }\n\n if (state === GenStateCompleted) {\n if (method === \"throw\") {\n throw arg;\n }\n\n // Be forgiving, per 25.3.3.3.3 of the spec:\n // https://people.mozilla.org/~jorendorff/es6-draft.html#sec-generatorresume\n return doneResult();\n }\n\n context.method = method;\n context.arg = arg;\n\n while (true) {\n var delegate = context.delegate;\n if (delegate) {\n var delegateResult = maybeInvokeDelegate(delegate, context);\n if (delegateResult) {\n if (delegateResult === ContinueSentinel) continue;\n return delegateResult;\n }\n }\n\n if (context.method === \"next\") {\n // Setting context._sent for legacy support of Babel's\n // function.sent implementation.\n context.sent = context._sent = context.arg;\n\n } else if (context.method === \"throw\") {\n if (state === GenStateSuspendedStart) {\n state = GenStateCompleted;\n throw context.arg;\n }\n\n context.dispatchException(context.arg);\n\n } else if (context.method === \"return\") {\n context.abrupt(\"return\", context.arg);\n }\n\n state = GenStateExecuting;\n\n var record = tryCatch(innerFn, self, context);\n if (record.type === \"normal\") {\n // If an exception is thrown from innerFn, we leave state ===\n // GenStateExecuting and loop back for another invocation.\n state = context.done\n ? GenStateCompleted\n : GenStateSuspendedYield;\n\n if (record.arg === ContinueSentinel) {\n continue;\n }\n\n return {\n value: record.arg,\n done: context.done\n };\n\n } else if (record.type === \"throw\") {\n state = GenStateCompleted;\n // Dispatch the exception by looping back around to the\n // context.dispatchException(context.arg) call above.\n context.method = \"throw\";\n context.arg = record.arg;\n }\n }\n };\n }\n\n // Call delegate.iterator[context.method](context.arg) and handle the\n // result, either by returning a { value, done } result from the\n // delegate iterator, or by modifying context.method and context.arg,\n // setting context.delegate to null, and returning the ContinueSentinel.\n function maybeInvokeDelegate(delegate, context) {\n var method = delegate.iterator[context.method];\n if (method === undefined) {\n // A .throw or .return when the delegate iterator has no .throw\n // method always terminates the yield* loop.\n context.delegate = null;\n\n if (context.method === \"throw\") {\n // Note: [\"return\"] must be used for ES3 parsing compatibility.\n if (delegate.iterator[\"return\"]) {\n // If the delegate iterator has a return method, give it a\n // chance to clean up.\n context.method = \"return\";\n context.arg = undefined;\n maybeInvokeDelegate(delegate, context);\n\n if (context.method === \"throw\") {\n // If maybeInvokeDelegate(context) changed context.method from\n // \"return\" to \"throw\", let that override the TypeError below.\n return ContinueSentinel;\n }\n }\n\n context.method = \"throw\";\n context.arg = new TypeError(\n \"The iterator does not provide a 'throw' method\");\n }\n\n return ContinueSentinel;\n }\n\n var record = tryCatch(method, delegate.iterator, context.arg);\n\n if (record.type === \"throw\") {\n context.method = \"throw\";\n context.arg = record.arg;\n context.delegate = null;\n return ContinueSentinel;\n }\n\n var info = record.arg;\n\n if (! info) {\n context.method = \"throw\";\n context.arg = new TypeError(\"iterator result is not an object\");\n context.delegate = null;\n return ContinueSentinel;\n }\n\n if (info.done) {\n // Assign the result of the finished delegate to the temporary\n // variable specified by delegate.resultName (see delegateYield).\n context[delegate.resultName] = info.value;\n\n // Resume execution at the desired location (see delegateYield).\n context.next = delegate.nextLoc;\n\n // If context.method was \"throw\" but the delegate handled the\n // exception, let the outer generator proceed normally. If\n // context.method was \"next\", forget context.arg since it has been\n // \"consumed\" by the delegate iterator. If context.method was\n // \"return\", allow the original .return call to continue in the\n // outer generator.\n if (context.method !== \"return\") {\n context.method = \"next\";\n context.arg = undefined;\n }\n\n } else {\n // Re-yield the result returned by the delegate method.\n return info;\n }\n\n // The delegate iterator is finished, so forget it and continue with\n // the outer generator.\n context.delegate = null;\n return ContinueSentinel;\n }\n\n // Define Generator.prototype.{next,throw,return} in terms of the\n // unified ._invoke helper method.\n defineIteratorMethods(Gp);\n\n Gp[toStringTagSymbol] = \"Generator\";\n\n // A Generator should always return itself as the iterator object when the\n // @@iterator function is called on it. Some browsers' implementations of the\n // iterator prototype chain incorrectly implement this, causing the Generator\n // object to not be returned from this call. This ensures that doesn't happen.\n // See https://github.com/facebook/regenerator/issues/274 for more details.\n Gp[iteratorSymbol] = function() {\n return this;\n };\n\n Gp.toString = function() {\n return \"[object Generator]\";\n };\n\n function pushTryEntry(locs) {\n var entry = { tryLoc: locs[0] };\n\n if (1 in locs) {\n entry.catchLoc = locs[1];\n }\n\n if (2 in locs) {\n entry.finallyLoc = locs[2];\n entry.afterLoc = locs[3];\n }\n\n this.tryEntries.push(entry);\n }\n\n function resetTryEntry(entry) {\n var record = entry.completion || {};\n record.type = \"normal\";\n delete record.arg;\n entry.completion = record;\n }\n\n function Context(tryLocsList) {\n // The root entry object (effectively a try statement without a catch\n // or a finally block) gives us a place to store values thrown from\n // locations where there is no enclosing try statement.\n this.tryEntries = [{ tryLoc: \"root\" }];\n tryLocsList.forEach(pushTryEntry, this);\n this.reset(true);\n }\n\n exports.keys = function(object) {\n var keys = [];\n for (var key in object) {\n keys.push(key);\n }\n keys.reverse();\n\n // Rather than returning an object with a next method, we keep\n // things simple and return the next function itself.\n return function next() {\n while (keys.length) {\n var key = keys.pop();\n if (key in object) {\n next.value = key;\n next.done = false;\n return next;\n }\n }\n\n // To avoid creating an additional object, we just hang the .value\n // and .done properties off the next function object itself. This\n // also ensures that the minifier will not anonymize the function.\n next.done = true;\n return next;\n };\n };\n\n function values(iterable) {\n if (iterable) {\n var iteratorMethod = iterable[iteratorSymbol];\n if (iteratorMethod) {\n return iteratorMethod.call(iterable);\n }\n\n if (typeof iterable.next === \"function\") {\n return iterable;\n }\n\n if (!isNaN(iterable.length)) {\n var i = -1, next = function next() {\n while (++i < iterable.length) {\n if (hasOwn.call(iterable, i)) {\n next.value = iterable[i];\n next.done = false;\n return next;\n }\n }\n\n next.value = undefined;\n next.done = true;\n\n return next;\n };\n\n return next.next = next;\n }\n }\n\n // Return an iterator with no values.\n return { next: doneResult };\n }\n exports.values = values;\n\n function doneResult() {\n return { value: undefined, done: true };\n }\n\n Context.prototype = {\n constructor: Context,\n\n reset: function(skipTempReset) {\n this.prev = 0;\n this.next = 0;\n // Resetting context._sent for legacy support of Babel's\n // function.sent implementation.\n this.sent = this._sent = undefined;\n this.done = false;\n this.delegate = null;\n\n this.method = \"next\";\n this.arg = undefined;\n\n this.tryEntries.forEach(resetTryEntry);\n\n if (!skipTempReset) {\n for (var name in this) {\n // Not sure about the optimal order of these conditions:\n if (name.charAt(0) === \"t\" &&\n hasOwn.call(this, name) &&\n !isNaN(+name.slice(1))) {\n this[name] = undefined;\n }\n }\n }\n },\n\n stop: function() {\n this.done = true;\n\n var rootEntry = this.tryEntries[0];\n var rootRecord = rootEntry.completion;\n if (rootRecord.type === \"throw\") {\n throw rootRecord.arg;\n }\n\n return this.rval;\n },\n\n dispatchException: function(exception) {\n if (this.done) {\n throw exception;\n }\n\n var context = this;\n function handle(loc, caught) {\n record.type = \"throw\";\n record.arg = exception;\n context.next = loc;\n\n if (caught) {\n // If the dispatched exception was caught by a catch block,\n // then let that catch block handle the exception normally.\n context.method = \"next\";\n context.arg = undefined;\n }\n\n return !! caught;\n }\n\n for (var i = this.tryEntries.length - 1; i >= 0; --i) {\n var entry = this.tryEntries[i];\n var record = entry.completion;\n\n if (entry.tryLoc === \"root\") {\n // Exception thrown outside of any try block that could handle\n // it, so set the completion value of the entire function to\n // throw the exception.\n return handle(\"end\");\n }\n\n if (entry.tryLoc <= this.prev) {\n var hasCatch = hasOwn.call(entry, \"catchLoc\");\n var hasFinally = hasOwn.call(entry, \"finallyLoc\");\n\n if (hasCatch && hasFinally) {\n if (this.prev < entry.catchLoc) {\n return handle(entry.catchLoc, true);\n } else if (this.prev < entry.finallyLoc) {\n return handle(entry.finallyLoc);\n }\n\n } else if (hasCatch) {\n if (this.prev < entry.catchLoc) {\n return handle(entry.catchLoc, true);\n }\n\n } else if (hasFinally) {\n if (this.prev < entry.finallyLoc) {\n return handle(entry.finallyLoc);\n }\n\n } else {\n throw new Error(\"try statement without catch or finally\");\n }\n }\n }\n },\n\n abrupt: function(type, arg) {\n for (var i = this.tryEntries.length - 1; i >= 0; --i) {\n var entry = this.tryEntries[i];\n if (entry.tryLoc <= this.prev &&\n hasOwn.call(entry, \"finallyLoc\") &&\n this.prev < entry.finallyLoc) {\n var finallyEntry = entry;\n break;\n }\n }\n\n if (finallyEntry &&\n (type === \"break\" ||\n type === \"continue\") &&\n finallyEntry.tryLoc <= arg &&\n arg <= finallyEntry.finallyLoc) {\n // Ignore the finally entry if control is not jumping to a\n // location outside the try/catch block.\n finallyEntry = null;\n }\n\n var record = finallyEntry ? finallyEntry.completion : {};\n record.type = type;\n record.arg = arg;\n\n if (finallyEntry) {\n this.method = \"next\";\n this.next = finallyEntry.finallyLoc;\n return ContinueSentinel;\n }\n\n return this.complete(record);\n },\n\n complete: function(record, afterLoc) {\n if (record.type === \"throw\") {\n throw record.arg;\n }\n\n if (record.type === \"break\" ||\n record.type === \"continue\") {\n this.next = record.arg;\n } else if (record.type === \"return\") {\n this.rval = this.arg = record.arg;\n this.method = \"return\";\n this.next = \"end\";\n } else if (record.type === \"normal\" && afterLoc) {\n this.next = afterLoc;\n }\n\n return ContinueSentinel;\n },\n\n finish: function(finallyLoc) {\n for (var i = this.tryEntries.length - 1; i >= 0; --i) {\n var entry = this.tryEntries[i];\n if (entry.finallyLoc === finallyLoc) {\n this.complete(entry.completion, entry.afterLoc);\n resetTryEntry(entry);\n return ContinueSentinel;\n }\n }\n },\n\n \"catch\": function(tryLoc) {\n for (var i = this.tryEntries.length - 1; i >= 0; --i) {\n var entry = this.tryEntries[i];\n if (entry.tryLoc === tryLoc) {\n var record = entry.completion;\n if (record.type === \"throw\") {\n var thrown = record.arg;\n resetTryEntry(entry);\n }\n return thrown;\n }\n }\n\n // The context.catch method must only be called with a location\n // argument that corresponds to a known catch block.\n throw new Error(\"illegal catch attempt\");\n },\n\n delegateYield: function(iterable, resultName, nextLoc) {\n this.delegate = {\n iterator: values(iterable),\n resultName: resultName,\n nextLoc: nextLoc\n };\n\n if (this.method === \"next\") {\n // Deliberately forget the last sent value so that we don't\n // accidentally pass it on to the delegate.\n this.arg = undefined;\n }\n\n return ContinueSentinel;\n }\n };\n\n // Regardless of whether this script is executing as a CommonJS module\n // or not, return the runtime object so that we can declare the variable\n // regeneratorRuntime in the outer scope, which allows this module to be\n // injected easily by `bin/regenerator --include-runtime script.js`.\n return exports;\n\n}(\n // If this script is executing as a CommonJS module, use module.exports\n // as the regeneratorRuntime namespace. Otherwise create a new empty\n // object. Either way, the resulting object will be used to initialize\n // the regeneratorRuntime variable at the top of this file.\n typeof module === \"object\" ? module.exports : {}\n));\n\ntry {\n regeneratorRuntime = runtime;\n} catch (accidentalStrictMode) {\n // This module should not be running in strict mode, so the above\n // assignment should always work unless something is misconfigured. Just\n // in case runtime.js accidentally runs in strict mode, we can escape\n // strict mode using a global Function call. This could conceivably fail\n // if a Content Security Policy forbids using Function, but in that case\n // the proper solution is to fix the accidental strict mode problem. If\n // you've misconfigured your bundler to force strict mode and applied a\n // CSP to forbid Function, and you're not willing to fix either of those\n // problems, please detail your unique predicament in a GitHub issue.\n Function(\"r\", \"regeneratorRuntime = r\")(runtime);\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar IndexedObject = require('../internals/indexed-object');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\n\nvar nativeJoin = [].join;\n\nvar ES3_STRINGS = IndexedObject != Object;\nvar STRICT_METHOD = arrayMethodIsStrict('join', ',');\n\n// `Array.prototype.join` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.join\n$({ target: 'Array', proto: true, forced: ES3_STRINGS || !STRICT_METHOD }, {\n join: function join(separator) {\n return nativeJoin.call(toIndexedObject(this), separator === undefined ? ',' : separator);\n }\n});\n","'use strict';\nvar fixRegExpWellKnownSymbolLogic = require('../internals/fix-regexp-well-known-symbol-logic');\nvar anObject = require('../internals/an-object');\nvar toLength = require('../internals/to-length');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\nvar advanceStringIndex = require('../internals/advance-string-index');\nvar regExpExec = require('../internals/regexp-exec-abstract');\n\n// @@match logic\nfixRegExpWellKnownSymbolLogic('match', 1, function (MATCH, nativeMatch, maybeCallNative) {\n return [\n // `String.prototype.match` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.match\n function match(regexp) {\n var O = requireObjectCoercible(this);\n var matcher = regexp == undefined ? undefined : regexp[MATCH];\n return matcher !== undefined ? matcher.call(regexp, O) : new RegExp(regexp)[MATCH](String(O));\n },\n // `RegExp.prototype[@@match]` method\n // https://tc39.github.io/ecma262/#sec-regexp.prototype-@@match\n function (regexp) {\n var res = maybeCallNative(nativeMatch, regexp, this);\n if (res.done) return res.value;\n\n var rx = anObject(regexp);\n var S = String(this);\n\n if (!rx.global) return regExpExec(rx, S);\n\n var fullUnicode = rx.unicode;\n rx.lastIndex = 0;\n var A = [];\n var n = 0;\n var result;\n while ((result = regExpExec(rx, S)) !== null) {\n var matchStr = String(result[0]);\n A[n] = matchStr;\n if (matchStr === '') rx.lastIndex = advanceStringIndex(S, toLength(rx.lastIndex), fullUnicode);\n n++;\n }\n return n === 0 ? null : A;\n }\n ];\n});\n"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/core-js/modules/es.number.constructor.js","webpack:///./node_modules/@nextcloud/vue/dist/Components/Avatar.js","webpack:///./node_modules/charenc/charenc.js","webpack:///./node_modules/core-js/internals/task.js","webpack:///./node_modules/core-js/internals/engine-is-ios.js","webpack:///./node_modules/core-js/internals/new-promise-capability.js","webpack:///./node_modules/md5/md5.js","webpack:///./node_modules/crypt/crypt.js","webpack:///./node_modules/is-buffer/index.js","webpack:///./node_modules/core-js/modules/es.promise.js","webpack:///./node_modules/core-js/internals/native-promise-constructor.js","webpack:///./node_modules/core-js/internals/species-constructor.js","webpack:///./node_modules/core-js/internals/microtask.js","webpack:///./node_modules/core-js/internals/promise-resolve.js","webpack:///./node_modules/core-js/internals/host-report-errors.js","webpack:///./node_modules/core-js/internals/perform.js","webpack:///./node_modules/core-js/modules/es.array.join.js","webpack:///./node_modules/core-js/modules/es.string.match.js"],"names":["DESCRIPTORS","global","isForced","redefine","has","classof","inheritIfRequired","toPrimitive","fails","create","getOwnPropertyNames","f","getOwnPropertyDescriptor","defineProperty","trim","NativeNumber","NumberPrototype","prototype","BROKEN_CLASSOF","toNumber","argument","first","third","radix","maxCode","digits","length","index","code","it","charCodeAt","NaN","slice","parseInt","key","NumberWrapper","value","arguments","dummy","this","valueOf","call","keys","split","j","constructor","window","module","exports","A","t","e","a","i","l","m","c","d","o","Object","enumerable","get","r","Symbol","toStringTag","__esModule","bind","n","default","hasOwnProperty","p","s","options","render","staticRenderFns","_compiled","functional","_scopeId","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","u","beforeCreate","concat","toString","map","btoa","unescape","encodeURIComponent","JSON","stringify","sources","sourceRoot","join","push","id","css","media","sourceMap","parts","g","document","DEBUG","Error","head","getElementsByTagName","navigator","test","userAgent","toLowerCase","refs","b","createElement","type","appendChild","querySelector","parentNode","removeChild","B","M","v","filter","Boolean","styleSheet","cssText","createTextNode","childNodes","insertBefore","setAttribute","ssrId","firstChild","hash","needQuotes","replace","VTooltip","defaultTemplate","defaultHtml","locals","Array","name","props","item","required","href","icon","text","validator","input","indexOf","computed","Math","round","random","iconIsUrl","URL","methods","action","components","PopoverMenuItem","$createElement","_self","_c","staticClass","attrs","target","download","rel","on","click","src","class","_v","longtext","_s","_e","active","submit","preventDefault","placeholder","domProps","directives","rawName","model","expression","checked","isArray","_i","change","$set","_q","composing","for","stopPropagation","disabled","menu","_l","done","Promise","resolve","then","apply","tooltip","ClickOutside","directive","PopoverMenu","url","String","iconClass","user","isGuest","displayName","size","Number","allowPlaceholder","disableTooltip","disableMenu","tooltipMessage","isNoUser","status","statusColor","menuPosition","data","avatarUrlLoaded","avatarSrcSetLoaded","userDoesNotExist","isAvatarLoaded","isMenuLoaded","contactsMenuActions","contactsMenuOpenState","getUserIdentifier","isDisplayNameDefined","isUserDefined","isUrlDefined","hasMenu","getCurrentUser","uid","shouldShowPlaceholder","avatarStyle","width","height","lineHeight","fontSize","backgroundColor","initials","charAt","toUpperCase","hyperlink","title","watch","loadAvatarUrl","mounted","toggleMenu","regeneratorRuntime","mark","wrap","prev","next","abrupt","fetchContactsMenu","stop","closeMenu","post","generateUrl","sent","topAction","actions","t0","catch","oc_userconfig","avatar","version","Image","onload","onerror","srcset","style","xmlns","viewBox","fill","stroke","match","charenc","utf8","stringToBytes","str","bin","bytesToString","bytes","decodeURIComponent","escape","fromCharCode","defer","channel","port","html","IS_IOS","location","set","setImmediate","clear","clearImmediate","process","MessageChannel","Dispatch","counter","queue","run","fn","runner","listener","event","postMessage","protocol","host","args","Function","undefined","nextTick","now","port2","port1","onmessage","addEventListener","importScripts","setTimeout","aFunction","PromiseCapability","C","reject","promise","$$resolve","$$reject","TypeError","crypt","isBuffer","md5","message","encoding","bytesToWords","FF","_ff","GG","_gg","HH","_hh","II","_ii","aa","bb","cc","dd","endian","x","_blocksize","_digestsize","digestbytes","wordsToBytes","asBytes","asString","bytesToHex","base64map","rotl","rotr","randomBytes","floor","words","hex","hexToBytes","substr","bytesToBase64","base64","triplet","base64ToBytes","imod4","pow","obj","readFloatLE","isSlowBuffer","_isBuffer","Internal","OwnPromiseCapability","PromiseWrapper","nativeThen","$","IS_PURE","getBuiltIn","NativePromise","redefineAll","setToStringTag","setSpecies","isObject","anInstance","inspectSource","iterate","checkCorrectnessOfIteration","speciesConstructor","task","microtask","promiseResolve","hostReportErrors","newPromiseCapabilityModule","perform","InternalStateModule","wellKnownSymbol","V8_VERSION","SPECIES","PROMISE","getInternalState","setInternalState","getInternalPromiseState","getterFor","PromiseConstructor","$fetch","newPromiseCapability","newGenericPromiseCapability","IS_NODE","DISPATCH_EVENT","createEvent","dispatchEvent","FORCED","PromiseRejectionEvent","FakePromise","exec","INCORRECT_ITERATION","iterable","all","isThenable","notify","state","isReject","notified","chain","reactions","ok","result","exited","reaction","handler","fail","domain","rejection","onHandleUnhandled","enter","exit","error","onUnhandled","reason","initEvent","isUnhandled","emit","unwrap","internalReject","internalResolve","wrapper","executor","onFulfilled","onRejected","that","unsafe","forced","fetch","stat","capability","$promiseResolve","values","remaining","alreadyCalled","race","anObject","O","defaultConstructor","S","flush","last","toggle","node","macrotask","MutationObserver","WebKitMutationObserver","queueMicrotaskDescriptor","queueMicrotask","observe","characterData","promiseCapability","console","IndexedObject","toIndexedObject","arrayMethodIsStrict","nativeJoin","ES3_STRINGS","STRICT_METHOD","proto","separator","fixRegExpWellKnownSymbolLogic","toLength","requireObjectCoercible","advanceStringIndex","regExpExec","MATCH","nativeMatch","maybeCallNative","regexp","matcher","RegExp","res","rx","fullUnicode","unicode","lastIndex","matchStr"],"mappings":"oGACA,IAAIA,EAAc,EAAQ,GACtBC,EAAS,EAAQ,GACjBC,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAM,EAAQ,GACdC,EAAU,EAAQ,IAClBC,EAAoB,EAAQ,KAC5BC,EAAc,EAAQ,IACtBC,EAAQ,EAAQ,GAChBC,EAAS,EAAQ,IACjBC,EAAsB,EAAQ,KAA8CC,EAC5EC,EAA2B,EAAQ,IAAmDD,EACtFE,EAAiB,EAAQ,GAAuCF,EAChEG,EAAO,EAAQ,KAA4BA,KAG3CC,EAAed,EAAa,OAC5Be,EAAkBD,EAAaE,UAG/BC,EALS,UAKQb,EAAQI,EAAOO,IAIhCG,EAAW,SAAUC,GACvB,IACIC,EAAOC,EAAOC,EAAOC,EAASC,EAAQC,EAAQC,EAAOC,EADrDC,EAAKtB,EAAYa,GAAU,GAE/B,GAAiB,iBAANS,GAAkBA,EAAGH,OAAS,EAGvC,GAAc,MADdL,GADAQ,EAAKf,EAAKe,IACCC,WAAW,KACQ,KAAVT,GAElB,GAAc,MADdC,EAAQO,EAAGC,WAAW,KACQ,MAAVR,EAAe,OAAOS,SACrC,GAAc,KAAVV,EAAc,CACvB,OAAQQ,EAAGC,WAAW,IACpB,KAAK,GAAI,KAAK,GAAIP,EAAQ,EAAGC,EAAU,GAAI,MAC3C,KAAK,GAAI,KAAK,IAAKD,EAAQ,EAAGC,EAAU,GAAI,MAC5C,QAAS,OAAQK,EAInB,IADAH,GADAD,EAASI,EAAGG,MAAM,IACFN,OACXC,EAAQ,EAAGA,EAAQD,EAAQC,IAI9B,IAHAC,EAAOH,EAAOK,WAAWH,IAGd,IAAMC,EAAOJ,EAAS,OAAOO,IACxC,OAAOE,SAASR,EAAQF,GAE5B,OAAQM,GAKZ,GAAI3B,EAtCS,UAsCSa,EAAa,UAAYA,EAAa,QAAUA,EAAa,SAAU,CAS3F,IARA,IAcqBmB,EAdjBC,EAAgB,SAAgBC,GAClC,IAAIP,EAAKQ,UAAUX,OAAS,EAAI,EAAIU,EAChCE,EAAQC,KACZ,OAAOD,aAAiBH,IAElBjB,EAAiBV,GAAM,WAAcQ,EAAgBwB,QAAQC,KAAKH,MA5C/D,UA4C4EjC,EAAQiC,IACvFhC,EAAkB,IAAIS,EAAaI,EAASU,IAAMS,EAAOH,GAAiBhB,EAASU,IAElFa,EAAO1C,EAAcU,EAAoBK,GAAgB,6KAMhE4B,MAAM,KAAMC,EAAI,EAAQF,EAAKhB,OAASkB,EAAGA,IACrCxC,EAAIW,EAAcmB,EAAMQ,EAAKE,MAAQxC,EAAI+B,EAAeD,IAC1DrB,EAAesB,EAAeD,EAAKtB,EAAyBG,EAAcmB,IAG9EC,EAAclB,UAAYD,EAC1BA,EAAgB6B,YAAcV,EAC9BhC,EAASF,EA5DE,SA4DckC,K,oBC5EuQW,OAAjOC,EAAOC,QAAoP,SAASC,GAAG,IAAIC,EAAE,GAAG,SAASC,EAAEC,GAAG,GAAGF,EAAEE,GAAG,OAAOF,EAAEE,GAAGJ,QAAQ,IAAIK,EAAEH,EAAEE,GAAG,CAACC,EAAED,EAAEE,GAAE,EAAGN,QAAQ,IAAI,OAAOC,EAAEG,GAAGX,KAAKY,EAAEL,QAAQK,EAAEA,EAAEL,QAAQG,GAAGE,EAAEC,GAAE,EAAGD,EAAEL,QAAQ,OAAOG,EAAEI,EAAEN,EAAEE,EAAEK,EAAEN,EAAEC,EAAEM,EAAE,SAASR,EAAEC,EAAEE,GAAGD,EAAEO,EAAET,EAAEC,IAAIS,OAAO9C,eAAeoC,EAAEC,EAAE,CAACU,YAAW,EAAGC,IAAIT,KAAKD,EAAEW,EAAE,SAASb,GAAG,oBAAoBc,QAAQA,OAAOC,aAAaL,OAAO9C,eAAeoC,EAAEc,OAAOC,YAAY,CAAC5B,MAAM,WAAWuB,OAAO9C,eAAeoC,EAAE,aAAa,CAACb,OAAM,KAAMe,EAAED,EAAE,SAASD,EAAEC,GAAG,GAAG,EAAEA,IAAID,EAAEE,EAAEF,IAAI,EAAEC,EAAE,OAAOD,EAAE,GAAG,EAAEC,GAAG,iBAAiBD,GAAGA,GAAGA,EAAEgB,WAAW,OAAOhB,EAAE,IAAIG,EAAEO,OAAOlD,OAAO,MAAM,GAAG0C,EAAEW,EAAEV,GAAGO,OAAO9C,eAAeuC,EAAE,UAAU,CAACQ,YAAW,EAAGxB,MAAMa,IAAI,EAAEC,GAAG,iBAAiBD,EAAE,IAAI,IAAII,KAAKJ,EAAEE,EAAEM,EAAEL,EAAEC,EAAE,SAASH,GAAG,OAAOD,EAAEC,IAAIgB,KAAK,KAAKb,IAAI,OAAOD,GAAGD,EAAEgB,EAAE,SAASlB,GAAG,IAAIC,EAAED,GAAGA,EAAEgB,WAAW,WAAW,OAAOhB,EAAEmB,SAAS,WAAW,OAAOnB,GAAG,OAAOE,EAAEM,EAAEP,EAAE,IAAIA,GAAGA,GAAGC,EAAEO,EAAE,SAAST,EAAEC,GAAG,OAAOS,OAAO1C,UAAUoD,eAAe5B,KAAKQ,EAAEC,IAAIC,EAAEmB,EAAE,SAASnB,EAAEA,EAAEoB,EAAE,IAAv5B,CAA45B,CAAC,SAAStB,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,EAAEC,EAAEC,EAAEC,EAAEc,EAAET,EAAEI,GAAG,IAAIS,EAAEf,EAAE,mBAAmBP,EAAEA,EAAEuB,QAAQvB,EAAE,GAAGC,IAAIM,EAAEiB,OAAOvB,EAAEM,EAAEkB,gBAAgBvB,EAAEK,EAAEmB,WAAU,GAAIvB,IAAII,EAAEoB,YAAW,GAAIT,IAAIX,EAAEqB,SAAS,UAAUV,GAAGT,GAAGa,EAAE,SAAStB,IAAIA,EAAEA,GAAGV,KAAKuC,QAAQvC,KAAKuC,OAAOC,YAAYxC,KAAKyC,QAAQzC,KAAKyC,OAAOF,QAAQvC,KAAKyC,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBhC,EAAEgC,qBAAqB5B,GAAGA,EAAEZ,KAAKF,KAAKU,GAAGA,GAAGA,EAAEiC,uBAAuBjC,EAAEiC,sBAAsBC,IAAIzB,IAAIF,EAAE4B,aAAab,GAAGlB,IAAIkB,EAAET,EAAE,WAAWT,EAAEZ,KAAKF,MAAMiB,EAAEoB,WAAWrC,KAAKyC,OAAOzC,MAAM8C,MAAMC,SAASC,aAAalC,GAAGkB,EAAE,GAAGf,EAAEoB,WAAW,CAACpB,EAAEgC,cAAcjB,EAAE,IAAIjB,EAAEE,EAAEiB,OAAOjB,EAAEiB,OAAO,SAASxB,EAAEC,GAAG,OAAOqB,EAAE9B,KAAKS,GAAGI,EAAEL,EAAEC,QAAQ,CAAC,IAAIuC,EAAEjC,EAAEkC,aAAalC,EAAEkC,aAAaD,EAAE,GAAGE,OAAOF,EAAElB,GAAG,CAACA,GAAG,MAAM,CAACvB,QAAQC,EAAEuB,QAAQhB,GAAGL,EAAEM,EAAEP,EAAE,KAAI,WAAY,OAAOE,MAAM,SAASH,EAAEC,EAAEC,GAAG,aAAaF,EAAED,QAAQ,SAASC,GAAG,IAAIC,EAAE,GAAG,OAAOA,EAAE0C,SAAS,WAAW,OAAOrD,KAAKsD,KAAI,SAAU3C,GAAG,IAAIC,EAAE,SAASF,EAAEC,GAAG,IAAyXQ,EAAEI,EAAES,EAAzXpB,EAAEF,EAAE,IAAI,GAAGG,EAAEH,EAAE,GAAG,IAAIG,EAAE,OAAOD,EAAE,GAAGD,GAAG,mBAAmB4C,KAAK,CAAC,IAAIzC,GAAGK,EAAEN,EAAEU,EAAEgC,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUxC,MAAMa,EAAE,+DAA+DoB,OAAO7B,GAAG,OAAO6B,OAAOpB,EAAE,QAAQJ,EAAEf,EAAE+C,QAAQN,KAAI,SAAU5C,GAAG,MAAM,iBAAiB0C,OAAOvC,EAAEgD,YAAY,IAAIT,OAAO1C,EAAE,UAAU,MAAM,CAACE,GAAGwC,OAAOxB,GAAGwB,OAAO,CAACtC,IAAIgD,KAAK,MAAgB,MAAM,CAAClD,GAAGkD,KAAK,MAA5Z,CAAmanD,EAAED,GAAG,OAAOC,EAAE,GAAG,UAAUyC,OAAOzC,EAAE,GAAG,MAAMyC,OAAOxC,EAAE,KAAKA,KAAKkD,KAAK,KAAKnD,EAAEG,EAAE,SAASJ,EAAEE,EAAEC,GAAG,iBAAiBH,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAII,EAAE,GAAG,GAAGD,EAAE,IAAI,IAAIe,EAAE,EAAEA,EAAE5B,KAAKb,OAAOyC,IAAI,CAAC,IAAIT,EAAEnB,KAAK4B,GAAG,GAAG,MAAMT,IAAIL,EAAEK,IAAG,GAAI,IAAI,IAAII,EAAE,EAAEA,EAAEb,EAAEvB,OAAOoC,IAAI,CAAC,IAAIS,EAAE,GAAGoB,OAAO1C,EAAEa,IAAIV,GAAGC,EAAEkB,EAAE,MAAMpB,IAAIoB,EAAE,GAAGA,EAAE,GAAG,GAAGoB,OAAOxC,EAAE,SAASwC,OAAOpB,EAAE,IAAIA,EAAE,GAAGpB,GAAGD,EAAEoD,KAAK/B,MAAMrB,IAAI,SAASD,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,GAAG,IAAI,IAAIC,EAAE,GAAGC,EAAE,GAAGC,EAAE,EAAEA,EAAEH,EAAExB,OAAO2B,IAAI,CAAC,IAAIc,EAAEjB,EAAEG,GAAGK,EAAES,EAAE,GAAGL,EAAE,CAACyC,GAAGtD,EAAE,IAAII,EAAEmD,IAAIrC,EAAE,GAAGsC,MAAMtC,EAAE,GAAGuC,UAAUvC,EAAE,IAAIf,EAAEM,GAAGN,EAAEM,GAAGiD,MAAML,KAAKxC,GAAGX,EAAEmD,KAAKlD,EAAEM,GAAG,CAAC6C,GAAG7C,EAAEiD,MAAM,CAAC7C,KAAK,OAAOX,EAAEA,EAAEW,EAAEZ,GAAGC,EAAEM,EAAEP,EAAE,WAAU,WAAY,OAAO0D,KAAK,IAAIvD,EAAE,oBAAoBwD,SAAS,GAAG,oBAAoBC,OAAOA,QAAQzD,EAAE,MAAM,IAAI0D,MAAM,2JAA2J,IAAI5C,EAAE,GAAGT,EAAEL,IAAIwD,SAASG,MAAMH,SAASI,qBAAqB,QAAQ,IAAInD,EAAE,KAAKS,EAAE,EAAEf,GAAE,EAAGF,EAAE,aAAamC,EAAE,KAAKhC,EAAE,oBAAoByD,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAAST,EAAE3D,EAAEC,EAAEC,EAAEE,GAAGG,EAAEL,EAAEsC,EAAEpC,GAAG,GAAG,IAAIK,EAAEN,EAAEH,EAAEC,GAAG,OAAOK,EAAEG,GAAG,SAASR,GAAG,IAAI,IAAIC,EAAE,GAAGE,EAAE,EAAEA,EAAEK,EAAEhC,OAAO2B,IAAI,CAAC,IAAIS,EAAEJ,EAAEL,IAAIkB,EAAEJ,EAAEL,EAAEyC,KAAKe,OAAOnE,EAAEmD,KAAK/B,GAAsB,IAAnBrB,EAAEK,EAAEG,EAAEN,EAAEH,EAAEC,IAAIQ,EAAE,GAAOL,EAAE,EAAEA,EAAEF,EAAEzB,OAAO2B,IAAI,CAAC,IAAIkB,EAAE,GAAG,KAAKA,EAAEpB,EAAEE,IAAIiE,KAAK,CAAC,IAAI,IAAI9D,EAAE,EAAEA,EAAEe,EAAEoC,MAAMjF,OAAO8B,IAAIe,EAAEoC,MAAMnD,YAAYW,EAAEI,EAAEgC,OAAO,SAAShD,EAAEN,GAAG,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAEvB,OAAOwB,IAAI,CAAC,IAAIC,EAAEF,EAAEC,GAAGE,EAAEe,EAAEhB,EAAEoD,IAAI,GAAGnD,EAAE,CAACA,EAAEkE,OAAO,IAAI,IAAIjE,EAAE,EAAEA,EAAED,EAAEuD,MAAMjF,OAAO2B,IAAID,EAAEuD,MAAMtD,GAAGF,EAAEwD,MAAMtD,IAAI,KAAKA,EAAEF,EAAEwD,MAAMjF,OAAO2B,IAAID,EAAEuD,MAAML,KAAKiB,EAAEpE,EAAEwD,MAAMtD,KAAKD,EAAEuD,MAAMjF,OAAOyB,EAAEwD,MAAMjF,SAAS0B,EAAEuD,MAAMjF,OAAOyB,EAAEwD,MAAMjF,YAAY,CAAC,IAAIgC,EAAE,GAAG,IAAIL,EAAE,EAAEA,EAAEF,EAAEwD,MAAMjF,OAAO2B,IAAIK,EAAE4C,KAAKiB,EAAEpE,EAAEwD,MAAMtD,KAAKc,EAAEhB,EAAEoD,IAAI,CAACA,GAAGpD,EAAEoD,GAAGe,KAAK,EAAEX,MAAMjD,KAAK,SAASY,IAAI,IAAIrB,EAAE4D,SAASW,cAAc,SAAS,OAAOvE,EAAEwE,KAAK,WAAW/D,EAAEgE,YAAYzE,GAAGA,EAAE,SAASsE,EAAEtE,GAAG,IAAIC,EAAEC,EAAEC,EAAEyD,SAASc,cAAc,2BAA2B1E,EAAEsD,GAAG,MAAM,GAAGnD,EAAE,CAAC,GAAGI,EAAE,OAAOF,EAAEF,EAAEwE,WAAWC,YAAYzE,GAAG,GAAGK,EAAE,CAAC,IAAIJ,EAAEkB,IAAInB,EAAEU,IAAIA,EAAEQ,KAAKpB,EAAE4E,EAAE5D,KAAK,KAAKd,EAAEC,GAAE,GAAIF,EAAE2E,EAAE5D,KAAK,KAAKd,EAAEC,GAAE,QAASD,EAAEkB,IAAIpB,EAAE6E,EAAE7D,KAAK,KAAKd,GAAGD,EAAE,WAAWC,EAAEwE,WAAWC,YAAYzE,IAAI,OAAOF,EAAED,GAAG,SAASG,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAEoD,MAAMvD,EAAEuD,KAAKpD,EAAEqD,QAAQxD,EAAEwD,OAAOrD,EAAEsD,YAAYzD,EAAEyD,UAAU,OAAOxD,EAAED,EAAEG,QAAQD,KAAK,IAAI6E,EAAErH,GAAGqH,EAAE,GAAG,SAAS/E,EAAEC,GAAG,OAAO8E,EAAE/E,GAAGC,EAAE8E,EAAEC,OAAOC,SAAS7B,KAAK,QAAQ,SAASyB,EAAE7E,EAAEC,EAAEC,EAAEC,GAAG,IAAIC,EAAEF,EAAE,GAAGC,EAAEoD,IAAI,GAAGvD,EAAEkF,WAAWlF,EAAEkF,WAAWC,QAAQzH,EAAEuC,EAAEG,OAAO,CAAC,IAAIc,EAAE0C,SAASwB,eAAehF,GAAGK,EAAET,EAAEqF,WAAW5E,EAAER,IAAID,EAAE4E,YAAYnE,EAAER,IAAIQ,EAAEhC,OAAOuB,EAAEsF,aAAapE,EAAET,EAAER,IAAID,EAAEyE,YAAYvD,IAAI,SAAS4D,EAAE9E,EAAEC,GAAG,IAAIC,EAAED,EAAEsD,IAAIpD,EAAEF,EAAEuD,MAAMpD,EAAEH,EAAEwD,UAAU,GAAGtD,GAAGH,EAAEuF,aAAa,QAAQpF,GAAGqC,EAAEgD,OAAOxF,EAAEuF,aAAa,kBAAkBtF,EAAEqD,IAAIlD,IAAIF,GAAG,mBAAmBE,EAAE8C,QAAQ,GAAG,MAAMhD,GAAG,uDAAuD2C,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU7C,MAAM,OAAOJ,EAAEkF,WAAWlF,EAAEkF,WAAWC,QAAQjF,MAAM,CAAC,KAAKF,EAAEyF,YAAYzF,EAAE4E,YAAY5E,EAAEyF,YAAYzF,EAAEyE,YAAYb,SAASwB,eAAelF,OAAO,CAAC,SAASF,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAc,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAwC,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAiC,SAASC,EAAEC,EAAEC,GAAG,aAAaF,EAAED,QAAQ,SAASC,EAAEC,GAAG,OAAOA,IAAIA,EAAE,IAAI,iBAAiBD,EAAEA,GAAGA,EAAEgB,WAAWhB,EAAEmB,QAAQnB,GAAGA,GAAG,eAAekE,KAAKlE,KAAKA,EAAEA,EAAEjB,MAAM,GAAG,IAAIkB,EAAEyF,OAAO1F,GAAGC,EAAEyF,MAAM,cAAcxB,KAAKlE,IAAIC,EAAE0F,WAAW,IAAIjD,OAAO1C,EAAE4F,QAAQ,KAAK,OAAOA,QAAQ,MAAM,OAAO,KAAK5F,KAAK,SAASA,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGA,EAAEkB,QAAQ,k/HAAk/H,SAASnB,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGA,EAAEkB,QAAQ,kzHAAkzH,SAASnB,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGA,EAAEkB,QAAQ,itHAAitH,SAASnB,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGA,EAAEkB,QAAQ,8gMAA8gM,SAASnB,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAG,IAAIE,EAAED,EAAE,GAAGA,EAAE;;;;;;;;;;;;;;;;;;;;;;;AAuBhivBC,EAAE0F,SAAStE,QAAQuE,gBAAgB,kDAAkDpD,OAAO,UAAU,6EAA6EvC,EAAE0F,SAAStE,QAAQwE,aAAY,EAAG9F,EAAEkB,QAAQhB,EAAE0F,UAAU,CAAC,SAAS7F,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAsC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAoB,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAmC,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAsC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAuC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAiD,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAoC,CAAC,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAA0C,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAA4B,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAwC,CAAC,CAAC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAsC,CAAC,SAASC,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAE6F,SAAShG,EAAED,QAAQI,EAAE6F,SAAQ,EAAG9F,EAAE,GAAGiB,SAAS,WAAWhB,GAAE,EAAG,KAAK,SAASH,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAE6F,SAAShG,EAAED,QAAQI,EAAE6F,SAAQ,EAAG9F,EAAE,GAAGiB,SAAS,WAAWhB,GAAE,EAAG,KAAK,SAASH,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAE6F,SAAShG,EAAED,QAAQI,EAAE6F,SAAQ,EAAG9F,EAAE,GAAGiB,SAAS,WAAWhB,GAAE,EAAG,KAAK,CAAC,SAASH,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAE6F,SAAShG,EAAED,QAAQI,EAAE6F,SAAQ,EAAG9F,EAAE,GAAGiB,SAAS,WAAWhB,GAAE,EAAG,KAAK,SAASH,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAsB,CAAC,CAAC,SAASC,EAAEC,KAAK,CAAC,SAASD,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEI,EAAED,EAAE,MAAMA,EAAE6F,SAAShG,EAAED,QAAQI,EAAE6F,SAAQ,EAAG9F,EAAE,GAAGiB,SAAS,WAAWhB,GAAE,EAAG,KAAK,SAASH,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKmD,KAAK,CAACrD,EAAEI,EAAE,4tDAA4tD,KAAKJ,EAAED,QAAQE,GAAG,SAASD,EAAEC,EAAEC,GAAG;;;;;;;;;;;;;;;;;;;;;;AAsBn/G,SAASC,EAAEH,EAAEC,EAAEC,GAAGZ,KAAKuB,EAAEb,EAAEV,KAAKqE,EAAE1D,EAAEX,KAAKgF,EAAEpE,EAAE,SAASE,EAAEJ,EAAEC,EAAEC,GAAG,IAAIE,EAAE,GAAGA,EAAEiD,KAAKpD,GAAG,IAAI,IAAIiB,EAAE,SAASlB,EAAEC,GAAG,IAAIC,EAAE,IAAI+F,MAAM,GAAG,OAAO/F,EAAE,IAAID,EAAE,GAAGY,EAAEZ,EAAE,GAAGY,GAAGb,EAAEE,EAAE,IAAID,EAAE,GAAG0D,EAAE1D,EAAE,GAAG0D,GAAG3D,EAAEE,EAAE,IAAID,EAAE,GAAGqE,EAAErE,EAAE,GAAGqE,GAAGtE,EAAEE,EAA7G,CAAgHF,EAAE,CAACC,EAAEC,IAAIO,EAAE,EAAEA,EAAET,EAAES,IAAI,CAAC,IAAII,EAAE7B,SAASiB,EAAEY,EAAEK,EAAE,GAAGT,EAAE,IAAIa,EAAEtC,SAASiB,EAAE0D,EAAEzC,EAAE,GAAGT,EAAE,IAAIF,EAAEvB,SAASiB,EAAEqE,EAAEpD,EAAE,GAAGT,EAAE,IAAIL,EAAEiD,KAAK,IAAIlD,EAAEU,EAAES,EAAEf,IAAI,OAAOH,EAtBgrGF,EAAE,IAsBhrGD,EAAEE,EAAE,SAASH,GAAGA,IAAIA,EAAE,GAAG,IAAIC,EAAE,IAAIE,EAAE,IAAI,GAAG,KAAKD,EAAE,IAAIC,EAAE,IAAI,IAAI,IAAIe,EAAE,IAAIf,EAAE,EAAE,IAAI,KAAKM,EAAEL,EAAEJ,EAAEC,EAAEC,GAAGW,EAAET,EAAEJ,EAAEE,EAAEgB,GAAGI,EAAElB,EAAEJ,EAAEkB,EAAEjB,GAAG,OAAOQ,EAAEiC,OAAO7B,GAAG6B,OAAOpB,KAAK,CAAC,CAAC,SAAStB,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,KAAqB,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAoB,SAASC,EAAEC,KAAK,CAAC,CAAC,SAASD,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGC,EAAE,IAAIA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAE,CAAC+F,KAAK,kBAAkBC,MAAM,CAACC,KAAK,CAAC5B,KAAK9D,OAAO2F,UAAS,EAAGlF,QAAQ,WAAW,MAAM,CAAClC,IAAI,iBAAiBqH,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,cAAcC,UAAU,SAASzG,GAAG,OAAOA,EAAE0G,QAAQ,IAAI,CAAC,OAAO,YAAYC,QAAQ3G,EAAE0G,UAAUE,SAAS,CAAC3H,IAAI,WAAW,OAAOK,KAAK8G,KAAKnH,IAAIK,KAAK8G,KAAKnH,IAAI4H,KAAKC,MAAM,GAAGD,KAAKE,SAAS,KAAKpE,SAAS,KAAKqE,UAAU,WAAW,IAAI,OAAO,IAAIC,IAAI3H,KAAK8G,KAAKG,OAAM,EAAG,MAAMvG,GAAG,OAAM,KAAMkH,QAAQ,CAACC,OAAO,SAASnH,GAAGV,KAAK8G,KAAKe,QAAQ7H,KAAK8G,KAAKe,OAAOnH,MAAMI,GAAGF,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIgB,EAAE,CAACgF,KAAK,cAAckB,WAAW,CAACC,gBAAgB3G,OAAON,EAAED,EAATO,CAAYP,GAAE,WAAY,IAAIH,EAAEV,KAAKW,EAAED,EAAEsH,eAAepH,EAAEF,EAAEuH,MAAMC,IAAIvH,EAAE,OAAOC,EAAE,KAAK,CAACF,EAAEoG,KAAKE,KAAKpG,EAAE,IAAI,CAACuH,YAAY,YAAYC,MAAM,CAACpB,KAAKtG,EAAEoG,KAAKE,KAAKtG,EAAEoG,KAAKE,KAAK,IAAIqB,OAAO3H,EAAEoG,KAAKuB,OAAO3H,EAAEoG,KAAKuB,OAAO,GAAGC,SAAS5H,EAAEoG,KAAKwB,SAASC,IAAI,uBAAuBC,GAAG,CAACC,MAAM/H,EAAEmH,SAAS,CAACnH,EAAEgH,UAAU9G,EAAE,MAAM,CAACwH,MAAM,CAACM,IAAIhI,EAAEoG,KAAKG,QAAQrG,EAAE,OAAO,CAAC+H,MAAMjI,EAAEoG,KAAKG,OAAOvG,EAAEkI,GAAG,KAAKlI,EAAEoG,KAAKI,MAAMxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACA,EAAE,SAAS,CAACuH,YAAY,iBAAiB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,cAActG,EAAE,MAAMF,EAAEkI,GAAG,KAAKhI,EAAE,OAAO,CAACuH,YAAY,wBAAwB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,gBAAgBnI,EAAEoG,KAAKI,KAAKtG,EAAE,OAAO,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,YAAYxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,YAAYnI,EAAEqI,OAAOrI,EAAEoG,KAAKM,MAAMxG,EAAE,OAAO,CAACuH,YAAY,WAAWQ,MAAM,CAACK,OAAOtI,EAAEoG,KAAKkC,SAAS,CAAC,aAAatI,EAAEoG,KAAKM,MAAMxG,EAAE,OAAO,CAAC+H,MAAMjI,EAAEoG,KAAKG,OAAOvG,EAAEqI,KAAKrI,EAAEkI,GAAG,KAAK,SAASlI,EAAEoG,KAAKM,MAAMxG,EAAE,OAAO,CAAC+H,MAAMjI,EAAEoG,KAAKM,MAAMoB,GAAG,CAACS,OAAO,SAAStI,GAAG,OAAOA,EAAEuI,iBAAiBxI,EAAEoG,KAAKe,OAAOlH,MAAM,CAACC,EAAE,QAAQ,CAACwH,MAAM,CAAClD,KAAKxE,EAAEoG,KAAKM,MAAM+B,YAAYzI,EAAEoG,KAAKI,KAAKH,SAAS,IAAIqC,SAAS,CAACvJ,MAAMa,EAAEoG,KAAKjH,SAASa,EAAEkI,GAAG,KAAKhI,EAAE,QAAQ,CAACuH,YAAY,eAAeC,MAAM,CAAClD,KAAK,SAASrF,MAAM,QAAQ,CAAC,aAAaa,EAAEoG,KAAKM,MAAMxG,EAAE,QAAQ,CAACyI,WAAW,CAAC,CAACzC,KAAK,QAAQ0C,QAAQ,UAAUzJ,MAAMa,EAAEoG,KAAKyC,MAAMC,WAAW,eAAeb,MAAMjI,EAAEoG,KAAKM,MAAMgB,MAAM,CAACpE,GAAGtD,EAAEf,IAAIuF,KAAK,YAAYkE,SAAS,CAACK,QAAQ9C,MAAM+C,QAAQhJ,EAAEoG,KAAKyC,OAAO7I,EAAEiJ,GAAGjJ,EAAEoG,KAAKyC,MAAM,OAAO,EAAE7I,EAAEoG,KAAKyC,OAAOf,GAAG,CAACoB,OAAO,CAAC,SAASjJ,GAAG,IAAIC,EAAEF,EAAEoG,KAAKyC,MAAM1I,EAAEF,EAAE0H,OAAOvH,IAAID,EAAE4I,QAAQ,GAAG9C,MAAM+C,QAAQ9I,GAAG,CAAC,IAAIgB,EAAElB,EAAEiJ,GAAG/I,EAAE,MAAMC,EAAE4I,QAAQ7H,EAAE,GAAGlB,EAAEmJ,KAAKnJ,EAAEoG,KAAK,QAAQlG,EAAEwC,OAAO,CAAC,QAAQxB,GAAG,GAAGlB,EAAEmJ,KAAKnJ,EAAEoG,KAAK,QAAQlG,EAAEnB,MAAM,EAAEmC,GAAGwB,OAAOxC,EAAEnB,MAAMmC,EAAE,UAAUlB,EAAEmJ,KAAKnJ,EAAEoG,KAAK,QAAQhG,IAAIJ,EAAEoG,KAAKe,WAAW,UAAUnH,EAAEoG,KAAKM,MAAMxG,EAAE,QAAQ,CAACyI,WAAW,CAAC,CAACzC,KAAK,QAAQ0C,QAAQ,UAAUzJ,MAAMa,EAAEoG,KAAKyC,MAAMC,WAAW,eAAeb,MAAMjI,EAAEoG,KAAKM,MAAMgB,MAAM,CAACpE,GAAGtD,EAAEf,IAAIuF,KAAK,SAASkE,SAAS,CAACK,QAAQ/I,EAAEoJ,GAAGpJ,EAAEoG,KAAKyC,MAAM,OAAOf,GAAG,CAACoB,OAAO,CAAC,SAASjJ,GAAG,OAAOD,EAAEmJ,KAAKnJ,EAAEoG,KAAK,QAAQ,OAAOpG,EAAEoG,KAAKe,WAAWjH,EAAE,QAAQ,CAACyI,WAAW,CAAC,CAACzC,KAAK,QAAQ0C,QAAQ,UAAUzJ,MAAMa,EAAEoG,KAAKyC,MAAMC,WAAW,eAAeb,MAAMjI,EAAEoG,KAAKM,MAAMgB,MAAM,CAACpE,GAAGtD,EAAEf,IAAIuF,KAAKxE,EAAEoG,KAAKM,OAAOgC,SAAS,CAACvJ,MAAMa,EAAEoG,KAAKyC,OAAOf,GAAG,CAACoB,OAAOlJ,EAAEoG,KAAKe,OAAOT,MAAM,SAASzG,GAAGA,EAAE0H,OAAO0B,WAAWrJ,EAAEmJ,KAAKnJ,EAAEoG,KAAK,QAAQnG,EAAE0H,OAAOxI,WAAWa,EAAEkI,GAAG,KAAKhI,EAAE,QAAQ,CAACwH,MAAM,CAAC4B,IAAItJ,EAAEf,KAAK6I,GAAG,CAACC,MAAM,SAAS9H,GAAG,OAAOA,EAAEsJ,kBAAkBtJ,EAAEuI,iBAAiBxI,EAAEoG,KAAKe,OAAOlH,MAAM,CAACD,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,gBAAgB,GAAGxG,EAAEoG,KAAKe,OAAOjH,EAAE,SAAS,CAACuH,YAAY,qBAAqBQ,MAAM,CAACK,OAAOtI,EAAEoG,KAAKkC,QAAQZ,MAAM,CAAC8B,SAASxJ,EAAEoG,KAAKoD,UAAU1B,GAAG,CAACC,MAAM,SAAS9H,GAAG,OAAOA,EAAEsJ,kBAAkBtJ,EAAEuI,iBAAiBxI,EAAEoG,KAAKe,OAAOlH,MAAM,CAACC,EAAE,OAAO,CAAC+H,MAAMjI,EAAEoG,KAAKG,OAAOvG,EAAEkI,GAAG,KAAKlI,EAAEoG,KAAKI,MAAMxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACA,EAAE,SAAS,CAACuH,YAAY,iBAAiB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,cAActG,EAAE,MAAMF,EAAEkI,GAAG,KAAKhI,EAAE,OAAO,CAACuH,YAAY,wBAAwB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,gBAAgBnI,EAAEoG,KAAKI,KAAKtG,EAAE,OAAO,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,YAAYxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,YAAYnI,EAAEqI,OAAOnI,EAAE,OAAO,CAACuH,YAAY,WAAWQ,MAAM,CAACK,OAAOtI,EAAEoG,KAAKkC,SAAS,CAACpI,EAAE,OAAO,CAAC+H,MAAMjI,EAAEoG,KAAKG,OAAOvG,EAAEkI,GAAG,KAAKlI,EAAEoG,KAAKI,MAAMxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACA,EAAE,SAAS,CAACuH,YAAY,iBAAiB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,cAActG,EAAE,MAAMF,EAAEkI,GAAG,KAAKhI,EAAE,OAAO,CAACuH,YAAY,wBAAwB,CAACzH,EAAEkI,GAAG,aAAalI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,gBAAgBnI,EAAEoG,KAAKI,KAAKtG,EAAE,OAAO,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAKI,MAAM,YAAYxG,EAAEoG,KAAK+B,SAASjI,EAAE,IAAI,CAACF,EAAEkI,GAAG,WAAWlI,EAAEoI,GAAGpI,EAAEoG,KAAK+B,UAAU,YAAYnI,EAAEqI,WAAW,IAAG,EAAG,KAAK,WAAW,MAAMtI,SAASoG,MAAM,CAACsD,KAAK,CAACjF,KAAKyB,MAAM9E,QAAQ,WAAW,MAAM,CAAC,CAACmF,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,eAAeH,UAAS,KAAM5F,GAAGP,EAAE,IAAIA,EAAE,KAAKW,EAAEX,EAAEgB,EAAET,GAAGa,EAAEZ,OAAON,EAAED,EAATO,CAAYQ,GAAE,WAAY,IAAIlB,EAAEV,KAAKgI,eAAerH,EAAEX,KAAKiI,MAAMC,IAAIxH,EAAE,OAAOC,EAAE,KAAKX,KAAKoK,GAAGpK,KAAKmK,MAAK,SAAUzJ,EAAEE,GAAG,OAAOD,EAAE,kBAAkB,CAAChB,IAAIiB,EAAEwH,MAAM,CAACtB,KAAKpG,QAAQ,KAAK,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBa,EAAEV,GAAGU,IAAIS,GAAG,IAAIf,EAAEe,EAAEvB;;;;;;;;;;;;;;;;;;;;;GAqBjyKE,EAAEkB,QAAQZ,GAAG,CAAC,CAAC,SAASP,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGC,EAAE,IAAIA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,IAAIE,EAAEF,EAAE,IAAIgB,EAAEhB,EAAE,IAAIO,EAAEP,EAAE,IAAIW,EAAEX,EAAEgB,EAAET,GAAGa,EAAEpB,EAAE,IAAIK,EAAEL,EAAE,IAAIG,EAAEH,EAAE,IAAI,SAASsC,EAAExC,EAAEC,EAAEC,EAAEC,EAAEC,EAAEc,EAAET,GAAG,IAAI,IAAII,EAAEb,EAAEkB,GAAGT,GAAGa,EAAET,EAAE1B,MAAM,MAAMa,GAAG,YAAYE,EAAEF,GAAGa,EAAE8I,KAAK1J,EAAEqB,GAAGsI,QAAQC,QAAQvI,GAAGwI,KAAK3J,EAAEC,GAAG,SAASI,EAAER,GAAG,OAAO,WAAW,IAAIC,EAAEX,KAAKY,EAAEd,UAAU,OAAO,IAAIwK,SAAQ,SAAUzJ,EAAEC,GAAG,IAAIc,EAAElB,EAAE+J,MAAM9J,EAAEC,GAAG,SAASO,EAAET,GAAGwC,EAAEtB,EAAEf,EAAEC,EAAEK,EAAEI,EAAE,OAAOb,GAAG,SAASa,EAAEb,GAAGwC,EAAEtB,EAAEf,EAAEC,EAAEK,EAAEI,EAAE,QAAQb,GAAGS,OAAE,OAAY,IAAIkD,EAAE,CAACuC,KAAK,SAASyC,WAAW,CAACqB,QAAQzJ,EAAEY,QAAQ8I,aAAa9J,EAAE+J,WAAW9C,WAAW,CAAC+C,YAAY/J,EAAEe,SAASgF,MAAM,CAACiE,IAAI,CAAC5F,KAAK6F,OAAOlJ,aAAQ,GAAQmJ,UAAU,CAAC9F,KAAK6F,OAAOlJ,aAAQ,GAAQoJ,KAAK,CAAC/F,KAAK6F,OAAOlJ,aAAQ,GAAQqJ,QAAQ,CAAChG,KAAKS,QAAQ9D,SAAQ,GAAIsJ,YAAY,CAACjG,KAAK6F,OAAOlJ,aAAQ,GAAQuJ,KAAK,CAAClG,KAAKmG,OAAOxJ,QAAQ,IAAIyJ,iBAAiB,CAACpG,KAAKS,QAAQ9D,SAAQ,GAAI0J,eAAe,CAACrG,KAAKS,QAAQ9D,SAAQ,GAAI2J,YAAY,CAACtG,KAAKS,QAAQ9D,SAAQ,GAAI4J,eAAe,CAACvG,KAAK6F,OAAOlJ,QAAQ,MAAM6J,SAAS,CAACxG,KAAKS,QAAQ9D,SAAQ,GAAI8J,OAAO,CAACzG,KAAK6F,OAAOlJ,QAAQ,KAAKsF,UAAU,SAASzG,GAAG,OAAOA,GAAG,IAAI,WAAW,IAAI,WAAW,IAAI,UAAU,OAAM,EAAG,OAAM,IAAKkL,YAAY,CAAC1G,KAAK,CAACmG,OAAON,QAAQlJ,QAAQ,KAAKsF,UAAU,SAASzG,GAAG,MAAM,wBAAwBkE,KAAKlE,KAAKmL,aAAa,CAAC3G,KAAK6F,OAAOlJ,QAAQ,WAAWiK,KAAK,WAAW,MAAM,CAACC,gBAAgB,KAAKC,mBAAmB,KAAKC,kBAAiB,EAAGC,gBAAe,EAAGC,cAAa,EAAGC,oBAAoB,GAAGC,uBAAsB,IAAK/E,SAAS,CAACgF,kBAAkB,WAAW,OAAOtM,KAAKuM,qBAAqBvM,KAAKmL,YAAYnL,KAAKwM,cAAcxM,KAAKiL,KAAK,IAAIuB,cAAc,WAAW,YAAO,IAASxM,KAAKiL,MAAMsB,qBAAqB,WAAW,YAAO,IAASvM,KAAKmL,aAAasB,aAAa,WAAW,YAAO,IAASzM,KAAK8K,KAAK4B,QAAQ,WAAW,IAAIhM,EAAE,OAAOV,KAAKwL,cAAcxL,KAAKmM,aAAanM,KAAKmK,KAAKhL,OAAO,IAAIa,KAAKiL,QAAQ,QAAQvK,EAAEU,OAAOQ,EAAE+K,eAATvL,UAA6B,IAASV,OAAE,EAAOA,EAAEkM,MAAM5M,KAAKiM,kBAAkBjM,KAAK8K,OAAO+B,sBAAsB,WAAW,OAAO7M,KAAKsL,kBAAkBtL,KAAKiM,kBAAkBa,YAAY,WAAW,IAAIpM,EAAE,CAACqM,MAAM/M,KAAKoL,KAAK,KAAK4B,OAAOhN,KAAKoL,KAAK,KAAK6B,WAAWjN,KAAKoL,KAAK,KAAK8B,SAAS3F,KAAKC,MAAM,IAAIxH,KAAKoL,MAAM,MAAM,IAAIpL,KAAKgL,YAAYhL,KAAKgM,mBAAmB,CAAC,IAAIrL,EAAES,OAAOL,EAAEc,QAATT,CAAkBpB,KAAKsM,mBAAmB5L,EAAEyM,gBAAgB,OAAOxM,EAAEY,EAAE,KAAKZ,EAAE0D,EAAE,KAAK1D,EAAEqE,EAAE,IAAI,OAAOtE,GAAGgK,QAAQ,WAAW,OAAO1K,KAAKuL,iBAAiBvL,KAAKyL,eAAezL,KAAKyL,eAAezL,KAAKmL,cAAciC,SAAS,WAAW,OAAOpN,KAAK6M,sBAAsB7M,KAAKsM,kBAAkBe,OAAO,GAAGC,cAAc,KAAKnD,KAAK,WAAW,OAAOnK,KAAKoM,oBAAoB9I,KAAI,SAAU5C,GAAG,MAAM,CAACsG,KAAKtG,EAAE6M,UAAUtG,KAAKvG,EAAEuG,KAAKC,KAAKxG,EAAE8M,YAAYC,MAAM,CAAC3C,IAAI,WAAW9K,KAAKiM,kBAAiB,EAAGjM,KAAK0N,iBAAiBzC,KAAK,WAAWjL,KAAKiM,kBAAiB,EAAGjM,KAAKmM,cAAa,EAAGnM,KAAK0N,kBAAkBC,QAAQ,WAAW3N,KAAK0N,iBAAiB9F,QAAQ,CAACgG,WAAW,WAAW,IAAIlN,EAAEV,KAAK,OAAOkB,EAAE2M,mBAAmBC,MAAK,SAAUnN,IAAI,OAAOkN,mBAAmBE,MAAK,SAAUpN,GAAG,OAAO,OAAOA,EAAEqN,KAAKrN,EAAEsN,MAAM,KAAK,EAAE,GAAGvN,EAAEgM,QAAQ,CAAC/L,EAAEsN,KAAK,EAAE,MAAM,OAAOtN,EAAEuN,OAAO,UAAU,KAAK,EAAE,GAAGxN,EAAE2L,sBAAsB,CAAC1L,EAAEsN,KAAK,EAAE,MAAM,OAAOtN,EAAEsN,KAAK,EAAEvN,EAAEyN,oBAAoB,KAAK,EAAEzN,EAAE2L,uBAAuB3L,EAAE2L,sBAAsB,KAAK,EAAE,IAAI,MAAM,OAAO1L,EAAEyN,UAAUzN,MAAnWO,IAA6WmN,UAAU,WAAWrO,KAAKqM,uBAAsB,GAAI8B,kBAAkB,WAAW,IAAIzN,EAAEV,KAAK,OAAOkB,EAAE2M,mBAAmBC,MAAK,SAAUnN,IAAI,IAAIC,EAAEC,EAAEC,EAAE,OAAO+M,mBAAmBE,MAAK,SAAUpN,GAAG,OAAO,OAAOA,EAAEqN,KAAKrN,EAAEsN,MAAM,KAAK,EAAE,OAAOtN,EAAEqN,KAAK,EAAEpN,EAAE6C,mBAAmB/C,EAAEuK,MAAMtK,EAAEsN,KAAK,EAAE1M,EAAEV,EAAEyN,KAAKlN,OAAOY,EAAEuM,YAATnN,CAAsB,wBAAwB,yBAAyBgC,OAAOxC,IAAI,KAAK,EAAEC,EAAEF,EAAE6N,KAAK1N,EAAED,EAAEiL,KAAKpL,EAAE0L,oBAAoBtL,EAAE2N,UAAU,CAAC3N,EAAE2N,WAAWrL,OAAOtC,EAAE4N,SAAS5N,EAAE4N,QAAQ/N,EAAEsN,KAAK,GAAG,MAAM,KAAK,EAAEtN,EAAEqN,KAAK,EAAErN,EAAEgO,GAAGhO,EAAEiO,MAAM,GAAGlO,EAAE2L,uBAAsB,EAAG,KAAK,GAAG3L,EAAEyL,cAAa,EAAG,KAAK,GAAG,IAAI,MAAM,OAAOxL,EAAEyN,UAAUzN,EAAE,KAAK,CAAC,CAAC,EAAE,QAA7gBO,IAAyhBwM,cAAc,WAAW,IAAIhN,EAAEV,KAAK,GAAGA,KAAKkM,gBAAe,GAAIlM,KAAKyM,gBAAgBzM,KAAKwM,eAAexM,KAAK0L,UAAU,OAAO1L,KAAKkM,gBAAe,OAAQlM,KAAKiM,kBAAiB,GAAI,IAAItL,EAAE,SAASA,EAAEC,GAAG,IAAIC,EAAEC,EAAE,wBAAwBJ,EAAEwK,UAAUpK,EAAE,+BAA+B,IAAIK,EAAEC,OAAOY,EAAEuM,YAATnN,CAAsBN,EAAE,CAACmK,KAAKtK,EAAEyK,KAAKxK,IAAI,OAAOD,KAAK,QAAQE,EAAEO,OAAOQ,EAAE+K,eAATvL,UAA6B,IAASP,OAAE,EAAOA,EAAE+L,MAAM,oBAAoBiC,gBAAgB1N,GAAG,MAAM0N,cAAcC,OAAOC,SAAS5N,GAAGP,EAAED,EAAEX,KAAKiL,KAAKjL,KAAKoL,MAAMpL,KAAKyM,eAAe7L,EAAEZ,KAAK8K,KAAK,IAAIjK,EAAE,CAACD,EAAE,MAAMD,EAAEX,KAAKiL,KAAK,EAAEjL,KAAKoL,MAAM,MAAMzK,EAAEX,KAAKiL,KAAK,EAAEjL,KAAKoL,MAAM,OAAOtH,KAAK,MAAMhD,EAAE,IAAIkO,MAAMlO,EAAEmO,OAAO,WAAWvO,EAAEqL,gBAAgBnL,EAAEF,EAAE+L,eAAe/L,EAAEsL,mBAAmBnL,GAAGH,EAAEwL,gBAAe,GAAIpL,EAAEoO,QAAQ,WAAWxO,EAAEuL,kBAAiB,EAAGvL,EAAEwL,gBAAe,GAAIlM,KAAKyM,eAAe3L,EAAEqO,OAAOtO,GAAGC,EAAE4H,IAAI9H,KAAKI,GAAGJ,EAAE,IAAIA,EAAE,IAAImB,EAAEnB,EAAE,IAAIoE,EAAEpE,EAAEgB,EAAEG,GAAG0D,EAAErE,OAAOJ,EAAEH,EAATO,CAAYiD,GAAE,WAAY,IAAI3D,EAAEV,KAAKW,EAAED,EAAEsH,eAAepH,EAAEF,EAAEuH,MAAMC,IAAIvH,EAAE,OAAOC,EAAE,MAAM,CAACyI,WAAW,CAAC,CAACzC,KAAK,UAAU0C,QAAQ,YAAYzJ,MAAMa,EAAEgK,QAAQlB,WAAW,WAAW,CAAC5C,KAAK,gBAAgB0C,QAAQ,kBAAkBzJ,MAAMa,EAAE2N,UAAU7E,WAAW,cAAcrB,YAAY,gCAAgCQ,MAAM,CAAC,qBAAqBjI,EAAEuL,iBAAiB,uBAAuBvL,EAAEgM,SAAS0C,MAAM1O,EAAEoM,YAAYtE,GAAG,CAACC,MAAM/H,EAAEkN,aAAa,CAAClN,EAAEsK,UAAUpK,EAAE,MAAM,CAACuH,YAAY,oBAAoBQ,MAAMjI,EAAEsK,YAAYtK,EAAEwL,iBAAiBxL,EAAEuL,iBAAiBrL,EAAE,MAAM,CAACwH,MAAM,CAACM,IAAIhI,EAAEqL,gBAAgBoD,OAAOzO,EAAEsL,sBAAsBtL,EAAEqI,KAAKrI,EAAEkI,GAAG,KAAKlI,EAAEgM,QAAQ9L,EAAE,MAAM,CAACuH,YAAY,cAAczH,EAAEqI,KAAKrI,EAAEkI,GAAG,KAAKlI,EAAEiL,OAAO/K,EAAE,MAAM,CAACuH,YAAY,oBAAoBQ,MAAM,sBAAsBjI,EAAEiL,OAAOyD,MAAM,CAACjC,gBAAgB,IAAIzM,EAAEkL,cAAc,CAAC,YAAYlL,EAAEiL,OAAO/K,EAAE,MAAM,CAACwH,MAAM,CAACiH,MAAM,6BAA6BtC,MAAM,KAAKC,OAAO,KAAKsC,QAAQ,mBAAmB,CAAC1O,EAAE,OAAO,CAACwO,MAAM,CAACG,KAAK,IAAI7O,EAAEkL,aAAaxD,MAAM,CAAClH,EAAE,kDAAkDsO,OAAO,OAAO,eAAe,OAAO,iBAAiB,cAAc9O,EAAEqI,OAAOrI,EAAEqI,KAAKrI,EAAEkI,GAAG,KAAKlI,EAAEuL,iBAAiBrL,EAAE,MAAM,CAACuH,YAAY,WAAW,CAACzH,EAAEkI,GAAG,SAASlI,EAAEoI,GAAGpI,EAAE0M,UAAU,UAAU1M,EAAEqI,KAAKrI,EAAEkI,GAAG,KAAKlI,EAAEgM,QAAQ9L,EAAE,MAAM,CAACyI,WAAW,CAAC,CAACzC,KAAK,OAAO0C,QAAQ,SAASzJ,MAAMa,EAAE2L,sBAAsB7C,WAAW,0BAA0BrB,YAAY,cAAcQ,MAAM,QAAQjI,EAAEmL,cAAc,CAACjL,EAAE,cAAc,CAACwH,MAAM,CAAC,UAAU1H,EAAE2L,sBAAsBlC,KAAKzJ,EAAEyJ,SAAS,GAAGzJ,EAAEqI,SAAS,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmB/D,EAAEnE,GAAGmE,IAAIS,GAAG,IAAIrH,EAAEqH,EAAEhF;;;;;;;;;;;;;;;;;;;;;GAqBhvME,EAAEkB,QAAQzD,GAAG,SAASsC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAQ,CAAC,CAAC,CAAC,SAASC,EAAEC,EAAEC,GAAG,aAAaA,EAAEW,EAAEZ,GAAGC,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,IAAIE,EAAEF,EAAEgB,EAAEf,GAAGe,EAAEhB,EAAE,IAAySD,EAAEkB,QAArS,SAASnB,GAAG,IAAIC,EAAED,EAAEoE,cAA8F,OAAhF,OAAOnE,EAAE8O,MAAM,0BAA0B9O,EAAEG,IAAIH,IAAIA,EAAEA,EAAE2F,QAAQ,aAAa,IAAWlF,OAAOQ,EAAEf,EAATO,CAAY,GAAG,SAASV,EAAEC,GAAG,IAAI,IAAIC,EAAE,EAAEC,EAAE,GAAGC,EAAE,EAAEA,EAAEJ,EAAEvB,OAAO2B,IAAID,EAAEkD,KAAKrE,SAASgB,EAAE2M,OAAOvM,GAAG,IAAI,IAAI,IAAI,IAAIc,KAAKf,EAAED,GAAGC,EAAEe,GAAG,OAAOlC,SAASA,SAASkB,EAAE,IAAa,GAAP,IAA9I,CAAmJD,MAAqB,CAAC,CAAC,CAAC,CAAC,SAASD,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAA+B,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAgC,SAASC,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAkC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,SAASC,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEgB,EAAEf,GAAGA,GAAG,SAASH,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKmD,KAAK,CAACrD,EAAEI,EAAE,kZAAkZ,KAAKJ,EAAED,QAAQE,GAAG,SAASD,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEgB,EAAEf,GAAGA,GAAG,SAASH,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKmD,KAAK,CAACrD,EAAEI,EAAE,i9NAAi9N,KAAKJ,EAAED,QAAQE,GAAG,SAASD,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEgB,EAAEf,GAAGA,GAAG,SAASH,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKmD,KAAK,CAACrD,EAAEI,EAAE,4DAA4D,KAAKJ,EAAED,QAAQE,GAAG,SAASD,EAAEC,GAAGD,EAAED,QAAQ,EAAQ,MAAoC,CAAC,CAAC,CAAC,CAAC,SAASC,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEgB,EAAEf,GAAGA,GAAG,SAASH,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,GAAGE,EAAEF,EAAE,GAAGgB,EAAEhB,EAAE,GAAGO,EAAEP,EAAE,IAAIW,EAAEX,EAAE,IAAIoB,EAAEpB,EAAE,IAAID,EAAEE,GAAE,GAAI,IAAII,EAAEH,EAAEc,GAAGb,EAAED,EAAEK,GAAG+B,EAAEpC,EAAES,GAAGL,EAAEJ,EAAEkB,GAAGrB,EAAEoD,KAAK,CAACrD,EAAEI,EAAE,yDAAyDG,EAAE,aAAaA,EAAE,qCAAqCF,EAAE,wBAAwBmC,EAAE,4BAA4BhC,EAAE,ggHAAggH,KAAKR,EAAED,QAAQE,M,kBCvF/xY,IAAI+O,EAAU,CAEZC,KAAM,CAEJC,cAAe,SAASC,GACtB,OAAOH,EAAQI,IAAIF,cAAcpM,SAASC,mBAAmBoM,MAI/DE,cAAe,SAASC,GACtB,OAAOC,mBAAmBC,OAAOR,EAAQI,IAAIC,cAAcC,OAK/DF,IAAK,CAEHF,cAAe,SAASC,GACtB,IAAK,IAAIG,EAAQ,GAAIlP,EAAI,EAAGA,EAAI+O,EAAI1Q,OAAQ2B,IAC1CkP,EAAMjM,KAAyB,IAApB8L,EAAItQ,WAAWuB,IAC5B,OAAOkP,GAITD,cAAe,SAASC,GACtB,IAAK,IAAIH,EAAM,GAAI/O,EAAI,EAAGA,EAAIkP,EAAM7Q,OAAQ2B,IAC1C+O,EAAI9L,KAAKgH,OAAOoF,aAAaH,EAAMlP,KACrC,OAAO+O,EAAI/L,KAAK,OAKtBtD,EAAOC,QAAUiP,G,oBChCjB,IAiBIU,EAAOC,EAASC,EAjBhB5S,EAAS,EAAQ,GACjBO,EAAQ,EAAQ,GAChBH,EAAU,EAAQ,IAClB6D,EAAO,EAAQ,IACf4O,EAAO,EAAQ,KACftL,EAAgB,EAAQ,IACxBuL,EAAS,EAAQ,KAEjBC,EAAW/S,EAAO+S,SAClBC,EAAMhT,EAAOiT,aACbC,EAAQlT,EAAOmT,eACfC,EAAUpT,EAAOoT,QACjBC,EAAiBrT,EAAOqT,eACxBC,EAAWtT,EAAOsT,SAClBC,EAAU,EACVC,EAAQ,GAIRC,EAAM,SAAUnN,GAElB,GAAIkN,EAAMpP,eAAekC,GAAK,CAC5B,IAAIoN,EAAKF,EAAMlN,UACRkN,EAAMlN,GACboN,MAIAC,EAAS,SAAUrN,GACrB,OAAO,WACLmN,EAAInN,KAIJsN,EAAW,SAAUC,GACvBJ,EAAII,EAAMzF,OAGRwC,EAAO,SAAUtK,GAEnBtG,EAAO8T,YAAYxN,EAAK,GAAIyM,EAASgB,SAAW,KAAOhB,EAASiB,OAI7DhB,GAAQE,IACXF,EAAM,SAAsBU,GAG1B,IAFA,IAAIO,EAAO,GACP7Q,EAAI,EACDhB,UAAUX,OAAS2B,GAAG6Q,EAAK5N,KAAKjE,UAAUgB,MAMjD,OALAoQ,IAAQD,GAAW,YAEH,mBAANG,EAAmBA,EAAKQ,SAASR,IAAK3G,WAAMoH,EAAWF,IAEjEvB,EAAMa,GACCA,GAETL,EAAQ,SAAwB5M,UACvBkN,EAAMlN,IAGS,WAApBlG,EAAQgT,GACVV,EAAQ,SAAUpM,GAChB8M,EAAQgB,SAAST,EAAOrN,KAGjBgN,GAAYA,EAASe,IAC9B3B,EAAQ,SAAUpM,GAChBgN,EAASe,IAAIV,EAAOrN,KAIb+M,IAAmBP,GAE5BF,GADAD,EAAU,IAAIU,GACCiB,MACf3B,EAAQ4B,MAAMC,UAAYZ,EAC1BlB,EAAQzO,EAAK2O,EAAKkB,YAAalB,EAAM,KAIrC5S,EAAOyU,kBACe,mBAAfX,aACN9T,EAAO0U,eACPnU,EAAMqQ,IACe,UAAtBmC,EAASgB,SAMTrB,EAzEqB,uBAwEUnL,EAAc,UACrC,SAAUjB,GAChBuM,EAAKpL,YAAYF,EAAc,WAA6B,mBAAI,WAC9DsL,EAAKjL,YAAYtF,MACjBmR,EAAInN,KAKA,SAAUA,GAChBqO,WAAWhB,EAAOrN,GAAK,KAbzBoM,EAAQ9B,EACR5Q,EAAOyU,iBAAiB,UAAWb,GAAU,KAiBjD9Q,EAAOC,QAAU,CACfiQ,IAAKA,EACLE,MAAOA,I,oBCzGT,IAAI/L,EAAY,EAAQ,KAExBrE,EAAOC,QAAU,mCAAmCmE,KAAKC,I,iCCDzD,IAAIyN,EAAY,EAAQ,KAEpBC,EAAoB,SAAUC,GAChC,IAAIjI,EAASkI,EACbzS,KAAK0S,QAAU,IAAIF,GAAE,SAAUG,EAAWC,GACxC,QAAgBf,IAAZtH,QAAoCsH,IAAXY,EAAsB,MAAMI,UAAU,2BACnEtI,EAAUoI,EACVF,EAASG,KAEX5S,KAAKuK,QAAU+H,EAAU/H,GACzBvK,KAAKyS,OAASH,EAAUG,IAI1BjS,EAAOC,QAAQrC,EAAI,SAAUoU,GAC3B,OAAO,IAAID,EAAkBC,K,oBChB/B,IACMM,EACAnD,EACAoD,EACAjD,EAGJkD,EANIF,EAAQ,EAAQ,KAChBnD,EAAO,EAAQ,KAAWA,KAC1BoD,EAAW,EAAQ,KACnBjD,EAAM,EAAQ,KAAWA,KAG7BkD,EAAM,SAAUC,EAAShR,GAEnBgR,EAAQ3S,aAAeyK,OAEvBkI,EADEhR,GAAgC,WAArBA,EAAQiR,SACXpD,EAAIF,cAAcqD,GAElBtD,EAAKC,cAAcqD,GACxBF,EAASE,GAChBA,EAAUtM,MAAMjI,UAAUe,MAAMS,KAAK+S,EAAS,GACtCtM,MAAM+C,QAAQuJ,KACtBA,EAAUA,EAAQ5P,YAWpB,IARA,IAAIrC,EAAI8R,EAAMK,aAAaF,GACvBlS,EAAqB,EAAjBkS,EAAQ9T,OACZ0B,EAAK,WACLmE,GAAK,UACL/D,GAAK,WACLC,EAAK,UAGAJ,EAAI,EAAGA,EAAIE,EAAE7B,OAAQ2B,IAC5BE,EAAEF,GAAsC,UAA/BE,EAAEF,IAAO,EAAME,EAAEF,KAAO,IACO,YAA/BE,EAAEF,IAAM,GAAOE,EAAEF,KAAQ,GAIpCE,EAAED,IAAM,IAAM,KAASA,EAAI,GAC3BC,EAA4B,IAAvBD,EAAI,KAAQ,GAAM,IAAWA,EAGlC,IAAIqS,EAAKJ,EAAIK,IACTC,EAAKN,EAAIO,IACTC,EAAKR,EAAIS,IACTC,EAAKV,EAAIW,IAEb,IAAS7S,EAAI,EAAGA,EAAIE,EAAE7B,OAAQ2B,GAAK,GAAI,CAErC,IAAI8S,EAAK/S,EACLgT,EAAK7O,EACL8O,EAAK7S,EACL8S,EAAK7S,EAETL,EAAIuS,EAAGvS,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIkS,EAAGlS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAG,GAAI,IAAK,WACjCG,EAAImS,EAAGnS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAG,GAAI,GAAK,WACjCkE,EAAIoO,EAAGpO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,YACjCD,EAAIuS,EAAGvS,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIkS,EAAGlS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAG,GAAI,GAAK,YACjCG,EAAImS,EAAGnS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAG,GAAI,IAAK,YACjCkE,EAAIoO,EAAGpO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,UACjCD,EAAIuS,EAAGvS,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAG,GAAK,EAAI,YACjCI,EAAIkS,EAAGlS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAG,GAAI,IAAK,YACjCG,EAAImS,EAAGnS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAE,IAAK,IAAK,OACjCkE,EAAIoO,EAAGpO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,IAAK,YACjCD,EAAIuS,EAAGvS,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAE,IAAM,EAAI,YACjCI,EAAIkS,EAAGlS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAE,IAAK,IAAK,UACjCG,EAAImS,EAAGnS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAE,IAAK,IAAK,YAGjCD,EAAIyS,EAAGzS,EAFPmE,EAAIoO,EAAGpO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,GAAK,YAEpBG,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIoS,EAAGpS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAG,GAAK,GAAI,YACjCG,EAAIqS,EAAGrS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAE,IAAK,GAAK,WACjCkE,EAAIsO,EAAGtO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,WACjCD,EAAIyS,EAAGzS,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIoS,EAAGpS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAE,IAAM,EAAI,UACjCG,EAAIqS,EAAGrS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAE,IAAK,IAAK,WACjCkE,EAAIsO,EAAGtO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,WACjCD,EAAIyS,EAAGzS,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAG,GAAK,EAAI,WACjCI,EAAIoS,EAAGpS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAE,IAAM,GAAI,YACjCG,EAAIqS,EAAGrS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAG,GAAI,IAAK,WACjCkE,EAAIsO,EAAGtO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,GAAK,YACjCD,EAAIyS,EAAGzS,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAE,IAAM,GAAI,YACjCI,EAAIoS,EAAGpS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAG,GAAK,GAAI,UACjCG,EAAIqS,EAAGrS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAG,GAAI,GAAK,YAGjCD,EAAI2S,EAAG3S,EAFPmE,EAAIsO,EAAGtO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,IAAK,YAEpBG,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,QACjCI,EAAIsS,EAAGtS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAG,GAAI,IAAK,YACjCG,EAAIuS,EAAGvS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAE,IAAK,GAAK,YACjCkE,EAAIwO,EAAGxO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,IAAK,UACjCD,EAAI2S,EAAG3S,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,YACjCI,EAAIsS,EAAGtS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAG,GAAI,GAAK,YACjCG,EAAIuS,EAAGvS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAG,GAAI,IAAK,WACjCkE,EAAIwO,EAAGxO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,IAAK,YACjCD,EAAI2S,EAAG3S,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAE,IAAM,EAAI,WACjCI,EAAIsS,EAAGtS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAG,GAAI,IAAK,WACjCG,EAAIuS,EAAGvS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAG,GAAI,IAAK,WACjCkE,EAAIwO,EAAGxO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,GAAK,UACjCD,EAAI2S,EAAG3S,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIsS,EAAGtS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAE,IAAK,IAAK,WACjCG,EAAIuS,EAAGvS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAE,IAAK,GAAK,WAGjCD,EAAI6S,EAAG7S,EAFPmE,EAAIwO,EAAGxO,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,WAEpBG,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIwS,EAAGxS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAG,GAAI,GAAK,YACjCG,EAAIyS,EAAGzS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAE,IAAK,IAAK,YACjCkE,EAAI0O,EAAG1O,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,UACjCD,EAAI6S,EAAG7S,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAE,IAAM,EAAI,YACjCI,EAAIwS,EAAGxS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAG,GAAI,IAAK,YACjCG,EAAIyS,EAAGzS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAE,IAAK,IAAK,SACjCkE,EAAI0O,EAAG1O,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,YACjCD,EAAI6S,EAAG7S,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAG,GAAK,EAAI,YACjCI,EAAIwS,EAAGxS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAE,IAAK,IAAK,UACjCG,EAAIyS,EAAGzS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAG,GAAI,IAAK,YACjCkE,EAAI0O,EAAG1O,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAE,IAAK,GAAK,YACjCD,EAAI6S,EAAG7S,EAAGmE,EAAG/D,EAAGC,EAAGF,EAAEF,EAAG,GAAK,GAAI,WACjCI,EAAIwS,EAAGxS,EAAGL,EAAGmE,EAAG/D,EAAGD,EAAEF,EAAE,IAAK,IAAK,YACjCG,EAAIyS,EAAGzS,EAAGC,EAAGL,EAAGmE,EAAGhE,EAAEF,EAAG,GAAI,GAAK,WACjCkE,EAAI0O,EAAG1O,EAAG/D,EAAGC,EAAGL,EAAGG,EAAEF,EAAG,GAAI,IAAK,WAEjCD,EAAKA,EAAI+S,IAAQ,EACjB5O,EAAKA,EAAI6O,IAAQ,EACjB5S,EAAKA,EAAI6S,IAAQ,EACjB5S,EAAKA,EAAI6S,IAAQ,EAGnB,OAAOjB,EAAMkB,OAAO,CAACnT,EAAGmE,EAAG/D,EAAGC,MAI5BmS,IAAO,SAAUxS,EAAGmE,EAAG/D,EAAGC,EAAG+S,EAAGjS,EAAGrB,GACrC,IAAIiB,EAAIf,GAAKmE,EAAI/D,GAAK+D,EAAI9D,IAAM+S,IAAM,GAAKtT,EAC3C,OAASiB,GAAKI,EAAMJ,IAAO,GAAKI,GAAOgD,GAEzCgO,EAAIO,IAAO,SAAU1S,EAAGmE,EAAG/D,EAAGC,EAAG+S,EAAGjS,EAAGrB,GACrC,IAAIiB,EAAIf,GAAKmE,EAAI9D,EAAID,GAAKC,IAAM+S,IAAM,GAAKtT,EAC3C,OAASiB,GAAKI,EAAMJ,IAAO,GAAKI,GAAOgD,GAEzCgO,EAAIS,IAAO,SAAU5S,EAAGmE,EAAG/D,EAAGC,EAAG+S,EAAGjS,EAAGrB,GACrC,IAAIiB,EAAIf,GAAKmE,EAAI/D,EAAIC,IAAM+S,IAAM,GAAKtT,EACtC,OAASiB,GAAKI,EAAMJ,IAAO,GAAKI,GAAOgD,GAEzCgO,EAAIW,IAAO,SAAU9S,EAAGmE,EAAG/D,EAAGC,EAAG+S,EAAGjS,EAAGrB,GACrC,IAAIiB,EAAIf,GAAKI,GAAK+D,GAAK9D,KAAO+S,IAAM,GAAKtT,EACzC,OAASiB,GAAKI,EAAMJ,IAAO,GAAKI,GAAOgD,GAIzCgO,EAAIkB,WAAa,GACjBlB,EAAImB,YAAc,GAElB3T,EAAOC,QAAU,SAAUwS,EAAShR,GAClC,GAAIgR,QACF,MAAM,IAAIzO,MAAM,oBAAsByO,GAExC,IAAImB,EAActB,EAAMuB,aAAarB,EAAIC,EAAShR,IAClD,OAAOA,GAAWA,EAAQqS,QAAUF,EAChCnS,GAAWA,EAAQsS,SAAWzE,EAAIC,cAAcqE,GAChDtB,EAAM0B,WAAWJ,K,kBC5JzB,IACMK,EAGJ3B,EAHI2B,EACE,mEAEN3B,EAAQ,CAEN4B,KAAM,SAAS9S,EAAGoD,GAChB,OAAQpD,GAAKoD,EAAMpD,IAAO,GAAKoD,GAIjC2P,KAAM,SAAS/S,EAAGoD,GAChB,OAAQpD,GAAM,GAAKoD,EAAOpD,IAAMoD,GAIlCgP,OAAQ,SAASpS,GAEf,GAAIA,EAAEtB,aAAe+K,OACnB,OAA0B,SAAnByH,EAAM4B,KAAK9S,EAAG,GAAsC,WAApBkR,EAAM4B,KAAK9S,EAAG,IAIvD,IAAK,IAAId,EAAI,EAAGA,EAAIc,EAAEzC,OAAQ2B,IAC5Bc,EAAEd,GAAKgS,EAAMkB,OAAOpS,EAAEd,IACxB,OAAOc,GAITgT,YAAa,SAAShT,GACpB,IAAK,IAAIoO,EAAQ,GAAIpO,EAAI,EAAGA,IAC1BoO,EAAMjM,KAAKwD,KAAKsN,MAAsB,IAAhBtN,KAAKE,WAC7B,OAAOuI,GAITmD,aAAc,SAASnD,GACrB,IAAK,IAAI8E,EAAQ,GAAIhU,EAAI,EAAGkE,EAAI,EAAGlE,EAAIkP,EAAM7Q,OAAQ2B,IAAKkE,GAAK,EAC7D8P,EAAM9P,IAAM,IAAMgL,EAAMlP,IAAO,GAAKkE,EAAI,GAC1C,OAAO8P,GAITT,aAAc,SAASS,GACrB,IAAK,IAAI9E,EAAQ,GAAIhL,EAAI,EAAGA,EAAmB,GAAf8P,EAAM3V,OAAa6F,GAAK,EACtDgL,EAAMjM,KAAM+Q,EAAM9P,IAAM,KAAQ,GAAKA,EAAI,GAAO,KAClD,OAAOgL,GAITwE,WAAY,SAASxE,GACnB,IAAK,IAAI+E,EAAM,GAAIjU,EAAI,EAAGA,EAAIkP,EAAM7Q,OAAQ2B,IAC1CiU,EAAIhR,MAAMiM,EAAMlP,KAAO,GAAGuC,SAAS,KACnC0R,EAAIhR,MAAiB,GAAXiM,EAAMlP,IAAUuC,SAAS,KAErC,OAAO0R,EAAIjR,KAAK,KAIlBkR,WAAY,SAASD,GACnB,IAAK,IAAI/E,EAAQ,GAAI/O,EAAI,EAAGA,EAAI8T,EAAI5V,OAAQ8B,GAAK,EAC/C+O,EAAMjM,KAAKrE,SAASqV,EAAIE,OAAOhU,EAAG,GAAI,KACxC,OAAO+O,GAITkF,cAAe,SAASlF,GACtB,IAAK,IAAImF,EAAS,GAAIrU,EAAI,EAAGA,EAAIkP,EAAM7Q,OAAQ2B,GAAK,EAElD,IADA,IAAIsU,EAAWpF,EAAMlP,IAAM,GAAOkP,EAAMlP,EAAI,IAAM,EAAKkP,EAAMlP,EAAI,GACxDT,EAAI,EAAGA,EAAI,EAAGA,IACb,EAAJS,EAAY,EAAJT,GAAwB,EAAf2P,EAAM7Q,OACzBgW,EAAOpR,KAAK0Q,EAAUpH,OAAQ+H,IAAY,GAAK,EAAI/U,GAAM,KAEzD8U,EAAOpR,KAAK,KAElB,OAAOoR,EAAOrR,KAAK,KAIrBuR,cAAe,SAASF,GAEtBA,EAASA,EAAO7O,QAAQ,iBAAkB,IAE1C,IAAK,IAAI0J,EAAQ,GAAIlP,EAAI,EAAGwU,EAAQ,EAAGxU,EAAIqU,EAAOhW,OAC9CmW,IAAUxU,EAAI,EACH,GAATwU,GACJtF,EAAMjM,MAAO0Q,EAAUpN,QAAQ8N,EAAO9H,OAAOvM,EAAI,IAC1CyG,KAAKgO,IAAI,GAAI,EAAID,EAAQ,GAAK,IAAgB,EAARA,EACtCb,EAAUpN,QAAQ8N,EAAO9H,OAAOvM,MAAS,EAAY,EAARwU,GAEtD,OAAOtF,IAIXxP,EAAOC,QAAUqS,G,kBCjFnB,SAASC,EAAUyC,GACjB,QAASA,EAAIlV,aAAmD,mBAA7BkV,EAAIlV,YAAYyS,UAA2ByC,EAAIlV,YAAYyS,SAASyC;;;;;;;AALzGhV,EAAOC,QAAU,SAAU+U,GACzB,OAAc,MAAPA,IAAgBzC,EAASyC,IAQlC,SAAuBA,GACrB,MAAkC,mBAApBA,EAAIC,aAAmD,mBAAdD,EAAI/V,OAAwBsT,EAASyC,EAAI/V,MAAM,EAAG,IATjEiW,CAAaF,MAAUA,EAAIG,a,iCCTrE,IAiDIC,EAAUC,EAAsBC,EAAgBC,EAjDhDC,EAAI,EAAQ,IACZC,EAAU,EAAQ,IAClBvY,EAAS,EAAQ,GACjBwY,EAAa,EAAQ,IACrBC,EAAgB,EAAQ,KACxBvY,EAAW,EAAQ,IACnBwY,EAAc,EAAQ,KACtBC,EAAiB,EAAQ,IACzBC,EAAa,EAAQ,KACrBC,EAAW,EAAQ,GACnBjE,EAAY,EAAQ,KACpBkE,EAAa,EAAQ,IACrB1Y,EAAU,EAAQ,IAClB2Y,EAAgB,EAAQ,IACxBC,EAAU,EAAQ,IAClBC,EAA8B,EAAQ,KACtCC,EAAqB,EAAQ,KAC7BC,EAAO,EAAQ,KAAqBnG,IACpCoG,EAAY,EAAQ,KACpBC,EAAiB,EAAQ,KACzBC,EAAmB,EAAQ,KAC3BC,EAA6B,EAAQ,KACrCC,EAAU,EAAQ,KAClBC,EAAsB,EAAQ,IAC9BxZ,EAAW,EAAQ,IACnByZ,EAAkB,EAAQ,GAC1BC,EAAa,EAAQ,IAErBC,EAAUF,EAAgB,WAC1BG,EAAU,UACVC,EAAmBL,EAAoB7V,IACvCmW,EAAmBN,EAAoBzG,IACvCgH,EAA0BP,EAAoBQ,UAAUJ,GACxDK,EAAqBzB,EACrBtD,EAAYnV,EAAOmV,UACnBvO,EAAW5G,EAAO4G,SAClBwM,EAAUpT,EAAOoT,QACjB+G,EAAS3B,EAAW,SACpB4B,EAAuBb,EAA2B7Y,EAClD2Z,EAA8BD,EAC9BE,EAA8B,WAApBla,EAAQgT,GAClBmH,KAAoB3T,GAAYA,EAAS4T,aAAexa,EAAOya,eAU/DC,EAASza,EAAS4Z,GAAS,WAE7B,KAD6Bd,EAAcmB,KAAwB7M,OAAO6M,IAC7C,CAI3B,GAAmB,KAAfP,EAAmB,OAAO,EAE9B,IAAKW,GAA2C,mBAAzBK,sBAAqC,OAAO,EAGrE,GAAIpC,IAAY2B,EAAmBlZ,UAAmB,QAAG,OAAO,EAIhE,GAAI2Y,GAAc,IAAM,cAAczS,KAAKgT,GAAqB,OAAO,EAEvE,IAAIlF,EAAUkF,EAAmBrN,QAAQ,GACrC+N,EAAc,SAAUC,GAC1BA,GAAK,eAA6B,gBAIpC,OAFkB7F,EAAQpS,YAAc,IAC5BgX,GAAWgB,IACd5F,EAAQlI,MAAK,yBAAwC8N,MAG5DE,EAAsBJ,IAAWzB,GAA4B,SAAU8B,GACzEb,EAAmBc,IAAID,GAAiB,OAAE,kBAIxCE,EAAa,SAAUrZ,GACzB,IAAIkL,EACJ,SAAO+L,EAASjX,IAAkC,mBAAnBkL,EAAOlL,EAAGkL,QAAsBA,GAG7DoO,EAAS,SAAUlG,EAASmG,EAAOC,GACrC,IAAID,EAAME,SAAV,CACAF,EAAME,UAAW,EACjB,IAAIC,EAAQH,EAAMI,UAClBnC,GAAU,WAKR,IAJA,IAAIjX,EAAQgZ,EAAMhZ,MACdqZ,EAhDQ,GAgDHL,EAAMA,MACXzZ,EAAQ,EAEL4Z,EAAM7Z,OAASC,GAAO,CAC3B,IAKI+Z,EAAQ3O,EAAM4O,EALdC,EAAWL,EAAM5Z,KACjBka,EAAUJ,EAAKG,EAASH,GAAKG,EAASE,KACtChP,EAAU8O,EAAS9O,QACnBkI,EAAS4G,EAAS5G,OAClB+G,EAASH,EAASG,OAEtB,IACMF,GACGJ,IAzDC,IA0DAL,EAAMY,WAAyBC,GAAkBhH,EAASmG,GAC9DA,EAAMY,UA5DJ,IA8DY,IAAZH,EAAkBH,EAAStZ,GAEzB2Z,GAAQA,EAAOG,QACnBR,EAASG,EAAQzZ,GACb2Z,IACFA,EAAOI,OACPR,GAAS,IAGTD,IAAWE,EAAS3G,QACtBD,EAAOI,EAAU,yBACRrI,EAAOmO,EAAWQ,IAC3B3O,EAAKtK,KAAKiZ,EAAQ5O,EAASkI,GACtBlI,EAAQ4O,IACV1G,EAAO5S,GACd,MAAOga,GACHL,IAAWJ,GAAQI,EAAOI,OAC9BnH,EAAOoH,IAGXhB,EAAMI,UAAY,GAClBJ,EAAME,UAAW,EACbD,IAAaD,EAAMY,WAAWK,EAAYpH,EAASmG,QAIvDV,EAAgB,SAAUvR,EAAM8L,EAASqH,GAC3C,IAAIxI,EAAO+H,EACPrB,IACF1G,EAAQjN,EAAS4T,YAAY,UACvBxF,QAAUA,EAChBnB,EAAMwI,OAASA,EACfxI,EAAMyI,UAAUpT,GAAM,GAAO,GAC7BlJ,EAAOya,cAAc5G,IAChBA,EAAQ,CAAEmB,QAASA,EAASqH,OAAQA,IACvCT,EAAU5b,EAAO,KAAOkJ,IAAO0S,EAAQ/H,GAtGnB,uBAuGf3K,GAA8BoQ,EAAiB,8BAA+B+C,IAGrFD,EAAc,SAAUpH,EAASmG,GACnChC,EAAK3W,KAAKxC,GAAQ,WAChB,IAEIyb,EAFAtZ,EAAQgZ,EAAMhZ,MAGlB,GAFmBoa,GAAYpB,KAG7BM,EAASjC,GAAQ,WACXc,EACFlH,EAAQoJ,KAAK,qBAAsBra,EAAO6S,GACrCyF,EAnHW,qBAmHwBzF,EAAS7S,MAGrDgZ,EAAMY,UAAYzB,GAAWiC,GAAYpB,GAhH/B,EADF,EAkHJM,EAAOU,OAAO,MAAMV,EAAOtZ,UAKjCoa,GAAc,SAAUpB,GAC1B,OAxHY,IAwHLA,EAAMY,YAA0BZ,EAAMpW,QAG3CiX,GAAoB,SAAUhH,EAASmG,GACzChC,EAAK3W,KAAKxC,GAAQ,WACZsa,EACFlH,EAAQoJ,KAAK,mBAAoBxH,GAC5ByF,EAnIa,mBAmIoBzF,EAASmG,EAAMhZ,WAIvD8B,GAAO,SAAUyP,EAAIsB,EAASmG,EAAOsB,GACvC,OAAO,SAAUta,GACfuR,EAAGsB,EAASmG,EAAOhZ,EAAOsa,KAI1BC,GAAiB,SAAU1H,EAASmG,EAAOhZ,EAAOsa,GAChDtB,EAAMxO,OACVwO,EAAMxO,MAAO,EACT8P,IAAQtB,EAAQsB,GACpBtB,EAAMhZ,MAAQA,EACdgZ,EAAMA,MA/IO,EAgJbD,EAAOlG,EAASmG,GAAO,KAGrBwB,GAAkB,SAAU3H,EAASmG,EAAOhZ,EAAOsa,GACrD,IAAItB,EAAMxO,KAAV,CACAwO,EAAMxO,MAAO,EACT8P,IAAQtB,EAAQsB,GACpB,IACE,GAAIzH,IAAY7S,EAAO,MAAMgT,EAAU,oCACvC,IAAIrI,EAAOmO,EAAW9Y,GAClB2K,EACFsM,GAAU,WACR,IAAIwD,EAAU,CAAEjQ,MAAM,GACtB,IACEG,EAAKtK,KAAKL,EACR8B,GAAK0Y,GAAiB3H,EAAS4H,EAASzB,GACxClX,GAAKyY,GAAgB1H,EAAS4H,EAASzB,IAEzC,MAAOgB,GACPO,GAAe1H,EAAS4H,EAAST,EAAOhB,QAI5CA,EAAMhZ,MAAQA,EACdgZ,EAAMA,MAzKI,EA0KVD,EAAOlG,EAASmG,GAAO,IAEzB,MAAOgB,GACPO,GAAe1H,EAAS,CAAErI,MAAM,GAASwP,EAAOhB,MAKhDT,IAEFR,EAAqB,SAAiB2C,GACpC/D,EAAWxW,KAAM4X,EAAoBL,GACrCjF,EAAUiI,GACV3E,EAAS1V,KAAKF,MACd,IAAI6Y,EAAQrB,EAAiBxX,MAC7B,IACEua,EAAS5Y,GAAK0Y,GAAiBra,KAAM6Y,GAAQlX,GAAKyY,GAAgBpa,KAAM6Y,IACxE,MAAOgB,GACPO,GAAepa,KAAM6Y,EAAOgB,MAIhCjE,EAAW,SAAiB2E,GAC1B9C,EAAiBzX,KAAM,CACrBkF,KAAMqS,EACNlN,MAAM,EACN0O,UAAU,EACVtW,QAAQ,EACRwW,UAAW,GACXQ,WAAW,EACXZ,MAzMQ,EA0MRhZ,WAAOgS,MAGFnT,UAAY0X,EAAYwB,EAAmBlZ,UAAW,CAG7D8L,KAAM,SAAcgQ,EAAaC,GAC/B,IAAI5B,EAAQnB,EAAwB1X,MAChCqZ,EAAWvB,EAAqBlB,EAAmB5W,KAAM4X,IAO7D,OANAyB,EAASH,GAA2B,mBAAfsB,GAA4BA,EACjDnB,EAASE,KAA4B,mBAAdkB,GAA4BA,EACnDpB,EAASG,OAASxB,EAAUlH,EAAQ0I,YAAS3H,EAC7CgH,EAAMpW,QAAS,EACfoW,EAAMI,UAAUlV,KAAKsV,GAvNb,GAwNJR,EAAMA,OAAkBD,EAAO5Y,KAAM6Y,GAAO,GACzCQ,EAAS3G,SAIlB,MAAS,SAAU+H,GACjB,OAAOza,KAAKwK,UAAKqH,EAAW4I,MAGhC5E,EAAuB,WACrB,IAAInD,EAAU,IAAIkD,EACdiD,EAAQrB,EAAiB9E,GAC7B1S,KAAK0S,QAAUA,EACf1S,KAAKuK,QAAU5I,GAAK0Y,GAAiB3H,EAASmG,GAC9C7Y,KAAKyS,OAAS9Q,GAAKyY,GAAgB1H,EAASmG,IAE9C5B,EAA2B7Y,EAAI0Z,EAAuB,SAAUtF,GAC9D,OAAOA,IAAMoF,GAAsBpF,IAAMsD,EACrC,IAAID,EAAqBrD,GACzBuF,EAA4BvF,IAG7ByD,GAAmC,mBAAjBE,IACrBJ,EAAaI,EAAczX,UAAU8L,KAGrC5M,EAASuY,EAAczX,UAAW,QAAQ,SAAc8b,EAAaC,GACnE,IAAIC,EAAO1a,KACX,OAAO,IAAI4X,GAAmB,SAAUrN,EAASkI,GAC/CsD,EAAW7V,KAAKwa,EAAMnQ,EAASkI,MAC9BjI,KAAKgQ,EAAaC,KAEpB,CAAEE,QAAQ,IAGQ,mBAAV9C,GAAsB7B,EAAE,CAAEtY,QAAQ,EAAM2D,YAAY,EAAMuZ,QAAQ,GAAQ,CAEnFC,MAAO,SAAezT,GACpB,OAAO2P,EAAea,EAAoBC,EAAOpN,MAAM/M,EAAQoC,iBAMvEkW,EAAE,CAAEtY,QAAQ,EAAMqQ,MAAM,EAAM6M,OAAQxC,GAAU,CAC9C9N,QAASsN,IAGXvB,EAAeuB,EAAoBL,GAAS,GAAO,GACnDjB,EAAWiB,GAEXzB,EAAiBI,EAAWqB,GAG5BvB,EAAE,CAAE3N,OAAQkP,EAASuD,MAAM,EAAMF,OAAQxC,GAAU,CAGjD3F,OAAQ,SAAgBlR,GACtB,IAAIwZ,EAAajD,EAAqB9X,MAEtC,OADA+a,EAAWtI,OAAOvS,UAAK2R,EAAWtQ,GAC3BwZ,EAAWrI,WAItBsD,EAAE,CAAE3N,OAAQkP,EAASuD,MAAM,EAAMF,OAAQ3E,GAAWmC,GAAU,CAG5D7N,QAAS,SAAiB0J,GACxB,OAAO8C,EAAed,GAAWjW,OAAS8V,EAAiB8B,EAAqB5X,KAAMiU,MAI1F+B,EAAE,CAAE3N,OAAQkP,EAASuD,MAAM,EAAMF,OAAQpC,GAAuB,CAG9DE,IAAK,SAAaD,GAChB,IAAIjG,EAAIxS,KACJ+a,EAAajD,EAAqBtF,GAClCjI,EAAUwQ,EAAWxQ,QACrBkI,EAASsI,EAAWtI,OACpB0G,EAASjC,GAAQ,WACnB,IAAI8D,EAAkB1I,EAAUE,EAAEjI,SAC9B0Q,EAAS,GACThK,EAAU,EACViK,EAAY,EAChBxE,EAAQ+B,GAAU,SAAU/F,GAC1B,IAAItT,EAAQ6R,IACRkK,GAAgB,EACpBF,EAAOlX,UAAK8N,GACZqJ,IACAF,EAAgB9a,KAAKsS,EAAGE,GAASlI,MAAK,SAAU3K,GAC1Csb,IACJA,GAAgB,EAChBF,EAAO7b,GAASS,IACdqb,GAAa3Q,EAAQ0Q,MACtBxI,QAEHyI,GAAa3Q,EAAQ0Q,MAGzB,OADI9B,EAAOU,OAAOpH,EAAO0G,EAAOtZ,OACzBkb,EAAWrI,SAIpB0I,KAAM,SAAc3C,GAClB,IAAIjG,EAAIxS,KACJ+a,EAAajD,EAAqBtF,GAClCC,EAASsI,EAAWtI,OACpB0G,EAASjC,GAAQ,WACnB,IAAI8D,EAAkB1I,EAAUE,EAAEjI,SAClCmM,EAAQ+B,GAAU,SAAU/F,GAC1BsI,EAAgB9a,KAAKsS,EAAGE,GAASlI,KAAKuQ,EAAWxQ,QAASkI,SAI9D,OADI0G,EAAOU,OAAOpH,EAAO0G,EAAOtZ,OACzBkb,EAAWrI,Y,oBCxXtB,IAAIhV,EAAS,EAAQ,GAErB8C,EAAOC,QAAU/C,EAAO4M,S,oBCFxB,IAAI+Q,EAAW,EAAQ,GACnB/I,EAAY,EAAQ,KAGpBgF,EAFkB,EAAQ,EAEhBF,CAAgB,WAI9B5W,EAAOC,QAAU,SAAU6a,EAAGC,GAC5B,IACIC,EADAhJ,EAAI6I,EAASC,GAAGhb,YAEpB,YAAauR,IAANW,GAAiDX,OAA7B2J,EAAIH,EAAS7I,GAAG8E,IAAyBiE,EAAqBjJ,EAAUkJ,K,oBCXrG,IAcIC,EAAOhX,EAAMiX,EAAM9C,EAAQ+C,EAAQC,EAAMlJ,EAASlI,EAdlD9M,EAAS,EAAQ,GACjBW,EAA2B,EAAQ,IAAmDD,EACtFN,EAAU,EAAQ,IAClB+d,EAAY,EAAQ,KAAqBnL,IACzCF,EAAS,EAAQ,KAEjBsL,EAAmBpe,EAAOoe,kBAAoBpe,EAAOqe,uBACrDjL,EAAUpT,EAAOoT,QACjBxG,EAAU5M,EAAO4M,QACjB0N,EAA8B,WAApBla,EAAQgT,GAElBkL,EAA2B3d,EAAyBX,EAAQ,kBAC5Due,EAAiBD,GAA4BA,EAAyBnc,MAKrEoc,IACHR,EAAQ,WACN,IAAIhZ,EAAQ2O,EAEZ,IADI4G,IAAYvV,EAASqO,EAAQ0I,SAAS/W,EAAOmX,OAC1CnV,GAAM,CACX2M,EAAK3M,EAAK2M,GACV3M,EAAOA,EAAKwJ,KACZ,IACEmD,IACA,MAAOyI,GAGP,MAFIpV,EAAMmU,IACL8C,OAAO7J,EACNgI,GAER6B,OAAO7J,EACLpP,GAAQA,EAAOkX,SAIjB3B,EACFY,EAAS,WACP9H,EAAQgB,SAAS2J,IAGVK,IAAqBtL,GAC9BmL,GAAS,EACTC,EAAOtX,SAASwB,eAAe,IAC/B,IAAIgW,EAAiBL,GAAOS,QAAQN,EAAM,CAAEO,eAAe,IAC3DvD,EAAS,WACPgD,EAAK9P,KAAO6P,GAAUA,IAGfrR,GAAWA,EAAQC,SAE5BmI,EAAUpI,EAAQC,aAAQsH,GAC1BrH,EAAOkI,EAAQlI,KACfoO,EAAS,WACPpO,EAAKtK,KAAKwS,EAAS+I,KASrB7C,EAAS,WAEPiD,EAAU3b,KAAKxC,EAAQ+d,KAK7Bjb,EAAOC,QAAUwb,GAAkB,SAAU7K,GAC3C,IAAIyF,EAAO,CAAEzF,GAAIA,EAAInD,UAAM4D,GACvB6J,IAAMA,EAAKzN,KAAO4I,GACjBpS,IACHA,EAAOoS,EACP+B,KACA8C,EAAO7E,I,oBC5EX,IAAIwE,EAAW,EAAQ,GACnB9E,EAAW,EAAQ,GACnBuB,EAAuB,EAAQ,KAEnCtX,EAAOC,QAAU,SAAU+R,EAAGyB,GAE5B,GADAoH,EAAS7I,GACL+D,EAAStC,IAAMA,EAAE3T,cAAgBkS,EAAG,OAAOyB,EAC/C,IAAImI,EAAoBtE,EAAqB1Z,EAAEoU,GAG/C,OADAjI,EADc6R,EAAkB7R,SACxB0J,GACDmI,EAAkB1J,U,oBCV3B,IAAIhV,EAAS,EAAQ,GAErB8C,EAAOC,QAAU,SAAUI,EAAGmE,GAC5B,IAAIqX,EAAU3e,EAAO2e,QACjBA,GAAWA,EAAQxC,QACA,IAArB/Z,UAAUX,OAAekd,EAAQxC,MAAMhZ,GAAKwb,EAAQxC,MAAMhZ,EAAGmE,M,kBCLjExE,EAAOC,QAAU,SAAU8X,GACzB,IACE,MAAO,CAAEsB,OAAO,EAAOha,MAAO0Y,KAC9B,MAAOsB,GACP,MAAO,CAAEA,OAAO,EAAMha,MAAOga,M,iCCHjC,IAAI7D,EAAI,EAAQ,IACZsG,EAAgB,EAAQ,IACxBC,EAAkB,EAAQ,IAC1BC,EAAsB,EAAQ,IAE9BC,EAAa,GAAG3Y,KAEhB4Y,EAAcJ,GAAiBlb,OAC/Bub,EAAgBH,EAAoB,OAAQ,KAIhDxG,EAAE,CAAE3N,OAAQ,QAASuU,OAAO,EAAMhC,OAAQ8B,IAAgBC,GAAiB,CACzE7Y,KAAM,SAAc+Y,GAClB,OAAOJ,EAAWvc,KAAKqc,EAAgBvc,WAAqB6R,IAAdgL,EAA0B,IAAMA,O,iCCdlF,IAAIC,EAAgC,EAAQ,KACxCzB,EAAW,EAAQ,GACnB0B,EAAW,EAAQ,IACnBC,EAAyB,EAAQ,IACjCC,EAAqB,EAAQ,KAC7BC,EAAa,EAAQ,KAGzBJ,EAA8B,QAAS,GAAG,SAAUK,EAAOC,EAAaC,GACtE,MAAO,CAGL,SAAeC,GACb,IAAIhC,EAAI0B,EAAuBhd,MAC3Bud,EAAoB1L,MAAVyL,OAAsBzL,EAAYyL,EAAOH,GACvD,YAAmBtL,IAAZ0L,EAAwBA,EAAQrd,KAAKod,EAAQhC,GAAK,IAAIkC,OAAOF,GAAQH,GAAOpS,OAAOuQ,KAI5F,SAAUgC,GACR,IAAIG,EAAMJ,EAAgBD,EAAaE,EAAQtd,MAC/C,GAAIyd,EAAIpT,KAAM,OAAOoT,EAAI5d,MAEzB,IAAI6d,EAAKrC,EAASiC,GACd9B,EAAIzQ,OAAO/K,MAEf,IAAK0d,EAAGhgB,OAAQ,OAAOwf,EAAWQ,EAAIlC,GAEtC,IAAImC,EAAcD,EAAGE,QACrBF,EAAGG,UAAY,EAIf,IAHA,IAEI1E,EAFAzY,EAAI,GACJkB,EAAI,EAEgC,QAAhCuX,EAAS+D,EAAWQ,EAAIlC,KAAc,CAC5C,IAAIsC,EAAW/S,OAAOoO,EAAO,IAC7BzY,EAAEkB,GAAKkc,EACU,KAAbA,IAAiBJ,EAAGG,UAAYZ,EAAmBzB,EAAGuB,EAASW,EAAGG,WAAYF,IAClF/b,IAEF,OAAa,IAANA,EAAU,KAAOlB","file":"vendors~editor-collab~editor-guest.js?v=c9868841dbd0da14f4c2","sourcesContent":["'use strict';\nvar DESCRIPTORS = require('../internals/descriptors');\nvar global = require('../internals/global');\nvar isForced = require('../internals/is-forced');\nvar redefine = require('../internals/redefine');\nvar has = require('../internals/has');\nvar classof = require('../internals/classof-raw');\nvar inheritIfRequired = require('../internals/inherit-if-required');\nvar toPrimitive = require('../internals/to-primitive');\nvar fails = require('../internals/fails');\nvar create = require('../internals/object-create');\nvar getOwnPropertyNames = require('../internals/object-get-own-property-names').f;\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar defineProperty = require('../internals/object-define-property').f;\nvar trim = require('../internals/string-trim').trim;\n\nvar NUMBER = 'Number';\nvar NativeNumber = global[NUMBER];\nvar NumberPrototype = NativeNumber.prototype;\n\n// Opera ~12 has broken Object#toString\nvar BROKEN_CLASSOF = classof(create(NumberPrototype)) == NUMBER;\n\n// `ToNumber` abstract operation\n// https://tc39.github.io/ecma262/#sec-tonumber\nvar toNumber = function (argument) {\n var it = toPrimitive(argument, false);\n var first, third, radix, maxCode, digits, length, index, code;\n if (typeof it == 'string' && it.length > 2) {\n it = trim(it);\n first = it.charCodeAt(0);\n if (first === 43 || first === 45) {\n third = it.charCodeAt(2);\n if (third === 88 || third === 120) return NaN; // Number('+0x1') should be NaN, old V8 fix\n } else if (first === 48) {\n switch (it.charCodeAt(1)) {\n case 66: case 98: radix = 2; maxCode = 49; break; // fast equal of /^0b[01]+$/i\n case 79: case 111: radix = 8; maxCode = 55; break; // fast equal of /^0o[0-7]+$/i\n default: return +it;\n }\n digits = it.slice(2);\n length = digits.length;\n for (index = 0; index < length; index++) {\n code = digits.charCodeAt(index);\n // parseInt parses a string to a first unavailable symbol\n // but ToNumber should return NaN if a string contains unavailable symbols\n if (code < 48 || code > maxCode) return NaN;\n } return parseInt(digits, radix);\n }\n } return +it;\n};\n\n// `Number` constructor\n// https://tc39.github.io/ecma262/#sec-number-constructor\nif (isForced(NUMBER, !NativeNumber(' 0o1') || !NativeNumber('0b1') || NativeNumber('+0x1'))) {\n var NumberWrapper = function Number(value) {\n var it = arguments.length < 1 ? 0 : value;\n var dummy = this;\n return dummy instanceof NumberWrapper\n // check on 1..constructor(foo) case\n && (BROKEN_CLASSOF ? fails(function () { NumberPrototype.valueOf.call(dummy); }) : classof(dummy) != NUMBER)\n ? inheritIfRequired(new NativeNumber(toNumber(it)), dummy, NumberWrapper) : toNumber(it);\n };\n for (var keys = DESCRIPTORS ? getOwnPropertyNames(NativeNumber) : (\n // ES3:\n 'MAX_VALUE,MIN_VALUE,NaN,NEGATIVE_INFINITY,POSITIVE_INFINITY,' +\n // ES2015 (in case, if modules with ES2015 Number statics required before):\n 'EPSILON,isFinite,isInteger,isNaN,isSafeInteger,MAX_SAFE_INTEGER,' +\n 'MIN_SAFE_INTEGER,parseFloat,parseInt,isInteger'\n ).split(','), j = 0, key; keys.length > j; j++) {\n if (has(NativeNumber, key = keys[j]) && !has(NumberWrapper, key)) {\n defineProperty(NumberWrapper, key, getOwnPropertyDescriptor(NativeNumber, key));\n }\n }\n NumberWrapper.prototype = NumberPrototype;\n NumberPrototype.constructor = NumberWrapper;\n redefine(global, NUMBER, NumberWrapper);\n}\n","!function(A,t){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=t():\"function\"==typeof define&&define.amd?define(\"Components/Avatar\",[],t):\"object\"==typeof exports?exports[\"Components/Avatar\"]=t():(A.NextcloudVue=A.NextcloudVue||{},A.NextcloudVue[\"Components/Avatar\"]=t())}(window,(function(){return function(A){var t={};function e(a){if(t[a])return t[a].exports;var i=t[a]={i:a,l:!1,exports:{}};return A[a].call(i.exports,i,i.exports,e),i.l=!0,i.exports}return e.m=A,e.c=t,e.d=function(A,t,a){e.o(A,t)||Object.defineProperty(A,t,{enumerable:!0,get:a})},e.r=function(A){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(A,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(A,\"__esModule\",{value:!0})},e.t=function(A,t){if(1&t&&(A=e(A)),8&t)return A;if(4&t&&\"object\"==typeof A&&A&&A.__esModule)return A;var a=Object.create(null);if(e.r(a),Object.defineProperty(a,\"default\",{enumerable:!0,value:A}),2&t&&\"string\"!=typeof A)for(var i in A)e.d(a,i,function(t){return A[t]}.bind(null,i));return a},e.n=function(A){var t=A&&A.__esModule?function(){return A.default}:function(){return A};return e.d(t,\"a\",t),t},e.o=function(A,t){return Object.prototype.hasOwnProperty.call(A,t)},e.p=\"/dist/\",e(e.s=56)}([function(A,t,e){\"use strict\";function a(A,t,e,a,i,n,o,r){var s,c=\"function\"==typeof A?A.options:A;if(t&&(c.render=t,c.staticRenderFns=e,c._compiled=!0),a&&(c.functional=!0),n&&(c._scopeId=\"data-v-\"+n),o?(s=function(A){(A=A||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(A=__VUE_SSR_CONTEXT__),i&&i.call(this,A),A&&A._registeredComponents&&A._registeredComponents.add(o)},c._ssrRegister=s):i&&(s=r?function(){i.call(this,(c.functional?this.parent:this).$root.$options.shadowRoot)}:i),s)if(c.functional){c._injectStyles=s;var l=c.render;c.render=function(A,t){return s.call(t),l(A,t)}}else{var u=c.beforeCreate;c.beforeCreate=u?[].concat(u,s):[s]}return{exports:A,options:c}}e.d(t,\"a\",(function(){return a}))},function(A,t,e){\"use strict\";A.exports=function(A){var t=[];return t.toString=function(){return this.map((function(t){var e=function(A,t){var e=A[1]||\"\",a=A[3];if(!a)return e;if(t&&\"function\"==typeof btoa){var i=(o=a,r=btoa(unescape(encodeURIComponent(JSON.stringify(o)))),s=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(r),\"/*# \".concat(s,\" */\")),n=a.sources.map((function(A){return\"/*# sourceURL=\".concat(a.sourceRoot||\"\").concat(A,\" */\")}));return[e].concat(n).concat([i]).join(\"\\n\")}var o,r,s;return[e].join(\"\\n\")}(t,A);return t[2]?\"@media \".concat(t[2],\" {\").concat(e,\"}\"):e})).join(\"\")},t.i=function(A,e,a){\"string\"==typeof A&&(A=[[null,A,\"\"]]);var i={};if(a)for(var n=0;n<this.length;n++){var o=this[n][0];null!=o&&(i[o]=!0)}for(var r=0;r<A.length;r++){var s=[].concat(A[r]);a&&i[s[0]]||(e&&(s[2]?s[2]=\"\".concat(e,\" and \").concat(s[2]):s[2]=e),t.push(s))}},t}},function(A,t,e){\"use strict\";function a(A,t){for(var e=[],a={},i=0;i<t.length;i++){var n=t[i],o=n[0],r={id:A+\":\"+i,css:n[1],media:n[2],sourceMap:n[3]};a[o]?a[o].parts.push(r):e.push(a[o]={id:o,parts:[r]})}return e}e.r(t),e.d(t,\"default\",(function(){return g}));var i=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!i)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var n={},o=i&&(document.head||document.getElementsByTagName(\"head\")[0]),r=null,s=0,c=!1,l=function(){},u=null,d=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function g(A,t,e,i){c=e,u=i||{};var o=a(A,t);return m(o),function(t){for(var e=[],i=0;i<o.length;i++){var r=o[i];(s=n[r.id]).refs--,e.push(s)}t?m(o=a(A,t)):o=[];for(i=0;i<e.length;i++){var s;if(0===(s=e[i]).refs){for(var c=0;c<s.parts.length;c++)s.parts[c]();delete n[s.id]}}}}function m(A){for(var t=0;t<A.length;t++){var e=A[t],a=n[e.id];if(a){a.refs++;for(var i=0;i<a.parts.length;i++)a.parts[i](e.parts[i]);for(;i<e.parts.length;i++)a.parts.push(b(e.parts[i]));a.parts.length>e.parts.length&&(a.parts.length=e.parts.length)}else{var o=[];for(i=0;i<e.parts.length;i++)o.push(b(e.parts[i]));n[e.id]={id:e.id,refs:1,parts:o}}}}function p(){var A=document.createElement(\"style\");return A.type=\"text/css\",o.appendChild(A),A}function b(A){var t,e,a=document.querySelector('style[data-vue-ssr-id~=\"'+A.id+'\"]');if(a){if(c)return l;a.parentNode.removeChild(a)}if(d){var i=s++;a=r||(r=p()),t=B.bind(null,a,i,!1),e=B.bind(null,a,i,!0)}else a=p(),t=M.bind(null,a),e=function(){a.parentNode.removeChild(a)};return t(A),function(a){if(a){if(a.css===A.css&&a.media===A.media&&a.sourceMap===A.sourceMap)return;t(A=a)}else e()}}var v,f=(v=[],function(A,t){return v[A]=t,v.filter(Boolean).join(\"\\n\")});function B(A,t,e,a){var i=e?\"\":a.css;if(A.styleSheet)A.styleSheet.cssText=f(t,i);else{var n=document.createTextNode(i),o=A.childNodes;o[t]&&A.removeChild(o[t]),o.length?A.insertBefore(n,o[t]):A.appendChild(n)}}function M(A,t){var e=t.css,a=t.media,i=t.sourceMap;if(a&&A.setAttribute(\"media\",a),u.ssrId&&A.setAttribute(\"data-vue-ssr-id\",t.id),i&&(e+=\"\\n/*# sourceURL=\"+i.sources[0]+\" */\",e+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+\" */\"),A.styleSheet)A.styleSheet.cssText=e;else{for(;A.firstChild;)A.removeChild(A.firstChild);A.appendChild(document.createTextNode(e))}}},,function(A,t){A.exports=require(\"v-tooltip\")},function(A,t){A.exports=require(\"core-js/modules/es.object.to-string\")},,function(A,t){A.exports=require(\"core-js/modules/es.array.map\")},function(A,t,e){\"use strict\";A.exports=function(A,t){return t||(t={}),\"string\"!=typeof(A=A&&A.__esModule?A.default:A)?A:(/^['\"].*['\"]$/.test(A)&&(A=A.slice(1,-1)),t.hash&&(A+=t.hash),/[\"'() \\t\\n]/.test(A)||t.needQuotes?'\"'.concat(A.replace(/\"/g,'\\\\\"').replace(/\\n/g,\"\\\\n\"),'\"'):A)}},function(A,t,e){\"use strict\";e.r(t),t.default=\"data:application/vnd.ms-fontobject;base64,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\"},function(A,t,e){\"use strict\";e.r(t),t.default=\"data:font/woff;base64,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\"},function(A,t,e){\"use strict\";e.r(t),t.default=\"data:font/ttf;base64,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\"},function(A,t,e){\"use strict\";e.r(t),t.default=\"data:image/svg+xml;base64,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\"},function(A,t,e){\"use strict\";e.r(t);var a=e(4);e(43);\n/**\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\na.VTooltip.options.defaultTemplate='<div class=\"vue-tooltip\" role=\"tooltip\" data-v-'.concat(\"91c9b6f\",'><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>'),a.VTooltip.options.defaultHtml=!1,t.default=a.VTooltip},,function(A,t){A.exports=require(\"core-js/modules/es.array.index-of\")},function(A,t){A.exports=require(\"v-click-outside\")},function(A,t){A.exports=require(\"core-js/modules/es.regexp.exec\")},,function(A,t){A.exports=require(\"core-js/modules/es.array.iterator\")},function(A,t){A.exports=require(\"core-js/modules/es.string.iterator\")},function(A,t){A.exports=require(\"core-js/modules/web.dom-collections.iterator\")},function(A,t){A.exports=require(\"core-js/modules/es.array.concat\")},,,function(A,t){A.exports=require(\"core-js/modules/es.number.constructor\")},,function(A,t){A.exports=require(\"core-js/modules/web.url\")},function(A,t){A.exports=require(\"core-js/modules/es.regexp.to-string\")},,,function(A,t){A.exports=require(\"core-js/modules/es.string.replace\")},,function(A,t,e){var a=e(85);\"string\"==typeof a&&(a=[[A.i,a,\"\"]]),a.locals&&(A.exports=a.locals);(0,e(2).default)(\"1f2ec49f\",a,!0,{})},function(A,t,e){var a=e(87);\"string\"==typeof a&&(a=[[A.i,a,\"\"]]),a.locals&&(A.exports=a.locals);(0,e(2).default)(\"9c561116\",a,!0,{})},function(A,t,e){var a=e(89);\"string\"==typeof a&&(a=[[A.i,a,\"\"]]),a.locals&&(A.exports=a.locals);(0,e(2).default)(\"93093140\",a,!0,{})},,function(A,t,e){var a=e(96);\"string\"==typeof a&&(a=[[A.i,a,\"\"]]),a.locals&&(A.exports=a.locals);(0,e(2).default)(\"6624c67e\",a,!0,{})},function(A,t){A.exports=require(\"@nextcloud/router\")},,,function(A,t){},,function(A,t,e){var a=e(44);\"string\"==typeof a&&(a=[[A.i,a,\"\"]]),a.locals&&(A.exports=a.locals);(0,e(2).default)(\"941c791e\",a,!0,{})},function(A,t,e){(t=e(1)(!1)).push([A.i,\".vue-tooltip[data-v-91c9b6f]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;opacity:0;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-91c9b6f][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-91c9b6f][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-91c9b6f][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-91c9b6f] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-91c9b6f] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\\n\",\"\"]),A.exports=t},function(A,t,e){\"use strict\";e(22);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nfunction a(A,t,e){this.r=A,this.g=t,this.b=e}function i(A,t,e){var i=[];i.push(t);for(var n=function(A,t){var e=new Array(3);return e[0]=(t[1].r-t[0].r)/A,e[1]=(t[1].g-t[0].g)/A,e[2]=(t[1].b-t[0].b)/A,e}(A,[t,e]),o=1;o<A;o++){var r=parseInt(t.r+n[0]*o,10),s=parseInt(t.g+n[1]*o,10),c=parseInt(t.b+n[2]*o,10);i.push(new a(r,s,c))}return i}t.a=function(A){A||(A=6);var t=new a(182,70,157),e=new a(221,203,85),n=new a(0,130,201),o=i(A,t,e),r=i(A,e,n),s=i(A,n,t);return o.concat(r).concat(s)}},,,function(A,t){A.exports=require(\"@nextcloud/axios\")},function(A,t){A.exports=require(\"@nextcloud/auth\")},function(A,t){},,,function(A,t,e){\"use strict\";e.r(t);e(15),e(19),e(5),e(28),e(20),e(21),e(27);var a={name:\"PopoverMenuItem\",props:{item:{type:Object,required:!0,default:function(){return{key:\"nextcloud-link\",href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}},validator:function(A){return!A.input||-1!==[\"text\",\"checkbox\"].indexOf(A.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(A){return!1}}},methods:{action:function(A){this.item.action&&this.item.action(A)}}},i=(e(84),e(86),e(0)),n={name:\"PopoverMenu\",components:{PopoverMenuItem:Object(i.a)(a,(function(){var A=this,t=A.$createElement,e=A._self._c||t;return e(\"li\",[A.item.href?e(\"a\",{staticClass:\"focusable\",attrs:{href:A.item.href?A.item.href:\"#\",target:A.item.target?A.item.target:\"\",download:A.item.download,rel:\"noreferrer noopener\"},on:{click:A.action}},[A.iconIsUrl?e(\"img\",{attrs:{src:A.item.icon}}):e(\"span\",{class:A.item.icon}),A._v(\" \"),A.item.text&&A.item.longtext?e(\"p\",[e(\"strong\",{staticClass:\"menuitem-text\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\\t\")]),e(\"br\"),A._v(\" \"),e(\"span\",{staticClass:\"menuitem-text-detail\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\\t\")])]):A.item.text?e(\"span\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\")]):A.item.longtext?e(\"p\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\")]):A._e()]):A.item.input?e(\"span\",{staticClass:\"menuitem\",class:{active:A.item.active}},[\"checkbox\"!==A.item.input?e(\"span\",{class:A.item.icon}):A._e(),A._v(\" \"),\"text\"===A.item.input?e(\"form\",{class:A.item.input,on:{submit:function(t){return t.preventDefault(),A.item.action(t)}}},[e(\"input\",{attrs:{type:A.item.input,placeholder:A.item.text,required:\"\"},domProps:{value:A.item.value}}),A._v(\" \"),e(\"input\",{staticClass:\"icon-confirm\",attrs:{type:\"submit\",value:\"\"}})]):[\"checkbox\"===A.item.input?e(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:A.item.model,expression:\"item.model\"}],class:A.item.input,attrs:{id:A.key,type:\"checkbox\"},domProps:{checked:Array.isArray(A.item.model)?A._i(A.item.model,null)>-1:A.item.model},on:{change:[function(t){var e=A.item.model,a=t.target,i=!!a.checked;if(Array.isArray(e)){var n=A._i(e,null);a.checked?n<0&&A.$set(A.item,\"model\",e.concat([null])):n>-1&&A.$set(A.item,\"model\",e.slice(0,n).concat(e.slice(n+1)))}else A.$set(A.item,\"model\",i)},A.item.action]}}):\"radio\"===A.item.input?e(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:A.item.model,expression:\"item.model\"}],class:A.item.input,attrs:{id:A.key,type:\"radio\"},domProps:{checked:A._q(A.item.model,null)},on:{change:[function(t){return A.$set(A.item,\"model\",null)},A.item.action]}}):e(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:A.item.model,expression:\"item.model\"}],class:A.item.input,attrs:{id:A.key,type:A.item.input},domProps:{value:A.item.model},on:{change:A.item.action,input:function(t){t.target.composing||A.$set(A.item,\"model\",t.target.value)}}}),A._v(\" \"),e(\"label\",{attrs:{for:A.key},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),A.item.action(t)}}},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\\t\")])]],2):A.item.action?e(\"button\",{staticClass:\"menuitem focusable\",class:{active:A.item.active},attrs:{disabled:A.item.disabled},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),A.item.action(t)}}},[e(\"span\",{class:A.item.icon}),A._v(\" \"),A.item.text&&A.item.longtext?e(\"p\",[e(\"strong\",{staticClass:\"menuitem-text\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\\t\")]),e(\"br\"),A._v(\" \"),e(\"span\",{staticClass:\"menuitem-text-detail\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\\t\")])]):A.item.text?e(\"span\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\")]):A.item.longtext?e(\"p\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\")]):A._e()]):e(\"span\",{staticClass:\"menuitem\",class:{active:A.item.active}},[e(\"span\",{class:A.item.icon}),A._v(\" \"),A.item.text&&A.item.longtext?e(\"p\",[e(\"strong\",{staticClass:\"menuitem-text\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\\t\")]),e(\"br\"),A._v(\" \"),e(\"span\",{staticClass:\"menuitem-text-detail\"},[A._v(\"\\n\\t\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\\t\")])]):A.item.text?e(\"span\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.text)+\"\\n\\t\\t\")]):A.item.longtext?e(\"p\",[A._v(\"\\n\\t\\t\\t\"+A._s(A.item.longtext)+\"\\n\\t\\t\")]):A._e()])])}),[],!1,null,\"75ab886e\",null).exports},props:{menu:{type:Array,default:function(){return[{href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}]},required:!0}}},o=(e(88),e(41)),r=e.n(o),s=Object(i.a)(n,(function(){var A=this.$createElement,t=this._self._c||A;return t(\"ul\",this._l(this.menu,(function(A,e){return t(\"PopoverMenuItem\",{key:e,attrs:{item:A}})})),1)}),[],!1,null,\"81c2afc0\",null);\"function\"==typeof r.a&&r()(s);var c=s.exports;\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.default=c},,,function(A,t,e){\"use strict\";e.r(t);e(22),e(68),e(7),e(25),e(5),e(66),e(67);var a=e(16),i=e(53),n=e(49),o=e(48),r=e.n(o),s=e(38),c=e(13),l=e(61);function u(A,t,e,a,i,n,o){try{var r=A[n](o),s=r.value}catch(A){return void e(A)}r.done?t(s):Promise.resolve(s).then(a,i)}function d(A){return function(){var t=this,e=arguments;return new Promise((function(a,i){var n=A.apply(t,e);function o(A){u(n,a,i,o,r,\"next\",A)}function r(A){u(n,a,i,o,r,\"throw\",A)}o(void 0)}))}}var g={name:\"Avatar\",directives:{tooltip:c.default,ClickOutside:a.directive},components:{PopoverMenu:i.default},props:{url:{type:String,default:void 0},iconClass:{type:String,default:void 0},user:{type:String,default:void 0},isGuest:{type:Boolean,default:!1},displayName:{type:String,default:void 0},size:{type:Number,default:32},allowPlaceholder:{type:Boolean,default:!0},disableTooltip:{type:Boolean,default:!1},disableMenu:{type:Boolean,default:!1},tooltipMessage:{type:String,default:null},isNoUser:{type:Boolean,default:!1},status:{type:String,default:null,validator:function(A){switch(A){case\"positive\":case\"negative\":case\"neutral\":return!0}return!1}},statusColor:{type:[Number,String],default:null,validator:function(A){return/^([a-f0-9]{3}){1,2}$/i.test(A)}},menuPosition:{type:String,default:\"center\"}},data:function(){return{avatarUrlLoaded:null,avatarSrcSetLoaded:null,userDoesNotExist:!1,isAvatarLoaded:!1,isMenuLoaded:!1,contactsMenuActions:[],contactsMenuOpenState:!1}},computed:{getUserIdentifier:function(){return this.isDisplayNameDefined?this.displayName:this.isUserDefined?this.user:\"\"},isUserDefined:function(){return void 0!==this.user},isDisplayNameDefined:function(){return void 0!==this.displayName},isUrlDefined:function(){return void 0!==this.url},hasMenu:function(){var A;return!this.disableMenu&&(this.isMenuLoaded?this.menu.length>0:!(this.user===(null===(A=Object(n.getCurrentUser)())||void 0===A?void 0:A.uid)||this.userDoesNotExist||this.url))},shouldShowPlaceholder:function(){return this.allowPlaceholder&&this.userDoesNotExist},avatarStyle:function(){var A={width:this.size+\"px\",height:this.size+\"px\",lineHeight:this.size+\"px\",fontSize:Math.round(.55*this.size)+\"px\"};if(!this.iconClass&&!this.avatarSrcSetLoaded){var t=Object(l.default)(this.getUserIdentifier);A.backgroundColor=\"rgb(\"+t.r+\", \"+t.g+\", \"+t.b+\")\"}return A},tooltip:function(){return!this.disableTooltip&&(this.tooltipMessage?this.tooltipMessage:this.displayName)},initials:function(){return this.shouldShowPlaceholder?this.getUserIdentifier.charAt(0).toUpperCase():\"?\"},menu:function(){return this.contactsMenuActions.map((function(A){return{href:A.hyperlink,icon:A.icon,text:A.title}}))}},watch:{url:function(){this.userDoesNotExist=!1,this.loadAvatarUrl()},user:function(){this.userDoesNotExist=!1,this.isMenuLoaded=!1,this.loadAvatarUrl()}},mounted:function(){this.loadAvatarUrl()},methods:{toggleMenu:function(){var A=this;return d(regeneratorRuntime.mark((function t(){return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:if(A.hasMenu){t.next=2;break}return t.abrupt(\"return\");case 2:if(A.contactsMenuOpenState){t.next=5;break}return t.next=5,A.fetchContactsMenu();case 5:A.contactsMenuOpenState=!A.contactsMenuOpenState;case 6:case\"end\":return t.stop()}}),t)})))()},closeMenu:function(){this.contactsMenuOpenState=!1},fetchContactsMenu:function(){var A=this;return d(regeneratorRuntime.mark((function t(){var e,a,i;return regeneratorRuntime.wrap((function(t){for(;;)switch(t.prev=t.next){case 0:return t.prev=0,e=encodeURIComponent(A.user),t.next=4,r.a.post(Object(s.generateUrl)(\"contactsmenu/findOne\"),\"shareType=0&shareWith=\".concat(e));case 4:a=t.sent,i=a.data,A.contactsMenuActions=i.topAction?[i.topAction].concat(i.actions):i.actions,t.next=12;break;case 9:t.prev=9,t.t0=t.catch(0),A.contactsMenuOpenState=!1;case 12:A.isMenuLoaded=!0;case 13:case\"end\":return t.stop()}}),t,null,[[0,9]])})))()},loadAvatarUrl:function(){var A=this;if(this.isAvatarLoaded=!1,!this.isUrlDefined&&(!this.isUserDefined||this.isNoUser))return this.isAvatarLoaded=!0,void(this.userDoesNotExist=!0);var t=function(t,e){var a,i=\"/avatar/{user}/{size}\";A.isGuest&&(i=\"/avatar/guest/{user}/{size}\");var o=Object(s.generateUrl)(i,{user:t,size:e});return t===(null===(a=Object(n.getCurrentUser)())||void 0===a?void 0:a.uid)&&\"undefined\"!=typeof oc_userconfig&&(o+=\"?v=\"+oc_userconfig.avatar.version),o},e=t(this.user,this.size);this.isUrlDefined&&(e=this.url);var a=[e+\" 1x\",t(this.user,2*this.size)+\" 2x\",t(this.user,4*this.size)+\" 4x\"].join(\", \"),i=new Image;i.onload=function(){A.avatarUrlLoaded=e,A.isUrlDefined||(A.avatarSrcSetLoaded=a),A.isAvatarLoaded=!0},i.onerror=function(){A.userDoesNotExist=!0,A.isAvatarLoaded=!0},this.isUrlDefined||(i.srcset=a),i.src=e}}},m=(e(95),e(0)),p=e(50),b=e.n(p),v=Object(m.a)(g,(function(){var A=this,t=A.$createElement,e=A._self._c||t;return e(\"div\",{directives:[{name:\"tooltip\",rawName:\"v-tooltip\",value:A.tooltip,expression:\"tooltip\"},{name:\"click-outside\",rawName:\"v-click-outside\",value:A.closeMenu,expression:\"closeMenu\"}],staticClass:\"avatardiv popovermenu-wrapper\",class:{\"avatardiv--unknown\":A.userDoesNotExist,\"avatardiv--with-menu\":A.hasMenu},style:A.avatarStyle,on:{click:A.toggleMenu}},[A.iconClass?e(\"div\",{staticClass:\"avatar-class-icon\",class:A.iconClass}):A.isAvatarLoaded&&!A.userDoesNotExist?e(\"img\",{attrs:{src:A.avatarUrlLoaded,srcset:A.avatarSrcSetLoaded}}):A._e(),A._v(\" \"),A.hasMenu?e(\"div\",{staticClass:\"icon-more\"}):A._e(),A._v(\" \"),A.status?e(\"div\",{staticClass:\"avatardiv__status\",class:\"avatardiv__status--\"+A.status,style:{backgroundColor:\"#\"+A.statusColor}},[\"neutral\"===A.status?e(\"svg\",{attrs:{xmlns:\"http://www.w3.org/2000/svg\",width:\"12\",height:\"11\",viewBox:\"0 0 3.175 2.91\"}},[e(\"path\",{style:{fill:\"#\"+A.statusColor},attrs:{d:\"M3.21 3.043H.494l.679-1.177.68-1.176.678 1.176z\",stroke:\"#fff\",\"stroke-width\":\".265\",\"stroke-linecap\":\"square\"}})]):A._e()]):A._e(),A._v(\" \"),A.userDoesNotExist?e(\"div\",{staticClass:\"unknown\"},[A._v(\"\\n\\t\\t\"+A._s(A.initials)+\"\\n\\t\")]):A._e(),A._v(\" \"),A.hasMenu?e(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:A.contactsMenuOpenState,expression:\"contactsMenuOpenState\"}],staticClass:\"popovermenu\",class:\"menu-\"+A.menuPosition},[e(\"PopoverMenu\",{attrs:{\"is-open\":A.contactsMenuOpenState,menu:A.menu}})],1):A._e()])}),[],!1,null,\"2e9fb762\",null);\"function\"==typeof b.a&&b()(v);var f=v.exports;\n/**\n * @copyright Copyright (c) 2018 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.default=f},function(A,t){A.exports=require(\"md5\")},,,,function(A,t,e){\"use strict\";e.r(t);e(17),e(90),e(31);var a=e(57),i=e.n(a),n=e(45),o=function(A){var t=A.toLowerCase();null===t.match(/^([0-9a-f]{4}-?){8}$/)&&(t=i()(t)),t=t.replace(/[^0-9a-f]/g,\"\");return Object(n.a)(6)[function(A,t){for(var e=0,a=[],i=0;i<A.length;i++)a.push(parseInt(A.charAt(i),16)%16);for(var n in a)e+=a[n];return parseInt(parseInt(e,10)%t,10)}(t,18)]};t.default=o},,,,,function(A,t){A.exports=require(\"core-js/modules/es.promise\")},function(A,t){A.exports=require(\"regenerator-runtime/runtime\")},function(A,t){A.exports=require(\"core-js/modules/es.array.join\")},,,,,,,,,,,,,,,,function(A,t,e){\"use strict\";var a=e(33);e.n(a).a},function(A,t,e){(t=e(1)(!1)).push([A.i,\"\\nbutton.menuitem[data-v-75ab886e] {\\n\\ttext-align: left;\\n}\\nbutton.menuitem *[data-v-75ab886e] {\\n\\tcursor: pointer;\\n}\\nbutton.menuitem[data-v-75ab886e]:disabled {\\n\\topacity: 0.5 !important;\\n\\tcursor: default;\\n}\\nbutton.menuitem:disabled *[data-v-75ab886e] {\\n\\tcursor: default;\\n}\\n.menuitem.active[data-v-75ab886e] {\\n\\tbox-shadow: inset 2px 0 var(--color-primary);\\n\\tborder-radius: 0;\\n}\\n\",\"\"]),A.exports=t},function(A,t,e){\"use strict\";var a=e(34);e.n(a).a},function(A,t,e){(t=e(1)(!1)).push([A.i,\"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\\n\",\"\"]),A.exports=t},function(A,t,e){\"use strict\";var a=e(35);e.n(a).a},function(A,t,e){(t=e(1)(!1)).push([A.i,\"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\\n\",\"\"]),A.exports=t},function(A,t){A.exports=require(\"core-js/modules/es.string.match\")},,,,,function(A,t,e){\"use strict\";var a=e(37);e.n(a).a},function(A,t,e){var a=e(1),i=e(8),n=e(9),o=e(10),r=e(11),s=e(12);t=a(!1);var c=i(n),l=i(o),u=i(r),d=i(s);t.push([A.i,'@font-face{font-family:\"iconfont-vue-91c9b6f\";src:url('+c+\");src:url(\"+c+') format(\"embedded-opentype\"),url('+l+') format(\"woff\"),url('+u+') format(\"truetype\"),url('+d+') format(\"svg\")}.icon[data-v-2e9fb762]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-left[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-right-double[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-right[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.breadcrumb[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.checkmark[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.close[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.confirm[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.info[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.menu[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.more[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.pause[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.play[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.triangle-s[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.avatardiv[data-v-2e9fb762]{position:relative;display:inline-block}.avatardiv--unknown[data-v-2e9fb762]{position:relative;background-color:var(--color-text-maxcontrast)}.avatardiv[data-v-2e9fb762]:not(.avatardiv--unknown){background-color:#fff !important;box-shadow:0 0 5px rgba(0,0,0,0.05) inset}.avatardiv--with-menu[data-v-2e9fb762]{cursor:pointer}.avatardiv--with-menu .icon-more[data-v-2e9fb762]{position:absolute;top:0;left:0;display:flex;align-items:center;justify-content:center;width:inherit;height:inherit;cursor:pointer;opacity:0;background:none;font-size:18px}.avatardiv--with-menu .icon-more[data-v-2e9fb762]:before{font-family:\"iconfont-vue-91c9b6f\";font-style:normal;font-weight:400;content:\"\"}.avatardiv--with-menu .icon-more[data-v-2e9fb762]::before{display:block}.avatardiv--with-menu:focus .icon-more[data-v-2e9fb762],.avatardiv--with-menu:hover .icon-more[data-v-2e9fb762]{opacity:1}.avatardiv--with-menu:focus img[data-v-2e9fb762],.avatardiv--with-menu:hover img[data-v-2e9fb762]{opacity:0}.avatardiv--with-menu .icon-more[data-v-2e9fb762],.avatardiv--with-menu img[data-v-2e9fb762]{transition:opacity var(--animation-quick)}.avatardiv>.unknown[data-v-2e9fb762]{position:absolute;top:0;left:0;display:block;width:100%;text-align:center;font-weight:normal;color:var(--color-main-background)}.avatardiv img[data-v-2e9fb762]{width:100%;height:100%}.avatardiv .avatardiv__status[data-v-2e9fb762]{position:absolute;top:22px;left:22px;width:10px;height:10px;border:1px solid rgba(255,255,255,0.5);background-clip:content-box}.avatardiv .avatardiv__status--positive[data-v-2e9fb762]{border-radius:50%;background-color:var(--color-success)}.avatardiv .avatardiv__status--negative[data-v-2e9fb762]{background-color:var(--color-error)}.avatardiv .avatardiv__status--neutral[data-v-2e9fb762]{border:none;background-color:transparent !important}.avatardiv .avatardiv__status--neutral svg[data-v-2e9fb762]{position:absolute;top:-3px;left:-2px}.avatardiv .avatardiv__status--neutral svg path[data-v-2e9fb762]{fill:#aaa}.avatardiv .popovermenu-wrapper[data-v-2e9fb762]{position:relative;display:inline-block}.avatardiv .popovermenu[data-v-2e9fb762]{display:block;margin:0;font-size:14px}.avatar-class-icon[data-v-2e9fb762]{border-radius:50%;background-color:var(--color-background-darker)}\\n',\"\"]),A.exports=t}])}));\n//# sourceMappingURL=Avatar.js.map","var charenc = {\n // UTF-8 encoding\n utf8: {\n // Convert a string to a byte array\n stringToBytes: function(str) {\n return charenc.bin.stringToBytes(unescape(encodeURIComponent(str)));\n },\n\n // Convert a byte array to a string\n bytesToString: function(bytes) {\n return decodeURIComponent(escape(charenc.bin.bytesToString(bytes)));\n }\n },\n\n // Binary encoding\n bin: {\n // Convert a string to a byte array\n stringToBytes: function(str) {\n for (var bytes = [], i = 0; i < str.length; i++)\n bytes.push(str.charCodeAt(i) & 0xFF);\n return bytes;\n },\n\n // Convert a byte array to a string\n bytesToString: function(bytes) {\n for (var str = [], i = 0; i < bytes.length; i++)\n str.push(String.fromCharCode(bytes[i]));\n return str.join('');\n }\n }\n};\n\nmodule.exports = charenc;\n","var global = require('../internals/global');\nvar fails = require('../internals/fails');\nvar classof = require('../internals/classof-raw');\nvar bind = require('../internals/function-bind-context');\nvar html = require('../internals/html');\nvar createElement = require('../internals/document-create-element');\nvar IS_IOS = require('../internals/engine-is-ios');\n\nvar location = global.location;\nvar set = global.setImmediate;\nvar clear = global.clearImmediate;\nvar process = global.process;\nvar MessageChannel = global.MessageChannel;\nvar Dispatch = global.Dispatch;\nvar counter = 0;\nvar queue = {};\nvar ONREADYSTATECHANGE = 'onreadystatechange';\nvar defer, channel, port;\n\nvar run = function (id) {\n // eslint-disable-next-line no-prototype-builtins\n if (queue.hasOwnProperty(id)) {\n var fn = queue[id];\n delete queue[id];\n fn();\n }\n};\n\nvar runner = function (id) {\n return function () {\n run(id);\n };\n};\n\nvar listener = function (event) {\n run(event.data);\n};\n\nvar post = function (id) {\n // old engines have not location.origin\n global.postMessage(id + '', location.protocol + '//' + location.host);\n};\n\n// Node.js 0.9+ & IE10+ has setImmediate, otherwise:\nif (!set || !clear) {\n set = function setImmediate(fn) {\n var args = [];\n var i = 1;\n while (arguments.length > i) args.push(arguments[i++]);\n queue[++counter] = function () {\n // eslint-disable-next-line no-new-func\n (typeof fn == 'function' ? fn : Function(fn)).apply(undefined, args);\n };\n defer(counter);\n return counter;\n };\n clear = function clearImmediate(id) {\n delete queue[id];\n };\n // Node.js 0.8-\n if (classof(process) == 'process') {\n defer = function (id) {\n process.nextTick(runner(id));\n };\n // Sphere (JS game engine) Dispatch API\n } else if (Dispatch && Dispatch.now) {\n defer = function (id) {\n Dispatch.now(runner(id));\n };\n // Browsers with MessageChannel, includes WebWorkers\n // except iOS - https://github.com/zloirock/core-js/issues/624\n } else if (MessageChannel && !IS_IOS) {\n channel = new MessageChannel();\n port = channel.port2;\n channel.port1.onmessage = listener;\n defer = bind(port.postMessage, port, 1);\n // Browsers with postMessage, skip WebWorkers\n // IE8 has postMessage, but it's sync & typeof its postMessage is 'object'\n } else if (\n global.addEventListener &&\n typeof postMessage == 'function' &&\n !global.importScripts &&\n !fails(post) &&\n location.protocol !== 'file:'\n ) {\n defer = post;\n global.addEventListener('message', listener, false);\n // IE8-\n } else if (ONREADYSTATECHANGE in createElement('script')) {\n defer = function (id) {\n html.appendChild(createElement('script'))[ONREADYSTATECHANGE] = function () {\n html.removeChild(this);\n run(id);\n };\n };\n // Rest old browsers\n } else {\n defer = function (id) {\n setTimeout(runner(id), 0);\n };\n }\n}\n\nmodule.exports = {\n set: set,\n clear: clear\n};\n","var userAgent = require('../internals/engine-user-agent');\n\nmodule.exports = /(iphone|ipod|ipad).*applewebkit/i.test(userAgent);\n","'use strict';\nvar aFunction = require('../internals/a-function');\n\nvar PromiseCapability = function (C) {\n var resolve, reject;\n this.promise = new C(function ($$resolve, $$reject) {\n if (resolve !== undefined || reject !== undefined) throw TypeError('Bad Promise constructor');\n resolve = $$resolve;\n reject = $$reject;\n });\n this.resolve = aFunction(resolve);\n this.reject = aFunction(reject);\n};\n\n// 25.4.1.5 NewPromiseCapability(C)\nmodule.exports.f = function (C) {\n return new PromiseCapability(C);\n};\n","(function(){\r\n var crypt = require('crypt'),\r\n utf8 = require('charenc').utf8,\r\n isBuffer = require('is-buffer'),\r\n bin = require('charenc').bin,\r\n\r\n // The core\r\n md5 = function (message, options) {\r\n // Convert to byte array\r\n if (message.constructor == String)\r\n if (options && options.encoding === 'binary')\r\n message = bin.stringToBytes(message);\r\n else\r\n message = utf8.stringToBytes(message);\r\n else if (isBuffer(message))\r\n message = Array.prototype.slice.call(message, 0);\r\n else if (!Array.isArray(message))\r\n message = message.toString();\r\n // else, assume byte array already\r\n\r\n var m = crypt.bytesToWords(message),\r\n l = message.length * 8,\r\n a = 1732584193,\r\n b = -271733879,\r\n c = -1732584194,\r\n d = 271733878;\r\n\r\n // Swap endian\r\n for (var i = 0; i < m.length; i++) {\r\n m[i] = ((m[i] << 8) | (m[i] >>> 24)) & 0x00FF00FF |\r\n ((m[i] << 24) | (m[i] >>> 8)) & 0xFF00FF00;\r\n }\r\n\r\n // Padding\r\n m[l >>> 5] |= 0x80 << (l % 32);\r\n m[(((l + 64) >>> 9) << 4) + 14] = l;\r\n\r\n // Method shortcuts\r\n var FF = md5._ff,\r\n GG = md5._gg,\r\n HH = md5._hh,\r\n II = md5._ii;\r\n\r\n for (var i = 0; i < m.length; i += 16) {\r\n\r\n var aa = a,\r\n bb = b,\r\n cc = c,\r\n dd = d;\r\n\r\n a = FF(a, b, c, d, m[i+ 0], 7, -680876936);\r\n d = FF(d, a, b, c, m[i+ 1], 12, -389564586);\r\n c = FF(c, d, a, b, m[i+ 2], 17, 606105819);\r\n b = FF(b, c, d, a, m[i+ 3], 22, -1044525330);\r\n a = FF(a, b, c, d, m[i+ 4], 7, -176418897);\r\n d = FF(d, a, b, c, m[i+ 5], 12, 1200080426);\r\n c = FF(c, d, a, b, m[i+ 6], 17, -1473231341);\r\n b = FF(b, c, d, a, m[i+ 7], 22, -45705983);\r\n a = FF(a, b, c, d, m[i+ 8], 7, 1770035416);\r\n d = FF(d, a, b, c, m[i+ 9], 12, -1958414417);\r\n c = FF(c, d, a, b, m[i+10], 17, -42063);\r\n b = FF(b, c, d, a, m[i+11], 22, -1990404162);\r\n a = FF(a, b, c, d, m[i+12], 7, 1804603682);\r\n d = FF(d, a, b, c, m[i+13], 12, -40341101);\r\n c = FF(c, d, a, b, m[i+14], 17, -1502002290);\r\n b = FF(b, c, d, a, m[i+15], 22, 1236535329);\r\n\r\n a = GG(a, b, c, d, m[i+ 1], 5, -165796510);\r\n d = GG(d, a, b, c, m[i+ 6], 9, -1069501632);\r\n c = GG(c, d, a, b, m[i+11], 14, 643717713);\r\n b = GG(b, c, d, a, m[i+ 0], 20, -373897302);\r\n a = GG(a, b, c, d, m[i+ 5], 5, -701558691);\r\n d = GG(d, a, b, c, m[i+10], 9, 38016083);\r\n c = GG(c, d, a, b, m[i+15], 14, -660478335);\r\n b = GG(b, c, d, a, m[i+ 4], 20, -405537848);\r\n a = GG(a, b, c, d, m[i+ 9], 5, 568446438);\r\n d = GG(d, a, b, c, m[i+14], 9, -1019803690);\r\n c = GG(c, d, a, b, m[i+ 3], 14, -187363961);\r\n b = GG(b, c, d, a, m[i+ 8], 20, 1163531501);\r\n a = GG(a, b, c, d, m[i+13], 5, -1444681467);\r\n d = GG(d, a, b, c, m[i+ 2], 9, -51403784);\r\n c = GG(c, d, a, b, m[i+ 7], 14, 1735328473);\r\n b = GG(b, c, d, a, m[i+12], 20, -1926607734);\r\n\r\n a = HH(a, b, c, d, m[i+ 5], 4, -378558);\r\n d = HH(d, a, b, c, m[i+ 8], 11, -2022574463);\r\n c = HH(c, d, a, b, m[i+11], 16, 1839030562);\r\n b = HH(b, c, d, a, m[i+14], 23, -35309556);\r\n a = HH(a, b, c, d, m[i+ 1], 4, -1530992060);\r\n d = HH(d, a, b, c, m[i+ 4], 11, 1272893353);\r\n c = HH(c, d, a, b, m[i+ 7], 16, -155497632);\r\n b = HH(b, c, d, a, m[i+10], 23, -1094730640);\r\n a = HH(a, b, c, d, m[i+13], 4, 681279174);\r\n d = HH(d, a, b, c, m[i+ 0], 11, -358537222);\r\n c = HH(c, d, a, b, m[i+ 3], 16, -722521979);\r\n b = HH(b, c, d, a, m[i+ 6], 23, 76029189);\r\n a = HH(a, b, c, d, m[i+ 9], 4, -640364487);\r\n d = HH(d, a, b, c, m[i+12], 11, -421815835);\r\n c = HH(c, d, a, b, m[i+15], 16, 530742520);\r\n b = HH(b, c, d, a, m[i+ 2], 23, -995338651);\r\n\r\n a = II(a, b, c, d, m[i+ 0], 6, -198630844);\r\n d = II(d, a, b, c, m[i+ 7], 10, 1126891415);\r\n c = II(c, d, a, b, m[i+14], 15, -1416354905);\r\n b = II(b, c, d, a, m[i+ 5], 21, -57434055);\r\n a = II(a, b, c, d, m[i+12], 6, 1700485571);\r\n d = II(d, a, b, c, m[i+ 3], 10, -1894986606);\r\n c = II(c, d, a, b, m[i+10], 15, -1051523);\r\n b = II(b, c, d, a, m[i+ 1], 21, -2054922799);\r\n a = II(a, b, c, d, m[i+ 8], 6, 1873313359);\r\n d = II(d, a, b, c, m[i+15], 10, -30611744);\r\n c = II(c, d, a, b, m[i+ 6], 15, -1560198380);\r\n b = II(b, c, d, a, m[i+13], 21, 1309151649);\r\n a = II(a, b, c, d, m[i+ 4], 6, -145523070);\r\n d = II(d, a, b, c, m[i+11], 10, -1120210379);\r\n c = II(c, d, a, b, m[i+ 2], 15, 718787259);\r\n b = II(b, c, d, a, m[i+ 9], 21, -343485551);\r\n\r\n a = (a + aa) >>> 0;\r\n b = (b + bb) >>> 0;\r\n c = (c + cc) >>> 0;\r\n d = (d + dd) >>> 0;\r\n }\r\n\r\n return crypt.endian([a, b, c, d]);\r\n };\r\n\r\n // Auxiliary functions\r\n md5._ff = function (a, b, c, d, x, s, t) {\r\n var n = a + (b & c | ~b & d) + (x >>> 0) + t;\r\n return ((n << s) | (n >>> (32 - s))) + b;\r\n };\r\n md5._gg = function (a, b, c, d, x, s, t) {\r\n var n = a + (b & d | c & ~d) + (x >>> 0) + t;\r\n return ((n << s) | (n >>> (32 - s))) + b;\r\n };\r\n md5._hh = function (a, b, c, d, x, s, t) {\r\n var n = a + (b ^ c ^ d) + (x >>> 0) + t;\r\n return ((n << s) | (n >>> (32 - s))) + b;\r\n };\r\n md5._ii = function (a, b, c, d, x, s, t) {\r\n var n = a + (c ^ (b | ~d)) + (x >>> 0) + t;\r\n return ((n << s) | (n >>> (32 - s))) + b;\r\n };\r\n\r\n // Package private blocksize\r\n md5._blocksize = 16;\r\n md5._digestsize = 16;\r\n\r\n module.exports = function (message, options) {\r\n if (message === undefined || message === null)\r\n throw new Error('Illegal argument ' + message);\r\n\r\n var digestbytes = crypt.wordsToBytes(md5(message, options));\r\n return options && options.asBytes ? digestbytes :\r\n options && options.asString ? bin.bytesToString(digestbytes) :\r\n crypt.bytesToHex(digestbytes);\r\n };\r\n\r\n})();\r\n","(function() {\n var base64map\n = 'ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/',\n\n crypt = {\n // Bit-wise rotation left\n rotl: function(n, b) {\n return (n << b) | (n >>> (32 - b));\n },\n\n // Bit-wise rotation right\n rotr: function(n, b) {\n return (n << (32 - b)) | (n >>> b);\n },\n\n // Swap big-endian to little-endian and vice versa\n endian: function(n) {\n // If number given, swap endian\n if (n.constructor == Number) {\n return crypt.rotl(n, 8) & 0x00FF00FF | crypt.rotl(n, 24) & 0xFF00FF00;\n }\n\n // Else, assume array and swap all items\n for (var i = 0; i < n.length; i++)\n n[i] = crypt.endian(n[i]);\n return n;\n },\n\n // Generate an array of any length of random bytes\n randomBytes: function(n) {\n for (var bytes = []; n > 0; n--)\n bytes.push(Math.floor(Math.random() * 256));\n return bytes;\n },\n\n // Convert a byte array to big-endian 32-bit words\n bytesToWords: function(bytes) {\n for (var words = [], i = 0, b = 0; i < bytes.length; i++, b += 8)\n words[b >>> 5] |= bytes[i] << (24 - b % 32);\n return words;\n },\n\n // Convert big-endian 32-bit words to a byte array\n wordsToBytes: function(words) {\n for (var bytes = [], b = 0; b < words.length * 32; b += 8)\n bytes.push((words[b >>> 5] >>> (24 - b % 32)) & 0xFF);\n return bytes;\n },\n\n // Convert a byte array to a hex string\n bytesToHex: function(bytes) {\n for (var hex = [], i = 0; i < bytes.length; i++) {\n hex.push((bytes[i] >>> 4).toString(16));\n hex.push((bytes[i] & 0xF).toString(16));\n }\n return hex.join('');\n },\n\n // Convert a hex string to a byte array\n hexToBytes: function(hex) {\n for (var bytes = [], c = 0; c < hex.length; c += 2)\n bytes.push(parseInt(hex.substr(c, 2), 16));\n return bytes;\n },\n\n // Convert a byte array to a base-64 string\n bytesToBase64: function(bytes) {\n for (var base64 = [], i = 0; i < bytes.length; i += 3) {\n var triplet = (bytes[i] << 16) | (bytes[i + 1] << 8) | bytes[i + 2];\n for (var j = 0; j < 4; j++)\n if (i * 8 + j * 6 <= bytes.length * 8)\n base64.push(base64map.charAt((triplet >>> 6 * (3 - j)) & 0x3F));\n else\n base64.push('=');\n }\n return base64.join('');\n },\n\n // Convert a base-64 string to a byte array\n base64ToBytes: function(base64) {\n // Remove non-base-64 characters\n base64 = base64.replace(/[^A-Z0-9+\\/]/ig, '');\n\n for (var bytes = [], i = 0, imod4 = 0; i < base64.length;\n imod4 = ++i % 4) {\n if (imod4 == 0) continue;\n bytes.push(((base64map.indexOf(base64.charAt(i - 1))\n & (Math.pow(2, -2 * imod4 + 8) - 1)) << (imod4 * 2))\n | (base64map.indexOf(base64.charAt(i)) >>> (6 - imod4 * 2)));\n }\n return bytes;\n }\n };\n\n module.exports = crypt;\n})();\n","/*!\n * Determine if an object is a Buffer\n *\n * @author Feross Aboukhadijeh <https://feross.org>\n * @license MIT\n */\n\n// The _isBuffer check is for Safari 5-7 support, because it's missing\n// Object.prototype.constructor. Remove this eventually\nmodule.exports = function (obj) {\n return obj != null && (isBuffer(obj) || isSlowBuffer(obj) || !!obj._isBuffer)\n}\n\nfunction isBuffer (obj) {\n return !!obj.constructor && typeof obj.constructor.isBuffer === 'function' && obj.constructor.isBuffer(obj)\n}\n\n// For Node v0.10 support. Remove this eventually.\nfunction isSlowBuffer (obj) {\n return typeof obj.readFloatLE === 'function' && typeof obj.slice === 'function' && isBuffer(obj.slice(0, 0))\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar IS_PURE = require('../internals/is-pure');\nvar global = require('../internals/global');\nvar getBuiltIn = require('../internals/get-built-in');\nvar NativePromise = require('../internals/native-promise-constructor');\nvar redefine = require('../internals/redefine');\nvar redefineAll = require('../internals/redefine-all');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar setSpecies = require('../internals/set-species');\nvar isObject = require('../internals/is-object');\nvar aFunction = require('../internals/a-function');\nvar anInstance = require('../internals/an-instance');\nvar classof = require('../internals/classof-raw');\nvar inspectSource = require('../internals/inspect-source');\nvar iterate = require('../internals/iterate');\nvar checkCorrectnessOfIteration = require('../internals/check-correctness-of-iteration');\nvar speciesConstructor = require('../internals/species-constructor');\nvar task = require('../internals/task').set;\nvar microtask = require('../internals/microtask');\nvar promiseResolve = require('../internals/promise-resolve');\nvar hostReportErrors = require('../internals/host-report-errors');\nvar newPromiseCapabilityModule = require('../internals/new-promise-capability');\nvar perform = require('../internals/perform');\nvar InternalStateModule = require('../internals/internal-state');\nvar isForced = require('../internals/is-forced');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar SPECIES = wellKnownSymbol('species');\nvar PROMISE = 'Promise';\nvar getInternalState = InternalStateModule.get;\nvar setInternalState = InternalStateModule.set;\nvar getInternalPromiseState = InternalStateModule.getterFor(PROMISE);\nvar PromiseConstructor = NativePromise;\nvar TypeError = global.TypeError;\nvar document = global.document;\nvar process = global.process;\nvar $fetch = getBuiltIn('fetch');\nvar newPromiseCapability = newPromiseCapabilityModule.f;\nvar newGenericPromiseCapability = newPromiseCapability;\nvar IS_NODE = classof(process) == 'process';\nvar DISPATCH_EVENT = !!(document && document.createEvent && global.dispatchEvent);\nvar UNHANDLED_REJECTION = 'unhandledrejection';\nvar REJECTION_HANDLED = 'rejectionhandled';\nvar PENDING = 0;\nvar FULFILLED = 1;\nvar REJECTED = 2;\nvar HANDLED = 1;\nvar UNHANDLED = 2;\nvar Internal, OwnPromiseCapability, PromiseWrapper, nativeThen;\n\nvar FORCED = isForced(PROMISE, function () {\n var GLOBAL_CORE_JS_PROMISE = inspectSource(PromiseConstructor) !== String(PromiseConstructor);\n if (!GLOBAL_CORE_JS_PROMISE) {\n // V8 6.6 (Node 10 and Chrome 66) have a bug with resolving custom thenables\n // https://bugs.chromium.org/p/chromium/issues/detail?id=830565\n // We can't detect it synchronously, so just check versions\n if (V8_VERSION === 66) return true;\n // Unhandled rejections tracking support, NodeJS Promise without it fails @@species test\n if (!IS_NODE && typeof PromiseRejectionEvent != 'function') return true;\n }\n // We need Promise#finally in the pure version for preventing prototype pollution\n if (IS_PURE && !PromiseConstructor.prototype['finally']) return true;\n // We can't use @@species feature detection in V8 since it causes\n // deoptimization and performance degradation\n // https://github.com/zloirock/core-js/issues/679\n if (V8_VERSION >= 51 && /native code/.test(PromiseConstructor)) return false;\n // Detect correctness of subclassing with @@species support\n var promise = PromiseConstructor.resolve(1);\n var FakePromise = function (exec) {\n exec(function () { /* empty */ }, function () { /* empty */ });\n };\n var constructor = promise.constructor = {};\n constructor[SPECIES] = FakePromise;\n return !(promise.then(function () { /* empty */ }) instanceof FakePromise);\n});\n\nvar INCORRECT_ITERATION = FORCED || !checkCorrectnessOfIteration(function (iterable) {\n PromiseConstructor.all(iterable)['catch'](function () { /* empty */ });\n});\n\n// helpers\nvar isThenable = function (it) {\n var then;\n return isObject(it) && typeof (then = it.then) == 'function' ? then : false;\n};\n\nvar notify = function (promise, state, isReject) {\n if (state.notified) return;\n state.notified = true;\n var chain = state.reactions;\n microtask(function () {\n var value = state.value;\n var ok = state.state == FULFILLED;\n var index = 0;\n // variable length - can't use forEach\n while (chain.length > index) {\n var reaction = chain[index++];\n var handler = ok ? reaction.ok : reaction.fail;\n var resolve = reaction.resolve;\n var reject = reaction.reject;\n var domain = reaction.domain;\n var result, then, exited;\n try {\n if (handler) {\n if (!ok) {\n if (state.rejection === UNHANDLED) onHandleUnhandled(promise, state);\n state.rejection = HANDLED;\n }\n if (handler === true) result = value;\n else {\n if (domain) domain.enter();\n result = handler(value); // can throw\n if (domain) {\n domain.exit();\n exited = true;\n }\n }\n if (result === reaction.promise) {\n reject(TypeError('Promise-chain cycle'));\n } else if (then = isThenable(result)) {\n then.call(result, resolve, reject);\n } else resolve(result);\n } else reject(value);\n } catch (error) {\n if (domain && !exited) domain.exit();\n reject(error);\n }\n }\n state.reactions = [];\n state.notified = false;\n if (isReject && !state.rejection) onUnhandled(promise, state);\n });\n};\n\nvar dispatchEvent = function (name, promise, reason) {\n var event, handler;\n if (DISPATCH_EVENT) {\n event = document.createEvent('Event');\n event.promise = promise;\n event.reason = reason;\n event.initEvent(name, false, true);\n global.dispatchEvent(event);\n } else event = { promise: promise, reason: reason };\n if (handler = global['on' + name]) handler(event);\n else if (name === UNHANDLED_REJECTION) hostReportErrors('Unhandled promise rejection', reason);\n};\n\nvar onUnhandled = function (promise, state) {\n task.call(global, function () {\n var value = state.value;\n var IS_UNHANDLED = isUnhandled(state);\n var result;\n if (IS_UNHANDLED) {\n result = perform(function () {\n if (IS_NODE) {\n process.emit('unhandledRejection', value, promise);\n } else dispatchEvent(UNHANDLED_REJECTION, promise, value);\n });\n // Browsers should not trigger `rejectionHandled` event if it was handled here, NodeJS - should\n state.rejection = IS_NODE || isUnhandled(state) ? UNHANDLED : HANDLED;\n if (result.error) throw result.value;\n }\n });\n};\n\nvar isUnhandled = function (state) {\n return state.rejection !== HANDLED && !state.parent;\n};\n\nvar onHandleUnhandled = function (promise, state) {\n task.call(global, function () {\n if (IS_NODE) {\n process.emit('rejectionHandled', promise);\n } else dispatchEvent(REJECTION_HANDLED, promise, state.value);\n });\n};\n\nvar bind = function (fn, promise, state, unwrap) {\n return function (value) {\n fn(promise, state, value, unwrap);\n };\n};\n\nvar internalReject = function (promise, state, value, unwrap) {\n if (state.done) return;\n state.done = true;\n if (unwrap) state = unwrap;\n state.value = value;\n state.state = REJECTED;\n notify(promise, state, true);\n};\n\nvar internalResolve = function (promise, state, value, unwrap) {\n if (state.done) return;\n state.done = true;\n if (unwrap) state = unwrap;\n try {\n if (promise === value) throw TypeError(\"Promise can't be resolved itself\");\n var then = isThenable(value);\n if (then) {\n microtask(function () {\n var wrapper = { done: false };\n try {\n then.call(value,\n bind(internalResolve, promise, wrapper, state),\n bind(internalReject, promise, wrapper, state)\n );\n } catch (error) {\n internalReject(promise, wrapper, error, state);\n }\n });\n } else {\n state.value = value;\n state.state = FULFILLED;\n notify(promise, state, false);\n }\n } catch (error) {\n internalReject(promise, { done: false }, error, state);\n }\n};\n\n// constructor polyfill\nif (FORCED) {\n // 25.4.3.1 Promise(executor)\n PromiseConstructor = function Promise(executor) {\n anInstance(this, PromiseConstructor, PROMISE);\n aFunction(executor);\n Internal.call(this);\n var state = getInternalState(this);\n try {\n executor(bind(internalResolve, this, state), bind(internalReject, this, state));\n } catch (error) {\n internalReject(this, state, error);\n }\n };\n // eslint-disable-next-line no-unused-vars\n Internal = function Promise(executor) {\n setInternalState(this, {\n type: PROMISE,\n done: false,\n notified: false,\n parent: false,\n reactions: [],\n rejection: false,\n state: PENDING,\n value: undefined\n });\n };\n Internal.prototype = redefineAll(PromiseConstructor.prototype, {\n // `Promise.prototype.then` method\n // https://tc39.github.io/ecma262/#sec-promise.prototype.then\n then: function then(onFulfilled, onRejected) {\n var state = getInternalPromiseState(this);\n var reaction = newPromiseCapability(speciesConstructor(this, PromiseConstructor));\n reaction.ok = typeof onFulfilled == 'function' ? onFulfilled : true;\n reaction.fail = typeof onRejected == 'function' && onRejected;\n reaction.domain = IS_NODE ? process.domain : undefined;\n state.parent = true;\n state.reactions.push(reaction);\n if (state.state != PENDING) notify(this, state, false);\n return reaction.promise;\n },\n // `Promise.prototype.catch` method\n // https://tc39.github.io/ecma262/#sec-promise.prototype.catch\n 'catch': function (onRejected) {\n return this.then(undefined, onRejected);\n }\n });\n OwnPromiseCapability = function () {\n var promise = new Internal();\n var state = getInternalState(promise);\n this.promise = promise;\n this.resolve = bind(internalResolve, promise, state);\n this.reject = bind(internalReject, promise, state);\n };\n newPromiseCapabilityModule.f = newPromiseCapability = function (C) {\n return C === PromiseConstructor || C === PromiseWrapper\n ? new OwnPromiseCapability(C)\n : newGenericPromiseCapability(C);\n };\n\n if (!IS_PURE && typeof NativePromise == 'function') {\n nativeThen = NativePromise.prototype.then;\n\n // wrap native Promise#then for native async functions\n redefine(NativePromise.prototype, 'then', function then(onFulfilled, onRejected) {\n var that = this;\n return new PromiseConstructor(function (resolve, reject) {\n nativeThen.call(that, resolve, reject);\n }).then(onFulfilled, onRejected);\n // https://github.com/zloirock/core-js/issues/640\n }, { unsafe: true });\n\n // wrap fetch result\n if (typeof $fetch == 'function') $({ global: true, enumerable: true, forced: true }, {\n // eslint-disable-next-line no-unused-vars\n fetch: function fetch(input /* , init */) {\n return promiseResolve(PromiseConstructor, $fetch.apply(global, arguments));\n }\n });\n }\n}\n\n$({ global: true, wrap: true, forced: FORCED }, {\n Promise: PromiseConstructor\n});\n\nsetToStringTag(PromiseConstructor, PROMISE, false, true);\nsetSpecies(PROMISE);\n\nPromiseWrapper = getBuiltIn(PROMISE);\n\n// statics\n$({ target: PROMISE, stat: true, forced: FORCED }, {\n // `Promise.reject` method\n // https://tc39.github.io/ecma262/#sec-promise.reject\n reject: function reject(r) {\n var capability = newPromiseCapability(this);\n capability.reject.call(undefined, r);\n return capability.promise;\n }\n});\n\n$({ target: PROMISE, stat: true, forced: IS_PURE || FORCED }, {\n // `Promise.resolve` method\n // https://tc39.github.io/ecma262/#sec-promise.resolve\n resolve: function resolve(x) {\n return promiseResolve(IS_PURE && this === PromiseWrapper ? PromiseConstructor : this, x);\n }\n});\n\n$({ target: PROMISE, stat: true, forced: INCORRECT_ITERATION }, {\n // `Promise.all` method\n // https://tc39.github.io/ecma262/#sec-promise.all\n all: function all(iterable) {\n var C = this;\n var capability = newPromiseCapability(C);\n var resolve = capability.resolve;\n var reject = capability.reject;\n var result = perform(function () {\n var $promiseResolve = aFunction(C.resolve);\n var values = [];\n var counter = 0;\n var remaining = 1;\n iterate(iterable, function (promise) {\n var index = counter++;\n var alreadyCalled = false;\n values.push(undefined);\n remaining++;\n $promiseResolve.call(C, promise).then(function (value) {\n if (alreadyCalled) return;\n alreadyCalled = true;\n values[index] = value;\n --remaining || resolve(values);\n }, reject);\n });\n --remaining || resolve(values);\n });\n if (result.error) reject(result.value);\n return capability.promise;\n },\n // `Promise.race` method\n // https://tc39.github.io/ecma262/#sec-promise.race\n race: function race(iterable) {\n var C = this;\n var capability = newPromiseCapability(C);\n var reject = capability.reject;\n var result = perform(function () {\n var $promiseResolve = aFunction(C.resolve);\n iterate(iterable, function (promise) {\n $promiseResolve.call(C, promise).then(capability.resolve, reject);\n });\n });\n if (result.error) reject(result.value);\n return capability.promise;\n }\n});\n","var global = require('../internals/global');\n\nmodule.exports = global.Promise;\n","var anObject = require('../internals/an-object');\nvar aFunction = require('../internals/a-function');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar SPECIES = wellKnownSymbol('species');\n\n// `SpeciesConstructor` abstract operation\n// https://tc39.github.io/ecma262/#sec-speciesconstructor\nmodule.exports = function (O, defaultConstructor) {\n var C = anObject(O).constructor;\n var S;\n return C === undefined || (S = anObject(C)[SPECIES]) == undefined ? defaultConstructor : aFunction(S);\n};\n","var global = require('../internals/global');\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar classof = require('../internals/classof-raw');\nvar macrotask = require('../internals/task').set;\nvar IS_IOS = require('../internals/engine-is-ios');\n\nvar MutationObserver = global.MutationObserver || global.WebKitMutationObserver;\nvar process = global.process;\nvar Promise = global.Promise;\nvar IS_NODE = classof(process) == 'process';\n// Node.js 11 shows ExperimentalWarning on getting `queueMicrotask`\nvar queueMicrotaskDescriptor = getOwnPropertyDescriptor(global, 'queueMicrotask');\nvar queueMicrotask = queueMicrotaskDescriptor && queueMicrotaskDescriptor.value;\n\nvar flush, head, last, notify, toggle, node, promise, then;\n\n// modern engines have queueMicrotask method\nif (!queueMicrotask) {\n flush = function () {\n var parent, fn;\n if (IS_NODE && (parent = process.domain)) parent.exit();\n while (head) {\n fn = head.fn;\n head = head.next;\n try {\n fn();\n } catch (error) {\n if (head) notify();\n else last = undefined;\n throw error;\n }\n } last = undefined;\n if (parent) parent.enter();\n };\n\n // Node.js\n if (IS_NODE) {\n notify = function () {\n process.nextTick(flush);\n };\n // browsers with MutationObserver, except iOS - https://github.com/zloirock/core-js/issues/339\n } else if (MutationObserver && !IS_IOS) {\n toggle = true;\n node = document.createTextNode('');\n new MutationObserver(flush).observe(node, { characterData: true });\n notify = function () {\n node.data = toggle = !toggle;\n };\n // environments with maybe non-completely correct, but existent Promise\n } else if (Promise && Promise.resolve) {\n // Promise.resolve without an argument throws an error in LG WebOS 2\n promise = Promise.resolve(undefined);\n then = promise.then;\n notify = function () {\n then.call(promise, flush);\n };\n // for other environments - macrotask based on:\n // - setImmediate\n // - MessageChannel\n // - window.postMessag\n // - onreadystatechange\n // - setTimeout\n } else {\n notify = function () {\n // strange IE + webpack dev server bug - use .call(global)\n macrotask.call(global, flush);\n };\n }\n}\n\nmodule.exports = queueMicrotask || function (fn) {\n var task = { fn: fn, next: undefined };\n if (last) last.next = task;\n if (!head) {\n head = task;\n notify();\n } last = task;\n};\n","var anObject = require('../internals/an-object');\nvar isObject = require('../internals/is-object');\nvar newPromiseCapability = require('../internals/new-promise-capability');\n\nmodule.exports = function (C, x) {\n anObject(C);\n if (isObject(x) && x.constructor === C) return x;\n var promiseCapability = newPromiseCapability.f(C);\n var resolve = promiseCapability.resolve;\n resolve(x);\n return promiseCapability.promise;\n};\n","var global = require('../internals/global');\n\nmodule.exports = function (a, b) {\n var console = global.console;\n if (console && console.error) {\n arguments.length === 1 ? console.error(a) : console.error(a, b);\n }\n};\n","module.exports = function (exec) {\n try {\n return { error: false, value: exec() };\n } catch (error) {\n return { error: true, value: error };\n }\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar IndexedObject = require('../internals/indexed-object');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\n\nvar nativeJoin = [].join;\n\nvar ES3_STRINGS = IndexedObject != Object;\nvar STRICT_METHOD = arrayMethodIsStrict('join', ',');\n\n// `Array.prototype.join` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.join\n$({ target: 'Array', proto: true, forced: ES3_STRINGS || !STRICT_METHOD }, {\n join: function join(separator) {\n return nativeJoin.call(toIndexedObject(this), separator === undefined ? ',' : separator);\n }\n});\n","'use strict';\nvar fixRegExpWellKnownSymbolLogic = require('../internals/fix-regexp-well-known-symbol-logic');\nvar anObject = require('../internals/an-object');\nvar toLength = require('../internals/to-length');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\nvar advanceStringIndex = require('../internals/advance-string-index');\nvar regExpExec = require('../internals/regexp-exec-abstract');\n\n// @@match logic\nfixRegExpWellKnownSymbolLogic('match', 1, function (MATCH, nativeMatch, maybeCallNative) {\n return [\n // `String.prototype.match` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.match\n function match(regexp) {\n var O = requireObjectCoercible(this);\n var matcher = regexp == undefined ? undefined : regexp[MATCH];\n return matcher !== undefined ? matcher.call(regexp, O) : new RegExp(regexp)[MATCH](String(O));\n },\n // `RegExp.prototype[@@match]` method\n // https://tc39.github.io/ecma262/#sec-regexp.prototype-@@match\n function (regexp) {\n var res = maybeCallNative(nativeMatch, regexp, this);\n if (res.done) return res.value;\n\n var rx = anObject(regexp);\n var S = String(this);\n\n if (!rx.global) return regExpExec(rx, S);\n\n var fullUnicode = rx.unicode;\n rx.lastIndex = 0;\n var A = [];\n var n = 0;\n var result;\n while ((result = regExpExec(rx, S)) !== null) {\n var matchStr = String(result[0]);\n A[n] = matchStr;\n if (matchStr === '') rx.lastIndex = advanceStringIndex(S, toLength(rx.lastIndex), fullUnicode);\n n++;\n }\n return n === 0 ? null : A;\n }\n ];\n});\n"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/vendors~editor-collab~editor-guest~editor-rich~files-modal.js b/js/vendors~editor-collab~editor-guest~editor-rich~files-modal.js
index ca9fdac3a..c710d5754 100644
--- a/js/vendors~editor-collab~editor-guest~editor-rich~files-modal.js
+++ b/js/vendors~editor-collab~editor-guest~editor-rich~files-modal.js
@@ -1,2 +1,2 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[1],{187:function(e,t,r){"use strict";r(100);var n,a=r(10),i=r(7),o=r(362),s=r(2),u=r(115),c=r(12),h=r(57),l=r(4),f=r(113),p=r(633),v=r(68).codeAt,d=r(634),g=r(33),m=r(635),y=r(20),w=s.URL,b=m.URLSearchParams,R=m.getState,L=y.set,k=y.getterFor("URL"),U=Math.floor,S=Math.pow,A=/[A-Za-z]/,q=/[\d+-.A-Za-z]/,P=/\d/,x=/^(0x|0X)/,B=/^[0-7]+$/,E=/^\d+$/,I=/^[\dA-Fa-f]+$/,j=/[\u0000\u0009\u000A\u000D #%/:?@[\\]]/,C=/[\u0000\u0009\u000A\u000D #/:?@[\\]]/,F=/^[\u0000-\u001F ]+|[\u0000-\u001F ]+$/g,O=/[\u0009\u000A\u000D]/g,T=function(e,t){var r,n,a;if("["==t.charAt(0)){if("]"!=t.charAt(t.length-1))return"Invalid host";if(!(r=N(t.slice(1,-1))))return"Invalid host";e.host=r}else if(H(e)){if(t=d(t),j.test(t))return"Invalid host";if(null===(r=J(t)))return"Invalid host";e.host=r}else{if(C.test(t))return"Invalid host";for(r="",n=p(t),a=0;a<n.length;a++)r+=Z(n[a],D);e.host=r}},J=function(e){var t,r,n,a,i,o,s,u=e.split(".");if(u.length&&""==u[u.length-1]&&u.pop(),(t=u.length)>4)return e;for(r=[],n=0;n<t;n++){if(""==(a=u[n]))return e;if(i=10,a.length>1&&"0"==a.charAt(0)&&(i=x.test(a)?16:8,a=a.slice(8==i?1:2)),""===a)o=0;else{if(!(10==i?E:8==i?B:I).test(a))return e;o=parseInt(a,i)}r.push(o)}for(n=0;n<t;n++)if(o=r[n],n==t-1){if(o>=S(256,5-t))return null}else if(o>255)return null;for(s=r.pop(),n=0;n<r.length;n++)s+=r[n]*S(256,3-n);return s},N=function(e){var t,r,n,a,i,o,s,u=[0,0,0,0,0,0,0,0],c=0,h=null,l=0,f=function(){return e.charAt(l)};if(":"==f()){if(":"!=e.charAt(1))return;l+=2,h=++c}for(;f();){if(8==c)return;if(":"!=f()){for(t=r=0;r<4&&I.test(f());)t=16*t+parseInt(f(),16),l++,r++;if("."==f()){if(0==r)return;if(l-=r,c>6)return;for(n=0;f();){if(a=null,n>0){if(!("."==f()&&n<4))return;l++}if(!P.test(f()))return;for(;P.test(f());){if(i=parseInt(f(),10),null===a)a=i;else{if(0==a)return;a=10*a+i}if(a>255)return;l++}u[c]=256*u[c]+a,2!=++n&&4!=n||c++}if(4!=n)return;break}if(":"==f()){if(l++,!f())return}else if(f())return;u[c++]=t}else{if(null!==h)return;l++,h=++c}}if(null!==h)for(o=c-h,c=7;0!=c&&o>0;)s=u[c],u[c--]=u[h+o-1],u[h+--o]=s;else if(8!=c)return;return u},$=function(e){var t,r,n,a;if("number"==typeof e){for(t=[],r=0;r<4;r++)t.unshift(e%256),e=U(e/256);return t.join(".")}if("object"==typeof e){for(t="",n=function(e){for(var t=null,r=1,n=null,a=0,i=0;i<8;i++)0!==e[i]?(a>r&&(t=n,r=a),n=null,a=0):(null===n&&(n=i),++a);return a>r&&(t=n,r=a),t}(e),r=0;r<8;r++)a&&0===e[r]||(a&&(a=!1),n===r?(t+=r?":":"::",a=!0):(t+=e[r].toString(16),r<7&&(t+=":")));return"["+t+"]"}return e},D={},M=f({},D,{" ":1,'"':1,"<":1,">":1,"`":1}),z=f({},M,{"#":1,"?":1,"{":1,"}":1}),W=f({},z,{"/":1,":":1,";":1,"=":1,"@":1,"[":1,"\\":1,"]":1,"^":1,"|":1}),Z=function(e,t){var r=v(e,0);return r>32&&r<127&&!l(t,e)?e:encodeURIComponent(e)},_={ftp:21,file:null,http:80,https:443,ws:80,wss:443},H=function(e){return l(_,e.scheme)},V=function(e){return""!=e.username||""!=e.password},X=function(e){return!e.host||e.cannotBeABaseURL||"file"==e.scheme},G=function(e,t){var r;return 2==e.length&&A.test(e.charAt(0))&&(":"==(r=e.charAt(1))||!t&&"|"==r)},K=function(e){var t;return e.length>1&&G(e.slice(0,2))&&(2==e.length||"/"===(t=e.charAt(2))||"\\"===t||"?"===t||"#"===t)},Q=function(e){var t=e.path,r=t.length;!r||"file"==e.scheme&&1==r&&G(t[0],!0)||t.pop()},Y=function(e){return"."===e||"%2e"===e.toLowerCase()},ee={},te={},re={},ne={},ae={},ie={},oe={},se={},ue={},ce={},he={},le={},fe={},pe={},ve={},de={},ge={},me={},ye={},we={},be={},Re=function(e,t,r,a){var i,o,s,u,c,h=r||ee,f=0,v="",d=!1,g=!1,m=!1;for(r||(e.scheme="",e.username="",e.password="",e.host=null,e.port=null,e.path=[],e.query=null,e.fragment=null,e.cannotBeABaseURL=!1,t=t.replace(F,"")),t=t.replace(O,""),i=p(t);f<=i.length;){switch(o=i[f],h){case ee:if(!o||!A.test(o)){if(r)return"Invalid scheme";h=re;continue}v+=o.toLowerCase(),h=te;break;case te:if(o&&(q.test(o)||"+"==o||"-"==o||"."==o))v+=o.toLowerCase();else{if(":"!=o){if(r)return"Invalid scheme";v="",h=re,f=0;continue}if(r&&(H(e)!=l(_,v)||"file"==v&&(V(e)||null!==e.port)||"file"==e.scheme&&!e.host))return;if(e.scheme=v,r)return void(H(e)&&_[e.scheme]==e.port&&(e.port=null));v="","file"==e.scheme?h=pe:H(e)&&a&&a.scheme==e.scheme?h=ne:H(e)?h=se:"/"==i[f+1]?(h=ae,f++):(e.cannotBeABaseURL=!0,e.path.push(""),h=ye)}break;case re:if(!a||a.cannotBeABaseURL&&"#"!=o)return"Invalid scheme";if(a.cannotBeABaseURL&&"#"==o){e.scheme=a.scheme,e.path=a.path.slice(),e.query=a.query,e.fragment="",e.cannotBeABaseURL=!0,h=be;break}h="file"==a.scheme?pe:ie;continue;case ne:if("/"!=o||"/"!=i[f+1]){h=ie;continue}h=ue,f++;break;case ae:if("/"==o){h=ce;break}h=me;continue;case ie:if(e.scheme=a.scheme,o==n)e.username=a.username,e.password=a.password,e.host=a.host,e.port=a.port,e.path=a.path.slice(),e.query=a.query;else if("/"==o||"\\"==o&&H(e))h=oe;else if("?"==o)e.username=a.username,e.password=a.password,e.host=a.host,e.port=a.port,e.path=a.path.slice(),e.query="",h=we;else{if("#"!=o){e.username=a.username,e.password=a.password,e.host=a.host,e.port=a.port,e.path=a.path.slice(),e.path.pop(),h=me;continue}e.username=a.username,e.password=a.password,e.host=a.host,e.port=a.port,e.path=a.path.slice(),e.query=a.query,e.fragment="",h=be}break;case oe:if(!H(e)||"/"!=o&&"\\"!=o){if("/"!=o){e.username=a.username,e.password=a.password,e.host=a.host,e.port=a.port,h=me;continue}h=ce}else h=ue;break;case se:if(h=ue,"/"!=o||"/"!=v.charAt(f+1))continue;f++;break;case ue:if("/"!=o&&"\\"!=o){h=ce;continue}break;case ce:if("@"==o){d&&(v="%40"+v),d=!0,s=p(v);for(var y=0;y<s.length;y++){var w=s[y];if(":"!=w||m){var b=Z(w,W);m?e.password+=b:e.username+=b}else m=!0}v=""}else if(o==n||"/"==o||"?"==o||"#"==o||"\\"==o&&H(e)){if(d&&""==v)return"Invalid authority";f-=p(v).length+1,v="",h=he}else v+=o;break;case he:case le:if(r&&"file"==e.scheme){h=de;continue}if(":"!=o||g){if(o==n||"/"==o||"?"==o||"#"==o||"\\"==o&&H(e)){if(H(e)&&""==v)return"Invalid host";if(r&&""==v&&(V(e)||null!==e.port))return;if(u=T(e,v))return u;if(v="",h=ge,r)return;continue}"["==o?g=!0:"]"==o&&(g=!1),v+=o}else{if(""==v)return"Invalid host";if(u=T(e,v))return u;if(v="",h=fe,r==le)return}break;case fe:if(!P.test(o)){if(o==n||"/"==o||"?"==o||"#"==o||"\\"==o&&H(e)||r){if(""!=v){var R=parseInt(v,10);if(R>65535)return"Invalid port";e.port=H(e)&&R===_[e.scheme]?null:R,v=""}if(r)return;h=ge;continue}return"Invalid port"}v+=o;break;case pe:if(e.scheme="file","/"==o||"\\"==o)h=ve;else{if(!a||"file"!=a.scheme){h=me;continue}if(o==n)e.host=a.host,e.path=a.path.slice(),e.query=a.query;else if("?"==o)e.host=a.host,e.path=a.path.slice(),e.query="",h=we;else{if("#"!=o){K(i.slice(f).join(""))||(e.host=a.host,e.path=a.path.slice(),Q(e)),h=me;continue}e.host=a.host,e.path=a.path.slice(),e.query=a.query,e.fragment="",h=be}}break;case ve:if("/"==o||"\\"==o){h=de;break}a&&"file"==a.scheme&&!K(i.slice(f).join(""))&&(G(a.path[0],!0)?e.path.push(a.path[0]):e.host=a.host),h=me;continue;case de:if(o==n||"/"==o||"\\"==o||"?"==o||"#"==o){if(!r&&G(v))h=me;else if(""==v){if(e.host="",r)return;h=ge}else{if(u=T(e,v))return u;if("localhost"==e.host&&(e.host=""),r)return;v="",h=ge}continue}v+=o;break;case ge:if(H(e)){if(h=me,"/"!=o&&"\\"!=o)continue}else if(r||"?"!=o)if(r||"#"!=o){if(o!=n&&(h=me,"/"!=o))continue}else e.fragment="",h=be;else e.query="",h=we;break;case me:if(o==n||"/"==o||"\\"==o&&H(e)||!r&&("?"==o||"#"==o)){if(".."===(c=(c=v).toLowerCase())||"%2e."===c||".%2e"===c||"%2e%2e"===c?(Q(e),"/"==o||"\\"==o&&H(e)||e.path.push("")):Y(v)?"/"==o||"\\"==o&&H(e)||e.path.push(""):("file"==e.scheme&&!e.path.length&&G(v)&&(e.host&&(e.host=""),v=v.charAt(0)+":"),e.path.push(v)),v="","file"==e.scheme&&(o==n||"?"==o||"#"==o))for(;e.path.length>1&&""===e.path[0];)e.path.shift();"?"==o?(e.query="",h=we):"#"==o&&(e.fragment="",h=be)}else v+=Z(o,z);break;case ye:"?"==o?(e.query="",h=we):"#"==o?(e.fragment="",h=be):o!=n&&(e.path[0]+=Z(o,D));break;case we:r||"#"!=o?o!=n&&("'"==o&&H(e)?e.query+="%27":e.query+="#"==o?"%23":Z(o,D)):(e.fragment="",h=be);break;case be:o!=n&&(e.fragment+=Z(o,M))}f++}},Le=function(e){var t,r,n=h(this,Le,"URL"),a=arguments.length>1?arguments[1]:void 0,o=String(e),s=L(n,{type:"URL"});if(void 0!==a)if(a instanceof Le)t=k(a);else if(r=Re(t={},String(a)))throw TypeError(r);if(r=Re(s,o,null,t))throw TypeError(r);var u=s.searchParams=new b,c=R(u);c.updateSearchParams(s.query),c.updateURL=function(){s.query=String(u)||null},i||(n.href=Ue.call(n),n.origin=Se.call(n),n.protocol=Ae.call(n),n.username=qe.call(n),n.password=Pe.call(n),n.host=xe.call(n),n.hostname=Be.call(n),n.port=Ee.call(n),n.pathname=Ie.call(n),n.search=je.call(n),n.searchParams=Ce.call(n),n.hash=Fe.call(n))},ke=Le.prototype,Ue=function(){var e=k(this),t=e.scheme,r=e.username,n=e.password,a=e.host,i=e.port,o=e.path,s=e.query,u=e.fragment,c=t+":";return null!==a?(c+="//",V(e)&&(c+=r+(n?":"+n:"")+"@"),c+=$(a),null!==i&&(c+=":"+i)):"file"==t&&(c+="//"),c+=e.cannotBeABaseURL?o[0]:o.length?"/"+o.join("/"):"",null!==s&&(c+="?"+s),null!==u&&(c+="#"+u),c},Se=function(){var e=k(this),t=e.scheme,r=e.port;if("blob"==t)try{return new URL(t.path[0]).origin}catch(e){return"null"}return"file"!=t&&H(e)?t+"://"+$(e.host)+(null!==r?":"+r:""):"null"},Ae=function(){return k(this).scheme+":"},qe=function(){return k(this).username},Pe=function(){return k(this).password},xe=function(){var e=k(this),t=e.host,r=e.port;return null===t?"":null===r?$(t):$(t)+":"+r},Be=function(){var e=k(this).host;return null===e?"":$(e)},Ee=function(){var e=k(this).port;return null===e?"":String(e)},Ie=function(){var e=k(this),t=e.path;return e.cannotBeABaseURL?t[0]:t.length?"/"+t.join("/"):""},je=function(){var e=k(this).query;return e?"?"+e:""},Ce=function(){return k(this).searchParams},Fe=function(){var e=k(this).fragment;return e?"#"+e:""},Oe=function(e,t){return{get:e,set:t,configurable:!0,enumerable:!0}};if(i&&u(ke,{href:Oe(Ue,(function(e){var t=k(this),r=String(e),n=Re(t,r);if(n)throw TypeError(n);R(t.searchParams).updateSearchParams(t.query)})),origin:Oe(Se),protocol:Oe(Ae,(function(e){var t=k(this);Re(t,String(e)+":",ee)})),username:Oe(qe,(function(e){var t=k(this),r=p(String(e));if(!X(t)){t.username="";for(var n=0;n<r.length;n++)t.username+=Z(r[n],W)}})),password:Oe(Pe,(function(e){var t=k(this),r=p(String(e));if(!X(t)){t.password="";for(var n=0;n<r.length;n++)t.password+=Z(r[n],W)}})),host:Oe(xe,(function(e){var t=k(this);t.cannotBeABaseURL||Re(t,String(e),he)})),hostname:Oe(Be,(function(e){var t=k(this);t.cannotBeABaseURL||Re(t,String(e),le)})),port:Oe(Ee,(function(e){var t=k(this);X(t)||(""==(e=String(e))?t.port=null:Re(t,e,fe))})),pathname:Oe(Ie,(function(e){var t=k(this);t.cannotBeABaseURL||(t.path=[],Re(t,e+"",ge))})),search:Oe(je,(function(e){var t=k(this);""==(e=String(e))?t.query=null:("?"==e.charAt(0)&&(e=e.slice(1)),t.query="",Re(t,e,we)),R(t.searchParams).updateSearchParams(t.query)})),searchParams:Oe(Ce),hash:Oe(Fe,(function(e){var t=k(this);""!=(e=String(e))?("#"==e.charAt(0)&&(e=e.slice(1)),t.fragment="",Re(t,e,be)):t.fragment=null}))}),c(ke,"toJSON",(function(){return Ue.call(this)}),{enumerable:!0}),c(ke,"toString",(function(){return Ue.call(this)}),{enumerable:!0}),w){var Te=w.createObjectURL,Je=w.revokeObjectURL;Te&&c(Le,"createObjectURL",(function(e){return Te.apply(w,arguments)})),Je&&c(Le,"revokeObjectURL",(function(e){return Je.apply(w,arguments)}))}g(Le,"URL"),a({global:!0,forced:!o,sham:!i},{URL:Le})},195:function(e,t,r){var n=r(21),a="["+r(210)+"]",i=RegExp("^"+a+a+"*"),o=RegExp(a+a+"*$"),s=function(e){return function(t){var r=String(n(t));return 1&e&&(r=r.replace(i,"")),2&e&&(r=r.replace(o,"")),r}};e.exports={start:s(1),end:s(2),trim:s(3)}},206:function(e,t,r){"use strict";var n=r(10),a=r(63).map,i=r(56),o=r(31),s=i("map"),u=o("map");n({target:"Array",proto:!0,forced:!s||!u},{map:function(e){return a(this,e,arguments.length>1?arguments[1]:void 0)}})},207:function(e,t,r){e.exports=function(){var e="__v-click-outside",t="undefined"!=typeof window,r="undefined"!=typeof navigator,n=t&&("ontouchstart"in window||r&&navigator.msMaxTouchPoints>0)?["touchstart"]:["click"];function a(t,r){var a=function(e){var t="function"==typeof e;if(!t&&"object"!=typeof e)throw new Error("v-click-outside: Binding value must be a function or an object");return{handler:t?e:e.handler,middleware:e.middleware||function(e){return e},events:e.events||n,isActive:!(!1===e.isActive)}}(r.value),i=a.handler,o=a.middleware;a.isActive&&(t[e]=a.events.map((function(e){return{event:e,handler:function(e){return function(e){var t=e.el,r=e.event,n=e.handler,a=e.middleware,i=r.path||r.composedPath&&r.composedPath(),o=i?i.indexOf(t)<0:!t.contains(r.target);r.target!==t&&o&&a(r)&&n(r)}({event:e,el:t,handler:i,middleware:o})}}})),t[e].forEach((function(r){var n=r.event,a=r.handler;return setTimeout((function(){t[e]&&document.documentElement.addEventListener(n,a,!1)}),0)})))}function i(t){(t[e]||[]).forEach((function(e){return document.documentElement.removeEventListener(e.event,e.handler,!1)})),delete t[e]}var o=t?{bind:a,update:function(e,t){var r=t.value,n=t.oldValue;JSON.stringify(r)!==JSON.stringify(n)&&(i(e),a(e,{value:r}))},unbind:i}:{};return{install:function(e){e.directive("click-outside",o)},directive:o}}()},210:function(e,t){e.exports="\t\n\v\f\r                 \u2028\u2029\ufeff"},362:function(e,t,r){var n=r(0),a=r(1),i=r(35),o=a("iterator");e.exports=!n((function(){var e=new URL("b?a=1&b=2&c=3","http://a"),t=e.searchParams,r="";return e.pathname="c%20d",t.forEach((function(e,n){t.delete("b"),r+=n+e})),i&&!e.toJSON||!t.sort||"http://a/c%20d?a=1&c=3"!==e.href||"3"!==t.get("c")||"a=1"!==String(new URLSearchParams("?a=1"))||!t[o]||"a"!==new URL("https://a@b").username||"b"!==new URLSearchParams(new URLSearchParams("a=b")).get("a")||"xn--e1aybc"!==new URL("http://тест").host||"#%D0%B1"!==new URL("http://a#б").hash||"a1c3"!==r||"x"!==new URL("http://x",void 0).host}))},633:function(e,t,r){"use strict";var n=r(30),a=r(16),i=r(119),o=r(118),s=r(15),u=r(105),c=r(106);e.exports=function(e){var t,r,h,l,f,p,v=a(e),d="function"==typeof this?this:Array,g=arguments.length,m=g>1?arguments[1]:void 0,y=void 0!==m,w=c(v),b=0;if(y&&(m=n(m,g>2?arguments[2]:void 0,2)),null==w||d==Array&&o(w))for(r=new d(t=s(v.length));t>b;b++)p=y?m(v[b],b):v[b],u(r,b,p);else for(f=(l=w.call(v)).next,r=new d;!(h=f.call(l)).done;b++)p=y?i(l,m,[h.value,b],!0):h.value,u(r,b,p);return r.length=b,r}},634:function(e,t,r){"use strict";var n=/[^\0-\u007E]/,a=/[.\u3002\uFF0E\uFF61]/g,i="Overflow: input needs wider integers to process",o=Math.floor,s=String.fromCharCode,u=function(e){return e+22+75*(e<26)},c=function(e,t,r){var n=0;for(e=r?o(e/700):e>>1,e+=o(e/t);e>455;n+=36)e=o(e/35);return o(n+36*e/(e+38))},h=function(e){var t,r,n=[],a=(e=function(e){for(var t=[],r=0,n=e.length;r<n;){var a=e.charCodeAt(r++);if(a>=55296&&a<=56319&&r<n){var i=e.charCodeAt(r++);56320==(64512&i)?t.push(((1023&a)<<10)+(1023&i)+65536):(t.push(a),r--)}else t.push(a)}return t}(e)).length,h=128,l=0,f=72;for(t=0;t<e.length;t++)(r=e[t])<128&&n.push(s(r));var p=n.length,v=p;for(p&&n.push("-");v<a;){var d=2147483647;for(t=0;t<e.length;t++)(r=e[t])>=h&&r<d&&(d=r);var g=v+1;if(d-h>o((2147483647-l)/g))throw RangeError(i);for(l+=(d-h)*g,h=d,t=0;t<e.length;t++){if((r=e[t])<h&&++l>2147483647)throw RangeError(i);if(r==h){for(var m=l,y=36;;y+=36){var w=y<=f?1:y>=f+26?26:y-f;if(m<w)break;var b=m-w,R=36-w;n.push(s(u(w+b%R))),m=o(b/R)}n.push(s(u(m))),f=c(l,g,v==p),l=0,++v}}++l,++h}return n.join("")};e.exports=function(e){var t,r,i=[],o=e.toLowerCase().replace(a,".").split(".");for(t=0;t<o.length;t++)r=o[t],i.push(n.test(r)?"xn--"+h(r):r);return i.join(".")}},635:function(e,t,r){"use strict";r(52);var n=r(10),a=r(22),i=r(362),o=r(12),s=r(112),u=r(33),c=r(117),h=r(20),l=r(57),f=r(4),p=r(30),v=r(67),d=r(5),g=r(6),m=r(32),y=r(24),w=r(636),b=r(106),R=r(1),L=a("fetch"),k=a("Headers"),U=R("iterator"),S=h.set,A=h.getterFor("URLSearchParams"),q=h.getterFor("URLSearchParamsIterator"),P=/\+/g,x=Array(4),B=function(e){return x[e-1]||(x[e-1]=RegExp("((?:%[\\da-f]{2}){"+e+"})","gi"))},E=function(e){try{return decodeURIComponent(e)}catch(t){return e}},I=function(e){var t=e.replace(P," "),r=4;try{return decodeURIComponent(t)}catch(e){for(;r;)t=t.replace(B(r--),E);return t}},j=/[!'()~]|%20/g,C={"!":"%21","'":"%27","(":"%28",")":"%29","~":"%7E","%20":"+"},F=function(e){return C[e]},O=function(e){return encodeURIComponent(e).replace(j,F)},T=function(e,t){if(t)for(var r,n,a=t.split("&"),i=0;i<a.length;)(r=a[i++]).length&&(n=r.split("="),e.push({key:I(n.shift()),value:I(n.join("="))}))},J=function(e){this.entries.length=0,T(this.entries,e)},N=function(e,t){if(e<t)throw TypeError("Not enough arguments")},$=c((function(e,t){S(this,{type:"URLSearchParamsIterator",iterator:w(A(e).entries),kind:t})}),"Iterator",(function(){var e=q(this),t=e.kind,r=e.iterator.next(),n=r.value;return r.done||(r.value="keys"===t?n.key:"values"===t?n.value:[n.key,n.value]),r})),D=function(){l(this,D,"URLSearchParams");var e,t,r,n,a,i,o,s,u,c=arguments.length>0?arguments[0]:void 0,h=this,p=[];if(S(h,{type:"URLSearchParams",entries:p,updateURL:function(){},updateSearchParams:J}),void 0!==c)if(g(c))if("function"==typeof(e=b(c)))for(r=(t=e.call(c)).next;!(n=r.call(t)).done;){if((o=(i=(a=w(d(n.value))).next).call(a)).done||(s=i.call(a)).done||!i.call(a).done)throw TypeError("Expected sequence with length 2");p.push({key:o.value+"",value:s.value+""})}else for(u in c)f(c,u)&&p.push({key:u,value:c[u]+""});else T(p,"string"==typeof c?"?"===c.charAt(0)?c.slice(1):c:c+"")},M=D.prototype;s(M,{append:function(e,t){N(arguments.length,2);var r=A(this);r.entries.push({key:e+"",value:t+""}),r.updateURL()},delete:function(e){N(arguments.length,1);for(var t=A(this),r=t.entries,n=e+"",a=0;a<r.length;)r[a].key===n?r.splice(a,1):a++;t.updateURL()},get:function(e){N(arguments.length,1);for(var t=A(this).entries,r=e+"",n=0;n<t.length;n++)if(t[n].key===r)return t[n].value;return null},getAll:function(e){N(arguments.length,1);for(var t=A(this).entries,r=e+"",n=[],a=0;a<t.length;a++)t[a].key===r&&n.push(t[a].value);return n},has:function(e){N(arguments.length,1);for(var t=A(this).entries,r=e+"",n=0;n<t.length;)if(t[n++].key===r)return!0;return!1},set:function(e,t){N(arguments.length,1);for(var r,n=A(this),a=n.entries,i=!1,o=e+"",s=t+"",u=0;u<a.length;u++)(r=a[u]).key===o&&(i?a.splice(u--,1):(i=!0,r.value=s));i||a.push({key:o,value:s}),n.updateURL()},sort:function(){var e,t,r,n=A(this),a=n.entries,i=a.slice();for(a.length=0,r=0;r<i.length;r++){for(e=i[r],t=0;t<r;t++)if(a[t].key>e.key){a.splice(t,0,e);break}t===r&&a.push(e)}n.updateURL()},forEach:function(e){for(var t,r=A(this).entries,n=p(e,arguments.length>1?arguments[1]:void 0,3),a=0;a<r.length;)n((t=r[a++]).value,t.key,this)},keys:function(){return new $(this,"keys")},values:function(){return new $(this,"values")},entries:function(){return new $(this,"entries")}},{enumerable:!0}),o(M,U,M.entries),o(M,"toString",(function(){for(var e,t=A(this).entries,r=[],n=0;n<t.length;)e=t[n++],r.push(O(e.key)+"="+O(e.value));return r.join("&")}),{enumerable:!0}),u(D,"URLSearchParams"),n({global:!0,forced:!i},{URLSearchParams:D}),i||"function"!=typeof L||"function"!=typeof k||n({global:!0,enumerable:!0,forced:!0},{fetch:function(e){var t,r,n,a=[e];return arguments.length>1&&(g(t=arguments[1])&&(r=t.body,"URLSearchParams"===v(r)&&((n=t.headers?new k(t.headers):new k).has("content-type")||n.set("content-type","application/x-www-form-urlencoded;charset=UTF-8"),t=m(t,{body:y(0,String(r)),headers:y(0,n)}))),a.push(t)),L.apply(this,a)}}),e.exports={URLSearchParams:D,getState:A}},636:function(e,t,r){var n=r(5),a=r(106);e.exports=function(e){var t=a(e);if("function"!=typeof t)throw TypeError(String(e)+" is not iterable");return n(t.call(e))}}}]);
-//# sourceMappingURL=vendors~editor-collab~editor-guest~editor-rich~files-modal.js.map?v=b526403ab64d5164f29b \ No newline at end of file
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[1],{187:function(e,t,r){"use strict";r(100);var n,a=r(10),i=r(7),o=r(363),s=r(2),u=r(115),c=r(12),h=r(57),l=r(4),f=r(113),p=r(635),v=r(68).codeAt,d=r(636),g=r(33),m=r(637),y=r(20),w=s.URL,b=m.URLSearchParams,R=m.getState,L=y.set,k=y.getterFor("URL"),U=Math.floor,S=Math.pow,A=/[A-Za-z]/,q=/[\d+-.A-Za-z]/,P=/\d/,x=/^(0x|0X)/,B=/^[0-7]+$/,E=/^\d+$/,I=/^[\dA-Fa-f]+$/,j=/[\u0000\u0009\u000A\u000D #%/:?@[\\]]/,C=/[\u0000\u0009\u000A\u000D #/:?@[\\]]/,F=/^[\u0000-\u001F ]+|[\u0000-\u001F ]+$/g,O=/[\u0009\u000A\u000D]/g,T=function(e,t){var r,n,a;if("["==t.charAt(0)){if("]"!=t.charAt(t.length-1))return"Invalid host";if(!(r=N(t.slice(1,-1))))return"Invalid host";e.host=r}else if(H(e)){if(t=d(t),j.test(t))return"Invalid host";if(null===(r=J(t)))return"Invalid host";e.host=r}else{if(C.test(t))return"Invalid host";for(r="",n=p(t),a=0;a<n.length;a++)r+=Z(n[a],D);e.host=r}},J=function(e){var t,r,n,a,i,o,s,u=e.split(".");if(u.length&&""==u[u.length-1]&&u.pop(),(t=u.length)>4)return e;for(r=[],n=0;n<t;n++){if(""==(a=u[n]))return e;if(i=10,a.length>1&&"0"==a.charAt(0)&&(i=x.test(a)?16:8,a=a.slice(8==i?1:2)),""===a)o=0;else{if(!(10==i?E:8==i?B:I).test(a))return e;o=parseInt(a,i)}r.push(o)}for(n=0;n<t;n++)if(o=r[n],n==t-1){if(o>=S(256,5-t))return null}else if(o>255)return null;for(s=r.pop(),n=0;n<r.length;n++)s+=r[n]*S(256,3-n);return s},N=function(e){var t,r,n,a,i,o,s,u=[0,0,0,0,0,0,0,0],c=0,h=null,l=0,f=function(){return e.charAt(l)};if(":"==f()){if(":"!=e.charAt(1))return;l+=2,h=++c}for(;f();){if(8==c)return;if(":"!=f()){for(t=r=0;r<4&&I.test(f());)t=16*t+parseInt(f(),16),l++,r++;if("."==f()){if(0==r)return;if(l-=r,c>6)return;for(n=0;f();){if(a=null,n>0){if(!("."==f()&&n<4))return;l++}if(!P.test(f()))return;for(;P.test(f());){if(i=parseInt(f(),10),null===a)a=i;else{if(0==a)return;a=10*a+i}if(a>255)return;l++}u[c]=256*u[c]+a,2!=++n&&4!=n||c++}if(4!=n)return;break}if(":"==f()){if(l++,!f())return}else if(f())return;u[c++]=t}else{if(null!==h)return;l++,h=++c}}if(null!==h)for(o=c-h,c=7;0!=c&&o>0;)s=u[c],u[c--]=u[h+o-1],u[h+--o]=s;else if(8!=c)return;return u},$=function(e){var t,r,n,a;if("number"==typeof e){for(t=[],r=0;r<4;r++)t.unshift(e%256),e=U(e/256);return t.join(".")}if("object"==typeof e){for(t="",n=function(e){for(var t=null,r=1,n=null,a=0,i=0;i<8;i++)0!==e[i]?(a>r&&(t=n,r=a),n=null,a=0):(null===n&&(n=i),++a);return a>r&&(t=n,r=a),t}(e),r=0;r<8;r++)a&&0===e[r]||(a&&(a=!1),n===r?(t+=r?":":"::",a=!0):(t+=e[r].toString(16),r<7&&(t+=":")));return"["+t+"]"}return e},D={},M=f({},D,{" ":1,'"':1,"<":1,">":1,"`":1}),z=f({},M,{"#":1,"?":1,"{":1,"}":1}),W=f({},z,{"/":1,":":1,";":1,"=":1,"@":1,"[":1,"\\":1,"]":1,"^":1,"|":1}),Z=function(e,t){var r=v(e,0);return r>32&&r<127&&!l(t,e)?e:encodeURIComponent(e)},_={ftp:21,file:null,http:80,https:443,ws:80,wss:443},H=function(e){return l(_,e.scheme)},V=function(e){return""!=e.username||""!=e.password},X=function(e){return!e.host||e.cannotBeABaseURL||"file"==e.scheme},G=function(e,t){var r;return 2==e.length&&A.test(e.charAt(0))&&(":"==(r=e.charAt(1))||!t&&"|"==r)},K=function(e){var t;return e.length>1&&G(e.slice(0,2))&&(2==e.length||"/"===(t=e.charAt(2))||"\\"===t||"?"===t||"#"===t)},Q=function(e){var t=e.path,r=t.length;!r||"file"==e.scheme&&1==r&&G(t[0],!0)||t.pop()},Y=function(e){return"."===e||"%2e"===e.toLowerCase()},ee={},te={},re={},ne={},ae={},ie={},oe={},se={},ue={},ce={},he={},le={},fe={},pe={},ve={},de={},ge={},me={},ye={},we={},be={},Re=function(e,t,r,a){var i,o,s,u,c,h=r||ee,f=0,v="",d=!1,g=!1,m=!1;for(r||(e.scheme="",e.username="",e.password="",e.host=null,e.port=null,e.path=[],e.query=null,e.fragment=null,e.cannotBeABaseURL=!1,t=t.replace(F,"")),t=t.replace(O,""),i=p(t);f<=i.length;){switch(o=i[f],h){case ee:if(!o||!A.test(o)){if(r)return"Invalid scheme";h=re;continue}v+=o.toLowerCase(),h=te;break;case te:if(o&&(q.test(o)||"+"==o||"-"==o||"."==o))v+=o.toLowerCase();else{if(":"!=o){if(r)return"Invalid scheme";v="",h=re,f=0;continue}if(r&&(H(e)!=l(_,v)||"file"==v&&(V(e)||null!==e.port)||"file"==e.scheme&&!e.host))return;if(e.scheme=v,r)return void(H(e)&&_[e.scheme]==e.port&&(e.port=null));v="","file"==e.scheme?h=pe:H(e)&&a&&a.scheme==e.scheme?h=ne:H(e)?h=se:"/"==i[f+1]?(h=ae,f++):(e.cannotBeABaseURL=!0,e.path.push(""),h=ye)}break;case re:if(!a||a.cannotBeABaseURL&&"#"!=o)return"Invalid scheme";if(a.cannotBeABaseURL&&"#"==o){e.scheme=a.scheme,e.path=a.path.slice(),e.query=a.query,e.fragment="",e.cannotBeABaseURL=!0,h=be;break}h="file"==a.scheme?pe:ie;continue;case ne:if("/"!=o||"/"!=i[f+1]){h=ie;continue}h=ue,f++;break;case ae:if("/"==o){h=ce;break}h=me;continue;case ie:if(e.scheme=a.scheme,o==n)e.username=a.username,e.password=a.password,e.host=a.host,e.port=a.port,e.path=a.path.slice(),e.query=a.query;else if("/"==o||"\\"==o&&H(e))h=oe;else if("?"==o)e.username=a.username,e.password=a.password,e.host=a.host,e.port=a.port,e.path=a.path.slice(),e.query="",h=we;else{if("#"!=o){e.username=a.username,e.password=a.password,e.host=a.host,e.port=a.port,e.path=a.path.slice(),e.path.pop(),h=me;continue}e.username=a.username,e.password=a.password,e.host=a.host,e.port=a.port,e.path=a.path.slice(),e.query=a.query,e.fragment="",h=be}break;case oe:if(!H(e)||"/"!=o&&"\\"!=o){if("/"!=o){e.username=a.username,e.password=a.password,e.host=a.host,e.port=a.port,h=me;continue}h=ce}else h=ue;break;case se:if(h=ue,"/"!=o||"/"!=v.charAt(f+1))continue;f++;break;case ue:if("/"!=o&&"\\"!=o){h=ce;continue}break;case ce:if("@"==o){d&&(v="%40"+v),d=!0,s=p(v);for(var y=0;y<s.length;y++){var w=s[y];if(":"!=w||m){var b=Z(w,W);m?e.password+=b:e.username+=b}else m=!0}v=""}else if(o==n||"/"==o||"?"==o||"#"==o||"\\"==o&&H(e)){if(d&&""==v)return"Invalid authority";f-=p(v).length+1,v="",h=he}else v+=o;break;case he:case le:if(r&&"file"==e.scheme){h=de;continue}if(":"!=o||g){if(o==n||"/"==o||"?"==o||"#"==o||"\\"==o&&H(e)){if(H(e)&&""==v)return"Invalid host";if(r&&""==v&&(V(e)||null!==e.port))return;if(u=T(e,v))return u;if(v="",h=ge,r)return;continue}"["==o?g=!0:"]"==o&&(g=!1),v+=o}else{if(""==v)return"Invalid host";if(u=T(e,v))return u;if(v="",h=fe,r==le)return}break;case fe:if(!P.test(o)){if(o==n||"/"==o||"?"==o||"#"==o||"\\"==o&&H(e)||r){if(""!=v){var R=parseInt(v,10);if(R>65535)return"Invalid port";e.port=H(e)&&R===_[e.scheme]?null:R,v=""}if(r)return;h=ge;continue}return"Invalid port"}v+=o;break;case pe:if(e.scheme="file","/"==o||"\\"==o)h=ve;else{if(!a||"file"!=a.scheme){h=me;continue}if(o==n)e.host=a.host,e.path=a.path.slice(),e.query=a.query;else if("?"==o)e.host=a.host,e.path=a.path.slice(),e.query="",h=we;else{if("#"!=o){K(i.slice(f).join(""))||(e.host=a.host,e.path=a.path.slice(),Q(e)),h=me;continue}e.host=a.host,e.path=a.path.slice(),e.query=a.query,e.fragment="",h=be}}break;case ve:if("/"==o||"\\"==o){h=de;break}a&&"file"==a.scheme&&!K(i.slice(f).join(""))&&(G(a.path[0],!0)?e.path.push(a.path[0]):e.host=a.host),h=me;continue;case de:if(o==n||"/"==o||"\\"==o||"?"==o||"#"==o){if(!r&&G(v))h=me;else if(""==v){if(e.host="",r)return;h=ge}else{if(u=T(e,v))return u;if("localhost"==e.host&&(e.host=""),r)return;v="",h=ge}continue}v+=o;break;case ge:if(H(e)){if(h=me,"/"!=o&&"\\"!=o)continue}else if(r||"?"!=o)if(r||"#"!=o){if(o!=n&&(h=me,"/"!=o))continue}else e.fragment="",h=be;else e.query="",h=we;break;case me:if(o==n||"/"==o||"\\"==o&&H(e)||!r&&("?"==o||"#"==o)){if(".."===(c=(c=v).toLowerCase())||"%2e."===c||".%2e"===c||"%2e%2e"===c?(Q(e),"/"==o||"\\"==o&&H(e)||e.path.push("")):Y(v)?"/"==o||"\\"==o&&H(e)||e.path.push(""):("file"==e.scheme&&!e.path.length&&G(v)&&(e.host&&(e.host=""),v=v.charAt(0)+":"),e.path.push(v)),v="","file"==e.scheme&&(o==n||"?"==o||"#"==o))for(;e.path.length>1&&""===e.path[0];)e.path.shift();"?"==o?(e.query="",h=we):"#"==o&&(e.fragment="",h=be)}else v+=Z(o,z);break;case ye:"?"==o?(e.query="",h=we):"#"==o?(e.fragment="",h=be):o!=n&&(e.path[0]+=Z(o,D));break;case we:r||"#"!=o?o!=n&&("'"==o&&H(e)?e.query+="%27":e.query+="#"==o?"%23":Z(o,D)):(e.fragment="",h=be);break;case be:o!=n&&(e.fragment+=Z(o,M))}f++}},Le=function(e){var t,r,n=h(this,Le,"URL"),a=arguments.length>1?arguments[1]:void 0,o=String(e),s=L(n,{type:"URL"});if(void 0!==a)if(a instanceof Le)t=k(a);else if(r=Re(t={},String(a)))throw TypeError(r);if(r=Re(s,o,null,t))throw TypeError(r);var u=s.searchParams=new b,c=R(u);c.updateSearchParams(s.query),c.updateURL=function(){s.query=String(u)||null},i||(n.href=Ue.call(n),n.origin=Se.call(n),n.protocol=Ae.call(n),n.username=qe.call(n),n.password=Pe.call(n),n.host=xe.call(n),n.hostname=Be.call(n),n.port=Ee.call(n),n.pathname=Ie.call(n),n.search=je.call(n),n.searchParams=Ce.call(n),n.hash=Fe.call(n))},ke=Le.prototype,Ue=function(){var e=k(this),t=e.scheme,r=e.username,n=e.password,a=e.host,i=e.port,o=e.path,s=e.query,u=e.fragment,c=t+":";return null!==a?(c+="//",V(e)&&(c+=r+(n?":"+n:"")+"@"),c+=$(a),null!==i&&(c+=":"+i)):"file"==t&&(c+="//"),c+=e.cannotBeABaseURL?o[0]:o.length?"/"+o.join("/"):"",null!==s&&(c+="?"+s),null!==u&&(c+="#"+u),c},Se=function(){var e=k(this),t=e.scheme,r=e.port;if("blob"==t)try{return new URL(t.path[0]).origin}catch(e){return"null"}return"file"!=t&&H(e)?t+"://"+$(e.host)+(null!==r?":"+r:""):"null"},Ae=function(){return k(this).scheme+":"},qe=function(){return k(this).username},Pe=function(){return k(this).password},xe=function(){var e=k(this),t=e.host,r=e.port;return null===t?"":null===r?$(t):$(t)+":"+r},Be=function(){var e=k(this).host;return null===e?"":$(e)},Ee=function(){var e=k(this).port;return null===e?"":String(e)},Ie=function(){var e=k(this),t=e.path;return e.cannotBeABaseURL?t[0]:t.length?"/"+t.join("/"):""},je=function(){var e=k(this).query;return e?"?"+e:""},Ce=function(){return k(this).searchParams},Fe=function(){var e=k(this).fragment;return e?"#"+e:""},Oe=function(e,t){return{get:e,set:t,configurable:!0,enumerable:!0}};if(i&&u(ke,{href:Oe(Ue,(function(e){var t=k(this),r=String(e),n=Re(t,r);if(n)throw TypeError(n);R(t.searchParams).updateSearchParams(t.query)})),origin:Oe(Se),protocol:Oe(Ae,(function(e){var t=k(this);Re(t,String(e)+":",ee)})),username:Oe(qe,(function(e){var t=k(this),r=p(String(e));if(!X(t)){t.username="";for(var n=0;n<r.length;n++)t.username+=Z(r[n],W)}})),password:Oe(Pe,(function(e){var t=k(this),r=p(String(e));if(!X(t)){t.password="";for(var n=0;n<r.length;n++)t.password+=Z(r[n],W)}})),host:Oe(xe,(function(e){var t=k(this);t.cannotBeABaseURL||Re(t,String(e),he)})),hostname:Oe(Be,(function(e){var t=k(this);t.cannotBeABaseURL||Re(t,String(e),le)})),port:Oe(Ee,(function(e){var t=k(this);X(t)||(""==(e=String(e))?t.port=null:Re(t,e,fe))})),pathname:Oe(Ie,(function(e){var t=k(this);t.cannotBeABaseURL||(t.path=[],Re(t,e+"",ge))})),search:Oe(je,(function(e){var t=k(this);""==(e=String(e))?t.query=null:("?"==e.charAt(0)&&(e=e.slice(1)),t.query="",Re(t,e,we)),R(t.searchParams).updateSearchParams(t.query)})),searchParams:Oe(Ce),hash:Oe(Fe,(function(e){var t=k(this);""!=(e=String(e))?("#"==e.charAt(0)&&(e=e.slice(1)),t.fragment="",Re(t,e,be)):t.fragment=null}))}),c(ke,"toJSON",(function(){return Ue.call(this)}),{enumerable:!0}),c(ke,"toString",(function(){return Ue.call(this)}),{enumerable:!0}),w){var Te=w.createObjectURL,Je=w.revokeObjectURL;Te&&c(Le,"createObjectURL",(function(e){return Te.apply(w,arguments)})),Je&&c(Le,"revokeObjectURL",(function(e){return Je.apply(w,arguments)}))}g(Le,"URL"),a({global:!0,forced:!o,sham:!i},{URL:Le})},195:function(e,t,r){var n=r(21),a="["+r(209)+"]",i=RegExp("^"+a+a+"*"),o=RegExp(a+a+"*$"),s=function(e){return function(t){var r=String(n(t));return 1&e&&(r=r.replace(i,"")),2&e&&(r=r.replace(o,"")),r}};e.exports={start:s(1),end:s(2),trim:s(3)}},206:function(e,t,r){e.exports=function(){var e="__v-click-outside",t="undefined"!=typeof window,r="undefined"!=typeof navigator,n=t&&("ontouchstart"in window||r&&navigator.msMaxTouchPoints>0)?["touchstart"]:["click"];function a(t,r){var a=function(e){var t="function"==typeof e;if(!t&&"object"!=typeof e)throw new Error("v-click-outside: Binding value must be a function or an object");return{handler:t?e:e.handler,middleware:e.middleware||function(e){return e},events:e.events||n,isActive:!(!1===e.isActive)}}(r.value),i=a.handler,o=a.middleware;a.isActive&&(t[e]=a.events.map((function(e){return{event:e,handler:function(e){return function(e){var t=e.el,r=e.event,n=e.handler,a=e.middleware,i=r.path||r.composedPath&&r.composedPath(),o=i?i.indexOf(t)<0:!t.contains(r.target);r.target!==t&&o&&a(r)&&n(r)}({event:e,el:t,handler:i,middleware:o})}}})),t[e].forEach((function(r){var n=r.event,a=r.handler;return setTimeout((function(){t[e]&&document.documentElement.addEventListener(n,a,!1)}),0)})))}function i(t){(t[e]||[]).forEach((function(e){return document.documentElement.removeEventListener(e.event,e.handler,!1)})),delete t[e]}var o=t?{bind:a,update:function(e,t){var r=t.value,n=t.oldValue;JSON.stringify(r)!==JSON.stringify(n)&&(i(e),a(e,{value:r}))},unbind:i}:{};return{install:function(e){e.directive("click-outside",o)},directive:o}}()},209:function(e,t){e.exports="\t\n\v\f\r                 \u2028\u2029\ufeff"},212:function(e,t,r){"use strict";var n=r(10),a=r(63).map,i=r(56),o=r(31),s=i("map"),u=o("map");n({target:"Array",proto:!0,forced:!s||!u},{map:function(e){return a(this,e,arguments.length>1?arguments[1]:void 0)}})},363:function(e,t,r){var n=r(0),a=r(1),i=r(35),o=a("iterator");e.exports=!n((function(){var e=new URL("b?a=1&b=2&c=3","http://a"),t=e.searchParams,r="";return e.pathname="c%20d",t.forEach((function(e,n){t.delete("b"),r+=n+e})),i&&!e.toJSON||!t.sort||"http://a/c%20d?a=1&c=3"!==e.href||"3"!==t.get("c")||"a=1"!==String(new URLSearchParams("?a=1"))||!t[o]||"a"!==new URL("https://a@b").username||"b"!==new URLSearchParams(new URLSearchParams("a=b")).get("a")||"xn--e1aybc"!==new URL("http://тест").host||"#%D0%B1"!==new URL("http://a#б").hash||"a1c3"!==r||"x"!==new URL("http://x",void 0).host}))},635:function(e,t,r){"use strict";var n=r(30),a=r(16),i=r(119),o=r(118),s=r(15),u=r(105),c=r(106);e.exports=function(e){var t,r,h,l,f,p,v=a(e),d="function"==typeof this?this:Array,g=arguments.length,m=g>1?arguments[1]:void 0,y=void 0!==m,w=c(v),b=0;if(y&&(m=n(m,g>2?arguments[2]:void 0,2)),null==w||d==Array&&o(w))for(r=new d(t=s(v.length));t>b;b++)p=y?m(v[b],b):v[b],u(r,b,p);else for(f=(l=w.call(v)).next,r=new d;!(h=f.call(l)).done;b++)p=y?i(l,m,[h.value,b],!0):h.value,u(r,b,p);return r.length=b,r}},636:function(e,t,r){"use strict";var n=/[^\0-\u007E]/,a=/[.\u3002\uFF0E\uFF61]/g,i="Overflow: input needs wider integers to process",o=Math.floor,s=String.fromCharCode,u=function(e){return e+22+75*(e<26)},c=function(e,t,r){var n=0;for(e=r?o(e/700):e>>1,e+=o(e/t);e>455;n+=36)e=o(e/35);return o(n+36*e/(e+38))},h=function(e){var t,r,n=[],a=(e=function(e){for(var t=[],r=0,n=e.length;r<n;){var a=e.charCodeAt(r++);if(a>=55296&&a<=56319&&r<n){var i=e.charCodeAt(r++);56320==(64512&i)?t.push(((1023&a)<<10)+(1023&i)+65536):(t.push(a),r--)}else t.push(a)}return t}(e)).length,h=128,l=0,f=72;for(t=0;t<e.length;t++)(r=e[t])<128&&n.push(s(r));var p=n.length,v=p;for(p&&n.push("-");v<a;){var d=2147483647;for(t=0;t<e.length;t++)(r=e[t])>=h&&r<d&&(d=r);var g=v+1;if(d-h>o((2147483647-l)/g))throw RangeError(i);for(l+=(d-h)*g,h=d,t=0;t<e.length;t++){if((r=e[t])<h&&++l>2147483647)throw RangeError(i);if(r==h){for(var m=l,y=36;;y+=36){var w=y<=f?1:y>=f+26?26:y-f;if(m<w)break;var b=m-w,R=36-w;n.push(s(u(w+b%R))),m=o(b/R)}n.push(s(u(m))),f=c(l,g,v==p),l=0,++v}}++l,++h}return n.join("")};e.exports=function(e){var t,r,i=[],o=e.toLowerCase().replace(a,".").split(".");for(t=0;t<o.length;t++)r=o[t],i.push(n.test(r)?"xn--"+h(r):r);return i.join(".")}},637:function(e,t,r){"use strict";r(52);var n=r(10),a=r(22),i=r(363),o=r(12),s=r(112),u=r(33),c=r(117),h=r(20),l=r(57),f=r(4),p=r(30),v=r(67),d=r(5),g=r(6),m=r(32),y=r(24),w=r(638),b=r(106),R=r(1),L=a("fetch"),k=a("Headers"),U=R("iterator"),S=h.set,A=h.getterFor("URLSearchParams"),q=h.getterFor("URLSearchParamsIterator"),P=/\+/g,x=Array(4),B=function(e){return x[e-1]||(x[e-1]=RegExp("((?:%[\\da-f]{2}){"+e+"})","gi"))},E=function(e){try{return decodeURIComponent(e)}catch(t){return e}},I=function(e){var t=e.replace(P," "),r=4;try{return decodeURIComponent(t)}catch(e){for(;r;)t=t.replace(B(r--),E);return t}},j=/[!'()~]|%20/g,C={"!":"%21","'":"%27","(":"%28",")":"%29","~":"%7E","%20":"+"},F=function(e){return C[e]},O=function(e){return encodeURIComponent(e).replace(j,F)},T=function(e,t){if(t)for(var r,n,a=t.split("&"),i=0;i<a.length;)(r=a[i++]).length&&(n=r.split("="),e.push({key:I(n.shift()),value:I(n.join("="))}))},J=function(e){this.entries.length=0,T(this.entries,e)},N=function(e,t){if(e<t)throw TypeError("Not enough arguments")},$=c((function(e,t){S(this,{type:"URLSearchParamsIterator",iterator:w(A(e).entries),kind:t})}),"Iterator",(function(){var e=q(this),t=e.kind,r=e.iterator.next(),n=r.value;return r.done||(r.value="keys"===t?n.key:"values"===t?n.value:[n.key,n.value]),r})),D=function(){l(this,D,"URLSearchParams");var e,t,r,n,a,i,o,s,u,c=arguments.length>0?arguments[0]:void 0,h=this,p=[];if(S(h,{type:"URLSearchParams",entries:p,updateURL:function(){},updateSearchParams:J}),void 0!==c)if(g(c))if("function"==typeof(e=b(c)))for(r=(t=e.call(c)).next;!(n=r.call(t)).done;){if((o=(i=(a=w(d(n.value))).next).call(a)).done||(s=i.call(a)).done||!i.call(a).done)throw TypeError("Expected sequence with length 2");p.push({key:o.value+"",value:s.value+""})}else for(u in c)f(c,u)&&p.push({key:u,value:c[u]+""});else T(p,"string"==typeof c?"?"===c.charAt(0)?c.slice(1):c:c+"")},M=D.prototype;s(M,{append:function(e,t){N(arguments.length,2);var r=A(this);r.entries.push({key:e+"",value:t+""}),r.updateURL()},delete:function(e){N(arguments.length,1);for(var t=A(this),r=t.entries,n=e+"",a=0;a<r.length;)r[a].key===n?r.splice(a,1):a++;t.updateURL()},get:function(e){N(arguments.length,1);for(var t=A(this).entries,r=e+"",n=0;n<t.length;n++)if(t[n].key===r)return t[n].value;return null},getAll:function(e){N(arguments.length,1);for(var t=A(this).entries,r=e+"",n=[],a=0;a<t.length;a++)t[a].key===r&&n.push(t[a].value);return n},has:function(e){N(arguments.length,1);for(var t=A(this).entries,r=e+"",n=0;n<t.length;)if(t[n++].key===r)return!0;return!1},set:function(e,t){N(arguments.length,1);for(var r,n=A(this),a=n.entries,i=!1,o=e+"",s=t+"",u=0;u<a.length;u++)(r=a[u]).key===o&&(i?a.splice(u--,1):(i=!0,r.value=s));i||a.push({key:o,value:s}),n.updateURL()},sort:function(){var e,t,r,n=A(this),a=n.entries,i=a.slice();for(a.length=0,r=0;r<i.length;r++){for(e=i[r],t=0;t<r;t++)if(a[t].key>e.key){a.splice(t,0,e);break}t===r&&a.push(e)}n.updateURL()},forEach:function(e){for(var t,r=A(this).entries,n=p(e,arguments.length>1?arguments[1]:void 0,3),a=0;a<r.length;)n((t=r[a++]).value,t.key,this)},keys:function(){return new $(this,"keys")},values:function(){return new $(this,"values")},entries:function(){return new $(this,"entries")}},{enumerable:!0}),o(M,U,M.entries),o(M,"toString",(function(){for(var e,t=A(this).entries,r=[],n=0;n<t.length;)e=t[n++],r.push(O(e.key)+"="+O(e.value));return r.join("&")}),{enumerable:!0}),u(D,"URLSearchParams"),n({global:!0,forced:!i},{URLSearchParams:D}),i||"function"!=typeof L||"function"!=typeof k||n({global:!0,enumerable:!0,forced:!0},{fetch:function(e){var t,r,n,a=[e];return arguments.length>1&&(g(t=arguments[1])&&(r=t.body,"URLSearchParams"===v(r)&&((n=t.headers?new k(t.headers):new k).has("content-type")||n.set("content-type","application/x-www-form-urlencoded;charset=UTF-8"),t=m(t,{body:y(0,String(r)),headers:y(0,n)}))),a.push(t)),L.apply(this,a)}}),e.exports={URLSearchParams:D,getState:A}},638:function(e,t,r){var n=r(5),a=r(106);e.exports=function(e){var t=a(e);if("function"!=typeof t)throw TypeError(String(e)+" is not iterable");return n(t.call(e))}}}]);
+//# sourceMappingURL=vendors~editor-collab~editor-guest~editor-rich~files-modal.js.map?v=feb5918c44017d3ba256 \ No newline at end of file
diff --git a/js/vendors~editor-collab~editor-guest~editor-rich~files-modal.js.map b/js/vendors~editor-collab~editor-guest~editor-rich~files-modal.js.map
index f40d26990..68dc785ed 100644
--- a/js/vendors~editor-collab~editor-guest~editor-rich~files-modal.js.map
+++ b/js/vendors~editor-collab~editor-guest~editor-rich~files-modal.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/core-js/modules/web.url.js","webpack:///./node_modules/core-js/internals/string-trim.js","webpack:///./node_modules/core-js/modules/es.array.map.js","webpack:///./node_modules/v-click-outside/dist/v-click-outside.umd.js","webpack:///./node_modules/core-js/internals/whitespaces.js","webpack:///./node_modules/core-js/internals/native-url.js","webpack:///./node_modules/core-js/internals/array-from.js","webpack:///./node_modules/core-js/internals/string-punycode-to-ascii.js","webpack:///./node_modules/core-js/modules/web.url-search-params.js","webpack:///./node_modules/core-js/internals/get-iterator.js"],"names":["EOF","$","DESCRIPTORS","USE_NATIVE_URL","global","defineProperties","redefine","anInstance","has","assign","arrayFrom","codeAt","toASCII","setToStringTag","URLSearchParamsModule","InternalStateModule","NativeURL","URL","URLSearchParams","getInternalSearchParamsState","getState","setInternalState","set","getInternalURLState","getterFor","floor","Math","pow","ALPHA","ALPHANUMERIC","DIGIT","HEX_START","OCT","DEC","HEX","FORBIDDEN_HOST_CODE_POINT","FORBIDDEN_HOST_CODE_POINT_EXCLUDING_PERCENT","LEADING_AND_TRAILING_C0_CONTROL_OR_SPACE","TAB_AND_NEW_LINE","parseHost","url","input","result","codePoints","index","charAt","length","parseIPv6","slice","host","isSpecial","test","parseIPv4","percentEncode","C0ControlPercentEncodeSet","partsLength","numbers","part","radix","number","ipv4","parts","split","pop","parseInt","push","value","numbersSeen","ipv4Piece","swaps","swap","address","pieceIndex","compress","pointer","char","serializeHost","ignore0","unshift","join","ipv6","maxIndex","maxLength","currStart","currLength","findLongestZeroSequence","toString","fragmentPercentEncodeSet","pathPercentEncodeSet","userinfoPercentEncodeSet","code","encodeURIComponent","specialSchemes","ftp","file","http","https","ws","wss","scheme","includesCredentials","username","password","cannotHaveUsernamePasswordPort","cannotBeABaseURL","isWindowsDriveLetter","string","normalized","second","startsWithWindowsDriveLetter","third","shortenURLsPath","path","pathSize","isSingleDot","segment","toLowerCase","SCHEME_START","SCHEME","NO_SCHEME","SPECIAL_RELATIVE_OR_AUTHORITY","PATH_OR_AUTHORITY","RELATIVE","RELATIVE_SLASH","SPECIAL_AUTHORITY_SLASHES","SPECIAL_AUTHORITY_IGNORE_SLASHES","AUTHORITY","HOST","HOSTNAME","PORT","FILE","FILE_SLASH","FILE_HOST","PATH_START","PATH","CANNOT_BE_A_BASE_URL_PATH","QUERY","FRAGMENT","parseURL","stateOverride","base","bufferCodePoints","failure","state","buffer","seenAt","seenBracket","seenPasswordToken","port","query","fragment","replace","i","codePoint","encodedCodePoints","shift","URLConstructor","baseState","that","this","arguments","undefined","urlString","String","type","TypeError","searchParams","searchParamsState","updateSearchParams","updateURL","href","serializeURL","call","origin","getOrigin","protocol","getProtocol","getUsername","getPassword","getHost","hostname","getHostname","getPort","pathname","getPathname","search","getSearch","getSearchParams","hash","getHash","URLPrototype","prototype","output","error","accessorDescriptor","getter","setter","get","configurable","enumerable","nativeCreateObjectURL","createObjectURL","nativeRevokeObjectURL","revokeObjectURL","blob","apply","forced","sham","requireObjectCoercible","whitespace","ltrim","RegExp","rtrim","createMethod","TYPE","$this","module","exports","start","end","trim","$map","map","arrayMethodHasSpeciesSupport","arrayMethodUsesToLength","HAS_SPECIES_SUPPORT","USES_TO_LENGTH","target","proto","callbackfn","e","n","window","t","navigator","msMaxTouchPoints","o","Error","handler","middleware","events","isActive","r","d","event","el","composedPath","indexOf","contains","forEach","setTimeout","document","documentElement","addEventListener","removeEventListener","bind","update","oldValue","JSON","stringify","unbind","install","directive","fails","wellKnownSymbol","IS_PURE","ITERATOR","key","toJSON","sort","toObject","callWithSafeIterationClosing","isArrayIteratorMethod","toLength","createProperty","getIteratorMethod","arrayLike","step","iterator","next","O","C","Array","argumentsLength","mapfn","mapping","iteratorMethod","done","regexNonASCII","regexSeparators","OVERFLOW_ERROR","stringFromCharCode","fromCharCode","digitToBasic","digit","adapt","delta","numPoints","firstTime","k","baseMinusTMin","encode","currentValue","inputLength","counter","charCodeAt","extra","ucs2decode","bias","basicLength","handledCPCount","m","handledCPCountPlusOne","RangeError","q","qMinusT","baseMinusT","label","encoded","labels","getBuiltIn","redefineAll","createIteratorConstructor","hasOwn","classof","anObject","isObject","create","createPropertyDescriptor","getIterator","$fetch","Headers","getInternalParamsState","getInternalIteratorState","URL_SEARCH_PARAMS","plus","sequences","percentSequence","bytes","percentDecode","sequence","decodeURIComponent","deserialize","it","find","replacer","match","serialize","parseSearchParams","attribute","entry","attributes","entries","validateArgumentsLength","passed","required","URLSearchParamsIterator","params","kind","URLSearchParamsConstructor","entryIterator","entryNext","first","init","URLSearchParamsPrototype","append","name","splice","getAll","found","val","entriesIndex","sliceIndex","callback","boundFunction","keys","values","fetch","body","headers","args"],"mappings":"kGAEA,EAAQ,KACR,IA4CIA,EA5CAC,EAAI,EAAQ,IACZC,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,KACzBC,EAAS,EAAQ,GACjBC,EAAmB,EAAQ,KAC3BC,EAAW,EAAQ,IACnBC,EAAa,EAAQ,IACrBC,EAAM,EAAQ,GACdC,EAAS,EAAQ,KACjBC,EAAY,EAAQ,KACpBC,EAAS,EAAQ,IAAiCA,OAClDC,EAAU,EAAQ,KAClBC,EAAiB,EAAQ,IACzBC,EAAwB,EAAQ,KAChCC,EAAsB,EAAQ,IAE9BC,EAAYZ,EAAOa,IACnBC,EAAkBJ,EAAsBI,gBACxCC,EAA+BL,EAAsBM,SACrDC,EAAmBN,EAAoBO,IACvCC,EAAsBR,EAAoBS,UAAU,OACpDC,EAAQC,KAAKD,MACbE,EAAMD,KAAKC,IAOXC,EAAQ,WACRC,EAAe,gBACfC,EAAQ,KACRC,EAAY,WACZC,EAAM,WACNC,EAAM,QACNC,EAAM,gBAENC,EAA4B,wCAE5BC,EAA8C,uCAE9CC,EAA2C,yCAE3CC,EAAmB,wBAGnBC,EAAY,SAAUC,EAAKC,GAC7B,IAAIC,EAAQC,EAAYC,EACxB,GAAuB,KAAnBH,EAAMI,OAAO,GAAW,CAC1B,GAAsC,KAAlCJ,EAAMI,OAAOJ,EAAMK,OAAS,GAAW,MAvB5B,eAyBf,KADAJ,EAASK,EAAUN,EAAMO,MAAM,GAAI,KACtB,MAzBE,eA0BfR,EAAIS,KAAOP,OAEN,GAAKQ,EAAUV,GAQf,CAEL,GADAC,EAAQ7B,EAAQ6B,GACZN,EAA0BgB,KAAKV,GAAQ,MAtC5B,eAwCf,GAAe,QADfC,EAASU,EAAUX,IACE,MAxCN,eAyCfD,EAAIS,KAAOP,MAbe,CAC1B,GAAIN,EAA4Ce,KAAKV,GAAQ,MA7B9C,eAgCf,IAFAC,EAAS,GACTC,EAAajC,EAAU+B,GAClBG,EAAQ,EAAGA,EAAQD,EAAWG,OAAQF,IACzCF,GAAUW,EAAcV,EAAWC,GAAQU,GAE7Cd,EAAIS,KAAOP,IAUXU,EAAY,SAAUX,GACxB,IACIc,EAAaC,EAASZ,EAAOa,EAAMC,EAAOC,EAAQC,EADlDC,EAAQpB,EAAMqB,MAAM,KAMxB,GAJID,EAAMf,QAAqC,IAA3Be,EAAMA,EAAMf,OAAS,IACvCe,EAAME,OAERR,EAAcM,EAAMf,QACF,EAAG,OAAOL,EAE5B,IADAe,EAAU,GACLZ,EAAQ,EAAGA,EAAQW,EAAaX,IAAS,CAE5C,GAAY,KADZa,EAAOI,EAAMjB,IACG,OAAOH,EAMvB,GALAiB,EAAQ,GACJD,EAAKX,OAAS,GAAuB,KAAlBW,EAAKZ,OAAO,KACjCa,EAAQ3B,EAAUoB,KAAKM,GAAQ,GAAK,EACpCA,EAAOA,EAAKT,MAAe,GAATU,EAAa,EAAI,IAExB,KAATD,EACFE,EAAS,MACJ,CACL,KAAe,IAATD,EAAczB,EAAe,GAATyB,EAAa1B,EAAME,GAAKiB,KAAKM,GAAO,OAAOhB,EACrEkB,EAASK,SAASP,EAAMC,GAE1BF,EAAQS,KAAKN,GAEf,IAAKf,EAAQ,EAAGA,EAAQW,EAAaX,IAEnC,GADAe,EAASH,EAAQZ,GACbA,GAASW,EAAc,GACzB,GAAII,GAAUhC,EAAI,IAAK,EAAI4B,GAAc,OAAO,UAC3C,GAAII,EAAS,IAAK,OAAO,KAGlC,IADAC,EAAOJ,EAAQO,MACVnB,EAAQ,EAAGA,EAAQY,EAAQV,OAAQF,IACtCgB,GAAQJ,EAAQZ,GAASjB,EAAI,IAAK,EAAIiB,GAExC,OAAOgB,GAILb,EAAY,SAAUN,GACxB,IAIIyB,EAAOpB,EAAQqB,EAAaC,EAAWT,EAAQU,EAAOC,EAJtDC,EAAU,CAAC,EAAG,EAAG,EAAG,EAAG,EAAG,EAAG,EAAG,GAChCC,EAAa,EACbC,EAAW,KACXC,EAAU,EAGVC,EAAO,WACT,OAAOlC,EAAMI,OAAO6B,IAGtB,GAAc,KAAVC,IAAe,CACjB,GAAuB,KAAnBlC,EAAMI,OAAO,GAAW,OAC5B6B,GAAW,EAEXD,IADAD,EAGF,KAAOG,KAAQ,CACb,GAAkB,GAAdH,EAAiB,OACrB,GAAc,KAAVG,IAAJ,CAQA,IADAT,EAAQpB,EAAS,EACVA,EAAS,GAAKZ,EAAIiB,KAAKwB,MAC5BT,EAAgB,GAARA,EAAaF,SAASW,IAAQ,IACtCD,IACA5B,IAEF,GAAc,KAAV6B,IAAe,CACjB,GAAc,GAAV7B,EAAa,OAEjB,GADA4B,GAAW5B,EACP0B,EAAa,EAAG,OAEpB,IADAL,EAAc,EACPQ,KAAQ,CAEb,GADAP,EAAY,KACRD,EAAc,EAAG,CACnB,KAAc,KAAVQ,KAAiBR,EAAc,GAC9B,OADiCO,IAGxC,IAAK5C,EAAMqB,KAAKwB,KAAS,OACzB,KAAO7C,EAAMqB,KAAKwB,MAAS,CAEzB,GADAhB,EAASK,SAASW,IAAQ,IACR,OAAdP,EAAoBA,EAAYT,MAC/B,IAAiB,GAAbS,EAAgB,OACpBA,EAAwB,GAAZA,EAAiBT,EAClC,GAAIS,EAAY,IAAK,OACrBM,IAEFH,EAAQC,GAAoC,IAAtBD,EAAQC,GAAoBJ,EAE/B,KADnBD,GACuC,GAAfA,GAAkBK,IAE5C,GAAmB,GAAfL,EAAkB,OACtB,MACK,GAAc,KAAVQ,KAET,GADAD,KACKC,IAAQ,YACR,GAAIA,IAAQ,OACnBJ,EAAQC,KAAgBN,MA3CxB,CACE,GAAiB,OAAbO,EAAmB,OACvBC,IAEAD,IADAD,GA0CJ,GAAiB,OAAbC,EAGF,IAFAJ,EAAQG,EAAaC,EACrBD,EAAa,EACQ,GAAdA,GAAmBH,EAAQ,GAChCC,EAAOC,EAAQC,GACfD,EAAQC,KAAgBD,EAAQE,EAAWJ,EAAQ,GACnDE,EAAQE,IAAaJ,GAASC,OAE3B,GAAkB,GAAdE,EAAiB,OAC5B,OAAOD,GA6BLK,EAAgB,SAAU3B,GAC5B,IAAIP,EAAQE,EAAO6B,EAAUI,EAE7B,GAAmB,iBAAR5B,EAAkB,CAE3B,IADAP,EAAS,GACJE,EAAQ,EAAGA,EAAQ,EAAGA,IACzBF,EAAOoC,QAAQ7B,EAAO,KACtBA,EAAOxB,EAAMwB,EAAO,KACpB,OAAOP,EAAOqC,KAAK,KAEhB,GAAmB,iBAAR9B,EAAkB,CAGlC,IAFAP,EAAS,GACT+B,EAtC0B,SAAUO,GAMtC,IALA,IAAIC,EAAW,KACXC,EAAY,EACZC,EAAY,KACZC,EAAa,EACbxC,EAAQ,EACLA,EAAQ,EAAGA,IACI,IAAhBoC,EAAKpC,IACHwC,EAAaF,IACfD,EAAWE,EACXD,EAAYE,GAEdD,EAAY,KACZC,EAAa,IAEK,OAAdD,IAAoBA,EAAYvC,KAClCwC,GAON,OAJIA,EAAaF,IACfD,EAAWE,EACXD,EAAYE,GAEPH,EAeMI,CAAwBpC,GAC9BL,EAAQ,EAAGA,EAAQ,EAAGA,IACrBiC,GAA2B,IAAhB5B,EAAKL,KAChBiC,IAASA,GAAU,GACnBJ,IAAa7B,GACfF,GAAUE,EAAQ,IAAM,KACxBiC,GAAU,IAEVnC,GAAUO,EAAKL,GAAO0C,SAAS,IAC3B1C,EAAQ,IAAGF,GAAU,OAG7B,MAAO,IAAMA,EAAS,IACtB,OAAOO,GAGPK,EAA4B,GAC5BiC,EAA2B9E,EAAO,GAAI6C,EAA2B,CACnE,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,IAEnCkC,EAAuB/E,EAAO,GAAI8E,EAA0B,CAC9D,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,IAE3BE,EAA2BhF,EAAO,GAAI+E,EAAsB,CAC9D,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,KAAM,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,IAG5EnC,EAAgB,SAAUsB,EAAMrD,GAClC,IAAIoE,EAAO/E,EAAOgE,EAAM,GACxB,OAAOe,EAAO,IAAQA,EAAO,MAASlF,EAAIc,EAAKqD,GAAQA,EAAOgB,mBAAmBhB,IAG/EiB,EAAiB,CACnBC,IAAK,GACLC,KAAM,KACNC,KAAM,GACNC,MAAO,IACPC,GAAI,GACJC,IAAK,KAGHhD,EAAY,SAAUV,GACxB,OAAOhC,EAAIoF,EAAgBpD,EAAI2D,SAG7BC,EAAsB,SAAU5D,GAClC,MAAuB,IAAhBA,EAAI6D,UAAkC,IAAhB7D,EAAI8D,UAG/BC,EAAiC,SAAU/D,GAC7C,OAAQA,EAAIS,MAAQT,EAAIgE,kBAAkC,QAAdhE,EAAI2D,QAG9CM,EAAuB,SAAUC,EAAQC,GAC3C,IAAIC,EACJ,OAAwB,GAAjBF,EAAO5D,QAAelB,EAAMuB,KAAKuD,EAAO7D,OAAO,MACjB,MAA9B+D,EAASF,EAAO7D,OAAO,MAAgB8D,GAAwB,KAAVC,IAG1DC,EAA+B,SAAUH,GAC3C,IAAII,EACJ,OAAOJ,EAAO5D,OAAS,GAAK2D,EAAqBC,EAAO1D,MAAM,EAAG,MAC9C,GAAjB0D,EAAO5D,QACyB,OAA9BgE,EAAQJ,EAAO7D,OAAO,KAAyB,OAAViE,GAA4B,MAAVA,GAA2B,MAAVA,IAI1EC,EAAkB,SAAUvE,GAC9B,IAAIwE,EAAOxE,EAAIwE,KACXC,EAAWD,EAAKlE,QAChBmE,GAA2B,QAAdzE,EAAI2D,QAAgC,GAAZc,GAAkBR,EAAqBO,EAAK,IAAI,IACvFA,EAAKjD,OAILmD,EAAc,SAAUC,GAC1B,MAAmB,MAAZA,GAA6C,QAA1BA,EAAQC,eAShCC,GAAe,GACfC,GAAS,GACTC,GAAY,GACZC,GAAgC,GAChCC,GAAoB,GACpBC,GAAW,GACXC,GAAiB,GACjBC,GAA4B,GAC5BC,GAAmC,GACnCC,GAAY,GACZC,GAAO,GACPC,GAAW,GACXC,GAAO,GACPC,GAAO,GACPC,GAAa,GACbC,GAAY,GACZC,GAAa,GACbC,GAAO,GACPC,GAA4B,GAC5BC,GAAQ,GACRC,GAAW,GAGXC,GAAW,SAAUlG,EAAKC,EAAOkG,EAAeC,GAClD,IAMIjG,EAAYgC,EAAMkE,EAAkBC,EApCd3B,EA8BtB4B,EAAQJ,GAAiBtB,GACzB3C,EAAU,EACVsE,EAAS,GACTC,GAAS,EACTC,GAAc,EACdC,GAAoB,EAoBxB,IAjBKR,IACHnG,EAAI2D,OAAS,GACb3D,EAAI6D,SAAW,GACf7D,EAAI8D,SAAW,GACf9D,EAAIS,KAAO,KACXT,EAAI4G,KAAO,KACX5G,EAAIwE,KAAO,GACXxE,EAAI6G,MAAQ,KACZ7G,EAAI8G,SAAW,KACf9G,EAAIgE,kBAAmB,EACvB/D,EAAQA,EAAM8G,QAAQlH,EAA0C,KAGlEI,EAAQA,EAAM8G,QAAQjH,EAAkB,IAExCK,EAAajC,EAAU+B,GAEhBiC,GAAW/B,EAAWG,QAAQ,CAEnC,OADA6B,EAAOhC,EAAW+B,GACVqE,GACN,KAAK1B,GACH,IAAI1C,IAAQ/C,EAAMuB,KAAKwB,GAGhB,IAAKgE,EAGL,MAvVM,iBAqVXI,EAAQxB,GACR,SAJAyB,GAAUrE,EAAKyC,cACf2B,EAAQzB,GAKV,MAEF,KAAKA,GACH,GAAI3C,IAAS9C,EAAasB,KAAKwB,IAAiB,KAARA,GAAuB,KAARA,GAAuB,KAARA,GACpEqE,GAAUrE,EAAKyC,kBACV,IAAY,KAARzC,EA0BJ,IAAKgE,EAKL,MA5XM,iBAwXXK,EAAS,GACTD,EAAQxB,GACR7C,EAAU,EACV,SA7BA,GAAIiE,IACDzF,EAAUV,IAAQhC,EAAIoF,EAAgBoD,IAC5B,QAAVA,IAAqB5C,EAAoB5D,IAAqB,OAAbA,EAAI4G,OACvC,QAAd5G,EAAI2D,SAAqB3D,EAAIS,MAC7B,OAEH,GADAT,EAAI2D,OAAS6C,EACTL,EAEF,YADIzF,EAAUV,IAAQoD,EAAepD,EAAI2D,SAAW3D,EAAI4G,OAAM5G,EAAI4G,KAAO,OAG3EJ,EAAS,GACS,QAAdxG,EAAI2D,OACN4C,EAAQb,GACChF,EAAUV,IAAQoG,GAAQA,EAAKzC,QAAU3D,EAAI2D,OACtD4C,EAAQvB,GACCtE,EAAUV,GACnBuG,EAAQnB,GAC4B,KAA3BjF,EAAW+B,EAAU,IAC9BqE,EAAQtB,GACR/C,MAEAlC,EAAIgE,kBAAmB,EACvBhE,EAAIwE,KAAK/C,KAAK,IACd8E,EAAQR,IAQZ,MAEF,KAAKhB,GACH,IAAKqB,GAASA,EAAKpC,kBAA4B,KAAR7B,EAAc,MAhYxC,iBAiYb,GAAIiE,EAAKpC,kBAA4B,KAAR7B,EAAa,CACxCnC,EAAI2D,OAASyC,EAAKzC,OAClB3D,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQT,EAAKS,MACjB7G,EAAI8G,SAAW,GACf9G,EAAIgE,kBAAmB,EACvBuC,EAAQN,GACR,MAEFM,EAAuB,QAAfH,EAAKzC,OAAmB+B,GAAOR,GACvC,SAEF,KAAKF,GACH,GAAY,KAAR7C,GAA0C,KAA3BhC,EAAW+B,EAAU,GAGjC,CACLqE,EAAQrB,GACR,SAJAqB,EAAQlB,GACRnD,IAIA,MAEJ,KAAK+C,GACH,GAAY,KAAR9C,EAAa,CACfoE,EAAQjB,GACR,MAEAiB,EAAQT,GACR,SAGJ,KAAKZ,GAEH,GADAlF,EAAI2D,OAASyC,EAAKzC,OACdxB,GAAQ3E,EACVwC,EAAI6D,SAAWuC,EAAKvC,SACpB7D,EAAI8D,SAAWsC,EAAKtC,SACpB9D,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAI4G,KAAOR,EAAKQ,KAChB5G,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQT,EAAKS,WACZ,GAAY,KAAR1E,GAAwB,MAARA,GAAgBzB,EAAUV,GACnDuG,EAAQpB,QACH,GAAY,KAARhD,EACTnC,EAAI6D,SAAWuC,EAAKvC,SACpB7D,EAAI8D,SAAWsC,EAAKtC,SACpB9D,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAI4G,KAAOR,EAAKQ,KAChB5G,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQ,GACZN,EAAQP,OACH,IAAY,KAAR7D,EASJ,CACLnC,EAAI6D,SAAWuC,EAAKvC,SACpB7D,EAAI8D,SAAWsC,EAAKtC,SACpB9D,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAI4G,KAAOR,EAAKQ,KAChB5G,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAIwE,KAAKjD,MACTgF,EAAQT,GACR,SAhBA9F,EAAI6D,SAAWuC,EAAKvC,SACpB7D,EAAI8D,SAAWsC,EAAKtC,SACpB9D,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAI4G,KAAOR,EAAKQ,KAChB5G,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQT,EAAKS,MACjB7G,EAAI8G,SAAW,GACfP,EAAQN,GAUR,MAEJ,KAAKd,GACH,IAAIzE,EAAUV,IAAiB,KAARmC,GAAuB,MAARA,EAE/B,IAAY,KAARA,EAEJ,CACLnC,EAAI6D,SAAWuC,EAAKvC,SACpB7D,EAAI8D,SAAWsC,EAAKtC,SACpB9D,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAI4G,KAAOR,EAAKQ,KAChBL,EAAQT,GACR,SAPAS,EAAQjB,QAFRiB,EAAQlB,GAUR,MAEJ,KAAKD,GAEH,GADAmB,EAAQlB,GACI,KAARlD,GAA6C,KAA9BqE,EAAOnG,OAAO6B,EAAU,GAAW,SACtDA,IACA,MAEF,KAAKmD,GACH,GAAY,KAARlD,GAAuB,MAARA,EAAc,CAC/BoE,EAAQjB,GACR,SACA,MAEJ,KAAKA,GACH,GAAY,KAARnD,EAAa,CACXsE,IAAQD,EAAS,MAAQA,GAC7BC,GAAS,EACTJ,EAAmBnI,EAAUsI,GAC7B,IAAK,IAAIQ,EAAI,EAAGA,EAAIX,EAAiB/F,OAAQ0G,IAAK,CAChD,IAAIC,EAAYZ,EAAiBW,GACjC,GAAiB,KAAbC,GAAqBN,EAAzB,CAIA,IAAIO,EAAoBrG,EAAcoG,EAAWhE,GAC7C0D,EAAmB3G,EAAI8D,UAAYoD,EAClClH,EAAI6D,UAAYqD,OALnBP,GAAoB,EAOxBH,EAAS,QACJ,GACLrE,GAAQ3E,GAAe,KAAR2E,GAAuB,KAARA,GAAuB,KAARA,GACpC,MAARA,GAAgBzB,EAAUV,GAC3B,CACA,GAAIyG,GAAoB,IAAVD,EAAc,MArfd,oBAsfdtE,GAAWhE,EAAUsI,GAAQlG,OAAS,EACtCkG,EAAS,GACTD,EAAQhB,QACHiB,GAAUrE,EACjB,MAEF,KAAKoD,GACL,KAAKC,GACH,GAAIW,GAA+B,QAAdnG,EAAI2D,OAAkB,CACzC4C,EAAQX,GACR,SACK,GAAY,KAARzD,GAAgBuE,EAOpB,IACLvE,GAAQ3E,GAAe,KAAR2E,GAAuB,KAARA,GAAuB,KAARA,GACpC,MAARA,GAAgBzB,EAAUV,GAC3B,CACA,GAAIU,EAAUV,IAAkB,IAAVwG,EAAc,MA1gB3B,eA2gBT,GAAIL,GAA2B,IAAVK,IAAiB5C,EAAoB5D,IAAqB,OAAbA,EAAI4G,MAAgB,OAEtF,GADAN,EAAUvG,EAAUC,EAAKwG,GACZ,OAAOF,EAGpB,GAFAE,EAAS,GACTD,EAAQV,GACJM,EAAe,OACnB,SAEY,KAARhE,EAAauE,GAAc,EACd,KAARvE,IAAauE,GAAc,GACpCF,GAAUrE,MAtB4B,CACtC,GAAc,IAAVqE,EAAc,MAhgBT,eAkgBT,GADAF,EAAUvG,EAAUC,EAAKwG,GACZ,OAAOF,EAGpB,GAFAE,EAAS,GACTD,EAAQd,GACJU,GAAiBX,GAAU,OAiB/B,MAEJ,KAAKC,GACH,IAAInG,EAAMqB,KAAKwB,GAER,IACLA,GAAQ3E,GAAe,KAAR2E,GAAuB,KAARA,GAAuB,KAARA,GACpC,MAARA,GAAgBzB,EAAUV,IAC3BmG,EACA,CACA,GAAc,IAAVK,EAAc,CAChB,IAAII,EAAOpF,SAASgF,EAAQ,IAC5B,GAAII,EAAO,MAAQ,MAjiBZ,eAkiBP5G,EAAI4G,KAAQlG,EAAUV,IAAQ4G,IAASxD,EAAepD,EAAI2D,QAAW,KAAOiD,EAC5EJ,EAAS,GAEX,GAAIL,EAAe,OACnBI,EAAQV,GACR,SACK,MAxiBI,eAyhBTW,GAAUrE,EAgBZ,MAEF,KAAKuD,GAEH,GADA1F,EAAI2D,OAAS,OACD,KAARxB,GAAuB,MAARA,EAAcoE,EAAQZ,OACpC,KAAIS,GAAuB,QAAfA,EAAKzC,OAyBf,CACL4C,EAAQT,GACR,SA1BA,GAAI3D,GAAQ3E,EACVwC,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQT,EAAKS,WACZ,GAAY,KAAR1E,EACTnC,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQ,GACZN,EAAQP,OACH,IAAY,KAAR7D,EAMJ,CACAkC,EAA6BlE,EAAWK,MAAM0B,GAASK,KAAK,OAC/DvC,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrB+D,EAAgBvE,IAElBuG,EAAQT,GACR,SAZA9F,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQT,EAAKS,MACjB7G,EAAI8G,SAAW,GACfP,EAAQN,IAaV,MAEJ,KAAKN,GACH,GAAY,KAARxD,GAAuB,MAARA,EAAc,CAC/BoE,EAAQX,GACR,MAEEQ,GAAuB,QAAfA,EAAKzC,SAAqBU,EAA6BlE,EAAWK,MAAM0B,GAASK,KAAK,OAC5F0B,EAAqBmC,EAAK5B,KAAK,IAAI,GAAOxE,EAAIwE,KAAK/C,KAAK2E,EAAK5B,KAAK,IACjExE,EAAIS,KAAO2F,EAAK3F,MAEvB8F,EAAQT,GACR,SAEF,KAAKF,GACH,GAAIzD,GAAQ3E,GAAe,KAAR2E,GAAuB,MAARA,GAAwB,KAARA,GAAuB,KAARA,EAAa,CAC5E,IAAKgE,GAAiBlC,EAAqBuC,GACzCD,EAAQT,QACH,GAAc,IAAVU,EAAc,CAEvB,GADAxG,EAAIS,KAAO,GACP0F,EAAe,OACnBI,EAAQV,OACH,CAEL,GADAS,EAAUvG,EAAUC,EAAKwG,GACZ,OAAOF,EAEpB,GADgB,aAAZtG,EAAIS,OAAqBT,EAAIS,KAAO,IACpC0F,EAAe,OACnBK,EAAS,GACTD,EAAQV,GACR,SACGW,GAAUrE,EACjB,MAEF,KAAK0D,GACH,GAAInF,EAAUV,IAEZ,GADAuG,EAAQT,GACI,KAAR3D,GAAuB,MAARA,EAAc,cAC5B,GAAKgE,GAAyB,KAARhE,EAGtB,GAAKgE,GAAyB,KAARhE,GAGtB,GAAIA,GAAQ3E,IACjB+I,EAAQT,GACI,KAAR3D,GAAa,cAJjBnC,EAAI8G,SAAW,GACfP,EAAQN,QAJRjG,EAAI6G,MAAQ,GACZN,EAAQP,GAOR,MAEJ,KAAKF,GACH,GACE3D,GAAQ3E,GAAe,KAAR2E,GACN,MAARA,GAAgBzB,EAAUV,KACzBmG,IAA0B,KAARhE,GAAuB,KAARA,GACnC,CAkBA,GA3XW,QADnBwC,GAD0BA,EA4WF6B,GA3WN5B,gBACqB,SAAZD,GAAkC,SAAZA,GAAkC,WAAZA,GA2W7DJ,EAAgBvE,GACJ,KAARmC,GAAyB,MAARA,GAAgBzB,EAAUV,IAC7CA,EAAIwE,KAAK/C,KAAK,KAEPiD,EAAY8B,GACT,KAARrE,GAAyB,MAARA,GAAgBzB,EAAUV,IAC7CA,EAAIwE,KAAK/C,KAAK,KAGE,QAAdzB,EAAI2D,SAAqB3D,EAAIwE,KAAKlE,QAAU2D,EAAqBuC,KAC/DxG,EAAIS,OAAMT,EAAIS,KAAO,IACzB+F,EAASA,EAAOnG,OAAO,GAAK,KAE9BL,EAAIwE,KAAK/C,KAAK+E,IAEhBA,EAAS,GACS,QAAdxG,EAAI2D,SAAqBxB,GAAQ3E,GAAe,KAAR2E,GAAuB,KAARA,GACzD,KAAOnC,EAAIwE,KAAKlE,OAAS,GAAqB,KAAhBN,EAAIwE,KAAK,IACrCxE,EAAIwE,KAAK2C,QAGD,KAARhF,GACFnC,EAAI6G,MAAQ,GACZN,EAAQP,IACS,KAAR7D,IACTnC,EAAI8G,SAAW,GACfP,EAAQN,SAGVO,GAAU3F,EAAcsB,EAAMa,GAC9B,MAEJ,KAAK+C,GACS,KAAR5D,GACFnC,EAAI6G,MAAQ,GACZN,EAAQP,IACS,KAAR7D,GACTnC,EAAI8G,SAAW,GACfP,EAAQN,IACC9D,GAAQ3E,IACjBwC,EAAIwE,KAAK,IAAM3D,EAAcsB,EAAMrB,IACnC,MAEJ,KAAKkF,GACEG,GAAyB,KAARhE,EAGXA,GAAQ3E,IACL,KAAR2E,GAAezB,EAAUV,GAAMA,EAAI6G,OAAS,MAC1B7G,EAAI6G,OAAT,KAAR1E,EAA0B,MACjBtB,EAAcsB,EAAMrB,KALtCd,EAAI8G,SAAW,GACfP,EAAQN,IAKR,MAEJ,KAAKA,GACC9D,GAAQ3E,IAAKwC,EAAI8G,UAAYjG,EAAcsB,EAAMY,IAIzDb,MAMAkF,GAAiB,SAAapH,GAChC,IAIIqH,EAAWf,EAJXgB,EAAOvJ,EAAWwJ,KAAMH,GAAgB,OACxChB,EAAOoB,UAAUlH,OAAS,EAAIkH,UAAU,QAAKC,EAC7CC,EAAYC,OAAO3H,GACnBuG,EAAQ1H,EAAiByI,EAAM,CAAEM,KAAM,QAE3C,QAAaH,IAATrB,EACF,GAAIA,aAAgBgB,GAAgBC,EAAYtI,EAAoBqH,QAGlE,GADAE,EAAUJ,GAASmB,EAAY,GAAIM,OAAOvB,IAC7B,MAAMyB,UAAUvB,GAIjC,GADAA,EAAUJ,GAASK,EAAOmB,EAAW,KAAML,GAC9B,MAAMQ,UAAUvB,GAC7B,IAAIwB,EAAevB,EAAMuB,aAAe,IAAIpJ,EACxCqJ,EAAoBpJ,EAA6BmJ,GACrDC,EAAkBC,mBAAmBzB,EAAMM,OAC3CkB,EAAkBE,UAAY,WAC5B1B,EAAMM,MAAQc,OAAOG,IAAiB,MAEnCpK,IACH4J,EAAKY,KAAOC,GAAaC,KAAKd,GAC9BA,EAAKe,OAASC,GAAUF,KAAKd,GAC7BA,EAAKiB,SAAWC,GAAYJ,KAAKd,GACjCA,EAAKzD,SAAW4E,GAAYL,KAAKd,GACjCA,EAAKxD,SAAW4E,GAAYN,KAAKd,GACjCA,EAAK7G,KAAOkI,GAAQP,KAAKd,GACzBA,EAAKsB,SAAWC,GAAYT,KAAKd,GACjCA,EAAKV,KAAOkC,GAAQV,KAAKd,GACzBA,EAAKyB,SAAWC,GAAYZ,KAAKd,GACjCA,EAAK2B,OAASC,GAAUd,KAAKd,GAC7BA,EAAKQ,aAAeqB,GAAgBf,KAAKd,GACzCA,EAAK8B,KAAOC,GAAQjB,KAAKd,KAIzBgC,GAAelC,GAAemC,UAE9BpB,GAAe,WACjB,IAAInI,EAAMjB,EAAoBwI,MAC1B5D,EAAS3D,EAAI2D,OACbE,EAAW7D,EAAI6D,SACfC,EAAW9D,EAAI8D,SACfrD,EAAOT,EAAIS,KACXmG,EAAO5G,EAAI4G,KACXpC,EAAOxE,EAAIwE,KACXqC,EAAQ7G,EAAI6G,MACZC,EAAW9G,EAAI8G,SACf0C,EAAS7F,EAAS,IAYtB,OAXa,OAATlD,GACF+I,GAAU,KACN5F,EAAoB5D,KACtBwJ,GAAU3F,GAAYC,EAAW,IAAMA,EAAW,IAAM,KAE1D0F,GAAUpH,EAAc3B,GACX,OAATmG,IAAe4C,GAAU,IAAM5C,IAChB,QAAVjD,IAAkB6F,GAAU,MACvCA,GAAUxJ,EAAIgE,iBAAmBQ,EAAK,GAAKA,EAAKlE,OAAS,IAAMkE,EAAKjC,KAAK,KAAO,GAClE,OAAVsE,IAAgB2C,GAAU,IAAM3C,GACnB,OAAbC,IAAmB0C,GAAU,IAAM1C,GAChC0C,GAGLlB,GAAY,WACd,IAAItI,EAAMjB,EAAoBwI,MAC1B5D,EAAS3D,EAAI2D,OACbiD,EAAO5G,EAAI4G,KACf,GAAc,QAAVjD,EAAkB,IACpB,OAAO,IAAIlF,IAAIkF,EAAOa,KAAK,IAAI6D,OAC/B,MAAOoB,GACP,MAAO,OAET,MAAc,QAAV9F,GAAqBjD,EAAUV,GAC5B2D,EAAS,MAAQvB,EAAcpC,EAAIS,OAAkB,OAATmG,EAAgB,IAAMA,EAAO,IADhC,QAI9C4B,GAAc,WAChB,OAAOzJ,EAAoBwI,MAAM5D,OAAS,KAGxC8E,GAAc,WAChB,OAAO1J,EAAoBwI,MAAM1D,UAG/B6E,GAAc,WAChB,OAAO3J,EAAoBwI,MAAMzD,UAG/B6E,GAAU,WACZ,IAAI3I,EAAMjB,EAAoBwI,MAC1B9G,EAAOT,EAAIS,KACXmG,EAAO5G,EAAI4G,KACf,OAAgB,OAATnG,EAAgB,GACV,OAATmG,EAAgBxE,EAAc3B,GAC9B2B,EAAc3B,GAAQ,IAAMmG,GAG9BiC,GAAc,WAChB,IAAIpI,EAAO1B,EAAoBwI,MAAM9G,KACrC,OAAgB,OAATA,EAAgB,GAAK2B,EAAc3B,IAGxCqI,GAAU,WACZ,IAAIlC,EAAO7H,EAAoBwI,MAAMX,KACrC,OAAgB,OAATA,EAAgB,GAAKe,OAAOf,IAGjCoC,GAAc,WAChB,IAAIhJ,EAAMjB,EAAoBwI,MAC1B/C,EAAOxE,EAAIwE,KACf,OAAOxE,EAAIgE,iBAAmBQ,EAAK,GAAKA,EAAKlE,OAAS,IAAMkE,EAAKjC,KAAK,KAAO,IAG3E2G,GAAY,WACd,IAAIrC,EAAQ9H,EAAoBwI,MAAMV,MACtC,OAAOA,EAAQ,IAAMA,EAAQ,IAG3BsC,GAAkB,WACpB,OAAOpK,EAAoBwI,MAAMO,cAG/BuB,GAAU,WACZ,IAAIvC,EAAW/H,EAAoBwI,MAAMT,SACzC,OAAOA,EAAW,IAAMA,EAAW,IAGjC4C,GAAqB,SAAUC,EAAQC,GACzC,MAAO,CAAEC,IAAKF,EAAQ7K,IAAK8K,EAAQE,cAAc,EAAMC,YAAY,IAyHrE,GAtHIrM,GACFG,EAAiByL,GAAc,CAG7BpB,KAAMwB,GAAmBvB,IAAc,SAAUD,GAC/C,IAAIlI,EAAMjB,EAAoBwI,MAC1BG,EAAYC,OAAOO,GACnB5B,EAAUJ,GAASlG,EAAK0H,GAC5B,GAAIpB,EAAS,MAAMuB,UAAUvB,GAC7B3H,EAA6BqB,EAAI8H,cAAcE,mBAAmBhI,EAAI6G,UAIxEwB,OAAQqB,GAAmBpB,IAG3BC,SAAUmB,GAAmBlB,IAAa,SAAUD,GAClD,IAAIvI,EAAMjB,EAAoBwI,MAC9BrB,GAASlG,EAAK2H,OAAOY,GAAY,IAAK1D,OAIxChB,SAAU6F,GAAmBjB,IAAa,SAAU5E,GAClD,IAAI7D,EAAMjB,EAAoBwI,MAC1BpH,EAAajC,EAAUyJ,OAAO9D,IAClC,IAAIE,EAA+B/D,GAAnC,CACAA,EAAI6D,SAAW,GACf,IAAK,IAAImD,EAAI,EAAGA,EAAI7G,EAAWG,OAAQ0G,IACrChH,EAAI6D,UAAYhD,EAAcV,EAAW6G,GAAI/D,OAKjDa,SAAU4F,GAAmBhB,IAAa,SAAU5E,GAClD,IAAI9D,EAAMjB,EAAoBwI,MAC1BpH,EAAajC,EAAUyJ,OAAO7D,IAClC,IAAIC,EAA+B/D,GAAnC,CACAA,EAAI8D,SAAW,GACf,IAAK,IAAIkD,EAAI,EAAGA,EAAI7G,EAAWG,OAAQ0G,IACrChH,EAAI8D,UAAYjD,EAAcV,EAAW6G,GAAI/D,OAKjDxC,KAAMiJ,GAAmBf,IAAS,SAAUlI,GAC1C,IAAIT,EAAMjB,EAAoBwI,MAC1BvH,EAAIgE,kBACRkC,GAASlG,EAAK2H,OAAOlH,GAAO8E,OAI9BqD,SAAUc,GAAmBb,IAAa,SAAUD,GAClD,IAAI5I,EAAMjB,EAAoBwI,MAC1BvH,EAAIgE,kBACRkC,GAASlG,EAAK2H,OAAOiB,GAAWpD,OAIlCoB,KAAM8C,GAAmBZ,IAAS,SAAUlC,GAC1C,IAAI5G,EAAMjB,EAAoBwI,MAC1BxD,EAA+B/D,KAEvB,KADZ4G,EAAOe,OAAOf,IACE5G,EAAI4G,KAAO,KACtBV,GAASlG,EAAK4G,EAAMnB,QAI3BsD,SAAUW,GAAmBV,IAAa,SAAUD,GAClD,IAAI/I,EAAMjB,EAAoBwI,MAC1BvH,EAAIgE,mBACRhE,EAAIwE,KAAO,GACX0B,GAASlG,EAAK+I,EAAW,GAAIlD,QAI/BoD,OAAQS,GAAmBR,IAAW,SAAUD,GAC9C,IAAIjJ,EAAMjB,EAAoBwI,MAEhB,KADd0B,EAAStB,OAAOsB,IAEdjJ,EAAI6G,MAAQ,MAER,KAAOoC,EAAO5I,OAAO,KAAI4I,EAASA,EAAOzI,MAAM,IACnDR,EAAI6G,MAAQ,GACZX,GAASlG,EAAKiJ,EAAQjD,KAExBrH,EAA6BqB,EAAI8H,cAAcE,mBAAmBhI,EAAI6G,UAIxEiB,aAAc4B,GAAmBP,IAGjCC,KAAMM,GAAmBL,IAAS,SAAUD,GAC1C,IAAIpJ,EAAMjB,EAAoBwI,MAElB,KADZ6B,EAAOzB,OAAOyB,KAKV,KAAOA,EAAK/I,OAAO,KAAI+I,EAAOA,EAAK5I,MAAM,IAC7CR,EAAI8G,SAAW,GACfZ,GAASlG,EAAKoJ,EAAMnD,KALlBjG,EAAI8G,SAAW,UAYvBhJ,EAASwL,GAAc,UAAU,WAC/B,OAAOnB,GAAaC,KAAKb,QACxB,CAAEwC,YAAY,IAIjBjM,EAASwL,GAAc,YAAY,WACjC,OAAOnB,GAAaC,KAAKb,QACxB,CAAEwC,YAAY,IAEbvL,EAAW,CACb,IAAIwL,GAAwBxL,EAAUyL,gBAClCC,GAAwB1L,EAAU2L,gBAIlCH,IAAuBlM,EAASsJ,GAAgB,mBAAmB,SAAyBgD,GAC9F,OAAOJ,GAAsBK,MAAM7L,EAAWgJ,cAK5C0C,IAAuBpM,EAASsJ,GAAgB,mBAAmB,SAAyBpH,GAC9F,OAAOkK,GAAsBG,MAAM7L,EAAWgJ,cAIlDnJ,EAAe+I,GAAgB,OAE/B3J,EAAE,CAAEG,QAAQ,EAAM0M,QAAS3M,EAAgB4M,MAAO7M,GAAe,CAC/De,IAAK2I,M,oBC7+BP,IAAIoD,EAAyB,EAAQ,IAGjCC,EAAa,IAFC,EAAQ,KAEW,IACjCC,EAAQC,OAAO,IAAMF,EAAaA,EAAa,KAC/CG,EAAQD,OAAOF,EAAaA,EAAa,MAGzCI,EAAe,SAAUC,GAC3B,OAAO,SAAUC,GACf,IAAI7G,EAASyD,OAAO6C,EAAuBO,IAG3C,OAFW,EAAPD,IAAU5G,EAASA,EAAO6C,QAAQ2D,EAAO,KAClC,EAAPI,IAAU5G,EAASA,EAAO6C,QAAQ6D,EAAO,KACtC1G,IAIX8G,EAAOC,QAAU,CAGfC,MAAOL,EAAa,GAGpBM,IAAKN,EAAa,GAGlBO,KAAMP,EAAa,K,iCCzBrB,IAAIpN,EAAI,EAAQ,IACZ4N,EAAO,EAAQ,IAAgCC,IAC/CC,EAA+B,EAAQ,IACvCC,EAA0B,EAAQ,IAElCC,EAAsBF,EAA6B,OAEnDG,EAAiBF,EAAwB,OAK7C/N,EAAE,CAAEkO,OAAQ,QAASC,OAAO,EAAMtB,QAASmB,IAAwBC,GAAkB,CACnFJ,IAAK,SAAaO,GAChB,OAAOR,EAAK9D,KAAMsE,EAAYrE,UAAUlH,OAAS,EAAIkH,UAAU,QAAKC,O,oBCfJuD,EAAOC,QAA2F,WAAW,IAAIa,EAAE,oBAAoBC,EAAE,oBAAoBC,OAAOC,EAAE,oBAAoBC,UAAUlF,EAAE+E,IAAI,iBAAiBC,QAAQC,GAAGC,UAAUC,iBAAiB,GAAG,CAAC,cAAc,CAAC,SAAS,SAASC,EAAEL,EAAEE,GAAG,IAAIG,EAAE,SAASN,GAAG,IAAIC,EAAE,mBAAmBD,EAAE,IAAIC,GAAG,iBAAiBD,EAAE,MAAM,IAAIO,MAAM,kEAAkE,MAAM,CAACC,QAAQP,EAAED,EAAEA,EAAEQ,QAAQC,WAAWT,EAAES,YAAY,SAAST,GAAG,OAAOA,GAAGU,OAAOV,EAAEU,QAAQxF,EAAEyF,YAAW,IAAKX,EAAEW,WAApQ,CAAgRR,EAAEvK,OAAOgL,EAAEN,EAAEE,QAAQK,EAAEP,EAAEG,WAAWH,EAAEK,WAAWV,EAAED,GAAGM,EAAEI,OAAOlB,KAAI,SAASQ,GAAG,MAAM,CAACc,MAAMd,EAAEQ,QAAQ,SAASR,GAAG,OAAO,SAASA,GAAG,IAAIC,EAAED,EAAEe,GAAGZ,EAAEH,EAAEc,MAAM5F,EAAE8E,EAAEQ,QAAQF,EAAEN,EAAES,WAAWG,EAAET,EAAEzH,MAAMyH,EAAEa,cAAcb,EAAEa,eAAeH,EAAED,EAAEA,EAAEK,QAAQhB,GAAG,GAAGA,EAAEiB,SAASf,EAAEN,QAAQM,EAAEN,SAASI,GAAGY,GAAGP,EAAEH,IAAIjF,EAAEiF,GAAzK,CAA6K,CAACW,MAAMd,EAAEe,GAAGd,EAAEO,QAAQI,EAAEH,WAAWI,SAAQZ,EAAED,GAAGmB,SAAQ,SAAShB,GAAG,IAAIjF,EAAEiF,EAAEW,MAAMR,EAAEH,EAAEK,QAAQ,OAAOY,YAAW,WAAWnB,EAAED,IAAIqB,SAASC,gBAAgBC,iBAAiBrG,EAAEoF,GAAE,KAAK,OAAM,SAASM,EAAEX,IAAIA,EAAED,IAAI,IAAImB,SAAQ,SAASnB,GAAG,OAAOqB,SAASC,gBAAgBE,oBAAoBxB,EAAEc,MAAMd,EAAEQ,SAAQ,aAAaP,EAAED,GAAG,IAAIa,EAAEZ,EAAE,CAACwB,KAAKnB,EAAEoB,OAAO,SAAS1B,EAAEC,GAAG,IAAIE,EAAEF,EAAErK,MAAMsF,EAAE+E,EAAE0B,SAASC,KAAKC,UAAU1B,KAAKyB,KAAKC,UAAU3G,KAAK0F,EAAEZ,GAAGM,EAAEN,EAAE,CAACpK,MAAMuK,MAAM2B,OAAOlB,GAAG,GAAG,MAAM,CAACmB,QAAQ,SAAS/B,GAAGA,EAAEgC,UAAU,gBAAgBnB,IAAImB,UAAUnB,GAAz2CZ,I,kBCEnFf,EAAOC,QAAU,iD,oBCFjB,IAAI8C,EAAQ,EAAQ,GAChBC,EAAkB,EAAQ,GAC1BC,EAAU,EAAQ,IAElBC,EAAWF,EAAgB,YAE/BhD,EAAOC,SAAW8C,GAAM,WACtB,IAAI/N,EAAM,IAAIvB,IAAI,gBAAiB,YAC/BqJ,EAAe9H,EAAI8H,aACnB5H,EAAS,GAMb,OALAF,EAAI+I,SAAW,QACfjB,EAAamF,SAAQ,SAAUvL,EAAOyM,GACpCrG,EAAqB,OAAE,KACvB5H,GAAUiO,EAAMzM,KAEVuM,IAAYjO,EAAIoO,SAClBtG,EAAauG,MACD,2BAAbrO,EAAIkI,MACsB,MAA1BJ,EAAa+B,IAAI,MACuB,QAAxClC,OAAO,IAAIjJ,gBAAgB,WAC1BoJ,EAAaoG,IAEsB,MAApC,IAAIzP,IAAI,eAAeoF,UACsC,MAA7D,IAAInF,gBAAgB,IAAIA,gBAAgB,QAAQmL,IAAI,MAEpB,eAAhC,IAAIpL,IAAI,eAAegC,MAEQ,YAA/B,IAAIhC,IAAI,cAAc2K,MAEX,SAAXlJ,GAEwC,MAAxC,IAAIzB,IAAI,gBAAYgJ,GAAWhH,S,iCC9BtC,IAAI8M,EAAO,EAAQ,IACfe,EAAW,EAAQ,IACnBC,EAA+B,EAAQ,KACvCC,EAAwB,EAAQ,KAChCC,EAAW,EAAQ,IACnBC,EAAiB,EAAQ,KACzBC,EAAoB,EAAQ,KAIhC3D,EAAOC,QAAU,SAAc2D,GAC7B,IAOItO,EAAQJ,EAAQ2O,EAAMC,EAAUC,EAAMrN,EAPtCsN,EAAIV,EAASM,GACbK,EAAmB,mBAAR1H,KAAqBA,KAAO2H,MACvCC,EAAkB3H,UAAUlH,OAC5B8O,EAAQD,EAAkB,EAAI3H,UAAU,QAAKC,EAC7C4H,OAAoB5H,IAAV2H,EACVE,EAAiBX,EAAkBK,GACnC5O,EAAQ,EAIZ,GAFIiP,IAASD,EAAQ7B,EAAK6B,EAAOD,EAAkB,EAAI3H,UAAU,QAAKC,EAAW,IAE3DA,MAAlB6H,GAAiCL,GAAKC,OAASV,EAAsBc,GAWvE,IADApP,EAAS,IAAI+O,EADb3O,EAASmO,EAASO,EAAE1O,SAEdA,EAASF,EAAOA,IACpBsB,EAAQ2N,EAAUD,EAAMJ,EAAE5O,GAAQA,GAAS4O,EAAE5O,GAC7CsO,EAAexO,EAAQE,EAAOsB,QAThC,IAFAqN,GADAD,EAAWQ,EAAelH,KAAK4G,IACfD,KAChB7O,EAAS,IAAI+O,IACLJ,EAAOE,EAAK3G,KAAK0G,IAAWS,KAAMnP,IACxCsB,EAAQ2N,EAAUd,EAA6BO,EAAUM,EAAO,CAACP,EAAKnN,MAAOtB,IAAQ,GAAQyO,EAAKnN,MAClGgN,EAAexO,EAAQE,EAAOsB,GAWlC,OADAxB,EAAOI,OAASF,EACTF,I,iCCrCT,IASIsP,EAAgB,eAChBC,EAAkB,yBAClBC,EAAiB,kDAEjBzQ,EAAQC,KAAKD,MACb0Q,EAAqBhI,OAAOiI,aAoC5BC,EAAe,SAAUC,GAG3B,OAAOA,EAAQ,GAAK,IAAMA,EAAQ,KAOhCC,EAAQ,SAAUC,EAAOC,EAAWC,GACtC,IAAIC,EAAI,EAGR,IAFAH,EAAQE,EAAYjR,EAAM+Q,EAzDjB,KAyDiCA,GAAS,EACnDA,GAAS/Q,EAAM+Q,EAAQC,GAChBD,EAAQI,IAA2BD,GA/DjC,GAgEPH,EAAQ/Q,EAAM+Q,EArDE5J,IAuDlB,OAAOnH,EAAMkR,EAAI,GAAsBH,GAASA,EA/DvC,MAuEPK,EAAS,SAAUpQ,GACrB,IAYI+G,EAAGsJ,EAZH9G,EAAS,GAMT+G,GAHJtQ,EAxDe,SAAUiE,GAIzB,IAHA,IAAIsF,EAAS,GACTgH,EAAU,EACVlQ,EAAS4D,EAAO5D,OACbkQ,EAAUlQ,GAAQ,CACvB,IAAIoB,EAAQwC,EAAOuM,WAAWD,KAC9B,GAAI9O,GAAS,OAAUA,GAAS,OAAU8O,EAAUlQ,EAAQ,CAE1D,IAAIoQ,EAAQxM,EAAOuM,WAAWD,KACN,QAAX,MAARE,GACHlH,EAAO/H,OAAe,KAARC,IAAkB,KAAe,KAARgP,GAAiB,QAIxDlH,EAAO/H,KAAKC,GACZ8O,UAGFhH,EAAO/H,KAAKC,GAGhB,OAAO8H,EAmCCmH,CAAW1Q,IAGKK,OAGpByL,EA9ES,IA+ETiE,EAAQ,EACRY,EAjFY,GAqFhB,IAAK5J,EAAI,EAAGA,EAAI/G,EAAMK,OAAQ0G,KAC5BsJ,EAAerQ,EAAM+G,IACF,KACjBwC,EAAO/H,KAAKkO,EAAmBW,IAInC,IAAIO,EAAcrH,EAAOlJ,OACrBwQ,EAAiBD,EAQrB,IALIA,GACFrH,EAAO/H,KA/FK,KAmGPqP,EAAiBP,GAAa,CAEnC,IAAIQ,EA7GK,WA8GT,IAAK/J,EAAI,EAAGA,EAAI/G,EAAMK,OAAQ0G,KAC5BsJ,EAAerQ,EAAM+G,KACD+E,GAAKuE,EAAeS,IACtCA,EAAIT,GAKR,IAAIU,EAAwBF,EAAiB,EAC7C,GAAIC,EAAIhF,EAAI9M,GAvHH,WAuHmB+Q,GAASgB,GACnC,MAAMC,WAAWvB,GAMnB,IAHAM,IAAUe,EAAIhF,GAAKiF,EACnBjF,EAAIgF,EAEC/J,EAAI,EAAGA,EAAI/G,EAAMK,OAAQ0G,IAAK,CAEjC,IADAsJ,EAAerQ,EAAM+G,IACF+E,KAAOiE,EAhInB,WAiIL,MAAMiB,WAAWvB,GAEnB,GAAIY,GAAgBvE,EAAG,CAGrB,IADA,IAAImF,EAAIlB,EACCG,EArIN,IAqIoCA,GArIpC,GAqI+C,CAChD,IAAIlE,EAAIkE,GAAKS,EArIZ,EAqI2BT,GAAKS,EApIhC,MAoIqDT,EAAIS,EAC1D,GAAIM,EAAIjF,EAAG,MACX,IAAIkF,EAAUD,EAAIjF,EACdmF,EAzIH,GAyIuBnF,EACxBzC,EAAO/H,KAAKkO,EAAmBE,EAAa5D,EAAIkF,EAAUC,KAC1DF,EAAIjS,EAAMkS,EAAUC,GAGtB5H,EAAO/H,KAAKkO,EAAmBE,EAAaqB,KAC5CN,EAAOb,EAAMC,EAAOgB,EAAuBF,GAAkBD,GAC7Db,EAAQ,IACNc,KAIJd,IACAjE,EAEJ,OAAOvC,EAAOjH,KAAK,KAGrByI,EAAOC,QAAU,SAAUhL,GACzB,IAEI+G,EAAGqK,EAFHC,EAAU,GACVC,EAAStR,EAAM2E,cAAcmC,QAAQ0I,EAAiB,KAAUnO,MAAM,KAE1E,IAAK0F,EAAI,EAAGA,EAAIuK,EAAOjR,OAAQ0G,IAC7BqK,EAAQE,EAAOvK,GACfsK,EAAQ7P,KAAK+N,EAAc7O,KAAK0Q,GAAS,OAAShB,EAAOgB,GAASA,GAEpE,OAAOC,EAAQ/O,KAAK,O,iCCpKtB,EAAQ,IACR,IAAI9E,EAAI,EAAQ,IACZ+T,EAAa,EAAQ,IACrB7T,EAAiB,EAAQ,KACzBG,EAAW,EAAQ,IACnB2T,EAAc,EAAQ,KACtBpT,EAAiB,EAAQ,IACzBqT,EAA4B,EAAQ,KACpCnT,EAAsB,EAAQ,IAC9BR,EAAa,EAAQ,IACrB4T,EAAS,EAAQ,GACjBpE,EAAO,EAAQ,IACfqE,EAAU,EAAQ,IAClBC,EAAW,EAAQ,GACnBC,EAAW,EAAQ,GACnBC,EAAS,EAAQ,IACjBC,EAA2B,EAAQ,IACnCC,EAAc,EAAQ,KACtBtD,EAAoB,EAAQ,KAC5BX,EAAkB,EAAQ,GAE1BkE,EAASV,EAAW,SACpBW,EAAUX,EAAW,WACrBtD,EAAWF,EAAgB,YAG3BnP,EAAmBN,EAAoBO,IACvCsT,EAAyB7T,EAAoBS,UAHzB,mBAIpBqT,EAA2B9T,EAAoBS,UAHlBsT,2BAK7BC,EAAO,MACPC,EAAYtD,MAAM,GAElBuD,EAAkB,SAAUC,GAC9B,OAAOF,EAAUE,EAAQ,KAAOF,EAAUE,EAAQ,GAAK/H,OAAO,qBAAuB+H,EAAQ,KAAM,QAGjGC,EAAgB,SAAUC,GAC5B,IACE,OAAOC,mBAAmBD,GAC1B,MAAOnJ,GACP,OAAOmJ,IAIPE,EAAc,SAAUC,GAC1B,IAAI7S,EAAS6S,EAAGhM,QAAQwL,EAAM,KAC1BG,EAAQ,EACZ,IACE,OAAOG,mBAAmB3S,GAC1B,MAAOuJ,GACP,KAAOiJ,GACLxS,EAASA,EAAO6G,QAAQ0L,EAAgBC,KAAUC,GAEpD,OAAOzS,IAIP8S,EAAO,eAEPjM,EAAU,CACZ,IAAK,MACL,IAAK,MACL,IAAK,MACL,IAAK,MACL,IAAK,MACL,MAAO,KAGLkM,EAAW,SAAUC,GACvB,OAAOnM,EAAQmM,IAGbC,EAAY,SAAUJ,GACxB,OAAO5P,mBAAmB4P,GAAIhM,QAAQiM,EAAMC,IAG1CG,EAAoB,SAAUlT,EAAQ2G,GACxC,GAAIA,EAIF,IAHA,IAEIwM,EAAWC,EAFXC,EAAa1M,EAAMvF,MAAM,KACzBlB,EAAQ,EAELA,EAAQmT,EAAWjT,SACxB+S,EAAYE,EAAWnT,MACTE,SACZgT,EAAQD,EAAU/R,MAAM,KACxBpB,EAAOuB,KAAK,CACV0M,IAAK2E,EAAYQ,EAAMnM,SACvBzF,MAAOoR,EAAYQ,EAAM/Q,KAAK,UAOpCyF,EAAqB,SAAUnB,GACjCU,KAAKiM,QAAQlT,OAAS,EACtB8S,EAAkB7L,KAAKiM,QAAS3M,IAG9B4M,EAA0B,SAAUC,EAAQC,GAC9C,GAAID,EAASC,EAAU,MAAM9L,UAAU,yBAGrC+L,EAA0BlC,GAA0B,SAAkBmC,EAAQC,GAChFjV,EAAiB0I,KAAM,CACrBK,KAjF6B0K,0BAkF7BxD,SAAUmD,EAAYG,EAAuByB,GAAQL,SACrDM,KAAMA,MAEP,YAAY,WACb,IAAIvN,EAAQ8L,EAAyB9K,MACjCuM,EAAOvN,EAAMuN,KACbjF,EAAOtI,EAAMuI,SAASC,OACtBuE,EAAQzE,EAAKnN,MAGf,OAFGmN,EAAKU,OACRV,EAAKnN,MAAiB,SAAToS,EAAkBR,EAAMnF,IAAe,WAAT2F,EAAoBR,EAAM5R,MAAQ,CAAC4R,EAAMnF,IAAKmF,EAAM5R,QACxFmN,KAKPkF,EAA6B,WAC/BhW,EAAWwJ,KAAMwM,EAnGK,mBAoGtB,IAGIzE,EAAgBR,EAAUC,EAAMF,EAAMmF,EAAeC,EAAWC,EAAO9P,EAAQ+J,EAH/EgG,EAAO3M,UAAUlH,OAAS,EAAIkH,UAAU,QAAKC,EAC7CH,EAAOC,KACPiM,EAAU,GAUd,GAPA3U,EAAiByI,EAAM,CACrBM,KA1GoB,kBA2GpB4L,QAASA,EACTvL,UAAW,aACXD,mBAAoBA,SAGTP,IAAT0M,EACF,GAAIrC,EAASqC,GAEX,GAA8B,mBAD9B7E,EAAiBX,EAAkBwF,IAIjC,IADApF,GADAD,EAAWQ,EAAelH,KAAK+L,IACfpF,OACPF,EAAOE,EAAK3G,KAAK0G,IAAWS,MAAM,CAGzC,IACG2E,GAFHD,GADAD,EAAgB/B,EAAYJ,EAAShD,EAAKnN,SAChBqN,MAEL3G,KAAK4L,IAAgBzE,OACvCnL,EAAS6P,EAAU7L,KAAK4L,IAAgBzE,OACxC0E,EAAU7L,KAAK4L,GAAezE,KAC/B,MAAM1H,UAAU,mCAClB2L,EAAQ/R,KAAK,CAAE0M,IAAK+F,EAAMxS,MAAQ,GAAIA,MAAO0C,EAAO1C,MAAQ,UAEzD,IAAKyM,KAAOgG,EAAUxC,EAAOwC,EAAMhG,IAAMqF,EAAQ/R,KAAK,CAAE0M,IAAKA,EAAKzM,MAAOyS,EAAKhG,GAAO,UAE5FiF,EAAkBI,EAAyB,iBAATW,EAAuC,MAAnBA,EAAK9T,OAAO,GAAa8T,EAAK3T,MAAM,GAAK2T,EAAOA,EAAO,KAK/GC,EAA2BL,EAA2BxK,UAE1DkI,EAAY2C,EAA0B,CAGpCC,OAAQ,SAAgBC,EAAM5S,GAC5B+R,EAAwBjM,UAAUlH,OAAQ,GAC1C,IAAIiG,EAAQ6L,EAAuB7K,MACnChB,EAAMiN,QAAQ/R,KAAK,CAAE0M,IAAKmG,EAAO,GAAI5S,MAAOA,EAAQ,KACpD6E,EAAM0B,aAIR,OAAU,SAAUqM,GAClBb,EAAwBjM,UAAUlH,OAAQ,GAK1C,IAJA,IAAIiG,EAAQ6L,EAAuB7K,MAC/BiM,EAAUjN,EAAMiN,QAChBrF,EAAMmG,EAAO,GACblU,EAAQ,EACLA,EAAQoT,EAAQlT,QACjBkT,EAAQpT,GAAO+N,MAAQA,EAAKqF,EAAQe,OAAOnU,EAAO,GACjDA,IAEPmG,EAAM0B,aAIR4B,IAAK,SAAayK,GAChBb,EAAwBjM,UAAUlH,OAAQ,GAI1C,IAHA,IAAIkT,EAAUpB,EAAuB7K,MAAMiM,QACvCrF,EAAMmG,EAAO,GACblU,EAAQ,EACLA,EAAQoT,EAAQlT,OAAQF,IAC7B,GAAIoT,EAAQpT,GAAO+N,MAAQA,EAAK,OAAOqF,EAAQpT,GAAOsB,MAExD,OAAO,MAIT8S,OAAQ,SAAgBF,GACtBb,EAAwBjM,UAAUlH,OAAQ,GAK1C,IAJA,IAAIkT,EAAUpB,EAAuB7K,MAAMiM,QACvCrF,EAAMmG,EAAO,GACbpU,EAAS,GACTE,EAAQ,EACLA,EAAQoT,EAAQlT,OAAQF,IACzBoT,EAAQpT,GAAO+N,MAAQA,GAAKjO,EAAOuB,KAAK+R,EAAQpT,GAAOsB,OAE7D,OAAOxB,GAITlC,IAAK,SAAasW,GAChBb,EAAwBjM,UAAUlH,OAAQ,GAI1C,IAHA,IAAIkT,EAAUpB,EAAuB7K,MAAMiM,QACvCrF,EAAMmG,EAAO,GACblU,EAAQ,EACLA,EAAQoT,EAAQlT,QACrB,GAAIkT,EAAQpT,KAAS+N,MAAQA,EAAK,OAAO,EAE3C,OAAO,GAITrP,IAAK,SAAawV,EAAM5S,GACtB+R,EAAwBjM,UAAUlH,OAAQ,GAQ1C,IAPA,IAMIgT,EANA/M,EAAQ6L,EAAuB7K,MAC/BiM,EAAUjN,EAAMiN,QAChBiB,GAAQ,EACRtG,EAAMmG,EAAO,GACbI,EAAMhT,EAAQ,GACdtB,EAAQ,EAELA,EAAQoT,EAAQlT,OAAQF,KAC7BkT,EAAQE,EAAQpT,IACN+N,MAAQA,IACZsG,EAAOjB,EAAQe,OAAOnU,IAAS,IAEjCqU,GAAQ,EACRnB,EAAM5R,MAAQgT,IAIfD,GAAOjB,EAAQ/R,KAAK,CAAE0M,IAAKA,EAAKzM,MAAOgT,IAC5CnO,EAAM0B,aAIRoG,KAAM,WACJ,IAIIiF,EAAOqB,EAAcC,EAJrBrO,EAAQ6L,EAAuB7K,MAC/BiM,EAAUjN,EAAMiN,QAEhBhT,EAAQgT,EAAQhT,QAGpB,IADAgT,EAAQlT,OAAS,EACZsU,EAAa,EAAGA,EAAapU,EAAMF,OAAQsU,IAAc,CAE5D,IADAtB,EAAQ9S,EAAMoU,GACTD,EAAe,EAAGA,EAAeC,EAAYD,IAChD,GAAInB,EAAQmB,GAAcxG,IAAMmF,EAAMnF,IAAK,CACzCqF,EAAQe,OAAOI,EAAc,EAAGrB,GAChC,MAGAqB,IAAiBC,GAAYpB,EAAQ/R,KAAK6R,GAEhD/M,EAAM0B,aAGRgF,QAAS,SAAiB4H,GAKxB,IAJA,IAGIvB,EAHAE,EAAUpB,EAAuB7K,MAAMiM,QACvCsB,EAAgBvH,EAAKsH,EAAUrN,UAAUlH,OAAS,EAAIkH,UAAU,QAAKC,EAAW,GAChFrH,EAAQ,EAELA,EAAQoT,EAAQlT,QAErBwU,GADAxB,EAAQE,EAAQpT,MACIsB,MAAO4R,EAAMnF,IAAK5G,OAI1CwN,KAAM,WACJ,OAAO,IAAInB,EAAwBrM,KAAM,SAG3CyN,OAAQ,WACN,OAAO,IAAIpB,EAAwBrM,KAAM,WAG3CiM,QAAS,WACP,OAAO,IAAII,EAAwBrM,KAAM,aAE1C,CAAEwC,YAAY,IAGjBjM,EAASsW,EAA0BlG,EAAUkG,EAAyBZ,SAItE1V,EAASsW,EAA0B,YAAY,WAK7C,IAJA,IAGId,EAHAE,EAAUpB,EAAuB7K,MAAMiM,QACvCtT,EAAS,GACTE,EAAQ,EAELA,EAAQoT,EAAQlT,QACrBgT,EAAQE,EAAQpT,KAChBF,EAAOuB,KAAK0R,EAAUG,EAAMnF,KAAO,IAAMgF,EAAUG,EAAM5R,QACzD,OAAOxB,EAAOqC,KAAK,OACpB,CAAEwH,YAAY,IAEjB1L,EAAe0V,EA3RS,mBA6RxBtW,EAAE,CAAEG,QAAQ,EAAM0M,QAAS3M,GAAkB,CAC3Ce,gBAAiBqV,IAKdpW,GAAmC,mBAAVuU,GAA0C,mBAAXC,GAC3D1U,EAAE,CAAEG,QAAQ,EAAMmM,YAAY,EAAMO,QAAQ,GAAQ,CAClD2K,MAAO,SAAehV,GACpB,IACIkU,EAAMe,EAAMC,EADZC,EAAO,CAACnV,GAkBV,OAhBEuH,UAAUlH,OAAS,IAEjBwR,EADJqC,EAAO3M,UAAU,MAEf0N,EAAOf,EAAKe,KA3SE,oBA4SVtD,EAAQsD,MACVC,EAAUhB,EAAKgB,QAAU,IAAIhD,EAAQgC,EAAKgB,SAAW,IAAIhD,GAC5CnU,IAAI,iBACfmX,EAAQrW,IAAI,eAAgB,mDAE9BqV,EAAOpC,EAAOoC,EAAM,CAClBe,KAAMlD,EAAyB,EAAGrK,OAAOuN,IACzCC,QAASnD,EAAyB,EAAGmD,OAI3CC,EAAK3T,KAAK0S,IACHjC,EAAO7H,MAAM9C,KAAM6N,MAKlCpK,EAAOC,QAAU,CACfvM,gBAAiBqV,EACjBnV,SAAUwT,I,oBCzVZ,IAAIP,EAAW,EAAQ,GACnBlD,EAAoB,EAAQ,KAEhC3D,EAAOC,QAAU,SAAU8H,GACzB,IAAIzD,EAAiBX,EAAkBoE,GACvC,GAA6B,mBAAlBzD,EACT,MAAMzH,UAAUF,OAAOoL,GAAM,oBAC7B,OAAOlB,EAASvC,EAAelH,KAAK2K","file":"vendors~editor-collab~editor-guest~editor-rich~files-modal.js?v=b526403ab64d5164f29b","sourcesContent":["'use strict';\n// TODO: in core-js@4, move /modules/ dependencies to public entries for better optimization by tools like `preset-env`\nrequire('../modules/es.string.iterator');\nvar $ = require('../internals/export');\nvar DESCRIPTORS = require('../internals/descriptors');\nvar USE_NATIVE_URL = require('../internals/native-url');\nvar global = require('../internals/global');\nvar defineProperties = require('../internals/object-define-properties');\nvar redefine = require('../internals/redefine');\nvar anInstance = require('../internals/an-instance');\nvar has = require('../internals/has');\nvar assign = require('../internals/object-assign');\nvar arrayFrom = require('../internals/array-from');\nvar codeAt = require('../internals/string-multibyte').codeAt;\nvar toASCII = require('../internals/string-punycode-to-ascii');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar URLSearchParamsModule = require('../modules/web.url-search-params');\nvar InternalStateModule = require('../internals/internal-state');\n\nvar NativeURL = global.URL;\nvar URLSearchParams = URLSearchParamsModule.URLSearchParams;\nvar getInternalSearchParamsState = URLSearchParamsModule.getState;\nvar setInternalState = InternalStateModule.set;\nvar getInternalURLState = InternalStateModule.getterFor('URL');\nvar floor = Math.floor;\nvar pow = Math.pow;\n\nvar INVALID_AUTHORITY = 'Invalid authority';\nvar INVALID_SCHEME = 'Invalid scheme';\nvar INVALID_HOST = 'Invalid host';\nvar INVALID_PORT = 'Invalid port';\n\nvar ALPHA = /[A-Za-z]/;\nvar ALPHANUMERIC = /[\\d+-.A-Za-z]/;\nvar DIGIT = /\\d/;\nvar HEX_START = /^(0x|0X)/;\nvar OCT = /^[0-7]+$/;\nvar DEC = /^\\d+$/;\nvar HEX = /^[\\dA-Fa-f]+$/;\n// eslint-disable-next-line no-control-regex\nvar FORBIDDEN_HOST_CODE_POINT = /[\\u0000\\u0009\\u000A\\u000D #%/:?@[\\\\]]/;\n// eslint-disable-next-line no-control-regex\nvar FORBIDDEN_HOST_CODE_POINT_EXCLUDING_PERCENT = /[\\u0000\\u0009\\u000A\\u000D #/:?@[\\\\]]/;\n// eslint-disable-next-line no-control-regex\nvar LEADING_AND_TRAILING_C0_CONTROL_OR_SPACE = /^[\\u0000-\\u001F ]+|[\\u0000-\\u001F ]+$/g;\n// eslint-disable-next-line no-control-regex\nvar TAB_AND_NEW_LINE = /[\\u0009\\u000A\\u000D]/g;\nvar EOF;\n\nvar parseHost = function (url, input) {\n var result, codePoints, index;\n if (input.charAt(0) == '[') {\n if (input.charAt(input.length - 1) != ']') return INVALID_HOST;\n result = parseIPv6(input.slice(1, -1));\n if (!result) return INVALID_HOST;\n url.host = result;\n // opaque host\n } else if (!isSpecial(url)) {\n if (FORBIDDEN_HOST_CODE_POINT_EXCLUDING_PERCENT.test(input)) return INVALID_HOST;\n result = '';\n codePoints = arrayFrom(input);\n for (index = 0; index < codePoints.length; index++) {\n result += percentEncode(codePoints[index], C0ControlPercentEncodeSet);\n }\n url.host = result;\n } else {\n input = toASCII(input);\n if (FORBIDDEN_HOST_CODE_POINT.test(input)) return INVALID_HOST;\n result = parseIPv4(input);\n if (result === null) return INVALID_HOST;\n url.host = result;\n }\n};\n\nvar parseIPv4 = function (input) {\n var parts = input.split('.');\n var partsLength, numbers, index, part, radix, number, ipv4;\n if (parts.length && parts[parts.length - 1] == '') {\n parts.pop();\n }\n partsLength = parts.length;\n if (partsLength > 4) return input;\n numbers = [];\n for (index = 0; index < partsLength; index++) {\n part = parts[index];\n if (part == '') return input;\n radix = 10;\n if (part.length > 1 && part.charAt(0) == '0') {\n radix = HEX_START.test(part) ? 16 : 8;\n part = part.slice(radix == 8 ? 1 : 2);\n }\n if (part === '') {\n number = 0;\n } else {\n if (!(radix == 10 ? DEC : radix == 8 ? OCT : HEX).test(part)) return input;\n number = parseInt(part, radix);\n }\n numbers.push(number);\n }\n for (index = 0; index < partsLength; index++) {\n number = numbers[index];\n if (index == partsLength - 1) {\n if (number >= pow(256, 5 - partsLength)) return null;\n } else if (number > 255) return null;\n }\n ipv4 = numbers.pop();\n for (index = 0; index < numbers.length; index++) {\n ipv4 += numbers[index] * pow(256, 3 - index);\n }\n return ipv4;\n};\n\n// eslint-disable-next-line max-statements\nvar parseIPv6 = function (input) {\n var address = [0, 0, 0, 0, 0, 0, 0, 0];\n var pieceIndex = 0;\n var compress = null;\n var pointer = 0;\n var value, length, numbersSeen, ipv4Piece, number, swaps, swap;\n\n var char = function () {\n return input.charAt(pointer);\n };\n\n if (char() == ':') {\n if (input.charAt(1) != ':') return;\n pointer += 2;\n pieceIndex++;\n compress = pieceIndex;\n }\n while (char()) {\n if (pieceIndex == 8) return;\n if (char() == ':') {\n if (compress !== null) return;\n pointer++;\n pieceIndex++;\n compress = pieceIndex;\n continue;\n }\n value = length = 0;\n while (length < 4 && HEX.test(char())) {\n value = value * 16 + parseInt(char(), 16);\n pointer++;\n length++;\n }\n if (char() == '.') {\n if (length == 0) return;\n pointer -= length;\n if (pieceIndex > 6) return;\n numbersSeen = 0;\n while (char()) {\n ipv4Piece = null;\n if (numbersSeen > 0) {\n if (char() == '.' && numbersSeen < 4) pointer++;\n else return;\n }\n if (!DIGIT.test(char())) return;\n while (DIGIT.test(char())) {\n number = parseInt(char(), 10);\n if (ipv4Piece === null) ipv4Piece = number;\n else if (ipv4Piece == 0) return;\n else ipv4Piece = ipv4Piece * 10 + number;\n if (ipv4Piece > 255) return;\n pointer++;\n }\n address[pieceIndex] = address[pieceIndex] * 256 + ipv4Piece;\n numbersSeen++;\n if (numbersSeen == 2 || numbersSeen == 4) pieceIndex++;\n }\n if (numbersSeen != 4) return;\n break;\n } else if (char() == ':') {\n pointer++;\n if (!char()) return;\n } else if (char()) return;\n address[pieceIndex++] = value;\n }\n if (compress !== null) {\n swaps = pieceIndex - compress;\n pieceIndex = 7;\n while (pieceIndex != 0 && swaps > 0) {\n swap = address[pieceIndex];\n address[pieceIndex--] = address[compress + swaps - 1];\n address[compress + --swaps] = swap;\n }\n } else if (pieceIndex != 8) return;\n return address;\n};\n\nvar findLongestZeroSequence = function (ipv6) {\n var maxIndex = null;\n var maxLength = 1;\n var currStart = null;\n var currLength = 0;\n var index = 0;\n for (; index < 8; index++) {\n if (ipv6[index] !== 0) {\n if (currLength > maxLength) {\n maxIndex = currStart;\n maxLength = currLength;\n }\n currStart = null;\n currLength = 0;\n } else {\n if (currStart === null) currStart = index;\n ++currLength;\n }\n }\n if (currLength > maxLength) {\n maxIndex = currStart;\n maxLength = currLength;\n }\n return maxIndex;\n};\n\nvar serializeHost = function (host) {\n var result, index, compress, ignore0;\n // ipv4\n if (typeof host == 'number') {\n result = [];\n for (index = 0; index < 4; index++) {\n result.unshift(host % 256);\n host = floor(host / 256);\n } return result.join('.');\n // ipv6\n } else if (typeof host == 'object') {\n result = '';\n compress = findLongestZeroSequence(host);\n for (index = 0; index < 8; index++) {\n if (ignore0 && host[index] === 0) continue;\n if (ignore0) ignore0 = false;\n if (compress === index) {\n result += index ? ':' : '::';\n ignore0 = true;\n } else {\n result += host[index].toString(16);\n if (index < 7) result += ':';\n }\n }\n return '[' + result + ']';\n } return host;\n};\n\nvar C0ControlPercentEncodeSet = {};\nvar fragmentPercentEncodeSet = assign({}, C0ControlPercentEncodeSet, {\n ' ': 1, '\"': 1, '<': 1, '>': 1, '`': 1\n});\nvar pathPercentEncodeSet = assign({}, fragmentPercentEncodeSet, {\n '#': 1, '?': 1, '{': 1, '}': 1\n});\nvar userinfoPercentEncodeSet = assign({}, pathPercentEncodeSet, {\n '/': 1, ':': 1, ';': 1, '=': 1, '@': 1, '[': 1, '\\\\': 1, ']': 1, '^': 1, '|': 1\n});\n\nvar percentEncode = function (char, set) {\n var code = codeAt(char, 0);\n return code > 0x20 && code < 0x7F && !has(set, char) ? char : encodeURIComponent(char);\n};\n\nvar specialSchemes = {\n ftp: 21,\n file: null,\n http: 80,\n https: 443,\n ws: 80,\n wss: 443\n};\n\nvar isSpecial = function (url) {\n return has(specialSchemes, url.scheme);\n};\n\nvar includesCredentials = function (url) {\n return url.username != '' || url.password != '';\n};\n\nvar cannotHaveUsernamePasswordPort = function (url) {\n return !url.host || url.cannotBeABaseURL || url.scheme == 'file';\n};\n\nvar isWindowsDriveLetter = function (string, normalized) {\n var second;\n return string.length == 2 && ALPHA.test(string.charAt(0))\n && ((second = string.charAt(1)) == ':' || (!normalized && second == '|'));\n};\n\nvar startsWithWindowsDriveLetter = function (string) {\n var third;\n return string.length > 1 && isWindowsDriveLetter(string.slice(0, 2)) && (\n string.length == 2 ||\n ((third = string.charAt(2)) === '/' || third === '\\\\' || third === '?' || third === '#')\n );\n};\n\nvar shortenURLsPath = function (url) {\n var path = url.path;\n var pathSize = path.length;\n if (pathSize && (url.scheme != 'file' || pathSize != 1 || !isWindowsDriveLetter(path[0], true))) {\n path.pop();\n }\n};\n\nvar isSingleDot = function (segment) {\n return segment === '.' || segment.toLowerCase() === '%2e';\n};\n\nvar isDoubleDot = function (segment) {\n segment = segment.toLowerCase();\n return segment === '..' || segment === '%2e.' || segment === '.%2e' || segment === '%2e%2e';\n};\n\n// States:\nvar SCHEME_START = {};\nvar SCHEME = {};\nvar NO_SCHEME = {};\nvar SPECIAL_RELATIVE_OR_AUTHORITY = {};\nvar PATH_OR_AUTHORITY = {};\nvar RELATIVE = {};\nvar RELATIVE_SLASH = {};\nvar SPECIAL_AUTHORITY_SLASHES = {};\nvar SPECIAL_AUTHORITY_IGNORE_SLASHES = {};\nvar AUTHORITY = {};\nvar HOST = {};\nvar HOSTNAME = {};\nvar PORT = {};\nvar FILE = {};\nvar FILE_SLASH = {};\nvar FILE_HOST = {};\nvar PATH_START = {};\nvar PATH = {};\nvar CANNOT_BE_A_BASE_URL_PATH = {};\nvar QUERY = {};\nvar FRAGMENT = {};\n\n// eslint-disable-next-line max-statements\nvar parseURL = function (url, input, stateOverride, base) {\n var state = stateOverride || SCHEME_START;\n var pointer = 0;\n var buffer = '';\n var seenAt = false;\n var seenBracket = false;\n var seenPasswordToken = false;\n var codePoints, char, bufferCodePoints, failure;\n\n if (!stateOverride) {\n url.scheme = '';\n url.username = '';\n url.password = '';\n url.host = null;\n url.port = null;\n url.path = [];\n url.query = null;\n url.fragment = null;\n url.cannotBeABaseURL = false;\n input = input.replace(LEADING_AND_TRAILING_C0_CONTROL_OR_SPACE, '');\n }\n\n input = input.replace(TAB_AND_NEW_LINE, '');\n\n codePoints = arrayFrom(input);\n\n while (pointer <= codePoints.length) {\n char = codePoints[pointer];\n switch (state) {\n case SCHEME_START:\n if (char && ALPHA.test(char)) {\n buffer += char.toLowerCase();\n state = SCHEME;\n } else if (!stateOverride) {\n state = NO_SCHEME;\n continue;\n } else return INVALID_SCHEME;\n break;\n\n case SCHEME:\n if (char && (ALPHANUMERIC.test(char) || char == '+' || char == '-' || char == '.')) {\n buffer += char.toLowerCase();\n } else if (char == ':') {\n if (stateOverride && (\n (isSpecial(url) != has(specialSchemes, buffer)) ||\n (buffer == 'file' && (includesCredentials(url) || url.port !== null)) ||\n (url.scheme == 'file' && !url.host)\n )) return;\n url.scheme = buffer;\n if (stateOverride) {\n if (isSpecial(url) && specialSchemes[url.scheme] == url.port) url.port = null;\n return;\n }\n buffer = '';\n if (url.scheme == 'file') {\n state = FILE;\n } else if (isSpecial(url) && base && base.scheme == url.scheme) {\n state = SPECIAL_RELATIVE_OR_AUTHORITY;\n } else if (isSpecial(url)) {\n state = SPECIAL_AUTHORITY_SLASHES;\n } else if (codePoints[pointer + 1] == '/') {\n state = PATH_OR_AUTHORITY;\n pointer++;\n } else {\n url.cannotBeABaseURL = true;\n url.path.push('');\n state = CANNOT_BE_A_BASE_URL_PATH;\n }\n } else if (!stateOverride) {\n buffer = '';\n state = NO_SCHEME;\n pointer = 0;\n continue;\n } else return INVALID_SCHEME;\n break;\n\n case NO_SCHEME:\n if (!base || (base.cannotBeABaseURL && char != '#')) return INVALID_SCHEME;\n if (base.cannotBeABaseURL && char == '#') {\n url.scheme = base.scheme;\n url.path = base.path.slice();\n url.query = base.query;\n url.fragment = '';\n url.cannotBeABaseURL = true;\n state = FRAGMENT;\n break;\n }\n state = base.scheme == 'file' ? FILE : RELATIVE;\n continue;\n\n case SPECIAL_RELATIVE_OR_AUTHORITY:\n if (char == '/' && codePoints[pointer + 1] == '/') {\n state = SPECIAL_AUTHORITY_IGNORE_SLASHES;\n pointer++;\n } else {\n state = RELATIVE;\n continue;\n } break;\n\n case PATH_OR_AUTHORITY:\n if (char == '/') {\n state = AUTHORITY;\n break;\n } else {\n state = PATH;\n continue;\n }\n\n case RELATIVE:\n url.scheme = base.scheme;\n if (char == EOF) {\n url.username = base.username;\n url.password = base.password;\n url.host = base.host;\n url.port = base.port;\n url.path = base.path.slice();\n url.query = base.query;\n } else if (char == '/' || (char == '\\\\' && isSpecial(url))) {\n state = RELATIVE_SLASH;\n } else if (char == '?') {\n url.username = base.username;\n url.password = base.password;\n url.host = base.host;\n url.port = base.port;\n url.path = base.path.slice();\n url.query = '';\n state = QUERY;\n } else if (char == '#') {\n url.username = base.username;\n url.password = base.password;\n url.host = base.host;\n url.port = base.port;\n url.path = base.path.slice();\n url.query = base.query;\n url.fragment = '';\n state = FRAGMENT;\n } else {\n url.username = base.username;\n url.password = base.password;\n url.host = base.host;\n url.port = base.port;\n url.path = base.path.slice();\n url.path.pop();\n state = PATH;\n continue;\n } break;\n\n case RELATIVE_SLASH:\n if (isSpecial(url) && (char == '/' || char == '\\\\')) {\n state = SPECIAL_AUTHORITY_IGNORE_SLASHES;\n } else if (char == '/') {\n state = AUTHORITY;\n } else {\n url.username = base.username;\n url.password = base.password;\n url.host = base.host;\n url.port = base.port;\n state = PATH;\n continue;\n } break;\n\n case SPECIAL_AUTHORITY_SLASHES:\n state = SPECIAL_AUTHORITY_IGNORE_SLASHES;\n if (char != '/' || buffer.charAt(pointer + 1) != '/') continue;\n pointer++;\n break;\n\n case SPECIAL_AUTHORITY_IGNORE_SLASHES:\n if (char != '/' && char != '\\\\') {\n state = AUTHORITY;\n continue;\n } break;\n\n case AUTHORITY:\n if (char == '@') {\n if (seenAt) buffer = '%40' + buffer;\n seenAt = true;\n bufferCodePoints = arrayFrom(buffer);\n for (var i = 0; i < bufferCodePoints.length; i++) {\n var codePoint = bufferCodePoints[i];\n if (codePoint == ':' && !seenPasswordToken) {\n seenPasswordToken = true;\n continue;\n }\n var encodedCodePoints = percentEncode(codePoint, userinfoPercentEncodeSet);\n if (seenPasswordToken) url.password += encodedCodePoints;\n else url.username += encodedCodePoints;\n }\n buffer = '';\n } else if (\n char == EOF || char == '/' || char == '?' || char == '#' ||\n (char == '\\\\' && isSpecial(url))\n ) {\n if (seenAt && buffer == '') return INVALID_AUTHORITY;\n pointer -= arrayFrom(buffer).length + 1;\n buffer = '';\n state = HOST;\n } else buffer += char;\n break;\n\n case HOST:\n case HOSTNAME:\n if (stateOverride && url.scheme == 'file') {\n state = FILE_HOST;\n continue;\n } else if (char == ':' && !seenBracket) {\n if (buffer == '') return INVALID_HOST;\n failure = parseHost(url, buffer);\n if (failure) return failure;\n buffer = '';\n state = PORT;\n if (stateOverride == HOSTNAME) return;\n } else if (\n char == EOF || char == '/' || char == '?' || char == '#' ||\n (char == '\\\\' && isSpecial(url))\n ) {\n if (isSpecial(url) && buffer == '') return INVALID_HOST;\n if (stateOverride && buffer == '' && (includesCredentials(url) || url.port !== null)) return;\n failure = parseHost(url, buffer);\n if (failure) return failure;\n buffer = '';\n state = PATH_START;\n if (stateOverride) return;\n continue;\n } else {\n if (char == '[') seenBracket = true;\n else if (char == ']') seenBracket = false;\n buffer += char;\n } break;\n\n case PORT:\n if (DIGIT.test(char)) {\n buffer += char;\n } else if (\n char == EOF || char == '/' || char == '?' || char == '#' ||\n (char == '\\\\' && isSpecial(url)) ||\n stateOverride\n ) {\n if (buffer != '') {\n var port = parseInt(buffer, 10);\n if (port > 0xFFFF) return INVALID_PORT;\n url.port = (isSpecial(url) && port === specialSchemes[url.scheme]) ? null : port;\n buffer = '';\n }\n if (stateOverride) return;\n state = PATH_START;\n continue;\n } else return INVALID_PORT;\n break;\n\n case FILE:\n url.scheme = 'file';\n if (char == '/' || char == '\\\\') state = FILE_SLASH;\n else if (base && base.scheme == 'file') {\n if (char == EOF) {\n url.host = base.host;\n url.path = base.path.slice();\n url.query = base.query;\n } else if (char == '?') {\n url.host = base.host;\n url.path = base.path.slice();\n url.query = '';\n state = QUERY;\n } else if (char == '#') {\n url.host = base.host;\n url.path = base.path.slice();\n url.query = base.query;\n url.fragment = '';\n state = FRAGMENT;\n } else {\n if (!startsWithWindowsDriveLetter(codePoints.slice(pointer).join(''))) {\n url.host = base.host;\n url.path = base.path.slice();\n shortenURLsPath(url);\n }\n state = PATH;\n continue;\n }\n } else {\n state = PATH;\n continue;\n } break;\n\n case FILE_SLASH:\n if (char == '/' || char == '\\\\') {\n state = FILE_HOST;\n break;\n }\n if (base && base.scheme == 'file' && !startsWithWindowsDriveLetter(codePoints.slice(pointer).join(''))) {\n if (isWindowsDriveLetter(base.path[0], true)) url.path.push(base.path[0]);\n else url.host = base.host;\n }\n state = PATH;\n continue;\n\n case FILE_HOST:\n if (char == EOF || char == '/' || char == '\\\\' || char == '?' || char == '#') {\n if (!stateOverride && isWindowsDriveLetter(buffer)) {\n state = PATH;\n } else if (buffer == '') {\n url.host = '';\n if (stateOverride) return;\n state = PATH_START;\n } else {\n failure = parseHost(url, buffer);\n if (failure) return failure;\n if (url.host == 'localhost') url.host = '';\n if (stateOverride) return;\n buffer = '';\n state = PATH_START;\n } continue;\n } else buffer += char;\n break;\n\n case PATH_START:\n if (isSpecial(url)) {\n state = PATH;\n if (char != '/' && char != '\\\\') continue;\n } else if (!stateOverride && char == '?') {\n url.query = '';\n state = QUERY;\n } else if (!stateOverride && char == '#') {\n url.fragment = '';\n state = FRAGMENT;\n } else if (char != EOF) {\n state = PATH;\n if (char != '/') continue;\n } break;\n\n case PATH:\n if (\n char == EOF || char == '/' ||\n (char == '\\\\' && isSpecial(url)) ||\n (!stateOverride && (char == '?' || char == '#'))\n ) {\n if (isDoubleDot(buffer)) {\n shortenURLsPath(url);\n if (char != '/' && !(char == '\\\\' && isSpecial(url))) {\n url.path.push('');\n }\n } else if (isSingleDot(buffer)) {\n if (char != '/' && !(char == '\\\\' && isSpecial(url))) {\n url.path.push('');\n }\n } else {\n if (url.scheme == 'file' && !url.path.length && isWindowsDriveLetter(buffer)) {\n if (url.host) url.host = '';\n buffer = buffer.charAt(0) + ':'; // normalize windows drive letter\n }\n url.path.push(buffer);\n }\n buffer = '';\n if (url.scheme == 'file' && (char == EOF || char == '?' || char == '#')) {\n while (url.path.length > 1 && url.path[0] === '') {\n url.path.shift();\n }\n }\n if (char == '?') {\n url.query = '';\n state = QUERY;\n } else if (char == '#') {\n url.fragment = '';\n state = FRAGMENT;\n }\n } else {\n buffer += percentEncode(char, pathPercentEncodeSet);\n } break;\n\n case CANNOT_BE_A_BASE_URL_PATH:\n if (char == '?') {\n url.query = '';\n state = QUERY;\n } else if (char == '#') {\n url.fragment = '';\n state = FRAGMENT;\n } else if (char != EOF) {\n url.path[0] += percentEncode(char, C0ControlPercentEncodeSet);\n } break;\n\n case QUERY:\n if (!stateOverride && char == '#') {\n url.fragment = '';\n state = FRAGMENT;\n } else if (char != EOF) {\n if (char == \"'\" && isSpecial(url)) url.query += '%27';\n else if (char == '#') url.query += '%23';\n else url.query += percentEncode(char, C0ControlPercentEncodeSet);\n } break;\n\n case FRAGMENT:\n if (char != EOF) url.fragment += percentEncode(char, fragmentPercentEncodeSet);\n break;\n }\n\n pointer++;\n }\n};\n\n// `URL` constructor\n// https://url.spec.whatwg.org/#url-class\nvar URLConstructor = function URL(url /* , base */) {\n var that = anInstance(this, URLConstructor, 'URL');\n var base = arguments.length > 1 ? arguments[1] : undefined;\n var urlString = String(url);\n var state = setInternalState(that, { type: 'URL' });\n var baseState, failure;\n if (base !== undefined) {\n if (base instanceof URLConstructor) baseState = getInternalURLState(base);\n else {\n failure = parseURL(baseState = {}, String(base));\n if (failure) throw TypeError(failure);\n }\n }\n failure = parseURL(state, urlString, null, baseState);\n if (failure) throw TypeError(failure);\n var searchParams = state.searchParams = new URLSearchParams();\n var searchParamsState = getInternalSearchParamsState(searchParams);\n searchParamsState.updateSearchParams(state.query);\n searchParamsState.updateURL = function () {\n state.query = String(searchParams) || null;\n };\n if (!DESCRIPTORS) {\n that.href = serializeURL.call(that);\n that.origin = getOrigin.call(that);\n that.protocol = getProtocol.call(that);\n that.username = getUsername.call(that);\n that.password = getPassword.call(that);\n that.host = getHost.call(that);\n that.hostname = getHostname.call(that);\n that.port = getPort.call(that);\n that.pathname = getPathname.call(that);\n that.search = getSearch.call(that);\n that.searchParams = getSearchParams.call(that);\n that.hash = getHash.call(that);\n }\n};\n\nvar URLPrototype = URLConstructor.prototype;\n\nvar serializeURL = function () {\n var url = getInternalURLState(this);\n var scheme = url.scheme;\n var username = url.username;\n var password = url.password;\n var host = url.host;\n var port = url.port;\n var path = url.path;\n var query = url.query;\n var fragment = url.fragment;\n var output = scheme + ':';\n if (host !== null) {\n output += '//';\n if (includesCredentials(url)) {\n output += username + (password ? ':' + password : '') + '@';\n }\n output += serializeHost(host);\n if (port !== null) output += ':' + port;\n } else if (scheme == 'file') output += '//';\n output += url.cannotBeABaseURL ? path[0] : path.length ? '/' + path.join('/') : '';\n if (query !== null) output += '?' + query;\n if (fragment !== null) output += '#' + fragment;\n return output;\n};\n\nvar getOrigin = function () {\n var url = getInternalURLState(this);\n var scheme = url.scheme;\n var port = url.port;\n if (scheme == 'blob') try {\n return new URL(scheme.path[0]).origin;\n } catch (error) {\n return 'null';\n }\n if (scheme == 'file' || !isSpecial(url)) return 'null';\n return scheme + '://' + serializeHost(url.host) + (port !== null ? ':' + port : '');\n};\n\nvar getProtocol = function () {\n return getInternalURLState(this).scheme + ':';\n};\n\nvar getUsername = function () {\n return getInternalURLState(this).username;\n};\n\nvar getPassword = function () {\n return getInternalURLState(this).password;\n};\n\nvar getHost = function () {\n var url = getInternalURLState(this);\n var host = url.host;\n var port = url.port;\n return host === null ? ''\n : port === null ? serializeHost(host)\n : serializeHost(host) + ':' + port;\n};\n\nvar getHostname = function () {\n var host = getInternalURLState(this).host;\n return host === null ? '' : serializeHost(host);\n};\n\nvar getPort = function () {\n var port = getInternalURLState(this).port;\n return port === null ? '' : String(port);\n};\n\nvar getPathname = function () {\n var url = getInternalURLState(this);\n var path = url.path;\n return url.cannotBeABaseURL ? path[0] : path.length ? '/' + path.join('/') : '';\n};\n\nvar getSearch = function () {\n var query = getInternalURLState(this).query;\n return query ? '?' + query : '';\n};\n\nvar getSearchParams = function () {\n return getInternalURLState(this).searchParams;\n};\n\nvar getHash = function () {\n var fragment = getInternalURLState(this).fragment;\n return fragment ? '#' + fragment : '';\n};\n\nvar accessorDescriptor = function (getter, setter) {\n return { get: getter, set: setter, configurable: true, enumerable: true };\n};\n\nif (DESCRIPTORS) {\n defineProperties(URLPrototype, {\n // `URL.prototype.href` accessors pair\n // https://url.spec.whatwg.org/#dom-url-href\n href: accessorDescriptor(serializeURL, function (href) {\n var url = getInternalURLState(this);\n var urlString = String(href);\n var failure = parseURL(url, urlString);\n if (failure) throw TypeError(failure);\n getInternalSearchParamsState(url.searchParams).updateSearchParams(url.query);\n }),\n // `URL.prototype.origin` getter\n // https://url.spec.whatwg.org/#dom-url-origin\n origin: accessorDescriptor(getOrigin),\n // `URL.prototype.protocol` accessors pair\n // https://url.spec.whatwg.org/#dom-url-protocol\n protocol: accessorDescriptor(getProtocol, function (protocol) {\n var url = getInternalURLState(this);\n parseURL(url, String(protocol) + ':', SCHEME_START);\n }),\n // `URL.prototype.username` accessors pair\n // https://url.spec.whatwg.org/#dom-url-username\n username: accessorDescriptor(getUsername, function (username) {\n var url = getInternalURLState(this);\n var codePoints = arrayFrom(String(username));\n if (cannotHaveUsernamePasswordPort(url)) return;\n url.username = '';\n for (var i = 0; i < codePoints.length; i++) {\n url.username += percentEncode(codePoints[i], userinfoPercentEncodeSet);\n }\n }),\n // `URL.prototype.password` accessors pair\n // https://url.spec.whatwg.org/#dom-url-password\n password: accessorDescriptor(getPassword, function (password) {\n var url = getInternalURLState(this);\n var codePoints = arrayFrom(String(password));\n if (cannotHaveUsernamePasswordPort(url)) return;\n url.password = '';\n for (var i = 0; i < codePoints.length; i++) {\n url.password += percentEncode(codePoints[i], userinfoPercentEncodeSet);\n }\n }),\n // `URL.prototype.host` accessors pair\n // https://url.spec.whatwg.org/#dom-url-host\n host: accessorDescriptor(getHost, function (host) {\n var url = getInternalURLState(this);\n if (url.cannotBeABaseURL) return;\n parseURL(url, String(host), HOST);\n }),\n // `URL.prototype.hostname` accessors pair\n // https://url.spec.whatwg.org/#dom-url-hostname\n hostname: accessorDescriptor(getHostname, function (hostname) {\n var url = getInternalURLState(this);\n if (url.cannotBeABaseURL) return;\n parseURL(url, String(hostname), HOSTNAME);\n }),\n // `URL.prototype.port` accessors pair\n // https://url.spec.whatwg.org/#dom-url-port\n port: accessorDescriptor(getPort, function (port) {\n var url = getInternalURLState(this);\n if (cannotHaveUsernamePasswordPort(url)) return;\n port = String(port);\n if (port == '') url.port = null;\n else parseURL(url, port, PORT);\n }),\n // `URL.prototype.pathname` accessors pair\n // https://url.spec.whatwg.org/#dom-url-pathname\n pathname: accessorDescriptor(getPathname, function (pathname) {\n var url = getInternalURLState(this);\n if (url.cannotBeABaseURL) return;\n url.path = [];\n parseURL(url, pathname + '', PATH_START);\n }),\n // `URL.prototype.search` accessors pair\n // https://url.spec.whatwg.org/#dom-url-search\n search: accessorDescriptor(getSearch, function (search) {\n var url = getInternalURLState(this);\n search = String(search);\n if (search == '') {\n url.query = null;\n } else {\n if ('?' == search.charAt(0)) search = search.slice(1);\n url.query = '';\n parseURL(url, search, QUERY);\n }\n getInternalSearchParamsState(url.searchParams).updateSearchParams(url.query);\n }),\n // `URL.prototype.searchParams` getter\n // https://url.spec.whatwg.org/#dom-url-searchparams\n searchParams: accessorDescriptor(getSearchParams),\n // `URL.prototype.hash` accessors pair\n // https://url.spec.whatwg.org/#dom-url-hash\n hash: accessorDescriptor(getHash, function (hash) {\n var url = getInternalURLState(this);\n hash = String(hash);\n if (hash == '') {\n url.fragment = null;\n return;\n }\n if ('#' == hash.charAt(0)) hash = hash.slice(1);\n url.fragment = '';\n parseURL(url, hash, FRAGMENT);\n })\n });\n}\n\n// `URL.prototype.toJSON` method\n// https://url.spec.whatwg.org/#dom-url-tojson\nredefine(URLPrototype, 'toJSON', function toJSON() {\n return serializeURL.call(this);\n}, { enumerable: true });\n\n// `URL.prototype.toString` method\n// https://url.spec.whatwg.org/#URL-stringification-behavior\nredefine(URLPrototype, 'toString', function toString() {\n return serializeURL.call(this);\n}, { enumerable: true });\n\nif (NativeURL) {\n var nativeCreateObjectURL = NativeURL.createObjectURL;\n var nativeRevokeObjectURL = NativeURL.revokeObjectURL;\n // `URL.createObjectURL` method\n // https://developer.mozilla.org/en-US/docs/Web/API/URL/createObjectURL\n // eslint-disable-next-line no-unused-vars\n if (nativeCreateObjectURL) redefine(URLConstructor, 'createObjectURL', function createObjectURL(blob) {\n return nativeCreateObjectURL.apply(NativeURL, arguments);\n });\n // `URL.revokeObjectURL` method\n // https://developer.mozilla.org/en-US/docs/Web/API/URL/revokeObjectURL\n // eslint-disable-next-line no-unused-vars\n if (nativeRevokeObjectURL) redefine(URLConstructor, 'revokeObjectURL', function revokeObjectURL(url) {\n return nativeRevokeObjectURL.apply(NativeURL, arguments);\n });\n}\n\nsetToStringTag(URLConstructor, 'URL');\n\n$({ global: true, forced: !USE_NATIVE_URL, sham: !DESCRIPTORS }, {\n URL: URLConstructor\n});\n","var requireObjectCoercible = require('../internals/require-object-coercible');\nvar whitespaces = require('../internals/whitespaces');\n\nvar whitespace = '[' + whitespaces + ']';\nvar ltrim = RegExp('^' + whitespace + whitespace + '*');\nvar rtrim = RegExp(whitespace + whitespace + '*$');\n\n// `String.prototype.{ trim, trimStart, trimEnd, trimLeft, trimRight }` methods implementation\nvar createMethod = function (TYPE) {\n return function ($this) {\n var string = String(requireObjectCoercible($this));\n if (TYPE & 1) string = string.replace(ltrim, '');\n if (TYPE & 2) string = string.replace(rtrim, '');\n return string;\n };\n};\n\nmodule.exports = {\n // `String.prototype.{ trimLeft, trimStart }` methods\n // https://tc39.github.io/ecma262/#sec-string.prototype.trimstart\n start: createMethod(1),\n // `String.prototype.{ trimRight, trimEnd }` methods\n // https://tc39.github.io/ecma262/#sec-string.prototype.trimend\n end: createMethod(2),\n // `String.prototype.trim` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.trim\n trim: createMethod(3)\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar $map = require('../internals/array-iteration').map;\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('map');\n// FF49- issue\nvar USES_TO_LENGTH = arrayMethodUsesToLength('map');\n\n// `Array.prototype.map` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.map\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n map: function map(callbackfn /* , thisArg */) {\n return $map(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","!function(e,n){\"object\"==typeof exports&&\"undefined\"!=typeof module?module.exports=n():\"function\"==typeof define&&define.amd?define(n):e[\"v-click-outside\"]=n()}(this,function(){var e=\"__v-click-outside\",n=\"undefined\"!=typeof window,t=\"undefined\"!=typeof navigator,i=n&&(\"ontouchstart\"in window||t&&navigator.msMaxTouchPoints>0)?[\"touchstart\"]:[\"click\"];function o(n,t){var o=function(e){var n=\"function\"==typeof e;if(!n&&\"object\"!=typeof e)throw new Error(\"v-click-outside: Binding value must be a function or an object\");return{handler:n?e:e.handler,middleware:e.middleware||function(e){return e},events:e.events||i,isActive:!(!1===e.isActive)}}(t.value),r=o.handler,d=o.middleware;o.isActive&&(n[e]=o.events.map(function(e){return{event:e,handler:function(e){return function(e){var n=e.el,t=e.event,i=e.handler,o=e.middleware,r=t.path||t.composedPath&&t.composedPath(),d=r?r.indexOf(n)<0:!n.contains(t.target);t.target!==n&&d&&o(t)&&i(t)}({event:e,el:n,handler:r,middleware:d})}}}),n[e].forEach(function(t){var i=t.event,o=t.handler;return setTimeout(function(){n[e]&&document.documentElement.addEventListener(i,o,!1)},0)}))}function r(n){(n[e]||[]).forEach(function(e){return document.documentElement.removeEventListener(e.event,e.handler,!1)}),delete n[e]}var d=n?{bind:o,update:function(e,n){var t=n.value,i=n.oldValue;JSON.stringify(t)!==JSON.stringify(i)&&(r(e),o(e,{value:t}))},unbind:r}:{};return{install:function(e){e.directive(\"click-outside\",d)},directive:d}});\n//# sourceMappingURL=v-click-outside.umd.js.map\n","// a string of all valid unicode whitespaces\n// eslint-disable-next-line max-len\nmodule.exports = '\\u0009\\u000A\\u000B\\u000C\\u000D\\u0020\\u00A0\\u1680\\u2000\\u2001\\u2002\\u2003\\u2004\\u2005\\u2006\\u2007\\u2008\\u2009\\u200A\\u202F\\u205F\\u3000\\u2028\\u2029\\uFEFF';\n","var fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\n\nvar ITERATOR = wellKnownSymbol('iterator');\n\nmodule.exports = !fails(function () {\n var url = new URL('b?a=1&b=2&c=3', 'http://a');\n var searchParams = url.searchParams;\n var result = '';\n url.pathname = 'c%20d';\n searchParams.forEach(function (value, key) {\n searchParams['delete']('b');\n result += key + value;\n });\n return (IS_PURE && !url.toJSON)\n || !searchParams.sort\n || url.href !== 'http://a/c%20d?a=1&c=3'\n || searchParams.get('c') !== '3'\n || String(new URLSearchParams('?a=1')) !== 'a=1'\n || !searchParams[ITERATOR]\n // throws in Edge\n || new URL('https://a@b').username !== 'a'\n || new URLSearchParams(new URLSearchParams('a=b')).get('a') !== 'b'\n // not punycoded in Edge\n || new URL('http://тест').host !== 'xn--e1aybc'\n // not escaped in Chrome 62-\n || new URL('http://a#б').hash !== '#%D0%B1'\n // fails in Chrome 66-\n || result !== 'a1c3'\n // throws in Safari\n || new URL('http://x', undefined).host !== 'x';\n});\n","'use strict';\nvar bind = require('../internals/function-bind-context');\nvar toObject = require('../internals/to-object');\nvar callWithSafeIterationClosing = require('../internals/call-with-safe-iteration-closing');\nvar isArrayIteratorMethod = require('../internals/is-array-iterator-method');\nvar toLength = require('../internals/to-length');\nvar createProperty = require('../internals/create-property');\nvar getIteratorMethod = require('../internals/get-iterator-method');\n\n// `Array.from` method implementation\n// https://tc39.github.io/ecma262/#sec-array.from\nmodule.exports = function from(arrayLike /* , mapfn = undefined, thisArg = undefined */) {\n var O = toObject(arrayLike);\n var C = typeof this == 'function' ? this : Array;\n var argumentsLength = arguments.length;\n var mapfn = argumentsLength > 1 ? arguments[1] : undefined;\n var mapping = mapfn !== undefined;\n var iteratorMethod = getIteratorMethod(O);\n var index = 0;\n var length, result, step, iterator, next, value;\n if (mapping) mapfn = bind(mapfn, argumentsLength > 2 ? arguments[2] : undefined, 2);\n // if the target is not iterable or it's an array with the default iterator - use a simple case\n if (iteratorMethod != undefined && !(C == Array && isArrayIteratorMethod(iteratorMethod))) {\n iterator = iteratorMethod.call(O);\n next = iterator.next;\n result = new C();\n for (;!(step = next.call(iterator)).done; index++) {\n value = mapping ? callWithSafeIterationClosing(iterator, mapfn, [step.value, index], true) : step.value;\n createProperty(result, index, value);\n }\n } else {\n length = toLength(O.length);\n result = new C(length);\n for (;length > index; index++) {\n value = mapping ? mapfn(O[index], index) : O[index];\n createProperty(result, index, value);\n }\n }\n result.length = index;\n return result;\n};\n","'use strict';\n// based on https://github.com/bestiejs/punycode.js/blob/master/punycode.js\nvar maxInt = 2147483647; // aka. 0x7FFFFFFF or 2^31-1\nvar base = 36;\nvar tMin = 1;\nvar tMax = 26;\nvar skew = 38;\nvar damp = 700;\nvar initialBias = 72;\nvar initialN = 128; // 0x80\nvar delimiter = '-'; // '\\x2D'\nvar regexNonASCII = /[^\\0-\\u007E]/; // non-ASCII chars\nvar regexSeparators = /[.\\u3002\\uFF0E\\uFF61]/g; // RFC 3490 separators\nvar OVERFLOW_ERROR = 'Overflow: input needs wider integers to process';\nvar baseMinusTMin = base - tMin;\nvar floor = Math.floor;\nvar stringFromCharCode = String.fromCharCode;\n\n/**\n * Creates an array containing the numeric code points of each Unicode\n * character in the string. While JavaScript uses UCS-2 internally,\n * this function will convert a pair of surrogate halves (each of which\n * UCS-2 exposes as separate characters) into a single code point,\n * matching UTF-16.\n */\nvar ucs2decode = function (string) {\n var output = [];\n var counter = 0;\n var length = string.length;\n while (counter < length) {\n var value = string.charCodeAt(counter++);\n if (value >= 0xD800 && value <= 0xDBFF && counter < length) {\n // It's a high surrogate, and there is a next character.\n var extra = string.charCodeAt(counter++);\n if ((extra & 0xFC00) == 0xDC00) { // Low surrogate.\n output.push(((value & 0x3FF) << 10) + (extra & 0x3FF) + 0x10000);\n } else {\n // It's an unmatched surrogate; only append this code unit, in case the\n // next code unit is the high surrogate of a surrogate pair.\n output.push(value);\n counter--;\n }\n } else {\n output.push(value);\n }\n }\n return output;\n};\n\n/**\n * Converts a digit/integer into a basic code point.\n */\nvar digitToBasic = function (digit) {\n // 0..25 map to ASCII a..z or A..Z\n // 26..35 map to ASCII 0..9\n return digit + 22 + 75 * (digit < 26);\n};\n\n/**\n * Bias adaptation function as per section 3.4 of RFC 3492.\n * https://tools.ietf.org/html/rfc3492#section-3.4\n */\nvar adapt = function (delta, numPoints, firstTime) {\n var k = 0;\n delta = firstTime ? floor(delta / damp) : delta >> 1;\n delta += floor(delta / numPoints);\n for (; delta > baseMinusTMin * tMax >> 1; k += base) {\n delta = floor(delta / baseMinusTMin);\n }\n return floor(k + (baseMinusTMin + 1) * delta / (delta + skew));\n};\n\n/**\n * Converts a string of Unicode symbols (e.g. a domain name label) to a\n * Punycode string of ASCII-only symbols.\n */\n// eslint-disable-next-line max-statements\nvar encode = function (input) {\n var output = [];\n\n // Convert the input in UCS-2 to an array of Unicode code points.\n input = ucs2decode(input);\n\n // Cache the length.\n var inputLength = input.length;\n\n // Initialize the state.\n var n = initialN;\n var delta = 0;\n var bias = initialBias;\n var i, currentValue;\n\n // Handle the basic code points.\n for (i = 0; i < input.length; i++) {\n currentValue = input[i];\n if (currentValue < 0x80) {\n output.push(stringFromCharCode(currentValue));\n }\n }\n\n var basicLength = output.length; // number of basic code points.\n var handledCPCount = basicLength; // number of code points that have been handled;\n\n // Finish the basic string with a delimiter unless it's empty.\n if (basicLength) {\n output.push(delimiter);\n }\n\n // Main encoding loop:\n while (handledCPCount < inputLength) {\n // All non-basic code points < n have been handled already. Find the next larger one:\n var m = maxInt;\n for (i = 0; i < input.length; i++) {\n currentValue = input[i];\n if (currentValue >= n && currentValue < m) {\n m = currentValue;\n }\n }\n\n // Increase `delta` enough to advance the decoder's <n,i> state to <m,0>, but guard against overflow.\n var handledCPCountPlusOne = handledCPCount + 1;\n if (m - n > floor((maxInt - delta) / handledCPCountPlusOne)) {\n throw RangeError(OVERFLOW_ERROR);\n }\n\n delta += (m - n) * handledCPCountPlusOne;\n n = m;\n\n for (i = 0; i < input.length; i++) {\n currentValue = input[i];\n if (currentValue < n && ++delta > maxInt) {\n throw RangeError(OVERFLOW_ERROR);\n }\n if (currentValue == n) {\n // Represent delta as a generalized variable-length integer.\n var q = delta;\n for (var k = base; /* no condition */; k += base) {\n var t = k <= bias ? tMin : (k >= bias + tMax ? tMax : k - bias);\n if (q < t) break;\n var qMinusT = q - t;\n var baseMinusT = base - t;\n output.push(stringFromCharCode(digitToBasic(t + qMinusT % baseMinusT)));\n q = floor(qMinusT / baseMinusT);\n }\n\n output.push(stringFromCharCode(digitToBasic(q)));\n bias = adapt(delta, handledCPCountPlusOne, handledCPCount == basicLength);\n delta = 0;\n ++handledCPCount;\n }\n }\n\n ++delta;\n ++n;\n }\n return output.join('');\n};\n\nmodule.exports = function (input) {\n var encoded = [];\n var labels = input.toLowerCase().replace(regexSeparators, '\\u002E').split('.');\n var i, label;\n for (i = 0; i < labels.length; i++) {\n label = labels[i];\n encoded.push(regexNonASCII.test(label) ? 'xn--' + encode(label) : label);\n }\n return encoded.join('.');\n};\n","'use strict';\n// TODO: in core-js@4, move /modules/ dependencies to public entries for better optimization by tools like `preset-env`\nrequire('../modules/es.array.iterator');\nvar $ = require('../internals/export');\nvar getBuiltIn = require('../internals/get-built-in');\nvar USE_NATIVE_URL = require('../internals/native-url');\nvar redefine = require('../internals/redefine');\nvar redefineAll = require('../internals/redefine-all');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar createIteratorConstructor = require('../internals/create-iterator-constructor');\nvar InternalStateModule = require('../internals/internal-state');\nvar anInstance = require('../internals/an-instance');\nvar hasOwn = require('../internals/has');\nvar bind = require('../internals/function-bind-context');\nvar classof = require('../internals/classof');\nvar anObject = require('../internals/an-object');\nvar isObject = require('../internals/is-object');\nvar create = require('../internals/object-create');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar getIterator = require('../internals/get-iterator');\nvar getIteratorMethod = require('../internals/get-iterator-method');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar $fetch = getBuiltIn('fetch');\nvar Headers = getBuiltIn('Headers');\nvar ITERATOR = wellKnownSymbol('iterator');\nvar URL_SEARCH_PARAMS = 'URLSearchParams';\nvar URL_SEARCH_PARAMS_ITERATOR = URL_SEARCH_PARAMS + 'Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalParamsState = InternalStateModule.getterFor(URL_SEARCH_PARAMS);\nvar getInternalIteratorState = InternalStateModule.getterFor(URL_SEARCH_PARAMS_ITERATOR);\n\nvar plus = /\\+/g;\nvar sequences = Array(4);\n\nvar percentSequence = function (bytes) {\n return sequences[bytes - 1] || (sequences[bytes - 1] = RegExp('((?:%[\\\\da-f]{2}){' + bytes + '})', 'gi'));\n};\n\nvar percentDecode = function (sequence) {\n try {\n return decodeURIComponent(sequence);\n } catch (error) {\n return sequence;\n }\n};\n\nvar deserialize = function (it) {\n var result = it.replace(plus, ' ');\n var bytes = 4;\n try {\n return decodeURIComponent(result);\n } catch (error) {\n while (bytes) {\n result = result.replace(percentSequence(bytes--), percentDecode);\n }\n return result;\n }\n};\n\nvar find = /[!'()~]|%20/g;\n\nvar replace = {\n '!': '%21',\n \"'\": '%27',\n '(': '%28',\n ')': '%29',\n '~': '%7E',\n '%20': '+'\n};\n\nvar replacer = function (match) {\n return replace[match];\n};\n\nvar serialize = function (it) {\n return encodeURIComponent(it).replace(find, replacer);\n};\n\nvar parseSearchParams = function (result, query) {\n if (query) {\n var attributes = query.split('&');\n var index = 0;\n var attribute, entry;\n while (index < attributes.length) {\n attribute = attributes[index++];\n if (attribute.length) {\n entry = attribute.split('=');\n result.push({\n key: deserialize(entry.shift()),\n value: deserialize(entry.join('='))\n });\n }\n }\n }\n};\n\nvar updateSearchParams = function (query) {\n this.entries.length = 0;\n parseSearchParams(this.entries, query);\n};\n\nvar validateArgumentsLength = function (passed, required) {\n if (passed < required) throw TypeError('Not enough arguments');\n};\n\nvar URLSearchParamsIterator = createIteratorConstructor(function Iterator(params, kind) {\n setInternalState(this, {\n type: URL_SEARCH_PARAMS_ITERATOR,\n iterator: getIterator(getInternalParamsState(params).entries),\n kind: kind\n });\n}, 'Iterator', function next() {\n var state = getInternalIteratorState(this);\n var kind = state.kind;\n var step = state.iterator.next();\n var entry = step.value;\n if (!step.done) {\n step.value = kind === 'keys' ? entry.key : kind === 'values' ? entry.value : [entry.key, entry.value];\n } return step;\n});\n\n// `URLSearchParams` constructor\n// https://url.spec.whatwg.org/#interface-urlsearchparams\nvar URLSearchParamsConstructor = function URLSearchParams(/* init */) {\n anInstance(this, URLSearchParamsConstructor, URL_SEARCH_PARAMS);\n var init = arguments.length > 0 ? arguments[0] : undefined;\n var that = this;\n var entries = [];\n var iteratorMethod, iterator, next, step, entryIterator, entryNext, first, second, key;\n\n setInternalState(that, {\n type: URL_SEARCH_PARAMS,\n entries: entries,\n updateURL: function () { /* empty */ },\n updateSearchParams: updateSearchParams\n });\n\n if (init !== undefined) {\n if (isObject(init)) {\n iteratorMethod = getIteratorMethod(init);\n if (typeof iteratorMethod === 'function') {\n iterator = iteratorMethod.call(init);\n next = iterator.next;\n while (!(step = next.call(iterator)).done) {\n entryIterator = getIterator(anObject(step.value));\n entryNext = entryIterator.next;\n if (\n (first = entryNext.call(entryIterator)).done ||\n (second = entryNext.call(entryIterator)).done ||\n !entryNext.call(entryIterator).done\n ) throw TypeError('Expected sequence with length 2');\n entries.push({ key: first.value + '', value: second.value + '' });\n }\n } else for (key in init) if (hasOwn(init, key)) entries.push({ key: key, value: init[key] + '' });\n } else {\n parseSearchParams(entries, typeof init === 'string' ? init.charAt(0) === '?' ? init.slice(1) : init : init + '');\n }\n }\n};\n\nvar URLSearchParamsPrototype = URLSearchParamsConstructor.prototype;\n\nredefineAll(URLSearchParamsPrototype, {\n // `URLSearchParams.prototype.appent` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-append\n append: function append(name, value) {\n validateArgumentsLength(arguments.length, 2);\n var state = getInternalParamsState(this);\n state.entries.push({ key: name + '', value: value + '' });\n state.updateURL();\n },\n // `URLSearchParams.prototype.delete` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-delete\n 'delete': function (name) {\n validateArgumentsLength(arguments.length, 1);\n var state = getInternalParamsState(this);\n var entries = state.entries;\n var key = name + '';\n var index = 0;\n while (index < entries.length) {\n if (entries[index].key === key) entries.splice(index, 1);\n else index++;\n }\n state.updateURL();\n },\n // `URLSearchParams.prototype.get` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-get\n get: function get(name) {\n validateArgumentsLength(arguments.length, 1);\n var entries = getInternalParamsState(this).entries;\n var key = name + '';\n var index = 0;\n for (; index < entries.length; index++) {\n if (entries[index].key === key) return entries[index].value;\n }\n return null;\n },\n // `URLSearchParams.prototype.getAll` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-getall\n getAll: function getAll(name) {\n validateArgumentsLength(arguments.length, 1);\n var entries = getInternalParamsState(this).entries;\n var key = name + '';\n var result = [];\n var index = 0;\n for (; index < entries.length; index++) {\n if (entries[index].key === key) result.push(entries[index].value);\n }\n return result;\n },\n // `URLSearchParams.prototype.has` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-has\n has: function has(name) {\n validateArgumentsLength(arguments.length, 1);\n var entries = getInternalParamsState(this).entries;\n var key = name + '';\n var index = 0;\n while (index < entries.length) {\n if (entries[index++].key === key) return true;\n }\n return false;\n },\n // `URLSearchParams.prototype.set` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-set\n set: function set(name, value) {\n validateArgumentsLength(arguments.length, 1);\n var state = getInternalParamsState(this);\n var entries = state.entries;\n var found = false;\n var key = name + '';\n var val = value + '';\n var index = 0;\n var entry;\n for (; index < entries.length; index++) {\n entry = entries[index];\n if (entry.key === key) {\n if (found) entries.splice(index--, 1);\n else {\n found = true;\n entry.value = val;\n }\n }\n }\n if (!found) entries.push({ key: key, value: val });\n state.updateURL();\n },\n // `URLSearchParams.prototype.sort` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-sort\n sort: function sort() {\n var state = getInternalParamsState(this);\n var entries = state.entries;\n // Array#sort is not stable in some engines\n var slice = entries.slice();\n var entry, entriesIndex, sliceIndex;\n entries.length = 0;\n for (sliceIndex = 0; sliceIndex < slice.length; sliceIndex++) {\n entry = slice[sliceIndex];\n for (entriesIndex = 0; entriesIndex < sliceIndex; entriesIndex++) {\n if (entries[entriesIndex].key > entry.key) {\n entries.splice(entriesIndex, 0, entry);\n break;\n }\n }\n if (entriesIndex === sliceIndex) entries.push(entry);\n }\n state.updateURL();\n },\n // `URLSearchParams.prototype.forEach` method\n forEach: function forEach(callback /* , thisArg */) {\n var entries = getInternalParamsState(this).entries;\n var boundFunction = bind(callback, arguments.length > 1 ? arguments[1] : undefined, 3);\n var index = 0;\n var entry;\n while (index < entries.length) {\n entry = entries[index++];\n boundFunction(entry.value, entry.key, this);\n }\n },\n // `URLSearchParams.prototype.keys` method\n keys: function keys() {\n return new URLSearchParamsIterator(this, 'keys');\n },\n // `URLSearchParams.prototype.values` method\n values: function values() {\n return new URLSearchParamsIterator(this, 'values');\n },\n // `URLSearchParams.prototype.entries` method\n entries: function entries() {\n return new URLSearchParamsIterator(this, 'entries');\n }\n}, { enumerable: true });\n\n// `URLSearchParams.prototype[@@iterator]` method\nredefine(URLSearchParamsPrototype, ITERATOR, URLSearchParamsPrototype.entries);\n\n// `URLSearchParams.prototype.toString` method\n// https://url.spec.whatwg.org/#urlsearchparams-stringification-behavior\nredefine(URLSearchParamsPrototype, 'toString', function toString() {\n var entries = getInternalParamsState(this).entries;\n var result = [];\n var index = 0;\n var entry;\n while (index < entries.length) {\n entry = entries[index++];\n result.push(serialize(entry.key) + '=' + serialize(entry.value));\n } return result.join('&');\n}, { enumerable: true });\n\nsetToStringTag(URLSearchParamsConstructor, URL_SEARCH_PARAMS);\n\n$({ global: true, forced: !USE_NATIVE_URL }, {\n URLSearchParams: URLSearchParamsConstructor\n});\n\n// Wrap `fetch` for correct work with polyfilled `URLSearchParams`\n// https://github.com/zloirock/core-js/issues/674\nif (!USE_NATIVE_URL && typeof $fetch == 'function' && typeof Headers == 'function') {\n $({ global: true, enumerable: true, forced: true }, {\n fetch: function fetch(input /* , init */) {\n var args = [input];\n var init, body, headers;\n if (arguments.length > 1) {\n init = arguments[1];\n if (isObject(init)) {\n body = init.body;\n if (classof(body) === URL_SEARCH_PARAMS) {\n headers = init.headers ? new Headers(init.headers) : new Headers();\n if (!headers.has('content-type')) {\n headers.set('content-type', 'application/x-www-form-urlencoded;charset=UTF-8');\n }\n init = create(init, {\n body: createPropertyDescriptor(0, String(body)),\n headers: createPropertyDescriptor(0, headers)\n });\n }\n }\n args.push(init);\n } return $fetch.apply(this, args);\n }\n });\n}\n\nmodule.exports = {\n URLSearchParams: URLSearchParamsConstructor,\n getState: getInternalParamsState\n};\n","var anObject = require('../internals/an-object');\nvar getIteratorMethod = require('../internals/get-iterator-method');\n\nmodule.exports = function (it) {\n var iteratorMethod = getIteratorMethod(it);\n if (typeof iteratorMethod != 'function') {\n throw TypeError(String(it) + ' is not iterable');\n } return anObject(iteratorMethod.call(it));\n};\n"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/core-js/modules/web.url.js","webpack:///./node_modules/core-js/internals/string-trim.js","webpack:///./node_modules/v-click-outside/dist/v-click-outside.umd.js","webpack:///./node_modules/core-js/internals/whitespaces.js","webpack:///./node_modules/core-js/modules/es.array.map.js","webpack:///./node_modules/core-js/internals/native-url.js","webpack:///./node_modules/core-js/internals/array-from.js","webpack:///./node_modules/core-js/internals/string-punycode-to-ascii.js","webpack:///./node_modules/core-js/modules/web.url-search-params.js","webpack:///./node_modules/core-js/internals/get-iterator.js"],"names":["EOF","$","DESCRIPTORS","USE_NATIVE_URL","global","defineProperties","redefine","anInstance","has","assign","arrayFrom","codeAt","toASCII","setToStringTag","URLSearchParamsModule","InternalStateModule","NativeURL","URL","URLSearchParams","getInternalSearchParamsState","getState","setInternalState","set","getInternalURLState","getterFor","floor","Math","pow","ALPHA","ALPHANUMERIC","DIGIT","HEX_START","OCT","DEC","HEX","FORBIDDEN_HOST_CODE_POINT","FORBIDDEN_HOST_CODE_POINT_EXCLUDING_PERCENT","LEADING_AND_TRAILING_C0_CONTROL_OR_SPACE","TAB_AND_NEW_LINE","parseHost","url","input","result","codePoints","index","charAt","length","parseIPv6","slice","host","isSpecial","test","parseIPv4","percentEncode","C0ControlPercentEncodeSet","partsLength","numbers","part","radix","number","ipv4","parts","split","pop","parseInt","push","value","numbersSeen","ipv4Piece","swaps","swap","address","pieceIndex","compress","pointer","char","serializeHost","ignore0","unshift","join","ipv6","maxIndex","maxLength","currStart","currLength","findLongestZeroSequence","toString","fragmentPercentEncodeSet","pathPercentEncodeSet","userinfoPercentEncodeSet","code","encodeURIComponent","specialSchemes","ftp","file","http","https","ws","wss","scheme","includesCredentials","username","password","cannotHaveUsernamePasswordPort","cannotBeABaseURL","isWindowsDriveLetter","string","normalized","second","startsWithWindowsDriveLetter","third","shortenURLsPath","path","pathSize","isSingleDot","segment","toLowerCase","SCHEME_START","SCHEME","NO_SCHEME","SPECIAL_RELATIVE_OR_AUTHORITY","PATH_OR_AUTHORITY","RELATIVE","RELATIVE_SLASH","SPECIAL_AUTHORITY_SLASHES","SPECIAL_AUTHORITY_IGNORE_SLASHES","AUTHORITY","HOST","HOSTNAME","PORT","FILE","FILE_SLASH","FILE_HOST","PATH_START","PATH","CANNOT_BE_A_BASE_URL_PATH","QUERY","FRAGMENT","parseURL","stateOverride","base","bufferCodePoints","failure","state","buffer","seenAt","seenBracket","seenPasswordToken","port","query","fragment","replace","i","codePoint","encodedCodePoints","shift","URLConstructor","baseState","that","this","arguments","undefined","urlString","String","type","TypeError","searchParams","searchParamsState","updateSearchParams","updateURL","href","serializeURL","call","origin","getOrigin","protocol","getProtocol","getUsername","getPassword","getHost","hostname","getHostname","getPort","pathname","getPathname","search","getSearch","getSearchParams","hash","getHash","URLPrototype","prototype","output","error","accessorDescriptor","getter","setter","get","configurable","enumerable","nativeCreateObjectURL","createObjectURL","nativeRevokeObjectURL","revokeObjectURL","blob","apply","forced","sham","requireObjectCoercible","whitespace","ltrim","RegExp","rtrim","createMethod","TYPE","$this","module","exports","start","end","trim","e","n","window","t","navigator","msMaxTouchPoints","o","Error","handler","middleware","events","isActive","r","d","map","event","el","composedPath","indexOf","contains","target","forEach","setTimeout","document","documentElement","addEventListener","removeEventListener","bind","update","oldValue","JSON","stringify","unbind","install","directive","$map","arrayMethodHasSpeciesSupport","arrayMethodUsesToLength","HAS_SPECIES_SUPPORT","USES_TO_LENGTH","proto","callbackfn","fails","wellKnownSymbol","IS_PURE","ITERATOR","key","toJSON","sort","toObject","callWithSafeIterationClosing","isArrayIteratorMethod","toLength","createProperty","getIteratorMethod","arrayLike","step","iterator","next","O","C","Array","argumentsLength","mapfn","mapping","iteratorMethod","done","regexNonASCII","regexSeparators","OVERFLOW_ERROR","stringFromCharCode","fromCharCode","digitToBasic","digit","adapt","delta","numPoints","firstTime","k","baseMinusTMin","encode","currentValue","inputLength","counter","charCodeAt","extra","ucs2decode","bias","basicLength","handledCPCount","m","handledCPCountPlusOne","RangeError","q","qMinusT","baseMinusT","label","encoded","labels","getBuiltIn","redefineAll","createIteratorConstructor","hasOwn","classof","anObject","isObject","create","createPropertyDescriptor","getIterator","$fetch","Headers","getInternalParamsState","getInternalIteratorState","URL_SEARCH_PARAMS","plus","sequences","percentSequence","bytes","percentDecode","sequence","decodeURIComponent","deserialize","it","find","replacer","match","serialize","parseSearchParams","attribute","entry","attributes","entries","validateArgumentsLength","passed","required","URLSearchParamsIterator","params","kind","URLSearchParamsConstructor","entryIterator","entryNext","first","init","URLSearchParamsPrototype","append","name","splice","getAll","found","val","entriesIndex","sliceIndex","callback","boundFunction","keys","values","fetch","body","headers","args"],"mappings":"kGAEA,EAAQ,KACR,IA4CIA,EA5CAC,EAAI,EAAQ,IACZC,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,KACzBC,EAAS,EAAQ,GACjBC,EAAmB,EAAQ,KAC3BC,EAAW,EAAQ,IACnBC,EAAa,EAAQ,IACrBC,EAAM,EAAQ,GACdC,EAAS,EAAQ,KACjBC,EAAY,EAAQ,KACpBC,EAAS,EAAQ,IAAiCA,OAClDC,EAAU,EAAQ,KAClBC,EAAiB,EAAQ,IACzBC,EAAwB,EAAQ,KAChCC,EAAsB,EAAQ,IAE9BC,EAAYZ,EAAOa,IACnBC,EAAkBJ,EAAsBI,gBACxCC,EAA+BL,EAAsBM,SACrDC,EAAmBN,EAAoBO,IACvCC,EAAsBR,EAAoBS,UAAU,OACpDC,EAAQC,KAAKD,MACbE,EAAMD,KAAKC,IAOXC,EAAQ,WACRC,EAAe,gBACfC,EAAQ,KACRC,EAAY,WACZC,EAAM,WACNC,EAAM,QACNC,EAAM,gBAENC,EAA4B,wCAE5BC,EAA8C,uCAE9CC,EAA2C,yCAE3CC,EAAmB,wBAGnBC,EAAY,SAAUC,EAAKC,GAC7B,IAAIC,EAAQC,EAAYC,EACxB,GAAuB,KAAnBH,EAAMI,OAAO,GAAW,CAC1B,GAAsC,KAAlCJ,EAAMI,OAAOJ,EAAMK,OAAS,GAAW,MAvB5B,eAyBf,KADAJ,EAASK,EAAUN,EAAMO,MAAM,GAAI,KACtB,MAzBE,eA0BfR,EAAIS,KAAOP,OAEN,GAAKQ,EAAUV,GAQf,CAEL,GADAC,EAAQ7B,EAAQ6B,GACZN,EAA0BgB,KAAKV,GAAQ,MAtC5B,eAwCf,GAAe,QADfC,EAASU,EAAUX,IACE,MAxCN,eAyCfD,EAAIS,KAAOP,MAbe,CAC1B,GAAIN,EAA4Ce,KAAKV,GAAQ,MA7B9C,eAgCf,IAFAC,EAAS,GACTC,EAAajC,EAAU+B,GAClBG,EAAQ,EAAGA,EAAQD,EAAWG,OAAQF,IACzCF,GAAUW,EAAcV,EAAWC,GAAQU,GAE7Cd,EAAIS,KAAOP,IAUXU,EAAY,SAAUX,GACxB,IACIc,EAAaC,EAASZ,EAAOa,EAAMC,EAAOC,EAAQC,EADlDC,EAAQpB,EAAMqB,MAAM,KAMxB,GAJID,EAAMf,QAAqC,IAA3Be,EAAMA,EAAMf,OAAS,IACvCe,EAAME,OAERR,EAAcM,EAAMf,QACF,EAAG,OAAOL,EAE5B,IADAe,EAAU,GACLZ,EAAQ,EAAGA,EAAQW,EAAaX,IAAS,CAE5C,GAAY,KADZa,EAAOI,EAAMjB,IACG,OAAOH,EAMvB,GALAiB,EAAQ,GACJD,EAAKX,OAAS,GAAuB,KAAlBW,EAAKZ,OAAO,KACjCa,EAAQ3B,EAAUoB,KAAKM,GAAQ,GAAK,EACpCA,EAAOA,EAAKT,MAAe,GAATU,EAAa,EAAI,IAExB,KAATD,EACFE,EAAS,MACJ,CACL,KAAe,IAATD,EAAczB,EAAe,GAATyB,EAAa1B,EAAME,GAAKiB,KAAKM,GAAO,OAAOhB,EACrEkB,EAASK,SAASP,EAAMC,GAE1BF,EAAQS,KAAKN,GAEf,IAAKf,EAAQ,EAAGA,EAAQW,EAAaX,IAEnC,GADAe,EAASH,EAAQZ,GACbA,GAASW,EAAc,GACzB,GAAII,GAAUhC,EAAI,IAAK,EAAI4B,GAAc,OAAO,UAC3C,GAAII,EAAS,IAAK,OAAO,KAGlC,IADAC,EAAOJ,EAAQO,MACVnB,EAAQ,EAAGA,EAAQY,EAAQV,OAAQF,IACtCgB,GAAQJ,EAAQZ,GAASjB,EAAI,IAAK,EAAIiB,GAExC,OAAOgB,GAILb,EAAY,SAAUN,GACxB,IAIIyB,EAAOpB,EAAQqB,EAAaC,EAAWT,EAAQU,EAAOC,EAJtDC,EAAU,CAAC,EAAG,EAAG,EAAG,EAAG,EAAG,EAAG,EAAG,GAChCC,EAAa,EACbC,EAAW,KACXC,EAAU,EAGVC,EAAO,WACT,OAAOlC,EAAMI,OAAO6B,IAGtB,GAAc,KAAVC,IAAe,CACjB,GAAuB,KAAnBlC,EAAMI,OAAO,GAAW,OAC5B6B,GAAW,EAEXD,IADAD,EAGF,KAAOG,KAAQ,CACb,GAAkB,GAAdH,EAAiB,OACrB,GAAc,KAAVG,IAAJ,CAQA,IADAT,EAAQpB,EAAS,EACVA,EAAS,GAAKZ,EAAIiB,KAAKwB,MAC5BT,EAAgB,GAARA,EAAaF,SAASW,IAAQ,IACtCD,IACA5B,IAEF,GAAc,KAAV6B,IAAe,CACjB,GAAc,GAAV7B,EAAa,OAEjB,GADA4B,GAAW5B,EACP0B,EAAa,EAAG,OAEpB,IADAL,EAAc,EACPQ,KAAQ,CAEb,GADAP,EAAY,KACRD,EAAc,EAAG,CACnB,KAAc,KAAVQ,KAAiBR,EAAc,GAC9B,OADiCO,IAGxC,IAAK5C,EAAMqB,KAAKwB,KAAS,OACzB,KAAO7C,EAAMqB,KAAKwB,MAAS,CAEzB,GADAhB,EAASK,SAASW,IAAQ,IACR,OAAdP,EAAoBA,EAAYT,MAC/B,IAAiB,GAAbS,EAAgB,OACpBA,EAAwB,GAAZA,EAAiBT,EAClC,GAAIS,EAAY,IAAK,OACrBM,IAEFH,EAAQC,GAAoC,IAAtBD,EAAQC,GAAoBJ,EAE/B,KADnBD,GACuC,GAAfA,GAAkBK,IAE5C,GAAmB,GAAfL,EAAkB,OACtB,MACK,GAAc,KAAVQ,KAET,GADAD,KACKC,IAAQ,YACR,GAAIA,IAAQ,OACnBJ,EAAQC,KAAgBN,MA3CxB,CACE,GAAiB,OAAbO,EAAmB,OACvBC,IAEAD,IADAD,GA0CJ,GAAiB,OAAbC,EAGF,IAFAJ,EAAQG,EAAaC,EACrBD,EAAa,EACQ,GAAdA,GAAmBH,EAAQ,GAChCC,EAAOC,EAAQC,GACfD,EAAQC,KAAgBD,EAAQE,EAAWJ,EAAQ,GACnDE,EAAQE,IAAaJ,GAASC,OAE3B,GAAkB,GAAdE,EAAiB,OAC5B,OAAOD,GA6BLK,EAAgB,SAAU3B,GAC5B,IAAIP,EAAQE,EAAO6B,EAAUI,EAE7B,GAAmB,iBAAR5B,EAAkB,CAE3B,IADAP,EAAS,GACJE,EAAQ,EAAGA,EAAQ,EAAGA,IACzBF,EAAOoC,QAAQ7B,EAAO,KACtBA,EAAOxB,EAAMwB,EAAO,KACpB,OAAOP,EAAOqC,KAAK,KAEhB,GAAmB,iBAAR9B,EAAkB,CAGlC,IAFAP,EAAS,GACT+B,EAtC0B,SAAUO,GAMtC,IALA,IAAIC,EAAW,KACXC,EAAY,EACZC,EAAY,KACZC,EAAa,EACbxC,EAAQ,EACLA,EAAQ,EAAGA,IACI,IAAhBoC,EAAKpC,IACHwC,EAAaF,IACfD,EAAWE,EACXD,EAAYE,GAEdD,EAAY,KACZC,EAAa,IAEK,OAAdD,IAAoBA,EAAYvC,KAClCwC,GAON,OAJIA,EAAaF,IACfD,EAAWE,EACXD,EAAYE,GAEPH,EAeMI,CAAwBpC,GAC9BL,EAAQ,EAAGA,EAAQ,EAAGA,IACrBiC,GAA2B,IAAhB5B,EAAKL,KAChBiC,IAASA,GAAU,GACnBJ,IAAa7B,GACfF,GAAUE,EAAQ,IAAM,KACxBiC,GAAU,IAEVnC,GAAUO,EAAKL,GAAO0C,SAAS,IAC3B1C,EAAQ,IAAGF,GAAU,OAG7B,MAAO,IAAMA,EAAS,IACtB,OAAOO,GAGPK,EAA4B,GAC5BiC,EAA2B9E,EAAO,GAAI6C,EAA2B,CACnE,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,IAEnCkC,EAAuB/E,EAAO,GAAI8E,EAA0B,CAC9D,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,IAE3BE,EAA2BhF,EAAO,GAAI+E,EAAsB,CAC9D,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,EAAG,KAAM,EAAG,IAAK,EAAG,IAAK,EAAG,IAAK,IAG5EnC,EAAgB,SAAUsB,EAAMrD,GAClC,IAAIoE,EAAO/E,EAAOgE,EAAM,GACxB,OAAOe,EAAO,IAAQA,EAAO,MAASlF,EAAIc,EAAKqD,GAAQA,EAAOgB,mBAAmBhB,IAG/EiB,EAAiB,CACnBC,IAAK,GACLC,KAAM,KACNC,KAAM,GACNC,MAAO,IACPC,GAAI,GACJC,IAAK,KAGHhD,EAAY,SAAUV,GACxB,OAAOhC,EAAIoF,EAAgBpD,EAAI2D,SAG7BC,EAAsB,SAAU5D,GAClC,MAAuB,IAAhBA,EAAI6D,UAAkC,IAAhB7D,EAAI8D,UAG/BC,EAAiC,SAAU/D,GAC7C,OAAQA,EAAIS,MAAQT,EAAIgE,kBAAkC,QAAdhE,EAAI2D,QAG9CM,EAAuB,SAAUC,EAAQC,GAC3C,IAAIC,EACJ,OAAwB,GAAjBF,EAAO5D,QAAelB,EAAMuB,KAAKuD,EAAO7D,OAAO,MACjB,MAA9B+D,EAASF,EAAO7D,OAAO,MAAgB8D,GAAwB,KAAVC,IAG1DC,EAA+B,SAAUH,GAC3C,IAAII,EACJ,OAAOJ,EAAO5D,OAAS,GAAK2D,EAAqBC,EAAO1D,MAAM,EAAG,MAC9C,GAAjB0D,EAAO5D,QACyB,OAA9BgE,EAAQJ,EAAO7D,OAAO,KAAyB,OAAViE,GAA4B,MAAVA,GAA2B,MAAVA,IAI1EC,EAAkB,SAAUvE,GAC9B,IAAIwE,EAAOxE,EAAIwE,KACXC,EAAWD,EAAKlE,QAChBmE,GAA2B,QAAdzE,EAAI2D,QAAgC,GAAZc,GAAkBR,EAAqBO,EAAK,IAAI,IACvFA,EAAKjD,OAILmD,EAAc,SAAUC,GAC1B,MAAmB,MAAZA,GAA6C,QAA1BA,EAAQC,eAShCC,GAAe,GACfC,GAAS,GACTC,GAAY,GACZC,GAAgC,GAChCC,GAAoB,GACpBC,GAAW,GACXC,GAAiB,GACjBC,GAA4B,GAC5BC,GAAmC,GACnCC,GAAY,GACZC,GAAO,GACPC,GAAW,GACXC,GAAO,GACPC,GAAO,GACPC,GAAa,GACbC,GAAY,GACZC,GAAa,GACbC,GAAO,GACPC,GAA4B,GAC5BC,GAAQ,GACRC,GAAW,GAGXC,GAAW,SAAUlG,EAAKC,EAAOkG,EAAeC,GAClD,IAMIjG,EAAYgC,EAAMkE,EAAkBC,EApCd3B,EA8BtB4B,EAAQJ,GAAiBtB,GACzB3C,EAAU,EACVsE,EAAS,GACTC,GAAS,EACTC,GAAc,EACdC,GAAoB,EAoBxB,IAjBKR,IACHnG,EAAI2D,OAAS,GACb3D,EAAI6D,SAAW,GACf7D,EAAI8D,SAAW,GACf9D,EAAIS,KAAO,KACXT,EAAI4G,KAAO,KACX5G,EAAIwE,KAAO,GACXxE,EAAI6G,MAAQ,KACZ7G,EAAI8G,SAAW,KACf9G,EAAIgE,kBAAmB,EACvB/D,EAAQA,EAAM8G,QAAQlH,EAA0C,KAGlEI,EAAQA,EAAM8G,QAAQjH,EAAkB,IAExCK,EAAajC,EAAU+B,GAEhBiC,GAAW/B,EAAWG,QAAQ,CAEnC,OADA6B,EAAOhC,EAAW+B,GACVqE,GACN,KAAK1B,GACH,IAAI1C,IAAQ/C,EAAMuB,KAAKwB,GAGhB,IAAKgE,EAGL,MAvVM,iBAqVXI,EAAQxB,GACR,SAJAyB,GAAUrE,EAAKyC,cACf2B,EAAQzB,GAKV,MAEF,KAAKA,GACH,GAAI3C,IAAS9C,EAAasB,KAAKwB,IAAiB,KAARA,GAAuB,KAARA,GAAuB,KAARA,GACpEqE,GAAUrE,EAAKyC,kBACV,IAAY,KAARzC,EA0BJ,IAAKgE,EAKL,MA5XM,iBAwXXK,EAAS,GACTD,EAAQxB,GACR7C,EAAU,EACV,SA7BA,GAAIiE,IACDzF,EAAUV,IAAQhC,EAAIoF,EAAgBoD,IAC5B,QAAVA,IAAqB5C,EAAoB5D,IAAqB,OAAbA,EAAI4G,OACvC,QAAd5G,EAAI2D,SAAqB3D,EAAIS,MAC7B,OAEH,GADAT,EAAI2D,OAAS6C,EACTL,EAEF,YADIzF,EAAUV,IAAQoD,EAAepD,EAAI2D,SAAW3D,EAAI4G,OAAM5G,EAAI4G,KAAO,OAG3EJ,EAAS,GACS,QAAdxG,EAAI2D,OACN4C,EAAQb,GACChF,EAAUV,IAAQoG,GAAQA,EAAKzC,QAAU3D,EAAI2D,OACtD4C,EAAQvB,GACCtE,EAAUV,GACnBuG,EAAQnB,GAC4B,KAA3BjF,EAAW+B,EAAU,IAC9BqE,EAAQtB,GACR/C,MAEAlC,EAAIgE,kBAAmB,EACvBhE,EAAIwE,KAAK/C,KAAK,IACd8E,EAAQR,IAQZ,MAEF,KAAKhB,GACH,IAAKqB,GAASA,EAAKpC,kBAA4B,KAAR7B,EAAc,MAhYxC,iBAiYb,GAAIiE,EAAKpC,kBAA4B,KAAR7B,EAAa,CACxCnC,EAAI2D,OAASyC,EAAKzC,OAClB3D,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQT,EAAKS,MACjB7G,EAAI8G,SAAW,GACf9G,EAAIgE,kBAAmB,EACvBuC,EAAQN,GACR,MAEFM,EAAuB,QAAfH,EAAKzC,OAAmB+B,GAAOR,GACvC,SAEF,KAAKF,GACH,GAAY,KAAR7C,GAA0C,KAA3BhC,EAAW+B,EAAU,GAGjC,CACLqE,EAAQrB,GACR,SAJAqB,EAAQlB,GACRnD,IAIA,MAEJ,KAAK+C,GACH,GAAY,KAAR9C,EAAa,CACfoE,EAAQjB,GACR,MAEAiB,EAAQT,GACR,SAGJ,KAAKZ,GAEH,GADAlF,EAAI2D,OAASyC,EAAKzC,OACdxB,GAAQ3E,EACVwC,EAAI6D,SAAWuC,EAAKvC,SACpB7D,EAAI8D,SAAWsC,EAAKtC,SACpB9D,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAI4G,KAAOR,EAAKQ,KAChB5G,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQT,EAAKS,WACZ,GAAY,KAAR1E,GAAwB,MAARA,GAAgBzB,EAAUV,GACnDuG,EAAQpB,QACH,GAAY,KAARhD,EACTnC,EAAI6D,SAAWuC,EAAKvC,SACpB7D,EAAI8D,SAAWsC,EAAKtC,SACpB9D,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAI4G,KAAOR,EAAKQ,KAChB5G,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQ,GACZN,EAAQP,OACH,IAAY,KAAR7D,EASJ,CACLnC,EAAI6D,SAAWuC,EAAKvC,SACpB7D,EAAI8D,SAAWsC,EAAKtC,SACpB9D,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAI4G,KAAOR,EAAKQ,KAChB5G,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAIwE,KAAKjD,MACTgF,EAAQT,GACR,SAhBA9F,EAAI6D,SAAWuC,EAAKvC,SACpB7D,EAAI8D,SAAWsC,EAAKtC,SACpB9D,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAI4G,KAAOR,EAAKQ,KAChB5G,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQT,EAAKS,MACjB7G,EAAI8G,SAAW,GACfP,EAAQN,GAUR,MAEJ,KAAKd,GACH,IAAIzE,EAAUV,IAAiB,KAARmC,GAAuB,MAARA,EAE/B,IAAY,KAARA,EAEJ,CACLnC,EAAI6D,SAAWuC,EAAKvC,SACpB7D,EAAI8D,SAAWsC,EAAKtC,SACpB9D,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAI4G,KAAOR,EAAKQ,KAChBL,EAAQT,GACR,SAPAS,EAAQjB,QAFRiB,EAAQlB,GAUR,MAEJ,KAAKD,GAEH,GADAmB,EAAQlB,GACI,KAARlD,GAA6C,KAA9BqE,EAAOnG,OAAO6B,EAAU,GAAW,SACtDA,IACA,MAEF,KAAKmD,GACH,GAAY,KAARlD,GAAuB,MAARA,EAAc,CAC/BoE,EAAQjB,GACR,SACA,MAEJ,KAAKA,GACH,GAAY,KAARnD,EAAa,CACXsE,IAAQD,EAAS,MAAQA,GAC7BC,GAAS,EACTJ,EAAmBnI,EAAUsI,GAC7B,IAAK,IAAIQ,EAAI,EAAGA,EAAIX,EAAiB/F,OAAQ0G,IAAK,CAChD,IAAIC,EAAYZ,EAAiBW,GACjC,GAAiB,KAAbC,GAAqBN,EAAzB,CAIA,IAAIO,EAAoBrG,EAAcoG,EAAWhE,GAC7C0D,EAAmB3G,EAAI8D,UAAYoD,EAClClH,EAAI6D,UAAYqD,OALnBP,GAAoB,EAOxBH,EAAS,QACJ,GACLrE,GAAQ3E,GAAe,KAAR2E,GAAuB,KAARA,GAAuB,KAARA,GACpC,MAARA,GAAgBzB,EAAUV,GAC3B,CACA,GAAIyG,GAAoB,IAAVD,EAAc,MArfd,oBAsfdtE,GAAWhE,EAAUsI,GAAQlG,OAAS,EACtCkG,EAAS,GACTD,EAAQhB,QACHiB,GAAUrE,EACjB,MAEF,KAAKoD,GACL,KAAKC,GACH,GAAIW,GAA+B,QAAdnG,EAAI2D,OAAkB,CACzC4C,EAAQX,GACR,SACK,GAAY,KAARzD,GAAgBuE,EAOpB,IACLvE,GAAQ3E,GAAe,KAAR2E,GAAuB,KAARA,GAAuB,KAARA,GACpC,MAARA,GAAgBzB,EAAUV,GAC3B,CACA,GAAIU,EAAUV,IAAkB,IAAVwG,EAAc,MA1gB3B,eA2gBT,GAAIL,GAA2B,IAAVK,IAAiB5C,EAAoB5D,IAAqB,OAAbA,EAAI4G,MAAgB,OAEtF,GADAN,EAAUvG,EAAUC,EAAKwG,GACZ,OAAOF,EAGpB,GAFAE,EAAS,GACTD,EAAQV,GACJM,EAAe,OACnB,SAEY,KAARhE,EAAauE,GAAc,EACd,KAARvE,IAAauE,GAAc,GACpCF,GAAUrE,MAtB4B,CACtC,GAAc,IAAVqE,EAAc,MAhgBT,eAkgBT,GADAF,EAAUvG,EAAUC,EAAKwG,GACZ,OAAOF,EAGpB,GAFAE,EAAS,GACTD,EAAQd,GACJU,GAAiBX,GAAU,OAiB/B,MAEJ,KAAKC,GACH,IAAInG,EAAMqB,KAAKwB,GAER,IACLA,GAAQ3E,GAAe,KAAR2E,GAAuB,KAARA,GAAuB,KAARA,GACpC,MAARA,GAAgBzB,EAAUV,IAC3BmG,EACA,CACA,GAAc,IAAVK,EAAc,CAChB,IAAII,EAAOpF,SAASgF,EAAQ,IAC5B,GAAII,EAAO,MAAQ,MAjiBZ,eAkiBP5G,EAAI4G,KAAQlG,EAAUV,IAAQ4G,IAASxD,EAAepD,EAAI2D,QAAW,KAAOiD,EAC5EJ,EAAS,GAEX,GAAIL,EAAe,OACnBI,EAAQV,GACR,SACK,MAxiBI,eAyhBTW,GAAUrE,EAgBZ,MAEF,KAAKuD,GAEH,GADA1F,EAAI2D,OAAS,OACD,KAARxB,GAAuB,MAARA,EAAcoE,EAAQZ,OACpC,KAAIS,GAAuB,QAAfA,EAAKzC,OAyBf,CACL4C,EAAQT,GACR,SA1BA,GAAI3D,GAAQ3E,EACVwC,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQT,EAAKS,WACZ,GAAY,KAAR1E,EACTnC,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQ,GACZN,EAAQP,OACH,IAAY,KAAR7D,EAMJ,CACAkC,EAA6BlE,EAAWK,MAAM0B,GAASK,KAAK,OAC/DvC,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrB+D,EAAgBvE,IAElBuG,EAAQT,GACR,SAZA9F,EAAIS,KAAO2F,EAAK3F,KAChBT,EAAIwE,KAAO4B,EAAK5B,KAAKhE,QACrBR,EAAI6G,MAAQT,EAAKS,MACjB7G,EAAI8G,SAAW,GACfP,EAAQN,IAaV,MAEJ,KAAKN,GACH,GAAY,KAARxD,GAAuB,MAARA,EAAc,CAC/BoE,EAAQX,GACR,MAEEQ,GAAuB,QAAfA,EAAKzC,SAAqBU,EAA6BlE,EAAWK,MAAM0B,GAASK,KAAK,OAC5F0B,EAAqBmC,EAAK5B,KAAK,IAAI,GAAOxE,EAAIwE,KAAK/C,KAAK2E,EAAK5B,KAAK,IACjExE,EAAIS,KAAO2F,EAAK3F,MAEvB8F,EAAQT,GACR,SAEF,KAAKF,GACH,GAAIzD,GAAQ3E,GAAe,KAAR2E,GAAuB,MAARA,GAAwB,KAARA,GAAuB,KAARA,EAAa,CAC5E,IAAKgE,GAAiBlC,EAAqBuC,GACzCD,EAAQT,QACH,GAAc,IAAVU,EAAc,CAEvB,GADAxG,EAAIS,KAAO,GACP0F,EAAe,OACnBI,EAAQV,OACH,CAEL,GADAS,EAAUvG,EAAUC,EAAKwG,GACZ,OAAOF,EAEpB,GADgB,aAAZtG,EAAIS,OAAqBT,EAAIS,KAAO,IACpC0F,EAAe,OACnBK,EAAS,GACTD,EAAQV,GACR,SACGW,GAAUrE,EACjB,MAEF,KAAK0D,GACH,GAAInF,EAAUV,IAEZ,GADAuG,EAAQT,GACI,KAAR3D,GAAuB,MAARA,EAAc,cAC5B,GAAKgE,GAAyB,KAARhE,EAGtB,GAAKgE,GAAyB,KAARhE,GAGtB,GAAIA,GAAQ3E,IACjB+I,EAAQT,GACI,KAAR3D,GAAa,cAJjBnC,EAAI8G,SAAW,GACfP,EAAQN,QAJRjG,EAAI6G,MAAQ,GACZN,EAAQP,GAOR,MAEJ,KAAKF,GACH,GACE3D,GAAQ3E,GAAe,KAAR2E,GACN,MAARA,GAAgBzB,EAAUV,KACzBmG,IAA0B,KAARhE,GAAuB,KAARA,GACnC,CAkBA,GA3XW,QADnBwC,GAD0BA,EA4WF6B,GA3WN5B,gBACqB,SAAZD,GAAkC,SAAZA,GAAkC,WAAZA,GA2W7DJ,EAAgBvE,GACJ,KAARmC,GAAyB,MAARA,GAAgBzB,EAAUV,IAC7CA,EAAIwE,KAAK/C,KAAK,KAEPiD,EAAY8B,GACT,KAARrE,GAAyB,MAARA,GAAgBzB,EAAUV,IAC7CA,EAAIwE,KAAK/C,KAAK,KAGE,QAAdzB,EAAI2D,SAAqB3D,EAAIwE,KAAKlE,QAAU2D,EAAqBuC,KAC/DxG,EAAIS,OAAMT,EAAIS,KAAO,IACzB+F,EAASA,EAAOnG,OAAO,GAAK,KAE9BL,EAAIwE,KAAK/C,KAAK+E,IAEhBA,EAAS,GACS,QAAdxG,EAAI2D,SAAqBxB,GAAQ3E,GAAe,KAAR2E,GAAuB,KAARA,GACzD,KAAOnC,EAAIwE,KAAKlE,OAAS,GAAqB,KAAhBN,EAAIwE,KAAK,IACrCxE,EAAIwE,KAAK2C,QAGD,KAARhF,GACFnC,EAAI6G,MAAQ,GACZN,EAAQP,IACS,KAAR7D,IACTnC,EAAI8G,SAAW,GACfP,EAAQN,SAGVO,GAAU3F,EAAcsB,EAAMa,GAC9B,MAEJ,KAAK+C,GACS,KAAR5D,GACFnC,EAAI6G,MAAQ,GACZN,EAAQP,IACS,KAAR7D,GACTnC,EAAI8G,SAAW,GACfP,EAAQN,IACC9D,GAAQ3E,IACjBwC,EAAIwE,KAAK,IAAM3D,EAAcsB,EAAMrB,IACnC,MAEJ,KAAKkF,GACEG,GAAyB,KAARhE,EAGXA,GAAQ3E,IACL,KAAR2E,GAAezB,EAAUV,GAAMA,EAAI6G,OAAS,MAC1B7G,EAAI6G,OAAT,KAAR1E,EAA0B,MACjBtB,EAAcsB,EAAMrB,KALtCd,EAAI8G,SAAW,GACfP,EAAQN,IAKR,MAEJ,KAAKA,GACC9D,GAAQ3E,IAAKwC,EAAI8G,UAAYjG,EAAcsB,EAAMY,IAIzDb,MAMAkF,GAAiB,SAAapH,GAChC,IAIIqH,EAAWf,EAJXgB,EAAOvJ,EAAWwJ,KAAMH,GAAgB,OACxChB,EAAOoB,UAAUlH,OAAS,EAAIkH,UAAU,QAAKC,EAC7CC,EAAYC,OAAO3H,GACnBuG,EAAQ1H,EAAiByI,EAAM,CAAEM,KAAM,QAE3C,QAAaH,IAATrB,EACF,GAAIA,aAAgBgB,GAAgBC,EAAYtI,EAAoBqH,QAGlE,GADAE,EAAUJ,GAASmB,EAAY,GAAIM,OAAOvB,IAC7B,MAAMyB,UAAUvB,GAIjC,GADAA,EAAUJ,GAASK,EAAOmB,EAAW,KAAML,GAC9B,MAAMQ,UAAUvB,GAC7B,IAAIwB,EAAevB,EAAMuB,aAAe,IAAIpJ,EACxCqJ,EAAoBpJ,EAA6BmJ,GACrDC,EAAkBC,mBAAmBzB,EAAMM,OAC3CkB,EAAkBE,UAAY,WAC5B1B,EAAMM,MAAQc,OAAOG,IAAiB,MAEnCpK,IACH4J,EAAKY,KAAOC,GAAaC,KAAKd,GAC9BA,EAAKe,OAASC,GAAUF,KAAKd,GAC7BA,EAAKiB,SAAWC,GAAYJ,KAAKd,GACjCA,EAAKzD,SAAW4E,GAAYL,KAAKd,GACjCA,EAAKxD,SAAW4E,GAAYN,KAAKd,GACjCA,EAAK7G,KAAOkI,GAAQP,KAAKd,GACzBA,EAAKsB,SAAWC,GAAYT,KAAKd,GACjCA,EAAKV,KAAOkC,GAAQV,KAAKd,GACzBA,EAAKyB,SAAWC,GAAYZ,KAAKd,GACjCA,EAAK2B,OAASC,GAAUd,KAAKd,GAC7BA,EAAKQ,aAAeqB,GAAgBf,KAAKd,GACzCA,EAAK8B,KAAOC,GAAQjB,KAAKd,KAIzBgC,GAAelC,GAAemC,UAE9BpB,GAAe,WACjB,IAAInI,EAAMjB,EAAoBwI,MAC1B5D,EAAS3D,EAAI2D,OACbE,EAAW7D,EAAI6D,SACfC,EAAW9D,EAAI8D,SACfrD,EAAOT,EAAIS,KACXmG,EAAO5G,EAAI4G,KACXpC,EAAOxE,EAAIwE,KACXqC,EAAQ7G,EAAI6G,MACZC,EAAW9G,EAAI8G,SACf0C,EAAS7F,EAAS,IAYtB,OAXa,OAATlD,GACF+I,GAAU,KACN5F,EAAoB5D,KACtBwJ,GAAU3F,GAAYC,EAAW,IAAMA,EAAW,IAAM,KAE1D0F,GAAUpH,EAAc3B,GACX,OAATmG,IAAe4C,GAAU,IAAM5C,IAChB,QAAVjD,IAAkB6F,GAAU,MACvCA,GAAUxJ,EAAIgE,iBAAmBQ,EAAK,GAAKA,EAAKlE,OAAS,IAAMkE,EAAKjC,KAAK,KAAO,GAClE,OAAVsE,IAAgB2C,GAAU,IAAM3C,GACnB,OAAbC,IAAmB0C,GAAU,IAAM1C,GAChC0C,GAGLlB,GAAY,WACd,IAAItI,EAAMjB,EAAoBwI,MAC1B5D,EAAS3D,EAAI2D,OACbiD,EAAO5G,EAAI4G,KACf,GAAc,QAAVjD,EAAkB,IACpB,OAAO,IAAIlF,IAAIkF,EAAOa,KAAK,IAAI6D,OAC/B,MAAOoB,GACP,MAAO,OAET,MAAc,QAAV9F,GAAqBjD,EAAUV,GAC5B2D,EAAS,MAAQvB,EAAcpC,EAAIS,OAAkB,OAATmG,EAAgB,IAAMA,EAAO,IADhC,QAI9C4B,GAAc,WAChB,OAAOzJ,EAAoBwI,MAAM5D,OAAS,KAGxC8E,GAAc,WAChB,OAAO1J,EAAoBwI,MAAM1D,UAG/B6E,GAAc,WAChB,OAAO3J,EAAoBwI,MAAMzD,UAG/B6E,GAAU,WACZ,IAAI3I,EAAMjB,EAAoBwI,MAC1B9G,EAAOT,EAAIS,KACXmG,EAAO5G,EAAI4G,KACf,OAAgB,OAATnG,EAAgB,GACV,OAATmG,EAAgBxE,EAAc3B,GAC9B2B,EAAc3B,GAAQ,IAAMmG,GAG9BiC,GAAc,WAChB,IAAIpI,EAAO1B,EAAoBwI,MAAM9G,KACrC,OAAgB,OAATA,EAAgB,GAAK2B,EAAc3B,IAGxCqI,GAAU,WACZ,IAAIlC,EAAO7H,EAAoBwI,MAAMX,KACrC,OAAgB,OAATA,EAAgB,GAAKe,OAAOf,IAGjCoC,GAAc,WAChB,IAAIhJ,EAAMjB,EAAoBwI,MAC1B/C,EAAOxE,EAAIwE,KACf,OAAOxE,EAAIgE,iBAAmBQ,EAAK,GAAKA,EAAKlE,OAAS,IAAMkE,EAAKjC,KAAK,KAAO,IAG3E2G,GAAY,WACd,IAAIrC,EAAQ9H,EAAoBwI,MAAMV,MACtC,OAAOA,EAAQ,IAAMA,EAAQ,IAG3BsC,GAAkB,WACpB,OAAOpK,EAAoBwI,MAAMO,cAG/BuB,GAAU,WACZ,IAAIvC,EAAW/H,EAAoBwI,MAAMT,SACzC,OAAOA,EAAW,IAAMA,EAAW,IAGjC4C,GAAqB,SAAUC,EAAQC,GACzC,MAAO,CAAEC,IAAKF,EAAQ7K,IAAK8K,EAAQE,cAAc,EAAMC,YAAY,IAyHrE,GAtHIrM,GACFG,EAAiByL,GAAc,CAG7BpB,KAAMwB,GAAmBvB,IAAc,SAAUD,GAC/C,IAAIlI,EAAMjB,EAAoBwI,MAC1BG,EAAYC,OAAOO,GACnB5B,EAAUJ,GAASlG,EAAK0H,GAC5B,GAAIpB,EAAS,MAAMuB,UAAUvB,GAC7B3H,EAA6BqB,EAAI8H,cAAcE,mBAAmBhI,EAAI6G,UAIxEwB,OAAQqB,GAAmBpB,IAG3BC,SAAUmB,GAAmBlB,IAAa,SAAUD,GAClD,IAAIvI,EAAMjB,EAAoBwI,MAC9BrB,GAASlG,EAAK2H,OAAOY,GAAY,IAAK1D,OAIxChB,SAAU6F,GAAmBjB,IAAa,SAAU5E,GAClD,IAAI7D,EAAMjB,EAAoBwI,MAC1BpH,EAAajC,EAAUyJ,OAAO9D,IAClC,IAAIE,EAA+B/D,GAAnC,CACAA,EAAI6D,SAAW,GACf,IAAK,IAAImD,EAAI,EAAGA,EAAI7G,EAAWG,OAAQ0G,IACrChH,EAAI6D,UAAYhD,EAAcV,EAAW6G,GAAI/D,OAKjDa,SAAU4F,GAAmBhB,IAAa,SAAU5E,GAClD,IAAI9D,EAAMjB,EAAoBwI,MAC1BpH,EAAajC,EAAUyJ,OAAO7D,IAClC,IAAIC,EAA+B/D,GAAnC,CACAA,EAAI8D,SAAW,GACf,IAAK,IAAIkD,EAAI,EAAGA,EAAI7G,EAAWG,OAAQ0G,IACrChH,EAAI8D,UAAYjD,EAAcV,EAAW6G,GAAI/D,OAKjDxC,KAAMiJ,GAAmBf,IAAS,SAAUlI,GAC1C,IAAIT,EAAMjB,EAAoBwI,MAC1BvH,EAAIgE,kBACRkC,GAASlG,EAAK2H,OAAOlH,GAAO8E,OAI9BqD,SAAUc,GAAmBb,IAAa,SAAUD,GAClD,IAAI5I,EAAMjB,EAAoBwI,MAC1BvH,EAAIgE,kBACRkC,GAASlG,EAAK2H,OAAOiB,GAAWpD,OAIlCoB,KAAM8C,GAAmBZ,IAAS,SAAUlC,GAC1C,IAAI5G,EAAMjB,EAAoBwI,MAC1BxD,EAA+B/D,KAEvB,KADZ4G,EAAOe,OAAOf,IACE5G,EAAI4G,KAAO,KACtBV,GAASlG,EAAK4G,EAAMnB,QAI3BsD,SAAUW,GAAmBV,IAAa,SAAUD,GAClD,IAAI/I,EAAMjB,EAAoBwI,MAC1BvH,EAAIgE,mBACRhE,EAAIwE,KAAO,GACX0B,GAASlG,EAAK+I,EAAW,GAAIlD,QAI/BoD,OAAQS,GAAmBR,IAAW,SAAUD,GAC9C,IAAIjJ,EAAMjB,EAAoBwI,MAEhB,KADd0B,EAAStB,OAAOsB,IAEdjJ,EAAI6G,MAAQ,MAER,KAAOoC,EAAO5I,OAAO,KAAI4I,EAASA,EAAOzI,MAAM,IACnDR,EAAI6G,MAAQ,GACZX,GAASlG,EAAKiJ,EAAQjD,KAExBrH,EAA6BqB,EAAI8H,cAAcE,mBAAmBhI,EAAI6G,UAIxEiB,aAAc4B,GAAmBP,IAGjCC,KAAMM,GAAmBL,IAAS,SAAUD,GAC1C,IAAIpJ,EAAMjB,EAAoBwI,MAElB,KADZ6B,EAAOzB,OAAOyB,KAKV,KAAOA,EAAK/I,OAAO,KAAI+I,EAAOA,EAAK5I,MAAM,IAC7CR,EAAI8G,SAAW,GACfZ,GAASlG,EAAKoJ,EAAMnD,KALlBjG,EAAI8G,SAAW,UAYvBhJ,EAASwL,GAAc,UAAU,WAC/B,OAAOnB,GAAaC,KAAKb,QACxB,CAAEwC,YAAY,IAIjBjM,EAASwL,GAAc,YAAY,WACjC,OAAOnB,GAAaC,KAAKb,QACxB,CAAEwC,YAAY,IAEbvL,EAAW,CACb,IAAIwL,GAAwBxL,EAAUyL,gBAClCC,GAAwB1L,EAAU2L,gBAIlCH,IAAuBlM,EAASsJ,GAAgB,mBAAmB,SAAyBgD,GAC9F,OAAOJ,GAAsBK,MAAM7L,EAAWgJ,cAK5C0C,IAAuBpM,EAASsJ,GAAgB,mBAAmB,SAAyBpH,GAC9F,OAAOkK,GAAsBG,MAAM7L,EAAWgJ,cAIlDnJ,EAAe+I,GAAgB,OAE/B3J,EAAE,CAAEG,QAAQ,EAAM0M,QAAS3M,EAAgB4M,MAAO7M,GAAe,CAC/De,IAAK2I,M,oBC7+BP,IAAIoD,EAAyB,EAAQ,IAGjCC,EAAa,IAFC,EAAQ,KAEW,IACjCC,EAAQC,OAAO,IAAMF,EAAaA,EAAa,KAC/CG,EAAQD,OAAOF,EAAaA,EAAa,MAGzCI,EAAe,SAAUC,GAC3B,OAAO,SAAUC,GACf,IAAI7G,EAASyD,OAAO6C,EAAuBO,IAG3C,OAFW,EAAPD,IAAU5G,EAASA,EAAO6C,QAAQ2D,EAAO,KAClC,EAAPI,IAAU5G,EAASA,EAAO6C,QAAQ6D,EAAO,KACtC1G,IAIX8G,EAAOC,QAAU,CAGfC,MAAOL,EAAa,GAGpBM,IAAKN,EAAa,GAGlBO,KAAMP,EAAa,K,oBC1B+CG,EAAOC,QAA2F,WAAW,IAAII,EAAE,oBAAoBC,EAAE,oBAAoBC,OAAOC,EAAE,oBAAoBC,UAAUzE,EAAEsE,IAAI,iBAAiBC,QAAQC,GAAGC,UAAUC,iBAAiB,GAAG,CAAC,cAAc,CAAC,SAAS,SAASC,EAAEL,EAAEE,GAAG,IAAIG,EAAE,SAASN,GAAG,IAAIC,EAAE,mBAAmBD,EAAE,IAAIC,GAAG,iBAAiBD,EAAE,MAAM,IAAIO,MAAM,kEAAkE,MAAM,CAACC,QAAQP,EAAED,EAAEA,EAAEQ,QAAQC,WAAWT,EAAES,YAAY,SAAST,GAAG,OAAOA,GAAGU,OAAOV,EAAEU,QAAQ/E,EAAEgF,YAAW,IAAKX,EAAEW,WAApQ,CAAgRR,EAAE9J,OAAOuK,EAAEN,EAAEE,QAAQK,EAAEP,EAAEG,WAAWH,EAAEK,WAAWV,EAAED,GAAGM,EAAEI,OAAOI,KAAI,SAASd,GAAG,MAAM,CAACe,MAAMf,EAAEQ,QAAQ,SAASR,GAAG,OAAO,SAASA,GAAG,IAAIC,EAAED,EAAEgB,GAAGb,EAAEH,EAAEe,MAAMpF,EAAEqE,EAAEQ,QAAQF,EAAEN,EAAES,WAAWG,EAAET,EAAEhH,MAAMgH,EAAEc,cAAcd,EAAEc,eAAeJ,EAAED,EAAEA,EAAEM,QAAQjB,GAAG,GAAGA,EAAEkB,SAAShB,EAAEiB,QAAQjB,EAAEiB,SAASnB,GAAGY,GAAGP,EAAEH,IAAIxE,EAAEwE,GAAzK,CAA6K,CAACY,MAAMf,EAAEgB,GAAGf,EAAEO,QAAQI,EAAEH,WAAWI,SAAQZ,EAAED,GAAGqB,SAAQ,SAASlB,GAAG,IAAIxE,EAAEwE,EAAEY,MAAMT,EAAEH,EAAEK,QAAQ,OAAOc,YAAW,WAAWrB,EAAED,IAAIuB,SAASC,gBAAgBC,iBAAiB9F,EAAE2E,GAAE,KAAK,OAAM,SAASM,EAAEX,IAAIA,EAAED,IAAI,IAAIqB,SAAQ,SAASrB,GAAG,OAAOuB,SAASC,gBAAgBE,oBAAoB1B,EAAEe,MAAMf,EAAEQ,SAAQ,aAAaP,EAAED,GAAG,IAAIa,EAAEZ,EAAE,CAAC0B,KAAKrB,EAAEsB,OAAO,SAAS5B,EAAEC,GAAG,IAAIE,EAAEF,EAAE5J,MAAMsF,EAAEsE,EAAE4B,SAASC,KAAKC,UAAU5B,KAAK2B,KAAKC,UAAUpG,KAAKiF,EAAEZ,GAAGM,EAAEN,EAAE,CAAC3J,MAAM8J,MAAM6B,OAAOpB,GAAG,GAAG,MAAM,CAACqB,QAAQ,SAASjC,GAAGA,EAAEkC,UAAU,gBAAgBrB,IAAIqB,UAAUrB,GAAz2CZ,I,kBCEnFN,EAAOC,QAAU,iD,iCCDjB,IAAIxN,EAAI,EAAQ,IACZ+P,EAAO,EAAQ,IAAgCrB,IAC/CsB,EAA+B,EAAQ,IACvCC,EAA0B,EAAQ,IAElCC,EAAsBF,EAA6B,OAEnDG,EAAiBF,EAAwB,OAK7CjQ,EAAE,CAAEgP,OAAQ,QAASoB,OAAO,EAAMvD,QAASqD,IAAwBC,GAAkB,CACnFzB,IAAK,SAAa2B,GAChB,OAAON,EAAKjG,KAAMuG,EAAYtG,UAAUlH,OAAS,EAAIkH,UAAU,QAAKC,O,oBCfxE,IAAIsG,EAAQ,EAAQ,GAChBC,EAAkB,EAAQ,GAC1BC,EAAU,EAAQ,IAElBC,EAAWF,EAAgB,YAE/BhD,EAAOC,SAAW8C,GAAM,WACtB,IAAI/N,EAAM,IAAIvB,IAAI,gBAAiB,YAC/BqJ,EAAe9H,EAAI8H,aACnB5H,EAAS,GAMb,OALAF,EAAI+I,SAAW,QACfjB,EAAa4E,SAAQ,SAAUhL,EAAOyM,GACpCrG,EAAqB,OAAE,KACvB5H,GAAUiO,EAAMzM,KAEVuM,IAAYjO,EAAIoO,SAClBtG,EAAauG,MACD,2BAAbrO,EAAIkI,MACsB,MAA1BJ,EAAa+B,IAAI,MACuB,QAAxClC,OAAO,IAAIjJ,gBAAgB,WAC1BoJ,EAAaoG,IAEsB,MAApC,IAAIzP,IAAI,eAAeoF,UACsC,MAA7D,IAAInF,gBAAgB,IAAIA,gBAAgB,QAAQmL,IAAI,MAEpB,eAAhC,IAAIpL,IAAI,eAAegC,MAEQ,YAA/B,IAAIhC,IAAI,cAAc2K,MAEX,SAAXlJ,GAEwC,MAAxC,IAAIzB,IAAI,gBAAYgJ,GAAWhH,S,iCC9BtC,IAAIuM,EAAO,EAAQ,IACfsB,EAAW,EAAQ,IACnBC,EAA+B,EAAQ,KACvCC,EAAwB,EAAQ,KAChCC,EAAW,EAAQ,IACnBC,EAAiB,EAAQ,KACzBC,EAAoB,EAAQ,KAIhC3D,EAAOC,QAAU,SAAc2D,GAC7B,IAOItO,EAAQJ,EAAQ2O,EAAMC,EAAUC,EAAMrN,EAPtCsN,EAAIV,EAASM,GACbK,EAAmB,mBAAR1H,KAAqBA,KAAO2H,MACvCC,EAAkB3H,UAAUlH,OAC5B8O,EAAQD,EAAkB,EAAI3H,UAAU,QAAKC,EAC7C4H,OAAoB5H,IAAV2H,EACVE,EAAiBX,EAAkBK,GACnC5O,EAAQ,EAIZ,GAFIiP,IAASD,EAAQpC,EAAKoC,EAAOD,EAAkB,EAAI3H,UAAU,QAAKC,EAAW,IAE3DA,MAAlB6H,GAAiCL,GAAKC,OAASV,EAAsBc,GAWvE,IADApP,EAAS,IAAI+O,EADb3O,EAASmO,EAASO,EAAE1O,SAEdA,EAASF,EAAOA,IACpBsB,EAAQ2N,EAAUD,EAAMJ,EAAE5O,GAAQA,GAAS4O,EAAE5O,GAC7CsO,EAAexO,EAAQE,EAAOsB,QAThC,IAFAqN,GADAD,EAAWQ,EAAelH,KAAK4G,IACfD,KAChB7O,EAAS,IAAI+O,IACLJ,EAAOE,EAAK3G,KAAK0G,IAAWS,KAAMnP,IACxCsB,EAAQ2N,EAAUd,EAA6BO,EAAUM,EAAO,CAACP,EAAKnN,MAAOtB,IAAQ,GAAQyO,EAAKnN,MAClGgN,EAAexO,EAAQE,EAAOsB,GAWlC,OADAxB,EAAOI,OAASF,EACTF,I,iCCrCT,IASIsP,EAAgB,eAChBC,EAAkB,yBAClBC,EAAiB,kDAEjBzQ,EAAQC,KAAKD,MACb0Q,EAAqBhI,OAAOiI,aAoC5BC,EAAe,SAAUC,GAG3B,OAAOA,EAAQ,GAAK,IAAMA,EAAQ,KAOhCC,EAAQ,SAAUC,EAAOC,EAAWC,GACtC,IAAIC,EAAI,EAGR,IAFAH,EAAQE,EAAYjR,EAAM+Q,EAzDjB,KAyDiCA,GAAS,EACnDA,GAAS/Q,EAAM+Q,EAAQC,GAChBD,EAAQI,IAA2BD,GA/DjC,GAgEPH,EAAQ/Q,EAAM+Q,EArDE5J,IAuDlB,OAAOnH,EAAMkR,EAAI,GAAsBH,GAASA,EA/DvC,MAuEPK,EAAS,SAAUpQ,GACrB,IAYI+G,EAAGsJ,EAZH9G,EAAS,GAMT+G,GAHJtQ,EAxDe,SAAUiE,GAIzB,IAHA,IAAIsF,EAAS,GACTgH,EAAU,EACVlQ,EAAS4D,EAAO5D,OACbkQ,EAAUlQ,GAAQ,CACvB,IAAIoB,EAAQwC,EAAOuM,WAAWD,KAC9B,GAAI9O,GAAS,OAAUA,GAAS,OAAU8O,EAAUlQ,EAAQ,CAE1D,IAAIoQ,EAAQxM,EAAOuM,WAAWD,KACN,QAAX,MAARE,GACHlH,EAAO/H,OAAe,KAARC,IAAkB,KAAe,KAARgP,GAAiB,QAIxDlH,EAAO/H,KAAKC,GACZ8O,UAGFhH,EAAO/H,KAAKC,GAGhB,OAAO8H,EAmCCmH,CAAW1Q,IAGKK,OAGpBgL,EA9ES,IA+ET0E,EAAQ,EACRY,EAjFY,GAqFhB,IAAK5J,EAAI,EAAGA,EAAI/G,EAAMK,OAAQ0G,KAC5BsJ,EAAerQ,EAAM+G,IACF,KACjBwC,EAAO/H,KAAKkO,EAAmBW,IAInC,IAAIO,EAAcrH,EAAOlJ,OACrBwQ,EAAiBD,EAQrB,IALIA,GACFrH,EAAO/H,KA/FK,KAmGPqP,EAAiBP,GAAa,CAEnC,IAAIQ,EA7GK,WA8GT,IAAK/J,EAAI,EAAGA,EAAI/G,EAAMK,OAAQ0G,KAC5BsJ,EAAerQ,EAAM+G,KACDsE,GAAKgF,EAAeS,IACtCA,EAAIT,GAKR,IAAIU,EAAwBF,EAAiB,EAC7C,GAAIC,EAAIzF,EAAIrM,GAvHH,WAuHmB+Q,GAASgB,GACnC,MAAMC,WAAWvB,GAMnB,IAHAM,IAAUe,EAAIzF,GAAK0F,EACnB1F,EAAIyF,EAEC/J,EAAI,EAAGA,EAAI/G,EAAMK,OAAQ0G,IAAK,CAEjC,IADAsJ,EAAerQ,EAAM+G,IACFsE,KAAO0E,EAhInB,WAiIL,MAAMiB,WAAWvB,GAEnB,GAAIY,GAAgBhF,EAAG,CAGrB,IADA,IAAI4F,EAAIlB,EACCG,EArIN,IAqIoCA,GArIpC,GAqI+C,CAChD,IAAI3E,EAAI2E,GAAKS,EArIZ,EAqI2BT,GAAKS,EApIhC,MAoIqDT,EAAIS,EAC1D,GAAIM,EAAI1F,EAAG,MACX,IAAI2F,EAAUD,EAAI1F,EACd4F,EAzIH,GAyIuB5F,EACxBhC,EAAO/H,KAAKkO,EAAmBE,EAAarE,EAAI2F,EAAUC,KAC1DF,EAAIjS,EAAMkS,EAAUC,GAGtB5H,EAAO/H,KAAKkO,EAAmBE,EAAaqB,KAC5CN,EAAOb,EAAMC,EAAOgB,EAAuBF,GAAkBD,GAC7Db,EAAQ,IACNc,KAIJd,IACA1E,EAEJ,OAAO9B,EAAOjH,KAAK,KAGrByI,EAAOC,QAAU,SAAUhL,GACzB,IAEI+G,EAAGqK,EAFHC,EAAU,GACVC,EAAStR,EAAM2E,cAAcmC,QAAQ0I,EAAiB,KAAUnO,MAAM,KAE1E,IAAK0F,EAAI,EAAGA,EAAIuK,EAAOjR,OAAQ0G,IAC7BqK,EAAQE,EAAOvK,GACfsK,EAAQ7P,KAAK+N,EAAc7O,KAAK0Q,GAAS,OAAShB,EAAOgB,GAASA,GAEpE,OAAOC,EAAQ/O,KAAK,O,iCCpKtB,EAAQ,IACR,IAAI9E,EAAI,EAAQ,IACZ+T,EAAa,EAAQ,IACrB7T,EAAiB,EAAQ,KACzBG,EAAW,EAAQ,IACnB2T,EAAc,EAAQ,KACtBpT,EAAiB,EAAQ,IACzBqT,EAA4B,EAAQ,KACpCnT,EAAsB,EAAQ,IAC9BR,EAAa,EAAQ,IACrB4T,EAAS,EAAQ,GACjB3E,EAAO,EAAQ,IACf4E,EAAU,EAAQ,IAClBC,EAAW,EAAQ,GACnBC,EAAW,EAAQ,GACnBC,EAAS,EAAQ,IACjBC,EAA2B,EAAQ,IACnCC,EAAc,EAAQ,KACtBtD,EAAoB,EAAQ,KAC5BX,EAAkB,EAAQ,GAE1BkE,EAASV,EAAW,SACpBW,EAAUX,EAAW,WACrBtD,EAAWF,EAAgB,YAG3BnP,EAAmBN,EAAoBO,IACvCsT,EAAyB7T,EAAoBS,UAHzB,mBAIpBqT,EAA2B9T,EAAoBS,UAHlBsT,2BAK7BC,EAAO,MACPC,EAAYtD,MAAM,GAElBuD,EAAkB,SAAUC,GAC9B,OAAOF,EAAUE,EAAQ,KAAOF,EAAUE,EAAQ,GAAK/H,OAAO,qBAAuB+H,EAAQ,KAAM,QAGjGC,EAAgB,SAAUC,GAC5B,IACE,OAAOC,mBAAmBD,GAC1B,MAAOnJ,GACP,OAAOmJ,IAIPE,EAAc,SAAUC,GAC1B,IAAI7S,EAAS6S,EAAGhM,QAAQwL,EAAM,KAC1BG,EAAQ,EACZ,IACE,OAAOG,mBAAmB3S,GAC1B,MAAOuJ,GACP,KAAOiJ,GACLxS,EAASA,EAAO6G,QAAQ0L,EAAgBC,KAAUC,GAEpD,OAAOzS,IAIP8S,EAAO,eAEPjM,EAAU,CACZ,IAAK,MACL,IAAK,MACL,IAAK,MACL,IAAK,MACL,IAAK,MACL,MAAO,KAGLkM,EAAW,SAAUC,GACvB,OAAOnM,EAAQmM,IAGbC,EAAY,SAAUJ,GACxB,OAAO5P,mBAAmB4P,GAAIhM,QAAQiM,EAAMC,IAG1CG,EAAoB,SAAUlT,EAAQ2G,GACxC,GAAIA,EAIF,IAHA,IAEIwM,EAAWC,EAFXC,EAAa1M,EAAMvF,MAAM,KACzBlB,EAAQ,EAELA,EAAQmT,EAAWjT,SACxB+S,EAAYE,EAAWnT,MACTE,SACZgT,EAAQD,EAAU/R,MAAM,KACxBpB,EAAOuB,KAAK,CACV0M,IAAK2E,EAAYQ,EAAMnM,SACvBzF,MAAOoR,EAAYQ,EAAM/Q,KAAK,UAOpCyF,EAAqB,SAAUnB,GACjCU,KAAKiM,QAAQlT,OAAS,EACtB8S,EAAkB7L,KAAKiM,QAAS3M,IAG9B4M,EAA0B,SAAUC,EAAQC,GAC9C,GAAID,EAASC,EAAU,MAAM9L,UAAU,yBAGrC+L,EAA0BlC,GAA0B,SAAkBmC,EAAQC,GAChFjV,EAAiB0I,KAAM,CACrBK,KAjF6B0K,0BAkF7BxD,SAAUmD,EAAYG,EAAuByB,GAAQL,SACrDM,KAAMA,MAEP,YAAY,WACb,IAAIvN,EAAQ8L,EAAyB9K,MACjCuM,EAAOvN,EAAMuN,KACbjF,EAAOtI,EAAMuI,SAASC,OACtBuE,EAAQzE,EAAKnN,MAGf,OAFGmN,EAAKU,OACRV,EAAKnN,MAAiB,SAAToS,EAAkBR,EAAMnF,IAAe,WAAT2F,EAAoBR,EAAM5R,MAAQ,CAAC4R,EAAMnF,IAAKmF,EAAM5R,QACxFmN,KAKPkF,EAA6B,WAC/BhW,EAAWwJ,KAAMwM,EAnGK,mBAoGtB,IAGIzE,EAAgBR,EAAUC,EAAMF,EAAMmF,EAAeC,EAAWC,EAAO9P,EAAQ+J,EAH/EgG,EAAO3M,UAAUlH,OAAS,EAAIkH,UAAU,QAAKC,EAC7CH,EAAOC,KACPiM,EAAU,GAUd,GAPA3U,EAAiByI,EAAM,CACrBM,KA1GoB,kBA2GpB4L,QAASA,EACTvL,UAAW,aACXD,mBAAoBA,SAGTP,IAAT0M,EACF,GAAIrC,EAASqC,GAEX,GAA8B,mBAD9B7E,EAAiBX,EAAkBwF,IAIjC,IADApF,GADAD,EAAWQ,EAAelH,KAAK+L,IACfpF,OACPF,EAAOE,EAAK3G,KAAK0G,IAAWS,MAAM,CAGzC,IACG2E,GAFHD,GADAD,EAAgB/B,EAAYJ,EAAShD,EAAKnN,SAChBqN,MAEL3G,KAAK4L,IAAgBzE,OACvCnL,EAAS6P,EAAU7L,KAAK4L,IAAgBzE,OACxC0E,EAAU7L,KAAK4L,GAAezE,KAC/B,MAAM1H,UAAU,mCAClB2L,EAAQ/R,KAAK,CAAE0M,IAAK+F,EAAMxS,MAAQ,GAAIA,MAAO0C,EAAO1C,MAAQ,UAEzD,IAAKyM,KAAOgG,EAAUxC,EAAOwC,EAAMhG,IAAMqF,EAAQ/R,KAAK,CAAE0M,IAAKA,EAAKzM,MAAOyS,EAAKhG,GAAO,UAE5FiF,EAAkBI,EAAyB,iBAATW,EAAuC,MAAnBA,EAAK9T,OAAO,GAAa8T,EAAK3T,MAAM,GAAK2T,EAAOA,EAAO,KAK/GC,EAA2BL,EAA2BxK,UAE1DkI,EAAY2C,EAA0B,CAGpCC,OAAQ,SAAgBC,EAAM5S,GAC5B+R,EAAwBjM,UAAUlH,OAAQ,GAC1C,IAAIiG,EAAQ6L,EAAuB7K,MACnChB,EAAMiN,QAAQ/R,KAAK,CAAE0M,IAAKmG,EAAO,GAAI5S,MAAOA,EAAQ,KACpD6E,EAAM0B,aAIR,OAAU,SAAUqM,GAClBb,EAAwBjM,UAAUlH,OAAQ,GAK1C,IAJA,IAAIiG,EAAQ6L,EAAuB7K,MAC/BiM,EAAUjN,EAAMiN,QAChBrF,EAAMmG,EAAO,GACblU,EAAQ,EACLA,EAAQoT,EAAQlT,QACjBkT,EAAQpT,GAAO+N,MAAQA,EAAKqF,EAAQe,OAAOnU,EAAO,GACjDA,IAEPmG,EAAM0B,aAIR4B,IAAK,SAAayK,GAChBb,EAAwBjM,UAAUlH,OAAQ,GAI1C,IAHA,IAAIkT,EAAUpB,EAAuB7K,MAAMiM,QACvCrF,EAAMmG,EAAO,GACblU,EAAQ,EACLA,EAAQoT,EAAQlT,OAAQF,IAC7B,GAAIoT,EAAQpT,GAAO+N,MAAQA,EAAK,OAAOqF,EAAQpT,GAAOsB,MAExD,OAAO,MAIT8S,OAAQ,SAAgBF,GACtBb,EAAwBjM,UAAUlH,OAAQ,GAK1C,IAJA,IAAIkT,EAAUpB,EAAuB7K,MAAMiM,QACvCrF,EAAMmG,EAAO,GACbpU,EAAS,GACTE,EAAQ,EACLA,EAAQoT,EAAQlT,OAAQF,IACzBoT,EAAQpT,GAAO+N,MAAQA,GAAKjO,EAAOuB,KAAK+R,EAAQpT,GAAOsB,OAE7D,OAAOxB,GAITlC,IAAK,SAAasW,GAChBb,EAAwBjM,UAAUlH,OAAQ,GAI1C,IAHA,IAAIkT,EAAUpB,EAAuB7K,MAAMiM,QACvCrF,EAAMmG,EAAO,GACblU,EAAQ,EACLA,EAAQoT,EAAQlT,QACrB,GAAIkT,EAAQpT,KAAS+N,MAAQA,EAAK,OAAO,EAE3C,OAAO,GAITrP,IAAK,SAAawV,EAAM5S,GACtB+R,EAAwBjM,UAAUlH,OAAQ,GAQ1C,IAPA,IAMIgT,EANA/M,EAAQ6L,EAAuB7K,MAC/BiM,EAAUjN,EAAMiN,QAChBiB,GAAQ,EACRtG,EAAMmG,EAAO,GACbI,EAAMhT,EAAQ,GACdtB,EAAQ,EAELA,EAAQoT,EAAQlT,OAAQF,KAC7BkT,EAAQE,EAAQpT,IACN+N,MAAQA,IACZsG,EAAOjB,EAAQe,OAAOnU,IAAS,IAEjCqU,GAAQ,EACRnB,EAAM5R,MAAQgT,IAIfD,GAAOjB,EAAQ/R,KAAK,CAAE0M,IAAKA,EAAKzM,MAAOgT,IAC5CnO,EAAM0B,aAIRoG,KAAM,WACJ,IAIIiF,EAAOqB,EAAcC,EAJrBrO,EAAQ6L,EAAuB7K,MAC/BiM,EAAUjN,EAAMiN,QAEhBhT,EAAQgT,EAAQhT,QAGpB,IADAgT,EAAQlT,OAAS,EACZsU,EAAa,EAAGA,EAAapU,EAAMF,OAAQsU,IAAc,CAE5D,IADAtB,EAAQ9S,EAAMoU,GACTD,EAAe,EAAGA,EAAeC,EAAYD,IAChD,GAAInB,EAAQmB,GAAcxG,IAAMmF,EAAMnF,IAAK,CACzCqF,EAAQe,OAAOI,EAAc,EAAGrB,GAChC,MAGAqB,IAAiBC,GAAYpB,EAAQ/R,KAAK6R,GAEhD/M,EAAM0B,aAGRyE,QAAS,SAAiBmI,GAKxB,IAJA,IAGIvB,EAHAE,EAAUpB,EAAuB7K,MAAMiM,QACvCsB,EAAgB9H,EAAK6H,EAAUrN,UAAUlH,OAAS,EAAIkH,UAAU,QAAKC,EAAW,GAChFrH,EAAQ,EAELA,EAAQoT,EAAQlT,QAErBwU,GADAxB,EAAQE,EAAQpT,MACIsB,MAAO4R,EAAMnF,IAAK5G,OAI1CwN,KAAM,WACJ,OAAO,IAAInB,EAAwBrM,KAAM,SAG3CyN,OAAQ,WACN,OAAO,IAAIpB,EAAwBrM,KAAM,WAG3CiM,QAAS,WACP,OAAO,IAAII,EAAwBrM,KAAM,aAE1C,CAAEwC,YAAY,IAGjBjM,EAASsW,EAA0BlG,EAAUkG,EAAyBZ,SAItE1V,EAASsW,EAA0B,YAAY,WAK7C,IAJA,IAGId,EAHAE,EAAUpB,EAAuB7K,MAAMiM,QACvCtT,EAAS,GACTE,EAAQ,EAELA,EAAQoT,EAAQlT,QACrBgT,EAAQE,EAAQpT,KAChBF,EAAOuB,KAAK0R,EAAUG,EAAMnF,KAAO,IAAMgF,EAAUG,EAAM5R,QACzD,OAAOxB,EAAOqC,KAAK,OACpB,CAAEwH,YAAY,IAEjB1L,EAAe0V,EA3RS,mBA6RxBtW,EAAE,CAAEG,QAAQ,EAAM0M,QAAS3M,GAAkB,CAC3Ce,gBAAiBqV,IAKdpW,GAAmC,mBAAVuU,GAA0C,mBAAXC,GAC3D1U,EAAE,CAAEG,QAAQ,EAAMmM,YAAY,EAAMO,QAAQ,GAAQ,CAClD2K,MAAO,SAAehV,GACpB,IACIkU,EAAMe,EAAMC,EADZC,EAAO,CAACnV,GAkBV,OAhBEuH,UAAUlH,OAAS,IAEjBwR,EADJqC,EAAO3M,UAAU,MAEf0N,EAAOf,EAAKe,KA3SE,oBA4SVtD,EAAQsD,MACVC,EAAUhB,EAAKgB,QAAU,IAAIhD,EAAQgC,EAAKgB,SAAW,IAAIhD,GAC5CnU,IAAI,iBACfmX,EAAQrW,IAAI,eAAgB,mDAE9BqV,EAAOpC,EAAOoC,EAAM,CAClBe,KAAMlD,EAAyB,EAAGrK,OAAOuN,IACzCC,QAASnD,EAAyB,EAAGmD,OAI3CC,EAAK3T,KAAK0S,IACHjC,EAAO7H,MAAM9C,KAAM6N,MAKlCpK,EAAOC,QAAU,CACfvM,gBAAiBqV,EACjBnV,SAAUwT,I,oBCzVZ,IAAIP,EAAW,EAAQ,GACnBlD,EAAoB,EAAQ,KAEhC3D,EAAOC,QAAU,SAAU8H,GACzB,IAAIzD,EAAiBX,EAAkBoE,GACvC,GAA6B,mBAAlBzD,EACT,MAAMzH,UAAUF,OAAOoL,GAAM,oBAC7B,OAAOlB,EAASvC,EAAelH,KAAK2K","file":"vendors~editor-collab~editor-guest~editor-rich~files-modal.js?v=feb5918c44017d3ba256","sourcesContent":["'use strict';\n// TODO: in core-js@4, move /modules/ dependencies to public entries for better optimization by tools like `preset-env`\nrequire('../modules/es.string.iterator');\nvar $ = require('../internals/export');\nvar DESCRIPTORS = require('../internals/descriptors');\nvar USE_NATIVE_URL = require('../internals/native-url');\nvar global = require('../internals/global');\nvar defineProperties = require('../internals/object-define-properties');\nvar redefine = require('../internals/redefine');\nvar anInstance = require('../internals/an-instance');\nvar has = require('../internals/has');\nvar assign = require('../internals/object-assign');\nvar arrayFrom = require('../internals/array-from');\nvar codeAt = require('../internals/string-multibyte').codeAt;\nvar toASCII = require('../internals/string-punycode-to-ascii');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar URLSearchParamsModule = require('../modules/web.url-search-params');\nvar InternalStateModule = require('../internals/internal-state');\n\nvar NativeURL = global.URL;\nvar URLSearchParams = URLSearchParamsModule.URLSearchParams;\nvar getInternalSearchParamsState = URLSearchParamsModule.getState;\nvar setInternalState = InternalStateModule.set;\nvar getInternalURLState = InternalStateModule.getterFor('URL');\nvar floor = Math.floor;\nvar pow = Math.pow;\n\nvar INVALID_AUTHORITY = 'Invalid authority';\nvar INVALID_SCHEME = 'Invalid scheme';\nvar INVALID_HOST = 'Invalid host';\nvar INVALID_PORT = 'Invalid port';\n\nvar ALPHA = /[A-Za-z]/;\nvar ALPHANUMERIC = /[\\d+-.A-Za-z]/;\nvar DIGIT = /\\d/;\nvar HEX_START = /^(0x|0X)/;\nvar OCT = /^[0-7]+$/;\nvar DEC = /^\\d+$/;\nvar HEX = /^[\\dA-Fa-f]+$/;\n// eslint-disable-next-line no-control-regex\nvar FORBIDDEN_HOST_CODE_POINT = /[\\u0000\\u0009\\u000A\\u000D #%/:?@[\\\\]]/;\n// eslint-disable-next-line no-control-regex\nvar FORBIDDEN_HOST_CODE_POINT_EXCLUDING_PERCENT = /[\\u0000\\u0009\\u000A\\u000D #/:?@[\\\\]]/;\n// eslint-disable-next-line no-control-regex\nvar LEADING_AND_TRAILING_C0_CONTROL_OR_SPACE = /^[\\u0000-\\u001F ]+|[\\u0000-\\u001F ]+$/g;\n// eslint-disable-next-line no-control-regex\nvar TAB_AND_NEW_LINE = /[\\u0009\\u000A\\u000D]/g;\nvar EOF;\n\nvar parseHost = function (url, input) {\n var result, codePoints, index;\n if (input.charAt(0) == '[') {\n if (input.charAt(input.length - 1) != ']') return INVALID_HOST;\n result = parseIPv6(input.slice(1, -1));\n if (!result) return INVALID_HOST;\n url.host = result;\n // opaque host\n } else if (!isSpecial(url)) {\n if (FORBIDDEN_HOST_CODE_POINT_EXCLUDING_PERCENT.test(input)) return INVALID_HOST;\n result = '';\n codePoints = arrayFrom(input);\n for (index = 0; index < codePoints.length; index++) {\n result += percentEncode(codePoints[index], C0ControlPercentEncodeSet);\n }\n url.host = result;\n } else {\n input = toASCII(input);\n if (FORBIDDEN_HOST_CODE_POINT.test(input)) return INVALID_HOST;\n result = parseIPv4(input);\n if (result === null) return INVALID_HOST;\n url.host = result;\n }\n};\n\nvar parseIPv4 = function (input) {\n var parts = input.split('.');\n var partsLength, numbers, index, part, radix, number, ipv4;\n if (parts.length && parts[parts.length - 1] == '') {\n parts.pop();\n }\n partsLength = parts.length;\n if (partsLength > 4) return input;\n numbers = [];\n for (index = 0; index < partsLength; index++) {\n part = parts[index];\n if (part == '') return input;\n radix = 10;\n if (part.length > 1 && part.charAt(0) == '0') {\n radix = HEX_START.test(part) ? 16 : 8;\n part = part.slice(radix == 8 ? 1 : 2);\n }\n if (part === '') {\n number = 0;\n } else {\n if (!(radix == 10 ? DEC : radix == 8 ? OCT : HEX).test(part)) return input;\n number = parseInt(part, radix);\n }\n numbers.push(number);\n }\n for (index = 0; index < partsLength; index++) {\n number = numbers[index];\n if (index == partsLength - 1) {\n if (number >= pow(256, 5 - partsLength)) return null;\n } else if (number > 255) return null;\n }\n ipv4 = numbers.pop();\n for (index = 0; index < numbers.length; index++) {\n ipv4 += numbers[index] * pow(256, 3 - index);\n }\n return ipv4;\n};\n\n// eslint-disable-next-line max-statements\nvar parseIPv6 = function (input) {\n var address = [0, 0, 0, 0, 0, 0, 0, 0];\n var pieceIndex = 0;\n var compress = null;\n var pointer = 0;\n var value, length, numbersSeen, ipv4Piece, number, swaps, swap;\n\n var char = function () {\n return input.charAt(pointer);\n };\n\n if (char() == ':') {\n if (input.charAt(1) != ':') return;\n pointer += 2;\n pieceIndex++;\n compress = pieceIndex;\n }\n while (char()) {\n if (pieceIndex == 8) return;\n if (char() == ':') {\n if (compress !== null) return;\n pointer++;\n pieceIndex++;\n compress = pieceIndex;\n continue;\n }\n value = length = 0;\n while (length < 4 && HEX.test(char())) {\n value = value * 16 + parseInt(char(), 16);\n pointer++;\n length++;\n }\n if (char() == '.') {\n if (length == 0) return;\n pointer -= length;\n if (pieceIndex > 6) return;\n numbersSeen = 0;\n while (char()) {\n ipv4Piece = null;\n if (numbersSeen > 0) {\n if (char() == '.' && numbersSeen < 4) pointer++;\n else return;\n }\n if (!DIGIT.test(char())) return;\n while (DIGIT.test(char())) {\n number = parseInt(char(), 10);\n if (ipv4Piece === null) ipv4Piece = number;\n else if (ipv4Piece == 0) return;\n else ipv4Piece = ipv4Piece * 10 + number;\n if (ipv4Piece > 255) return;\n pointer++;\n }\n address[pieceIndex] = address[pieceIndex] * 256 + ipv4Piece;\n numbersSeen++;\n if (numbersSeen == 2 || numbersSeen == 4) pieceIndex++;\n }\n if (numbersSeen != 4) return;\n break;\n } else if (char() == ':') {\n pointer++;\n if (!char()) return;\n } else if (char()) return;\n address[pieceIndex++] = value;\n }\n if (compress !== null) {\n swaps = pieceIndex - compress;\n pieceIndex = 7;\n while (pieceIndex != 0 && swaps > 0) {\n swap = address[pieceIndex];\n address[pieceIndex--] = address[compress + swaps - 1];\n address[compress + --swaps] = swap;\n }\n } else if (pieceIndex != 8) return;\n return address;\n};\n\nvar findLongestZeroSequence = function (ipv6) {\n var maxIndex = null;\n var maxLength = 1;\n var currStart = null;\n var currLength = 0;\n var index = 0;\n for (; index < 8; index++) {\n if (ipv6[index] !== 0) {\n if (currLength > maxLength) {\n maxIndex = currStart;\n maxLength = currLength;\n }\n currStart = null;\n currLength = 0;\n } else {\n if (currStart === null) currStart = index;\n ++currLength;\n }\n }\n if (currLength > maxLength) {\n maxIndex = currStart;\n maxLength = currLength;\n }\n return maxIndex;\n};\n\nvar serializeHost = function (host) {\n var result, index, compress, ignore0;\n // ipv4\n if (typeof host == 'number') {\n result = [];\n for (index = 0; index < 4; index++) {\n result.unshift(host % 256);\n host = floor(host / 256);\n } return result.join('.');\n // ipv6\n } else if (typeof host == 'object') {\n result = '';\n compress = findLongestZeroSequence(host);\n for (index = 0; index < 8; index++) {\n if (ignore0 && host[index] === 0) continue;\n if (ignore0) ignore0 = false;\n if (compress === index) {\n result += index ? ':' : '::';\n ignore0 = true;\n } else {\n result += host[index].toString(16);\n if (index < 7) result += ':';\n }\n }\n return '[' + result + ']';\n } return host;\n};\n\nvar C0ControlPercentEncodeSet = {};\nvar fragmentPercentEncodeSet = assign({}, C0ControlPercentEncodeSet, {\n ' ': 1, '\"': 1, '<': 1, '>': 1, '`': 1\n});\nvar pathPercentEncodeSet = assign({}, fragmentPercentEncodeSet, {\n '#': 1, '?': 1, '{': 1, '}': 1\n});\nvar userinfoPercentEncodeSet = assign({}, pathPercentEncodeSet, {\n '/': 1, ':': 1, ';': 1, '=': 1, '@': 1, '[': 1, '\\\\': 1, ']': 1, '^': 1, '|': 1\n});\n\nvar percentEncode = function (char, set) {\n var code = codeAt(char, 0);\n return code > 0x20 && code < 0x7F && !has(set, char) ? char : encodeURIComponent(char);\n};\n\nvar specialSchemes = {\n ftp: 21,\n file: null,\n http: 80,\n https: 443,\n ws: 80,\n wss: 443\n};\n\nvar isSpecial = function (url) {\n return has(specialSchemes, url.scheme);\n};\n\nvar includesCredentials = function (url) {\n return url.username != '' || url.password != '';\n};\n\nvar cannotHaveUsernamePasswordPort = function (url) {\n return !url.host || url.cannotBeABaseURL || url.scheme == 'file';\n};\n\nvar isWindowsDriveLetter = function (string, normalized) {\n var second;\n return string.length == 2 && ALPHA.test(string.charAt(0))\n && ((second = string.charAt(1)) == ':' || (!normalized && second == '|'));\n};\n\nvar startsWithWindowsDriveLetter = function (string) {\n var third;\n return string.length > 1 && isWindowsDriveLetter(string.slice(0, 2)) && (\n string.length == 2 ||\n ((third = string.charAt(2)) === '/' || third === '\\\\' || third === '?' || third === '#')\n );\n};\n\nvar shortenURLsPath = function (url) {\n var path = url.path;\n var pathSize = path.length;\n if (pathSize && (url.scheme != 'file' || pathSize != 1 || !isWindowsDriveLetter(path[0], true))) {\n path.pop();\n }\n};\n\nvar isSingleDot = function (segment) {\n return segment === '.' || segment.toLowerCase() === '%2e';\n};\n\nvar isDoubleDot = function (segment) {\n segment = segment.toLowerCase();\n return segment === '..' || segment === '%2e.' || segment === '.%2e' || segment === '%2e%2e';\n};\n\n// States:\nvar SCHEME_START = {};\nvar SCHEME = {};\nvar NO_SCHEME = {};\nvar SPECIAL_RELATIVE_OR_AUTHORITY = {};\nvar PATH_OR_AUTHORITY = {};\nvar RELATIVE = {};\nvar RELATIVE_SLASH = {};\nvar SPECIAL_AUTHORITY_SLASHES = {};\nvar SPECIAL_AUTHORITY_IGNORE_SLASHES = {};\nvar AUTHORITY = {};\nvar HOST = {};\nvar HOSTNAME = {};\nvar PORT = {};\nvar FILE = {};\nvar FILE_SLASH = {};\nvar FILE_HOST = {};\nvar PATH_START = {};\nvar PATH = {};\nvar CANNOT_BE_A_BASE_URL_PATH = {};\nvar QUERY = {};\nvar FRAGMENT = {};\n\n// eslint-disable-next-line max-statements\nvar parseURL = function (url, input, stateOverride, base) {\n var state = stateOverride || SCHEME_START;\n var pointer = 0;\n var buffer = '';\n var seenAt = false;\n var seenBracket = false;\n var seenPasswordToken = false;\n var codePoints, char, bufferCodePoints, failure;\n\n if (!stateOverride) {\n url.scheme = '';\n url.username = '';\n url.password = '';\n url.host = null;\n url.port = null;\n url.path = [];\n url.query = null;\n url.fragment = null;\n url.cannotBeABaseURL = false;\n input = input.replace(LEADING_AND_TRAILING_C0_CONTROL_OR_SPACE, '');\n }\n\n input = input.replace(TAB_AND_NEW_LINE, '');\n\n codePoints = arrayFrom(input);\n\n while (pointer <= codePoints.length) {\n char = codePoints[pointer];\n switch (state) {\n case SCHEME_START:\n if (char && ALPHA.test(char)) {\n buffer += char.toLowerCase();\n state = SCHEME;\n } else if (!stateOverride) {\n state = NO_SCHEME;\n continue;\n } else return INVALID_SCHEME;\n break;\n\n case SCHEME:\n if (char && (ALPHANUMERIC.test(char) || char == '+' || char == '-' || char == '.')) {\n buffer += char.toLowerCase();\n } else if (char == ':') {\n if (stateOverride && (\n (isSpecial(url) != has(specialSchemes, buffer)) ||\n (buffer == 'file' && (includesCredentials(url) || url.port !== null)) ||\n (url.scheme == 'file' && !url.host)\n )) return;\n url.scheme = buffer;\n if (stateOverride) {\n if (isSpecial(url) && specialSchemes[url.scheme] == url.port) url.port = null;\n return;\n }\n buffer = '';\n if (url.scheme == 'file') {\n state = FILE;\n } else if (isSpecial(url) && base && base.scheme == url.scheme) {\n state = SPECIAL_RELATIVE_OR_AUTHORITY;\n } else if (isSpecial(url)) {\n state = SPECIAL_AUTHORITY_SLASHES;\n } else if (codePoints[pointer + 1] == '/') {\n state = PATH_OR_AUTHORITY;\n pointer++;\n } else {\n url.cannotBeABaseURL = true;\n url.path.push('');\n state = CANNOT_BE_A_BASE_URL_PATH;\n }\n } else if (!stateOverride) {\n buffer = '';\n state = NO_SCHEME;\n pointer = 0;\n continue;\n } else return INVALID_SCHEME;\n break;\n\n case NO_SCHEME:\n if (!base || (base.cannotBeABaseURL && char != '#')) return INVALID_SCHEME;\n if (base.cannotBeABaseURL && char == '#') {\n url.scheme = base.scheme;\n url.path = base.path.slice();\n url.query = base.query;\n url.fragment = '';\n url.cannotBeABaseURL = true;\n state = FRAGMENT;\n break;\n }\n state = base.scheme == 'file' ? FILE : RELATIVE;\n continue;\n\n case SPECIAL_RELATIVE_OR_AUTHORITY:\n if (char == '/' && codePoints[pointer + 1] == '/') {\n state = SPECIAL_AUTHORITY_IGNORE_SLASHES;\n pointer++;\n } else {\n state = RELATIVE;\n continue;\n } break;\n\n case PATH_OR_AUTHORITY:\n if (char == '/') {\n state = AUTHORITY;\n break;\n } else {\n state = PATH;\n continue;\n }\n\n case RELATIVE:\n url.scheme = base.scheme;\n if (char == EOF) {\n url.username = base.username;\n url.password = base.password;\n url.host = base.host;\n url.port = base.port;\n url.path = base.path.slice();\n url.query = base.query;\n } else if (char == '/' || (char == '\\\\' && isSpecial(url))) {\n state = RELATIVE_SLASH;\n } else if (char == '?') {\n url.username = base.username;\n url.password = base.password;\n url.host = base.host;\n url.port = base.port;\n url.path = base.path.slice();\n url.query = '';\n state = QUERY;\n } else if (char == '#') {\n url.username = base.username;\n url.password = base.password;\n url.host = base.host;\n url.port = base.port;\n url.path = base.path.slice();\n url.query = base.query;\n url.fragment = '';\n state = FRAGMENT;\n } else {\n url.username = base.username;\n url.password = base.password;\n url.host = base.host;\n url.port = base.port;\n url.path = base.path.slice();\n url.path.pop();\n state = PATH;\n continue;\n } break;\n\n case RELATIVE_SLASH:\n if (isSpecial(url) && (char == '/' || char == '\\\\')) {\n state = SPECIAL_AUTHORITY_IGNORE_SLASHES;\n } else if (char == '/') {\n state = AUTHORITY;\n } else {\n url.username = base.username;\n url.password = base.password;\n url.host = base.host;\n url.port = base.port;\n state = PATH;\n continue;\n } break;\n\n case SPECIAL_AUTHORITY_SLASHES:\n state = SPECIAL_AUTHORITY_IGNORE_SLASHES;\n if (char != '/' || buffer.charAt(pointer + 1) != '/') continue;\n pointer++;\n break;\n\n case SPECIAL_AUTHORITY_IGNORE_SLASHES:\n if (char != '/' && char != '\\\\') {\n state = AUTHORITY;\n continue;\n } break;\n\n case AUTHORITY:\n if (char == '@') {\n if (seenAt) buffer = '%40' + buffer;\n seenAt = true;\n bufferCodePoints = arrayFrom(buffer);\n for (var i = 0; i < bufferCodePoints.length; i++) {\n var codePoint = bufferCodePoints[i];\n if (codePoint == ':' && !seenPasswordToken) {\n seenPasswordToken = true;\n continue;\n }\n var encodedCodePoints = percentEncode(codePoint, userinfoPercentEncodeSet);\n if (seenPasswordToken) url.password += encodedCodePoints;\n else url.username += encodedCodePoints;\n }\n buffer = '';\n } else if (\n char == EOF || char == '/' || char == '?' || char == '#' ||\n (char == '\\\\' && isSpecial(url))\n ) {\n if (seenAt && buffer == '') return INVALID_AUTHORITY;\n pointer -= arrayFrom(buffer).length + 1;\n buffer = '';\n state = HOST;\n } else buffer += char;\n break;\n\n case HOST:\n case HOSTNAME:\n if (stateOverride && url.scheme == 'file') {\n state = FILE_HOST;\n continue;\n } else if (char == ':' && !seenBracket) {\n if (buffer == '') return INVALID_HOST;\n failure = parseHost(url, buffer);\n if (failure) return failure;\n buffer = '';\n state = PORT;\n if (stateOverride == HOSTNAME) return;\n } else if (\n char == EOF || char == '/' || char == '?' || char == '#' ||\n (char == '\\\\' && isSpecial(url))\n ) {\n if (isSpecial(url) && buffer == '') return INVALID_HOST;\n if (stateOverride && buffer == '' && (includesCredentials(url) || url.port !== null)) return;\n failure = parseHost(url, buffer);\n if (failure) return failure;\n buffer = '';\n state = PATH_START;\n if (stateOverride) return;\n continue;\n } else {\n if (char == '[') seenBracket = true;\n else if (char == ']') seenBracket = false;\n buffer += char;\n } break;\n\n case PORT:\n if (DIGIT.test(char)) {\n buffer += char;\n } else if (\n char == EOF || char == '/' || char == '?' || char == '#' ||\n (char == '\\\\' && isSpecial(url)) ||\n stateOverride\n ) {\n if (buffer != '') {\n var port = parseInt(buffer, 10);\n if (port > 0xFFFF) return INVALID_PORT;\n url.port = (isSpecial(url) && port === specialSchemes[url.scheme]) ? null : port;\n buffer = '';\n }\n if (stateOverride) return;\n state = PATH_START;\n continue;\n } else return INVALID_PORT;\n break;\n\n case FILE:\n url.scheme = 'file';\n if (char == '/' || char == '\\\\') state = FILE_SLASH;\n else if (base && base.scheme == 'file') {\n if (char == EOF) {\n url.host = base.host;\n url.path = base.path.slice();\n url.query = base.query;\n } else if (char == '?') {\n url.host = base.host;\n url.path = base.path.slice();\n url.query = '';\n state = QUERY;\n } else if (char == '#') {\n url.host = base.host;\n url.path = base.path.slice();\n url.query = base.query;\n url.fragment = '';\n state = FRAGMENT;\n } else {\n if (!startsWithWindowsDriveLetter(codePoints.slice(pointer).join(''))) {\n url.host = base.host;\n url.path = base.path.slice();\n shortenURLsPath(url);\n }\n state = PATH;\n continue;\n }\n } else {\n state = PATH;\n continue;\n } break;\n\n case FILE_SLASH:\n if (char == '/' || char == '\\\\') {\n state = FILE_HOST;\n break;\n }\n if (base && base.scheme == 'file' && !startsWithWindowsDriveLetter(codePoints.slice(pointer).join(''))) {\n if (isWindowsDriveLetter(base.path[0], true)) url.path.push(base.path[0]);\n else url.host = base.host;\n }\n state = PATH;\n continue;\n\n case FILE_HOST:\n if (char == EOF || char == '/' || char == '\\\\' || char == '?' || char == '#') {\n if (!stateOverride && isWindowsDriveLetter(buffer)) {\n state = PATH;\n } else if (buffer == '') {\n url.host = '';\n if (stateOverride) return;\n state = PATH_START;\n } else {\n failure = parseHost(url, buffer);\n if (failure) return failure;\n if (url.host == 'localhost') url.host = '';\n if (stateOverride) return;\n buffer = '';\n state = PATH_START;\n } continue;\n } else buffer += char;\n break;\n\n case PATH_START:\n if (isSpecial(url)) {\n state = PATH;\n if (char != '/' && char != '\\\\') continue;\n } else if (!stateOverride && char == '?') {\n url.query = '';\n state = QUERY;\n } else if (!stateOverride && char == '#') {\n url.fragment = '';\n state = FRAGMENT;\n } else if (char != EOF) {\n state = PATH;\n if (char != '/') continue;\n } break;\n\n case PATH:\n if (\n char == EOF || char == '/' ||\n (char == '\\\\' && isSpecial(url)) ||\n (!stateOverride && (char == '?' || char == '#'))\n ) {\n if (isDoubleDot(buffer)) {\n shortenURLsPath(url);\n if (char != '/' && !(char == '\\\\' && isSpecial(url))) {\n url.path.push('');\n }\n } else if (isSingleDot(buffer)) {\n if (char != '/' && !(char == '\\\\' && isSpecial(url))) {\n url.path.push('');\n }\n } else {\n if (url.scheme == 'file' && !url.path.length && isWindowsDriveLetter(buffer)) {\n if (url.host) url.host = '';\n buffer = buffer.charAt(0) + ':'; // normalize windows drive letter\n }\n url.path.push(buffer);\n }\n buffer = '';\n if (url.scheme == 'file' && (char == EOF || char == '?' || char == '#')) {\n while (url.path.length > 1 && url.path[0] === '') {\n url.path.shift();\n }\n }\n if (char == '?') {\n url.query = '';\n state = QUERY;\n } else if (char == '#') {\n url.fragment = '';\n state = FRAGMENT;\n }\n } else {\n buffer += percentEncode(char, pathPercentEncodeSet);\n } break;\n\n case CANNOT_BE_A_BASE_URL_PATH:\n if (char == '?') {\n url.query = '';\n state = QUERY;\n } else if (char == '#') {\n url.fragment = '';\n state = FRAGMENT;\n } else if (char != EOF) {\n url.path[0] += percentEncode(char, C0ControlPercentEncodeSet);\n } break;\n\n case QUERY:\n if (!stateOverride && char == '#') {\n url.fragment = '';\n state = FRAGMENT;\n } else if (char != EOF) {\n if (char == \"'\" && isSpecial(url)) url.query += '%27';\n else if (char == '#') url.query += '%23';\n else url.query += percentEncode(char, C0ControlPercentEncodeSet);\n } break;\n\n case FRAGMENT:\n if (char != EOF) url.fragment += percentEncode(char, fragmentPercentEncodeSet);\n break;\n }\n\n pointer++;\n }\n};\n\n// `URL` constructor\n// https://url.spec.whatwg.org/#url-class\nvar URLConstructor = function URL(url /* , base */) {\n var that = anInstance(this, URLConstructor, 'URL');\n var base = arguments.length > 1 ? arguments[1] : undefined;\n var urlString = String(url);\n var state = setInternalState(that, { type: 'URL' });\n var baseState, failure;\n if (base !== undefined) {\n if (base instanceof URLConstructor) baseState = getInternalURLState(base);\n else {\n failure = parseURL(baseState = {}, String(base));\n if (failure) throw TypeError(failure);\n }\n }\n failure = parseURL(state, urlString, null, baseState);\n if (failure) throw TypeError(failure);\n var searchParams = state.searchParams = new URLSearchParams();\n var searchParamsState = getInternalSearchParamsState(searchParams);\n searchParamsState.updateSearchParams(state.query);\n searchParamsState.updateURL = function () {\n state.query = String(searchParams) || null;\n };\n if (!DESCRIPTORS) {\n that.href = serializeURL.call(that);\n that.origin = getOrigin.call(that);\n that.protocol = getProtocol.call(that);\n that.username = getUsername.call(that);\n that.password = getPassword.call(that);\n that.host = getHost.call(that);\n that.hostname = getHostname.call(that);\n that.port = getPort.call(that);\n that.pathname = getPathname.call(that);\n that.search = getSearch.call(that);\n that.searchParams = getSearchParams.call(that);\n that.hash = getHash.call(that);\n }\n};\n\nvar URLPrototype = URLConstructor.prototype;\n\nvar serializeURL = function () {\n var url = getInternalURLState(this);\n var scheme = url.scheme;\n var username = url.username;\n var password = url.password;\n var host = url.host;\n var port = url.port;\n var path = url.path;\n var query = url.query;\n var fragment = url.fragment;\n var output = scheme + ':';\n if (host !== null) {\n output += '//';\n if (includesCredentials(url)) {\n output += username + (password ? ':' + password : '') + '@';\n }\n output += serializeHost(host);\n if (port !== null) output += ':' + port;\n } else if (scheme == 'file') output += '//';\n output += url.cannotBeABaseURL ? path[0] : path.length ? '/' + path.join('/') : '';\n if (query !== null) output += '?' + query;\n if (fragment !== null) output += '#' + fragment;\n return output;\n};\n\nvar getOrigin = function () {\n var url = getInternalURLState(this);\n var scheme = url.scheme;\n var port = url.port;\n if (scheme == 'blob') try {\n return new URL(scheme.path[0]).origin;\n } catch (error) {\n return 'null';\n }\n if (scheme == 'file' || !isSpecial(url)) return 'null';\n return scheme + '://' + serializeHost(url.host) + (port !== null ? ':' + port : '');\n};\n\nvar getProtocol = function () {\n return getInternalURLState(this).scheme + ':';\n};\n\nvar getUsername = function () {\n return getInternalURLState(this).username;\n};\n\nvar getPassword = function () {\n return getInternalURLState(this).password;\n};\n\nvar getHost = function () {\n var url = getInternalURLState(this);\n var host = url.host;\n var port = url.port;\n return host === null ? ''\n : port === null ? serializeHost(host)\n : serializeHost(host) + ':' + port;\n};\n\nvar getHostname = function () {\n var host = getInternalURLState(this).host;\n return host === null ? '' : serializeHost(host);\n};\n\nvar getPort = function () {\n var port = getInternalURLState(this).port;\n return port === null ? '' : String(port);\n};\n\nvar getPathname = function () {\n var url = getInternalURLState(this);\n var path = url.path;\n return url.cannotBeABaseURL ? path[0] : path.length ? '/' + path.join('/') : '';\n};\n\nvar getSearch = function () {\n var query = getInternalURLState(this).query;\n return query ? '?' + query : '';\n};\n\nvar getSearchParams = function () {\n return getInternalURLState(this).searchParams;\n};\n\nvar getHash = function () {\n var fragment = getInternalURLState(this).fragment;\n return fragment ? '#' + fragment : '';\n};\n\nvar accessorDescriptor = function (getter, setter) {\n return { get: getter, set: setter, configurable: true, enumerable: true };\n};\n\nif (DESCRIPTORS) {\n defineProperties(URLPrototype, {\n // `URL.prototype.href` accessors pair\n // https://url.spec.whatwg.org/#dom-url-href\n href: accessorDescriptor(serializeURL, function (href) {\n var url = getInternalURLState(this);\n var urlString = String(href);\n var failure = parseURL(url, urlString);\n if (failure) throw TypeError(failure);\n getInternalSearchParamsState(url.searchParams).updateSearchParams(url.query);\n }),\n // `URL.prototype.origin` getter\n // https://url.spec.whatwg.org/#dom-url-origin\n origin: accessorDescriptor(getOrigin),\n // `URL.prototype.protocol` accessors pair\n // https://url.spec.whatwg.org/#dom-url-protocol\n protocol: accessorDescriptor(getProtocol, function (protocol) {\n var url = getInternalURLState(this);\n parseURL(url, String(protocol) + ':', SCHEME_START);\n }),\n // `URL.prototype.username` accessors pair\n // https://url.spec.whatwg.org/#dom-url-username\n username: accessorDescriptor(getUsername, function (username) {\n var url = getInternalURLState(this);\n var codePoints = arrayFrom(String(username));\n if (cannotHaveUsernamePasswordPort(url)) return;\n url.username = '';\n for (var i = 0; i < codePoints.length; i++) {\n url.username += percentEncode(codePoints[i], userinfoPercentEncodeSet);\n }\n }),\n // `URL.prototype.password` accessors pair\n // https://url.spec.whatwg.org/#dom-url-password\n password: accessorDescriptor(getPassword, function (password) {\n var url = getInternalURLState(this);\n var codePoints = arrayFrom(String(password));\n if (cannotHaveUsernamePasswordPort(url)) return;\n url.password = '';\n for (var i = 0; i < codePoints.length; i++) {\n url.password += percentEncode(codePoints[i], userinfoPercentEncodeSet);\n }\n }),\n // `URL.prototype.host` accessors pair\n // https://url.spec.whatwg.org/#dom-url-host\n host: accessorDescriptor(getHost, function (host) {\n var url = getInternalURLState(this);\n if (url.cannotBeABaseURL) return;\n parseURL(url, String(host), HOST);\n }),\n // `URL.prototype.hostname` accessors pair\n // https://url.spec.whatwg.org/#dom-url-hostname\n hostname: accessorDescriptor(getHostname, function (hostname) {\n var url = getInternalURLState(this);\n if (url.cannotBeABaseURL) return;\n parseURL(url, String(hostname), HOSTNAME);\n }),\n // `URL.prototype.port` accessors pair\n // https://url.spec.whatwg.org/#dom-url-port\n port: accessorDescriptor(getPort, function (port) {\n var url = getInternalURLState(this);\n if (cannotHaveUsernamePasswordPort(url)) return;\n port = String(port);\n if (port == '') url.port = null;\n else parseURL(url, port, PORT);\n }),\n // `URL.prototype.pathname` accessors pair\n // https://url.spec.whatwg.org/#dom-url-pathname\n pathname: accessorDescriptor(getPathname, function (pathname) {\n var url = getInternalURLState(this);\n if (url.cannotBeABaseURL) return;\n url.path = [];\n parseURL(url, pathname + '', PATH_START);\n }),\n // `URL.prototype.search` accessors pair\n // https://url.spec.whatwg.org/#dom-url-search\n search: accessorDescriptor(getSearch, function (search) {\n var url = getInternalURLState(this);\n search = String(search);\n if (search == '') {\n url.query = null;\n } else {\n if ('?' == search.charAt(0)) search = search.slice(1);\n url.query = '';\n parseURL(url, search, QUERY);\n }\n getInternalSearchParamsState(url.searchParams).updateSearchParams(url.query);\n }),\n // `URL.prototype.searchParams` getter\n // https://url.spec.whatwg.org/#dom-url-searchparams\n searchParams: accessorDescriptor(getSearchParams),\n // `URL.prototype.hash` accessors pair\n // https://url.spec.whatwg.org/#dom-url-hash\n hash: accessorDescriptor(getHash, function (hash) {\n var url = getInternalURLState(this);\n hash = String(hash);\n if (hash == '') {\n url.fragment = null;\n return;\n }\n if ('#' == hash.charAt(0)) hash = hash.slice(1);\n url.fragment = '';\n parseURL(url, hash, FRAGMENT);\n })\n });\n}\n\n// `URL.prototype.toJSON` method\n// https://url.spec.whatwg.org/#dom-url-tojson\nredefine(URLPrototype, 'toJSON', function toJSON() {\n return serializeURL.call(this);\n}, { enumerable: true });\n\n// `URL.prototype.toString` method\n// https://url.spec.whatwg.org/#URL-stringification-behavior\nredefine(URLPrototype, 'toString', function toString() {\n return serializeURL.call(this);\n}, { enumerable: true });\n\nif (NativeURL) {\n var nativeCreateObjectURL = NativeURL.createObjectURL;\n var nativeRevokeObjectURL = NativeURL.revokeObjectURL;\n // `URL.createObjectURL` method\n // https://developer.mozilla.org/en-US/docs/Web/API/URL/createObjectURL\n // eslint-disable-next-line no-unused-vars\n if (nativeCreateObjectURL) redefine(URLConstructor, 'createObjectURL', function createObjectURL(blob) {\n return nativeCreateObjectURL.apply(NativeURL, arguments);\n });\n // `URL.revokeObjectURL` method\n // https://developer.mozilla.org/en-US/docs/Web/API/URL/revokeObjectURL\n // eslint-disable-next-line no-unused-vars\n if (nativeRevokeObjectURL) redefine(URLConstructor, 'revokeObjectURL', function revokeObjectURL(url) {\n return nativeRevokeObjectURL.apply(NativeURL, arguments);\n });\n}\n\nsetToStringTag(URLConstructor, 'URL');\n\n$({ global: true, forced: !USE_NATIVE_URL, sham: !DESCRIPTORS }, {\n URL: URLConstructor\n});\n","var requireObjectCoercible = require('../internals/require-object-coercible');\nvar whitespaces = require('../internals/whitespaces');\n\nvar whitespace = '[' + whitespaces + ']';\nvar ltrim = RegExp('^' + whitespace + whitespace + '*');\nvar rtrim = RegExp(whitespace + whitespace + '*$');\n\n// `String.prototype.{ trim, trimStart, trimEnd, trimLeft, trimRight }` methods implementation\nvar createMethod = function (TYPE) {\n return function ($this) {\n var string = String(requireObjectCoercible($this));\n if (TYPE & 1) string = string.replace(ltrim, '');\n if (TYPE & 2) string = string.replace(rtrim, '');\n return string;\n };\n};\n\nmodule.exports = {\n // `String.prototype.{ trimLeft, trimStart }` methods\n // https://tc39.github.io/ecma262/#sec-string.prototype.trimstart\n start: createMethod(1),\n // `String.prototype.{ trimRight, trimEnd }` methods\n // https://tc39.github.io/ecma262/#sec-string.prototype.trimend\n end: createMethod(2),\n // `String.prototype.trim` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.trim\n trim: createMethod(3)\n};\n","!function(e,n){\"object\"==typeof exports&&\"undefined\"!=typeof module?module.exports=n():\"function\"==typeof define&&define.amd?define(n):e[\"v-click-outside\"]=n()}(this,function(){var e=\"__v-click-outside\",n=\"undefined\"!=typeof window,t=\"undefined\"!=typeof navigator,i=n&&(\"ontouchstart\"in window||t&&navigator.msMaxTouchPoints>0)?[\"touchstart\"]:[\"click\"];function o(n,t){var o=function(e){var n=\"function\"==typeof e;if(!n&&\"object\"!=typeof e)throw new Error(\"v-click-outside: Binding value must be a function or an object\");return{handler:n?e:e.handler,middleware:e.middleware||function(e){return e},events:e.events||i,isActive:!(!1===e.isActive)}}(t.value),r=o.handler,d=o.middleware;o.isActive&&(n[e]=o.events.map(function(e){return{event:e,handler:function(e){return function(e){var n=e.el,t=e.event,i=e.handler,o=e.middleware,r=t.path||t.composedPath&&t.composedPath(),d=r?r.indexOf(n)<0:!n.contains(t.target);t.target!==n&&d&&o(t)&&i(t)}({event:e,el:n,handler:r,middleware:d})}}}),n[e].forEach(function(t){var i=t.event,o=t.handler;return setTimeout(function(){n[e]&&document.documentElement.addEventListener(i,o,!1)},0)}))}function r(n){(n[e]||[]).forEach(function(e){return document.documentElement.removeEventListener(e.event,e.handler,!1)}),delete n[e]}var d=n?{bind:o,update:function(e,n){var t=n.value,i=n.oldValue;JSON.stringify(t)!==JSON.stringify(i)&&(r(e),o(e,{value:t}))},unbind:r}:{};return{install:function(e){e.directive(\"click-outside\",d)},directive:d}});\n//# sourceMappingURL=v-click-outside.umd.js.map\n","// a string of all valid unicode whitespaces\n// eslint-disable-next-line max-len\nmodule.exports = '\\u0009\\u000A\\u000B\\u000C\\u000D\\u0020\\u00A0\\u1680\\u2000\\u2001\\u2002\\u2003\\u2004\\u2005\\u2006\\u2007\\u2008\\u2009\\u200A\\u202F\\u205F\\u3000\\u2028\\u2029\\uFEFF';\n","'use strict';\nvar $ = require('../internals/export');\nvar $map = require('../internals/array-iteration').map;\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('map');\n// FF49- issue\nvar USES_TO_LENGTH = arrayMethodUsesToLength('map');\n\n// `Array.prototype.map` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.map\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n map: function map(callbackfn /* , thisArg */) {\n return $map(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","var fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\n\nvar ITERATOR = wellKnownSymbol('iterator');\n\nmodule.exports = !fails(function () {\n var url = new URL('b?a=1&b=2&c=3', 'http://a');\n var searchParams = url.searchParams;\n var result = '';\n url.pathname = 'c%20d';\n searchParams.forEach(function (value, key) {\n searchParams['delete']('b');\n result += key + value;\n });\n return (IS_PURE && !url.toJSON)\n || !searchParams.sort\n || url.href !== 'http://a/c%20d?a=1&c=3'\n || searchParams.get('c') !== '3'\n || String(new URLSearchParams('?a=1')) !== 'a=1'\n || !searchParams[ITERATOR]\n // throws in Edge\n || new URL('https://a@b').username !== 'a'\n || new URLSearchParams(new URLSearchParams('a=b')).get('a') !== 'b'\n // not punycoded in Edge\n || new URL('http://тест').host !== 'xn--e1aybc'\n // not escaped in Chrome 62-\n || new URL('http://a#б').hash !== '#%D0%B1'\n // fails in Chrome 66-\n || result !== 'a1c3'\n // throws in Safari\n || new URL('http://x', undefined).host !== 'x';\n});\n","'use strict';\nvar bind = require('../internals/function-bind-context');\nvar toObject = require('../internals/to-object');\nvar callWithSafeIterationClosing = require('../internals/call-with-safe-iteration-closing');\nvar isArrayIteratorMethod = require('../internals/is-array-iterator-method');\nvar toLength = require('../internals/to-length');\nvar createProperty = require('../internals/create-property');\nvar getIteratorMethod = require('../internals/get-iterator-method');\n\n// `Array.from` method implementation\n// https://tc39.github.io/ecma262/#sec-array.from\nmodule.exports = function from(arrayLike /* , mapfn = undefined, thisArg = undefined */) {\n var O = toObject(arrayLike);\n var C = typeof this == 'function' ? this : Array;\n var argumentsLength = arguments.length;\n var mapfn = argumentsLength > 1 ? arguments[1] : undefined;\n var mapping = mapfn !== undefined;\n var iteratorMethod = getIteratorMethod(O);\n var index = 0;\n var length, result, step, iterator, next, value;\n if (mapping) mapfn = bind(mapfn, argumentsLength > 2 ? arguments[2] : undefined, 2);\n // if the target is not iterable or it's an array with the default iterator - use a simple case\n if (iteratorMethod != undefined && !(C == Array && isArrayIteratorMethod(iteratorMethod))) {\n iterator = iteratorMethod.call(O);\n next = iterator.next;\n result = new C();\n for (;!(step = next.call(iterator)).done; index++) {\n value = mapping ? callWithSafeIterationClosing(iterator, mapfn, [step.value, index], true) : step.value;\n createProperty(result, index, value);\n }\n } else {\n length = toLength(O.length);\n result = new C(length);\n for (;length > index; index++) {\n value = mapping ? mapfn(O[index], index) : O[index];\n createProperty(result, index, value);\n }\n }\n result.length = index;\n return result;\n};\n","'use strict';\n// based on https://github.com/bestiejs/punycode.js/blob/master/punycode.js\nvar maxInt = 2147483647; // aka. 0x7FFFFFFF or 2^31-1\nvar base = 36;\nvar tMin = 1;\nvar tMax = 26;\nvar skew = 38;\nvar damp = 700;\nvar initialBias = 72;\nvar initialN = 128; // 0x80\nvar delimiter = '-'; // '\\x2D'\nvar regexNonASCII = /[^\\0-\\u007E]/; // non-ASCII chars\nvar regexSeparators = /[.\\u3002\\uFF0E\\uFF61]/g; // RFC 3490 separators\nvar OVERFLOW_ERROR = 'Overflow: input needs wider integers to process';\nvar baseMinusTMin = base - tMin;\nvar floor = Math.floor;\nvar stringFromCharCode = String.fromCharCode;\n\n/**\n * Creates an array containing the numeric code points of each Unicode\n * character in the string. While JavaScript uses UCS-2 internally,\n * this function will convert a pair of surrogate halves (each of which\n * UCS-2 exposes as separate characters) into a single code point,\n * matching UTF-16.\n */\nvar ucs2decode = function (string) {\n var output = [];\n var counter = 0;\n var length = string.length;\n while (counter < length) {\n var value = string.charCodeAt(counter++);\n if (value >= 0xD800 && value <= 0xDBFF && counter < length) {\n // It's a high surrogate, and there is a next character.\n var extra = string.charCodeAt(counter++);\n if ((extra & 0xFC00) == 0xDC00) { // Low surrogate.\n output.push(((value & 0x3FF) << 10) + (extra & 0x3FF) + 0x10000);\n } else {\n // It's an unmatched surrogate; only append this code unit, in case the\n // next code unit is the high surrogate of a surrogate pair.\n output.push(value);\n counter--;\n }\n } else {\n output.push(value);\n }\n }\n return output;\n};\n\n/**\n * Converts a digit/integer into a basic code point.\n */\nvar digitToBasic = function (digit) {\n // 0..25 map to ASCII a..z or A..Z\n // 26..35 map to ASCII 0..9\n return digit + 22 + 75 * (digit < 26);\n};\n\n/**\n * Bias adaptation function as per section 3.4 of RFC 3492.\n * https://tools.ietf.org/html/rfc3492#section-3.4\n */\nvar adapt = function (delta, numPoints, firstTime) {\n var k = 0;\n delta = firstTime ? floor(delta / damp) : delta >> 1;\n delta += floor(delta / numPoints);\n for (; delta > baseMinusTMin * tMax >> 1; k += base) {\n delta = floor(delta / baseMinusTMin);\n }\n return floor(k + (baseMinusTMin + 1) * delta / (delta + skew));\n};\n\n/**\n * Converts a string of Unicode symbols (e.g. a domain name label) to a\n * Punycode string of ASCII-only symbols.\n */\n// eslint-disable-next-line max-statements\nvar encode = function (input) {\n var output = [];\n\n // Convert the input in UCS-2 to an array of Unicode code points.\n input = ucs2decode(input);\n\n // Cache the length.\n var inputLength = input.length;\n\n // Initialize the state.\n var n = initialN;\n var delta = 0;\n var bias = initialBias;\n var i, currentValue;\n\n // Handle the basic code points.\n for (i = 0; i < input.length; i++) {\n currentValue = input[i];\n if (currentValue < 0x80) {\n output.push(stringFromCharCode(currentValue));\n }\n }\n\n var basicLength = output.length; // number of basic code points.\n var handledCPCount = basicLength; // number of code points that have been handled;\n\n // Finish the basic string with a delimiter unless it's empty.\n if (basicLength) {\n output.push(delimiter);\n }\n\n // Main encoding loop:\n while (handledCPCount < inputLength) {\n // All non-basic code points < n have been handled already. Find the next larger one:\n var m = maxInt;\n for (i = 0; i < input.length; i++) {\n currentValue = input[i];\n if (currentValue >= n && currentValue < m) {\n m = currentValue;\n }\n }\n\n // Increase `delta` enough to advance the decoder's <n,i> state to <m,0>, but guard against overflow.\n var handledCPCountPlusOne = handledCPCount + 1;\n if (m - n > floor((maxInt - delta) / handledCPCountPlusOne)) {\n throw RangeError(OVERFLOW_ERROR);\n }\n\n delta += (m - n) * handledCPCountPlusOne;\n n = m;\n\n for (i = 0; i < input.length; i++) {\n currentValue = input[i];\n if (currentValue < n && ++delta > maxInt) {\n throw RangeError(OVERFLOW_ERROR);\n }\n if (currentValue == n) {\n // Represent delta as a generalized variable-length integer.\n var q = delta;\n for (var k = base; /* no condition */; k += base) {\n var t = k <= bias ? tMin : (k >= bias + tMax ? tMax : k - bias);\n if (q < t) break;\n var qMinusT = q - t;\n var baseMinusT = base - t;\n output.push(stringFromCharCode(digitToBasic(t + qMinusT % baseMinusT)));\n q = floor(qMinusT / baseMinusT);\n }\n\n output.push(stringFromCharCode(digitToBasic(q)));\n bias = adapt(delta, handledCPCountPlusOne, handledCPCount == basicLength);\n delta = 0;\n ++handledCPCount;\n }\n }\n\n ++delta;\n ++n;\n }\n return output.join('');\n};\n\nmodule.exports = function (input) {\n var encoded = [];\n var labels = input.toLowerCase().replace(regexSeparators, '\\u002E').split('.');\n var i, label;\n for (i = 0; i < labels.length; i++) {\n label = labels[i];\n encoded.push(regexNonASCII.test(label) ? 'xn--' + encode(label) : label);\n }\n return encoded.join('.');\n};\n","'use strict';\n// TODO: in core-js@4, move /modules/ dependencies to public entries for better optimization by tools like `preset-env`\nrequire('../modules/es.array.iterator');\nvar $ = require('../internals/export');\nvar getBuiltIn = require('../internals/get-built-in');\nvar USE_NATIVE_URL = require('../internals/native-url');\nvar redefine = require('../internals/redefine');\nvar redefineAll = require('../internals/redefine-all');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar createIteratorConstructor = require('../internals/create-iterator-constructor');\nvar InternalStateModule = require('../internals/internal-state');\nvar anInstance = require('../internals/an-instance');\nvar hasOwn = require('../internals/has');\nvar bind = require('../internals/function-bind-context');\nvar classof = require('../internals/classof');\nvar anObject = require('../internals/an-object');\nvar isObject = require('../internals/is-object');\nvar create = require('../internals/object-create');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar getIterator = require('../internals/get-iterator');\nvar getIteratorMethod = require('../internals/get-iterator-method');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar $fetch = getBuiltIn('fetch');\nvar Headers = getBuiltIn('Headers');\nvar ITERATOR = wellKnownSymbol('iterator');\nvar URL_SEARCH_PARAMS = 'URLSearchParams';\nvar URL_SEARCH_PARAMS_ITERATOR = URL_SEARCH_PARAMS + 'Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalParamsState = InternalStateModule.getterFor(URL_SEARCH_PARAMS);\nvar getInternalIteratorState = InternalStateModule.getterFor(URL_SEARCH_PARAMS_ITERATOR);\n\nvar plus = /\\+/g;\nvar sequences = Array(4);\n\nvar percentSequence = function (bytes) {\n return sequences[bytes - 1] || (sequences[bytes - 1] = RegExp('((?:%[\\\\da-f]{2}){' + bytes + '})', 'gi'));\n};\n\nvar percentDecode = function (sequence) {\n try {\n return decodeURIComponent(sequence);\n } catch (error) {\n return sequence;\n }\n};\n\nvar deserialize = function (it) {\n var result = it.replace(plus, ' ');\n var bytes = 4;\n try {\n return decodeURIComponent(result);\n } catch (error) {\n while (bytes) {\n result = result.replace(percentSequence(bytes--), percentDecode);\n }\n return result;\n }\n};\n\nvar find = /[!'()~]|%20/g;\n\nvar replace = {\n '!': '%21',\n \"'\": '%27',\n '(': '%28',\n ')': '%29',\n '~': '%7E',\n '%20': '+'\n};\n\nvar replacer = function (match) {\n return replace[match];\n};\n\nvar serialize = function (it) {\n return encodeURIComponent(it).replace(find, replacer);\n};\n\nvar parseSearchParams = function (result, query) {\n if (query) {\n var attributes = query.split('&');\n var index = 0;\n var attribute, entry;\n while (index < attributes.length) {\n attribute = attributes[index++];\n if (attribute.length) {\n entry = attribute.split('=');\n result.push({\n key: deserialize(entry.shift()),\n value: deserialize(entry.join('='))\n });\n }\n }\n }\n};\n\nvar updateSearchParams = function (query) {\n this.entries.length = 0;\n parseSearchParams(this.entries, query);\n};\n\nvar validateArgumentsLength = function (passed, required) {\n if (passed < required) throw TypeError('Not enough arguments');\n};\n\nvar URLSearchParamsIterator = createIteratorConstructor(function Iterator(params, kind) {\n setInternalState(this, {\n type: URL_SEARCH_PARAMS_ITERATOR,\n iterator: getIterator(getInternalParamsState(params).entries),\n kind: kind\n });\n}, 'Iterator', function next() {\n var state = getInternalIteratorState(this);\n var kind = state.kind;\n var step = state.iterator.next();\n var entry = step.value;\n if (!step.done) {\n step.value = kind === 'keys' ? entry.key : kind === 'values' ? entry.value : [entry.key, entry.value];\n } return step;\n});\n\n// `URLSearchParams` constructor\n// https://url.spec.whatwg.org/#interface-urlsearchparams\nvar URLSearchParamsConstructor = function URLSearchParams(/* init */) {\n anInstance(this, URLSearchParamsConstructor, URL_SEARCH_PARAMS);\n var init = arguments.length > 0 ? arguments[0] : undefined;\n var that = this;\n var entries = [];\n var iteratorMethod, iterator, next, step, entryIterator, entryNext, first, second, key;\n\n setInternalState(that, {\n type: URL_SEARCH_PARAMS,\n entries: entries,\n updateURL: function () { /* empty */ },\n updateSearchParams: updateSearchParams\n });\n\n if (init !== undefined) {\n if (isObject(init)) {\n iteratorMethod = getIteratorMethod(init);\n if (typeof iteratorMethod === 'function') {\n iterator = iteratorMethod.call(init);\n next = iterator.next;\n while (!(step = next.call(iterator)).done) {\n entryIterator = getIterator(anObject(step.value));\n entryNext = entryIterator.next;\n if (\n (first = entryNext.call(entryIterator)).done ||\n (second = entryNext.call(entryIterator)).done ||\n !entryNext.call(entryIterator).done\n ) throw TypeError('Expected sequence with length 2');\n entries.push({ key: first.value + '', value: second.value + '' });\n }\n } else for (key in init) if (hasOwn(init, key)) entries.push({ key: key, value: init[key] + '' });\n } else {\n parseSearchParams(entries, typeof init === 'string' ? init.charAt(0) === '?' ? init.slice(1) : init : init + '');\n }\n }\n};\n\nvar URLSearchParamsPrototype = URLSearchParamsConstructor.prototype;\n\nredefineAll(URLSearchParamsPrototype, {\n // `URLSearchParams.prototype.appent` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-append\n append: function append(name, value) {\n validateArgumentsLength(arguments.length, 2);\n var state = getInternalParamsState(this);\n state.entries.push({ key: name + '', value: value + '' });\n state.updateURL();\n },\n // `URLSearchParams.prototype.delete` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-delete\n 'delete': function (name) {\n validateArgumentsLength(arguments.length, 1);\n var state = getInternalParamsState(this);\n var entries = state.entries;\n var key = name + '';\n var index = 0;\n while (index < entries.length) {\n if (entries[index].key === key) entries.splice(index, 1);\n else index++;\n }\n state.updateURL();\n },\n // `URLSearchParams.prototype.get` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-get\n get: function get(name) {\n validateArgumentsLength(arguments.length, 1);\n var entries = getInternalParamsState(this).entries;\n var key = name + '';\n var index = 0;\n for (; index < entries.length; index++) {\n if (entries[index].key === key) return entries[index].value;\n }\n return null;\n },\n // `URLSearchParams.prototype.getAll` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-getall\n getAll: function getAll(name) {\n validateArgumentsLength(arguments.length, 1);\n var entries = getInternalParamsState(this).entries;\n var key = name + '';\n var result = [];\n var index = 0;\n for (; index < entries.length; index++) {\n if (entries[index].key === key) result.push(entries[index].value);\n }\n return result;\n },\n // `URLSearchParams.prototype.has` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-has\n has: function has(name) {\n validateArgumentsLength(arguments.length, 1);\n var entries = getInternalParamsState(this).entries;\n var key = name + '';\n var index = 0;\n while (index < entries.length) {\n if (entries[index++].key === key) return true;\n }\n return false;\n },\n // `URLSearchParams.prototype.set` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-set\n set: function set(name, value) {\n validateArgumentsLength(arguments.length, 1);\n var state = getInternalParamsState(this);\n var entries = state.entries;\n var found = false;\n var key = name + '';\n var val = value + '';\n var index = 0;\n var entry;\n for (; index < entries.length; index++) {\n entry = entries[index];\n if (entry.key === key) {\n if (found) entries.splice(index--, 1);\n else {\n found = true;\n entry.value = val;\n }\n }\n }\n if (!found) entries.push({ key: key, value: val });\n state.updateURL();\n },\n // `URLSearchParams.prototype.sort` method\n // https://url.spec.whatwg.org/#dom-urlsearchparams-sort\n sort: function sort() {\n var state = getInternalParamsState(this);\n var entries = state.entries;\n // Array#sort is not stable in some engines\n var slice = entries.slice();\n var entry, entriesIndex, sliceIndex;\n entries.length = 0;\n for (sliceIndex = 0; sliceIndex < slice.length; sliceIndex++) {\n entry = slice[sliceIndex];\n for (entriesIndex = 0; entriesIndex < sliceIndex; entriesIndex++) {\n if (entries[entriesIndex].key > entry.key) {\n entries.splice(entriesIndex, 0, entry);\n break;\n }\n }\n if (entriesIndex === sliceIndex) entries.push(entry);\n }\n state.updateURL();\n },\n // `URLSearchParams.prototype.forEach` method\n forEach: function forEach(callback /* , thisArg */) {\n var entries = getInternalParamsState(this).entries;\n var boundFunction = bind(callback, arguments.length > 1 ? arguments[1] : undefined, 3);\n var index = 0;\n var entry;\n while (index < entries.length) {\n entry = entries[index++];\n boundFunction(entry.value, entry.key, this);\n }\n },\n // `URLSearchParams.prototype.keys` method\n keys: function keys() {\n return new URLSearchParamsIterator(this, 'keys');\n },\n // `URLSearchParams.prototype.values` method\n values: function values() {\n return new URLSearchParamsIterator(this, 'values');\n },\n // `URLSearchParams.prototype.entries` method\n entries: function entries() {\n return new URLSearchParamsIterator(this, 'entries');\n }\n}, { enumerable: true });\n\n// `URLSearchParams.prototype[@@iterator]` method\nredefine(URLSearchParamsPrototype, ITERATOR, URLSearchParamsPrototype.entries);\n\n// `URLSearchParams.prototype.toString` method\n// https://url.spec.whatwg.org/#urlsearchparams-stringification-behavior\nredefine(URLSearchParamsPrototype, 'toString', function toString() {\n var entries = getInternalParamsState(this).entries;\n var result = [];\n var index = 0;\n var entry;\n while (index < entries.length) {\n entry = entries[index++];\n result.push(serialize(entry.key) + '=' + serialize(entry.value));\n } return result.join('&');\n}, { enumerable: true });\n\nsetToStringTag(URLSearchParamsConstructor, URL_SEARCH_PARAMS);\n\n$({ global: true, forced: !USE_NATIVE_URL }, {\n URLSearchParams: URLSearchParamsConstructor\n});\n\n// Wrap `fetch` for correct work with polyfilled `URLSearchParams`\n// https://github.com/zloirock/core-js/issues/674\nif (!USE_NATIVE_URL && typeof $fetch == 'function' && typeof Headers == 'function') {\n $({ global: true, enumerable: true, forced: true }, {\n fetch: function fetch(input /* , init */) {\n var args = [input];\n var init, body, headers;\n if (arguments.length > 1) {\n init = arguments[1];\n if (isObject(init)) {\n body = init.body;\n if (classof(body) === URL_SEARCH_PARAMS) {\n headers = init.headers ? new Headers(init.headers) : new Headers();\n if (!headers.has('content-type')) {\n headers.set('content-type', 'application/x-www-form-urlencoded;charset=UTF-8');\n }\n init = create(init, {\n body: createPropertyDescriptor(0, String(body)),\n headers: createPropertyDescriptor(0, headers)\n });\n }\n }\n args.push(init);\n } return $fetch.apply(this, args);\n }\n });\n}\n\nmodule.exports = {\n URLSearchParams: URLSearchParamsConstructor,\n getState: getInternalParamsState\n};\n","var anObject = require('../internals/an-object');\nvar getIteratorMethod = require('../internals/get-iterator-method');\n\nmodule.exports = function (it) {\n var iteratorMethod = getIteratorMethod(it);\n if (typeof iteratorMethod != 'function') {\n throw TypeError(String(it) + ' is not iterable');\n } return anObject(iteratorMethod.call(it));\n};\n"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/vendors~editor-rich.js b/js/vendors~editor-rich.js
index 6bd715136..544a6e49d 100644
--- a/js/vendors~editor-rich.js
+++ b/js/vendors~editor-rich.js
@@ -1,4 +1,4 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[198],{194:function(e,t,s){var n=s(7),o=s(8).f,a=Function.prototype,A=a.toString,r=/^\s*function ([^ (]*)/;n&&!("name"in a)&&o(a,"name",{configurable:!0,get:function(){try{return A.call(this).match(r)[1]}catch(e){return""}}})},208:function(e,t,s){"use strict";s(51),s(53),s(99),s(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getGettextBuilder=function(){return new c};var n,o=(n=s(197))&&n.__esModule?n:{default:n},a=s(189);function A(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function r(e,t){for(var s=0;s<t.length;s++){var n=t[s];n.enumerable=n.enumerable||!1,n.configurable=!0,"value"in n&&(n.writable=!0),Object.defineProperty(e,n.key,n)}}function i(e,t,s){return t&&r(e.prototype,t),s&&r(e,s),e}var c=function(){function e(){A(this,e),this.translations={},this.debug=!1}return i(e,[{key:"setLanguage",value:function(e){return this.locale=e,this}},{key:"detectLocale",value:function(){return this.setLanguage((0,a.getLanguage)())}},{key:"addTranslation",value:function(e,t){return this.translations[e]=t,this}},{key:"enableDebugMode",value:function(){return this.debug=!0,this}},{key:"build",value:function(){return new l(this.locale||"en",this.translations,this.debug)}}]),e}(),l=function(){function e(t,s,n){for(var a in A(this,e),this.gt=new o.default({debug:n,sourceLocale:"en"}),s)this.gt.addTranslations(a,"messages",s[a]);this.gt.setLocale(t)}return i(e,[{key:"subtitudePlaceholders",value:function(e,t){return e.replace(/{([^{}]*)}/g,(function(e,s){var n=t[s];return"string"==typeof n||"number"==typeof n?n.toString():e}))}},{key:"gettext",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};return this.subtitudePlaceholders(this.gt.gettext(e),t)}},{key:"ngettext",value:function(e,t,s){var n=arguments.length>3&&void 0!==arguments[3]?arguments[3]:{};return this.subtitudePlaceholders(this.gt.ngettext(e,t,s).replace(/%n/g,s.toString()),n)}}]),e}()},209:function(e,t,s){"use strict";var n=s(10),o=s(195).trim;n({target:"String",proto:!0,forced:s(211)("trim")},{trim:function(){return o(this)}})},211:function(e,t,s){var n=s(0),o=s(210);e.exports=function(e){return n((function(){return!!o[e]()||"​…᠎"!="​…᠎"[e]()||o[e].name!==e}))}},213:function(e,t,s){"use strict";var n=s(10),o=s(108),a=s(23),A=s(15),r=s(16),i=s(59),c=s(105),l=s(56),m=s(31),u=l("splice"),g=m("splice",{ACCESSORS:!0,0:0,1:2}),d=Math.max,p=Math.min;n({target:"Array",proto:!0,forced:!u||!g},{splice:function(e,t){var s,n,l,m,u,g,f=r(this),v=A(f.length),h=o(e,v),M=arguments.length;if(0===M?s=n=0:1===M?(s=0,n=v-h):(s=M-2,n=p(d(a(t),0),v-h)),v+s-n>9007199254740991)throw TypeError("Maximum allowed length exceeded");for(l=i(f,n),m=0;m<n;m++)(u=h+m)in f&&c(l,m,f[u]);if(l.length=n,s<n){for(m=h;m<v-n;m++)g=m+s,(u=m+n)in f?f[g]=f[u]:delete f[g];for(m=v;m>v-n+s;m--)delete f[m-1]}else if(s>n)for(m=v-n;m>h;m--)g=m+s-1,(u=m+n-1)in f?f[g]=f[u]:delete f[g];for(m=0;m<s;m++)f[m+h]=arguments[m+2];return f.length=v-n+s,l}})},214:function(e,t,s){window,e.exports=function(e){var t={};function s(n){if(t[n])return t[n].exports;var o=t[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=e,s.c=t,s.d=function(e,t,n){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},s.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(s.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)s.d(n,o,function(t){return e[t]}.bind(null,o));return n},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,"a",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p="/dist/",s(s.s=53)}({0:function(e,t,s){"use strict";function n(e,t,s,n,o,a,A,r){var i,c="function"==typeof e?e.options:e;if(t&&(c.render=t,c.staticRenderFns=s,c._compiled=!0),n&&(c.functional=!0),a&&(c._scopeId="data-v-"+a),A?(i=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(A)},c._ssrRegister=i):o&&(i=r?function(){o.call(this,this.$root.$options.shadowRoot)}:o),i)if(c.functional){c._injectStyles=i;var l=c.render;c.render=function(e,t){return i.call(t),l(e,t)}}else{var m=c.beforeCreate;c.beforeCreate=m?[].concat(m,i):[i]}return{exports:e,options:c}}s.d(t,"a",(function(){return n}))},1:function(e,t,s){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s,n,o,a=e[1]||"",A=e[3];if(!A)return a;if(t&&"function"==typeof btoa){var r=(s=A,n=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),o="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(o," */")),i=A.sources.map((function(e){return"/*# sourceURL=".concat(A.sourceRoot||"").concat(e," */")}));return[a].concat(i).concat([r]).join("\n")}return[a].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(s,"}"):s})).join("")},t.i=function(e,s,n){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(n)for(var a=0;a<this.length;a++){var A=this[a][0];null!=A&&(o[A]=!0)}for(var r=0;r<e.length;r++){var i=[].concat(e[r]);n&&o[i[0]]||(s&&(i[2]?i[2]="".concat(s," and ").concat(i[2]):i[2]=s),t.push(i))}},t}},14:function(e,t){e.exports=s(103)},19:function(e,t){e.exports=s(52)},2:function(e,t,s){"use strict";function n(e,t){for(var s=[],n={},o=0;o<t.length;o++){var a=t[o],A=a[0],r={id:e+":"+o,css:a[1],media:a[2],sourceMap:a[3]};n[A]?n[A].parts.push(r):s.push(n[A]={id:A,parts:[r]})}return s}s.r(t),s.d(t,"default",(function(){return g}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var a={},A=o&&(document.head||document.getElementsByTagName("head")[0]),r=null,i=0,c=!1,l=function(){},m=null,u="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function g(e,t,s,o){c=s,m=o||{};var A=n(e,t);return d(A),function(t){for(var s=[],o=0;o<A.length;o++){var r=A[o];(i=a[r.id]).refs--,s.push(i)}for(t?d(A=n(e,t)):A=[],o=0;o<s.length;o++){var i;if(0===(i=s[o]).refs){for(var c=0;c<i.parts.length;c++)i.parts[c]();delete a[i.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],n=a[s.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](s.parts[o]);for(;o<s.parts.length;o++)n.parts.push(f(s.parts[o]));n.parts.length>s.parts.length&&(n.parts.length=s.parts.length)}else{var A=[];for(o=0;o<s.parts.length;o++)A.push(f(s.parts[o]));a[s.id]={id:s.id,refs:1,parts:A}}}}function p(){var e=document.createElement("style");return e.type="text/css",A.appendChild(e),e}function f(e){var t,s,n=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(n){if(c)return l;n.parentNode.removeChild(n)}if(u){var o=i++;n=r||(r=p()),t=M.bind(null,n,o,!1),s=M.bind(null,n,o,!0)}else n=p(),t=b.bind(null,n),s=function(){n.parentNode.removeChild(n)};return t(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;t(e=n)}else s()}}var v,h=(v=[],function(e,t){return v[e]=t,v.filter(Boolean).join("\n")});function M(e,t,s,n){var o=s?"":n.css;if(e.styleSheet)e.styleSheet.cssText=h(t,o);else{var a=document.createTextNode(o),A=e.childNodes;A[t]&&e.removeChild(A[t]),A.length?e.insertBefore(a,A[t]):e.appendChild(a)}}function b(e,t){var s=t.css,n=t.media,o=t.sourceMap;if(n&&e.setAttribute("media",n),m.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(s+="\n/*# sourceURL="+o.sources[0]+" */",s+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},20:function(e,t){e.exports=s(100)},21:function(e,t){e.exports=s(102)},23:function(e,t){e.exports=s(187)},26:function(e,t){e.exports=s(99)},34:function(e,t,s){var n=s(82);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("1f2ec49f",n,!0,{})},35:function(e,t,s){var n=s(84);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("9c561116",n,!0,{})},36:function(e,t,s){var n=s(86);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("93093140",n,!0,{})},44:function(e,t){},5:function(e,t){e.exports=s(51)},53:function(e,t,s){"use strict";s.r(t),s(14),s(19),s(5),s(26),s(20),s(21),s(23);var n={name:"PopoverMenuItem",props:{item:{type:Object,required:!0,default:function(){return{key:"nextcloud-link",href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}},validator:function(e){return!e.input||-1!==["text","checkbox"].indexOf(e.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(e){return!1}}},methods:{action:function(e){this.item.action&&this.item.action(e)}}},o=(s(81),s(83),s(0)),a={name:"PopoverMenu",components:{PopoverMenuItem:Object(o.a)(n,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s("li",[e.item.href?s("a",{staticClass:"focusable",attrs:{href:e.item.href?e.item.href:"#",target:e.item.target?e.item.target:"",download:e.item.download,rel:"noreferrer noopener"},on:{click:e.action}},[e.iconIsUrl?s("img",{attrs:{src:e.item.icon}}):s("span",{class:e.item.icon}),e._v(" "),e.item.text&&e.item.longtext?s("p",[s("strong",{staticClass:"menuitem-text"},[e._v("\n\t\t\t\t"+e._s(e.item.text)+"\n\t\t\t")]),s("br"),e._v(" "),s("span",{staticClass:"menuitem-text-detail"},[e._v("\n\t\t\t\t"+e._s(e.item.longtext)+"\n\t\t\t")])]):e.item.text?s("span",[e._v("\n\t\t\t"+e._s(e.item.text)+"\n\t\t")]):e.item.longtext?s("p",[e._v("\n\t\t\t"+e._s(e.item.longtext)+"\n\t\t")]):e._e()]):e.item.input?s("span",{staticClass:"menuitem",class:{active:e.item.active}},["checkbox"!==e.item.input?s("span",{class:e.item.icon}):e._e(),e._v(" "),"text"===e.item.input?s("form",{class:e.item.input,on:{submit:function(t){return t.preventDefault(),e.item.action(t)}}},[s("input",{attrs:{type:e.item.input,placeholder:e.item.text,required:""},domProps:{value:e.item.value}}),e._v(" "),s("input",{staticClass:"icon-confirm",attrs:{type:"submit",value:""}})]):["checkbox"===e.item.input?s("input",{directives:[{name:"model",rawName:"v-model",value:e.item.model,expression:"item.model"}],class:e.item.input,attrs:{id:e.key,type:"checkbox"},domProps:{checked:Array.isArray(e.item.model)?e._i(e.item.model,null)>-1:e.item.model},on:{change:[function(t){var s=e.item.model,n=t.target,o=!!n.checked;if(Array.isArray(s)){var a=e._i(s,null);n.checked?a<0&&e.$set(e.item,"model",s.concat([null])):a>-1&&e.$set(e.item,"model",s.slice(0,a).concat(s.slice(a+1)))}else e.$set(e.item,"model",o)},e.item.action]}}):"radio"===e.item.input?s("input",{directives:[{name:"model",rawName:"v-model",value:e.item.model,expression:"item.model"}],class:e.item.input,attrs:{id:e.key,type:"radio"},domProps:{checked:e._q(e.item.model,null)},on:{change:[function(t){return e.$set(e.item,"model",null)},e.item.action]}}):s("input",{directives:[{name:"model",rawName:"v-model",value:e.item.model,expression:"item.model"}],class:e.item.input,attrs:{id:e.key,type:e.item.input},domProps:{value:e.item.model},on:{change:e.item.action,input:function(t){t.target.composing||e.$set(e.item,"model",t.target.value)}}}),e._v(" "),s("label",{attrs:{for:e.key},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),e.item.action(t)}}},[e._v("\n\t\t\t\t"+e._s(e.item.text)+"\n\t\t\t")])]],2):e.item.action?s("button",{staticClass:"menuitem focusable",class:{active:e.item.active},attrs:{disabled:e.item.disabled},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),e.item.action(t)}}},[s("span",{class:e.item.icon}),e._v(" "),e.item.text&&e.item.longtext?s("p",[s("strong",{staticClass:"menuitem-text"},[e._v("\n\t\t\t\t"+e._s(e.item.text)+"\n\t\t\t")]),s("br"),e._v(" "),s("span",{staticClass:"menuitem-text-detail"},[e._v("\n\t\t\t\t"+e._s(e.item.longtext)+"\n\t\t\t")])]):e.item.text?s("span",[e._v("\n\t\t\t"+e._s(e.item.text)+"\n\t\t")]):e.item.longtext?s("p",[e._v("\n\t\t\t"+e._s(e.item.longtext)+"\n\t\t")]):e._e()]):s("span",{staticClass:"menuitem",class:{active:e.item.active}},[s("span",{class:e.item.icon}),e._v(" "),e.item.text&&e.item.longtext?s("p",[s("strong",{staticClass:"menuitem-text"},[e._v("\n\t\t\t\t"+e._s(e.item.text)+"\n\t\t\t")]),s("br"),e._v(" "),s("span",{staticClass:"menuitem-text-detail"},[e._v("\n\t\t\t\t"+e._s(e.item.longtext)+"\n\t\t\t")])]):e.item.text?s("span",[e._v("\n\t\t\t"+e._s(e.item.text)+"\n\t\t")]):e.item.longtext?s("p",[e._v("\n\t\t\t"+e._s(e.item.longtext)+"\n\t\t")]):e._e()])])}),[],!1,null,"75ab886e",null).exports},props:{menu:{type:Array,default:function(){return[{href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}]},required:!0}}},A=(s(85),s(44)),r=s.n(A),i=Object(o.a)(a,(function(){var e=this.$createElement,t=this._self._c||e;return t("ul",this._l(this.menu,(function(e,s){return t("PopoverMenuItem",{key:s,attrs:{item:e}})})),1)}),[],!1,null,"81c2afc0",null);"function"==typeof r.a&&r()(i);var c=i.exports;
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[198],{194:function(e,t,s){var n=s(7),o=s(8).f,r=Function.prototype,i=r.toString,a=/^\s*function ([^ (]*)/;n&&!("name"in r)&&o(r,"name",{configurable:!0,get:function(){try{return i.call(this).match(a)[1]}catch(e){return""}}})},207:function(e,t,s){"use strict";s(51),s(53),s(99),s(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getGettextBuilder=function(){return new A};var n,o=(n=s(197))&&n.__esModule?n:{default:n},r=s(189);function i(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function a(e,t){for(var s=0;s<t.length;s++){var n=t[s];n.enumerable=n.enumerable||!1,n.configurable=!0,"value"in n&&(n.writable=!0),Object.defineProperty(e,n.key,n)}}function c(e,t,s){return t&&a(e.prototype,t),s&&a(e,s),e}var A=function(){function e(){i(this,e),this.translations={},this.debug=!1}return c(e,[{key:"setLanguage",value:function(e){return this.locale=e,this}},{key:"detectLocale",value:function(){return this.setLanguage((0,r.getLanguage)())}},{key:"addTranslation",value:function(e,t){return this.translations[e]=t,this}},{key:"enableDebugMode",value:function(){return this.debug=!0,this}},{key:"build",value:function(){return new m(this.locale||"en",this.translations,this.debug)}}]),e}(),m=function(){function e(t,s,n){for(var r in i(this,e),this.gt=new o.default({debug:n,sourceLocale:"en"}),s)this.gt.addTranslations(r,"messages",s[r]);this.gt.setLocale(t)}return c(e,[{key:"subtitudePlaceholders",value:function(e,t){return e.replace(/{([^{}]*)}/g,(function(e,s){var n=t[s];return"string"==typeof n||"number"==typeof n?n.toString():e}))}},{key:"gettext",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};return this.subtitudePlaceholders(this.gt.gettext(e),t)}},{key:"ngettext",value:function(e,t,s){var n=arguments.length>3&&void 0!==arguments[3]?arguments[3]:{};return this.subtitudePlaceholders(this.gt.ngettext(e,t,s).replace(/%n/g,s.toString()),n)}}]),e}()},210:function(e,t,s){"use strict";var n=s(10),o=s(195).trim;n({target:"String",proto:!0,forced:s(211)("trim")},{trim:function(){return o(this)}})},211:function(e,t,s){var n=s(0),o=s(209);e.exports=function(e){return n((function(){return!!o[e]()||"​…᠎"!="​…᠎"[e]()||o[e].name!==e}))}},213:function(e,t,s){"use strict";var n=s(10),o=s(108),r=s(23),i=s(15),a=s(16),c=s(59),A=s(105),m=s(56),l=s(31),u=m("splice"),g=l("splice",{ACCESSORS:!0,0:0,1:2}),d=Math.max,p=Math.min;n({target:"Array",proto:!0,forced:!u||!g},{splice:function(e,t){var s,n,m,l,u,g,f=a(this),v=i(f.length),h=o(e,v),M=arguments.length;if(0===M?s=n=0:1===M?(s=0,n=v-h):(s=M-2,n=p(d(r(t),0),v-h)),v+s-n>9007199254740991)throw TypeError("Maximum allowed length exceeded");for(m=c(f,n),l=0;l<n;l++)(u=h+l)in f&&A(m,l,f[u]);if(m.length=n,s<n){for(l=h;l<v-n;l++)g=l+s,(u=l+n)in f?f[g]=f[u]:delete f[g];for(l=v;l>v-n+s;l--)delete f[l-1]}else if(s>n)for(l=v-n;l>h;l--)g=l+s-1,(u=l+n-1)in f?f[g]=f[u]:delete f[g];for(l=0;l<s;l++)f[l+h]=arguments[l+2];return f.length=v-n+s,m}})},214:function(e,t,s){window,e.exports=function(e){var t={};function s(n){if(t[n])return t[n].exports;var o=t[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=e,s.c=t,s.d=function(e,t,n){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},s.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(s.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)s.d(n,o,function(t){return e[t]}.bind(null,o));return n},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,"a",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p="/dist/",s(s.s=53)}({0:function(e,t,s){"use strict";function n(e,t,s,n,o,r,i,a){var c,A="function"==typeof e?e.options:e;if(t&&(A.render=t,A.staticRenderFns=s,A._compiled=!0),n&&(A.functional=!0),r&&(A._scopeId="data-v-"+r),i?(c=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(i)},A._ssrRegister=c):o&&(c=a?function(){o.call(this,(A.functional?this.parent:this).$root.$options.shadowRoot)}:o),c)if(A.functional){A._injectStyles=c;var m=A.render;A.render=function(e,t){return c.call(t),m(e,t)}}else{var l=A.beforeCreate;A.beforeCreate=l?[].concat(l,c):[c]}return{exports:e,options:A}}s.d(t,"a",(function(){return n}))},1:function(e,t,s){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s,n,o,r=e[1]||"",i=e[3];if(!i)return r;if(t&&"function"==typeof btoa){var a=(s=i,n=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),o="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(o," */")),c=i.sources.map((function(e){return"/*# sourceURL=".concat(i.sourceRoot||"").concat(e," */")}));return[r].concat(c).concat([a]).join("\n")}return[r].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(s,"}"):s})).join("")},t.i=function(e,s,n){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(n)for(var r=0;r<this.length;r++){var i=this[r][0];null!=i&&(o[i]=!0)}for(var a=0;a<e.length;a++){var c=[].concat(e[a]);n&&o[c[0]]||(s&&(c[2]?c[2]="".concat(s," and ").concat(c[2]):c[2]=s),t.push(c))}},t}},15:function(e,t){e.exports=s(103)},19:function(e,t){e.exports=s(52)},2:function(e,t,s){"use strict";function n(e,t){for(var s=[],n={},o=0;o<t.length;o++){var r=t[o],i=r[0],a={id:e+":"+o,css:r[1],media:r[2],sourceMap:r[3]};n[i]?n[i].parts.push(a):s.push(n[i]={id:i,parts:[a]})}return s}s.r(t),s.d(t,"default",(function(){return g}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var r={},i=o&&(document.head||document.getElementsByTagName("head")[0]),a=null,c=0,A=!1,m=function(){},l=null,u="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function g(e,t,s,o){A=s,l=o||{};var i=n(e,t);return d(i),function(t){for(var s=[],o=0;o<i.length;o++){var a=i[o];(c=r[a.id]).refs--,s.push(c)}for(t?d(i=n(e,t)):i=[],o=0;o<s.length;o++){var c;if(0===(c=s[o]).refs){for(var A=0;A<c.parts.length;A++)c.parts[A]();delete r[c.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],n=r[s.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](s.parts[o]);for(;o<s.parts.length;o++)n.parts.push(f(s.parts[o]));n.parts.length>s.parts.length&&(n.parts.length=s.parts.length)}else{var i=[];for(o=0;o<s.parts.length;o++)i.push(f(s.parts[o]));r[s.id]={id:s.id,refs:1,parts:i}}}}function p(){var e=document.createElement("style");return e.type="text/css",i.appendChild(e),e}function f(e){var t,s,n=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(n){if(A)return m;n.parentNode.removeChild(n)}if(u){var o=c++;n=a||(a=p()),t=M.bind(null,n,o,!1),s=M.bind(null,n,o,!0)}else n=p(),t=T.bind(null,n),s=function(){n.parentNode.removeChild(n)};return t(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;t(e=n)}else s()}}var v,h=(v=[],function(e,t){return v[e]=t,v.filter(Boolean).join("\n")});function M(e,t,s,n){var o=s?"":n.css;if(e.styleSheet)e.styleSheet.cssText=h(t,o);else{var r=document.createTextNode(o),i=e.childNodes;i[t]&&e.removeChild(i[t]),i.length?e.insertBefore(r,i[t]):e.appendChild(r)}}function T(e,t){var s=t.css,n=t.media,o=t.sourceMap;if(n&&e.setAttribute("media",n),l.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(s+="\n/*# sourceURL="+o.sources[0]+" */",s+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},20:function(e,t){e.exports=s(100)},21:function(e,t){e.exports=s(102)},27:function(e,t){e.exports=s(187)},28:function(e,t){e.exports=s(99)},33:function(e,t,s){var n=s(85);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("1f2ec49f",n,!0,{})},34:function(e,t,s){var n=s(87);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("9c561116",n,!0,{})},35:function(e,t,s){var n=s(89);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("93093140",n,!0,{})},41:function(e,t){},5:function(e,t){e.exports=s(51)},53:function(e,t,s){"use strict";s.r(t),s(15),s(19),s(5),s(28),s(20),s(21),s(27);var n={name:"PopoverMenuItem",props:{item:{type:Object,required:!0,default:function(){return{key:"nextcloud-link",href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}},validator:function(e){return!e.input||-1!==["text","checkbox"].indexOf(e.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(e){return!1}}},methods:{action:function(e){this.item.action&&this.item.action(e)}}},o=(s(84),s(86),s(0)),r={name:"PopoverMenu",components:{PopoverMenuItem:Object(o.a)(n,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s("li",[e.item.href?s("a",{staticClass:"focusable",attrs:{href:e.item.href?e.item.href:"#",target:e.item.target?e.item.target:"",download:e.item.download,rel:"noreferrer noopener"},on:{click:e.action}},[e.iconIsUrl?s("img",{attrs:{src:e.item.icon}}):s("span",{class:e.item.icon}),e._v(" "),e.item.text&&e.item.longtext?s("p",[s("strong",{staticClass:"menuitem-text"},[e._v("\n\t\t\t\t"+e._s(e.item.text)+"\n\t\t\t")]),s("br"),e._v(" "),s("span",{staticClass:"menuitem-text-detail"},[e._v("\n\t\t\t\t"+e._s(e.item.longtext)+"\n\t\t\t")])]):e.item.text?s("span",[e._v("\n\t\t\t"+e._s(e.item.text)+"\n\t\t")]):e.item.longtext?s("p",[e._v("\n\t\t\t"+e._s(e.item.longtext)+"\n\t\t")]):e._e()]):e.item.input?s("span",{staticClass:"menuitem",class:{active:e.item.active}},["checkbox"!==e.item.input?s("span",{class:e.item.icon}):e._e(),e._v(" "),"text"===e.item.input?s("form",{class:e.item.input,on:{submit:function(t){return t.preventDefault(),e.item.action(t)}}},[s("input",{attrs:{type:e.item.input,placeholder:e.item.text,required:""},domProps:{value:e.item.value}}),e._v(" "),s("input",{staticClass:"icon-confirm",attrs:{type:"submit",value:""}})]):["checkbox"===e.item.input?s("input",{directives:[{name:"model",rawName:"v-model",value:e.item.model,expression:"item.model"}],class:e.item.input,attrs:{id:e.key,type:"checkbox"},domProps:{checked:Array.isArray(e.item.model)?e._i(e.item.model,null)>-1:e.item.model},on:{change:[function(t){var s=e.item.model,n=t.target,o=!!n.checked;if(Array.isArray(s)){var r=e._i(s,null);n.checked?r<0&&e.$set(e.item,"model",s.concat([null])):r>-1&&e.$set(e.item,"model",s.slice(0,r).concat(s.slice(r+1)))}else e.$set(e.item,"model",o)},e.item.action]}}):"radio"===e.item.input?s("input",{directives:[{name:"model",rawName:"v-model",value:e.item.model,expression:"item.model"}],class:e.item.input,attrs:{id:e.key,type:"radio"},domProps:{checked:e._q(e.item.model,null)},on:{change:[function(t){return e.$set(e.item,"model",null)},e.item.action]}}):s("input",{directives:[{name:"model",rawName:"v-model",value:e.item.model,expression:"item.model"}],class:e.item.input,attrs:{id:e.key,type:e.item.input},domProps:{value:e.item.model},on:{change:e.item.action,input:function(t){t.target.composing||e.$set(e.item,"model",t.target.value)}}}),e._v(" "),s("label",{attrs:{for:e.key},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),e.item.action(t)}}},[e._v("\n\t\t\t\t"+e._s(e.item.text)+"\n\t\t\t")])]],2):e.item.action?s("button",{staticClass:"menuitem focusable",class:{active:e.item.active},attrs:{disabled:e.item.disabled},on:{click:function(t){return t.stopPropagation(),t.preventDefault(),e.item.action(t)}}},[s("span",{class:e.item.icon}),e._v(" "),e.item.text&&e.item.longtext?s("p",[s("strong",{staticClass:"menuitem-text"},[e._v("\n\t\t\t\t"+e._s(e.item.text)+"\n\t\t\t")]),s("br"),e._v(" "),s("span",{staticClass:"menuitem-text-detail"},[e._v("\n\t\t\t\t"+e._s(e.item.longtext)+"\n\t\t\t")])]):e.item.text?s("span",[e._v("\n\t\t\t"+e._s(e.item.text)+"\n\t\t")]):e.item.longtext?s("p",[e._v("\n\t\t\t"+e._s(e.item.longtext)+"\n\t\t")]):e._e()]):s("span",{staticClass:"menuitem",class:{active:e.item.active}},[s("span",{class:e.item.icon}),e._v(" "),e.item.text&&e.item.longtext?s("p",[s("strong",{staticClass:"menuitem-text"},[e._v("\n\t\t\t\t"+e._s(e.item.text)+"\n\t\t\t")]),s("br"),e._v(" "),s("span",{staticClass:"menuitem-text-detail"},[e._v("\n\t\t\t\t"+e._s(e.item.longtext)+"\n\t\t\t")])]):e.item.text?s("span",[e._v("\n\t\t\t"+e._s(e.item.text)+"\n\t\t")]):e.item.longtext?s("p",[e._v("\n\t\t\t"+e._s(e.item.longtext)+"\n\t\t")]):e._e()])])}),[],!1,null,"75ab886e",null).exports},props:{menu:{type:Array,default:function(){return[{href:"https://nextcloud.com",icon:"icon-links",text:"Nextcloud"}]},required:!0}}},i=(s(88),s(41)),a=s.n(i),c=Object(o.a)(r,(function(){var e=this.$createElement,t=this._self._c||e;return t("ul",this._l(this.menu,(function(e,s){return t("PopoverMenuItem",{key:s,attrs:{item:e}})})),1)}),[],!1,null,"81c2afc0",null);"function"==typeof a.a&&a()(c);var A=c.exports;
/**
* @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -19,7 +19,7 @@
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */t.default=c},81:function(e,t,s){"use strict";var n=s(34);s.n(n).a},82:function(e,t,s){(t=s(1)(!1)).push([e.i,"\nbutton.menuitem[data-v-75ab886e] {\n\ttext-align: left;\n}\nbutton.menuitem *[data-v-75ab886e] {\n\tcursor: pointer;\n}\nbutton.menuitem[data-v-75ab886e]:disabled {\n\topacity: 0.5 !important;\n\tcursor: default;\n}\nbutton.menuitem:disabled *[data-v-75ab886e] {\n\tcursor: default;\n}\n.menuitem.active[data-v-75ab886e] {\n\tbox-shadow: inset 2px 0 var(--color-primary);\n\tborder-radius: 0;\n}\n",""]),e.exports=t},83:function(e,t,s){"use strict";var n=s(35);s.n(n).a},84:function(e,t,s){(t=s(1)(!1)).push([e.i,"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\n",""]),e.exports=t},85:function(e,t,s){"use strict";var n=s(36);s.n(n).a},86:function(e,t,s){(t=s(1)(!1)).push([e.i,"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\n",""]),e.exports=t}})},638:function(e,t,s){window,e.exports=function(e){var t={};function s(n){if(t[n])return t[n].exports;var o=t[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=e,s.c=t,s.d=function(e,t,n){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},s.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(s.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)s.d(n,o,function(t){return e[t]}.bind(null,o));return n},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,"a",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p="/dist/",s(s.s=38)}([function(e,t,s){"use strict";function n(e,t,s,n,o,a,A,r){var i,c="function"==typeof e?e.options:e;if(t&&(c.render=t,c.staticRenderFns=s,c._compiled=!0),n&&(c.functional=!0),a&&(c._scopeId="data-v-"+a),A?(i=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(A)},c._ssrRegister=i):o&&(i=r?function(){o.call(this,this.$root.$options.shadowRoot)}:o),i)if(c.functional){c._injectStyles=i;var l=c.render;c.render=function(e,t){return i.call(t),l(e,t)}}else{var m=c.beforeCreate;c.beforeCreate=m?[].concat(m,i):[i]}return{exports:e,options:c}}s.d(t,"a",(function(){return n}))},function(e,t,s){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s,n,o,a=e[1]||"",A=e[3];if(!A)return a;if(t&&"function"==typeof btoa){var r=(s=A,n=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),o="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(o," */")),i=A.sources.map((function(e){return"/*# sourceURL=".concat(A.sourceRoot||"").concat(e," */")}));return[a].concat(i).concat([r]).join("\n")}return[a].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(s,"}"):s})).join("")},t.i=function(e,s,n){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(n)for(var a=0;a<this.length;a++){var A=this[a][0];null!=A&&(o[A]=!0)}for(var r=0;r<e.length;r++){var i=[].concat(e[r]);n&&o[i[0]]||(s&&(i[2]?i[2]="".concat(s," and ").concat(i[2]):i[2]=s),t.push(i))}},t}},function(e,t,s){"use strict";function n(e,t){for(var s=[],n={},o=0;o<t.length;o++){var a=t[o],A=a[0],r={id:e+":"+o,css:a[1],media:a[2],sourceMap:a[3]};n[A]?n[A].parts.push(r):s.push(n[A]={id:A,parts:[r]})}return s}s.r(t),s.d(t,"default",(function(){return g}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var a={},A=o&&(document.head||document.getElementsByTagName("head")[0]),r=null,i=0,c=!1,l=function(){},m=null,u="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function g(e,t,s,o){c=s,m=o||{};var A=n(e,t);return d(A),function(t){for(var s=[],o=0;o<A.length;o++){var r=A[o];(i=a[r.id]).refs--,s.push(i)}for(t?d(A=n(e,t)):A=[],o=0;o<s.length;o++){var i;if(0===(i=s[o]).refs){for(var c=0;c<i.parts.length;c++)i.parts[c]();delete a[i.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],n=a[s.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](s.parts[o]);for(;o<s.parts.length;o++)n.parts.push(f(s.parts[o]));n.parts.length>s.parts.length&&(n.parts.length=s.parts.length)}else{var A=[];for(o=0;o<s.parts.length;o++)A.push(f(s.parts[o]));a[s.id]={id:s.id,refs:1,parts:A}}}}function p(){var e=document.createElement("style");return e.type="text/css",A.appendChild(e),e}function f(e){var t,s,n=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(n){if(c)return l;n.parentNode.removeChild(n)}if(u){var o=i++;n=r||(r=p()),t=M.bind(null,n,o,!1),s=M.bind(null,n,o,!0)}else n=p(),t=b.bind(null,n),s=function(){n.parentNode.removeChild(n)};return t(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;t(e=n)}else s()}}var v,h=(v=[],function(e,t){return v[e]=t,v.filter(Boolean).join("\n")});function M(e,t,s,n){var o=s?"":n.css;if(e.styleSheet)e.styleSheet.cssText=h(t,o);else{var a=document.createTextNode(o),A=e.childNodes;A[t]&&e.removeChild(A[t]),A.length?e.insertBefore(a,A[t]):e.appendChild(a)}}function b(e,t){var s=t.css,n=t.media,o=t.sourceMap;if(n&&e.setAttribute("media",n),m.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(s+="\n/*# sourceURL="+o.sources[0]+" */",s+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},function(e,t){e.exports=s(45)},function(e,t){e.exports=s(193)},function(e,t){e.exports=s(51)},function(e,t,s){"use strict";s.d(t,"b",(function(){return r})),s.d(t,"a",(function(){return A})),s(15);var n=s(27),o=Object(n.getGettextBuilder)().detectLocale();[{locale:"cs_CZ",json:{charset:"utf-8",headers:{"Last-Translator":"Pavel Borecki <pavel.borecki@gmail.com>, 2020","Language-Team":"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)","Content-Type":"text/plain; charset=UTF-8",Language:"cs_CZ","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nPavel Borecki <pavel.borecki@gmail.com>, 2020\n"},msgstr:["Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: cs_CZ\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (neviditelný)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (omezený)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Akce"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Zvolit"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Zavřít"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Následující"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Žádné výsledky"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pozastavit prezentaci"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Předchozí"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Vybrat štítek"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Nastavení"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Spustit prezentaci"]}}}}},{locale:"de",json:{charset:"utf-8",headers:{"Last-Translator":"Philipp Fischbeck <pfischbeck@googlemail.com>, 2020","Language-Team":"German (https://www.transifex.com/nextcloud/teams/64236/de/)","Content-Type":"text/plain; charset=UTF-8",Language:"de","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\n"},msgstr:["Last-Translator: Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\nLanguage-Team: German (https://www.transifex.com/nextcloud/teams/64236/de/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: de\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (unsichtbar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (eingeschränkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Aktionen"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Auswählen"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Schließen"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Weiter"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Keine Ergebnisse"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow pausieren"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorherige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Schlagwort auswählen"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Einstellungen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow starten"]}}}}},{locale:"de_DE",json:{charset:"utf-8",headers:{"Last-Translator":"Philipp Fischbeck <pfischbeck@googlemail.com>, 2020","Language-Team":"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)","Content-Type":"text/plain; charset=UTF-8",Language:"de_DE","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\n"},msgstr:["Last-Translator: Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: de_DE\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (unsichtbar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (eingeschränkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Aktionen"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Auswählen"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Schließen"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Weiter"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Keine Ergebnisse"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow pausieren"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorherige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Schlagwort auswählen"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Einstellungen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow starten"]}}}}},{locale:"el",json:{charset:"utf-8",headers:{"Last-Translator":"george k <norhorn@gmail.com>, 2020","Language-Team":"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)","Content-Type":"text/plain; charset=UTF-8",Language:"el","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nEfstathios Iosifidis <iefstathios@gmail.com>, 2020\ngeorge k <norhorn@gmail.com>, 2020\n"},msgstr:["Last-Translator: george k <norhorn@gmail.com>, 2020\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: el\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (αόρατο)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (περιορισμένο)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Ενέργειες"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Επιλογή"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Κλείσιμο"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Επόμενο"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Κανένα αποτέλεσμα"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Παύση προβολής διαφανειών"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Προηγούμενο"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Επιλογή ετικέτας"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ρυθμίσεις"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Έναρξη προβολής διαφανειών"]}}}}},{locale:"eu",json:{charset:"utf-8",headers:{"Last-Translator":"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020","Language-Team":"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)","Content-Type":"text/plain; charset=UTF-8",Language:"eu","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\n"},msgstr:["Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: eu\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (ikusezina)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (mugatua)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Aukeratu"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Itxi"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Hurrengoa"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Emaitzarik ez"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pausatu diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Aurrekoa"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Hautatu etiketa bat"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ezarpenak"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Hasi diaporama"]}}}}},{locale:"fr",json:{charset:"utf-8",headers:{"Last-Translator":"Greg Greg <grena@grenabox.fr>, 2020","Language-Team":"French (https://www.transifex.com/nextcloud/teams/64236/fr/)","Content-Type":"text/plain; charset=UTF-8",Language:"fr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nBrendan Abolivier <transifex@brendanabolivier.com>, 2020\ngud bes <gudbes@protonmail.com>, 2020\nGreg Greg <grena@grenabox.fr>, 2020\n"},msgstr:["Last-Translator: Greg Greg <grena@grenabox.fr>, 2020\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: fr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restreint)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Actions"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Choisir"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Fermer"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Suivant"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Aucun résultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Mettre le diaporama en pause"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Précédent"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Sélectionnez une balise"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Paramètres"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Démarrer le diaporama"]}}}}},{locale:"gl",json:{charset:"utf-8",headers:{"Last-Translator":"Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020","Language-Team":"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)","Content-Type":"text/plain; charset=UTF-8",Language:"gl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\n"},msgstr:["Last-Translator: Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: gl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisíbel)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restrinxido)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Accións"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escoller"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Pechar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seguinte"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Sen resultados"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausar o diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterir"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleccione unha etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Axustes"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Iniciar o diaporama"]}}}}},{locale:"he",json:{charset:"utf-8",headers:{"Last-Translator":"Yaron Shahrabani <sh.yaron@gmail.com>, 2020","Language-Team":"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)","Content-Type":"text/plain; charset=UTF-8",Language:"he","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\n"},msgstr:["Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: he\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (נסתר)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (מוגבל)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["בחירה"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["סגירה"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["הבא"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["אין תוצאות"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["השהיית מצגת"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["הקודם"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["בחירת תגית"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["הגדרות"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["התחלת המצגת"]}}}}},{locale:"hu_HU",json:{charset:"utf-8",headers:{"Last-Translator":"asbot10 <asbot000@gmail.com>, 2020","Language-Team":"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)","Content-Type":"text/plain; charset=UTF-8",Language:"hu_HU","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nBalázs Meskó <mesko.balazs@fsf.hu>, 2020\nasbot10 <asbot000@gmail.com>, 2020\n"},msgstr:["Last-Translator: asbot10 <asbot000@gmail.com>, 2020\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: hu_HU\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (láthatatlan)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (korlátozott)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:197"},msgstr:["Műveletek"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Válassszon"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Bezárás"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Következő"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nincs találat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diavetítés szüneteltetése"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Előző"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Válasszon címkét"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Beállítások"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diavetítés indítása"]}}}}},{locale:"it",json:{charset:"utf-8",headers:{"Last-Translator":"Random_R, 2020","Language-Team":"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)","Content-Type":"text/plain; charset=UTF-8",Language:"it","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nRandom_R, 2020\n"},msgstr:["Last-Translator: Random_R, 2020\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: it\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisibile)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (limitato)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Azioni"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Scegli"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Chiudi"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Successivo"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nessun risultato"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Presentazione in pausa"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Precedente"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleziona un'etichetta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Impostazioni"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Avvia presentazione"]}}}}},{locale:"ja_JP",json:{charset:"utf-8",headers:{"Last-Translator":"YANO Tetsu <tetuyano+transi@gmail.com>, 2020","Language-Team":"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)","Content-Type":"text/plain; charset=UTF-8",Language:"ja_JP","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\n"},msgstr:["Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ja_JP\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{タグ} (不可視)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{タグ} (制限付)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["操作"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["選択"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["閉じる"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["次"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["なし"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["スライドショーを一時停止"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["前"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["タグを選択"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["設定"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["スライドショーを開始"]}}}}},{locale:"lt_LT",json:{charset:"utf-8",headers:{"Last-Translator":"Moo, 2020","Language-Team":"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)","Content-Type":"text/plain; charset=UTF-8",Language:"lt_LT","Plural-Forms":"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMoo, 2020\n"},msgstr:["Last-Translator: Moo, 2020\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lt_LT\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (nematoma)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (apribota)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Pasirinkti"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Užverti"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Kitas"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nėra rezultatų"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pristabdyti skaidrių rodymą"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Ankstesnis"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Pasirinkti žymę"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Nustatymai"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pradėti skaidrių rodymą"]}}}}},{locale:"lv",json:{charset:"utf-8",headers:{"Last-Translator":"stendec <stendec@inbox.lv>, 2020","Language-Team":"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)","Content-Type":"text/plain; charset=UTF-8",Language:"lv","Plural-Forms":"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nstendec <stendec@inbox.lv>, 2020\n"},msgstr:["Last-Translator: stendec <stendec@inbox.lv>, 2020\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lv\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (neredzams)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ierobežots)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Izvēlēties"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Aizvērt"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Nākamais"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nav rezultātu"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pauzēt slaidrādi"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Iepriekšējais"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Izvēlēties birku"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Iestatījumi"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Sākt slaidrādi"]}}}}},{locale:"mk",json:{charset:"utf-8",headers:{"Last-Translator":"Сашко Тодоров, 2020","Language-Team":"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)","Content-Type":"text/plain; charset=UTF-8",Language:"mk","Plural-Forms":"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nСашко Тодоров, 2020\n"},msgstr:["Last-Translator: Сашко Тодоров, 2020\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: mk\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (невидливо)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ограничено)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Избери"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Затвори"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Следно"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Нема резултати"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Пузирај слајдшоу"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Предходно"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Избери ознака"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Параметри"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Стартувај слајдшоу"]}}}}},{locale:"nl",json:{charset:"utf-8",headers:{"Last-Translator":"Arjan van S, 2020","Language-Team":"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)","Content-Type":"text/plain; charset=UTF-8",Language:"nl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\nArjan van S, 2020\n"},msgstr:["Last-Translator: Arjan van S, 2020\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: nl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (onzichtbaar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (beperkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Acties"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Kies"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Sluiten"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Volgende"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Geen resultaten"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pauzeer diavoorstelling"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecteer een label"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Instellingen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Start diavoorstelling"]}}}}},{locale:"oc",json:{charset:"utf-8",headers:{"Last-Translator":"Quentin PAGÈS, 2020","Language-Team":"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)","Content-Type":"text/plain; charset=UTF-8",Language:"oc","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nQuentin PAGÈS, 2020\n"},msgstr:["Last-Translator: Quentin PAGÈS, 2020\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: oc\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (limit)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Accions"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Causir"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Tampar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seguent"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Cap de resultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Metre en pausa lo diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Precedent"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleccionar una etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Paramètres"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Lançar lo diaporama"]}}}}},{locale:"pl",json:{charset:"utf-8",headers:{"Last-Translator":"Valdnet Valdnet, 2020","Language-Team":"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)","Content-Type":"text/plain; charset=UTF-8",Language:"pl","Plural-Forms":"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nValdnet Valdnet, 2020\n"},msgstr:["Last-Translator: Valdnet Valdnet, 2020\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pl\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (niewidoczna)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (ograniczona)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Działania"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Wybierz"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Zamknij"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Następny"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Brak wyników"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Wstrzymaj pokaz slajdów"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Poprzedni"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Wybierz etykietę"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ustawienia"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Rozpocznij pokaz slajdów"]}}}}},{locale:"pt_BR",json:{charset:"utf-8",headers:{"Last-Translator":"Paulo Schopf, 2020","Language-Team":"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_BR","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMaurício Gardini <accounts@mauriciogardini.com>, 2020\nPaulo Schopf, 2020\n"},msgstr:["Last-Translator: Paulo Schopf, 2020\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_BR\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisível)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restrito) "]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Ações"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escolher"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Fechar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Próximo"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Sem resultados"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausar apresentação de slides"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterior"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecionar uma tag"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Configurações"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Iniciar apresentação de slides"]}}}}},{locale:"pt_PT",json:{charset:"utf-8",headers:{"Last-Translator":"fpapoila <fpapoila@gmail.com>, 2020","Language-Team":"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_PT","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nfpapoila <fpapoila@gmail.com>, 2020\n"},msgstr:["Last-Translator: fpapoila <fpapoila@gmail.com>, 2020\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_PT\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (invisivel)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (restrito)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escolher"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Fechar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Proximo"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Sem resultados"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pausar apresentação de slides"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Anterior"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecionar etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Definições"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Iniciar apresentação de slides"]}}}}},{locale:"ru",json:{charset:"utf-8",headers:{"Last-Translator":"Alex <kekcuha@gmail.com>, 2020","Language-Team":"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)","Content-Type":"text/plain; charset=UTF-8",Language:"ru","Plural-Forms":"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAlex <kekcuha@gmail.com>, 2020\n"},msgstr:["Last-Translator: Alex <kekcuha@gmail.com>, 2020\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ru\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (невидимое)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ограниченное)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Выберите"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Закрыть"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Следующее"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Результаты отсуствуют"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Приостановить показ слйдов"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Предыдущее"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Выберите метку"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Параметры"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Начать показ слайдов"]}}}}},{locale:"sv",json:{charset:"utf-8",headers:{"Last-Translator":"Jonatan Nyberg, 2020","Language-Team":"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)","Content-Type":"text/plain; charset=UTF-8",Language:"sv","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nGabriel Ekström <gabriel.ekstrom06@gmail.com>, 2020\nErik Lennartsson, 2020\nJonatan Nyberg, 2020\n"},msgstr:["Last-Translator: Jonatan Nyberg, 2020\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sv\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (osynlig)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (begränsad)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Åtgärder"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Välj"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Stäng"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Nästa"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Inga resultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausa bildspel"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Föregående"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Välj en tag"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Inställningar"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Starta bildspel"]}}}}},{locale:"tr",json:{charset:"utf-8",headers:{"Last-Translator":"abc Def <hdogan1974@gmail.com>, 2020","Language-Team":"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)","Content-Type":"text/plain; charset=UTF-8",Language:"tr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nKemal Oktay Aktoğan <oktayaktogan@gmail.com>, 2020\nabc Def <hdogan1974@gmail.com>, 2020\n"},msgstr:["Last-Translator: abc Def <hdogan1974@gmail.com>, 2020\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: tr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (görünmez)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (kısıtlı)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Eylemler"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Seç"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Kapat"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["İleri"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Sonuç yok"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Slayt gösterisini duraklat"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Önceki"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Bir etiket seçin"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ayarlar"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Slayt gösterisini başlat"]}}}}},{locale:"uk",json:{charset:"utf-8",headers:{"Last-Translator":"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020","Language-Team":"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)","Content-Type":"text/plain; charset=UTF-8",Language:"uk","Plural-Forms":"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\n"},msgstr:["Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: uk\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restricted)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:197"},msgstr:["Дії"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Виберіть"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Закрити"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Вперед"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Відсутні результати"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Пауза у показі слайдів"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Назад"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Виберіть позначку"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Налаштування"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Почати показ слайдів"]}}}}},{locale:"zh_CN",json:{charset:"utf-8",headers:{"Last-Translator":"Jianming Liang <fuufuukun@163.com>, 2020","Language-Team":"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_CN","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nSleepyJesse <Jesse_Xu@live.com>, 2020\nJianming Liang <fuufuukun@163.com>, 2020\n"},msgstr:["Last-Translator: Jianming Liang <fuufuukun@163.com>, 2020\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_CN\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (不可见)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (受限)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:197"},msgstr:["行为"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["选择"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["关闭"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["下一个"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["无结果"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["暂停幻灯片"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["上一个"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["选择一个标签"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["设置"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["开始幻灯片"]}}}}},{locale:"zh_TW",json:{charset:"utf-8",headers:{"Last-Translator":"byStarTW (pan93412) <pan93412@gmail.com>, 2020","Language-Team":"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_TW","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nbyStarTW (pan93412) <pan93412@gmail.com>, 2020\n"},msgstr:["Last-Translator: byStarTW (pan93412) <pan93412@gmail.com>, 2020\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_TW\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (隱藏)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (受限)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["選擇"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["關閉"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["下一個"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["無結果"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["暫停幻燈片"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["上一個"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["選擇標籤"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["設定"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["開始幻燈片"]}}}}}].map((function(e){return o.addTranslation(e.locale,e.json)}));var a=o.build(),A=a.ngettext.bind(a),r=a.gettext.bind(a)},function(e,t,s){"use strict";e.exports=function(e,t){return t||(t={}),"string"!=typeof(e=e&&e.__esModule?e.default:e)?e:(/^['"].*['"]$/.test(e)&&(e=e.slice(1,-1)),t.hash&&(e+=t.hash),/["'() \t\n]/.test(e)||t.needQuotes?'"'.concat(e.replace(/"/g,'\\"').replace(/\n/g,"\\n"),'"'):e)}},function(e,t,s){"use strict";s.r(t),t.default="data:application/vnd.ms-fontobject;base64,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"},function(e,t,s){"use strict";s.r(t),t.default="data:font/woff;base64,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"},function(e,t,s){"use strict";s.r(t),t.default="data:font/ttf;base64,AAEAAAAKAIAAAwAgT1MvMnTjj5cAAACsAAAAYGNtYXAADeu0AAABDAAAAUJnbHlm9ReudAAAAlAAAASEaGVhZCgANkoAAAbUAAAANmhoZWEnHBOFAAAHDAAAACRobXR4Z97//wAABzAAAAAsbG9jYQduCEYAAAdcAAAAIG1heHABHABXAAAHfAAAACBuYW1lOgl+AAAAB5wAAAKmcG9zdOaJWowAAApEAAAAxAAEEpQBkAAFAAAMZQ2sAAACvAxlDawAAAlgAPUFCgAAAgAFAwAAAAAAAAAAAAAQAAAAAAAAAAAAAABQZkVkAEDqAeoOE4gAAAHCE4gAAAAAAAEAAAAAAAAAAAAAACAAAAAAAAMAAAADAAAAHAABAAAAAAA8AAMAAQAAABwABAAgAAAABAAEAAEAAOoO//8AAOoB//8WAAABAAAAAAAAAQYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAAAAAA6mD0MABQALAAAJAhEJBBEJAQ6m+oIFfvu6BEb6gvqCBX77ugRGD0L6gvqCATgERgRGATj6gvqCATgERgRGAAEAAAAADW4SUAAFAAAJAREJAREGGwdT93QIjAnE+K3+yAiLCIz+xwACAAAAAA/fD0MABQALAAAJAhEJBBEJAQTiBX76ggRG+7oFfgV/+oEERvu6BEYFfgV+/sj7uvu6/sgFfgV+/sj7uvu6AAEAAAAADqYSUAAFAAAJAREJARENbvitCIv3dQnEB1MBOfd093UBOAABAAAAAAY3E4gABQAAEwcJARcBlJQFcvqOlAWjE4hV9pH2kVUJxAAAAQAAAAARhw+DAAUAAAkFD8338/v7/kYFvwnHD4P38wQF/kf6QQnGAAEAAAAAERcRFwALAAAJCxEX/e36wPrA/e0FQPrAAhMFQAVAAhP6wASE/e0FQPrAAhMFQAVAAhP6wAVA/e36wAAB//8AABOTEuwAMwAAASIHDgEXFhcBISYHBgcGBwYUFxYXFhcWNyEBBgcGFxYXHgEXFhcWNzY3ATY3NicmJwEuAQpgZU9KRhASSAXX8eBNPjopJxQUFBQnKTo+TQ4g+ik3GhgDAxsZVjU3Oz46PzUH7TsVFRQVPPgTLHQS7Dk0rFlgR/oqARsYLiw5OHg4OSwuGBsC+ik1Pzs+Ojc2VhkaAwMYGTgH7DxRUE9SPAfsLTIAAAACAAAAAA6mElAAGABGAAABIgcOAQcGFBceARcWMjc+ATc2NCcuAScmASIHBgcGFBcWFxYzIREhIgcGBwYUFxYXFjchMjc2NzY0JyYnJiMhETQnJicmIwl2b2ZimCkrKymYYmbeZmKXKisrKpdiZvw2VkhHKSsrKUdIVgJx/Y9WSEcpKyspR0hWB1NVSEcpKyspR0hV/Y8rKUdJVRJQKyqXY2XfZWKYKSsrKZhiZd9lY5cqK/nlKylHSKtIRykr+eYrKUdJqklHKSsBKilHSapJRykrB1NVSEcpKwAAAwAAAAARFxEXAAMABwALAAABESERAREhEQERIRECcQ6m8VoOpvFaDqYRF/2PAnH55v2PAnH55f2PAnEAAwAAAAASngvnABgAMQBKAAABMhceARcWFAcOAQcGIicuAScmNDc+ATc2ITIXHgEXFhQHDgEHBiInLgEnJjQ3PgE3NiEyFx4BFxYUBw4BBwYiJy4BJyY0Nz4BNzYDDXBlYpgpKyspmGJl32ZilyorKyqXYmYHJm9mYpcqKysql2Jm3mZilyorKyqXYmYHJm9mYpcqKysql2Jm32VimCkrKymYYmUL5ysql2Jm3mZilyorKyqXYmbeZmKXKisrKpdiZt5mYpcqKysql2Jm3mZilyorKyqXYmbeZmKXKisrKpdiZt5mYpcqKwAAAAACAAAAAA/fD98AAwAHAAABESERIREhEQOqBOICcQTiD9/zyww188sMNQAAAAEAAAAAERcRFwACAAAJAgJxDqbxWhEX+K34rQABAAAAAA6mDDUAAgAACQIE4gTiBOIMNfseBOAAAQAAAAEAABFVv5lfDzz1AAsTiAAAAADa0FceAAAAANp/ex///wAAE5MTiAAAAAgAAgAAAAAAAAABAAATiAAAAAATiP////UTkwABAAAAAAAAAAAAAAAAAAAABwAAAAATiAAAE4gAABOIAAATiAAABjYAABOIAAAAAP//AAAAAAAAAAAAAAAAAAAAAAAiADYAWABsAIAAlAC0AQ4BfAGaAhACJgI0AkIAAQAAAA8ASwADAAAAAAACAAAACgAKAAAA/wAAAAAAAAAAABAAxgABAAAAAAABABQAAAABAAAAAAACAAcAFAABAAAAAAADABQAGwABAAAAAAAEABQALwABAAAAAAAFAAsAQwABAAAAAAAGABQATgABAAAAAAAKACsAYgABAAAAAAALABMAjQADAAEECQABACgAoAADAAEECQACAA4AyAADAAEECQADACgA1gADAAEECQAEACgA/gADAAEECQAFABYBJgADAAEECQAGACgBPAADAAEECQAKAFYBZAADAAEECQALACYBumljb25mb250LXZ1ZS02ZjQxYzBkUmVndWxhcmljb25mb250LXZ1ZS02ZjQxYzBkaWNvbmZvbnQtdnVlLTZmNDFjMGRWZXJzaW9uIDEuMGljb25mb250LXZ1ZS02ZjQxYzBkR2VuZXJhdGVkIGJ5IHN2ZzJ0dGYgZnJvbSBGb250ZWxsbyBwcm9qZWN0Lmh0dHA6Ly9mb250ZWxsby5jb20AaQBjAG8AbgBmAG8AbgB0AC0AdgB1AGUALQA2AGYANAAxAGMAMABkAFIAZQBnAHUAbABhAHIAaQBjAG8AbgBmAG8AbgB0AC0AdgB1AGUALQA2AGYANAAxAGMAMABkAGkAYwBvAG4AZgBvAG4AdAAtAHYAdQBlAC0ANgBmADQAMQBjADAAZABWAGUAcgBzAGkAbwBuACAAMQAuADAAaQBjAG8AbgBmAG8AbgB0AC0AdgB1AGUALQA2AGYANAAxAGMAMABkAEcAZQBuAGUAcgBhAHQAZQBkACAAYgB5ACAAcwB2AGcAMgB0AHQAZgAgAGYAcgBvAG0AIABGAG8AbgB0AGUAbABsAG8AIABwAHIAbwBqAGUAYwB0AC4AaAB0AHQAcAA6AC8ALwBmAG8AbgB0AGUAbABsAG8ALgBjAG8AbQAAAAIAAAAAAAAAMgAAAAAAAAAAAAAAAAAAAAAAAAAAAA8ADwAAAQIBAwEEAQUBBgEHAQgBCQEKAQsBDAENAQ4BDxFhcnJvdy1sZWZ0LWRvdWJsZQphcnJvdy1sZWZ0EmFycm93LXJpZ2h0LWRvdWJsZQthcnJvdy1yaWdodApicmVhZGNydW1iCWNoZWNrbWFyawVjbG9zZQdjb25maXJtBGluZm8EbWVudQRtb3JlBXBhdXNlBHBsYXkKdHJpYW5nbGUtcw=="},function(e,t,s){"use strict";s.r(t),t.default="data:image/svg+xml;base64,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"},function(e,t){e.exports=s(194)},function(e,t,s){"use strict";s.r(t);var n=s(4);s(42),
+ */t.default=A},84:function(e,t,s){"use strict";var n=s(33);s.n(n).a},85:function(e,t,s){(t=s(1)(!1)).push([e.i,"\nbutton.menuitem[data-v-75ab886e] {\n\ttext-align: left;\n}\nbutton.menuitem *[data-v-75ab886e] {\n\tcursor: pointer;\n}\nbutton.menuitem[data-v-75ab886e]:disabled {\n\topacity: 0.5 !important;\n\tcursor: default;\n}\nbutton.menuitem:disabled *[data-v-75ab886e] {\n\tcursor: default;\n}\n.menuitem.active[data-v-75ab886e] {\n\tbox-shadow: inset 2px 0 var(--color-primary);\n\tborder-radius: 0;\n}\n",""]),e.exports=t},86:function(e,t,s){"use strict";var n=s(34);s.n(n).a},87:function(e,t,s){(t=s(1)(!1)).push([e.i,"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\n",""]),e.exports=t},88:function(e,t,s){"use strict";var n=s(35);s.n(n).a},89:function(e,t,s){(t=s(1)(!1)).push([e.i,"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\n",""]),e.exports=t}})},639:function(e,t,s){window,e.exports=function(e){var t={};function s(n){if(t[n])return t[n].exports;var o=t[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=e,s.c=t,s.d=function(e,t,n){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},s.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(s.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)s.d(n,o,function(t){return e[t]}.bind(null,o));return n},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,"a",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p="/dist/",s(s.s=42)}([function(e,t,s){"use strict";function n(e,t,s,n,o,r,i,a){var c,A="function"==typeof e?e.options:e;if(t&&(A.render=t,A.staticRenderFns=s,A._compiled=!0),n&&(A.functional=!0),r&&(A._scopeId="data-v-"+r),i?(c=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(i)},A._ssrRegister=c):o&&(c=a?function(){o.call(this,(A.functional?this.parent:this).$root.$options.shadowRoot)}:o),c)if(A.functional){A._injectStyles=c;var m=A.render;A.render=function(e,t){return c.call(t),m(e,t)}}else{var l=A.beforeCreate;A.beforeCreate=l?[].concat(l,c):[c]}return{exports:e,options:A}}s.d(t,"a",(function(){return n}))},function(e,t,s){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s,n,o,r=e[1]||"",i=e[3];if(!i)return r;if(t&&"function"==typeof btoa){var a=(s=i,n=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),o="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(o," */")),c=i.sources.map((function(e){return"/*# sourceURL=".concat(i.sourceRoot||"").concat(e," */")}));return[r].concat(c).concat([a]).join("\n")}return[r].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(s,"}"):s})).join("")},t.i=function(e,s,n){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(n)for(var r=0;r<this.length;r++){var i=this[r][0];null!=i&&(o[i]=!0)}for(var a=0;a<e.length;a++){var c=[].concat(e[a]);n&&o[c[0]]||(s&&(c[2]?c[2]="".concat(s," and ").concat(c[2]):c[2]=s),t.push(c))}},t}},function(e,t,s){"use strict";function n(e,t){for(var s=[],n={},o=0;o<t.length;o++){var r=t[o],i=r[0],a={id:e+":"+o,css:r[1],media:r[2],sourceMap:r[3]};n[i]?n[i].parts.push(a):s.push(n[i]={id:i,parts:[a]})}return s}s.r(t),s.d(t,"default",(function(){return g}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var r={},i=o&&(document.head||document.getElementsByTagName("head")[0]),a=null,c=0,A=!1,m=function(){},l=null,u="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function g(e,t,s,o){A=s,l=o||{};var i=n(e,t);return d(i),function(t){for(var s=[],o=0;o<i.length;o++){var a=i[o];(c=r[a.id]).refs--,s.push(c)}for(t?d(i=n(e,t)):i=[],o=0;o<s.length;o++){var c;if(0===(c=s[o]).refs){for(var A=0;A<c.parts.length;A++)c.parts[A]();delete r[c.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],n=r[s.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](s.parts[o]);for(;o<s.parts.length;o++)n.parts.push(f(s.parts[o]));n.parts.length>s.parts.length&&(n.parts.length=s.parts.length)}else{var i=[];for(o=0;o<s.parts.length;o++)i.push(f(s.parts[o]));r[s.id]={id:s.id,refs:1,parts:i}}}}function p(){var e=document.createElement("style");return e.type="text/css",i.appendChild(e),e}function f(e){var t,s,n=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(n){if(A)return m;n.parentNode.removeChild(n)}if(u){var o=c++;n=a||(a=p()),t=M.bind(null,n,o,!1),s=M.bind(null,n,o,!0)}else n=p(),t=T.bind(null,n),s=function(){n.parentNode.removeChild(n)};return t(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;t(e=n)}else s()}}var v,h=(v=[],function(e,t){return v[e]=t,v.filter(Boolean).join("\n")});function M(e,t,s,n){var o=s?"":n.css;if(e.styleSheet)e.styleSheet.cssText=h(t,o);else{var r=document.createTextNode(o),i=e.childNodes;i[t]&&e.removeChild(i[t]),i.length?e.insertBefore(r,i[t]):e.appendChild(r)}}function T(e,t){var s=t.css,n=t.media,o=t.sourceMap;if(n&&e.setAttribute("media",n),l.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(s+="\n/*# sourceURL="+o.sources[0]+" */",s+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},function(e,t,s){"use strict";s.d(t,"b",(function(){return a})),s.d(t,"a",(function(){return i})),s(7);var n=s(23),o=Object(n.getGettextBuilder)().detectLocale();[{locale:"br",json:{charset:"utf-8",headers:{"Last-Translator":"Kervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020","Language-Team":"Breton (https://www.transifex.com/nextcloud/teams/64236/br/)","Content-Type":"text/plain; charset=UTF-8",Language:"br","Plural-Forms":"nplurals=5; plural=((n%10 == 1) && (n%100 != 11) && (n%100 !=71) && (n%100 !=91) ? 0 :(n%10 == 2) && (n%100 != 12) && (n%100 !=72) && (n%100 !=92) ? 1 :(n%10 ==3 || n%10==4 || n%10==9) && (n%100 < 10 || n% 100 > 19) && (n%100 < 70 || n%100 > 79) && (n%100 < 90 || n%100 > 99) ? 2 :(n != 0 && n % 1000000 == 0) ? 3 : 4);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nKervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020\n"},msgstr:["Last-Translator: Kervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020\nLanguage-Team: Breton (https://www.transifex.com/nextcloud/teams/64236/br/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: br\nPlural-Forms: nplurals=5; plural=((n%10 == 1) && (n%100 != 11) && (n%100 !=71) && (n%100 !=91) ? 0 :(n%10 == 2) && (n%100 != 12) && (n%100 !=72) && (n%100 !=92) ? 1 :(n%10 ==3 || n%10==4 || n%10==9) && (n%100 < 10 || n% 100 > 19) && (n%100 < 70 || n%100 > 79) && (n%100 < 90 || n%100 > 99) ? 2 :(n != 0 && n % 1000000 == 0) ? 3 : 4);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (diwelus)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (bevennet)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Oberioù"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Dibab"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Seriñ"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Da heul"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Disoc'h ebet"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Arsav an diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["A-raok"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Choaz un tag"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Arventoù"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Kregiñ an diaporama"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Dibosuple klask ar strollad"]}}}}},{locale:"ca",json:{charset:"utf-8",headers:{"Last-Translator":"Carles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020","Language-Team":"Catalan (https://www.transifex.com/nextcloud/teams/64236/ca/)","Content-Type":"text/plain; charset=UTF-8",Language:"ca","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nMarc Riera <marcriera@softcatala.org>, 2020\nCarles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020\n"},msgstr:["Last-Translator: Carles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020\nLanguage-Team: Catalan (https://www.transifex.com/nextcloud/teams/64236/ca/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ca\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restringit)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Accions"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Trieu"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Tanca"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Següent"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Sense resultats"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Atura la presentació"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterior"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecciona una etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Paràmetres"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Inicia la presentació"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["No es pot cercar el grup"]}}}}},{locale:"cs_CZ",json:{charset:"utf-8",headers:{"Last-Translator":"Pavel Borecki <pavel.borecki@gmail.com>, 2020","Language-Team":"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)","Content-Type":"text/plain; charset=UTF-8",Language:"cs_CZ","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nPavel Borecki <pavel.borecki@gmail.com>, 2020\n"},msgstr:["Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: cs_CZ\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (neviditelný)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (omezený)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Akce"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Aktivity"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Zvířata a příroda"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Zvolit"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Zavřít"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Uživatelsky určené"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Příznaky"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Jídlo a pití"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Často používané"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Následující"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Nenalezeno žádné emoji"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Žádné výsledky"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Objekty"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pozastavit prezentaci"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Lidé a tělo"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Vyberte emoji"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Předchozí"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Hledat"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Výsledky hledání"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Vybrat štítek"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Nastavení"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Úsměvy a emoce"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Spustit prezentaci"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Symboly"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Cestování a místa"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Nedaří se hledat skupinu"]}}}}},{locale:"da",json:{charset:"utf-8",headers:{"Last-Translator":"Thomas Nielsen <thsnielsen@gmail.com>, 2020","Language-Team":"Danish (https://www.transifex.com/nextcloud/teams/64236/da/)","Content-Type":"text/plain; charset=UTF-8",Language:"da","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nThomas Nielsen <thsnielsen@gmail.com>, 2020\n"},msgstr:["Last-Translator: Thomas Nielsen <thsnielsen@gmail.com>, 2020\nLanguage-Team: Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: da\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (usynlig)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (begrænset)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Handlinger"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Vælg"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Luk"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Videre"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Ingen resultater"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Suspender fremvisning"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Forrige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Vælg et mærke"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Indstillinger"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Start fremvisning"]}}}}},{locale:"de",json:{charset:"utf-8",headers:{"Last-Translator":"Mario Siegmann <mario_siegmann@web.de>, 2020","Language-Team":"German (https://www.transifex.com/nextcloud/teams/64236/de/)","Content-Type":"text/plain; charset=UTF-8",Language:"de","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\nAndreas Eitel <github-aneitel@online.de>, 2020\nMario Siegmann <mario_siegmann@web.de>, 2020\n"},msgstr:["Last-Translator: Mario Siegmann <mario_siegmann@web.de>, 2020\nLanguage-Team: German (https://www.transifex.com/nextcloud/teams/64236/de/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: de\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (unsichtbar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (eingeschränkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Aktionen"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Aktivitäten"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Tiere & Natur"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Auswählen"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Schließen"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Benutzerdefiniert"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Markierung"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Essen & Trinken"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Häufig verwendet"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Weiter"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Kein Emoji gefunden"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Keine Ergebnisse"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Gegenstände"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow pausieren"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Menschen & Körper"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Ein Emoji auswählen"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorherige"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Ein Emoji auswählen"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Suchergebnisse"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Schlagwort auswählen"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Einstellungen"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Smileys & Emotionen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow starten"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Symbole"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Reisen & Orte"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Die Gruppe konnte nicht durchsucht werden"]}}}}},{locale:"de_DE",json:{charset:"utf-8",headers:{"Last-Translator":"Mario Siegmann <mario_siegmann@web.de>, 2020","Language-Team":"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)","Content-Type":"text/plain; charset=UTF-8",Language:"de_DE","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\nProfDrJones <jones@fs.cs.hm.edu>, 2020\nMario Siegmann <mario_siegmann@web.de>, 2020\n"},msgstr:["Last-Translator: Mario Siegmann <mario_siegmann@web.de>, 2020\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: de_DE\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (unsichtbar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (eingeschränkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Aktionen"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Aktivitäten"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Tiere & Natur"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Auswählen"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Schließen"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Benutzerdefiniert"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Markierung"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Essen & Trinken"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Häufig verwendet"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Weiter"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Kein Emoji gefunden"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Keine Ergebnisse"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Gegenstände"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow pausieren"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Menschen & Körper"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Ein Emoji auswählen"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorherige"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Suchen"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Suchergebnisse"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Schlagwort auswählen"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Einstellungen"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Smileys & Emotionen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow starten"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Symbole"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Reisen & Orte"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Die Gruppe kann nicht durchsucht werden"]}}}}},{locale:"el",json:{charset:"utf-8",headers:{"Last-Translator":"george k <norhorn@gmail.com>, 2020","Language-Team":"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)","Content-Type":"text/plain; charset=UTF-8",Language:"el","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nEfstathios Iosifidis <iefstathios@gmail.com>, 2020\ngeorge k <norhorn@gmail.com>, 2020\n"},msgstr:["Last-Translator: george k <norhorn@gmail.com>, 2020\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: el\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (αόρατο)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (περιορισμένο)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Ενέργειες"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Επιλογή"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Κλείσιμο"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Επόμενο"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:34"},msgstr:["Κανένα αποτέλεσμα"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Παύση προβολής διαφανειών"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Προηγούμενο"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Επιλογή ετικέτας"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ρυθμίσεις"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Έναρξη προβολής διαφανειών"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:138"},msgstr:["Δεν είναι δυνατή η αναζήτηση της ομάδας"]}}}}},{locale:"es",json:{charset:"utf-8",headers:{"Last-Translator":"asd fgh <c1@cgps.xyz>, 2020","Language-Team":"Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)","Content-Type":"text/plain; charset=UTF-8",Language:"es","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\njavier san felipe <jsanfe@gmail.com>, 2020\nasd fgh <c1@cgps.xyz>, 2020\n"},msgstr:["Last-Translator: asd fgh <c1@cgps.xyz>, 2020\nLanguage-Team: Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: es\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{etiqueta} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{etiqueta} (restringido)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["acciones"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Elige"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Cierra"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Siguiente"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:[" Ningún resultado"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausa la presentación "]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterior"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecciona una etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ajustes"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Comienza la presentación "]}}}}},{locale:"eu",json:{charset:"utf-8",headers:{"Last-Translator":"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020","Language-Team":"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)","Content-Type":"text/plain; charset=UTF-8",Language:"eu","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\n"},msgstr:["Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: eu\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (ikusezina)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (mugatua)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Aukeratu"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Itxi"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Hurrengoa"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Emaitzarik ez"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pausatu diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Aurrekoa"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Hautatu etiketa bat"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ezarpenak"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Hasi diaporama"]}}}}},{locale:"fi_FI",json:{charset:"utf-8",headers:{"Last-Translator":"Robin Lahtinen <robin.lahtinen@gmail.com>, 2020","Language-Team":"Finnish (Finland) (https://www.transifex.com/nextcloud/teams/64236/fi_FI/)","Content-Type":"text/plain; charset=UTF-8",Language:"fi_FI","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nRobin Lahtinen <robin.lahtinen@gmail.com>, 2020\n"},msgstr:["Last-Translator: Robin Lahtinen <robin.lahtinen@gmail.com>, 2020\nLanguage-Team: Finnish (Finland) (https://www.transifex.com/nextcloud/teams/64236/fi_FI/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: fi_FI\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (näkymätön)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (rajoitettu)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Toiminnot"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Valitse"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Sulje"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seuraava"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Ei tuloksia"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Keskeytä diaesitys"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Edellinen"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Valitse tagi"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Asetukset"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Aloita diaesitys"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Ryhmää ei voi hakea"]}}}}},{locale:"fr",json:{charset:"utf-8",headers:{"Last-Translator":"Luclu7 <theluc7andcompagnie@gmail.com>, 2020","Language-Team":"French (https://www.transifex.com/nextcloud/teams/64236/fr/)","Content-Type":"text/plain; charset=UTF-8",Language:"fr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nBrendan Abolivier <transifex@brendanabolivier.com>, 2020\ngud bes <gudbes@protonmail.com>, 2020\nGreg Greg <grena@grenabox.fr>, 2020\nLuclu7 <theluc7andcompagnie@gmail.com>, 2020\n"},msgstr:["Last-Translator: Luclu7 <theluc7andcompagnie@gmail.com>, 2020\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: fr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restreint)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Actions"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Choisir"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Fermer"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Suivant"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Aucun résultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Mettre le diaporama en pause"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Précédent"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Sélectionnez une balise"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Paramètres"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Démarrer le diaporama"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Impossible de chercher le groupe"]}}}}},{locale:"gl",json:{charset:"utf-8",headers:{"Last-Translator":"Anonymous Person <pessoaemluta@protonmail.com>, 2020","Language-Team":"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)","Content-Type":"text/plain; charset=UTF-8",Language:"gl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\nAnonymous Person <pessoaemluta@protonmail.com>, 2020\n"},msgstr:["Last-Translator: Anonymous Person <pessoaemluta@protonmail.com>, 2020\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: gl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisíbel)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restrinxido)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Accións"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escoller"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Pechar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seguinte"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Sen resultados"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausar o diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterir"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleccione unha etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Axustes"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Iniciar o diaporama"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Non se puido procurar o grupo."]}}}}},{locale:"he",json:{charset:"utf-8",headers:{"Last-Translator":"Yaron Shahrabani <sh.yaron@gmail.com>, 2020","Language-Team":"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)","Content-Type":"text/plain; charset=UTF-8",Language:"he","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\n"},msgstr:["Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: he\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (נסתר)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (מוגבל)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["בחירה"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["סגירה"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["הבא"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["אין תוצאות"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["השהיית מצגת"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["הקודם"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["בחירת תגית"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["הגדרות"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["התחלת המצגת"]}}}}},{locale:"hu_HU",json:{charset:"utf-8",headers:{"Last-Translator":"asbot10 <asbot000@gmail.com>, 2020","Language-Team":"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)","Content-Type":"text/plain; charset=UTF-8",Language:"hu_HU","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nBalázs Meskó <mesko.balazs@fsf.hu>, 2020\nasbot10 <asbot000@gmail.com>, 2020\n"},msgstr:["Last-Translator: asbot10 <asbot000@gmail.com>, 2020\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: hu_HU\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (láthatatlan)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (korlátozott)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:197"},msgstr:["Műveletek"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Válassszon"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Bezárás"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Következő"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nincs találat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diavetítés szüneteltetése"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Előző"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Válasszon címkét"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Beállítások"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diavetítés indítása"]}}}}},{locale:"is",json:{charset:"utf-8",headers:{"Last-Translator":"Sveinn í Felli <sv1@fellsnet.is>, 2020","Language-Team":"Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)","Content-Type":"text/plain; charset=UTF-8",Language:"is","Plural-Forms":"nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nSveinn í Felli <sv1@fellsnet.is>, 2020\n"},msgstr:["Last-Translator: Sveinn í Felli <sv1@fellsnet.is>, 2020\nLanguage-Team: Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: is\nPlural-Forms: nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (ósýnilegt)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (takmarkað)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Aðgerðir"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Velja"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Loka"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Næsta"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Engar niðurstöður"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Gera hlé á skyggnusýningu"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Fyrri"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Veldu merki"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Stillingar"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Byrja skyggnusýningu"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Get ekki leitað í hópnum"]}}}}},{locale:"it",json:{charset:"utf-8",headers:{"Last-Translator":"Random_R, 2020","Language-Team":"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)","Content-Type":"text/plain; charset=UTF-8",Language:"it","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nRandom_R, 2020\n"},msgstr:["Last-Translator: Random_R, 2020\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: it\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisibile)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (limitato)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Azioni"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Scegli"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Chiudi"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Successivo"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:34"},msgstr:["Nessun risultato"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Presentazione in pausa"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Precedente"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleziona un'etichetta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Impostazioni"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Avvia presentazione"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:138"},msgstr:["Impossibile cercare il gruppo"]}}}}},{locale:"ja_JP",json:{charset:"utf-8",headers:{"Last-Translator":"YANO Tetsu <tetuyano+transi@gmail.com>, 2020","Language-Team":"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)","Content-Type":"text/plain; charset=UTF-8",Language:"ja_JP","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\n"},msgstr:["Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ja_JP\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{タグ} (不可視)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{タグ} (制限付)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["操作"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["選択"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["閉じる"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["次"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["なし"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["スライドショーを一時停止"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["前"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["タグを選択"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["設定"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["スライドショーを開始"]}}}}},{locale:"lt_LT",json:{charset:"utf-8",headers:{"Last-Translator":"Moo, 2020","Language-Team":"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)","Content-Type":"text/plain; charset=UTF-8",Language:"lt_LT","Plural-Forms":"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMoo, 2020\n"},msgstr:["Last-Translator: Moo, 2020\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lt_LT\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (nematoma)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (apribota)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Pasirinkti"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Užverti"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Kitas"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nėra rezultatų"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pristabdyti skaidrių rodymą"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Ankstesnis"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Pasirinkti žymę"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Nustatymai"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pradėti skaidrių rodymą"]}}}}},{locale:"lv",json:{charset:"utf-8",headers:{"Last-Translator":"stendec <stendec@inbox.lv>, 2020","Language-Team":"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)","Content-Type":"text/plain; charset=UTF-8",Language:"lv","Plural-Forms":"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nstendec <stendec@inbox.lv>, 2020\n"},msgstr:["Last-Translator: stendec <stendec@inbox.lv>, 2020\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lv\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (neredzams)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ierobežots)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Izvēlēties"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Aizvērt"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Nākamais"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nav rezultātu"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pauzēt slaidrādi"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Iepriekšējais"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Izvēlēties birku"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Iestatījumi"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Sākt slaidrādi"]}}}}},{locale:"mk",json:{charset:"utf-8",headers:{"Last-Translator":"Сашко Тодоров, 2020","Language-Team":"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)","Content-Type":"text/plain; charset=UTF-8",Language:"mk","Plural-Forms":"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nСашко Тодоров, 2020\n"},msgstr:["Last-Translator: Сашко Тодоров, 2020\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: mk\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (невидливо)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ограничено)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Избери"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Затвори"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Следно"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Нема резултати"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Пузирај слајдшоу"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Предходно"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Избери ознака"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Параметри"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Стартувај слајдшоу"]}}}}},{locale:"nb_NO",json:{charset:"utf-8",headers:{"Last-Translator":"Ole Jakob Brustad <ole.jakob@brustadbuss.no>, 2020","Language-Team":"Norwegian Bokmål (Norway) (https://www.transifex.com/nextcloud/teams/64236/nb_NO/)","Content-Type":"text/plain; charset=UTF-8",Language:"nb_NO","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nOle Jakob Brustad <ole.jakob@brustadbuss.no>, 2020\n"},msgstr:["Last-Translator: Ole Jakob Brustad <ole.jakob@brustadbuss.no>, 2020\nLanguage-Team: Norwegian Bokmål (Norway) (https://www.transifex.com/nextcloud/teams/64236/nb_NO/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: nb_NO\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (usynlig)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (beskyttet)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Handlinger"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Velg"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Lukk"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Neste"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Ingen resultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pause lysbildefremvisning"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Forrige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Velg et merke"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Instillinger"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Start lysbildefremvisning"]}}}}},{locale:"nl",json:{charset:"utf-8",headers:{"Last-Translator":"Arjan van S, 2020","Language-Team":"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)","Content-Type":"text/plain; charset=UTF-8",Language:"nl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\nArjan van S, 2020\n"},msgstr:["Last-Translator: Arjan van S, 2020\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: nl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (onzichtbaar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (beperkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Acties"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Kies"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Sluiten"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Volgende"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Geen resultaten"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pauzeer diavoorstelling"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecteer een label"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Instellingen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Start diavoorstelling"]}}}}},{locale:"oc",json:{charset:"utf-8",headers:{"Last-Translator":"Quentin PAGÈS, 2020","Language-Team":"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)","Content-Type":"text/plain; charset=UTF-8",Language:"oc","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nQuentin PAGÈS, 2020\n"},msgstr:["Last-Translator: Quentin PAGÈS, 2020\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: oc\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (limit)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Accions"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Causir"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Tampar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seguent"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Cap de resultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Metre en pausa lo diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Precedent"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleccionar una etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Paramètres"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Lançar lo diaporama"]}}}}},{locale:"pl",json:{charset:"utf-8",headers:{"Last-Translator":"Valdnet, 2020","Language-Team":"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)","Content-Type":"text/plain; charset=UTF-8",Language:"pl","Plural-Forms":"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nValdnet, 2020\n"},msgstr:["Last-Translator: Valdnet, 2020\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pl\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (niewidoczna)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (ograniczona)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Działania"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Aktywność"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Zwierzęta i natura"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Wybierz"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Zamknij"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Zwyczajne"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Flagi"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Jedzenie i picie"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Często używane"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Następny"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Nie znaleziono emotikonów"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Brak wyników"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Obiekty"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Wstrzymaj pokaz slajdów"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Ludzie i ciało"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Wybierz emoji"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Poprzedni"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Szukaj"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Wyniki wyszukiwania"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Wybierz etykietę"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ustawienia"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Buźki i emotikony"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Rozpocznij pokaz slajdów"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Symbole"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Podróże i miejsca"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Nie można przeszukać grupy"]}}}}},{locale:"pt_BR",json:{charset:"utf-8",headers:{"Last-Translator":"Paulo Schopf, 2020","Language-Team":"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_BR","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nMaurício Gardini <accounts@mauriciogardini.com>, 2020\nPaulo Schopf, 2020\n"},msgstr:["Last-Translator: Paulo Schopf, 2020\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_BR\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisível)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restrito) "]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Ações"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Atividades"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Animais & Natureza"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escolher"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Fechar"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Personalizado"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Bandeiras"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Comida & Bebida"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Mais usados"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Próximo"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Nenhum emoji encontrado"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Sem resultados"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Objetos"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausar apresentação de slides"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Pessoas & Corpo"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Escolha um emoji"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterior"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Pesquisar"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Resultados da pesquisa"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecionar uma tag"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Configurações"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Smiles & Emoções"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Iniciar apresentação de slides"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Símbolo"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Viagem & Lugares"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Não foi possível pesquisar o grupo"]}}}}},{locale:"pt_PT",json:{charset:"utf-8",headers:{"Last-Translator":"Manuela Silva <manuelarodsilva@gmail.com>, 2020","Language-Team":"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_PT","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nfpapoila <fpapoila@gmail.com>, 2020\nManuela Silva <manuelarodsilva@gmail.com>, 2020\n"},msgstr:["Last-Translator: Manuela Silva <manuelarodsilva@gmail.com>, 2020\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_PT\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisivel)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restrito)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Ações"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escolher"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Fechar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seguinte"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Sem resultados"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausar diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterior"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecionar uma etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Definições"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Iniciar diaporama"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Não é possível pesquisar o grupo"]}}}}},{locale:"ru",json:{charset:"utf-8",headers:{"Last-Translator":"Alex <kekcuha@gmail.com>, 2020","Language-Team":"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)","Content-Type":"text/plain; charset=UTF-8",Language:"ru","Plural-Forms":"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAlex <kekcuha@gmail.com>, 2020\n"},msgstr:["Last-Translator: Alex <kekcuha@gmail.com>, 2020\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ru\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (невидимое)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ограниченное)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Выберите"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Закрыть"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Следующее"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Результаты отсуствуют"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Приостановить показ слйдов"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Предыдущее"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Выберите метку"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Параметры"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Начать показ слайдов"]}}}}},{locale:"sk_SK",json:{charset:"utf-8",headers:{"Last-Translator":"Anton Kuchár <tonokuc@pobox.sk>, 2020","Language-Team":"Slovak (Slovakia) (https://www.transifex.com/nextcloud/teams/64236/sk_SK/)","Content-Type":"text/plain; charset=UTF-8",Language:"sk_SK","Plural-Forms":"nplurals=4; plural=(n % 1 == 0 && n == 1 ? 0 : n % 1 == 0 && n >= 2 && n <= 4 ? 1 : n % 1 != 0 ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nAnton Kuchár <tonokuc@pobox.sk>, 2020\n"},msgstr:["Last-Translator: Anton Kuchár <tonokuc@pobox.sk>, 2020\nLanguage-Team: Slovak (Slovakia) (https://www.transifex.com/nextcloud/teams/64236/sk_SK/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sk_SK\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n == 1 ? 0 : n % 1 == 0 && n >= 2 && n <= 4 ? 1 : n % 1 != 0 ? 2: 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (neviditeľný)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (obmedzený)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Akcie"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Aktivity"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Zvieratá a príroda"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Vybrať"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Zatvoriť"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Zvyk"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Vlajky"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Jedlo a nápoje"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Často používané"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Ďalší"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Nenašli sa žiadne emodži"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Žiadne výsledky"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Objekty"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pozastaviť prezentáciu"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Ľudia a telo"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Vyberte si emodži"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Predchádzajúci"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Hľadať"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Výsledky vyhľadávania"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Vybrať štítok"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Nastavenia"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Smajlíky a emócie"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Začať prezentáciu"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Symboly"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Cestovanie a miesta"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Skupinu sa nepodarilo nájsť"]}}}}},{locale:"sv",json:{charset:"utf-8",headers:{"Last-Translator":"Jonatan Nyberg, 2020","Language-Team":"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)","Content-Type":"text/plain; charset=UTF-8",Language:"sv","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nGabriel Ekström <gabriel.ekstrom06@gmail.com>, 2020\nErik Lennartsson, 2020\nJonatan Nyberg, 2020\n"},msgstr:["Last-Translator: Jonatan Nyberg, 2020\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sv\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (osynlig)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (begränsad)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Åtgärder"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Välj"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Stäng"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Nästa"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Inga resultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausa bildspel"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Föregående"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Välj en tag"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Inställningar"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Starta bildspel"]}}}}},{locale:"tr",json:{charset:"utf-8",headers:{"Last-Translator":"Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020","Language-Team":"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)","Content-Type":"text/plain; charset=UTF-8",Language:"tr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nKemal Oktay Aktoğan <oktayaktogan@gmail.com>, 2020\nabc Def <hdogan1974@gmail.com>, 2020\nHüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\n"},msgstr:["Last-Translator: Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: tr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (görünmez)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (kısıtlı)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Eylemler"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Seç"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Kapat"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Sonraki"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Sonuçlar yok"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Slayt gösterisini duraklat"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Önceki"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Bir etiket seçin"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ayarlar"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Slayt gösterisini başlat"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Grupta arama yapılamıyor"]}}}}},{locale:"uk",json:{charset:"utf-8",headers:{"Last-Translator":"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020","Language-Team":"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)","Content-Type":"text/plain; charset=UTF-8",Language:"uk","Plural-Forms":"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\n"},msgstr:["Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: uk\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restricted)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:197"},msgstr:["Дії"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Виберіть"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Закрити"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Вперед"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Відсутні результати"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Пауза у показі слайдів"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Назад"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Виберіть позначку"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Налаштування"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Почати показ слайдів"]}}}}},{locale:"zh_CN",json:{charset:"utf-8",headers:{"Last-Translator":"Pascal Janus <pascal_janus@163.com>, 2020","Language-Team":"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_CN","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nSleepyJesse <Jesse_Xu@live.com>, 2020\nJianming Liang <fuufuukun@163.com>, 2020\nPascal Janus <pascal_janus@163.com>, 2020\n"},msgstr:["Last-Translator: Pascal Janus <pascal_janus@163.com>, 2020\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_CN\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (不可见)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (受限)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["行为"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["选择"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["关闭"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["下一个"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["无结果"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["暂停幻灯片"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["上一个"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["选择一个标签"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["设置"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["开始幻灯片"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["无法搜索分组"]}}}}},{locale:"zh_TW",json:{charset:"utf-8",headers:{"Last-Translator":"byStarTW (pan93412) <pan93412@gmail.com>, 2020","Language-Team":"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_TW","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nbyStarTW (pan93412) <pan93412@gmail.com>, 2020\n"},msgstr:["Last-Translator: byStarTW (pan93412) <pan93412@gmail.com>, 2020\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_TW\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (隱藏)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (受限)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["選擇"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["關閉"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["下一個"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["無結果"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["暫停幻燈片"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["上一個"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["選擇標籤"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["設定"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["開始幻燈片"]}}}}}].map((function(e){return o.addTranslation(e.locale,e.json)}));var r=o.build(),i=r.ngettext.bind(r),a=r.gettext.bind(r)},function(e,t){e.exports=s(193)},function(e,t){e.exports=s(51)},function(e,t){e.exports=s(45)},function(e,t){e.exports=s(212)},function(e,t,s){"use strict";e.exports=function(e,t){return t||(t={}),"string"!=typeof(e=e&&e.__esModule?e.default:e)?e:(/^['"].*['"]$/.test(e)&&(e=e.slice(1,-1)),t.hash&&(e+=t.hash),/["'() \t\n]/.test(e)||t.needQuotes?'"'.concat(e.replace(/"/g,'\\"').replace(/\n/g,"\\n"),'"'):e)}},function(e,t,s){"use strict";s.r(t),t.default="data:application/vnd.ms-fontobject;base64,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"},function(e,t,s){"use strict";s.r(t),t.default="data:font/woff;base64,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"},function(e,t,s){"use strict";s.r(t),t.default="data:font/ttf;base64,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"},function(e,t,s){"use strict";s.r(t),t.default="data:image/svg+xml;base64,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"},function(e,t,s){"use strict";s.r(t);var n=s(4);s(43),
/**
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
@@ -42,7 +42,7 @@
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
-n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data-v-'.concat("6f41c0d",'><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>'),n.VTooltip.options.defaultHtml=!1,t.default=n.VTooltip},function(e,t){e.exports=s(103)},function(e,t){e.exports=s(206)},function(e,t){e.exports=s(207)},,function(e,t,s){"use strict";s(5),s(22),s(26),s(33),t.a=function(e){return Math.random().toString(36).replace(/[^a-z]+/g,"").substr(0,e||5)}},,,,function(e,t){e.exports=s(53)},,function(e,t){e.exports=s(60)},,function(e,t){e.exports=s(99)},function(e,t){e.exports=s(208)},,function(e,t,s){var n=s(74);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("ad54af0c",n,!0,{})},,function(e,t,s){"use strict";s(24),s(14),s(72),s(12);var n=s(3),o=s.n(n);t.a=function(e,t,s){if(void 0!==e)for(var n=e.length-1;n>=0;n--){var a=e[n],A=!a.componentOptions&&a.tag&&-1===t.indexOf(a.tag),r=!!a.componentOptions&&"string"==typeof a.componentOptions.tag,i=r&&-1===t.indexOf(a.componentOptions.tag);(A||!r||i)&&((A||i)&&o.a.util.warn("".concat(A?a.tag:a.componentOptions.tag," is not allowed inside the ").concat(s.$options.name," component"),s),e.splice(n,1))}}},,function(e,t){e.exports=s(101)},,,,function(e,t){},function(e,t,s){"use strict";s.r(t);var n=s(46);
+n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data-v-'.concat("91c9b6f",'><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>'),n.VTooltip.options.defaultHtml=!1,t.default=n.VTooltip},function(e,t){e.exports=s(194)},function(e,t){e.exports=s(103)},function(e,t){e.exports=s(206)},function(e,t){e.exports=s(53)},function(e,t,s){"use strict";s(5),s(17),s(28),s(31),t.a=function(e){return Math.random().toString(36).replace(/[^a-z]+/g,"").substr(0,e||5)}},,,,function(e,t){e.exports=s(60)},function(e,t){e.exports=s(207)},,,,,function(e,t){e.exports=s(99)},,,function(e,t){e.exports=s(101)},function(e,t,s){var n=s(83);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("3ff88b8a",n,!0,{})},,,,function(e,t,s){"use strict";s(22),s(15),s(81),s(14);var n=s(6),o=s.n(n);t.a=function(e,t,s){if(void 0!==e)for(var n=e.length-1;n>=0;n--){var r=e[n],i=!r.componentOptions&&r.tag&&-1===t.indexOf(r.tag),a=!!r.componentOptions&&"string"==typeof r.componentOptions.tag,c=a&&-1===t.indexOf(r.componentOptions.tag);(i||!a||c)&&((i||c)&&o.a.util.warn("".concat(i?r.tag:r.componentOptions.tag," is not allowed inside the ").concat(s.$options.name," component"),s),e.splice(n,1))}}},,,function(e,t){e.exports=s(110)},function(e,t){},,function(e,t,s){"use strict";s.r(t);var n=s(51);
/**
* @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -63,7 +63,7 @@ n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */t.default=n.a},function(e,t){e.exports=s(110)},,,function(e,t,s){var n=s(43);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("941c791e",n,!0,{})},function(e,t,s){(t=s(1)(!1)).push([e.i,".vue-tooltip[data-v-6f41c0d]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;white-space:normal;text-decoration:none;letter-spacing:normal;word-spacing:normal;text-transform:none;word-wrap:normal;word-break:normal;opacity:0;text-shadow:none;font-family:'Nunito', 'Open Sans', Frutiger, Calibri, 'Myriad Pro', Myriad, sans-serif;font-size:12px;font-weight:normal;font-style:normal;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-6f41c0d][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-6f41c0d][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-6f41c0d][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-6f41c0d] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-6f41c0d] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\n",""]),e.exports=t},,,function(e,t,s){"use strict";s(24),s(39),s(14);var n=s(16),o=s(13),a=s(18),A=(s(71),function(e){var t=e.getBoundingClientRect(),s=document.documentElement.clientHeight,n=document.documentElement.clientWidth,o=Object.assign({});return o.top=t.top<0,o.left=t.left<0,o.bottom=t.bottom>s,o.right=t.right>n,o.any=o.top||o.left||o.bottom||o.right,o.all=o.top&&o.left&&o.bottom&&o.right,o.offsetY=o.top?t.top:o.bottom?t.bottom-s:0,o.offsetX=o.left?t.left:o.right?t.right-n:0,o}),r=s(31),i=s(6),c=["ActionButton","ActionCheckbox","ActionInput","ActionLink","ActionRadio","ActionRouter","ActionSeparator","ActionText","ActionTextEditable"],l={name:"Actions",directives:{ClickOutside:n.directive,tooltip:o.default},props:{open:{type:Boolean,default:!1},forceMenu:{type:Boolean,default:!1},menuAlign:{type:String,default:"center",validator:function(e){return["left","center","right"].indexOf(e)>-1}},menuTitle:{type:String,default:null},primary:{type:Boolean,default:!1},defaultIcon:{type:String,default:"action-item__menutoggle--default-icon"},ariaLabel:{type:String,default:Object(i.b)("Actions")}},data:function(){return{actions:[],opened:this.open,focusIndex:0,randomId:"menu-"+Object(a.a)(),offsetX:0,offsetY:0,offsetYArrow:0,rotateArrow:!1,children:this.$children}},computed:{hasMultipleActions:function(){return this.actions.length>1},isValidSingleAction:function(){return 1===this.actions.length&&null!==this.firstActionElement},firstActionVNode:function(){return this.actions[0]},firstAction:function(){return this.children[0]?this.children[0]:{}},firstActionBinding:function(){if(this.firstActionVNode&&this.firstActionVNode.componentOptions){var e=this.firstActionVNode.componentOptions.tag;if("ActionLink"===e)return{is:"a",href:this.firstAction.href,target:this.firstAction.target,"aria-label":this.firstAction.ariaLabel};if("ActionRouter"===e)return{is:"router-link",to:this.firstAction.to,exact:this.firstAction.exact,"aria-label":this.firstAction.ariaLabel};if("ActionButton"===e)return{is:"button","aria-label":this.firstAction.ariaLabel}}return null},firstActionEvent:function(){return this.firstActionVNode&&this.firstActionVNode.componentOptions&&this.firstActionVNode.componentOptions.listeners&&this.firstActionVNode.componentOptions.listeners.click},firstActionEventBinding:function(){return this.firstActionEvent?"click":null},firstActionClass:function(){var e=this.firstActionVNode&&this.firstActionVNode.data.staticClass,t=this.firstActionVNode&&this.firstActionVNode.data.class;return"".concat(e," ").concat(t)}},watch:{open:function(e){var t=this;this.opened=e,this.opened&&this.$nextTick((function(){t.onOpen()}))}},beforeMount:function(){this.initActions(),Object(r.a)(this.$slots.default,c,this)},beforeUpdate:function(){this.initActions(),Object(r.a)(this.$slots.default,c,this)},methods:{toggleMenu:function(e){var t=this;this.opened="boolean"==typeof e?e:!this.opened,this.opened?(this.$nextTick((function(){t.onOpen(),t.focusFirstAction()})),this.$emit("open")):(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,this.$emit("close")),this.$emit("update:open",this.opened)},closeMenu:function(e){this.opened&&(this.$emit("update:open",!1),this.$emit("close"),this.opened=!1,this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1)},onOpen:function(){if(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,"center"===this.menuAlign){var e=A(this.$refs.menu);(e.left||e.right)&&(this.offsetX=e.offsetX>0?Math.round(e.offsetX)+5:Math.round(e.offsetX)-5),e.bottom&&(this.offsetY=0-Math.round(this.$refs.menu.clientHeight)-42,this.offsetYArrow=Math.round(this.$refs.menu.clientHeight)+18,this.rotateArrow=!0)}},onMouseFocusAction:function(e){if(document.activeElement!==e.target){var t=e.target.closest("li");if(t){var s=t.querySelector(".focusable");if(s){var n=this.$refs.menu.querySelectorAll(".focusable"),o=Array.prototype.indexOf.call(n,s);o>-1&&(this.focusIndex=o,this.focusAction())}}}},removeCurrentActive:function(){var e=this.$refs.menu.querySelector("li.active");e&&e.classList.remove("active")},focusAction:function(){var e=this.$refs.menu.querySelectorAll(".focusable")[this.focusIndex];if(e){var t=e.closest("li");e.focus(),t&&(this.removeCurrentActive(),t.classList.add("active"))}},focusPreviousAction:function(){this.focusIndex=Math.max(this.focusIndex-1,0),this.focusAction()},focusNextAction:function(){this.focusIndex=Math.min(this.focusIndex+1,this.$refs.menu.querySelectorAll(".focusable").length-1),this.focusAction()},focusFirstAction:function(){this.focusIndex=0,this.focusAction()},focusLastAction:function(){this.focusIndex=this.$el.querySelectorAll(".focusable").length-1,this.focusAction()},execFirstAction:function(e){this.firstActionEvent&&this.firstActionEvent(e)},initActions:function(){this.actions=(this.$slots.default||[]).filter((function(e){return!!e&&!!e.componentOptions}))}}},m=(s(73),s(0)),u=s(37),g=s.n(u),d=Object(m.a)(l,(function(){var e,t=this,s=t.$createElement,n=t._self._c||s;return t.isValidSingleAction&&!t.forceMenu?n("element",t._b({directives:[{name:"tooltip",rawName:"v-tooltip.auto",value:t.firstAction.text,expression:"firstAction.text",modifiers:{auto:!0}}],staticClass:"action-item action-item--single",class:[t.firstAction.icon,t.firstActionClass],attrs:{rel:"noreferrer noopener"},on:t._d({},[t.firstActionEventBinding,t.execFirstAction])},"element",t.firstActionBinding,!1),[n("span",{attrs:{"aria-hidden":!0,hidden:""}},[t._t("default")],2)]):n("div",{directives:[{name:"show",rawName:"v-show",value:t.hasMultipleActions||t.forceMenu,expression:"hasMultipleActions || forceMenu"},{name:"click-outside",rawName:"v-click-outside",value:t.closeMenu,expression:"closeMenu"}],staticClass:"action-item",class:{"action-item--open":t.opened},on:{keydown:[function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"up",38,e.key,["Up","ArrowUp"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusPreviousAction(e))},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"down",40,e.key,["Down","ArrowDown"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusNextAction(e))},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"tab",9,e.key,"Tab")?null:e.shiftKey?(e.preventDefault(),t.focusPreviousAction(e)):null},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"page-up",void 0,e.key,void 0)||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusFirstAction(e))},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"page-down",void 0,e.key,void 0)||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusLastAction(e))},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"esc",27,e.key,["Esc","Escape"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.closeMenu(e))}]}},[n("button",{staticClass:"icon action-item__menutoggle",class:(e={},e[t.defaultIcon]=!0,e["action-item__menutoggle--with-title"]=t.menuTitle,e["action-item__menutoggle--primary"]=t.primary,e),attrs:{"aria-label":t.ariaLabel,"aria-haspopup":"true","aria-controls":t.randomId,"aria-expanded":t.opened},on:{click:function(e){return e.preventDefault(),t.toggleMenu(e)},keydown:function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"space",32,e.key,[" ","Spacebar"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.toggleMenu(e))}}},[t._v("\n\t\t"+t._s(t.menuTitle)+"\n\t")]),t._v(" "),n("div",{directives:[{name:"show",rawName:"v-show",value:t.opened,expression:"opened"}],ref:"menu",staticClass:"action-item__menu",class:["menu-"+t.menuAlign,{open:t.opened}],style:{marginRight:t.offsetX+"px",marginTop:t.offsetY+"px"},attrs:{tabindex:"-1"},on:{mousemove:t.onMouseFocusAction}},[n("div",{staticClass:"action-item__menu_arrow",style:{transform:"translateX("+t.offsetX+"px) translateY("+t.offsetYArrow+"px) "+(t.rotateArrow?" rotate(180deg)":"")}}),t._v(" "),n("ul",{attrs:{id:t.randomId,tabindex:"-1"}},[t.opened?[t._t("default")]:t._e()],2)])])}),[],!1,null,"13bd5491",null);"function"==typeof g.a&&g()(d),t.a=d.exports},,,,,,,,,,,,,,,,,,,,,,,,,function(e,t){e.exports=s(58)},function(e,t){e.exports=s(213)},function(e,t,s){"use strict";var n=s(29);s.n(n).a},function(e,t,s){var n=s(1),o=s(7),a=s(8),A=s(9),r=s(10),i=s(11);t=n(!1);var c=o(a),l=o(A),m=o(r),u=o(i);t.push([e.i,'@font-face{font-family:"iconfont-vue-6f41c0d";src:url('+c+");src:url("+c+') format("embedded-opentype"),url('+l+') format("woff"),url('+m+') format("truetype"),url('+u+') format("svg")}.icon[data-v-13bd5491]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-left[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-right-double[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-right[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.breadcrumb[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.checkmark[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.close[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.confirm[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.info[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.menu[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.more[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.pause[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.play[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.triangle-s[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.action-item[data-v-13bd5491]{position:relative;display:inline-block}.action-item--single[data-v-13bd5491]:hover,.action-item--single[data-v-13bd5491]:focus,.action-item--single[data-v-13bd5491]:active,.action-item__menutoggle[data-v-13bd5491]:hover,.action-item__menutoggle[data-v-13bd5491]:focus,.action-item__menutoggle[data-v-13bd5491]:active{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item.action-item--open .action-item__menutoggle[data-v-13bd5491]{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item--single[data-v-13bd5491],.action-item__menutoggle[data-v-13bd5491]{box-sizing:border-box;width:auto;min-width:44px;height:44px;margin:0;padding:14px;cursor:pointer;border:none;background-color:transparent}.action-item__menutoggle[data-v-13bd5491]{display:flex;align-items:center;justify-content:center;opacity:.7;border-radius:22px;font-weight:bold;line-height:16px}.action-item__menutoggle[data-v-13bd5491]:before{content:\'\'}.action-item__menutoggle--default-icon[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";font-style:normal;font-weight:400;content:""}.action-item__menutoggle--default-icon[data-v-13bd5491]::before{font-size:16px}.action-item__menutoggle--with-title[data-v-13bd5491]{position:relative;padding-left:44px;white-space:nowrap;opacity:1;border:1px solid var(--color-border-dark);background-color:var(--color-background-dark);background-position:14px center;font-size:inherit}.action-item__menutoggle--with-title[data-v-13bd5491]:before{position:absolute;top:14px;left:14px}.action-item__menutoggle--primary[data-v-13bd5491]{opacity:1;color:var(--color-primary-text);border:none;background-color:var(--color-primary-element)}.action-item--open .action-item__menutoggle--primary[data-v-13bd5491],.action-item__menutoggle--primary[data-v-13bd5491]:hover,.action-item__menutoggle--primary[data-v-13bd5491]:focus,.action-item__menutoggle--primary[data-v-13bd5491]:active{color:var(--color-primary-text) !important;background-color:var(--color-primary-element-light) !important}.action-item--single[data-v-13bd5491]{opacity:.7}.action-item--single[data-v-13bd5491]:hover,.action-item--single[data-v-13bd5491]:focus,.action-item--single[data-v-13bd5491]:active{opacity:1}.action-item--single>[hidden][data-v-13bd5491]{display:none}.action-item--multiple[data-v-13bd5491]{position:relative}.action-item__menu[data-v-13bd5491]{position:absolute;z-index:110;right:50%;display:none;margin-top:-5px;margin-bottom:10px;transform:translateX(50%);color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background);filter:drop-shadow(0 1px 3px var(--color-box-shadow))}.action-item__menu ul[data-v-13bd5491]>:not(li){display:none}.action-item__menu.open[data-v-13bd5491]{display:block}.action-item__menu .action-item__menu_arrow[data-v-13bd5491]{position:absolute;right:50%;bottom:100%;width:0;height:0;margin-right:-9px;content:\' \';pointer-events:none;border:solid transparent;border-width:9px;border-bottom-color:var(--color-main-background)}.action-item__menu.menu-right[data-v-13bd5491]{right:0;left:auto;transform:none}.action-item__menu.menu-right .action-item__menu_arrow[data-v-13bd5491]{right:13px;margin-right:0}.action-item__menu.menu-left[data-v-13bd5491]{right:auto;left:0;transform:none}.action-item__menu.menu-left .action-item__menu_arrow[data-v-13bd5491]{right:auto;left:13px;margin-right:0}.ie .action-item__menu[data-v-13bd5491],.ie .action-item__menu .action-item__menu_arrow[data-v-13bd5491],.edge .action-item__menu[data-v-13bd5491],.edge .action-item__menu .action-item__menu_arrow[data-v-13bd5491]{border:1px solid var(--color-border)}\n',""]),e.exports=t}])},639:function(e,t,s){window,e.exports=function(e){var t={};function s(n){if(t[n])return t[n].exports;var o=t[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=e,s.c=t,s.d=function(e,t,n){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},s.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(s.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)s.d(n,o,function(t){return e[t]}.bind(null,o));return n},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,"a",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p="/dist/",s(s.s=98)}({0:function(e,t,s){"use strict";function n(e,t,s,n,o,a,A,r){var i,c="function"==typeof e?e.options:e;if(t&&(c.render=t,c.staticRenderFns=s,c._compiled=!0),n&&(c.functional=!0),a&&(c._scopeId="data-v-"+a),A?(i=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(A)},c._ssrRegister=i):o&&(i=r?function(){o.call(this,this.$root.$options.shadowRoot)}:o),i)if(c.functional){c._injectStyles=i;var l=c.render;c.render=function(e,t){return i.call(t),l(e,t)}}else{var m=c.beforeCreate;c.beforeCreate=m?[].concat(m,i):[i]}return{exports:e,options:c}}s.d(t,"a",(function(){return n}))},1:function(e,t,s){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s,n,o,a=e[1]||"",A=e[3];if(!A)return a;if(t&&"function"==typeof btoa){var r=(s=A,n=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),o="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(o," */")),i=A.sources.map((function(e){return"/*# sourceURL=".concat(A.sourceRoot||"").concat(e," */")}));return[a].concat(i).concat([r]).join("\n")}return[a].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(s,"}"):s})).join("")},t.i=function(e,s,n){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(n)for(var a=0;a<this.length;a++){var A=this[a][0];null!=A&&(o[A]=!0)}for(var r=0;r<e.length;r++){var i=[].concat(e[r]);n&&o[i[0]]||(s&&(i[2]?i[2]="".concat(s," and ").concat(i[2]):i[2]=s),t.push(i))}},t}},12:function(e,t){e.exports=s(194)},139:function(e,t,s){"use strict";var n=s(48);s.n(n).a},140:function(e,t,s){(t=s(1)(!1)).push([e.i,"li.active[data-v-33ba3302]{box-shadow:inset 4px 0 var(--color-primary)}.action--disabled[data-v-33ba3302]{pointer-events:none;opacity:.5}.action--disabled[data-v-33ba3302]:hover,.action--disabled[data-v-33ba3302]:focus{cursor:default;opacity:.5}.action--disabled *[data-v-33ba3302]{opacity:1 !important}.action-button[data-v-33ba3302]{display:flex;align-items:flex-start;width:100%;height:auto;margin:0;padding:0;padding-right:14px;cursor:pointer;white-space:nowrap;opacity:.7;color:var(--color-main-text);border:0;border-radius:0;background-color:transparent;box-shadow:none;font-weight:normal;line-height:44px}.action-button[data-v-33ba3302]:hover,.action-button[data-v-33ba3302]:focus{opacity:1}.action-button>span[data-v-33ba3302]{cursor:pointer;white-space:nowrap}.action-button__icon[data-v-33ba3302]{width:44px;height:44px;opacity:1;background-position:14px center;background-size:16px}.action-button p[data-v-33ba3302]{width:150px;padding:7px 0;margin:auto;cursor:pointer;text-align:left;line-height:1.6em}.action-button__longtext[data-v-33ba3302]{cursor:pointer;white-space:pre-wrap}.action-button__title[data-v-33ba3302]{font-weight:bold}\n",""]),e.exports=t},17:function(e,t,s){"use strict";s(12),s(28);var n=s(3),o=s.n(n);
+ */t.default=n.a},function(e,t,s){var n=s(44);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("941c791e",n,!0,{})},function(e,t,s){(t=s(1)(!1)).push([e.i,".vue-tooltip[data-v-91c9b6f]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;opacity:0;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-91c9b6f][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-91c9b6f][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-91c9b6f][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-91c9b6f] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-91c9b6f] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\n",""]),e.exports=t},,,,,,,function(e,t,s){"use strict";s(22),s(39),s(15);var n=s(16),o=s(13),r=s(18),i=(s(80),function(e){var t=e.getBoundingClientRect(),s=document.documentElement.clientHeight,n=document.documentElement.clientWidth,o=Object.assign({});return o.top=t.top<0,o.left=t.left<0,o.bottom=t.bottom>s,o.right=t.right>n,o.any=o.top||o.left||o.bottom||o.right,o.all=o.top&&o.left&&o.bottom&&o.right,o.offsetY=o.top?t.top:o.bottom?t.bottom-s:0,o.offsetX=o.left?t.left:o.right?t.right-n:0,o}),a=s(36),c=s(3),A=["ActionButton","ActionCheckbox","ActionInput","ActionLink","ActionRadio","ActionRouter","ActionSeparator","ActionText","ActionTextEditable"],m={name:"Actions",directives:{ClickOutside:n.directive,tooltip:o.default},props:{open:{type:Boolean,default:!1},forceMenu:{type:Boolean,default:!1},menuAlign:{type:String,default:"center",validator:function(e){return["left","center","right"].indexOf(e)>-1}},menuTitle:{type:String,default:null},primary:{type:Boolean,default:!1},defaultIcon:{type:String,default:"action-item__menutoggle--default-icon"},ariaLabel:{type:String,default:Object(c.b)("Actions")}},data:function(){return{actions:[],opened:this.open,focusIndex:0,randomId:"menu-"+Object(r.a)(),offsetX:0,offsetY:0,offsetYArrow:0,rotateArrow:!1,children:this.$children}},computed:{hasMultipleActions:function(){return this.actions.length>1},isValidSingleAction:function(){return 1===this.actions.length&&null!==this.firstActionElement},firstActionVNode:function(){return this.actions[0]},firstAction:function(){return this.children[0]?this.children[0]:{}},firstActionBinding:function(){if(this.firstActionVNode&&this.firstActionVNode.componentOptions){var e=this.firstActionVNode.componentOptions.tag;if("ActionLink"===e)return{is:"a",href:this.firstAction.href,target:this.firstAction.target,"aria-label":this.firstAction.ariaLabel};if("ActionRouter"===e)return{is:"router-link",to:this.firstAction.to,exact:this.firstAction.exact,"aria-label":this.firstAction.ariaLabel};if("ActionButton"===e)return{is:"button","aria-label":this.firstAction.ariaLabel}}return null},firstActionEvent:function(){return this.firstActionVNode&&this.firstActionVNode.componentOptions&&this.firstActionVNode.componentOptions.listeners&&this.firstActionVNode.componentOptions.listeners.click},firstActionEventBinding:function(){return this.firstActionEvent?"click":null},firstActionClass:function(){var e=this.firstActionVNode&&this.firstActionVNode.data.staticClass,t=this.firstActionVNode&&this.firstActionVNode.data.class;return"".concat(e," ").concat(t)}},watch:{open:function(e){var t=this;this.opened=e,this.opened&&this.$nextTick((function(){t.onOpen()}))}},beforeMount:function(){this.initActions(),Object(a.a)(this.$slots.default,A,this)},beforeUpdate:function(){this.initActions(),Object(a.a)(this.$slots.default,A,this)},methods:{toggleMenu:function(e){var t=this;this.opened="boolean"==typeof e?e:!this.opened,this.opened?(this.$nextTick((function(){t.onOpen()})),this.$emit("open")):(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,this.$emit("close")),this.$emit("update:open",this.opened)},closeMenu:function(e){this.opened&&(this.$emit("update:open",!1),this.$emit("close"),this.opened=!1,this.focusIndex=0,this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1)},onOpen:function(){this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1;var e=i(this.$refs.menu);e.bottom&&(this.offsetY=0-Math.round(this.$refs.menu.clientHeight)-42,this.offsetYArrow=Math.round(this.$refs.menu.clientHeight)+18,this.rotateArrow=!0),"center"===this.menuAlign&&(e.left||e.right)&&(this.offsetX=e.offsetX>0?Math.round(e.offsetX)+5:Math.round(e.offsetX)-5)},onMouseFocusAction:function(e){if(document.activeElement!==e.target){var t=e.target.closest("li");if(t){var s=t.querySelector(".focusable");if(s){var n=this.$refs.fullmenu.querySelectorAll(".focusable"),o=Array.prototype.indexOf.call(n,s);o>-1&&(this.focusIndex=o,this.focusAction())}}}},removeCurrentActive:function(){var e=this.$refs.fullmenu.querySelector("li.active");e&&e.classList.remove("active")},focusAction:function(){var e=this.$refs.fullmenu.querySelectorAll(".focusable")[this.focusIndex];if(e){this.removeCurrentActive();var t=e.closest("li.action");e.focus(),t&&t.classList.add("active")}},focusPreviousAction:function(e){this.opened&&(0===this.focusIndex?this.closeMenu():(e.preventDefault(),this.focusIndex=this.focusIndex-1),this.focusAction())},focusNextAction:function(e){if(this.opened){var t=this.$refs.fullmenu.querySelectorAll(".focusable").length-1;this.focusIndex===t?this.closeMenu():(e.preventDefault(),this.focusIndex=this.focusIndex+1),this.focusAction()}},focusFirstAction:function(e){this.opened&&(e.preventDefault(),this.focusIndex=0,this.focusAction())},focusLastAction:function(e){this.opened&&(e.preventDefault(),this.focusIndex=this.$el.querySelectorAll(".focusable").length-1,this.focusAction())},execFirstAction:function(e){this.firstActionEvent&&this.firstActionEvent(e)},initActions:function(){this.actions=(this.$slots.default||[]).filter((function(e){return!!e&&!!e.componentOptions}))}}},l=(s(82),s(0)),u=s(40),g=s.n(u),d=Object(l.a)(m,(function(){var e,t=this,s=t.$createElement,n=t._self._c||s;return t.isValidSingleAction&&!t.forceMenu?n("element",t._b({directives:[{name:"tooltip",rawName:"v-tooltip.auto",value:t.firstAction.text,expression:"firstAction.text",modifiers:{auto:!0}}],staticClass:"action-item action-item--single",class:[t.firstAction.icon,t.firstActionClass],attrs:{rel:"noreferrer noopener"},on:t._d({},[t.firstActionEventBinding,t.execFirstAction])},"element",t.firstActionBinding,!1),[n("span",{attrs:{"aria-hidden":!0,hidden:""}},[t._t("default")],2)]):n("div",{directives:[{name:"show",rawName:"v-show",value:t.hasMultipleActions||t.forceMenu,expression:"hasMultipleActions || forceMenu"},{name:"click-outside",rawName:"v-click-outside",value:t.closeMenu,expression:"closeMenu"}],ref:"fullmenu",staticClass:"action-item",class:{"action-item--open":t.opened},on:{keydown:[function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"up",38,e.key,["Up","ArrowUp"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusPreviousAction(e)},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"down",40,e.key,["Down","ArrowDown"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusNextAction(e)},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"tab",9,e.key,"Tab")||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusNextAction(e)},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"tab",9,e.key,"Tab")?null:e.shiftKey?e.ctrlKey||e.altKey||e.metaKey?null:t.focusPreviousAction(e):null},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"page-up",void 0,e.key,void 0)||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusFirstAction(e)},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"page-down",void 0,e.key,void 0)||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusLastAction(e)},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"esc",27,e.key,["Esc","Escape"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.closeMenu(e))}]}},[n("button",{staticClass:"icon action-item__menutoggle focusable",class:(e={},e[t.defaultIcon]=!0,e["action-item__menutoggle--with-title"]=t.menuTitle,e["action-item__menutoggle--primary"]=t.primary,e),attrs:{"aria-label":t.ariaLabel,"aria-haspopup":"true","aria-controls":t.randomId,"aria-expanded":t.opened},on:{click:function(e){return e.preventDefault(),t.toggleMenu(e)},keyup:function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"space",32,e.key,[" ","Spacebar"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.toggleMenu(e))}}},[t._v("\n\t\t"+t._s(t.menuTitle)+"\n\t")]),t._v(" "),n("div",{directives:[{name:"show",rawName:"v-show",value:t.opened,expression:"opened"}],ref:"menu",staticClass:"action-item__menu",class:["menu-"+t.menuAlign,{open:t.opened}],style:{marginRight:t.offsetX+"px",marginTop:t.offsetY+"px"},attrs:{tabindex:"-1"},on:{mousemove:t.onMouseFocusAction}},[n("div",{staticClass:"action-item__menu_arrow",style:{transform:"translateX("+t.offsetX+"px) translateY("+t.offsetYArrow+"px) "+(t.rotateArrow?" rotate(180deg)":"")}}),t._v(" "),n("ul",{attrs:{id:t.randomId,tabindex:"-1"}},[t.opened?[t._t("default")]:t._e()],2)])])}),[],!1,null,"03498935",null);"function"==typeof g.a&&g()(d),t.a=d.exports},,,,,,,,,,,,,,,,,,,,,,,,,,,,,function(e,t){e.exports=s(58)},function(e,t){e.exports=s(213)},function(e,t,s){"use strict";var n=s(32);s.n(n).a},function(e,t,s){var n=s(1),o=s(8),r=s(9),i=s(10),a=s(11),c=s(12);t=n(!1);var A=o(r),m=o(i),l=o(a),u=o(c);t.push([e.i,'@font-face{font-family:"iconfont-vue-91c9b6f";src:url('+A+");src:url("+A+') format("embedded-opentype"),url('+m+') format("woff"),url('+l+') format("truetype"),url('+u+') format("svg")}.icon[data-v-03498935]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-left[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-right-double[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-right[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.breadcrumb[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.checkmark[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.close[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.confirm[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.info[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.menu[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.more[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.pause[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.play[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.triangle-s[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.action-item[data-v-03498935]{position:relative;display:inline-block}.action-item--single[data-v-03498935]:hover,.action-item--single[data-v-03498935]:focus,.action-item--single[data-v-03498935]:active,.action-item__menutoggle[data-v-03498935]:hover,.action-item__menutoggle[data-v-03498935]:focus,.action-item__menutoggle[data-v-03498935]:active{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item.action-item--open .action-item__menutoggle[data-v-03498935]{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item--single[data-v-03498935],.action-item__menutoggle[data-v-03498935]{box-sizing:border-box;width:auto;min-width:44px;height:44px;margin:0;padding:14px;cursor:pointer;border:none;background-color:transparent}.action-item__menutoggle[data-v-03498935]{display:flex;align-items:center;justify-content:center;opacity:.7;border-radius:22px;font-weight:bold;line-height:16px}.action-item__menutoggle[data-v-03498935]:before{content:\'\'}.action-item__menutoggle--default-icon[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";font-style:normal;font-weight:400;content:""}.action-item__menutoggle--default-icon[data-v-03498935]::before{font-size:16px}.action-item__menutoggle--with-title[data-v-03498935]{position:relative;padding-left:44px;white-space:nowrap;opacity:1;border:1px solid var(--color-border-dark);background-color:var(--color-background-dark);background-position:14px center;font-size:inherit}.action-item__menutoggle--with-title[data-v-03498935]:before{position:absolute;top:14px;left:14px}.action-item__menutoggle--primary[data-v-03498935]{opacity:1;color:var(--color-primary-text);border:none;background-color:var(--color-primary-element)}.action-item--open .action-item__menutoggle--primary[data-v-03498935],.action-item__menutoggle--primary[data-v-03498935]:hover,.action-item__menutoggle--primary[data-v-03498935]:focus,.action-item__menutoggle--primary[data-v-03498935]:active{color:var(--color-primary-text) !important;background-color:var(--color-primary-element-light) !important}.action-item--single[data-v-03498935]{opacity:.7}.action-item--single[data-v-03498935]:hover,.action-item--single[data-v-03498935]:focus,.action-item--single[data-v-03498935]:active{opacity:1}.action-item--single>[hidden][data-v-03498935]{display:none}.action-item--multiple[data-v-03498935]{position:relative}.action-item__menu[data-v-03498935]{position:absolute;z-index:110;right:50%;display:none;margin-top:-5px;margin-bottom:10px;transform:translateX(50%);color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background);filter:drop-shadow(0 1px 3px var(--color-box-shadow))}.action-item__menu ul[data-v-03498935]>:not(li){display:none}.action-item__menu.open[data-v-03498935]{display:block}.action-item__menu .action-item__menu_arrow[data-v-03498935]{position:absolute;right:50%;bottom:100%;width:0;height:0;margin-right:-9px;content:\' \';pointer-events:none;border:solid transparent;border-width:9px;border-bottom-color:var(--color-main-background)}.action-item__menu.menu-right[data-v-03498935]{right:0;left:auto;transform:none}.action-item__menu.menu-right .action-item__menu_arrow[data-v-03498935]{right:13px;margin-right:0}.action-item__menu.menu-left[data-v-03498935]{right:auto;left:0;transform:none}.action-item__menu.menu-left .action-item__menu_arrow[data-v-03498935]{right:auto;left:13px;margin-right:0}.ie .action-item__menu[data-v-03498935],.ie .action-item__menu .action-item__menu_arrow[data-v-03498935],.edge .action-item__menu[data-v-03498935],.edge .action-item__menu .action-item__menu_arrow[data-v-03498935]{border:1px solid var(--color-border)}\n',""]),e.exports=t}])},640:function(e,t,s){window,e.exports=function(e){var t={};function s(n){if(t[n])return t[n].exports;var o=t[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=e,s.c=t,s.d=function(e,t,n){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},s.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(s.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)s.d(n,o,function(t){return e[t]}.bind(null,o));return n},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,"a",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p="/dist/",s(s.s=108)}({0:function(e,t,s){"use strict";function n(e,t,s,n,o,r,i,a){var c,A="function"==typeof e?e.options:e;if(t&&(A.render=t,A.staticRenderFns=s,A._compiled=!0),n&&(A.functional=!0),r&&(A._scopeId="data-v-"+r),i?(c=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(i)},A._ssrRegister=c):o&&(c=a?function(){o.call(this,(A.functional?this.parent:this).$root.$options.shadowRoot)}:o),c)if(A.functional){A._injectStyles=c;var m=A.render;A.render=function(e,t){return c.call(t),m(e,t)}}else{var l=A.beforeCreate;A.beforeCreate=l?[].concat(l,c):[c]}return{exports:e,options:A}}s.d(t,"a",(function(){return n}))},1:function(e,t,s){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s,n,o,r=e[1]||"",i=e[3];if(!i)return r;if(t&&"function"==typeof btoa){var a=(s=i,n=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),o="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(o," */")),c=i.sources.map((function(e){return"/*# sourceURL=".concat(i.sourceRoot||"").concat(e," */")}));return[r].concat(c).concat([a]).join("\n")}return[r].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(s,"}"):s})).join("")},t.i=function(e,s,n){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(n)for(var r=0;r<this.length;r++){var i=this[r][0];null!=i&&(o[i]=!0)}for(var a=0;a<e.length;a++){var c=[].concat(e[a]);n&&o[c[0]]||(s&&(c[2]?c[2]="".concat(s," and ").concat(c[2]):c[2]=s),t.push(c))}},t}},108:function(e,t,s){"use strict";s.r(t);var n=s(92);
/**
* @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -84,7 +84,7 @@ n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */t.a={before:function(){this.$slots.default&&""!==this.text.trim()||(o.a.util.warn("".concat(this.$options.name," cannot be empty and requires a meaningful text content"),this),this.$destroy(),this.$el.remove())},beforeUpdate:function(){this.text=this.getText()},data:function(){return{text:this.getText()}},computed:{isLongText:function(){return this.text&&this.text.trim().length>20}},methods:{getText:function(){return this.$slots.default?this.$slots.default[0].text.trim():""}}}},19:function(e,t){e.exports=s(52)},2:function(e,t,s){"use strict";function n(e,t){for(var s=[],n={},o=0;o<t.length;o++){var a=t[o],A=a[0],r={id:e+":"+o,css:a[1],media:a[2],sourceMap:a[3]};n[A]?n[A].parts.push(r):s.push(n[A]={id:A,parts:[r]})}return s}s.r(t),s.d(t,"default",(function(){return g}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var a={},A=o&&(document.head||document.getElementsByTagName("head")[0]),r=null,i=0,c=!1,l=function(){},m=null,u="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function g(e,t,s,o){c=s,m=o||{};var A=n(e,t);return d(A),function(t){for(var s=[],o=0;o<A.length;o++){var r=A[o];(i=a[r.id]).refs--,s.push(i)}for(t?d(A=n(e,t)):A=[],o=0;o<s.length;o++){var i;if(0===(i=s[o]).refs){for(var c=0;c<i.parts.length;c++)i.parts[c]();delete a[i.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],n=a[s.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](s.parts[o]);for(;o<s.parts.length;o++)n.parts.push(f(s.parts[o]));n.parts.length>s.parts.length&&(n.parts.length=s.parts.length)}else{var A=[];for(o=0;o<s.parts.length;o++)A.push(f(s.parts[o]));a[s.id]={id:s.id,refs:1,parts:A}}}}function p(){var e=document.createElement("style");return e.type="text/css",A.appendChild(e),e}function f(e){var t,s,n=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(n){if(c)return l;n.parentNode.removeChild(n)}if(u){var o=i++;n=r||(r=p()),t=M.bind(null,n,o,!1),s=M.bind(null,n,o,!0)}else n=p(),t=b.bind(null,n),s=function(){n.parentNode.removeChild(n)};return t(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;t(e=n)}else s()}}var v,h=(v=[],function(e,t){return v[e]=t,v.filter(Boolean).join("\n")});function M(e,t,s,n){var o=s?"":n.css;if(e.styleSheet)e.styleSheet.cssText=h(t,o);else{var a=document.createTextNode(o),A=e.childNodes;A[t]&&e.removeChild(A[t]),A.length?e.insertBefore(a,A[t]):e.appendChild(a)}}function b(e,t){var s=t.css,n=t.media,o=t.sourceMap;if(n&&e.setAttribute("media",n),m.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(s+="\n/*# sourceURL="+o.sources[0]+" */",s+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},20:function(e,t){e.exports=s(100)},21:function(e,t){e.exports=s(102)},23:function(e,t){e.exports=s(187)},25:function(e,t,s){"use strict";s(19),s(5),s(20),s(21),s(23);var n=s(17),o=(s(12),function(e,t){for(var s=e.$parent;s;){if(s.$options.name===t)return s;s=s.$parent}});t.a={mixins:[n.a],props:{icon:{type:String,default:""},title:{type:String,default:""},closeAfterClick:{type:Boolean,default:!1},ariaLabel:{type:String,default:""}},computed:{isIconUrl:function(){try{return new URL(this.icon)}catch(e){return!1}}},methods:{onClick:function(e){if(this.$emit("click",e),this.closeAfterClick){var t=o(this,"Actions");t&&t.closeMenu&&t.closeMenu()}}}}},28:function(e,t){e.exports=s(209)},3:function(e,t){e.exports=s(45)},48:function(e,t,s){var n=s(140);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("75b9f902",n,!0,{})},5:function(e,t){e.exports=s(51)},58:function(e,t){},77:function(e,t,s){"use strict";var n={name:"ActionButton",mixins:[s(25).a],props:{disabled:{type:Boolean,default:!1}},computed:{isFocusable:function(){return!this.disabled}}},o=(s(139),s(0)),a=s(58),A=s.n(a),r=Object(o.a)(n,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s("li",{class:{"action--disabled":e.disabled}},[s("button",{staticClass:"action-button",class:{focusable:e.isFocusable},attrs:{"aria-label":e.ariaLabel},on:{click:e.onClick}},[s("span",{staticClass:"action-button__icon",class:[e.isIconUrl?"action-button__icon--url":e.icon],style:{backgroundImage:e.isIconUrl?"url("+e.icon+")":null}}),e._v(" "),e.title?s("p",[s("strong",{staticClass:"action-button__title"},[e._v("\n\t\t\t\t"+e._s(e.title)+"\n\t\t\t")]),e._v(" "),s("br"),e._v(" "),s("span",{staticClass:"action-button__longtext",domProps:{textContent:e._s(e.text)}})]):e.isLongText?s("p",{staticClass:"action-button__longtext",domProps:{textContent:e._s(e.text)}}):s("span",{staticClass:"action-button__text"},[e._v(e._s(e.text))]),e._v(" "),e._e()],2)])}),[],!1,null,"33ba3302",null);"function"==typeof A.a&&A()(r),t.a=r.exports},98:function(e,t,s){"use strict";s.r(t);var n=s(77);
+ */t.default=n.a},14:function(e,t){e.exports=s(194)},144:function(e,t,s){"use strict";var n=s(58);s.n(n).a},145:function(e,t,s){(t=s(1)(!1)).push([e.i,"li.active[data-v-51ef2a86]{box-shadow:inset 4px 0 var(--color-primary)}.action--disabled[data-v-51ef2a86]{pointer-events:none;opacity:.5}.action--disabled[data-v-51ef2a86]:hover,.action--disabled[data-v-51ef2a86]:focus{cursor:default;opacity:.5}.action--disabled *[data-v-51ef2a86]{opacity:1 !important}.action-button[data-v-51ef2a86]{display:flex;align-items:flex-start;width:100%;height:auto;margin:0;padding:0;padding-right:14px;cursor:pointer;white-space:nowrap;opacity:.7;color:var(--color-main-text);border:0;border-radius:0;background-color:transparent;box-shadow:none;font-weight:normal;line-height:44px}.action-button[data-v-51ef2a86]:hover,.action-button[data-v-51ef2a86]:focus{opacity:1}.action-button>span[data-v-51ef2a86]{cursor:pointer;white-space:nowrap}.action-button__icon[data-v-51ef2a86]{width:44px;height:44px;opacity:1;background-position:14px center;background-size:16px}.action-button p[data-v-51ef2a86]{width:150px;padding:7px 0;margin:auto;cursor:pointer;text-align:left;line-height:1.6em}.action-button__longtext[data-v-51ef2a86]{cursor:pointer;white-space:pre-wrap}.action-button__title[data-v-51ef2a86]{font-weight:bold}\n",""]),e.exports=t},19:function(e,t){e.exports=s(52)},2:function(e,t,s){"use strict";function n(e,t){for(var s=[],n={},o=0;o<t.length;o++){var r=t[o],i=r[0],a={id:e+":"+o,css:r[1],media:r[2],sourceMap:r[3]};n[i]?n[i].parts.push(a):s.push(n[i]={id:i,parts:[a]})}return s}s.r(t),s.d(t,"default",(function(){return g}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var r={},i=o&&(document.head||document.getElementsByTagName("head")[0]),a=null,c=0,A=!1,m=function(){},l=null,u="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function g(e,t,s,o){A=s,l=o||{};var i=n(e,t);return d(i),function(t){for(var s=[],o=0;o<i.length;o++){var a=i[o];(c=r[a.id]).refs--,s.push(c)}for(t?d(i=n(e,t)):i=[],o=0;o<s.length;o++){var c;if(0===(c=s[o]).refs){for(var A=0;A<c.parts.length;A++)c.parts[A]();delete r[c.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],n=r[s.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](s.parts[o]);for(;o<s.parts.length;o++)n.parts.push(f(s.parts[o]));n.parts.length>s.parts.length&&(n.parts.length=s.parts.length)}else{var i=[];for(o=0;o<s.parts.length;o++)i.push(f(s.parts[o]));r[s.id]={id:s.id,refs:1,parts:i}}}}function p(){var e=document.createElement("style");return e.type="text/css",i.appendChild(e),e}function f(e){var t,s,n=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(n){if(A)return m;n.parentNode.removeChild(n)}if(u){var o=c++;n=a||(a=p()),t=M.bind(null,n,o,!1),s=M.bind(null,n,o,!0)}else n=p(),t=T.bind(null,n),s=function(){n.parentNode.removeChild(n)};return t(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;t(e=n)}else s()}}var v,h=(v=[],function(e,t){return v[e]=t,v.filter(Boolean).join("\n")});function M(e,t,s,n){var o=s?"":n.css;if(e.styleSheet)e.styleSheet.cssText=h(t,o);else{var r=document.createTextNode(o),i=e.childNodes;i[t]&&e.removeChild(i[t]),i.length?e.insertBefore(r,i[t]):e.appendChild(r)}}function T(e,t){var s=t.css,n=t.media,o=t.sourceMap;if(n&&e.setAttribute("media",n),l.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(s+="\n/*# sourceURL="+o.sources[0]+" */",s+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},20:function(e,t){e.exports=s(100)},21:function(e,t){e.exports=s(102)},24:function(e,t,s){"use strict";s(14),s(26);var n=s(6),o=s.n(n);
/**
* @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -105,5 +105,5 @@ n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */t.default=n.a}})},640:function(e,t){function s(e){return"function"==typeof e.value||(console.warn("[Vue-click-outside:] provided expression",e.expression,"is not a function."),!1)}function n(e){return void 0!==e.componentInstance&&e.componentInstance.$isServer}e.exports={bind:function(e,t,o){if(!s(t))return;function a(t){if(o.context){var s=t.path||t.composedPath&&t.composedPath();s&&s.length>0&&s.unshift(t.target),e.contains(t.target)||function(e,t){if(!e||!t)return!1;for(var s=0,n=t.length;s<n;s++)try{if(e.contains(t[s]))return!0;if(t[s].contains(e))return!1}catch(e){return!1}return!1}(o.context.popupItem,s)||e.__vueClickOutside__.callback(t)}}e.__vueClickOutside__={handler:a,callback:t.value};const A="ontouchstart"in document.documentElement?"touchstart":"click";!n(o)&&document.addEventListener(A,a)},update:function(e,t){s(t)&&(e.__vueClickOutside__.callback=t.value)},unbind:function(e,t,s){const o="ontouchstart"in document.documentElement?"touchstart":"click";!n(s)&&e.__vueClickOutside__&&document.removeEventListener(o,e.__vueClickOutside__.handler),delete e.__vueClickOutside__}}}}]);
-//# sourceMappingURL=vendors~editor-rich.js.map?v=934bbece9404fd23da49 \ No newline at end of file
+ */t.a={before:function(){this.$slots.default&&""!==this.text.trim()||(o.a.util.warn("".concat(this.$options.name," cannot be empty and requires a meaningful text content"),this),this.$destroy(),this.$el.remove())},beforeUpdate:function(){this.text=this.getText()},data:function(){return{text:this.getText()}},computed:{isLongText:function(){return this.text&&this.text.trim().length>20}},methods:{getText:function(){return this.$slots.default?this.$slots.default[0].text.trim():""}}}},26:function(e,t){e.exports=s(210)},27:function(e,t){e.exports=s(187)},30:function(e,t,s){"use strict";s(19),s(5),s(20),s(21),s(27);var n=s(24),o=(s(14),function(e,t){for(var s=e.$parent;s;){if(s.$options.name===t)return s;s=s.$parent}});t.a={mixins:[n.a],props:{icon:{type:String,default:""},title:{type:String,default:""},closeAfterClick:{type:Boolean,default:!1},ariaLabel:{type:String,default:""}},computed:{isIconUrl:function(){try{return new URL(this.icon)}catch(e){return!1}}},methods:{onClick:function(e){if(this.$emit("click",e),this.closeAfterClick){var t=o(this,"Actions");t&&t.closeMenu&&t.closeMenu()}}}}},5:function(e,t){e.exports=s(51)},58:function(e,t,s){var n=s(145);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("0ac54828",n,!0,{})},6:function(e,t){e.exports=s(45)},69:function(e,t){},92:function(e,t,s){"use strict";var n={name:"ActionButton",mixins:[s(30).a],props:{disabled:{type:Boolean,default:!1}},computed:{isFocusable:function(){return!this.disabled}}},o=(s(144),s(0)),r=s(69),i=s.n(r),a=Object(o.a)(n,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s("li",{staticClass:"action",class:{"action--disabled":e.disabled}},[s("button",{staticClass:"action-button",class:{focusable:e.isFocusable},attrs:{"aria-label":e.ariaLabel},on:{click:e.onClick}},[s("span",{staticClass:"action-button__icon",class:[e.isIconUrl?"action-button__icon--url":e.icon],style:{backgroundImage:e.isIconUrl?"url("+e.icon+")":null}}),e._v(" "),e.title?s("p",[s("strong",{staticClass:"action-button__title"},[e._v("\n\t\t\t\t"+e._s(e.title)+"\n\t\t\t")]),e._v(" "),s("br"),e._v(" "),s("span",{staticClass:"action-button__longtext",domProps:{textContent:e._s(e.text)}})]):e.isLongText?s("p",{staticClass:"action-button__longtext",domProps:{textContent:e._s(e.text)}}):s("span",{staticClass:"action-button__text"},[e._v(e._s(e.text))]),e._v(" "),e._e()],2)])}),[],!1,null,"51ef2a86",null);"function"==typeof i.a&&i()(a),t.a=a.exports}})},641:function(e,t){function s(e){return"function"==typeof e.value||(console.warn("[Vue-click-outside:] provided expression",e.expression,"is not a function."),!1)}function n(e){return void 0!==e.componentInstance&&e.componentInstance.$isServer}e.exports={bind:function(e,t,o){if(!s(t))return;function r(t){if(o.context){var s=t.path||t.composedPath&&t.composedPath();s&&s.length>0&&s.unshift(t.target),e.contains(t.target)||function(e,t){if(!e||!t)return!1;for(var s=0,n=t.length;s<n;s++)try{if(e.contains(t[s]))return!0;if(t[s].contains(e))return!1}catch(e){return!1}return!1}(o.context.popupItem,s)||e.__vueClickOutside__.callback(t)}}e.__vueClickOutside__={handler:r,callback:t.value};const i="ontouchstart"in document.documentElement?"touchstart":"click";!n(o)&&document.addEventListener(i,r)},update:function(e,t){s(t)&&(e.__vueClickOutside__.callback=t.value)},unbind:function(e,t,s){const o="ontouchstart"in document.documentElement?"touchstart":"click";!n(s)&&e.__vueClickOutside__&&document.removeEventListener(o,e.__vueClickOutside__.handler),delete e.__vueClickOutside__}}}}]);
+//# sourceMappingURL=vendors~editor-rich.js.map?v=29d438f918f0be3868ae \ No newline at end of file
diff --git a/js/vendors~editor-rich.js.map b/js/vendors~editor-rich.js.map
index fe5c270c7..3e8426dcc 100644
--- a/js/vendors~editor-rich.js.map
+++ b/js/vendors~editor-rich.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/core-js/modules/es.function.name.js","webpack:///./node_modules/@nextcloud/l10n/dist/gettext.js","webpack:///./node_modules/core-js/modules/es.string.trim.js","webpack:///./node_modules/core-js/internals/string-trim-forced.js","webpack:///./node_modules/core-js/modules/es.array.splice.js","webpack:///./node_modules/@nextcloud/vue/dist/Components/PopoverMenu.js","webpack:///./node_modules/@nextcloud/vue/dist/Components/Actions.js","webpack:///./node_modules/@nextcloud/vue/dist/Components/ActionButton.js","webpack:///./node_modules/vue-click-outside/index.js"],"names":["DESCRIPTORS","defineProperty","f","FunctionPrototype","Function","prototype","FunctionPrototypeToString","toString","nameRE","configurable","get","call","this","match","error","Object","exports","value","getGettextBuilder","GettextBuilder","obj","_nodeGettext","__esModule","default","_","_classCallCheck","instance","Constructor","TypeError","_defineProperties","target","props","i","length","descriptor","enumerable","writable","key","_createClass","protoProps","staticProps","translations","debug","language","locale","setLanguage","getLanguage","data","GettextWrapper","gt","sourceLocale","addTranslations","setLocale","translated","vars","replace","a","b","r","original","placeholders","arguments","undefined","subtitudePlaceholders","gettext","singular","plural","count","ngettext","$","$trim","trim","proto","forced","forcedStringTrimMethod","fails","whitespaces","module","METHOD_NAME","name","toAbsoluteIndex","toInteger","toLength","toObject","arraySpeciesCreate","createProperty","arrayMethodHasSpeciesSupport","arrayMethodUsesToLength","HAS_SPECIES_SUPPORT","USES_TO_LENGTH","ACCESSORS","0","1","max","Math","min","splice","start","deleteCount","insertCount","actualDeleteCount","A","k","from","to","O","len","actualStart","argumentsLength","window","t","e","n","l","m","c","d","o","Symbol","toStringTag","create","bind","hasOwnProperty","p","s","options","render","staticRenderFns","_compiled","functional","_scopeId","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","u","beforeCreate","concat","map","btoa","unescape","encodeURIComponent","JSON","stringify","sources","sourceRoot","join","push","14","19","2","id","css","media","sourceMap","parts","document","DEBUG","Error","head","getElementsByTagName","navigator","test","userAgent","toLowerCase","refs","v","createElement","type","appendChild","querySelector","parentNode","removeChild","y","g","h","x","filter","Boolean","styleSheet","cssText","createTextNode","childNodes","insertBefore","setAttribute","ssrId","firstChild","20","21","23","26","34","locals","35","36","44","5","53","item","required","href","icon","text","validator","input","indexOf","computed","round","random","iconIsUrl","URL","methods","action","components","PopoverMenuItem","$createElement","_self","_c","staticClass","attrs","download","rel","on","click","src","class","_v","longtext","_s","_e","active","submit","preventDefault","placeholder","domProps","directives","rawName","model","expression","checked","Array","isArray","_i","change","$set","slice","_q","composing","for","stopPropagation","disabled","menu","_l","81","82","83","84","85","86","M","T","detectLocale","json","charset","headers","Language","msgid","comments","translator","msgstr","reference","Actions","Choose","Close","Next","Previous","Settings","addTranslation","build","hash","needQuotes","VTooltip","defaultTemplate","defaultHtml","substr","componentOptions","tag","util","warn","getBoundingClientRect","documentElement","clientHeight","clientWidth","assign","top","left","bottom","right","any","all","offsetY","offsetX","ClickOutside","directive","tooltip","open","forceMenu","menuAlign","String","menuTitle","primary","defaultIcon","ariaLabel","actions","opened","focusIndex","randomId","offsetYArrow","rotateArrow","children","$children","hasMultipleActions","isValidSingleAction","firstActionElement","firstActionVNode","firstAction","firstActionBinding","is","exact","firstActionEvent","listeners","firstActionEventBinding","firstActionClass","watch","$nextTick","onOpen","beforeMount","initActions","$slots","beforeUpdate","toggleMenu","focusFirstAction","$emit","closeMenu","$refs","onMouseFocusAction","activeElement","closest","querySelectorAll","focusAction","removeCurrentActive","classList","remove","focus","focusPreviousAction","focusNextAction","focusLastAction","$el","execFirstAction","_b","modifiers","auto","_d","hidden","_t","keydown","_k","keyCode","ctrlKey","shiftKey","altKey","metaKey","ref","style","marginRight","marginTop","tabindex","mousemove","transform","12","139","140","17","before","$destroy","getText","isLongText","25","$parent","mixins","title","closeAfterClick","isIconUrl","onClick","28","3","48","58","77","isFocusable","focusable","backgroundImage","textContent","98","validate","binding","console","isServer","vNode","componentInstance","$isServer","el","handler","context","elements","path","composedPath","unshift","contains","popupItem","isPopup","__vueClickOutside__","callback","clickHandler","addEventListener","update","unbind","removeEventListener"],"mappings":"uFAAA,IAAIA,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,GAAuCC,EAEhEC,EAAoBC,SAASC,UAC7BC,EAA4BH,EAAkBI,SAC9CC,EAAS,wBAKTR,KAJO,SAIkBG,IAC3BF,EAAeE,EALN,OAK+B,CACtCM,cAAc,EACdC,IAAK,WACH,IACE,OAAOJ,EAA0BK,KAAKC,MAAMC,MAAML,GAAQ,GAC1D,MAAOM,GACP,MAAO,Q,iCCff,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAERC,OAAOd,eAAee,EAAS,aAAc,CAC3CC,OAAO,IAETD,EAAQE,kBAqGR,WACE,OAAO,IAAIC,GApGb,IAIgCC,EAJ5BC,GAI4BD,EAJU,EAAQ,OAIGA,EAAIE,WAAaF,EAAM,CAAEG,QAASH,GAFnFI,EAAI,EAAQ,KAIhB,SAASC,EAAgBC,EAAUC,GAAe,KAAMD,aAAoBC,GAAgB,MAAM,IAAIC,UAAU,qCAEhH,SAASC,EAAkBC,EAAQC,GAAS,IAAK,IAAIC,EAAI,EAAGA,EAAID,EAAME,OAAQD,IAAK,CAAE,IAAIE,EAAaH,EAAMC,GAAIE,EAAWC,WAAaD,EAAWC,aAAc,EAAOD,EAAWzB,cAAe,EAAU,UAAWyB,IAAYA,EAAWE,UAAW,GAAMrB,OAAOd,eAAe6B,EAAQI,EAAWG,IAAKH,IAE7S,SAASI,EAAaX,EAAaY,EAAYC,GAAmJ,OAAhID,GAAYV,EAAkBF,EAAYtB,UAAWkC,GAAiBC,GAAaX,EAAkBF,EAAaa,GAAqBb,EAEzM,IAAIR,EAA8B,WAChC,SAASA,IACPM,EAAgBb,KAAMO,GAEtBP,KAAK6B,aAAe,GACpB7B,KAAK8B,OAAQ,EAiCf,OA9BAJ,EAAanB,EAAgB,CAAC,CAC5BkB,IAAK,cACLpB,MAAO,SAAqB0B,GAE1B,OADA/B,KAAKgC,OAASD,EACP/B,OAER,CACDyB,IAAK,eACLpB,MAAO,WACL,OAAOL,KAAKiC,aAAY,EAAIrB,EAAEsB,kBAE/B,CACDT,IAAK,iBACLpB,MAAO,SAAwB0B,EAAUI,GAEvC,OADAnC,KAAK6B,aAAaE,GAAYI,EACvBnC,OAER,CACDyB,IAAK,kBACLpB,MAAO,WAEL,OADAL,KAAK8B,OAAQ,EACN9B,OAER,CACDyB,IAAK,QACLpB,MAAO,WACL,OAAO,IAAI+B,EAAepC,KAAKgC,QAAU,KAAMhC,KAAK6B,aAAc7B,KAAK8B,WAIpEvB,EAtCyB,GAyC9B6B,EAA8B,WAChC,SAASA,EAAeJ,EAAQG,EAAML,GAQpC,IAAK,IAAIL,KAPTZ,EAAgBb,KAAMoC,GAEtBpC,KAAKqC,GAAK,IAAI5B,EAAaE,QAAQ,CACjCmB,MAAOA,EACPQ,aAAc,OAGAH,EACdnC,KAAKqC,GAAGE,gBAAgBd,EAAK,WAAYU,EAAKV,IAGhDzB,KAAKqC,GAAGG,UAAUR,GA8BpB,OA3BAN,EAAaU,EAAgB,CAAC,CAC5BX,IAAK,wBACLpB,MAAO,SAA+BoC,EAAYC,GAChD,OAAOD,EAAWE,QAAQ,eAAe,SAAUC,EAAGC,GACpD,IAAIC,EAAIJ,EAAKG,GAEb,MAAiB,iBAANC,GAA+B,iBAANA,EAC3BA,EAAEnD,WAEFiD,OAIZ,CACDnB,IAAK,UACLpB,MAAO,SAAiB0C,GACtB,IAAIC,EAAeC,UAAU5B,OAAS,QAAsB6B,IAAjBD,UAAU,GAAmBA,UAAU,GAAK,GACvF,OAAOjD,KAAKmD,sBAAsBnD,KAAKqC,GAAGe,QAAQL,GAAWC,KAE9D,CACDvB,IAAK,WACLpB,MAAO,SAAkBgD,EAAUC,EAAQC,GACzC,IAAIP,EAAeC,UAAU5B,OAAS,QAAsB6B,IAAjBD,UAAU,GAAmBA,UAAU,GAAK,GACvF,OAAOjD,KAAKmD,sBAAsBnD,KAAKqC,GAAGmB,SAASH,EAAUC,EAAQC,GAAOZ,QAAQ,MAAOY,EAAM5D,YAAaqD,OAI3GZ,EA3CyB,I,iCCnElC,IAAIqB,EAAI,EAAQ,IACZC,EAAQ,EAAQ,KAA4BC,KAKhDF,EAAE,CAAEvC,OAAQ,SAAU0C,OAAO,EAAMC,OAJN,EAAQ,IAIMC,CAAuB,SAAW,CAC3EH,KAAM,WACJ,OAAOD,EAAM1D,U,oBCTjB,IAAI+D,EAAQ,EAAQ,GAChBC,EAAc,EAAQ,KAM1BC,EAAO7D,QAAU,SAAU8D,GACzB,OAAOH,GAAM,WACX,QAASC,EAAYE,MANf,aAMqCA,MAAyBF,EAAYE,GAAaC,OAASD,O,iCCR1G,IAAIT,EAAI,EAAQ,IACZW,EAAkB,EAAQ,KAC1BC,EAAY,EAAQ,IACpBC,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAqB,EAAQ,IAC7BC,EAAiB,EAAQ,KACzBC,EAA+B,EAAQ,IACvCC,EAA0B,EAAQ,IAElCC,EAAsBF,EAA6B,UACnDG,EAAiBF,EAAwB,SAAU,CAAEG,WAAW,EAAMC,EAAG,EAAGC,EAAG,IAE/EC,EAAMC,KAAKD,IACXE,EAAMD,KAAKC,IAOf1B,EAAE,CAAEvC,OAAQ,QAAS0C,OAAO,EAAMC,QAASe,IAAwBC,GAAkB,CACnFO,OAAQ,SAAgBC,EAAOC,GAC7B,IAIIC,EAAaC,EAAmBC,EAAGC,EAAGC,EAAMC,EAJ5CC,EAAItB,EAASvE,MACb8F,EAAMxB,EAASuB,EAAExE,QACjB0E,EAAc3B,EAAgBiB,EAAOS,GACrCE,EAAkB/C,UAAU5B,OAWhC,GATwB,IAApB2E,EACFT,EAAcC,EAAoB,EACL,IAApBQ,GACTT,EAAc,EACdC,EAAoBM,EAAMC,IAE1BR,EAAcS,EAAkB,EAChCR,EAAoBL,EAAIF,EAAIZ,EAAUiB,GAAc,GAAIQ,EAAMC,IAE5DD,EAAMP,EAAcC,EAtBL,iBAuBjB,MAAMxE,UAtB0B,mCAyBlC,IADAyE,EAAIjB,EAAmBqB,EAAGL,GACrBE,EAAI,EAAGA,EAAIF,EAAmBE,KACjCC,EAAOI,EAAcL,KACTG,GAAGpB,EAAegB,EAAGC,EAAGG,EAAEF,IAGxC,GADAF,EAAEpE,OAASmE,EACPD,EAAcC,EAAmB,CACnC,IAAKE,EAAIK,EAAaL,EAAII,EAAMN,EAAmBE,IAEjDE,EAAKF,EAAIH,GADTI,EAAOD,EAAIF,KAECK,EAAGA,EAAED,GAAMC,EAAEF,UACbE,EAAED,GAEhB,IAAKF,EAAII,EAAKJ,EAAII,EAAMN,EAAoBD,EAAaG,WAAYG,EAAEH,EAAI,QACtE,GAAIH,EAAcC,EACvB,IAAKE,EAAII,EAAMN,EAAmBE,EAAIK,EAAaL,IAEjDE,EAAKF,EAAIH,EAAc,GADvBI,EAAOD,EAAIF,EAAoB,KAEnBK,EAAGA,EAAED,GAAMC,EAAEF,UACbE,EAAED,GAGlB,IAAKF,EAAI,EAAGA,EAAIH,EAAaG,IAC3BG,EAAEH,EAAIK,GAAe9C,UAAUyC,EAAI,GAGrC,OADAG,EAAExE,OAASyE,EAAMN,EAAoBD,EAC9BE,M,oBCnEsSQ,OAAhPhC,EAAO7D,QAAmQ,SAAS8F,GAAG,IAAIC,EAAE,GAAG,SAASC,EAAExD,GAAG,GAAGuD,EAAEvD,GAAG,OAAOuD,EAAEvD,GAAGxC,QAAQ,IAAIgB,EAAE+E,EAAEvD,GAAG,CAACxB,EAAEwB,EAAEyD,GAAE,EAAGjG,QAAQ,IAAI,OAAO8F,EAAEtD,GAAG7C,KAAKqB,EAAEhB,QAAQgB,EAAEA,EAAEhB,QAAQgG,GAAGhF,EAAEiF,GAAE,EAAGjF,EAAEhB,QAAQ,OAAOgG,EAAEE,EAAEJ,EAAEE,EAAEG,EAAEJ,EAAEC,EAAEI,EAAE,SAASN,EAAEC,EAAEvD,GAAGwD,EAAEK,EAAEP,EAAEC,IAAIhG,OAAOd,eAAe6G,EAAEC,EAAE,CAAC5E,YAAW,EAAGzB,IAAI8C,KAAKwD,EAAEtD,EAAE,SAASoD,GAAG,oBAAoBQ,QAAQA,OAAOC,aAAaxG,OAAOd,eAAe6G,EAAEQ,OAAOC,YAAY,CAACtG,MAAM,WAAWF,OAAOd,eAAe6G,EAAE,aAAa,CAAC7F,OAAM,KAAM+F,EAAEF,EAAE,SAASA,EAAEC,GAAG,GAAG,EAAEA,IAAID,EAAEE,EAAEF,IAAI,EAAEC,EAAE,OAAOD,EAAE,GAAG,EAAEC,GAAG,iBAAiBD,GAAGA,GAAGA,EAAExF,WAAW,OAAOwF,EAAE,IAAItD,EAAEzC,OAAOyG,OAAO,MAAM,GAAGR,EAAEtD,EAAEF,GAAGzC,OAAOd,eAAeuD,EAAE,UAAU,CAACrB,YAAW,EAAGlB,MAAM6F,IAAI,EAAEC,GAAG,iBAAiBD,EAAE,IAAI,IAAI9E,KAAK8E,EAAEE,EAAEI,EAAE5D,EAAExB,EAAE,SAAS+E,GAAG,OAAOD,EAAEC,IAAIU,KAAK,KAAKzF,IAAI,OAAOwB,GAAGwD,EAAEA,EAAE,SAASF,GAAG,IAAIC,EAAED,GAAGA,EAAExF,WAAW,WAAW,OAAOwF,EAAEvF,SAAS,WAAW,OAAOuF,GAAG,OAAOE,EAAEI,EAAEL,EAAE,IAAIA,GAAGA,GAAGC,EAAEK,EAAE,SAASP,EAAEC,GAAG,OAAOhG,OAAOV,UAAUqH,eAAe/G,KAAKmG,EAAEC,IAAIC,EAAEW,EAAE,SAASX,EAAEA,EAAEY,EAAE,IAAv5B,CAA45B,CAACjC,EAAE,SAASmB,EAAEC,EAAEC,GAAG,aAAa,SAASxD,EAAEsD,EAAEC,EAAEC,EAAExD,EAAExB,EAAEqF,EAAEO,EAAElE,GAAG,IAAIuD,EAAEE,EAAE,mBAAmBL,EAAEA,EAAEe,QAAQf,EAAE,GAAGC,IAAII,EAAEW,OAAOf,EAAEI,EAAEY,gBAAgBf,EAAEG,EAAEa,WAAU,GAAIxE,IAAI2D,EAAEc,YAAW,GAAIZ,IAAIF,EAAEe,SAAS,UAAUb,GAAGO,GAAGX,EAAE,SAASH,IAAIA,EAAEA,GAAGlG,KAAKuH,QAAQvH,KAAKuH,OAAOC,YAAYxH,KAAKyH,QAAQzH,KAAKyH,OAAOF,QAAQvH,KAAKyH,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBxB,EAAEwB,qBAAqBtG,GAAGA,EAAErB,KAAKC,KAAKkG,GAAGA,GAAGA,EAAEyB,uBAAuBzB,EAAEyB,sBAAsBC,IAAIZ,IAAIT,EAAEsB,aAAaxB,GAAGjF,IAAIiF,EAAEvD,EAAE,WAAW1B,EAAErB,KAAKC,KAAKA,KAAK8H,MAAMC,SAASC,aAAa5G,GAAGiF,EAAE,GAAGE,EAAEc,WAAW,CAACd,EAAE0B,cAAc5B,EAAE,IAAIG,EAAED,EAAEW,OAAOX,EAAEW,OAAO,SAAShB,EAAEC,GAAG,OAAOE,EAAEtG,KAAKoG,GAAGK,EAAEN,EAAEC,QAAQ,CAAC,IAAI+B,EAAE3B,EAAE4B,aAAa5B,EAAE4B,aAAaD,EAAE,GAAGE,OAAOF,EAAE7B,GAAG,CAACA,GAAG,MAAM,CAACjG,QAAQ8F,EAAEe,QAAQV,GAAGH,EAAEI,EAAEL,EAAE,KAAI,WAAY,OAAOvD,MAAMoC,EAAE,SAASkB,EAAEC,EAAEC,GAAG,aAAaF,EAAE9F,QAAQ,SAAS8F,GAAG,IAAIC,EAAE,GAAG,OAAOA,EAAExG,SAAS,WAAW,OAAOK,KAAKqI,KAAI,SAAUlC,GAAG,IAAIC,EAAE,SAASF,EAAEC,GAAG,IAAyXa,EAAElE,EAAEuD,EAAzXD,EAAEF,EAAE,IAAI,GAAGtD,EAAEsD,EAAE,GAAG,IAAItD,EAAE,OAAOwD,EAAE,GAAGD,GAAG,mBAAmBmC,KAAK,CAAC,IAAIlH,GAAG4F,EAAEpE,EAAEE,EAAEwF,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU1B,MAAMX,EAAE,+DAA+D+B,OAAOtF,GAAG,OAAOsF,OAAO/B,EAAE,QAAQI,EAAE7D,EAAE+F,QAAQN,KAAI,SAAUnC,GAAG,MAAM,iBAAiBkC,OAAOxF,EAAEgG,YAAY,IAAIR,OAAOlC,EAAE,UAAU,MAAM,CAACE,GAAGgC,OAAO3B,GAAG2B,OAAO,CAAChH,IAAIyH,KAAK,MAAgB,MAAM,CAACzC,GAAGyC,KAAK,MAA5Z,CAAma1C,EAAED,GAAG,OAAOC,EAAE,GAAG,UAAUiC,OAAOjC,EAAE,GAAG,MAAMiC,OAAOhC,EAAE,KAAKA,KAAKyC,KAAK,KAAK1C,EAAE/E,EAAE,SAAS8E,EAAEE,EAAExD,GAAG,iBAAiBsD,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAI9E,EAAE,GAAG,GAAGwB,EAAE,IAAI,IAAI6D,EAAE,EAAEA,EAAEzG,KAAKqB,OAAOoF,IAAI,CAAC,IAAIO,EAAEhH,KAAKyG,GAAG,GAAG,MAAMO,IAAI5F,EAAE4F,IAAG,GAAI,IAAI,IAAIlE,EAAE,EAAEA,EAAEoD,EAAE7E,OAAOyB,IAAI,CAAC,IAAIuD,EAAE,GAAG+B,OAAOlC,EAAEpD,IAAIF,GAAGxB,EAAEiF,EAAE,MAAMD,IAAIC,EAAE,GAAGA,EAAE,GAAG,GAAG+B,OAAOhC,EAAE,SAASgC,OAAO/B,EAAE,IAAIA,EAAE,GAAGD,GAAGD,EAAE2C,KAAKzC,MAAMF,IAAI4C,GAAG,SAAS7C,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAsC4I,GAAG,SAAS9C,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAsC6I,EAAE,SAAS/C,EAAEC,EAAEC,GAAG,aAAa,SAASxD,EAAEsD,EAAEC,GAAG,IAAI,IAAIC,EAAE,GAAGxD,EAAE,GAAGxB,EAAE,EAAEA,EAAE+E,EAAE9E,OAAOD,IAAI,CAAC,IAAIqF,EAAEN,EAAE/E,GAAG4F,EAAEP,EAAE,GAAG3D,EAAE,CAACoG,GAAGhD,EAAE,IAAI9E,EAAE+H,IAAI1C,EAAE,GAAG2C,MAAM3C,EAAE,GAAG4C,UAAU5C,EAAE,IAAI7D,EAAEoE,GAAGpE,EAAEoE,GAAGsC,MAAMR,KAAKhG,GAAGsD,EAAE0C,KAAKlG,EAAEoE,GAAG,CAACkC,GAAGlC,EAAEsC,MAAM,CAACxG,KAAK,OAAOsD,EAAEA,EAAEtD,EAAEqD,GAAGC,EAAEI,EAAEL,EAAE,WAAU,WAAY,OAAOG,KAAK,IAAIlF,EAAE,oBAAoBmI,SAAS,GAAG,oBAAoBC,OAAOA,QAAQpI,EAAE,MAAM,IAAIqI,MAAM,2JAA2J,IAAIhD,EAAE,GAAGO,EAAE5F,IAAImI,SAASG,MAAMH,SAASI,qBAAqB,QAAQ,IAAI7G,EAAE,KAAKuD,EAAE,EAAEE,GAAE,EAAGC,EAAE,aAAa0B,EAAE,KAAKnB,EAAE,oBAAoB6C,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAASzD,EAAEJ,EAAEC,EAAEC,EAAEhF,GAAGmF,EAAEH,EAAE8B,EAAE9G,GAAG,GAAG,IAAI4F,EAAEpE,EAAEsD,EAAEC,GAAG,OAAO7G,EAAE0H,GAAG,SAASb,GAAG,IAAI,IAAIC,EAAE,GAAGhF,EAAE,EAAEA,EAAE4F,EAAE3F,OAAOD,IAAI,CAAC,IAAI0B,EAAEkE,EAAE5F,IAAIiF,EAAEI,EAAE3D,EAAEoG,KAAKc,OAAO5D,EAAE0C,KAAKzC,GAAsB,IAAnBF,EAAE7G,EAAE0H,EAAEpE,EAAEsD,EAAEC,IAAIa,EAAE,GAAO5F,EAAE,EAAEA,EAAEgF,EAAE/E,OAAOD,IAAI,CAAC,IAAIiF,EAAE,GAAG,KAAKA,EAAED,EAAEhF,IAAI4I,KAAK,CAAC,IAAI,IAAIzD,EAAE,EAAEA,EAAEF,EAAEiD,MAAMjI,OAAOkF,IAAIF,EAAEiD,MAAM/C,YAAYE,EAAEJ,EAAE6C,OAAO,SAAS5J,EAAE4G,GAAG,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAE7E,OAAO8E,IAAI,CAAC,IAAIC,EAAEF,EAAEC,GAAGvD,EAAE6D,EAAEL,EAAE8C,IAAI,GAAGtG,EAAE,CAACA,EAAEoH,OAAO,IAAI,IAAI5I,EAAE,EAAEA,EAAEwB,EAAE0G,MAAMjI,OAAOD,IAAIwB,EAAE0G,MAAMlI,GAAGgF,EAAEkD,MAAMlI,IAAI,KAAKA,EAAEgF,EAAEkD,MAAMjI,OAAOD,IAAIwB,EAAE0G,MAAMR,KAAKmB,EAAE7D,EAAEkD,MAAMlI,KAAKwB,EAAE0G,MAAMjI,OAAO+E,EAAEkD,MAAMjI,SAASuB,EAAE0G,MAAMjI,OAAO+E,EAAEkD,MAAMjI,YAAY,CAAC,IAAI2F,EAAE,GAAG,IAAI5F,EAAE,EAAEA,EAAEgF,EAAEkD,MAAMjI,OAAOD,IAAI4F,EAAE8B,KAAKmB,EAAE7D,EAAEkD,MAAMlI,KAAKqF,EAAEL,EAAE8C,IAAI,CAACA,GAAG9C,EAAE8C,GAAGc,KAAK,EAAEV,MAAMtC,KAAK,SAASnE,IAAI,IAAIqD,EAAEqD,SAASW,cAAc,SAAS,OAAOhE,EAAEiE,KAAK,WAAWnD,EAAEoD,YAAYlE,GAAGA,EAAE,SAAS+D,EAAE/D,GAAG,IAAIC,EAAEC,EAAExD,EAAE2G,SAASc,cAAc,2BAA2BnE,EAAEgD,GAAG,MAAM,GAAGtG,EAAE,CAAC,GAAG2D,EAAE,OAAOC,EAAE5D,EAAE0H,WAAWC,YAAY3H,GAAG,GAAGmE,EAAE,CAAC,IAAI3F,EAAEiF,IAAIzD,EAAEE,IAAIA,EAAED,KAAKsD,EAAEqE,EAAE3D,KAAK,KAAKjE,EAAExB,GAAE,GAAIgF,EAAEoE,EAAE3D,KAAK,KAAKjE,EAAExB,GAAE,QAASwB,EAAEC,IAAIsD,EAAEsE,EAAE5D,KAAK,KAAKjE,GAAGwD,EAAE,WAAWxD,EAAE0H,WAAWC,YAAY3H,IAAI,OAAOuD,EAAED,GAAG,SAAStD,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAEuG,MAAMjD,EAAEiD,KAAKvG,EAAEwG,QAAQlD,EAAEkD,OAAOxG,EAAEyG,YAAYnD,EAAEmD,UAAU,OAAOlD,EAAED,EAAEtD,QAAQwD,KAAK,IAAIsE,EAAEC,GAAGD,EAAE,GAAG,SAASxE,EAAEC,GAAG,OAAOuE,EAAExE,GAAGC,EAAEuE,EAAEE,OAAOC,SAAShC,KAAK,QAAQ,SAAS2B,EAAEtE,EAAEC,EAAEC,EAAExD,GAAG,IAAIxB,EAAEgF,EAAE,GAAGxD,EAAEuG,IAAI,GAAGjD,EAAE4E,WAAW5E,EAAE4E,WAAWC,QAAQJ,EAAExE,EAAE/E,OAAO,CAAC,IAAIqF,EAAE8C,SAASyB,eAAe5J,GAAG4F,EAAEd,EAAE+E,WAAWjE,EAAEb,IAAID,EAAEqE,YAAYvD,EAAEb,IAAIa,EAAE3F,OAAO6E,EAAEgF,aAAazE,EAAEO,EAAEb,IAAID,EAAEkE,YAAY3D,IAAI,SAASgE,EAAEvE,EAAEC,GAAG,IAAIC,EAAED,EAAEgD,IAAIvG,EAAEuD,EAAEiD,MAAMhI,EAAE+E,EAAEkD,UAAU,GAAGzG,GAAGsD,EAAEiF,aAAa,QAAQvI,GAAGsF,EAAEkD,OAAOlF,EAAEiF,aAAa,kBAAkBhF,EAAE+C,IAAI9H,IAAIgF,GAAG,mBAAmBhF,EAAEuH,QAAQ,GAAG,MAAMvC,GAAG,uDAAuDkC,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUtH,MAAM,OAAO8E,EAAE4E,WAAW5E,EAAE4E,WAAWC,QAAQ3E,MAAM,CAAC,KAAKF,EAAEmF,YAAYnF,EAAEqE,YAAYrE,EAAEmF,YAAYnF,EAAEkE,YAAYb,SAASyB,eAAe5E,OAAOkF,GAAG,SAASpF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAuCmL,GAAG,SAASrF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAiDoL,GAAG,SAAStF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAA4BqL,GAAG,SAASvF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAwCsL,GAAG,SAASxF,EAAEC,EAAEC,GAAG,IAAIxD,EAAEwD,EAAE,IAAI,iBAAiBxD,IAAIA,EAAE,CAAC,CAACsD,EAAE9E,EAAEwB,EAAE,MAAMA,EAAE+I,SAASzF,EAAE9F,QAAQwC,EAAE+I,SAAQ,EAAGvF,EAAE,GAAGzF,SAAS,WAAWiC,GAAE,EAAG,KAAKgJ,GAAG,SAAS1F,EAAEC,EAAEC,GAAG,IAAIxD,EAAEwD,EAAE,IAAI,iBAAiBxD,IAAIA,EAAE,CAAC,CAACsD,EAAE9E,EAAEwB,EAAE,MAAMA,EAAE+I,SAASzF,EAAE9F,QAAQwC,EAAE+I,SAAQ,EAAGvF,EAAE,GAAGzF,SAAS,WAAWiC,GAAE,EAAG,KAAKiJ,GAAG,SAAS3F,EAAEC,EAAEC,GAAG,IAAIxD,EAAEwD,EAAE,IAAI,iBAAiBxD,IAAIA,EAAE,CAAC,CAACsD,EAAE9E,EAAEwB,EAAE,MAAMA,EAAE+I,SAASzF,EAAE9F,QAAQwC,EAAE+I,SAAQ,EAAGvF,EAAE,GAAGzF,SAAS,WAAWiC,GAAE,EAAG,KAAKkJ,GAAG,SAAS5F,EAAEC,KAAK4F,EAAE,SAAS7F,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAwC4L,GAAG,SAAS9F,EAAEC,EAAEC,GAAG,aAAaA,EAAEtD,EAAEqD,GAAGC,EAAE,IAAIA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIxD,EAAE,CAACuB,KAAK,kBAAkBhD,MAAM,CAAC8K,KAAK,CAAC9B,KAAKhK,OAAO+L,UAAS,EAAGvL,QAAQ,WAAW,MAAM,CAACc,IAAI,iBAAiB0K,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,cAAcC,UAAU,SAASpG,GAAG,OAAOA,EAAEqG,QAAQ,IAAI,CAAC,OAAO,YAAYC,QAAQtG,EAAEqG,UAAUE,SAAS,CAAChL,IAAI,WAAW,OAAOzB,KAAKiM,KAAKxK,IAAIzB,KAAKiM,KAAKxK,IAAIyD,KAAKwH,MAAM,GAAGxH,KAAKyH,SAAS,KAAKhN,SAAS,KAAKiN,UAAU,WAAW,IAAI,OAAO,IAAIC,IAAI7M,KAAKiM,KAAKG,OAAM,EAAG,MAAMlG,GAAG,OAAM,KAAM4G,QAAQ,CAACC,OAAO,SAAS7G,GAAGlG,KAAKiM,KAAKc,QAAQ/M,KAAKiM,KAAKc,OAAO7G,MAAM9E,GAAGgF,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIK,EAAE,CAACtC,KAAK,cAAc6I,WAAW,CAACC,gBAAgB9M,OAAOiB,EAAEwB,EAATzC,CAAYyC,GAAE,WAAY,IAAIsD,EAAElG,KAAKmG,EAAED,EAAEgH,eAAe9G,EAAEF,EAAEiH,MAAMC,IAAIjH,EAAE,OAAOC,EAAE,KAAK,CAACF,EAAE+F,KAAKE,KAAK/F,EAAE,IAAI,CAACiH,YAAY,YAAYC,MAAM,CAACnB,KAAKjG,EAAE+F,KAAKE,KAAKjG,EAAE+F,KAAKE,KAAK,IAAIjL,OAAOgF,EAAE+F,KAAK/K,OAAOgF,EAAE+F,KAAK/K,OAAO,GAAGqM,SAASrH,EAAE+F,KAAKsB,SAASC,IAAI,uBAAuBC,GAAG,CAACC,MAAMxH,EAAE6G,SAAS,CAAC7G,EAAE0G,UAAUxG,EAAE,MAAM,CAACkH,MAAM,CAACK,IAAIzH,EAAE+F,KAAKG,QAAQhG,EAAE,OAAO,CAACwH,MAAM1H,EAAE+F,KAAKG,OAAOlG,EAAE2H,GAAG,KAAK3H,EAAE+F,KAAKI,MAAMnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACA,EAAE,SAAS,CAACiH,YAAY,iBAAiB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,cAAcjG,EAAE,MAAMF,EAAE2H,GAAG,KAAKzH,EAAE,OAAO,CAACiH,YAAY,wBAAwB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,gBAAgB5H,EAAE+F,KAAKI,KAAKjG,EAAE,OAAO,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,YAAYnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,YAAY5H,EAAE8H,OAAO9H,EAAE+F,KAAKM,MAAMnG,EAAE,OAAO,CAACiH,YAAY,WAAWO,MAAM,CAACK,OAAO/H,EAAE+F,KAAKgC,SAAS,CAAC,aAAa/H,EAAE+F,KAAKM,MAAMnG,EAAE,OAAO,CAACwH,MAAM1H,EAAE+F,KAAKG,OAAOlG,EAAE8H,KAAK9H,EAAE2H,GAAG,KAAK,SAAS3H,EAAE+F,KAAKM,MAAMnG,EAAE,OAAO,CAACwH,MAAM1H,EAAE+F,KAAKM,MAAMkB,GAAG,CAACS,OAAO,SAAS/H,GAAG,OAAOA,EAAEgI,iBAAiBjI,EAAE+F,KAAKc,OAAO5G,MAAM,CAACC,EAAE,QAAQ,CAACkH,MAAM,CAACnD,KAAKjE,EAAE+F,KAAKM,MAAM6B,YAAYlI,EAAE+F,KAAKI,KAAKH,SAAS,IAAImC,SAAS,CAAChO,MAAM6F,EAAE+F,KAAK5L,SAAS6F,EAAE2H,GAAG,KAAKzH,EAAE,QAAQ,CAACiH,YAAY,eAAeC,MAAM,CAACnD,KAAK,SAAS9J,MAAM,QAAQ,CAAC,aAAa6F,EAAE+F,KAAKM,MAAMnG,EAAE,QAAQ,CAACkI,WAAW,CAAC,CAACnK,KAAK,QAAQoK,QAAQ,UAAUlO,MAAM6F,EAAE+F,KAAKuC,MAAMC,WAAW,eAAeb,MAAM1H,EAAE+F,KAAKM,MAAMe,MAAM,CAACpE,GAAGhD,EAAEzE,IAAI0I,KAAK,YAAYkE,SAAS,CAACK,QAAQC,MAAMC,QAAQ1I,EAAE+F,KAAKuC,OAAOtI,EAAE2I,GAAG3I,EAAE+F,KAAKuC,MAAM,OAAO,EAAEtI,EAAE+F,KAAKuC,OAAOf,GAAG,CAACqB,OAAO,CAAC,SAAS3I,GAAG,IAAIC,EAAEF,EAAE+F,KAAKuC,MAAM5L,EAAEuD,EAAEjF,OAAOE,IAAIwB,EAAE8L,QAAQ,GAAGC,MAAMC,QAAQxI,GAAG,CAAC,IAAIK,EAAEP,EAAE2I,GAAGzI,EAAE,MAAMxD,EAAE8L,QAAQjI,EAAE,GAAGP,EAAE6I,KAAK7I,EAAE+F,KAAK,QAAQ7F,EAAEgC,OAAO,CAAC,QAAQ3B,GAAG,GAAGP,EAAE6I,KAAK7I,EAAE+F,KAAK,QAAQ7F,EAAE4I,MAAM,EAAEvI,GAAG2B,OAAOhC,EAAE4I,MAAMvI,EAAE,UAAUP,EAAE6I,KAAK7I,EAAE+F,KAAK,QAAQ7K,IAAI8E,EAAE+F,KAAKc,WAAW,UAAU7G,EAAE+F,KAAKM,MAAMnG,EAAE,QAAQ,CAACkI,WAAW,CAAC,CAACnK,KAAK,QAAQoK,QAAQ,UAAUlO,MAAM6F,EAAE+F,KAAKuC,MAAMC,WAAW,eAAeb,MAAM1H,EAAE+F,KAAKM,MAAMe,MAAM,CAACpE,GAAGhD,EAAEzE,IAAI0I,KAAK,SAASkE,SAAS,CAACK,QAAQxI,EAAE+I,GAAG/I,EAAE+F,KAAKuC,MAAM,OAAOf,GAAG,CAACqB,OAAO,CAAC,SAAS3I,GAAG,OAAOD,EAAE6I,KAAK7I,EAAE+F,KAAK,QAAQ,OAAO/F,EAAE+F,KAAKc,WAAW3G,EAAE,QAAQ,CAACkI,WAAW,CAAC,CAACnK,KAAK,QAAQoK,QAAQ,UAAUlO,MAAM6F,EAAE+F,KAAKuC,MAAMC,WAAW,eAAeb,MAAM1H,EAAE+F,KAAKM,MAAMe,MAAM,CAACpE,GAAGhD,EAAEzE,IAAI0I,KAAKjE,EAAE+F,KAAKM,OAAO8B,SAAS,CAAChO,MAAM6F,EAAE+F,KAAKuC,OAAOf,GAAG,CAACqB,OAAO5I,EAAE+F,KAAKc,OAAOR,MAAM,SAASpG,GAAGA,EAAEjF,OAAOgO,WAAWhJ,EAAE6I,KAAK7I,EAAE+F,KAAK,QAAQ9F,EAAEjF,OAAOb,WAAW6F,EAAE2H,GAAG,KAAKzH,EAAE,QAAQ,CAACkH,MAAM,CAAC6B,IAAIjJ,EAAEzE,KAAKgM,GAAG,CAACC,MAAM,SAASvH,GAAG,OAAOA,EAAEiJ,kBAAkBjJ,EAAEgI,iBAAiBjI,EAAE+F,KAAKc,OAAO5G,MAAM,CAACD,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,gBAAgB,GAAGnG,EAAE+F,KAAKc,OAAO3G,EAAE,SAAS,CAACiH,YAAY,qBAAqBO,MAAM,CAACK,OAAO/H,EAAE+F,KAAKgC,QAAQX,MAAM,CAAC+B,SAASnJ,EAAE+F,KAAKoD,UAAU5B,GAAG,CAACC,MAAM,SAASvH,GAAG,OAAOA,EAAEiJ,kBAAkBjJ,EAAEgI,iBAAiBjI,EAAE+F,KAAKc,OAAO5G,MAAM,CAACC,EAAE,OAAO,CAACwH,MAAM1H,EAAE+F,KAAKG,OAAOlG,EAAE2H,GAAG,KAAK3H,EAAE+F,KAAKI,MAAMnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACA,EAAE,SAAS,CAACiH,YAAY,iBAAiB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,cAAcjG,EAAE,MAAMF,EAAE2H,GAAG,KAAKzH,EAAE,OAAO,CAACiH,YAAY,wBAAwB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,gBAAgB5H,EAAE+F,KAAKI,KAAKjG,EAAE,OAAO,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,YAAYnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,YAAY5H,EAAE8H,OAAO5H,EAAE,OAAO,CAACiH,YAAY,WAAWO,MAAM,CAACK,OAAO/H,EAAE+F,KAAKgC,SAAS,CAAC7H,EAAE,OAAO,CAACwH,MAAM1H,EAAE+F,KAAKG,OAAOlG,EAAE2H,GAAG,KAAK3H,EAAE+F,KAAKI,MAAMnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACA,EAAE,SAAS,CAACiH,YAAY,iBAAiB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,cAAcjG,EAAE,MAAMF,EAAE2H,GAAG,KAAKzH,EAAE,OAAO,CAACiH,YAAY,wBAAwB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,gBAAgB5H,EAAE+F,KAAKI,KAAKjG,EAAE,OAAO,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,YAAYnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,YAAY5H,EAAE8H,WAAW,IAAG,EAAG,KAAK,WAAW,MAAM5N,SAASe,MAAM,CAACmO,KAAK,CAACnF,KAAKwE,MAAMhO,QAAQ,WAAW,MAAM,CAAC,CAACwL,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,eAAeH,UAAS,KAAMlF,GAAGZ,EAAE,IAAIA,EAAE,KAAKtD,EAAEsD,EAAEA,EAAEY,GAAGX,EAAElG,OAAOiB,EAAEwB,EAATzC,CAAYsG,GAAE,WAAY,IAAIP,EAAElG,KAAKkN,eAAe/G,EAAEnG,KAAKmN,MAAMC,IAAIlH,EAAE,OAAOC,EAAE,KAAKnG,KAAKuP,GAAGvP,KAAKsP,MAAK,SAAUpJ,EAAEE,GAAG,OAAOD,EAAE,kBAAkB,CAAC1E,IAAI2E,EAAEkH,MAAM,CAACrB,KAAK/F,QAAQ,KAAK,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBpD,EAAEF,GAAGE,IAAIuD,GAAG,IAAIE,EAAEF,EAAEjG;;;;;;;;;;;;;;;;;;;;;GAqBz8V+F,EAAExF,QAAQ4F,GAAGiJ,GAAG,SAAStJ,EAAEC,EAAEC,GAAG,aAAa,IAAIxD,EAAEwD,EAAE,IAAIA,EAAEA,EAAExD,GAAGA,GAAG6M,GAAG,SAASvJ,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK0C,KAAK,CAAC5C,EAAE9E,EAAE,kZAAkZ,KAAK8E,EAAE9F,QAAQ+F,GAAGuJ,GAAG,SAASxJ,EAAEC,EAAEC,GAAG,aAAa,IAAIxD,EAAEwD,EAAE,IAAIA,EAAEA,EAAExD,GAAGA,GAAG+M,GAAG,SAASzJ,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK0C,KAAK,CAAC5C,EAAE9E,EAAE,i9NAAi9N,KAAK8E,EAAE9F,QAAQ+F,GAAGyJ,GAAG,SAAS1J,EAAEC,EAAEC,GAAG,aAAa,IAAIxD,EAAEwD,EAAE,IAAIA,EAAEA,EAAExD,GAAGA,GAAGiN,GAAG,SAAS3J,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK0C,KAAK,CAAC5C,EAAE9E,EAAE,4DAA4D,KAAK8E,EAAE9F,QAAQ+F,M,oBCrB79OF,OAApOhC,EAAO7D,QAAuP,SAAS+F,GAAG,IAAID,EAAE,GAAG,SAASc,EAAEvB,GAAG,GAAGS,EAAET,GAAG,OAAOS,EAAET,GAAGrF,QAAQ,IAAIgG,EAAEF,EAAET,GAAG,CAACrE,EAAEqE,EAAEY,GAAE,EAAGjG,QAAQ,IAAI,OAAO+F,EAAEV,GAAG1F,KAAKqG,EAAEhG,QAAQgG,EAAEA,EAAEhG,QAAQ4G,GAAGZ,EAAEC,GAAE,EAAGD,EAAEhG,QAAQ,OAAO4G,EAAEV,EAAEH,EAAEa,EAAET,EAAEL,EAAEc,EAAER,EAAE,SAASL,EAAED,EAAET,GAAGuB,EAAEP,EAAEN,EAAED,IAAI/F,OAAOd,eAAe8G,EAAED,EAAE,CAAC3E,YAAW,EAAGzB,IAAI2F,KAAKuB,EAAElE,EAAE,SAASqD,GAAG,oBAAoBO,QAAQA,OAAOC,aAAaxG,OAAOd,eAAe8G,EAAEO,OAAOC,YAAY,CAACtG,MAAM,WAAWF,OAAOd,eAAe8G,EAAE,aAAa,CAAC9F,OAAM,KAAM2G,EAAEd,EAAE,SAASC,EAAED,GAAG,GAAG,EAAEA,IAAIC,EAAEa,EAAEb,IAAI,EAAED,EAAE,OAAOC,EAAE,GAAG,EAAED,GAAG,iBAAiBC,GAAGA,GAAGA,EAAEzF,WAAW,OAAOyF,EAAE,IAAIV,EAAEtF,OAAOyG,OAAO,MAAM,GAAGI,EAAElE,EAAE2C,GAAGtF,OAAOd,eAAeoG,EAAE,UAAU,CAAClE,YAAW,EAAGlB,MAAM8F,IAAI,EAAED,GAAG,iBAAiBC,EAAE,IAAI,IAAIC,KAAKD,EAAEa,EAAER,EAAEf,EAAEW,EAAE,SAASF,GAAG,OAAOC,EAAED,IAAIW,KAAK,KAAKT,IAAI,OAAOX,GAAGuB,EAAEZ,EAAE,SAASD,GAAG,IAAID,EAAEC,GAAGA,EAAEzF,WAAW,WAAW,OAAOyF,EAAExF,SAAS,WAAW,OAAOwF,GAAG,OAAOa,EAAER,EAAEN,EAAE,IAAIA,GAAGA,GAAGc,EAAEP,EAAE,SAASN,EAAED,GAAG,OAAO/F,OAAOV,UAAUqH,eAAe/G,KAAKoG,EAAED,IAAIc,EAAED,EAAE,SAASC,EAAEA,EAAEA,EAAE,IAAv5B,CAA45B,CAAC,SAASb,EAAED,EAAEc,GAAG,aAAa,SAASvB,EAAEU,EAAED,EAAEc,EAAEvB,EAAEW,EAAEK,EAAE3D,EAAEF,GAAG,IAAI2D,EAAEnF,EAAE,mBAAmB+E,EAAEA,EAAEc,QAAQd,EAAE,GAAGD,IAAI9E,EAAE8F,OAAOhB,EAAE9E,EAAE+F,gBAAgBH,EAAE5F,EAAEgG,WAAU,GAAI3B,IAAIrE,EAAEiG,YAAW,GAAIZ,IAAIrF,EAAEkG,SAAS,UAAUb,GAAG3D,GAAGyD,EAAE,SAASJ,IAAIA,EAAEA,GAAGnG,KAAKuH,QAAQvH,KAAKuH,OAAOC,YAAYxH,KAAKyH,QAAQzH,KAAKyH,OAAOF,QAAQvH,KAAKyH,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBvB,EAAEuB,qBAAqBtB,GAAGA,EAAErG,KAAKC,KAAKmG,GAAGA,GAAGA,EAAEwB,uBAAuBxB,EAAEwB,sBAAsBC,IAAI9E,IAAI1B,EAAEyG,aAAatB,GAAGH,IAAIG,EAAE3D,EAAE,WAAWwD,EAAErG,KAAKC,KAAKA,KAAK8H,MAAMC,SAASC,aAAa5B,GAAGG,EAAE,GAAGnF,EAAEiG,WAAW,CAACjG,EAAE6G,cAAc1B,EAAE,IAAIF,EAAEjF,EAAE8F,OAAO9F,EAAE8F,OAAO,SAASf,EAAED,GAAG,OAAOK,EAAExG,KAAKmG,GAAGG,EAAEF,EAAED,QAAQ,CAAC,IAAII,EAAElF,EAAE+G,aAAa/G,EAAE+G,aAAa7B,EAAE,GAAG8B,OAAO9B,EAAEC,GAAG,CAACA,GAAG,MAAM,CAACnG,QAAQ+F,EAAEc,QAAQ7F,GAAG4F,EAAER,EAAEN,EAAE,KAAI,WAAY,OAAOT,MAAM,SAASU,EAAED,EAAEc,GAAG,aAAab,EAAE/F,QAAQ,SAAS+F,GAAG,IAAID,EAAE,GAAG,OAAOA,EAAEvG,SAAS,WAAW,OAAOK,KAAKqI,KAAI,SAAUnC,GAAG,IAAIc,EAAE,SAASb,EAAED,GAAG,IAAyXpD,EAAEF,EAAE2D,EAAzXS,EAAEb,EAAE,IAAI,GAAGV,EAAEU,EAAE,GAAG,IAAIV,EAAE,OAAOuB,EAAE,GAAGd,GAAG,mBAAmBoC,KAAK,CAAC,IAAIlC,GAAGtD,EAAE2C,EAAE7C,EAAE0F,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU5F,MAAMyD,EAAE,+DAA+D6B,OAAOxF,GAAG,OAAOwF,OAAO7B,EAAE,QAAQE,EAAEhB,EAAEkD,QAAQN,KAAI,SAAUlC,GAAG,MAAM,iBAAiBiC,OAAO3C,EAAEmD,YAAY,IAAIR,OAAOjC,EAAE,UAAU,MAAM,CAACa,GAAGoB,OAAO3B,GAAG2B,OAAO,CAAChC,IAAIyC,KAAK,MAAgB,MAAM,CAAC7B,GAAG6B,KAAK,MAA5Z,CAAma3C,EAAEC,GAAG,OAAOD,EAAE,GAAG,UAAUkC,OAAOlC,EAAE,GAAG,MAAMkC,OAAOpB,EAAE,KAAKA,KAAK6B,KAAK,KAAK3C,EAAE9E,EAAE,SAAS+E,EAAEa,EAAEvB,GAAG,iBAAiBU,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAIC,EAAE,GAAG,GAAGX,EAAE,IAAI,IAAIgB,EAAE,EAAEA,EAAEzG,KAAKqB,OAAOoF,IAAI,CAAC,IAAI3D,EAAE9C,KAAKyG,GAAG,GAAG,MAAM3D,IAAIsD,EAAEtD,IAAG,GAAI,IAAI,IAAIF,EAAE,EAAEA,EAAEuD,EAAE9E,OAAOuB,IAAI,CAAC,IAAI2D,EAAE,GAAG6B,OAAOjC,EAAEvD,IAAI6C,GAAGW,EAAEG,EAAE,MAAMS,IAAIT,EAAE,GAAGA,EAAE,GAAG,GAAG6B,OAAOpB,EAAE,SAASoB,OAAO7B,EAAE,IAAIA,EAAE,GAAGS,GAAGd,EAAE4C,KAAKvC,MAAML,IAAI,SAASC,EAAED,EAAEc,GAAG,aAAa,SAASvB,EAAEU,EAAED,GAAG,IAAI,IAAIc,EAAE,GAAGvB,EAAE,GAAGW,EAAE,EAAEA,EAAEF,EAAE7E,OAAO+E,IAAI,CAAC,IAAIK,EAAEP,EAAEE,GAAGtD,EAAE2D,EAAE,GAAG7D,EAAE,CAACsG,GAAG/C,EAAE,IAAIC,EAAE+C,IAAI1C,EAAE,GAAG2C,MAAM3C,EAAE,GAAG4C,UAAU5C,EAAE,IAAIhB,EAAE3C,GAAG2C,EAAE3C,GAAGwG,MAAMR,KAAKlG,GAAGoE,EAAE8B,KAAKrD,EAAE3C,GAAG,CAACoG,GAAGpG,EAAEwG,MAAM,CAAC1G,KAAK,OAAOoE,EAAEA,EAAElE,EAAEoD,GAAGc,EAAER,EAAEN,EAAE,WAAU,WAAY,OAAOgC,KAAK,IAAI9B,EAAE,oBAAoBmD,SAAS,GAAG,oBAAoBC,OAAOA,QAAQpD,EAAE,MAAM,IAAIqD,MAAM,2JAA2J,IAAIhD,EAAE,GAAG3D,EAAEsD,IAAImD,SAASG,MAAMH,SAASI,qBAAqB,QAAQ,IAAI/G,EAAE,KAAK2D,EAAE,EAAEnF,GAAE,EAAGiF,EAAE,aAAaC,EAAE,KAAKmE,EAAE,oBAAoBb,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAAS7B,EAAE/B,EAAED,EAAEc,EAAEZ,GAAGhF,EAAE4F,EAAEV,EAAEF,GAAG,GAAG,IAAItD,EAAE2C,EAAEU,EAAED,GAAG,OAAOM,EAAE1D,GAAG,SAASoD,GAAG,IAAI,IAAIc,EAAE,GAAGZ,EAAE,EAAEA,EAAEtD,EAAEzB,OAAO+E,IAAI,CAAC,IAAIxD,EAAEE,EAAEsD,IAAIG,EAAEE,EAAE7D,EAAEsG,KAAKc,OAAOhD,EAAE8B,KAAKvC,GAAsB,IAAnBL,EAAEM,EAAE1D,EAAE2C,EAAEU,EAAED,IAAIpD,EAAE,GAAOsD,EAAE,EAAEA,EAAEY,EAAE3F,OAAO+E,IAAI,CAAC,IAAIG,EAAE,GAAG,KAAKA,EAAES,EAAEZ,IAAI4D,KAAK,CAAC,IAAI,IAAI5I,EAAE,EAAEA,EAAEmF,EAAE+C,MAAMjI,OAAOD,IAAImF,EAAE+C,MAAMlI,YAAYqF,EAAEF,EAAE2C,OAAO,SAAS1C,EAAEL,GAAG,IAAI,IAAID,EAAE,EAAEA,EAAEC,EAAE9E,OAAO6E,IAAI,CAAC,IAAIc,EAAEb,EAAED,GAAGT,EAAEgB,EAAEO,EAAEkC,IAAI,GAAGzD,EAAE,CAACA,EAAEuE,OAAO,IAAI,IAAI5D,EAAE,EAAEA,EAAEX,EAAE6D,MAAMjI,OAAO+E,IAAIX,EAAE6D,MAAMlD,GAAGY,EAAEsC,MAAMlD,IAAI,KAAKA,EAAEY,EAAEsC,MAAMjI,OAAO+E,IAAIX,EAAE6D,MAAMR,KAAKgH,EAAE9I,EAAEsC,MAAMlD,KAAKX,EAAE6D,MAAMjI,OAAO2F,EAAEsC,MAAMjI,SAASoE,EAAE6D,MAAMjI,OAAO2F,EAAEsC,MAAMjI,YAAY,CAAC,IAAIyB,EAAE,GAAG,IAAIsD,EAAE,EAAEA,EAAEY,EAAEsC,MAAMjI,OAAO+E,IAAItD,EAAEgG,KAAKgH,EAAE9I,EAAEsC,MAAMlD,KAAKK,EAAEO,EAAEkC,IAAI,CAACA,GAAGlC,EAAEkC,GAAGc,KAAK,EAAEV,MAAMxG,KAAK,SAASiE,IAAI,IAAIZ,EAAEoD,SAASW,cAAc,SAAS,OAAO/D,EAAEgE,KAAK,WAAWrH,EAAEsH,YAAYjE,GAAGA,EAAE,SAAS2J,EAAE3J,GAAG,IAAID,EAAEc,EAAEvB,EAAE8D,SAASc,cAAc,2BAA2BlE,EAAE+C,GAAG,MAAM,GAAGzD,EAAE,CAAC,GAAGrE,EAAE,OAAOiF,EAAEZ,EAAE6E,WAAWC,YAAY9E,GAAG,GAAGgF,EAAE,CAAC,IAAIrE,EAAEG,IAAId,EAAE7C,IAAIA,EAAEmE,KAAKb,EAAE6J,EAAElJ,KAAK,KAAKpB,EAAEW,GAAE,GAAIY,EAAE+I,EAAElJ,KAAK,KAAKpB,EAAEW,GAAE,QAASX,EAAEsB,IAAIb,EAAEwE,EAAE7D,KAAK,KAAKpB,GAAGuB,EAAE,WAAWvB,EAAE6E,WAAWC,YAAY9E,IAAI,OAAOS,EAAEC,GAAG,SAASV,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAE0D,MAAMhD,EAAEgD,KAAK1D,EAAE2D,QAAQjD,EAAEiD,OAAO3D,EAAE4D,YAAYlD,EAAEkD,UAAU,OAAOnD,EAAEC,EAAEV,QAAQuB,KAAK,IAAI1H,EAAE2K,GAAG3K,EAAE,GAAG,SAAS6G,EAAED,GAAG,OAAO5G,EAAE6G,GAAGD,EAAE5G,EAAEsL,OAAOC,SAAShC,KAAK,QAAQ,SAASkH,EAAE5J,EAAED,EAAEc,EAAEvB,GAAG,IAAIW,EAAEY,EAAE,GAAGvB,EAAE0D,IAAI,GAAGhD,EAAE2E,WAAW3E,EAAE2E,WAAWC,QAAQd,EAAE/D,EAAEE,OAAO,CAAC,IAAIK,EAAE8C,SAASyB,eAAe5E,GAAGtD,EAAEqD,EAAE8E,WAAWnI,EAAEoD,IAAIC,EAAEoE,YAAYzH,EAAEoD,IAAIpD,EAAEzB,OAAO8E,EAAE+E,aAAazE,EAAE3D,EAAEoD,IAAIC,EAAEiE,YAAY3D,IAAI,SAASiE,EAAEvE,EAAED,GAAG,IAAIc,EAAEd,EAAEiD,IAAI1D,EAAES,EAAEkD,MAAMhD,EAAEF,EAAEmD,UAAU,GAAG5D,GAAGU,EAAEgF,aAAa,QAAQ1F,GAAGa,EAAE8E,OAAOjF,EAAEgF,aAAa,kBAAkBjF,EAAEgD,IAAI9C,IAAIY,GAAG,mBAAmBZ,EAAEuC,QAAQ,GAAG,MAAM3B,GAAG,uDAAuDsB,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUtC,MAAM,OAAOD,EAAE2E,WAAW3E,EAAE2E,WAAWC,QAAQ/D,MAAM,CAAC,KAAKb,EAAEkF,YAAYlF,EAAEoE,YAAYpE,EAAEkF,YAAYlF,EAAEiE,YAAYb,SAASyB,eAAehE,OAAO,SAASb,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,KAAQ,SAAS+F,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,MAAc,SAAS+F,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,KAAwC,SAAS+F,EAAED,EAAEc,GAAG,aAAaA,EAAER,EAAEN,EAAE,KAAI,WAAY,OAAOtD,KAAKoE,EAAER,EAAEN,EAAE,KAAI,WAAY,OAAOpD,KAAKkE,EAAE,IAAI,IAAIvB,EAAEuB,EAAE,IAAIZ,EAAEjG,OAAOsF,EAAEnF,kBAATH,GAA8B6P,eAAe,CAAC,CAAChO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,gDAAgD,gBAAgB,kFAAkF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gHAAgHvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,iEAAiEC,OAAO,CAAC,2VAA2V,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,SAASG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgB,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sDAAsD,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,wHAAwHC,OAAO,CAAC,2PAA2P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,0BAA0BE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAcI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sDAAsD,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,wHAAwHC,OAAO,CAAC,2QAA2Q,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,0BAA0BE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAcI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qCAAqC,gBAAgB,8DAA8D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,0GAA0GC,OAAO,CAAC,yOAAyO,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,mBAAmB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAaK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mCAAmC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,6DAA6D,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,8EAA8EC,OAAO,CAAC,kQAAkQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,SAASK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuB,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sCAAsC,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+BvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,wJAAwJC,OAAO,CAAC,0OAA0O,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iCAAiCM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,4BAA4BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iDAAiD,gBAAgB,iEAAiE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,kEAAkEC,OAAO,CAAC,wPAAwP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,6BAA6BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,8CAA8C,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8HAA8HvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,+DAA+DC,OAAO,CAAC,iVAAiV,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,iBAAiB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,WAAW,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,oBAAoB,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qCAAqC,gBAAgB,+EAA+E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,gGAAgGC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iBAAiB,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,kCAAkCC,OAAO,CAAC,uNAAuN,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,WAAWG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,2BAA2BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyBvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,gEAAgEC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAe,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,OAAOG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,MAAM,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iBAAiBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,MAAM,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,UAAUO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mBAAmB,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,YAAY,gBAAgB,kFAAkF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,mKAAmKvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,6BAA6BC,OAAO,CAAC,0WAA0W,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgCM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,mCAAmC,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,mEAAmEvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,oDAAoDC,OAAO,CAAC,4QAA4Q,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kBAAkB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuB,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,mEAAmE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8DAA8DvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,uCAAuCC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,oBAAoB,gBAAgB,8DAA8D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,qFAAqFC,OAAO,CAAC,wNAAwN,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,WAAWG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+BvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,uCAAuCC,OAAO,CAAC,uOAAuO,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgCM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,6BAA6BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,wBAAwB,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,kLAAkLvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,yCAAyCC,OAAO,CAAC,+WAA+W,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iCAAiC,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qBAAqB,gBAAgB,+EAA+E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,+BAA+BvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,6FAA6FC,OAAO,CAAC,4OAA4O,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,UAAUG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kCAAkCM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uCAAuC,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sCAAsC,gBAAgB,iFAAiF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,uDAAuDC,OAAO,CAAC,gQAAgQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kCAAkCM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uCAAuC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iCAAiC,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,0KAA0KvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,kDAAkDC,OAAO,CAAC,iXAAiX,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,0BAA0B,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,+BAA+BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,mBAAmBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uBAAuB,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,qHAAqHC,OAAO,CAAC,6NAA6N,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mBAAmBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,gBAAgBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uCAAuC,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+BvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,4GAA4GC,OAAO,CAAC,4OAA4O,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,QAAQI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,+BAA+BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iCAAiC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,kEAAkE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8PAA8PvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,wEAAwEC,OAAO,CAAC,6dAA6d,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,QAAQG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,wBAAwB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6B,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,2CAA2C,gBAAgB,2EAA2E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyBvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,mGAAmGC,OAAO,CAAC,wPAAwP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,gBAAgB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,OAAOG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,OAAOK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,QAAQ,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,WAAWO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iDAAiD,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyBvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,kEAAkEC,OAAO,CAAC,+PAA+P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAe,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,OAAOK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,QAAQ,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,SAASO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAenI,KAAI,SAAUlC,GAAG,OAAOC,EAAE4K,eAAe7K,EAAEnE,OAAOmE,EAAE8J,SAAS,IAAIxJ,EAAEL,EAAE6K,QAAQnO,EAAE2D,EAAEjD,SAASqD,KAAKJ,GAAG7D,EAAE6D,EAAErD,QAAQyD,KAAKJ,IAAI,SAASN,EAAED,EAAEc,GAAG,aAAab,EAAE/F,QAAQ,SAAS+F,EAAED,GAAG,OAAOA,IAAIA,EAAE,IAAI,iBAAiBC,EAAEA,GAAGA,EAAEzF,WAAWyF,EAAExF,QAAQwF,GAAGA,GAAG,eAAe0D,KAAK1D,KAAKA,EAAEA,EAAE6I,MAAM,GAAG,IAAI9I,EAAEgL,OAAO/K,GAAGD,EAAEgL,MAAM,cAAcrH,KAAK1D,IAAID,EAAEiL,WAAW,IAAI/I,OAAOjC,EAAExD,QAAQ,KAAK,OAAOA,QAAQ,MAAM,OAAO,KAAKwD,KAAK,SAASA,EAAED,EAAEc,GAAG,aAAaA,EAAElE,EAAEoD,GAAGA,EAAEvF,QAAQ,k/HAAk/H,SAASwF,EAAED,EAAEc,GAAG,aAAaA,EAAElE,EAAEoD,GAAGA,EAAEvF,QAAQ,kzHAAkzH,SAASwF,EAAED,EAAEc,GAAG,aAAaA,EAAElE,EAAEoD,GAAGA,EAAEvF,QAAQ,itHAAitH,SAASwF,EAAED,EAAEc,GAAG,aAAaA,EAAElE,EAAEoD,GAAGA,EAAEvF,QAAQ,8gMAA8gM,SAASwF,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,MAAqC,SAAS+F,EAAED,EAAEc,GAAG,aAAaA,EAAElE,EAAEoD,GAAG,IAAIT,EAAEuB,EAAE,GAAGA,EAAE;;;;;;;;;;;;;;;;;;;;;;;AAuBvt+EvB,EAAE2L,SAASnK,QAAQoK,gBAAgB,kDAAkDjJ,OAAO,UAAU,6EAA6E3C,EAAE2L,SAASnK,QAAQqK,aAAY,EAAGpL,EAAEvF,QAAQ8E,EAAE2L,UAAU,SAASjL,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,MAAsC,SAAS+F,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,MAAiC,SAAS+F,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,MAAoB,CAAC,SAAS+F,EAAED,EAAEc,GAAG,aAAaA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAId,EAAEtD,EAAE,SAASuD,GAAG,OAAOjB,KAAKyH,SAAShN,SAAS,IAAIgD,QAAQ,WAAW,IAAI4O,OAAO,EAAEpL,GAAG,KAAK,CAAC,CAAC,CAAC,SAASA,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,KAAmC,CAAC,SAAS+F,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,KAAoC,CAAC,SAAS+F,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,KAAwC,SAAS+F,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,MAAiC,CAAC,SAAS+F,EAAED,EAAEc,GAAG,IAAIvB,EAAEuB,EAAE,IAAI,iBAAiBvB,IAAIA,EAAE,CAAC,CAACU,EAAE/E,EAAEqE,EAAE,MAAMA,EAAEkG,SAASxF,EAAE/F,QAAQqF,EAAEkG,SAAQ,EAAG3E,EAAE,GAAGrG,SAAS,WAAW8E,GAAE,EAAG,KAAK,CAAC,SAASU,EAAED,EAAEc,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIvB,EAAEuB,EAAE,GAAGZ,EAAEY,EAAEZ,EAAEX,GAAGS,EAAEtD,EAAE,SAASuD,EAAED,EAAEc,GAAG,QAAG,IAASb,EAAE,IAAI,IAAIV,EAAEU,EAAE9E,OAAO,EAAEoE,GAAG,EAAEA,IAAI,CAAC,IAAIgB,EAAEN,EAAEV,GAAG3C,GAAG2D,EAAE+K,kBAAkB/K,EAAEgL,MAAM,IAAIvL,EAAEsG,QAAQ/F,EAAEgL,KAAK7O,IAAI6D,EAAE+K,kBAAkB,iBAAiB/K,EAAE+K,iBAAiBC,IAAIlL,EAAE3D,IAAI,IAAIsD,EAAEsG,QAAQ/F,EAAE+K,iBAAiBC,MAAM3O,IAAIF,GAAG2D,MAAMzD,GAAGyD,IAAIH,EAAExD,EAAE8O,KAAKC,KAAK,GAAGvJ,OAAOtF,EAAE2D,EAAEgL,IAAIhL,EAAE+K,iBAAiBC,IAAI,+BAA+BrJ,OAAOpB,EAAEe,SAAS5D,KAAK,cAAc6C,GAAGb,EAAEf,OAAOK,EAAE,OAAO,CAAC,SAASU,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,MAAsC,CAAC,CAAC,CAAC,SAAS+F,EAAED,KAAK,SAASC,EAAED,EAAEc,GAAG,aAAaA,EAAElE,EAAEoD,GAAG,IAAIT,EAAEuB,EAAE;;;;;;;;;;;;;;;;;;;;;GAqB/iDd,EAAEvF,QAAQ8E,EAAE7C,GAAG,SAASuD,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,MAAoC,CAAC,CAAC,SAAS+F,EAAED,EAAEc,GAAG,IAAIvB,EAAEuB,EAAE,IAAI,iBAAiBvB,IAAIA,EAAE,CAAC,CAACU,EAAE/E,EAAEqE,EAAE,MAAMA,EAAEkG,SAASxF,EAAE/F,QAAQqF,EAAEkG,SAAQ,EAAG3E,EAAE,GAAGrG,SAAS,WAAW8E,GAAE,EAAG,KAAK,SAASU,EAAED,EAAEc,IAAId,EAAEc,EAAE,EAAFA,EAAK,IAAK8B,KAAK,CAAC3C,EAAE/E,EAAE,igEAAigE,KAAK+E,EAAE/F,QAAQ8F,GAAG,CAAC,CAAC,SAASC,EAAED,EAAEc,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIvB,EAAEuB,EAAE,IAAIZ,EAAEY,EAAE,IAAIP,EAAEO,EAAE,IAAIlE,GAAGkE,EAAE,IAAI,SAASb,GAAG,IAAID,EAAEC,EAAEyL,wBAAwB5K,EAAEuC,SAASsI,gBAAgBC,aAAarM,EAAE8D,SAASsI,gBAAgBE,YAAY3L,EAAEjG,OAAO6R,OAAO,IAAI,OAAO5L,EAAE6L,IAAI/L,EAAE+L,IAAI,EAAE7L,EAAE8L,KAAKhM,EAAEgM,KAAK,EAAE9L,EAAE+L,OAAOjM,EAAEiM,OAAOnL,EAAEZ,EAAEgM,MAAMlM,EAAEkM,MAAM3M,EAAEW,EAAEiM,IAAIjM,EAAE6L,KAAK7L,EAAE8L,MAAM9L,EAAE+L,QAAQ/L,EAAEgM,MAAMhM,EAAEkM,IAAIlM,EAAE6L,KAAK7L,EAAE8L,MAAM9L,EAAE+L,QAAQ/L,EAAEgM,MAAMhM,EAAEmM,QAAQnM,EAAE6L,IAAI/L,EAAE+L,IAAI7L,EAAE+L,OAAOjM,EAAEiM,OAAOnL,EAAE,EAAEZ,EAAEoM,QAAQpM,EAAE8L,KAAKhM,EAAEgM,KAAK9L,EAAEgM,MAAMlM,EAAEkM,MAAM3M,EAAE,EAAEW,IAAIxD,EAAEoE,EAAE,IAAIT,EAAES,EAAE,GAAG5F,EAAE,CAAC,eAAe,iBAAiB,cAAc,aAAa,cAAc,eAAe,kBAAkB,aAAa,sBAAsBiF,EAAE,CAAClC,KAAK,UAAUmK,WAAW,CAACmE,aAAahN,EAAEiN,UAAUC,QAAQvM,EAAEzF,SAASQ,MAAM,CAACyR,KAAK,CAACzI,KAAKU,QAAQlK,SAAQ,GAAIkS,UAAU,CAAC1I,KAAKU,QAAQlK,SAAQ,GAAImS,UAAU,CAAC3I,KAAK4I,OAAOpS,QAAQ,SAAS2L,UAAU,SAASnG,GAAG,MAAM,CAAC,OAAO,SAAS,SAASqG,QAAQrG,IAAI,IAAI6M,UAAU,CAAC7I,KAAK4I,OAAOpS,QAAQ,MAAMsS,QAAQ,CAAC9I,KAAKU,QAAQlK,SAAQ,GAAIuS,YAAY,CAAC/I,KAAK4I,OAAOpS,QAAQ,yCAAyCwS,UAAU,CAAChJ,KAAK4I,OAAOpS,QAAQR,OAAOoG,EAAE1D,EAAT1C,CAAY,aAAagC,KAAK,WAAW,MAAM,CAACiR,QAAQ,GAAGC,OAAOrT,KAAK4S,KAAKU,WAAW,EAAEC,SAAS,QAAQpT,OAAOsG,EAAE7D,EAATzC,GAAcqS,QAAQ,EAAED,QAAQ,EAAEiB,aAAa,EAAEC,aAAY,EAAGC,SAAS1T,KAAK2T,YAAYlH,SAAS,CAACmH,mBAAmB,WAAW,OAAO5T,KAAKoT,QAAQ/R,OAAO,GAAGwS,oBAAoB,WAAW,OAAO,IAAI7T,KAAKoT,QAAQ/R,QAAQ,OAAOrB,KAAK8T,oBAAoBC,iBAAiB,WAAW,OAAO/T,KAAKoT,QAAQ,IAAIY,YAAY,WAAW,OAAOhU,KAAK0T,SAAS,GAAG1T,KAAK0T,SAAS,GAAG,IAAIO,mBAAmB,WAAW,GAAGjU,KAAK+T,kBAAkB/T,KAAK+T,iBAAiBvC,iBAAiB,CAAC,IAAIrL,EAAEnG,KAAK+T,iBAAiBvC,iBAAiBC,IAAI,GAAG,eAAetL,EAAE,MAAM,CAAC+N,GAAG,IAAI/H,KAAKnM,KAAKgU,YAAY7H,KAAKjL,OAAOlB,KAAKgU,YAAY9S,OAAO,aAAalB,KAAKgU,YAAYb,WAAW,GAAG,iBAAiBhN,EAAE,MAAM,CAAC+N,GAAG,cAActO,GAAG5F,KAAKgU,YAAYpO,GAAGuO,MAAMnU,KAAKgU,YAAYG,MAAM,aAAanU,KAAKgU,YAAYb,WAAW,GAAG,iBAAiBhN,EAAE,MAAM,CAAC+N,GAAG,SAAS,aAAalU,KAAKgU,YAAYb,WAAW,OAAO,MAAMiB,iBAAiB,WAAW,OAAOpU,KAAK+T,kBAAkB/T,KAAK+T,iBAAiBvC,kBAAkBxR,KAAK+T,iBAAiBvC,iBAAiB6C,WAAWrU,KAAK+T,iBAAiBvC,iBAAiB6C,UAAU3G,OAAO4G,wBAAwB,WAAW,OAAOtU,KAAKoU,iBAAiB,QAAQ,MAAMG,iBAAiB,WAAW,IAAIpO,EAAEnG,KAAK+T,kBAAkB/T,KAAK+T,iBAAiB5R,KAAKkL,YAAYnH,EAAElG,KAAK+T,kBAAkB/T,KAAK+T,iBAAiB5R,KAAKyL,MAAM,MAAM,GAAGxF,OAAOjC,EAAE,KAAKiC,OAAOlC,KAAKsO,MAAM,CAAC5B,KAAK,SAASzM,GAAG,IAAID,EAAElG,KAAKA,KAAKqT,OAAOlN,EAAEnG,KAAKqT,QAAQrT,KAAKyU,WAAU,WAAYvO,EAAEwO,cAAcC,YAAY,WAAW3U,KAAK4U,cAAczU,OAAOyC,EAAEA,EAATzC,CAAYH,KAAK6U,OAAOlU,QAAQS,EAAEpB,OAAO8U,aAAa,WAAW9U,KAAK4U,cAAczU,OAAOyC,EAAEA,EAATzC,CAAYH,KAAK6U,OAAOlU,QAAQS,EAAEpB,OAAO8M,QAAQ,CAACiI,WAAW,SAAS5O,GAAG,IAAID,EAAElG,KAAKA,KAAKqT,OAAO,kBAAkBlN,EAAEA,GAAGnG,KAAKqT,OAAOrT,KAAKqT,QAAQrT,KAAKyU,WAAU,WAAYvO,EAAEwO,SAASxO,EAAE8O,sBAAsBhV,KAAKiV,MAAM,UAAUjV,KAAKwS,QAAQ,EAAExS,KAAKuS,QAAQ,EAAEvS,KAAKwT,aAAa,EAAExT,KAAKyT,aAAY,EAAGzT,KAAKiV,MAAM,UAAUjV,KAAKiV,MAAM,cAAcjV,KAAKqT,SAAS6B,UAAU,SAAS/O,GAAGnG,KAAKqT,SAASrT,KAAKiV,MAAM,eAAc,GAAIjV,KAAKiV,MAAM,SAASjV,KAAKqT,QAAO,EAAGrT,KAAKwS,QAAQ,EAAExS,KAAKuS,QAAQ,EAAEvS,KAAKwT,aAAa,EAAExT,KAAKyT,aAAY,IAAKiB,OAAO,WAAW,GAAG1U,KAAKwS,QAAQ,EAAExS,KAAKuS,QAAQ,EAAEvS,KAAKwT,aAAa,EAAExT,KAAKyT,aAAY,EAAG,WAAWzT,KAAK8S,UAAU,CAAC,IAAI3M,EAAErD,EAAE9C,KAAKmV,MAAM7F,OAAOnJ,EAAE+L,MAAM/L,EAAEiM,SAASpS,KAAKwS,QAAQrM,EAAEqM,QAAQ,EAAEtN,KAAKwH,MAAMvG,EAAEqM,SAAS,EAAEtN,KAAKwH,MAAMvG,EAAEqM,SAAS,GAAGrM,EAAEgM,SAASnS,KAAKuS,QAAQ,EAAErN,KAAKwH,MAAM1M,KAAKmV,MAAM7F,KAAKwC,cAAc,GAAG9R,KAAKwT,aAAatO,KAAKwH,MAAM1M,KAAKmV,MAAM7F,KAAKwC,cAAc,GAAG9R,KAAKyT,aAAY,KAAM2B,mBAAmB,SAASjP,GAAG,GAAGoD,SAAS8L,gBAAgBlP,EAAEjF,OAAO,CAAC,IAAIgF,EAAEC,EAAEjF,OAAOoU,QAAQ,MAAM,GAAGpP,EAAE,CAAC,IAAIc,EAAEd,EAAEmE,cAAc,cAAc,GAAGrD,EAAE,CAAC,IAAIvB,EAAEzF,KAAKmV,MAAM7F,KAAKiG,iBAAiB,cAAcnP,EAAEuI,MAAMlP,UAAU+M,QAAQzM,KAAK0F,EAAEuB,GAAGZ,GAAG,IAAIpG,KAAKsT,WAAWlN,EAAEpG,KAAKwV,mBAAmBC,oBAAoB,WAAW,IAAItP,EAAEnG,KAAKmV,MAAM7F,KAAKjF,cAAc,aAAalE,GAAGA,EAAEuP,UAAUC,OAAO,WAAWH,YAAY,WAAW,IAAIrP,EAAEnG,KAAKmV,MAAM7F,KAAKiG,iBAAiB,cAAcvV,KAAKsT,YAAY,GAAGnN,EAAE,CAAC,IAAID,EAAEC,EAAEmP,QAAQ,MAAMnP,EAAEyP,QAAQ1P,IAAIlG,KAAKyV,sBAAsBvP,EAAEwP,UAAU9N,IAAI,aAAaiO,oBAAoB,WAAW7V,KAAKsT,WAAWpO,KAAKD,IAAIjF,KAAKsT,WAAW,EAAE,GAAGtT,KAAKwV,eAAeM,gBAAgB,WAAW9V,KAAKsT,WAAWpO,KAAKC,IAAInF,KAAKsT,WAAW,EAAEtT,KAAKmV,MAAM7F,KAAKiG,iBAAiB,cAAclU,OAAO,GAAGrB,KAAKwV,eAAeR,iBAAiB,WAAWhV,KAAKsT,WAAW,EAAEtT,KAAKwV,eAAeO,gBAAgB,WAAW/V,KAAKsT,WAAWtT,KAAKgW,IAAIT,iBAAiB,cAAclU,OAAO,EAAErB,KAAKwV,eAAeS,gBAAgB,SAAS9P,GAAGnG,KAAKoU,kBAAkBpU,KAAKoU,iBAAiBjO,IAAIyO,YAAY,WAAW5U,KAAKoT,SAASpT,KAAK6U,OAAOlU,SAAS,IAAIiK,QAAO,SAAUzE,GAAG,QAAQA,KAAKA,EAAEqL,uBAAuBlL,GAAGU,EAAE,IAAIA,EAAE,IAAIyD,EAAEzD,EAAE,IAAIkB,EAAElB,EAAEZ,EAAEqE,GAAGjE,EAAErG,OAAOmG,EAAE1D,EAATzC,CAAYkG,GAAE,WAAY,IAAIF,EAAED,EAAElG,KAAKgH,EAAEd,EAAEgH,eAAezH,EAAES,EAAEiH,MAAMC,IAAIpG,EAAE,OAAOd,EAAE2N,sBAAsB3N,EAAE2M,UAAUpN,EAAE,UAAUS,EAAEgQ,GAAG,CAAC5H,WAAW,CAAC,CAACnK,KAAK,UAAUoK,QAAQ,iBAAiBlO,MAAM6F,EAAE8N,YAAY3H,KAAKoC,WAAW,mBAAmB0H,UAAU,CAACC,MAAK,KAAM/I,YAAY,kCAAkCO,MAAM,CAAC1H,EAAE8N,YAAY5H,KAAKlG,EAAEqO,kBAAkBjH,MAAM,CAACE,IAAI,uBAAuBC,GAAGvH,EAAEmQ,GAAG,GAAG,CAACnQ,EAAEoO,wBAAwBpO,EAAE+P,mBAAmB,UAAU/P,EAAE+N,oBAAmB,GAAI,CAACxO,EAAE,OAAO,CAAC6H,MAAM,CAAC,eAAc,EAAGgJ,OAAO,KAAK,CAACpQ,EAAEqQ,GAAG,YAAY,KAAK9Q,EAAE,MAAM,CAAC6I,WAAW,CAAC,CAACnK,KAAK,OAAOoK,QAAQ,SAASlO,MAAM6F,EAAE0N,oBAAoB1N,EAAE2M,UAAUpE,WAAW,mCAAmC,CAACtK,KAAK,gBAAgBoK,QAAQ,kBAAkBlO,MAAM6F,EAAEgP,UAAUzG,WAAW,cAAcpB,YAAY,cAAcO,MAAM,CAAC,oBAAoB1H,EAAEmN,QAAQ5F,GAAG,CAAC+I,QAAQ,CAAC,SAASrQ,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQtG,EAAEuQ,GAAGtQ,EAAEuQ,QAAQ,KAAK,GAAGvQ,EAAE1E,IAAI,CAAC,KAAK,aAAiB0E,EAAEwQ,SAASxQ,EAAEyQ,UAAUzQ,EAAE0Q,QAAQ1Q,EAAE2Q,QAAxC,MAAsD3Q,EAAEgI,iBAAiBjI,EAAE2P,oBAAoB1P,KAAK,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQtG,EAAEuQ,GAAGtQ,EAAEuQ,QAAQ,OAAO,GAAGvQ,EAAE1E,IAAI,CAAC,OAAO,eAAmB0E,EAAEwQ,SAASxQ,EAAEyQ,UAAUzQ,EAAE0Q,QAAQ1Q,EAAE2Q,QAAxC,MAAsD3Q,EAAEgI,iBAAiBjI,EAAE4P,gBAAgB3P,KAAK,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQtG,EAAEuQ,GAAGtQ,EAAEuQ,QAAQ,MAAM,EAAEvQ,EAAE1E,IAAI,OAAO,KAAK0E,EAAEyQ,UAAUzQ,EAAEgI,iBAAiBjI,EAAE2P,oBAAoB1P,IAAI,MAAM,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQtG,EAAEuQ,GAAGtQ,EAAEuQ,QAAQ,eAAU,EAAOvQ,EAAE1E,SAAI,IAAa0E,EAAEwQ,SAASxQ,EAAEyQ,UAAUzQ,EAAE0Q,QAAQ1Q,EAAE2Q,QAAxC,MAAsD3Q,EAAEgI,iBAAiBjI,EAAE8O,iBAAiB7O,KAAK,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQtG,EAAEuQ,GAAGtQ,EAAEuQ,QAAQ,iBAAY,EAAOvQ,EAAE1E,SAAI,IAAa0E,EAAEwQ,SAASxQ,EAAEyQ,UAAUzQ,EAAE0Q,QAAQ1Q,EAAE2Q,QAAxC,MAAsD3Q,EAAEgI,iBAAiBjI,EAAE6P,gBAAgB5P,KAAK,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQtG,EAAEuQ,GAAGtQ,EAAEuQ,QAAQ,MAAM,GAAGvQ,EAAE1E,IAAI,CAAC,MAAM,YAAgB0E,EAAEwQ,SAASxQ,EAAEyQ,UAAUzQ,EAAE0Q,QAAQ1Q,EAAE2Q,QAAxC,MAAsD3Q,EAAEgI,iBAAiBjI,EAAEgP,UAAU/O,QAAQ,CAACV,EAAE,SAAS,CAAC4H,YAAY,+BAA+BO,OAAOzH,EAAE,GAAGA,EAAED,EAAEgN,cAAa,EAAG/M,EAAE,uCAAuCD,EAAE8M,UAAU7M,EAAE,oCAAoCD,EAAE+M,QAAQ9M,GAAGmH,MAAM,CAAC,aAAapH,EAAEiN,UAAU,gBAAgB,OAAO,gBAAgBjN,EAAEqN,SAAS,gBAAgBrN,EAAEmN,QAAQ5F,GAAG,CAACC,MAAM,SAASvH,GAAG,OAAOA,EAAEgI,iBAAiBjI,EAAE6O,WAAW5O,IAAIqQ,QAAQ,SAASrQ,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQtG,EAAEuQ,GAAGtQ,EAAEuQ,QAAQ,QAAQ,GAAGvQ,EAAE1E,IAAI,CAAC,IAAI,cAAkB0E,EAAEwQ,SAASxQ,EAAEyQ,UAAUzQ,EAAE0Q,QAAQ1Q,EAAE2Q,QAAxC,MAAsD3Q,EAAEgI,iBAAiBjI,EAAE6O,WAAW5O,OAAO,CAACD,EAAE2H,GAAG,SAAS3H,EAAE6H,GAAG7H,EAAE8M,WAAW,UAAU9M,EAAE2H,GAAG,KAAKpI,EAAE,MAAM,CAAC6I,WAAW,CAAC,CAACnK,KAAK,OAAOoK,QAAQ,SAASlO,MAAM6F,EAAEmN,OAAO5E,WAAW,WAAWsI,IAAI,OAAO1J,YAAY,oBAAoBO,MAAM,CAAC,QAAQ1H,EAAE4M,UAAU,CAACF,KAAK1M,EAAEmN,SAAS2D,MAAM,CAACC,YAAY/Q,EAAEsM,QAAQ,KAAK0E,UAAUhR,EAAEqM,QAAQ,MAAMjF,MAAM,CAAC6J,SAAS,MAAM1J,GAAG,CAAC2J,UAAUlR,EAAEkP,qBAAqB,CAAC3P,EAAE,MAAM,CAAC4H,YAAY,0BAA0B2J,MAAM,CAACK,UAAU,cAAcnR,EAAEsM,QAAQ,kBAAkBtM,EAAEsN,aAAa,QAAQtN,EAAEuN,YAAY,kBAAkB,OAAOvN,EAAE2H,GAAG,KAAKpI,EAAE,KAAK,CAAC6H,MAAM,CAACpE,GAAGhD,EAAEqN,SAAS4D,SAAS,OAAO,CAACjR,EAAEmN,OAAO,CAACnN,EAAEqQ,GAAG,YAAYrQ,EAAE8H,MAAM,SAAS,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmB9F,EAAEtF,GAAGsF,IAAI1B,GAAGN,EAAEtD,EAAE4D,EAAEpG,SAAS,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,SAAS+F,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,KAAqC,SAAS+F,EAAED,GAAGC,EAAE/F,QAAQ,EAAQ,MAAoC,SAAS+F,EAAED,EAAEc,GAAG,aAAa,IAAIvB,EAAEuB,EAAE,IAAIA,EAAEZ,EAAEX,GAAG7C,GAAG,SAASuD,EAAED,EAAEc,GAAG,IAAIvB,EAAEuB,EAAE,GAAGZ,EAAEY,EAAE,GAAGP,EAAEO,EAAE,GAAGlE,EAAEkE,EAAE,GAAGpE,EAAEoE,EAAE,IAAIT,EAAES,EAAE,IAAId,EAAET,GAAE,GAAI,IAAIrE,EAAEgF,EAAEK,GAAGJ,EAAED,EAAEtD,GAAGwD,EAAEF,EAAExD,GAAG6H,EAAErE,EAAEG,GAAGL,EAAE4C,KAAK,CAAC3C,EAAE/E,EAAE,yDAAyDA,EAAE,aAAaA,EAAE,qCAAqCiF,EAAE,wBAAwBC,EAAE,4BAA4BmE,EAAE,80JAA80J,KAAKtE,EAAE/F,QAAQ8F,M,oBC5CjueD,OAAnPhC,EAAO7D,QAAsQ,SAAS8F,GAAG,IAAIC,EAAE,GAAG,SAASC,EAAEK,GAAG,GAAGN,EAAEM,GAAG,OAAON,EAAEM,GAAGrG,QAAQ,IAAI0C,EAAEqD,EAAEM,GAAG,CAACrF,EAAEqF,EAAEJ,GAAE,EAAGjG,QAAQ,IAAI,OAAO8F,EAAEO,GAAG1G,KAAK+C,EAAE1C,QAAQ0C,EAAEA,EAAE1C,QAAQgG,GAAGtD,EAAEuD,GAAE,EAAGvD,EAAE1C,QAAQ,OAAOgG,EAAEE,EAAEJ,EAAEE,EAAEG,EAAEJ,EAAEC,EAAEI,EAAE,SAASN,EAAEC,EAAEM,GAAGL,EAAEK,EAAEP,EAAEC,IAAIhG,OAAOd,eAAe6G,EAAEC,EAAE,CAAC5E,YAAW,EAAGzB,IAAI2G,KAAKL,EAAEtD,EAAE,SAASoD,GAAG,oBAAoBQ,QAAQA,OAAOC,aAAaxG,OAAOd,eAAe6G,EAAEQ,OAAOC,YAAY,CAACtG,MAAM,WAAWF,OAAOd,eAAe6G,EAAE,aAAa,CAAC7F,OAAM,KAAM+F,EAAEF,EAAE,SAASA,EAAEC,GAAG,GAAG,EAAEA,IAAID,EAAEE,EAAEF,IAAI,EAAEC,EAAE,OAAOD,EAAE,GAAG,EAAEC,GAAG,iBAAiBD,GAAGA,GAAGA,EAAExF,WAAW,OAAOwF,EAAE,IAAIO,EAAEtG,OAAOyG,OAAO,MAAM,GAAGR,EAAEtD,EAAE2D,GAAGtG,OAAOd,eAAeoH,EAAE,UAAU,CAAClF,YAAW,EAAGlB,MAAM6F,IAAI,EAAEC,GAAG,iBAAiBD,EAAE,IAAI,IAAIpD,KAAKoD,EAAEE,EAAEI,EAAEC,EAAE3D,EAAE,SAASqD,GAAG,OAAOD,EAAEC,IAAIU,KAAK,KAAK/D,IAAI,OAAO2D,GAAGL,EAAEA,EAAE,SAASF,GAAG,IAAIC,EAAED,GAAGA,EAAExF,WAAW,WAAW,OAAOwF,EAAEvF,SAAS,WAAW,OAAOuF,GAAG,OAAOE,EAAEI,EAAEL,EAAE,IAAIA,GAAGA,GAAGC,EAAEK,EAAE,SAASP,EAAEC,GAAG,OAAOhG,OAAOV,UAAUqH,eAAe/G,KAAKmG,EAAEC,IAAIC,EAAEW,EAAE,SAASX,EAAEA,EAAEY,EAAE,IAAv5B,CAA45B,CAACjC,EAAE,SAASmB,EAAEC,EAAEC,GAAG,aAAa,SAASK,EAAEP,EAAEC,EAAEC,EAAEK,EAAE3D,EAAE1B,EAAEwB,EAAEoE,GAAG,IAAIT,EAAE2B,EAAE,mBAAmBhC,EAAEA,EAAEe,QAAQf,EAAE,GAAGC,IAAI+B,EAAEhB,OAAOf,EAAE+B,EAAEf,gBAAgBf,EAAE8B,EAAEd,WAAU,GAAIX,IAAIyB,EAAEb,YAAW,GAAIjG,IAAI8G,EAAEZ,SAAS,UAAUlG,GAAGwB,GAAG2D,EAAE,SAASL,IAAIA,EAAEA,GAAGlG,KAAKuH,QAAQvH,KAAKuH,OAAOC,YAAYxH,KAAKyH,QAAQzH,KAAKyH,OAAOF,QAAQvH,KAAKyH,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBxB,EAAEwB,qBAAqB5E,GAAGA,EAAE/C,KAAKC,KAAKkG,GAAGA,GAAGA,EAAEyB,uBAAuBzB,EAAEyB,sBAAsBC,IAAIhF,IAAIsF,EAAEL,aAAatB,GAAGzD,IAAIyD,EAAES,EAAE,WAAWlE,EAAE/C,KAAKC,KAAKA,KAAK8H,MAAMC,SAASC,aAAalF,GAAGyD,EAAE,GAAG2B,EAAEb,WAAW,CAACa,EAAED,cAAc1B,EAAE,IAAIF,EAAE6B,EAAEhB,OAAOgB,EAAEhB,OAAO,SAAShB,EAAEC,GAAG,OAAOI,EAAExG,KAAKoG,GAAGE,EAAEH,EAAEC,QAAQ,CAAC,IAAIK,EAAE0B,EAAEC,aAAaD,EAAEC,aAAa3B,EAAE,GAAG4B,OAAO5B,EAAED,GAAG,CAACA,GAAG,MAAM,CAACnG,QAAQ8F,EAAEe,QAAQiB,GAAG9B,EAAEI,EAAEL,EAAE,KAAI,WAAY,OAAOM,MAAMzB,EAAE,SAASkB,EAAEC,EAAEC,GAAG,aAAaF,EAAE9F,QAAQ,SAAS8F,GAAG,IAAIC,EAAE,GAAG,OAAOA,EAAExG,SAAS,WAAW,OAAOK,KAAKqI,KAAI,SAAUlC,GAAG,IAAIC,EAAE,SAASF,EAAEC,GAAG,IAAyXvD,EAAEoE,EAAET,EAAzXH,EAAEF,EAAE,IAAI,GAAGO,EAAEP,EAAE,GAAG,IAAIO,EAAE,OAAOL,EAAE,GAAGD,GAAG,mBAAmBmC,KAAK,CAAC,IAAIxF,GAAGF,EAAE6D,EAAEO,EAAEsB,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU9F,MAAM2D,EAAE,+DAA+D6B,OAAOpB,GAAG,OAAOoB,OAAO7B,EAAE,QAAQnF,EAAEqF,EAAEkC,QAAQN,KAAI,SAAUnC,GAAG,MAAM,iBAAiBkC,OAAO3B,EAAEmC,YAAY,IAAIR,OAAOlC,EAAE,UAAU,MAAM,CAACE,GAAGgC,OAAOhH,GAAGgH,OAAO,CAACtF,IAAI+F,KAAK,MAAgB,MAAM,CAACzC,GAAGyC,KAAK,MAA5Z,CAAma1C,EAAED,GAAG,OAAOC,EAAE,GAAG,UAAUiC,OAAOjC,EAAE,GAAG,MAAMiC,OAAOhC,EAAE,KAAKA,KAAKyC,KAAK,KAAK1C,EAAE/E,EAAE,SAAS8E,EAAEE,EAAEK,GAAG,iBAAiBP,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAIpD,EAAE,GAAG,GAAG2D,EAAE,IAAI,IAAIrF,EAAE,EAAEA,EAAEpB,KAAKqB,OAAOD,IAAI,CAAC,IAAIwB,EAAE5C,KAAKoB,GAAG,GAAG,MAAMwB,IAAIE,EAAEF,IAAG,GAAI,IAAI,IAAIoE,EAAE,EAAEA,EAAEd,EAAE7E,OAAO2F,IAAI,CAAC,IAAIT,EAAE,GAAG6B,OAAOlC,EAAEc,IAAIP,GAAG3D,EAAEyD,EAAE,MAAMH,IAAIG,EAAE,GAAGA,EAAE,GAAG,GAAG6B,OAAOhC,EAAE,SAASgC,OAAO7B,EAAE,IAAIA,EAAE,GAAGH,GAAGD,EAAE2C,KAAKvC,MAAMJ,IAAImR,GAAG,SAASpR,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAqCmX,IAAI,SAASrR,EAAEC,EAAEC,GAAG,aAAa,IAAIK,EAAEL,EAAE,IAAIA,EAAEA,EAAEK,GAAG7D,GAAG4U,IAAI,SAAStR,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK0C,KAAK,CAAC5C,EAAE9E,EAAE,ioCAAioC,KAAK8E,EAAE9F,QAAQ+F,GAAGsR,GAAG,SAASvR,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAI,IAAIK,EAAEL,EAAE,GAAGtD,EAAEsD,EAAEA,EAAEK;;;;;;;;;;;;;;;;;;;;;GAqB7uIN,EAAEvD,EAAE,CAAC8U,OAAO,WAAW1X,KAAK6U,OAAOlU,SAAS,KAAKX,KAAKqM,KAAK1I,SAASb,EAAEF,EAAE8O,KAAKC,KAAK,GAAGvJ,OAAOpI,KAAK+H,SAAS5D,KAAK,2DAA2DnE,MAAMA,KAAK2X,WAAW3X,KAAKgW,IAAIL,WAAWb,aAAa,WAAW9U,KAAKqM,KAAKrM,KAAK4X,WAAWzV,KAAK,WAAW,MAAM,CAACkK,KAAKrM,KAAK4X,YAAYnL,SAAS,CAACoL,WAAW,WAAW,OAAO7X,KAAKqM,MAAMrM,KAAKqM,KAAK1I,OAAOtC,OAAO,KAAKyL,QAAQ,CAAC8K,QAAQ,WAAW,OAAO5X,KAAK6U,OAAOlU,QAAQX,KAAK6U,OAAOlU,QAAQ,GAAG0L,KAAK1I,OAAO,OAAOqF,GAAG,SAAS9C,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAsC6I,EAAE,SAAS/C,EAAEC,EAAEC,GAAG,aAAa,SAASK,EAAEP,EAAEC,GAAG,IAAI,IAAIC,EAAE,GAAGK,EAAE,GAAG3D,EAAE,EAAEA,EAAEqD,EAAE9E,OAAOyB,IAAI,CAAC,IAAI1B,EAAE+E,EAAErD,GAAGF,EAAExB,EAAE,GAAG4F,EAAE,CAACkC,GAAGhD,EAAE,IAAIpD,EAAEqG,IAAI/H,EAAE,GAAGgI,MAAMhI,EAAE,GAAGiI,UAAUjI,EAAE,IAAIqF,EAAE7D,GAAG6D,EAAE7D,GAAG0G,MAAMR,KAAK9B,GAAGZ,EAAE0C,KAAKrC,EAAE7D,GAAG,CAACsG,GAAGtG,EAAE0G,MAAM,CAACtC,KAAK,OAAOZ,EAAEA,EAAEtD,EAAEqD,GAAGC,EAAEI,EAAEL,EAAE,WAAU,WAAY,OAAOY,KAAK,IAAIjE,EAAE,oBAAoByG,SAAS,GAAG,oBAAoBC,OAAOA,QAAQ1G,EAAE,MAAM,IAAI2G,MAAM,2JAA2J,IAAIrI,EAAE,GAAGwB,EAAEE,IAAIyG,SAASG,MAAMH,SAASI,qBAAqB,QAAQ,IAAI3C,EAAE,KAAKT,EAAE,EAAE2B,GAAE,EAAG7B,EAAE,aAAaG,EAAE,KAAKlH,EAAE,oBAAoBsK,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAAShD,EAAEb,EAAEC,EAAEC,EAAEtD,GAAGoF,EAAE9B,EAAEI,EAAE1D,GAAG,GAAG,IAAIF,EAAE6D,EAAEP,EAAEC,GAAG,OAAOuE,EAAE9H,GAAG,SAASuD,GAAG,IAAI,IAAIC,EAAE,GAAGtD,EAAE,EAAEA,EAAEF,EAAEvB,OAAOyB,IAAI,CAAC,IAAIkE,EAAEpE,EAAEE,IAAIyD,EAAEnF,EAAE4F,EAAEkC,KAAKc,OAAO5D,EAAE0C,KAAKvC,GAAsB,IAAnBJ,EAAEuE,EAAE9H,EAAE6D,EAAEP,EAAEC,IAAIvD,EAAE,GAAOE,EAAE,EAAEA,EAAEsD,EAAE/E,OAAOyB,IAAI,CAAC,IAAIyD,EAAE,GAAG,KAAKA,EAAEH,EAAEtD,IAAIkH,KAAK,CAAC,IAAI,IAAI9B,EAAE,EAAEA,EAAE3B,EAAE+C,MAAMjI,OAAO6G,IAAI3B,EAAE+C,MAAMpB,YAAY9G,EAAEmF,EAAE2C,OAAO,SAASwB,EAAExE,GAAG,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAE7E,OAAO8E,IAAI,CAAC,IAAIC,EAAEF,EAAEC,GAAGM,EAAErF,EAAEgF,EAAE8C,IAAI,GAAGzC,EAAE,CAACA,EAAEuD,OAAO,IAAI,IAAIlH,EAAE,EAAEA,EAAE2D,EAAE6C,MAAMjI,OAAOyB,IAAI2D,EAAE6C,MAAMxG,GAAGsD,EAAEkD,MAAMxG,IAAI,KAAKA,EAAEsD,EAAEkD,MAAMjI,OAAOyB,IAAI2D,EAAE6C,MAAMR,KAAKmB,EAAE7D,EAAEkD,MAAMxG,KAAK2D,EAAE6C,MAAMjI,OAAO+E,EAAEkD,MAAMjI,SAASoF,EAAE6C,MAAMjI,OAAO+E,EAAEkD,MAAMjI,YAAY,CAAC,IAAIuB,EAAE,GAAG,IAAIE,EAAE,EAAEA,EAAEsD,EAAEkD,MAAMjI,OAAOyB,IAAIF,EAAEkG,KAAKmB,EAAE7D,EAAEkD,MAAMxG,KAAK1B,EAAEgF,EAAE8C,IAAI,CAACA,GAAG9C,EAAE8C,GAAGc,KAAK,EAAEV,MAAM1G,KAAK,SAASC,IAAI,IAAIqD,EAAEqD,SAASW,cAAc,SAAS,OAAOhE,EAAEiE,KAAK,WAAWvH,EAAEwH,YAAYlE,GAAGA,EAAE,SAAS+D,EAAE/D,GAAG,IAAIC,EAAEC,EAAEK,EAAE8C,SAASc,cAAc,2BAA2BnE,EAAEgD,GAAG,MAAM,GAAGzC,EAAE,CAAC,GAAGyB,EAAE,OAAO7B,EAAEI,EAAE6D,WAAWC,YAAY9D,GAAG,GAAGnH,EAAE,CAAC,IAAIwD,EAAEyD,IAAIE,EAAEO,IAAIA,EAAEnE,KAAKsD,EAAEwE,EAAE9D,KAAK,KAAKJ,EAAE3D,GAAE,GAAIsD,EAAEuE,EAAE9D,KAAK,KAAKJ,EAAE3D,GAAE,QAAS2D,EAAE5D,IAAIsD,EAAEqE,EAAE3D,KAAK,KAAKJ,GAAGL,EAAE,WAAWK,EAAE6D,WAAWC,YAAY9D,IAAI,OAAON,EAAED,GAAG,SAASO,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAE0C,MAAMjD,EAAEiD,KAAK1C,EAAE2C,QAAQlD,EAAEkD,OAAO3C,EAAE4C,YAAYnD,EAAEmD,UAAU,OAAOlD,EAAED,EAAEO,QAAQL,KAAK,IAAIE,EAAEmE,GAAGnE,EAAE,GAAG,SAASJ,EAAEC,GAAG,OAAOG,EAAEJ,GAAGC,EAAEG,EAAEsE,OAAOC,SAAShC,KAAK,QAAQ,SAAS8B,EAAEzE,EAAEC,EAAEC,EAAEK,GAAG,IAAI3D,EAAEsD,EAAE,GAAGK,EAAE0C,IAAI,GAAGjD,EAAE4E,WAAW5E,EAAE4E,WAAWC,QAAQN,EAAEtE,EAAErD,OAAO,CAAC,IAAI1B,EAAEmI,SAASyB,eAAelI,GAAGF,EAAEsD,EAAE+E,WAAWrI,EAAEuD,IAAID,EAAEqE,YAAY3H,EAAEuD,IAAIvD,EAAEvB,OAAO6E,EAAEgF,aAAa9J,EAAEwB,EAAEuD,IAAID,EAAEkE,YAAYhJ,IAAI,SAASoJ,EAAEtE,EAAEC,GAAG,IAAIC,EAAED,EAAEgD,IAAI1C,EAAEN,EAAEiD,MAAMtG,EAAEqD,EAAEkD,UAAU,GAAG5C,GAAGP,EAAEiF,aAAa,QAAQ1E,GAAGD,EAAE4E,OAAOlF,EAAEiF,aAAa,kBAAkBhF,EAAE+C,IAAIpG,IAAIsD,GAAG,mBAAmBtD,EAAE6F,QAAQ,GAAG,MAAMvC,GAAG,uDAAuDkC,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU5F,MAAM,OAAOoD,EAAE4E,WAAW5E,EAAE4E,WAAWC,QAAQ3E,MAAM,CAAC,KAAKF,EAAEmF,YAAYnF,EAAEqE,YAAYrE,EAAEmF,YAAYnF,EAAEkE,YAAYb,SAASyB,eAAe5E,OAAOkF,GAAG,SAASpF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAuCmL,GAAG,SAASrF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAiDoL,GAAG,SAAStF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAA4B0X,GAAG,SAAS5R,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIK,EAAEL,EAAE,IAAItD,GAAGsD,EAAE,IAAI,SAASF,EAAEC,GAAG,IAAI,IAAIC,EAAEF,EAAE6R,QAAQ3R,GAAG,CAAC,GAAGA,EAAE2B,SAAS5D,OAAOgC,EAAE,OAAOC,EAAEA,EAAEA,EAAE2R,WAAW5R,EAAEvD,EAAE,CAACoV,OAAO,CAACvR,EAAE7D,GAAGzB,MAAM,CAACiL,KAAK,CAACjC,KAAK4I,OAAOpS,QAAQ,IAAIsX,MAAM,CAAC9N,KAAK4I,OAAOpS,QAAQ,IAAIuX,gBAAgB,CAAC/N,KAAKU,QAAQlK,SAAQ,GAAIwS,UAAU,CAAChJ,KAAK4I,OAAOpS,QAAQ,KAAK8L,SAAS,CAAC0L,UAAU,WAAW,IAAI,OAAO,IAAItL,IAAI7M,KAAKoM,MAAM,MAAMlG,GAAG,OAAM,KAAM4G,QAAQ,CAACsL,QAAQ,SAASlS,GAAG,GAAGlG,KAAKiV,MAAM,QAAQ/O,GAAGlG,KAAKkY,gBAAgB,CAAC,IAAI/R,EAAErD,EAAE9C,KAAK,WAAWmG,GAAGA,EAAE+O,WAAW/O,EAAE+O,iBAAiBmD,GAAG,SAASnS,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAmCkY,EAAE,SAASpS,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAQmY,GAAG,SAASrS,EAAEC,EAAEC,GAAG,IAAIK,EAAEL,EAAE,KAAK,iBAAiBK,IAAIA,EAAE,CAAC,CAACP,EAAE9E,EAAEqF,EAAE,MAAMA,EAAEkF,SAASzF,EAAE9F,QAAQqG,EAAEkF,SAAQ,EAAGvF,EAAE,GAAGzF,SAAS,WAAW8F,GAAE,EAAG,KAAKsF,EAAE,SAAS7F,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAwCoY,GAAG,SAAStS,EAAEC,KAAKsS,GAAG,SAASvS,EAAEC,EAAEC,GAAG,aAAa,IAAIK,EAAE,CAACtC,KAAK,eAAe6T,OAAO,CAAC5R,EAAE,IAAIxD,GAAGzB,MAAM,CAACkO,SAAS,CAAClF,KAAKU,QAAQlK,SAAQ,IAAK8L,SAAS,CAACiM,YAAY,WAAW,OAAO1Y,KAAKqP,YAAYvM,GAAGsD,EAAE,KAAKA,EAAE,IAAIhF,EAAEgF,EAAE,IAAIxD,EAAEwD,EAAEA,EAAEhF,GAAG4F,EAAE7G,OAAO2C,EAAEF,EAATzC,CAAYsG,GAAE,WAAY,IAAIP,EAAElG,KAAKmG,EAAED,EAAEgH,eAAe9G,EAAEF,EAAEiH,MAAMC,IAAIjH,EAAE,OAAOC,EAAE,KAAK,CAACwH,MAAM,CAAC,mBAAmB1H,EAAEmJ,WAAW,CAACjJ,EAAE,SAAS,CAACiH,YAAY,gBAAgBO,MAAM,CAAC+K,UAAUzS,EAAEwS,aAAapL,MAAM,CAAC,aAAapH,EAAEiN,WAAW1F,GAAG,CAACC,MAAMxH,EAAEkS,UAAU,CAAChS,EAAE,OAAO,CAACiH,YAAY,sBAAsBO,MAAM,CAAC1H,EAAEiS,UAAU,2BAA2BjS,EAAEkG,MAAM4K,MAAM,CAAC4B,gBAAgB1S,EAAEiS,UAAU,OAAOjS,EAAEkG,KAAK,IAAI,QAAQlG,EAAE2H,GAAG,KAAK3H,EAAE+R,MAAM7R,EAAE,IAAI,CAACA,EAAE,SAAS,CAACiH,YAAY,wBAAwB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+R,OAAO,cAAc/R,EAAE2H,GAAG,KAAKzH,EAAE,MAAMF,EAAE2H,GAAG,KAAKzH,EAAE,OAAO,CAACiH,YAAY,0BAA0BgB,SAAS,CAACwK,YAAY3S,EAAE6H,GAAG7H,EAAEmG,WAAWnG,EAAE2R,WAAWzR,EAAE,IAAI,CAACiH,YAAY,0BAA0BgB,SAAS,CAACwK,YAAY3S,EAAE6H,GAAG7H,EAAEmG,SAASjG,EAAE,OAAO,CAACiH,YAAY,uBAAuB,CAACnH,EAAE2H,GAAG3H,EAAE6H,GAAG7H,EAAEmG,SAASnG,EAAE2H,GAAG,KAAK3H,EAAE8H,MAAM,OAAO,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBpL,EAAEA,GAAGA,IAAIoE,GAAGb,EAAEvD,EAAEoE,EAAE5G,SAAS0Y,GAAG,SAAS5S,EAAEC,EAAEC,GAAG,aAAaA,EAAEtD,EAAEqD,GAAG,IAAIM,EAAEL,EAAE;;;;;;;;;;;;;;;;;;;;;GAqB7vKD,EAAExF,QAAQ8F,EAAE7D,M,kBC1Cf,SAASmW,EAASC,GAChB,MAA6B,mBAAlBA,EAAQ3Y,QACjB4Y,QAAQtH,KAAK,2CAA4CqH,EAAQvK,WAAY,uBACtE,GA0BX,SAASyK,EAASC,GAChB,YAA0C,IAA5BA,EAAMC,mBAAqCD,EAAMC,kBAAkBC,UAGzEpV,EAAO7D,QAAU,CACzByG,KAAM,SAAUyS,EAAIN,EAASG,GAC3B,IAAKJ,EAASC,GAAU,OAGxB,SAASO,EAAQpT,GACf,GAAKgT,EAAMK,QAAX,CAGA,IAAIC,EAAWtT,EAAEuT,MAASvT,EAAEwT,cAAgBxT,EAAEwT,eAC9CF,GAAYA,EAASpY,OAAS,GAAKoY,EAASG,QAAQzT,EAAEjF,QAElDoY,EAAGO,SAAS1T,EAAEjF,SApCxB,SAAiB4Y,EAAWL,GAC1B,IAAKK,IAAcL,EACjB,OAAO,EAET,IAAK,IAAIrY,EAAI,EAAG0E,EAAM2T,EAASpY,OAAQD,EAAI0E,EAAK1E,IAC9C,IACE,GAAI0Y,EAAUD,SAASJ,EAASrY,IAC9B,OAAO,EAET,GAAIqY,EAASrY,GAAGyY,SAASC,GACvB,OAAO,EAET,MAAM3T,GACN,OAAO,EAIX,OAAO,EAmB0B4T,CAAQZ,EAAMK,QAAQM,UAAWL,IAE9DH,EAAGU,oBAAoBC,SAAS9T,IAIlCmT,EAAGU,oBAAsB,CACvBT,QAASA,EACTU,SAAUjB,EAAQ3Y,OAEpB,MAAM6Z,EAAe,iBAAkB3Q,SAASsI,gBAAkB,aAAe,SAChFqH,EAASC,IAAU5P,SAAS4Q,iBAAiBD,EAAcX,IAG9Da,OAAQ,SAAUd,EAAIN,GAChBD,EAASC,KAAUM,EAAGU,oBAAoBC,SAAWjB,EAAQ3Y,QAGnEga,OAAQ,SAAUf,EAAIN,EAASG,GAE7B,MAAMe,EAAe,iBAAkB3Q,SAASsI,gBAAkB,aAAe,SAChFqH,EAASC,IAAUG,EAAGU,qBAAuBzQ,SAAS+Q,oBAAoBJ,EAAcZ,EAAGU,oBAAoBT,gBACzGD,EAAGU","file":"vendors~editor-rich.js?v=934bbece9404fd23da49","sourcesContent":["var DESCRIPTORS = require('../internals/descriptors');\nvar defineProperty = require('../internals/object-define-property').f;\n\nvar FunctionPrototype = Function.prototype;\nvar FunctionPrototypeToString = FunctionPrototype.toString;\nvar nameRE = /^\\s*function ([^ (]*)/;\nvar NAME = 'name';\n\n// Function instances `.name` property\n// https://tc39.github.io/ecma262/#sec-function-instances-name\nif (DESCRIPTORS && !(NAME in FunctionPrototype)) {\n defineProperty(FunctionPrototype, NAME, {\n configurable: true,\n get: function () {\n try {\n return FunctionPrototypeToString.call(this).match(nameRE)[1];\n } catch (error) {\n return '';\n }\n }\n });\n}\n","\"use strict\";\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.regexp.to-string\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getGettextBuilder = getGettextBuilder;\n\nvar _nodeGettext = _interopRequireDefault(require(\"node-gettext\"));\n\nvar _ = require(\".\");\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nvar GettextBuilder = /*#__PURE__*/function () {\n function GettextBuilder() {\n _classCallCheck(this, GettextBuilder);\n\n this.translations = {};\n this.debug = false;\n }\n\n _createClass(GettextBuilder, [{\n key: \"setLanguage\",\n value: function setLanguage(language) {\n this.locale = language;\n return this;\n }\n }, {\n key: \"detectLocale\",\n value: function detectLocale() {\n return this.setLanguage((0, _.getLanguage)());\n }\n }, {\n key: \"addTranslation\",\n value: function addTranslation(language, data) {\n this.translations[language] = data;\n return this;\n }\n }, {\n key: \"enableDebugMode\",\n value: function enableDebugMode() {\n this.debug = true;\n return this;\n }\n }, {\n key: \"build\",\n value: function build() {\n return new GettextWrapper(this.locale || 'en', this.translations, this.debug);\n }\n }]);\n\n return GettextBuilder;\n}();\n\nvar GettextWrapper = /*#__PURE__*/function () {\n function GettextWrapper(locale, data, debug) {\n _classCallCheck(this, GettextWrapper);\n\n this.gt = new _nodeGettext.default({\n debug: debug,\n sourceLocale: 'en'\n });\n\n for (var key in data) {\n this.gt.addTranslations(key, 'messages', data[key]);\n }\n\n this.gt.setLocale(locale);\n }\n\n _createClass(GettextWrapper, [{\n key: \"subtitudePlaceholders\",\n value: function subtitudePlaceholders(translated, vars) {\n return translated.replace(/{([^{}]*)}/g, function (a, b) {\n var r = vars[b];\n\n if (typeof r === 'string' || typeof r === 'number') {\n return r.toString();\n } else {\n return a;\n }\n });\n }\n }, {\n key: \"gettext\",\n value: function gettext(original) {\n var placeholders = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n return this.subtitudePlaceholders(this.gt.gettext(original), placeholders);\n }\n }, {\n key: \"ngettext\",\n value: function ngettext(singular, plural, count) {\n var placeholders = arguments.length > 3 && arguments[3] !== undefined ? arguments[3] : {};\n return this.subtitudePlaceholders(this.gt.ngettext(singular, plural, count).replace(/%n/g, count.toString()), placeholders);\n }\n }]);\n\n return GettextWrapper;\n}();\n\nfunction getGettextBuilder() {\n return new GettextBuilder();\n}\n//# sourceMappingURL=gettext.js.map","'use strict';\nvar $ = require('../internals/export');\nvar $trim = require('../internals/string-trim').trim;\nvar forcedStringTrimMethod = require('../internals/string-trim-forced');\n\n// `String.prototype.trim` method\n// https://tc39.github.io/ecma262/#sec-string.prototype.trim\n$({ target: 'String', proto: true, forced: forcedStringTrimMethod('trim') }, {\n trim: function trim() {\n return $trim(this);\n }\n});\n","var fails = require('../internals/fails');\nvar whitespaces = require('../internals/whitespaces');\n\nvar non = '\\u200B\\u0085\\u180E';\n\n// check that a method works with the correct list\n// of whitespaces and has a correct name\nmodule.exports = function (METHOD_NAME) {\n return fails(function () {\n return !!whitespaces[METHOD_NAME]() || non[METHOD_NAME]() != non || whitespaces[METHOD_NAME].name !== METHOD_NAME;\n });\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar toAbsoluteIndex = require('../internals/to-absolute-index');\nvar toInteger = require('../internals/to-integer');\nvar toLength = require('../internals/to-length');\nvar toObject = require('../internals/to-object');\nvar arraySpeciesCreate = require('../internals/array-species-create');\nvar createProperty = require('../internals/create-property');\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('splice');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('splice', { ACCESSORS: true, 0: 0, 1: 2 });\n\nvar max = Math.max;\nvar min = Math.min;\nvar MAX_SAFE_INTEGER = 0x1FFFFFFFFFFFFF;\nvar MAXIMUM_ALLOWED_LENGTH_EXCEEDED = 'Maximum allowed length exceeded';\n\n// `Array.prototype.splice` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.splice\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n splice: function splice(start, deleteCount /* , ...items */) {\n var O = toObject(this);\n var len = toLength(O.length);\n var actualStart = toAbsoluteIndex(start, len);\n var argumentsLength = arguments.length;\n var insertCount, actualDeleteCount, A, k, from, to;\n if (argumentsLength === 0) {\n insertCount = actualDeleteCount = 0;\n } else if (argumentsLength === 1) {\n insertCount = 0;\n actualDeleteCount = len - actualStart;\n } else {\n insertCount = argumentsLength - 2;\n actualDeleteCount = min(max(toInteger(deleteCount), 0), len - actualStart);\n }\n if (len + insertCount - actualDeleteCount > MAX_SAFE_INTEGER) {\n throw TypeError(MAXIMUM_ALLOWED_LENGTH_EXCEEDED);\n }\n A = arraySpeciesCreate(O, actualDeleteCount);\n for (k = 0; k < actualDeleteCount; k++) {\n from = actualStart + k;\n if (from in O) createProperty(A, k, O[from]);\n }\n A.length = actualDeleteCount;\n if (insertCount < actualDeleteCount) {\n for (k = actualStart; k < len - actualDeleteCount; k++) {\n from = k + actualDeleteCount;\n to = k + insertCount;\n if (from in O) O[to] = O[from];\n else delete O[to];\n }\n for (k = len; k > len - actualDeleteCount + insertCount; k--) delete O[k - 1];\n } else if (insertCount > actualDeleteCount) {\n for (k = len - actualDeleteCount; k > actualStart; k--) {\n from = k + actualDeleteCount - 1;\n to = k + insertCount - 1;\n if (from in O) O[to] = O[from];\n else delete O[to];\n }\n }\n for (k = 0; k < insertCount; k++) {\n O[k + actualStart] = arguments[k + 2];\n }\n O.length = len - actualDeleteCount + insertCount;\n return A;\n }\n});\n","!function(t,e){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=e():\"function\"==typeof define&&define.amd?define(\"Components/PopoverMenu\",[],e):\"object\"==typeof exports?exports[\"Components/PopoverMenu\"]=e():(t.NextcloudVue=t.NextcloudVue||{},t.NextcloudVue[\"Components/PopoverMenu\"]=e())}(window,(function(){return function(t){var e={};function n(a){if(e[a])return e[a].exports;var i=e[a]={i:a,l:!1,exports:{}};return t[a].call(i.exports,i,i.exports,n),i.l=!0,i.exports}return n.m=t,n.c=e,n.d=function(t,e,a){n.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:a})},n.r=function(t){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(t,\"__esModule\",{value:!0})},n.t=function(t,e){if(1&e&&(t=n(t)),8&e)return t;if(4&e&&\"object\"==typeof t&&t&&t.__esModule)return t;var a=Object.create(null);if(n.r(a),Object.defineProperty(a,\"default\",{enumerable:!0,value:t}),2&e&&\"string\"!=typeof t)for(var i in t)n.d(a,i,function(e){return t[e]}.bind(null,i));return a},n.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return n.d(e,\"a\",e),e},n.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},n.p=\"/dist/\",n(n.s=53)}({0:function(t,e,n){\"use strict\";function a(t,e,n,a,i,o,s,r){var l,c=\"function\"==typeof t?t.options:t;if(e&&(c.render=e,c.staticRenderFns=n,c._compiled=!0),a&&(c.functional=!0),o&&(c._scopeId=\"data-v-\"+o),s?(l=function(t){(t=t||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(t=__VUE_SSR_CONTEXT__),i&&i.call(this,t),t&&t._registeredComponents&&t._registeredComponents.add(s)},c._ssrRegister=l):i&&(l=r?function(){i.call(this,this.$root.$options.shadowRoot)}:i),l)if(c.functional){c._injectStyles=l;var d=c.render;c.render=function(t,e){return l.call(e),d(t,e)}}else{var u=c.beforeCreate;c.beforeCreate=u?[].concat(u,l):[l]}return{exports:t,options:c}}n.d(e,\"a\",(function(){return a}))},1:function(t,e,n){\"use strict\";t.exports=function(t){var e=[];return e.toString=function(){return this.map((function(e){var n=function(t,e){var n=t[1]||\"\",a=t[3];if(!a)return n;if(e&&\"function\"==typeof btoa){var i=(s=a,r=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),l=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(r),\"/*# \".concat(l,\" */\")),o=a.sources.map((function(t){return\"/*# sourceURL=\".concat(a.sourceRoot||\"\").concat(t,\" */\")}));return[n].concat(o).concat([i]).join(\"\\n\")}var s,r,l;return[n].join(\"\\n\")}(e,t);return e[2]?\"@media \".concat(e[2],\" {\").concat(n,\"}\"):n})).join(\"\")},e.i=function(t,n,a){\"string\"==typeof t&&(t=[[null,t,\"\"]]);var i={};if(a)for(var o=0;o<this.length;o++){var s=this[o][0];null!=s&&(i[s]=!0)}for(var r=0;r<t.length;r++){var l=[].concat(t[r]);a&&i[l[0]]||(n&&(l[2]?l[2]=\"\".concat(n,\" and \").concat(l[2]):l[2]=n),e.push(l))}},e}},14:function(t,e){t.exports=require(\"core-js/modules/es.array.index-of\")},19:function(t,e){t.exports=require(\"core-js/modules/es.array.iterator\")},2:function(t,e,n){\"use strict\";function a(t,e){for(var n=[],a={},i=0;i<e.length;i++){var o=e[i],s=o[0],r={id:t+\":\"+i,css:o[1],media:o[2],sourceMap:o[3]};a[s]?a[s].parts.push(r):n.push(a[s]={id:s,parts:[r]})}return n}n.r(e),n.d(e,\"default\",(function(){return m}));var i=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!i)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var o={},s=i&&(document.head||document.getElementsByTagName(\"head\")[0]),r=null,l=0,c=!1,d=function(){},u=null,p=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function m(t,e,n,i){c=n,u=i||{};var s=a(t,e);return f(s),function(e){for(var n=[],i=0;i<s.length;i++){var r=s[i];(l=o[r.id]).refs--,n.push(l)}e?f(s=a(t,e)):s=[];for(i=0;i<n.length;i++){var l;if(0===(l=n[i]).refs){for(var c=0;c<l.parts.length;c++)l.parts[c]();delete o[l.id]}}}}function f(t){for(var e=0;e<t.length;e++){var n=t[e],a=o[n.id];if(a){a.refs++;for(var i=0;i<a.parts.length;i++)a.parts[i](n.parts[i]);for(;i<n.parts.length;i++)a.parts.push(v(n.parts[i]));a.parts.length>n.parts.length&&(a.parts.length=n.parts.length)}else{var s=[];for(i=0;i<n.parts.length;i++)s.push(v(n.parts[i]));o[n.id]={id:n.id,refs:1,parts:s}}}}function b(){var t=document.createElement(\"style\");return t.type=\"text/css\",s.appendChild(t),t}function v(t){var e,n,a=document.querySelector('style[data-vue-ssr-id~=\"'+t.id+'\"]');if(a){if(c)return d;a.parentNode.removeChild(a)}if(p){var i=l++;a=r||(r=b()),e=y.bind(null,a,i,!1),n=y.bind(null,a,i,!0)}else a=b(),e=g.bind(null,a),n=function(){a.parentNode.removeChild(a)};return e(t),function(a){if(a){if(a.css===t.css&&a.media===t.media&&a.sourceMap===t.sourceMap)return;e(t=a)}else n()}}var h,x=(h=[],function(t,e){return h[t]=e,h.filter(Boolean).join(\"\\n\")});function y(t,e,n,a){var i=n?\"\":a.css;if(t.styleSheet)t.styleSheet.cssText=x(e,i);else{var o=document.createTextNode(i),s=t.childNodes;s[e]&&t.removeChild(s[e]),s.length?t.insertBefore(o,s[e]):t.appendChild(o)}}function g(t,e){var n=e.css,a=e.media,i=e.sourceMap;if(a&&t.setAttribute(\"media\",a),u.ssrId&&t.setAttribute(\"data-vue-ssr-id\",e.id),i&&(n+=\"\\n/*# sourceURL=\"+i.sources[0]+\" */\",n+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+\" */\"),t.styleSheet)t.styleSheet.cssText=n;else{for(;t.firstChild;)t.removeChild(t.firstChild);t.appendChild(document.createTextNode(n))}}},20:function(t,e){t.exports=require(\"core-js/modules/es.string.iterator\")},21:function(t,e){t.exports=require(\"core-js/modules/web.dom-collections.iterator\")},23:function(t,e){t.exports=require(\"core-js/modules/web.url\")},26:function(t,e){t.exports=require(\"core-js/modules/es.regexp.to-string\")},34:function(t,e,n){var a=n(82);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"1f2ec49f\",a,!0,{})},35:function(t,e,n){var a=n(84);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"9c561116\",a,!0,{})},36:function(t,e,n){var a=n(86);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"93093140\",a,!0,{})},44:function(t,e){},5:function(t,e){t.exports=require(\"core-js/modules/es.object.to-string\")},53:function(t,e,n){\"use strict\";n.r(e);n(14),n(19),n(5),n(26),n(20),n(21),n(23);var a={name:\"PopoverMenuItem\",props:{item:{type:Object,required:!0,default:function(){return{key:\"nextcloud-link\",href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}},validator:function(t){return!t.input||-1!==[\"text\",\"checkbox\"].indexOf(t.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(t){return!1}}},methods:{action:function(t){this.item.action&&this.item.action(t)}}},i=(n(81),n(83),n(0)),o={name:\"PopoverMenu\",components:{PopoverMenuItem:Object(i.a)(a,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n(\"li\",[t.item.href?n(\"a\",{staticClass:\"focusable\",attrs:{href:t.item.href?t.item.href:\"#\",target:t.item.target?t.item.target:\"\",download:t.item.download,rel:\"noreferrer noopener\"},on:{click:t.action}},[t.iconIsUrl?n(\"img\",{attrs:{src:t.item.icon}}):n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()]):t.item.input?n(\"span\",{staticClass:\"menuitem\",class:{active:t.item.active}},[\"checkbox\"!==t.item.input?n(\"span\",{class:t.item.icon}):t._e(),t._v(\" \"),\"text\"===t.item.input?n(\"form\",{class:t.item.input,on:{submit:function(e){return e.preventDefault(),t.item.action(e)}}},[n(\"input\",{attrs:{type:t.item.input,placeholder:t.item.text,required:\"\"},domProps:{value:t.item.value}}),t._v(\" \"),n(\"input\",{staticClass:\"icon-confirm\",attrs:{type:\"submit\",value:\"\"}})]):[\"checkbox\"===t.item.input?n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:\"checkbox\"},domProps:{checked:Array.isArray(t.item.model)?t._i(t.item.model,null)>-1:t.item.model},on:{change:[function(e){var n=t.item.model,a=e.target,i=!!a.checked;if(Array.isArray(n)){var o=t._i(n,null);a.checked?o<0&&t.$set(t.item,\"model\",n.concat([null])):o>-1&&t.$set(t.item,\"model\",n.slice(0,o).concat(n.slice(o+1)))}else t.$set(t.item,\"model\",i)},t.item.action]}}):\"radio\"===t.item.input?n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:\"radio\"},domProps:{checked:t._q(t.item.model,null)},on:{change:[function(e){return t.$set(t.item,\"model\",null)},t.item.action]}}):n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:t.item.input},domProps:{value:t.item.model},on:{change:t.item.action,input:function(e){e.target.composing||t.$set(t.item,\"model\",e.target.value)}}}),t._v(\" \"),n(\"label\",{attrs:{for:t.key},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")])]],2):t.item.action?n(\"button\",{staticClass:\"menuitem focusable\",class:{active:t.item.active},attrs:{disabled:t.item.disabled},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()]):n(\"span\",{staticClass:\"menuitem\",class:{active:t.item.active}},[n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()])])}),[],!1,null,\"75ab886e\",null).exports},props:{menu:{type:Array,default:function(){return[{href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}]},required:!0}}},s=(n(85),n(44)),r=n.n(s),l=Object(i.a)(o,(function(){var t=this.$createElement,e=this._self._c||t;return e(\"ul\",this._l(this.menu,(function(t,n){return e(\"PopoverMenuItem\",{key:n,attrs:{item:t}})})),1)}),[],!1,null,\"81c2afc0\",null);\"function\"==typeof r.a&&r()(l);var c=l.exports;\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */e.default=c},81:function(t,e,n){\"use strict\";var a=n(34);n.n(a).a},82:function(t,e,n){(e=n(1)(!1)).push([t.i,\"\\nbutton.menuitem[data-v-75ab886e] {\\n\\ttext-align: left;\\n}\\nbutton.menuitem *[data-v-75ab886e] {\\n\\tcursor: pointer;\\n}\\nbutton.menuitem[data-v-75ab886e]:disabled {\\n\\topacity: 0.5 !important;\\n\\tcursor: default;\\n}\\nbutton.menuitem:disabled *[data-v-75ab886e] {\\n\\tcursor: default;\\n}\\n.menuitem.active[data-v-75ab886e] {\\n\\tbox-shadow: inset 2px 0 var(--color-primary);\\n\\tborder-radius: 0;\\n}\\n\",\"\"]),t.exports=e},83:function(t,e,n){\"use strict\";var a=n(35);n.n(a).a},84:function(t,e,n){(e=n(1)(!1)).push([t.i,\"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\\n\",\"\"]),t.exports=e},85:function(t,e,n){\"use strict\";var a=n(36);n.n(a).a},86:function(t,e,n){(e=n(1)(!1)).push([t.i,\"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\\n\",\"\"]),t.exports=e}})}));\n//# sourceMappingURL=PopoverMenu.js.map","!function(e,t){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=t():\"function\"==typeof define&&define.amd?define(\"Components/Actions\",[],t):\"object\"==typeof exports?exports[\"Components/Actions\"]=t():(e.NextcloudVue=e.NextcloudVue||{},e.NextcloudVue[\"Components/Actions\"]=t())}(window,(function(){return function(e){var t={};function s(A){if(t[A])return t[A].exports;var n=t[A]={i:A,l:!1,exports:{}};return e[A].call(n.exports,n,n.exports,s),n.l=!0,n.exports}return s.m=e,s.c=t,s.d=function(e,t,A){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:A})},s.r=function(e){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(e,\"__esModule\",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&\"object\"==typeof e&&e&&e.__esModule)return e;var A=Object.create(null);if(s.r(A),Object.defineProperty(A,\"default\",{enumerable:!0,value:e}),2&t&&\"string\"!=typeof e)for(var n in e)s.d(A,n,function(t){return e[t]}.bind(null,n));return A},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,\"a\",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p=\"/dist/\",s(s.s=38)}([function(e,t,s){\"use strict\";function A(e,t,s,A,n,o,r,a){var c,i=\"function\"==typeof e?e.options:e;if(t&&(i.render=t,i.staticRenderFns=s,i._compiled=!0),A&&(i.functional=!0),o&&(i._scopeId=\"data-v-\"+o),r?(c=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),n&&n.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(r)},i._ssrRegister=c):n&&(c=a?function(){n.call(this,this.$root.$options.shadowRoot)}:n),c)if(i.functional){i._injectStyles=c;var l=i.render;i.render=function(e,t){return c.call(t),l(e,t)}}else{var m=i.beforeCreate;i.beforeCreate=m?[].concat(m,c):[c]}return{exports:e,options:i}}s.d(t,\"a\",(function(){return A}))},function(e,t,s){\"use strict\";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s=e[1]||\"\",A=e[3];if(!A)return s;if(t&&\"function\"==typeof btoa){var n=(r=A,a=btoa(unescape(encodeURIComponent(JSON.stringify(r)))),c=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(a),\"/*# \".concat(c,\" */\")),o=A.sources.map((function(e){return\"/*# sourceURL=\".concat(A.sourceRoot||\"\").concat(e,\" */\")}));return[s].concat(o).concat([n]).join(\"\\n\")}var r,a,c;return[s].join(\"\\n\")}(t,e);return t[2]?\"@media \".concat(t[2],\" {\").concat(s,\"}\"):s})).join(\"\")},t.i=function(e,s,A){\"string\"==typeof e&&(e=[[null,e,\"\"]]);var n={};if(A)for(var o=0;o<this.length;o++){var r=this[o][0];null!=r&&(n[r]=!0)}for(var a=0;a<e.length;a++){var c=[].concat(e[a]);A&&n[c[0]]||(s&&(c[2]?c[2]=\"\".concat(s,\" and \").concat(c[2]):c[2]=s),t.push(c))}},t}},function(e,t,s){\"use strict\";function A(e,t){for(var s=[],A={},n=0;n<t.length;n++){var o=t[n],r=o[0],a={id:e+\":\"+n,css:o[1],media:o[2],sourceMap:o[3]};A[r]?A[r].parts.push(a):s.push(A[r]={id:r,parts:[a]})}return s}s.r(t),s.d(t,\"default\",(function(){return u}));var n=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!n)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var o={},r=n&&(document.head||document.getElementsByTagName(\"head\")[0]),a=null,c=0,i=!1,l=function(){},m=null,g=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function u(e,t,s,n){i=s,m=n||{};var r=A(e,t);return d(r),function(t){for(var s=[],n=0;n<r.length;n++){var a=r[n];(c=o[a.id]).refs--,s.push(c)}t?d(r=A(e,t)):r=[];for(n=0;n<s.length;n++){var c;if(0===(c=s[n]).refs){for(var i=0;i<c.parts.length;i++)c.parts[i]();delete o[c.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],A=o[s.id];if(A){A.refs++;for(var n=0;n<A.parts.length;n++)A.parts[n](s.parts[n]);for(;n<s.parts.length;n++)A.parts.push(M(s.parts[n]));A.parts.length>s.parts.length&&(A.parts.length=s.parts.length)}else{var r=[];for(n=0;n<s.parts.length;n++)r.push(M(s.parts[n]));o[s.id]={id:s.id,refs:1,parts:r}}}}function p(){var e=document.createElement(\"style\");return e.type=\"text/css\",r.appendChild(e),e}function M(e){var t,s,A=document.querySelector('style[data-vue-ssr-id~=\"'+e.id+'\"]');if(A){if(i)return l;A.parentNode.removeChild(A)}if(g){var n=c++;A=a||(a=p()),t=T.bind(null,A,n,!1),s=T.bind(null,A,n,!0)}else A=p(),t=h.bind(null,A),s=function(){A.parentNode.removeChild(A)};return t(e),function(A){if(A){if(A.css===e.css&&A.media===e.media&&A.sourceMap===e.sourceMap)return;t(e=A)}else s()}}var f,v=(f=[],function(e,t){return f[e]=t,f.filter(Boolean).join(\"\\n\")});function T(e,t,s,A){var n=s?\"\":A.css;if(e.styleSheet)e.styleSheet.cssText=v(t,n);else{var o=document.createTextNode(n),r=e.childNodes;r[t]&&e.removeChild(r[t]),r.length?e.insertBefore(o,r[t]):e.appendChild(o)}}function h(e,t){var s=t.css,A=t.media,n=t.sourceMap;if(A&&e.setAttribute(\"media\",A),m.ssrId&&e.setAttribute(\"data-vue-ssr-id\",t.id),n&&(s+=\"\\n/*# sourceURL=\"+n.sources[0]+\" */\",s+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(n))))+\" */\"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},function(e,t){e.exports=require(\"vue\")},function(e,t){e.exports=require(\"v-tooltip\")},function(e,t){e.exports=require(\"core-js/modules/es.object.to-string\")},function(e,t,s){\"use strict\";s.d(t,\"b\",(function(){return a})),s.d(t,\"a\",(function(){return r}));s(15);var A=s(27),n=Object(A.getGettextBuilder)().detectLocale();[{locale:\"cs_CZ\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Pavel Borecki <pavel.borecki@gmail.com>, 2020\",\"Language-Team\":\"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"cs_CZ\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nPavel Borecki <pavel.borecki@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: cs_CZ\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (neviditelný)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (omezený)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Akce\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Zvolit\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Zavřít\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Následující\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Žádné výsledky\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pozastavit prezentaci\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Předchozí\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Vybrat štítek\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Nastavení\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Spustit prezentaci\"]}}}}},{locale:\"de\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\",\"Language-Team\":\"German (https://www.transifex.com/nextcloud/teams/64236/de/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"de\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\\nLanguage-Team: German (https://www.transifex.com/nextcloud/teams/64236/de/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: de\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (unsichtbar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (eingeschränkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Aktionen\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Auswählen\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Schließen\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Weiter\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Keine Ergebnisse\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow pausieren\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorherige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Schlagwort auswählen\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Einstellungen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow starten\"]}}}}},{locale:\"de_DE\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\",\"Language-Team\":\"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"de_DE\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: de_DE\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (unsichtbar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (eingeschränkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Aktionen\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Auswählen\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Schließen\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Weiter\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Keine Ergebnisse\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow pausieren\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorherige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Schlagwort auswählen\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Einstellungen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow starten\"]}}}}},{locale:\"el\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"george k <norhorn@gmail.com>, 2020\",\"Language-Team\":\"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"el\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nEfstathios Iosifidis <iefstathios@gmail.com>, 2020\\ngeorge k <norhorn@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: george k <norhorn@gmail.com>, 2020\\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: el\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (αόρατο)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (περιορισμένο)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Ενέργειες\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Επιλογή\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Κλείσιμο\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Επόμενο\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Κανένα αποτέλεσμα\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Παύση προβολής διαφανειών\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Προηγούμενο\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Επιλογή ετικέτας\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ρυθμίσεις\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Έναρξη προβολής διαφανειών\"]}}}}},{locale:\"eu\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\",\"Language-Team\":\"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"eu\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: eu\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (ikusezina)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (mugatua)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Aukeratu\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Itxi\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Hurrengoa\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Emaitzarik ez\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pausatu diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Aurrekoa\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Hautatu etiketa bat\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ezarpenak\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Hasi diaporama\"]}}}}},{locale:\"fr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Greg Greg <grena@grenabox.fr>, 2020\",\"Language-Team\":\"French (https://www.transifex.com/nextcloud/teams/64236/fr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"fr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nBrendan Abolivier <transifex@brendanabolivier.com>, 2020\\ngud bes <gudbes@protonmail.com>, 2020\\nGreg Greg <grena@grenabox.fr>, 2020\\n\"},msgstr:[\"Last-Translator: Greg Greg <grena@grenabox.fr>, 2020\\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: fr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restreint)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Actions\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Choisir\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Fermer\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Suivant\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Aucun résultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Mettre le diaporama en pause\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Précédent\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Sélectionnez une balise\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Paramètres\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Démarrer le diaporama\"]}}}}},{locale:\"gl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\",\"Language-Team\":\"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"gl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: gl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisíbel)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restrinxido)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Accións\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escoller\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Pechar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seguinte\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Sen resultados\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausar o diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterir\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleccione unha etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Axustes\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Iniciar o diaporama\"]}}}}},{locale:\"he\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Yaron Shahrabani <sh.yaron@gmail.com>, 2020\",\"Language-Team\":\"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"he\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: he\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (נסתר)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (מוגבל)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"בחירה\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"סגירה\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"הבא\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"אין תוצאות\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"השהיית מצגת\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"הקודם\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"בחירת תגית\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"הגדרות\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"התחלת המצגת\"]}}}}},{locale:\"hu_HU\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"asbot10 <asbot000@gmail.com>, 2020\",\"Language-Team\":\"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"hu_HU\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nBalázs Meskó <mesko.balazs@fsf.hu>, 2020\\nasbot10 <asbot000@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: asbot10 <asbot000@gmail.com>, 2020\\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: hu_HU\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (láthatatlan)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (korlátozott)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:197\"},msgstr:[\"Műveletek\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Válassszon\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Bezárás\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Következő\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nincs találat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diavetítés szüneteltetése\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Előző\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Válasszon címkét\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Beállítások\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diavetítés indítása\"]}}}}},{locale:\"it\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Random_R, 2020\",\"Language-Team\":\"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"it\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nRandom_R, 2020\\n\"},msgstr:[\"Last-Translator: Random_R, 2020\\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: it\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisibile)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (limitato)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Azioni\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Scegli\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Chiudi\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Successivo\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nessun risultato\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Presentazione in pausa\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Precedente\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleziona un'etichetta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Impostazioni\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Avvia presentazione\"]}}}}},{locale:\"ja_JP\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"YANO Tetsu <tetuyano+transi@gmail.com>, 2020\",\"Language-Team\":\"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ja_JP\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ja_JP\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{タグ} (不可視)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{タグ} (制限付)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"操作\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"選択\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"閉じる\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"次\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"なし\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"スライドショーを一時停止\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"前\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"タグを選択\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"設定\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"スライドショーを開始\"]}}}}},{locale:\"lt_LT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Moo, 2020\",\"Language-Team\":\"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lt_LT\",\"Plural-Forms\":\"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMoo, 2020\\n\"},msgstr:[\"Last-Translator: Moo, 2020\\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lt_LT\\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (nematoma)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (apribota)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Pasirinkti\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Užverti\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Kitas\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nėra rezultatų\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pristabdyti skaidrių rodymą\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Ankstesnis\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Pasirinkti žymę\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Nustatymai\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pradėti skaidrių rodymą\"]}}}}},{locale:\"lv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"stendec <stendec@inbox.lv>, 2020\",\"Language-Team\":\"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lv\",\"Plural-Forms\":\"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nstendec <stendec@inbox.lv>, 2020\\n\"},msgstr:[\"Last-Translator: stendec <stendec@inbox.lv>, 2020\\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lv\\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (neredzams)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ierobežots)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Izvēlēties\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Aizvērt\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Nākamais\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nav rezultātu\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pauzēt slaidrādi\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Iepriekšējais\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Izvēlēties birku\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Iestatījumi\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Sākt slaidrādi\"]}}}}},{locale:\"mk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Сашко Тодоров, 2020\",\"Language-Team\":\"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"mk\",\"Plural-Forms\":\"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nСашко Тодоров, 2020\\n\"},msgstr:[\"Last-Translator: Сашко Тодоров, 2020\\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: mk\\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (невидливо)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ограничено)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Избери\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Затвори\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Следно\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Нема резултати\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Пузирај слајдшоу\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Предходно\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Избери ознака\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Параметри\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Стартувај слајдшоу\"]}}}}},{locale:\"nl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Arjan van S, 2020\",\"Language-Team\":\"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"nl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\\nArjan van S, 2020\\n\"},msgstr:[\"Last-Translator: Arjan van S, 2020\\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: nl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (onzichtbaar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (beperkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Acties\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Kies\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Sluiten\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Volgende\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Geen resultaten\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pauzeer diavoorstelling\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecteer een label\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Instellingen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Start diavoorstelling\"]}}}}},{locale:\"oc\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Quentin PAGÈS, 2020\",\"Language-Team\":\"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"oc\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nQuentin PAGÈS, 2020\\n\"},msgstr:[\"Last-Translator: Quentin PAGÈS, 2020\\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: oc\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (limit)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Accions\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Causir\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Tampar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seguent\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Cap de resultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Metre en pausa lo diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Precedent\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleccionar una etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Paramètres\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Lançar lo diaporama\"]}}}}},{locale:\"pl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Valdnet Valdnet, 2020\",\"Language-Team\":\"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pl\",\"Plural-Forms\":\"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nValdnet Valdnet, 2020\\n\"},msgstr:[\"Last-Translator: Valdnet Valdnet, 2020\\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pl\\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (niewidoczna)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (ograniczona)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Działania\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Wybierz\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Zamknij\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Następny\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Brak wyników\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Wstrzymaj pokaz slajdów\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Poprzedni\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Wybierz etykietę\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ustawienia\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Rozpocznij pokaz slajdów\"]}}}}},{locale:\"pt_BR\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Paulo Schopf, 2020\",\"Language-Team\":\"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_BR\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMaurício Gardini <accounts@mauriciogardini.com>, 2020\\nPaulo Schopf, 2020\\n\"},msgstr:[\"Last-Translator: Paulo Schopf, 2020\\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_BR\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisível)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restrito) \"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Ações\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escolher\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Fechar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Próximo\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Sem resultados\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausar apresentação de slides\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterior\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecionar uma tag\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Configurações\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Iniciar apresentação de slides\"]}}}}},{locale:\"pt_PT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"fpapoila <fpapoila@gmail.com>, 2020\",\"Language-Team\":\"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_PT\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nfpapoila <fpapoila@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: fpapoila <fpapoila@gmail.com>, 2020\\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_PT\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (invisivel)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (restrito)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escolher\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Fechar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Proximo\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Sem resultados\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pausar apresentação de slides\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Anterior\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecionar etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Definições\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Iniciar apresentação de slides\"]}}}}},{locale:\"ru\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Alex <kekcuha@gmail.com>, 2020\",\"Language-Team\":\"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ru\",\"Plural-Forms\":\"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAlex <kekcuha@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Alex <kekcuha@gmail.com>, 2020\\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ru\\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (невидимое)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ограниченное)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Выберите\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Закрыть\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Следующее\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Результаты отсуствуют\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Приостановить показ слйдов\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Предыдущее\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Выберите метку\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Параметры\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Начать показ слайдов\"]}}}}},{locale:\"sv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Jonatan Nyberg, 2020\",\"Language-Team\":\"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sv\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nGabriel Ekström <gabriel.ekstrom06@gmail.com>, 2020\\nErik Lennartsson, 2020\\nJonatan Nyberg, 2020\\n\"},msgstr:[\"Last-Translator: Jonatan Nyberg, 2020\\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sv\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (osynlig)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (begränsad)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Åtgärder\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Välj\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Stäng\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Nästa\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Inga resultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausa bildspel\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Föregående\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Välj en tag\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Inställningar\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Starta bildspel\"]}}}}},{locale:\"tr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"abc Def <hdogan1974@gmail.com>, 2020\",\"Language-Team\":\"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"tr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nKemal Oktay Aktoğan <oktayaktogan@gmail.com>, 2020\\nabc Def <hdogan1974@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: abc Def <hdogan1974@gmail.com>, 2020\\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: tr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (görünmez)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (kısıtlı)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Eylemler\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Seç\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Kapat\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"İleri\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Sonuç yok\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Slayt gösterisini duraklat\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Önceki\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Bir etiket seçin\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ayarlar\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Slayt gösterisini başlat\"]}}}}},{locale:\"uk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\",\"Language-Team\":\"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"uk\",\"Plural-Forms\":\"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: uk\\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restricted)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:197\"},msgstr:[\"Дії\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Виберіть\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Закрити\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Вперед\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Відсутні результати\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Пауза у показі слайдів\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Назад\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Виберіть позначку\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Налаштування\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Почати показ слайдів\"]}}}}},{locale:\"zh_CN\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Jianming Liang <fuufuukun@163.com>, 2020\",\"Language-Team\":\"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_CN\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nSleepyJesse <Jesse_Xu@live.com>, 2020\\nJianming Liang <fuufuukun@163.com>, 2020\\n\"},msgstr:[\"Last-Translator: Jianming Liang <fuufuukun@163.com>, 2020\\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_CN\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (不可见)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (受限)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:197\"},msgstr:[\"行为\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"选择\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"关闭\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"下一个\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"无结果\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"暂停幻灯片\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"上一个\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"选择一个标签\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"设置\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"开始幻灯片\"]}}}}},{locale:\"zh_TW\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"byStarTW (pan93412) <pan93412@gmail.com>, 2020\",\"Language-Team\":\"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_TW\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nbyStarTW (pan93412) <pan93412@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: byStarTW (pan93412) <pan93412@gmail.com>, 2020\\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_TW\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (隱藏)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (受限)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"選擇\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"關閉\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"下一個\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"無結果\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"暫停幻燈片\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"上一個\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"選擇標籤\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"設定\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"開始幻燈片\"]}}}}}].map((function(e){return n.addTranslation(e.locale,e.json)}));var o=n.build(),r=o.ngettext.bind(o),a=o.gettext.bind(o)},function(e,t,s){\"use strict\";e.exports=function(e,t){return t||(t={}),\"string\"!=typeof(e=e&&e.__esModule?e.default:e)?e:(/^['\"].*['\"]$/.test(e)&&(e=e.slice(1,-1)),t.hash&&(e+=t.hash),/[\"'() \\t\\n]/.test(e)||t.needQuotes?'\"'.concat(e.replace(/\"/g,'\\\\\"').replace(/\\n/g,\"\\\\n\"),'\"'):e)}},function(e,t,s){\"use strict\";s.r(t),t.default=\"data:application/vnd.ms-fontobject;base64,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\"},function(e,t,s){\"use strict\";s.r(t),t.default=\"data:font/woff;base64,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\"},function(e,t,s){\"use strict\";s.r(t),t.default=\"data:font/ttf;base64,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\"},function(e,t,s){\"use strict\";s.r(t),t.default=\"data:image/svg+xml;base64,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\"},function(e,t){e.exports=require(\"core-js/modules/es.function.name\")},function(e,t,s){\"use strict\";s.r(t);var A=s(4);s(42);\n/**\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nA.VTooltip.options.defaultTemplate='<div class=\"vue-tooltip\" role=\"tooltip\" data-v-'.concat(\"6f41c0d\",'><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>'),A.VTooltip.options.defaultHtml=!1,t.default=A.VTooltip},function(e,t){e.exports=require(\"core-js/modules/es.array.index-of\")},function(e,t){e.exports=require(\"core-js/modules/es.array.map\")},function(e,t){e.exports=require(\"v-click-outside\")},,function(e,t,s){\"use strict\";s(5),s(22),s(26),s(33);t.a=function(e){return Math.random().toString(36).replace(/[^a-z]+/g,\"\").substr(0,e||5)}},,,,function(e,t){e.exports=require(\"core-js/modules/es.regexp.exec\")},,function(e,t){e.exports=require(\"core-js/modules/es.array.concat\")},,function(e,t){e.exports=require(\"core-js/modules/es.regexp.to-string\")},function(e,t){e.exports=require(\"@nextcloud/l10n/dist/gettext\")},,function(e,t,s){var A=s(74);\"string\"==typeof A&&(A=[[e.i,A,\"\"]]),A.locals&&(e.exports=A.locals);(0,s(2).default)(\"ad54af0c\",A,!0,{})},,function(e,t,s){\"use strict\";s(24),s(14),s(72),s(12);var A=s(3),n=s.n(A);t.a=function(e,t,s){if(void 0!==e)for(var A=e.length-1;A>=0;A--){var o=e[A],r=!o.componentOptions&&o.tag&&-1===t.indexOf(o.tag),a=!!o.componentOptions&&\"string\"==typeof o.componentOptions.tag,c=a&&-1===t.indexOf(o.componentOptions.tag);(r||!a||c)&&((r||c)&&n.a.util.warn(\"\".concat(r?o.tag:o.componentOptions.tag,\" is not allowed inside the \").concat(s.$options.name,\" component\"),s),e.splice(A,1))}}},,function(e,t){e.exports=require(\"core-js/modules/es.string.replace\")},,,,function(e,t){},function(e,t,s){\"use strict\";s.r(t);var A=s(46);\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.default=A.a},function(e,t){e.exports=require(\"core-js/modules/es.array.filter\")},,,function(e,t,s){var A=s(43);\"string\"==typeof A&&(A=[[e.i,A,\"\"]]),A.locals&&(e.exports=A.locals);(0,s(2).default)(\"941c791e\",A,!0,{})},function(e,t,s){(t=s(1)(!1)).push([e.i,\".vue-tooltip[data-v-6f41c0d]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;white-space:normal;text-decoration:none;letter-spacing:normal;word-spacing:normal;text-transform:none;word-wrap:normal;word-break:normal;opacity:0;text-shadow:none;font-family:'Nunito', 'Open Sans', Frutiger, Calibri, 'Myriad Pro', Myriad, sans-serif;font-size:12px;font-weight:normal;font-style:normal;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-6f41c0d][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-6f41c0d][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-6f41c0d][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-6f41c0d] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-6f41c0d] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\\n\",\"\"]),e.exports=t},,,function(e,t,s){\"use strict\";s(24),s(39),s(14);var A=s(16),n=s(13),o=s(18),r=(s(71),function(e){var t=e.getBoundingClientRect(),s=document.documentElement.clientHeight,A=document.documentElement.clientWidth,n=Object.assign({});return n.top=t.top<0,n.left=t.left<0,n.bottom=t.bottom>s,n.right=t.right>A,n.any=n.top||n.left||n.bottom||n.right,n.all=n.top&&n.left&&n.bottom&&n.right,n.offsetY=n.top?t.top:n.bottom?t.bottom-s:0,n.offsetX=n.left?t.left:n.right?t.right-A:0,n}),a=s(31),c=s(6),i=[\"ActionButton\",\"ActionCheckbox\",\"ActionInput\",\"ActionLink\",\"ActionRadio\",\"ActionRouter\",\"ActionSeparator\",\"ActionText\",\"ActionTextEditable\"],l={name:\"Actions\",directives:{ClickOutside:A.directive,tooltip:n.default},props:{open:{type:Boolean,default:!1},forceMenu:{type:Boolean,default:!1},menuAlign:{type:String,default:\"center\",validator:function(e){return[\"left\",\"center\",\"right\"].indexOf(e)>-1}},menuTitle:{type:String,default:null},primary:{type:Boolean,default:!1},defaultIcon:{type:String,default:\"action-item__menutoggle--default-icon\"},ariaLabel:{type:String,default:Object(c.b)(\"Actions\")}},data:function(){return{actions:[],opened:this.open,focusIndex:0,randomId:\"menu-\"+Object(o.a)(),offsetX:0,offsetY:0,offsetYArrow:0,rotateArrow:!1,children:this.$children}},computed:{hasMultipleActions:function(){return this.actions.length>1},isValidSingleAction:function(){return 1===this.actions.length&&null!==this.firstActionElement},firstActionVNode:function(){return this.actions[0]},firstAction:function(){return this.children[0]?this.children[0]:{}},firstActionBinding:function(){if(this.firstActionVNode&&this.firstActionVNode.componentOptions){var e=this.firstActionVNode.componentOptions.tag;if(\"ActionLink\"===e)return{is:\"a\",href:this.firstAction.href,target:this.firstAction.target,\"aria-label\":this.firstAction.ariaLabel};if(\"ActionRouter\"===e)return{is:\"router-link\",to:this.firstAction.to,exact:this.firstAction.exact,\"aria-label\":this.firstAction.ariaLabel};if(\"ActionButton\"===e)return{is:\"button\",\"aria-label\":this.firstAction.ariaLabel}}return null},firstActionEvent:function(){return this.firstActionVNode&&this.firstActionVNode.componentOptions&&this.firstActionVNode.componentOptions.listeners&&this.firstActionVNode.componentOptions.listeners.click},firstActionEventBinding:function(){return this.firstActionEvent?\"click\":null},firstActionClass:function(){var e=this.firstActionVNode&&this.firstActionVNode.data.staticClass,t=this.firstActionVNode&&this.firstActionVNode.data.class;return\"\".concat(e,\" \").concat(t)}},watch:{open:function(e){var t=this;this.opened=e,this.opened&&this.$nextTick((function(){t.onOpen()}))}},beforeMount:function(){this.initActions(),Object(a.a)(this.$slots.default,i,this)},beforeUpdate:function(){this.initActions(),Object(a.a)(this.$slots.default,i,this)},methods:{toggleMenu:function(e){var t=this;this.opened=\"boolean\"==typeof e?e:!this.opened,this.opened?(this.$nextTick((function(){t.onOpen(),t.focusFirstAction()})),this.$emit(\"open\")):(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,this.$emit(\"close\")),this.$emit(\"update:open\",this.opened)},closeMenu:function(e){this.opened&&(this.$emit(\"update:open\",!1),this.$emit(\"close\"),this.opened=!1,this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1)},onOpen:function(){if(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,\"center\"===this.menuAlign){var e=r(this.$refs.menu);(e.left||e.right)&&(this.offsetX=e.offsetX>0?Math.round(e.offsetX)+5:Math.round(e.offsetX)-5),e.bottom&&(this.offsetY=0-Math.round(this.$refs.menu.clientHeight)-42,this.offsetYArrow=Math.round(this.$refs.menu.clientHeight)+18,this.rotateArrow=!0)}},onMouseFocusAction:function(e){if(document.activeElement!==e.target){var t=e.target.closest(\"li\");if(t){var s=t.querySelector(\".focusable\");if(s){var A=this.$refs.menu.querySelectorAll(\".focusable\"),n=Array.prototype.indexOf.call(A,s);n>-1&&(this.focusIndex=n,this.focusAction())}}}},removeCurrentActive:function(){var e=this.$refs.menu.querySelector(\"li.active\");e&&e.classList.remove(\"active\")},focusAction:function(){var e=this.$refs.menu.querySelectorAll(\".focusable\")[this.focusIndex];if(e){var t=e.closest(\"li\");e.focus(),t&&(this.removeCurrentActive(),t.classList.add(\"active\"))}},focusPreviousAction:function(){this.focusIndex=Math.max(this.focusIndex-1,0),this.focusAction()},focusNextAction:function(){this.focusIndex=Math.min(this.focusIndex+1,this.$refs.menu.querySelectorAll(\".focusable\").length-1),this.focusAction()},focusFirstAction:function(){this.focusIndex=0,this.focusAction()},focusLastAction:function(){this.focusIndex=this.$el.querySelectorAll(\".focusable\").length-1,this.focusAction()},execFirstAction:function(e){this.firstActionEvent&&this.firstActionEvent(e)},initActions:function(){this.actions=(this.$slots.default||[]).filter((function(e){return!!e&&!!e.componentOptions}))}}},m=(s(73),s(0)),g=s(37),u=s.n(g),d=Object(m.a)(l,(function(){var e,t=this,s=t.$createElement,A=t._self._c||s;return t.isValidSingleAction&&!t.forceMenu?A(\"element\",t._b({directives:[{name:\"tooltip\",rawName:\"v-tooltip.auto\",value:t.firstAction.text,expression:\"firstAction.text\",modifiers:{auto:!0}}],staticClass:\"action-item action-item--single\",class:[t.firstAction.icon,t.firstActionClass],attrs:{rel:\"noreferrer noopener\"},on:t._d({},[t.firstActionEventBinding,t.execFirstAction])},\"element\",t.firstActionBinding,!1),[A(\"span\",{attrs:{\"aria-hidden\":!0,hidden:\"\"}},[t._t(\"default\")],2)]):A(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:t.hasMultipleActions||t.forceMenu,expression:\"hasMultipleActions || forceMenu\"},{name:\"click-outside\",rawName:\"v-click-outside\",value:t.closeMenu,expression:\"closeMenu\"}],staticClass:\"action-item\",class:{\"action-item--open\":t.opened},on:{keydown:[function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"up\",38,e.key,[\"Up\",\"ArrowUp\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusPreviousAction(e))},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"down\",40,e.key,[\"Down\",\"ArrowDown\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusNextAction(e))},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"tab\",9,e.key,\"Tab\")?null:e.shiftKey?(e.preventDefault(),t.focusPreviousAction(e)):null},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"page-up\",void 0,e.key,void 0)?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusFirstAction(e))},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"page-down\",void 0,e.key,void 0)?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusLastAction(e))},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"esc\",27,e.key,[\"Esc\",\"Escape\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.closeMenu(e))}]}},[A(\"button\",{staticClass:\"icon action-item__menutoggle\",class:(e={},e[t.defaultIcon]=!0,e[\"action-item__menutoggle--with-title\"]=t.menuTitle,e[\"action-item__menutoggle--primary\"]=t.primary,e),attrs:{\"aria-label\":t.ariaLabel,\"aria-haspopup\":\"true\",\"aria-controls\":t.randomId,\"aria-expanded\":t.opened},on:{click:function(e){return e.preventDefault(),t.toggleMenu(e)},keydown:function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"space\",32,e.key,[\" \",\"Spacebar\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.toggleMenu(e))}}},[t._v(\"\\n\\t\\t\"+t._s(t.menuTitle)+\"\\n\\t\")]),t._v(\" \"),A(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:t.opened,expression:\"opened\"}],ref:\"menu\",staticClass:\"action-item__menu\",class:[\"menu-\"+t.menuAlign,{open:t.opened}],style:{marginRight:t.offsetX+\"px\",marginTop:t.offsetY+\"px\"},attrs:{tabindex:\"-1\"},on:{mousemove:t.onMouseFocusAction}},[A(\"div\",{staticClass:\"action-item__menu_arrow\",style:{transform:\"translateX(\"+t.offsetX+\"px) translateY(\"+t.offsetYArrow+\"px) \"+(t.rotateArrow?\" rotate(180deg)\":\"\")}}),t._v(\" \"),A(\"ul\",{attrs:{id:t.randomId,tabindex:\"-1\"}},[t.opened?[t._t(\"default\")]:t._e()],2)])])}),[],!1,null,\"13bd5491\",null);\"function\"==typeof u.a&&u()(d);t.a=d.exports},,,,,,,,,,,,,,,,,,,,,,,,,function(e,t){e.exports=require(\"core-js/modules/es.object.assign\")},function(e,t){e.exports=require(\"core-js/modules/es.array.splice\")},function(e,t,s){\"use strict\";var A=s(29);s.n(A).a},function(e,t,s){var A=s(1),n=s(7),o=s(8),r=s(9),a=s(10),c=s(11);t=A(!1);var i=n(o),l=n(r),m=n(a),g=n(c);t.push([e.i,'@font-face{font-family:\"iconfont-vue-6f41c0d\";src:url('+i+\");src:url(\"+i+') format(\"embedded-opentype\"),url('+l+') format(\"woff\"),url('+m+') format(\"truetype\"),url('+g+') format(\"svg\")}.icon[data-v-13bd5491]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-left[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-right-double[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-right[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.breadcrumb[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.checkmark[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.close[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.confirm[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.info[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.menu[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.more[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.pause[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.play[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.triangle-s[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.action-item[data-v-13bd5491]{position:relative;display:inline-block}.action-item--single[data-v-13bd5491]:hover,.action-item--single[data-v-13bd5491]:focus,.action-item--single[data-v-13bd5491]:active,.action-item__menutoggle[data-v-13bd5491]:hover,.action-item__menutoggle[data-v-13bd5491]:focus,.action-item__menutoggle[data-v-13bd5491]:active{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item.action-item--open .action-item__menutoggle[data-v-13bd5491]{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item--single[data-v-13bd5491],.action-item__menutoggle[data-v-13bd5491]{box-sizing:border-box;width:auto;min-width:44px;height:44px;margin:0;padding:14px;cursor:pointer;border:none;background-color:transparent}.action-item__menutoggle[data-v-13bd5491]{display:flex;align-items:center;justify-content:center;opacity:.7;border-radius:22px;font-weight:bold;line-height:16px}.action-item__menutoggle[data-v-13bd5491]:before{content:\\'\\'}.action-item__menutoggle--default-icon[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";font-style:normal;font-weight:400;content:\"\"}.action-item__menutoggle--default-icon[data-v-13bd5491]::before{font-size:16px}.action-item__menutoggle--with-title[data-v-13bd5491]{position:relative;padding-left:44px;white-space:nowrap;opacity:1;border:1px solid var(--color-border-dark);background-color:var(--color-background-dark);background-position:14px center;font-size:inherit}.action-item__menutoggle--with-title[data-v-13bd5491]:before{position:absolute;top:14px;left:14px}.action-item__menutoggle--primary[data-v-13bd5491]{opacity:1;color:var(--color-primary-text);border:none;background-color:var(--color-primary-element)}.action-item--open .action-item__menutoggle--primary[data-v-13bd5491],.action-item__menutoggle--primary[data-v-13bd5491]:hover,.action-item__menutoggle--primary[data-v-13bd5491]:focus,.action-item__menutoggle--primary[data-v-13bd5491]:active{color:var(--color-primary-text) !important;background-color:var(--color-primary-element-light) !important}.action-item--single[data-v-13bd5491]{opacity:.7}.action-item--single[data-v-13bd5491]:hover,.action-item--single[data-v-13bd5491]:focus,.action-item--single[data-v-13bd5491]:active{opacity:1}.action-item--single>[hidden][data-v-13bd5491]{display:none}.action-item--multiple[data-v-13bd5491]{position:relative}.action-item__menu[data-v-13bd5491]{position:absolute;z-index:110;right:50%;display:none;margin-top:-5px;margin-bottom:10px;transform:translateX(50%);color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background);filter:drop-shadow(0 1px 3px var(--color-box-shadow))}.action-item__menu ul[data-v-13bd5491]>:not(li){display:none}.action-item__menu.open[data-v-13bd5491]{display:block}.action-item__menu .action-item__menu_arrow[data-v-13bd5491]{position:absolute;right:50%;bottom:100%;width:0;height:0;margin-right:-9px;content:\\' \\';pointer-events:none;border:solid transparent;border-width:9px;border-bottom-color:var(--color-main-background)}.action-item__menu.menu-right[data-v-13bd5491]{right:0;left:auto;transform:none}.action-item__menu.menu-right .action-item__menu_arrow[data-v-13bd5491]{right:13px;margin-right:0}.action-item__menu.menu-left[data-v-13bd5491]{right:auto;left:0;transform:none}.action-item__menu.menu-left .action-item__menu_arrow[data-v-13bd5491]{right:auto;left:13px;margin-right:0}.ie .action-item__menu[data-v-13bd5491],.ie .action-item__menu .action-item__menu_arrow[data-v-13bd5491],.edge .action-item__menu[data-v-13bd5491],.edge .action-item__menu .action-item__menu_arrow[data-v-13bd5491]{border:1px solid var(--color-border)}\\n',\"\"]),e.exports=t}])}));\n//# sourceMappingURL=Actions.js.map","!function(t,e){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=e():\"function\"==typeof define&&define.amd?define(\"Components/ActionButton\",[],e):\"object\"==typeof exports?exports[\"Components/ActionButton\"]=e():(t.NextcloudVue=t.NextcloudVue||{},t.NextcloudVue[\"Components/ActionButton\"]=e())}(window,(function(){return function(t){var e={};function n(o){if(e[o])return e[o].exports;var r=e[o]={i:o,l:!1,exports:{}};return t[o].call(r.exports,r,r.exports,n),r.l=!0,r.exports}return n.m=t,n.c=e,n.d=function(t,e,o){n.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:o})},n.r=function(t){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(t,\"__esModule\",{value:!0})},n.t=function(t,e){if(1&e&&(t=n(t)),8&e)return t;if(4&e&&\"object\"==typeof t&&t&&t.__esModule)return t;var o=Object.create(null);if(n.r(o),Object.defineProperty(o,\"default\",{enumerable:!0,value:t}),2&e&&\"string\"!=typeof t)for(var r in t)n.d(o,r,function(e){return t[e]}.bind(null,r));return o},n.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return n.d(e,\"a\",e),e},n.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},n.p=\"/dist/\",n(n.s=98)}({0:function(t,e,n){\"use strict\";function o(t,e,n,o,r,i,a,s){var c,u=\"function\"==typeof t?t.options:t;if(e&&(u.render=e,u.staticRenderFns=n,u._compiled=!0),o&&(u.functional=!0),i&&(u._scopeId=\"data-v-\"+i),a?(c=function(t){(t=t||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(t=__VUE_SSR_CONTEXT__),r&&r.call(this,t),t&&t._registeredComponents&&t._registeredComponents.add(a)},u._ssrRegister=c):r&&(c=s?function(){r.call(this,this.$root.$options.shadowRoot)}:r),c)if(u.functional){u._injectStyles=c;var l=u.render;u.render=function(t,e){return c.call(e),l(t,e)}}else{var d=u.beforeCreate;u.beforeCreate=d?[].concat(d,c):[c]}return{exports:t,options:u}}n.d(e,\"a\",(function(){return o}))},1:function(t,e,n){\"use strict\";t.exports=function(t){var e=[];return e.toString=function(){return this.map((function(e){var n=function(t,e){var n=t[1]||\"\",o=t[3];if(!o)return n;if(e&&\"function\"==typeof btoa){var r=(a=o,s=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),c=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(s),\"/*# \".concat(c,\" */\")),i=o.sources.map((function(t){return\"/*# sourceURL=\".concat(o.sourceRoot||\"\").concat(t,\" */\")}));return[n].concat(i).concat([r]).join(\"\\n\")}var a,s,c;return[n].join(\"\\n\")}(e,t);return e[2]?\"@media \".concat(e[2],\" {\").concat(n,\"}\"):n})).join(\"\")},e.i=function(t,n,o){\"string\"==typeof t&&(t=[[null,t,\"\"]]);var r={};if(o)for(var i=0;i<this.length;i++){var a=this[i][0];null!=a&&(r[a]=!0)}for(var s=0;s<t.length;s++){var c=[].concat(t[s]);o&&r[c[0]]||(n&&(c[2]?c[2]=\"\".concat(n,\" and \").concat(c[2]):c[2]=n),e.push(c))}},e}},12:function(t,e){t.exports=require(\"core-js/modules/es.function.name\")},139:function(t,e,n){\"use strict\";var o=n(48);n.n(o).a},140:function(t,e,n){(e=n(1)(!1)).push([t.i,\"li.active[data-v-33ba3302]{box-shadow:inset 4px 0 var(--color-primary)}.action--disabled[data-v-33ba3302]{pointer-events:none;opacity:.5}.action--disabled[data-v-33ba3302]:hover,.action--disabled[data-v-33ba3302]:focus{cursor:default;opacity:.5}.action--disabled *[data-v-33ba3302]{opacity:1 !important}.action-button[data-v-33ba3302]{display:flex;align-items:flex-start;width:100%;height:auto;margin:0;padding:0;padding-right:14px;cursor:pointer;white-space:nowrap;opacity:.7;color:var(--color-main-text);border:0;border-radius:0;background-color:transparent;box-shadow:none;font-weight:normal;line-height:44px}.action-button[data-v-33ba3302]:hover,.action-button[data-v-33ba3302]:focus{opacity:1}.action-button>span[data-v-33ba3302]{cursor:pointer;white-space:nowrap}.action-button__icon[data-v-33ba3302]{width:44px;height:44px;opacity:1;background-position:14px center;background-size:16px}.action-button p[data-v-33ba3302]{width:150px;padding:7px 0;margin:auto;cursor:pointer;text-align:left;line-height:1.6em}.action-button__longtext[data-v-33ba3302]{cursor:pointer;white-space:pre-wrap}.action-button__title[data-v-33ba3302]{font-weight:bold}\\n\",\"\"]),t.exports=e},17:function(t,e,n){\"use strict\";n(12),n(28);var o=n(3),r=n.n(o);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */e.a={before:function(){this.$slots.default&&\"\"!==this.text.trim()||(r.a.util.warn(\"\".concat(this.$options.name,\" cannot be empty and requires a meaningful text content\"),this),this.$destroy(),this.$el.remove())},beforeUpdate:function(){this.text=this.getText()},data:function(){return{text:this.getText()}},computed:{isLongText:function(){return this.text&&this.text.trim().length>20}},methods:{getText:function(){return this.$slots.default?this.$slots.default[0].text.trim():\"\"}}}},19:function(t,e){t.exports=require(\"core-js/modules/es.array.iterator\")},2:function(t,e,n){\"use strict\";function o(t,e){for(var n=[],o={},r=0;r<e.length;r++){var i=e[r],a=i[0],s={id:t+\":\"+r,css:i[1],media:i[2],sourceMap:i[3]};o[a]?o[a].parts.push(s):n.push(o[a]={id:a,parts:[s]})}return n}n.r(e),n.d(e,\"default\",(function(){return p}));var r=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!r)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var i={},a=r&&(document.head||document.getElementsByTagName(\"head\")[0]),s=null,c=0,u=!1,l=function(){},d=null,f=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function p(t,e,n,r){u=n,d=r||{};var a=o(t,e);return h(a),function(e){for(var n=[],r=0;r<a.length;r++){var s=a[r];(c=i[s.id]).refs--,n.push(c)}e?h(a=o(t,e)):a=[];for(r=0;r<n.length;r++){var c;if(0===(c=n[r]).refs){for(var u=0;u<c.parts.length;u++)c.parts[u]();delete i[c.id]}}}}function h(t){for(var e=0;e<t.length;e++){var n=t[e],o=i[n.id];if(o){o.refs++;for(var r=0;r<o.parts.length;r++)o.parts[r](n.parts[r]);for(;r<n.parts.length;r++)o.parts.push(v(n.parts[r]));o.parts.length>n.parts.length&&(o.parts.length=n.parts.length)}else{var a=[];for(r=0;r<n.parts.length;r++)a.push(v(n.parts[r]));i[n.id]={id:n.id,refs:1,parts:a}}}}function b(){var t=document.createElement(\"style\");return t.type=\"text/css\",a.appendChild(t),t}function v(t){var e,n,o=document.querySelector('style[data-vue-ssr-id~=\"'+t.id+'\"]');if(o){if(u)return l;o.parentNode.removeChild(o)}if(f){var r=c++;o=s||(s=b()),e=x.bind(null,o,r,!1),n=x.bind(null,o,r,!0)}else o=b(),e=y.bind(null,o),n=function(){o.parentNode.removeChild(o)};return e(t),function(o){if(o){if(o.css===t.css&&o.media===t.media&&o.sourceMap===t.sourceMap)return;e(t=o)}else n()}}var m,g=(m=[],function(t,e){return m[t]=e,m.filter(Boolean).join(\"\\n\")});function x(t,e,n,o){var r=n?\"\":o.css;if(t.styleSheet)t.styleSheet.cssText=g(e,r);else{var i=document.createTextNode(r),a=t.childNodes;a[e]&&t.removeChild(a[e]),a.length?t.insertBefore(i,a[e]):t.appendChild(i)}}function y(t,e){var n=e.css,o=e.media,r=e.sourceMap;if(o&&t.setAttribute(\"media\",o),d.ssrId&&t.setAttribute(\"data-vue-ssr-id\",e.id),r&&(n+=\"\\n/*# sourceURL=\"+r.sources[0]+\" */\",n+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(r))))+\" */\"),t.styleSheet)t.styleSheet.cssText=n;else{for(;t.firstChild;)t.removeChild(t.firstChild);t.appendChild(document.createTextNode(n))}}},20:function(t,e){t.exports=require(\"core-js/modules/es.string.iterator\")},21:function(t,e){t.exports=require(\"core-js/modules/web.dom-collections.iterator\")},23:function(t,e){t.exports=require(\"core-js/modules/web.url\")},25:function(t,e,n){\"use strict\";n(19),n(5),n(20),n(21),n(23);var o=n(17),r=(n(12),function(t,e){for(var n=t.$parent;n;){if(n.$options.name===e)return n;n=n.$parent}});e.a={mixins:[o.a],props:{icon:{type:String,default:\"\"},title:{type:String,default:\"\"},closeAfterClick:{type:Boolean,default:!1},ariaLabel:{type:String,default:\"\"}},computed:{isIconUrl:function(){try{return new URL(this.icon)}catch(t){return!1}}},methods:{onClick:function(t){if(this.$emit(\"click\",t),this.closeAfterClick){var e=r(this,\"Actions\");e&&e.closeMenu&&e.closeMenu()}}}}},28:function(t,e){t.exports=require(\"core-js/modules/es.string.trim\")},3:function(t,e){t.exports=require(\"vue\")},48:function(t,e,n){var o=n(140);\"string\"==typeof o&&(o=[[t.i,o,\"\"]]),o.locals&&(t.exports=o.locals);(0,n(2).default)(\"75b9f902\",o,!0,{})},5:function(t,e){t.exports=require(\"core-js/modules/es.object.to-string\")},58:function(t,e){},77:function(t,e,n){\"use strict\";var o={name:\"ActionButton\",mixins:[n(25).a],props:{disabled:{type:Boolean,default:!1}},computed:{isFocusable:function(){return!this.disabled}}},r=(n(139),n(0)),i=n(58),a=n.n(i),s=Object(r.a)(o,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n(\"li\",{class:{\"action--disabled\":t.disabled}},[n(\"button\",{staticClass:\"action-button\",class:{focusable:t.isFocusable},attrs:{\"aria-label\":t.ariaLabel},on:{click:t.onClick}},[n(\"span\",{staticClass:\"action-button__icon\",class:[t.isIconUrl?\"action-button__icon--url\":t.icon],style:{backgroundImage:t.isIconUrl?\"url(\"+t.icon+\")\":null}}),t._v(\" \"),t.title?n(\"p\",[n(\"strong\",{staticClass:\"action-button__title\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.title)+\"\\n\\t\\t\\t\")]),t._v(\" \"),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"action-button__longtext\",domProps:{textContent:t._s(t.text)}})]):t.isLongText?n(\"p\",{staticClass:\"action-button__longtext\",domProps:{textContent:t._s(t.text)}}):n(\"span\",{staticClass:\"action-button__text\"},[t._v(t._s(t.text))]),t._v(\" \"),t._e()],2)])}),[],!1,null,\"33ba3302\",null);\"function\"==typeof a.a&&a()(s);e.a=s.exports},98:function(t,e,n){\"use strict\";n.r(e);var o=n(77);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */e.default=o.a}})}));\n//# sourceMappingURL=ActionButton.js.map","function validate(binding) {\n if (typeof binding.value !== 'function') {\n console.warn('[Vue-click-outside:] provided expression', binding.expression, 'is not a function.')\n return false\n }\n\n return true\n}\n\nfunction isPopup(popupItem, elements) {\n if (!popupItem || !elements)\n return false\n\n for (var i = 0, len = elements.length; i < len; i++) {\n try {\n if (popupItem.contains(elements[i])) {\n return true\n }\n if (elements[i].contains(popupItem)) {\n return false\n }\n } catch(e) {\n return false\n }\n }\n\n return false\n}\n\nfunction isServer(vNode) {\n return typeof vNode.componentInstance !== 'undefined' && vNode.componentInstance.$isServer\n}\n\nexports = module.exports = {\n bind: function (el, binding, vNode) {\n if (!validate(binding)) return\n\n // Define Handler and cache it on the element\n function handler(e) {\n if (!vNode.context) return\n\n // some components may have related popup item, on which we shall prevent the click outside event handler.\n var elements = e.path || (e.composedPath && e.composedPath())\n elements && elements.length > 0 && elements.unshift(e.target)\n\n if (el.contains(e.target) || isPopup(vNode.context.popupItem, elements)) return\n\n el.__vueClickOutside__.callback(e)\n }\n\n // add Event Listeners\n el.__vueClickOutside__ = {\n handler: handler,\n callback: binding.value\n }\n const clickHandler = 'ontouchstart' in document.documentElement ? 'touchstart' : 'click';\n !isServer(vNode) && document.addEventListener(clickHandler, handler)\n },\n\n update: function (el, binding) {\n if (validate(binding)) el.__vueClickOutside__.callback = binding.value\n },\n\n unbind: function (el, binding, vNode) {\n // Remove Event Listeners\n const clickHandler = 'ontouchstart' in document.documentElement ? 'touchstart' : 'click';\n !isServer(vNode) && el.__vueClickOutside__ && document.removeEventListener(clickHandler, el.__vueClickOutside__.handler)\n delete el.__vueClickOutside__\n }\n}\n"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/core-js/modules/es.function.name.js","webpack:///./node_modules/@nextcloud/l10n/dist/gettext.js","webpack:///./node_modules/core-js/modules/es.string.trim.js","webpack:///./node_modules/core-js/internals/string-trim-forced.js","webpack:///./node_modules/core-js/modules/es.array.splice.js","webpack:///./node_modules/@nextcloud/vue/dist/Components/PopoverMenu.js","webpack:///./node_modules/@nextcloud/vue/dist/Components/Actions.js","webpack:///./node_modules/@nextcloud/vue/dist/Components/ActionButton.js","webpack:///./node_modules/vue-click-outside/index.js"],"names":["DESCRIPTORS","defineProperty","f","FunctionPrototype","Function","prototype","FunctionPrototypeToString","toString","nameRE","configurable","get","call","this","match","error","Object","exports","value","getGettextBuilder","GettextBuilder","obj","_nodeGettext","__esModule","default","_","_classCallCheck","instance","Constructor","TypeError","_defineProperties","target","props","i","length","descriptor","enumerable","writable","key","_createClass","protoProps","staticProps","translations","debug","language","locale","setLanguage","getLanguage","data","GettextWrapper","gt","sourceLocale","addTranslations","setLocale","translated","vars","replace","a","b","r","original","placeholders","arguments","undefined","subtitudePlaceholders","gettext","singular","plural","count","ngettext","$","$trim","trim","proto","forced","forcedStringTrimMethod","fails","whitespaces","module","METHOD_NAME","name","toAbsoluteIndex","toInteger","toLength","toObject","arraySpeciesCreate","createProperty","arrayMethodHasSpeciesSupport","arrayMethodUsesToLength","HAS_SPECIES_SUPPORT","USES_TO_LENGTH","ACCESSORS","0","1","max","Math","min","splice","start","deleteCount","insertCount","actualDeleteCount","A","k","from","to","O","len","actualStart","argumentsLength","window","t","e","n","l","m","c","d","o","Symbol","toStringTag","create","bind","hasOwnProperty","p","s","options","render","staticRenderFns","_compiled","functional","_scopeId","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","u","beforeCreate","concat","map","btoa","unescape","encodeURIComponent","JSON","stringify","sources","sourceRoot","join","push","15","19","2","id","css","media","sourceMap","parts","document","DEBUG","Error","head","getElementsByTagName","navigator","test","userAgent","toLowerCase","refs","v","createElement","type","appendChild","querySelector","parentNode","removeChild","y","g","h","x","filter","Boolean","styleSheet","cssText","createTextNode","childNodes","insertBefore","setAttribute","ssrId","firstChild","20","21","27","28","33","locals","34","35","41","5","53","item","required","href","icon","text","validator","input","indexOf","computed","round","random","iconIsUrl","URL","methods","action","components","PopoverMenuItem","$createElement","_self","_c","staticClass","attrs","download","rel","on","click","src","class","_v","longtext","_s","_e","active","submit","preventDefault","placeholder","domProps","directives","rawName","model","expression","checked","Array","isArray","_i","change","$set","slice","_q","composing","for","stopPropagation","disabled","menu","_l","84","85","86","87","88","89","T","M","detectLocale","json","charset","headers","Language","msgid","comments","translator","msgstr","reference","Actions","Choose","Close","Next","Previous","Settings","Activities","Custom","Flags","Objects","Search","Symbols","addTranslation","build","hash","needQuotes","VTooltip","defaultTemplate","defaultHtml","substr","componentOptions","tag","util","warn","getBoundingClientRect","documentElement","clientHeight","clientWidth","assign","top","left","bottom","right","any","all","offsetY","offsetX","ClickOutside","directive","tooltip","open","forceMenu","menuAlign","String","menuTitle","primary","defaultIcon","ariaLabel","actions","opened","focusIndex","randomId","offsetYArrow","rotateArrow","children","$children","hasMultipleActions","isValidSingleAction","firstActionElement","firstActionVNode","firstAction","firstActionBinding","is","exact","firstActionEvent","listeners","firstActionEventBinding","firstActionClass","watch","$nextTick","onOpen","beforeMount","initActions","$slots","beforeUpdate","toggleMenu","$emit","closeMenu","$refs","onMouseFocusAction","activeElement","closest","fullmenu","querySelectorAll","focusAction","removeCurrentActive","classList","remove","focus","focusPreviousAction","focusNextAction","focusFirstAction","focusLastAction","$el","execFirstAction","_b","modifiers","auto","_d","hidden","_t","ref","keydown","_k","keyCode","ctrlKey","shiftKey","altKey","metaKey","keyup","style","marginRight","marginTop","tabindex","mousemove","transform","108","14","144","145","24","before","$destroy","getText","isLongText","26","30","$parent","mixins","title","closeAfterClick","isIconUrl","onClick","58","6","69","92","isFocusable","focusable","backgroundImage","textContent","validate","binding","console","isServer","vNode","componentInstance","$isServer","el","handler","context","elements","path","composedPath","unshift","contains","popupItem","isPopup","__vueClickOutside__","callback","clickHandler","addEventListener","update","unbind","removeEventListener"],"mappings":"uFAAA,IAAIA,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,GAAuCC,EAEhEC,EAAoBC,SAASC,UAC7BC,EAA4BH,EAAkBI,SAC9CC,EAAS,wBAKTR,KAJO,SAIkBG,IAC3BF,EAAeE,EALN,OAK+B,CACtCM,cAAc,EACdC,IAAK,WACH,IACE,OAAOJ,EAA0BK,KAAKC,MAAMC,MAAML,GAAQ,GAC1D,MAAOM,GACP,MAAO,Q,iCCff,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAERC,OAAOd,eAAee,EAAS,aAAc,CAC3CC,OAAO,IAETD,EAAQE,kBAqGR,WACE,OAAO,IAAIC,GApGb,IAIgCC,EAJ5BC,GAI4BD,EAJU,EAAQ,OAIGA,EAAIE,WAAaF,EAAM,CAAEG,QAASH,GAFnFI,EAAI,EAAQ,KAIhB,SAASC,EAAgBC,EAAUC,GAAe,KAAMD,aAAoBC,GAAgB,MAAM,IAAIC,UAAU,qCAEhH,SAASC,EAAkBC,EAAQC,GAAS,IAAK,IAAIC,EAAI,EAAGA,EAAID,EAAME,OAAQD,IAAK,CAAE,IAAIE,EAAaH,EAAMC,GAAIE,EAAWC,WAAaD,EAAWC,aAAc,EAAOD,EAAWzB,cAAe,EAAU,UAAWyB,IAAYA,EAAWE,UAAW,GAAMrB,OAAOd,eAAe6B,EAAQI,EAAWG,IAAKH,IAE7S,SAASI,EAAaX,EAAaY,EAAYC,GAAmJ,OAAhID,GAAYV,EAAkBF,EAAYtB,UAAWkC,GAAiBC,GAAaX,EAAkBF,EAAaa,GAAqBb,EAEzM,IAAIR,EAA8B,WAChC,SAASA,IACPM,EAAgBb,KAAMO,GAEtBP,KAAK6B,aAAe,GACpB7B,KAAK8B,OAAQ,EAiCf,OA9BAJ,EAAanB,EAAgB,CAAC,CAC5BkB,IAAK,cACLpB,MAAO,SAAqB0B,GAE1B,OADA/B,KAAKgC,OAASD,EACP/B,OAER,CACDyB,IAAK,eACLpB,MAAO,WACL,OAAOL,KAAKiC,aAAY,EAAIrB,EAAEsB,kBAE/B,CACDT,IAAK,iBACLpB,MAAO,SAAwB0B,EAAUI,GAEvC,OADAnC,KAAK6B,aAAaE,GAAYI,EACvBnC,OAER,CACDyB,IAAK,kBACLpB,MAAO,WAEL,OADAL,KAAK8B,OAAQ,EACN9B,OAER,CACDyB,IAAK,QACLpB,MAAO,WACL,OAAO,IAAI+B,EAAepC,KAAKgC,QAAU,KAAMhC,KAAK6B,aAAc7B,KAAK8B,WAIpEvB,EAtCyB,GAyC9B6B,EAA8B,WAChC,SAASA,EAAeJ,EAAQG,EAAML,GAQpC,IAAK,IAAIL,KAPTZ,EAAgBb,KAAMoC,GAEtBpC,KAAKqC,GAAK,IAAI5B,EAAaE,QAAQ,CACjCmB,MAAOA,EACPQ,aAAc,OAGAH,EACdnC,KAAKqC,GAAGE,gBAAgBd,EAAK,WAAYU,EAAKV,IAGhDzB,KAAKqC,GAAGG,UAAUR,GA8BpB,OA3BAN,EAAaU,EAAgB,CAAC,CAC5BX,IAAK,wBACLpB,MAAO,SAA+BoC,EAAYC,GAChD,OAAOD,EAAWE,QAAQ,eAAe,SAAUC,EAAGC,GACpD,IAAIC,EAAIJ,EAAKG,GAEb,MAAiB,iBAANC,GAA+B,iBAANA,EAC3BA,EAAEnD,WAEFiD,OAIZ,CACDnB,IAAK,UACLpB,MAAO,SAAiB0C,GACtB,IAAIC,EAAeC,UAAU5B,OAAS,QAAsB6B,IAAjBD,UAAU,GAAmBA,UAAU,GAAK,GACvF,OAAOjD,KAAKmD,sBAAsBnD,KAAKqC,GAAGe,QAAQL,GAAWC,KAE9D,CACDvB,IAAK,WACLpB,MAAO,SAAkBgD,EAAUC,EAAQC,GACzC,IAAIP,EAAeC,UAAU5B,OAAS,QAAsB6B,IAAjBD,UAAU,GAAmBA,UAAU,GAAK,GACvF,OAAOjD,KAAKmD,sBAAsBnD,KAAKqC,GAAGmB,SAASH,EAAUC,EAAQC,GAAOZ,QAAQ,MAAOY,EAAM5D,YAAaqD,OAI3GZ,EA3CyB,I,iCCnElC,IAAIqB,EAAI,EAAQ,IACZC,EAAQ,EAAQ,KAA4BC,KAKhDF,EAAE,CAAEvC,OAAQ,SAAU0C,OAAO,EAAMC,OAJN,EAAQ,IAIMC,CAAuB,SAAW,CAC3EH,KAAM,WACJ,OAAOD,EAAM1D,U,oBCTjB,IAAI+D,EAAQ,EAAQ,GAChBC,EAAc,EAAQ,KAM1BC,EAAO7D,QAAU,SAAU8D,GACzB,OAAOH,GAAM,WACX,QAASC,EAAYE,MANf,aAMqCA,MAAyBF,EAAYE,GAAaC,OAASD,O,iCCR1G,IAAIT,EAAI,EAAQ,IACZW,EAAkB,EAAQ,KAC1BC,EAAY,EAAQ,IACpBC,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAqB,EAAQ,IAC7BC,EAAiB,EAAQ,KACzBC,EAA+B,EAAQ,IACvCC,EAA0B,EAAQ,IAElCC,EAAsBF,EAA6B,UACnDG,EAAiBF,EAAwB,SAAU,CAAEG,WAAW,EAAMC,EAAG,EAAGC,EAAG,IAE/EC,EAAMC,KAAKD,IACXE,EAAMD,KAAKC,IAOf1B,EAAE,CAAEvC,OAAQ,QAAS0C,OAAO,EAAMC,QAASe,IAAwBC,GAAkB,CACnFO,OAAQ,SAAgBC,EAAOC,GAC7B,IAIIC,EAAaC,EAAmBC,EAAGC,EAAGC,EAAMC,EAJ5CC,EAAItB,EAASvE,MACb8F,EAAMxB,EAASuB,EAAExE,QACjB0E,EAAc3B,EAAgBiB,EAAOS,GACrCE,EAAkB/C,UAAU5B,OAWhC,GATwB,IAApB2E,EACFT,EAAcC,EAAoB,EACL,IAApBQ,GACTT,EAAc,EACdC,EAAoBM,EAAMC,IAE1BR,EAAcS,EAAkB,EAChCR,EAAoBL,EAAIF,EAAIZ,EAAUiB,GAAc,GAAIQ,EAAMC,IAE5DD,EAAMP,EAAcC,EAtBL,iBAuBjB,MAAMxE,UAtB0B,mCAyBlC,IADAyE,EAAIjB,EAAmBqB,EAAGL,GACrBE,EAAI,EAAGA,EAAIF,EAAmBE,KACjCC,EAAOI,EAAcL,KACTG,GAAGpB,EAAegB,EAAGC,EAAGG,EAAEF,IAGxC,GADAF,EAAEpE,OAASmE,EACPD,EAAcC,EAAmB,CACnC,IAAKE,EAAIK,EAAaL,EAAII,EAAMN,EAAmBE,IAEjDE,EAAKF,EAAIH,GADTI,EAAOD,EAAIF,KAECK,EAAGA,EAAED,GAAMC,EAAEF,UACbE,EAAED,GAEhB,IAAKF,EAAII,EAAKJ,EAAII,EAAMN,EAAoBD,EAAaG,WAAYG,EAAEH,EAAI,QACtE,GAAIH,EAAcC,EACvB,IAAKE,EAAII,EAAMN,EAAmBE,EAAIK,EAAaL,IAEjDE,EAAKF,EAAIH,EAAc,GADvBI,EAAOD,EAAIF,EAAoB,KAEnBK,EAAGA,EAAED,GAAMC,EAAEF,UACbE,EAAED,GAGlB,IAAKF,EAAI,EAAGA,EAAIH,EAAaG,IAC3BG,EAAEH,EAAIK,GAAe9C,UAAUyC,EAAI,GAGrC,OADAG,EAAExE,OAASyE,EAAMN,EAAoBD,EAC9BE,M,oBCnEsSQ,OAAhPhC,EAAO7D,QAAmQ,SAAS8F,GAAG,IAAIC,EAAE,GAAG,SAASC,EAAExD,GAAG,GAAGuD,EAAEvD,GAAG,OAAOuD,EAAEvD,GAAGxC,QAAQ,IAAIgB,EAAE+E,EAAEvD,GAAG,CAACxB,EAAEwB,EAAEyD,GAAE,EAAGjG,QAAQ,IAAI,OAAO8F,EAAEtD,GAAG7C,KAAKqB,EAAEhB,QAAQgB,EAAEA,EAAEhB,QAAQgG,GAAGhF,EAAEiF,GAAE,EAAGjF,EAAEhB,QAAQ,OAAOgG,EAAEE,EAAEJ,EAAEE,EAAEG,EAAEJ,EAAEC,EAAEI,EAAE,SAASN,EAAEC,EAAEvD,GAAGwD,EAAEK,EAAEP,EAAEC,IAAIhG,OAAOd,eAAe6G,EAAEC,EAAE,CAAC5E,YAAW,EAAGzB,IAAI8C,KAAKwD,EAAEtD,EAAE,SAASoD,GAAG,oBAAoBQ,QAAQA,OAAOC,aAAaxG,OAAOd,eAAe6G,EAAEQ,OAAOC,YAAY,CAACtG,MAAM,WAAWF,OAAOd,eAAe6G,EAAE,aAAa,CAAC7F,OAAM,KAAM+F,EAAEF,EAAE,SAASA,EAAEC,GAAG,GAAG,EAAEA,IAAID,EAAEE,EAAEF,IAAI,EAAEC,EAAE,OAAOD,EAAE,GAAG,EAAEC,GAAG,iBAAiBD,GAAGA,GAAGA,EAAExF,WAAW,OAAOwF,EAAE,IAAItD,EAAEzC,OAAOyG,OAAO,MAAM,GAAGR,EAAEtD,EAAEF,GAAGzC,OAAOd,eAAeuD,EAAE,UAAU,CAACrB,YAAW,EAAGlB,MAAM6F,IAAI,EAAEC,GAAG,iBAAiBD,EAAE,IAAI,IAAI9E,KAAK8E,EAAEE,EAAEI,EAAE5D,EAAExB,EAAE,SAAS+E,GAAG,OAAOD,EAAEC,IAAIU,KAAK,KAAKzF,IAAI,OAAOwB,GAAGwD,EAAEA,EAAE,SAASF,GAAG,IAAIC,EAAED,GAAGA,EAAExF,WAAW,WAAW,OAAOwF,EAAEvF,SAAS,WAAW,OAAOuF,GAAG,OAAOE,EAAEI,EAAEL,EAAE,IAAIA,GAAGA,GAAGC,EAAEK,EAAE,SAASP,EAAEC,GAAG,OAAOhG,OAAOV,UAAUqH,eAAe/G,KAAKmG,EAAEC,IAAIC,EAAEW,EAAE,SAASX,EAAEA,EAAEY,EAAE,IAAv5B,CAA45B,CAACjC,EAAE,SAASmB,EAAEC,EAAEC,GAAG,aAAa,SAASxD,EAAEsD,EAAEC,EAAEC,EAAExD,EAAExB,EAAEqF,EAAEO,EAAElE,GAAG,IAAIuD,EAAEE,EAAE,mBAAmBL,EAAEA,EAAEe,QAAQf,EAAE,GAAGC,IAAII,EAAEW,OAAOf,EAAEI,EAAEY,gBAAgBf,EAAEG,EAAEa,WAAU,GAAIxE,IAAI2D,EAAEc,YAAW,GAAIZ,IAAIF,EAAEe,SAAS,UAAUb,GAAGO,GAAGX,EAAE,SAASH,IAAIA,EAAEA,GAAGlG,KAAKuH,QAAQvH,KAAKuH,OAAOC,YAAYxH,KAAKyH,QAAQzH,KAAKyH,OAAOF,QAAQvH,KAAKyH,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBxB,EAAEwB,qBAAqBtG,GAAGA,EAAErB,KAAKC,KAAKkG,GAAGA,GAAGA,EAAEyB,uBAAuBzB,EAAEyB,sBAAsBC,IAAIZ,IAAIT,EAAEsB,aAAaxB,GAAGjF,IAAIiF,EAAEvD,EAAE,WAAW1B,EAAErB,KAAKC,MAAMuG,EAAEc,WAAWrH,KAAKyH,OAAOzH,MAAM8H,MAAMC,SAASC,aAAa5G,GAAGiF,EAAE,GAAGE,EAAEc,WAAW,CAACd,EAAE0B,cAAc5B,EAAE,IAAIG,EAAED,EAAEW,OAAOX,EAAEW,OAAO,SAAShB,EAAEC,GAAG,OAAOE,EAAEtG,KAAKoG,GAAGK,EAAEN,EAAEC,QAAQ,CAAC,IAAI+B,EAAE3B,EAAE4B,aAAa5B,EAAE4B,aAAaD,EAAE,GAAGE,OAAOF,EAAE7B,GAAG,CAACA,GAAG,MAAM,CAACjG,QAAQ8F,EAAEe,QAAQV,GAAGH,EAAEI,EAAEL,EAAE,KAAI,WAAY,OAAOvD,MAAMoC,EAAE,SAASkB,EAAEC,EAAEC,GAAG,aAAaF,EAAE9F,QAAQ,SAAS8F,GAAG,IAAIC,EAAE,GAAG,OAAOA,EAAExG,SAAS,WAAW,OAAOK,KAAKqI,KAAI,SAAUlC,GAAG,IAAIC,EAAE,SAASF,EAAEC,GAAG,IAAyXa,EAAElE,EAAEuD,EAAzXD,EAAEF,EAAE,IAAI,GAAGtD,EAAEsD,EAAE,GAAG,IAAItD,EAAE,OAAOwD,EAAE,GAAGD,GAAG,mBAAmBmC,KAAK,CAAC,IAAIlH,GAAG4F,EAAEpE,EAAEE,EAAEwF,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU1B,MAAMX,EAAE,+DAA+D+B,OAAOtF,GAAG,OAAOsF,OAAO/B,EAAE,QAAQI,EAAE7D,EAAE+F,QAAQN,KAAI,SAAUnC,GAAG,MAAM,iBAAiBkC,OAAOxF,EAAEgG,YAAY,IAAIR,OAAOlC,EAAE,UAAU,MAAM,CAACE,GAAGgC,OAAO3B,GAAG2B,OAAO,CAAChH,IAAIyH,KAAK,MAAgB,MAAM,CAACzC,GAAGyC,KAAK,MAA5Z,CAAma1C,EAAED,GAAG,OAAOC,EAAE,GAAG,UAAUiC,OAAOjC,EAAE,GAAG,MAAMiC,OAAOhC,EAAE,KAAKA,KAAKyC,KAAK,KAAK1C,EAAE/E,EAAE,SAAS8E,EAAEE,EAAExD,GAAG,iBAAiBsD,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAI9E,EAAE,GAAG,GAAGwB,EAAE,IAAI,IAAI6D,EAAE,EAAEA,EAAEzG,KAAKqB,OAAOoF,IAAI,CAAC,IAAIO,EAAEhH,KAAKyG,GAAG,GAAG,MAAMO,IAAI5F,EAAE4F,IAAG,GAAI,IAAI,IAAIlE,EAAE,EAAEA,EAAEoD,EAAE7E,OAAOyB,IAAI,CAAC,IAAIuD,EAAE,GAAG+B,OAAOlC,EAAEpD,IAAIF,GAAGxB,EAAEiF,EAAE,MAAMD,IAAIC,EAAE,GAAGA,EAAE,GAAG,GAAG+B,OAAOhC,EAAE,SAASgC,OAAO/B,EAAE,IAAIA,EAAE,GAAGD,GAAGD,EAAE2C,KAAKzC,MAAMF,IAAI4C,GAAG,SAAS7C,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAsC4I,GAAG,SAAS9C,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAsC6I,EAAE,SAAS/C,EAAEC,EAAEC,GAAG,aAAa,SAASxD,EAAEsD,EAAEC,GAAG,IAAI,IAAIC,EAAE,GAAGxD,EAAE,GAAGxB,EAAE,EAAEA,EAAE+E,EAAE9E,OAAOD,IAAI,CAAC,IAAIqF,EAAEN,EAAE/E,GAAG4F,EAAEP,EAAE,GAAG3D,EAAE,CAACoG,GAAGhD,EAAE,IAAI9E,EAAE+H,IAAI1C,EAAE,GAAG2C,MAAM3C,EAAE,GAAG4C,UAAU5C,EAAE,IAAI7D,EAAEoE,GAAGpE,EAAEoE,GAAGsC,MAAMR,KAAKhG,GAAGsD,EAAE0C,KAAKlG,EAAEoE,GAAG,CAACkC,GAAGlC,EAAEsC,MAAM,CAACxG,KAAK,OAAOsD,EAAEA,EAAEtD,EAAEqD,GAAGC,EAAEI,EAAEL,EAAE,WAAU,WAAY,OAAOG,KAAK,IAAIlF,EAAE,oBAAoBmI,SAAS,GAAG,oBAAoBC,OAAOA,QAAQpI,EAAE,MAAM,IAAIqI,MAAM,2JAA2J,IAAIhD,EAAE,GAAGO,EAAE5F,IAAImI,SAASG,MAAMH,SAASI,qBAAqB,QAAQ,IAAI7G,EAAE,KAAKuD,EAAE,EAAEE,GAAE,EAAGC,EAAE,aAAa0B,EAAE,KAAKnB,EAAE,oBAAoB6C,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAASzD,EAAEJ,EAAEC,EAAEC,EAAEhF,GAAGmF,EAAEH,EAAE8B,EAAE9G,GAAG,GAAG,IAAI4F,EAAEpE,EAAEsD,EAAEC,GAAG,OAAO7G,EAAE0H,GAAG,SAASb,GAAG,IAAI,IAAIC,EAAE,GAAGhF,EAAE,EAAEA,EAAE4F,EAAE3F,OAAOD,IAAI,CAAC,IAAI0B,EAAEkE,EAAE5F,IAAIiF,EAAEI,EAAE3D,EAAEoG,KAAKc,OAAO5D,EAAE0C,KAAKzC,GAAsB,IAAnBF,EAAE7G,EAAE0H,EAAEpE,EAAEsD,EAAEC,IAAIa,EAAE,GAAO5F,EAAE,EAAEA,EAAEgF,EAAE/E,OAAOD,IAAI,CAAC,IAAIiF,EAAE,GAAG,KAAKA,EAAED,EAAEhF,IAAI4I,KAAK,CAAC,IAAI,IAAIzD,EAAE,EAAEA,EAAEF,EAAEiD,MAAMjI,OAAOkF,IAAIF,EAAEiD,MAAM/C,YAAYE,EAAEJ,EAAE6C,OAAO,SAAS5J,EAAE4G,GAAG,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAE7E,OAAO8E,IAAI,CAAC,IAAIC,EAAEF,EAAEC,GAAGvD,EAAE6D,EAAEL,EAAE8C,IAAI,GAAGtG,EAAE,CAACA,EAAEoH,OAAO,IAAI,IAAI5I,EAAE,EAAEA,EAAEwB,EAAE0G,MAAMjI,OAAOD,IAAIwB,EAAE0G,MAAMlI,GAAGgF,EAAEkD,MAAMlI,IAAI,KAAKA,EAAEgF,EAAEkD,MAAMjI,OAAOD,IAAIwB,EAAE0G,MAAMR,KAAKmB,EAAE7D,EAAEkD,MAAMlI,KAAKwB,EAAE0G,MAAMjI,OAAO+E,EAAEkD,MAAMjI,SAASuB,EAAE0G,MAAMjI,OAAO+E,EAAEkD,MAAMjI,YAAY,CAAC,IAAI2F,EAAE,GAAG,IAAI5F,EAAE,EAAEA,EAAEgF,EAAEkD,MAAMjI,OAAOD,IAAI4F,EAAE8B,KAAKmB,EAAE7D,EAAEkD,MAAMlI,KAAKqF,EAAEL,EAAE8C,IAAI,CAACA,GAAG9C,EAAE8C,GAAGc,KAAK,EAAEV,MAAMtC,KAAK,SAASnE,IAAI,IAAIqD,EAAEqD,SAASW,cAAc,SAAS,OAAOhE,EAAEiE,KAAK,WAAWnD,EAAEoD,YAAYlE,GAAGA,EAAE,SAAS+D,EAAE/D,GAAG,IAAIC,EAAEC,EAAExD,EAAE2G,SAASc,cAAc,2BAA2BnE,EAAEgD,GAAG,MAAM,GAAGtG,EAAE,CAAC,GAAG2D,EAAE,OAAOC,EAAE5D,EAAE0H,WAAWC,YAAY3H,GAAG,GAAGmE,EAAE,CAAC,IAAI3F,EAAEiF,IAAIzD,EAAEE,IAAIA,EAAED,KAAKsD,EAAEqE,EAAE3D,KAAK,KAAKjE,EAAExB,GAAE,GAAIgF,EAAEoE,EAAE3D,KAAK,KAAKjE,EAAExB,GAAE,QAASwB,EAAEC,IAAIsD,EAAEsE,EAAE5D,KAAK,KAAKjE,GAAGwD,EAAE,WAAWxD,EAAE0H,WAAWC,YAAY3H,IAAI,OAAOuD,EAAED,GAAG,SAAStD,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAEuG,MAAMjD,EAAEiD,KAAKvG,EAAEwG,QAAQlD,EAAEkD,OAAOxG,EAAEyG,YAAYnD,EAAEmD,UAAU,OAAOlD,EAAED,EAAEtD,QAAQwD,KAAK,IAAIsE,EAAEC,GAAGD,EAAE,GAAG,SAASxE,EAAEC,GAAG,OAAOuE,EAAExE,GAAGC,EAAEuE,EAAEE,OAAOC,SAAShC,KAAK,QAAQ,SAAS2B,EAAEtE,EAAEC,EAAEC,EAAExD,GAAG,IAAIxB,EAAEgF,EAAE,GAAGxD,EAAEuG,IAAI,GAAGjD,EAAE4E,WAAW5E,EAAE4E,WAAWC,QAAQJ,EAAExE,EAAE/E,OAAO,CAAC,IAAIqF,EAAE8C,SAASyB,eAAe5J,GAAG4F,EAAEd,EAAE+E,WAAWjE,EAAEb,IAAID,EAAEqE,YAAYvD,EAAEb,IAAIa,EAAE3F,OAAO6E,EAAEgF,aAAazE,EAAEO,EAAEb,IAAID,EAAEkE,YAAY3D,IAAI,SAASgE,EAAEvE,EAAEC,GAAG,IAAIC,EAAED,EAAEgD,IAAIvG,EAAEuD,EAAEiD,MAAMhI,EAAE+E,EAAEkD,UAAU,GAAGzG,GAAGsD,EAAEiF,aAAa,QAAQvI,GAAGsF,EAAEkD,OAAOlF,EAAEiF,aAAa,kBAAkBhF,EAAE+C,IAAI9H,IAAIgF,GAAG,mBAAmBhF,EAAEuH,QAAQ,GAAG,MAAMvC,GAAG,uDAAuDkC,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUtH,MAAM,OAAO8E,EAAE4E,WAAW5E,EAAE4E,WAAWC,QAAQ3E,MAAM,CAAC,KAAKF,EAAEmF,YAAYnF,EAAEqE,YAAYrE,EAAEmF,YAAYnF,EAAEkE,YAAYb,SAASyB,eAAe5E,OAAOkF,GAAG,SAASpF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAuCmL,GAAG,SAASrF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAiDoL,GAAG,SAAStF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAA4BqL,GAAG,SAASvF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAwCsL,GAAG,SAASxF,EAAEC,EAAEC,GAAG,IAAIxD,EAAEwD,EAAE,IAAI,iBAAiBxD,IAAIA,EAAE,CAAC,CAACsD,EAAE9E,EAAEwB,EAAE,MAAMA,EAAE+I,SAASzF,EAAE9F,QAAQwC,EAAE+I,SAAQ,EAAGvF,EAAE,GAAGzF,SAAS,WAAWiC,GAAE,EAAG,KAAKgJ,GAAG,SAAS1F,EAAEC,EAAEC,GAAG,IAAIxD,EAAEwD,EAAE,IAAI,iBAAiBxD,IAAIA,EAAE,CAAC,CAACsD,EAAE9E,EAAEwB,EAAE,MAAMA,EAAE+I,SAASzF,EAAE9F,QAAQwC,EAAE+I,SAAQ,EAAGvF,EAAE,GAAGzF,SAAS,WAAWiC,GAAE,EAAG,KAAKiJ,GAAG,SAAS3F,EAAEC,EAAEC,GAAG,IAAIxD,EAAEwD,EAAE,IAAI,iBAAiBxD,IAAIA,EAAE,CAAC,CAACsD,EAAE9E,EAAEwB,EAAE,MAAMA,EAAE+I,SAASzF,EAAE9F,QAAQwC,EAAE+I,SAAQ,EAAGvF,EAAE,GAAGzF,SAAS,WAAWiC,GAAE,EAAG,KAAKkJ,GAAG,SAAS5F,EAAEC,KAAK4F,EAAE,SAAS7F,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAwC4L,GAAG,SAAS9F,EAAEC,EAAEC,GAAG,aAAaA,EAAEtD,EAAEqD,GAAGC,EAAE,IAAIA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIxD,EAAE,CAACuB,KAAK,kBAAkBhD,MAAM,CAAC8K,KAAK,CAAC9B,KAAKhK,OAAO+L,UAAS,EAAGvL,QAAQ,WAAW,MAAM,CAACc,IAAI,iBAAiB0K,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,cAAcC,UAAU,SAASpG,GAAG,OAAOA,EAAEqG,QAAQ,IAAI,CAAC,OAAO,YAAYC,QAAQtG,EAAEqG,UAAUE,SAAS,CAAChL,IAAI,WAAW,OAAOzB,KAAKiM,KAAKxK,IAAIzB,KAAKiM,KAAKxK,IAAIyD,KAAKwH,MAAM,GAAGxH,KAAKyH,SAAS,KAAKhN,SAAS,KAAKiN,UAAU,WAAW,IAAI,OAAO,IAAIC,IAAI7M,KAAKiM,KAAKG,OAAM,EAAG,MAAMlG,GAAG,OAAM,KAAM4G,QAAQ,CAACC,OAAO,SAAS7G,GAAGlG,KAAKiM,KAAKc,QAAQ/M,KAAKiM,KAAKc,OAAO7G,MAAM9E,GAAGgF,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIK,EAAE,CAACtC,KAAK,cAAc6I,WAAW,CAACC,gBAAgB9M,OAAOiB,EAAEwB,EAATzC,CAAYyC,GAAE,WAAY,IAAIsD,EAAElG,KAAKmG,EAAED,EAAEgH,eAAe9G,EAAEF,EAAEiH,MAAMC,IAAIjH,EAAE,OAAOC,EAAE,KAAK,CAACF,EAAE+F,KAAKE,KAAK/F,EAAE,IAAI,CAACiH,YAAY,YAAYC,MAAM,CAACnB,KAAKjG,EAAE+F,KAAKE,KAAKjG,EAAE+F,KAAKE,KAAK,IAAIjL,OAAOgF,EAAE+F,KAAK/K,OAAOgF,EAAE+F,KAAK/K,OAAO,GAAGqM,SAASrH,EAAE+F,KAAKsB,SAASC,IAAI,uBAAuBC,GAAG,CAACC,MAAMxH,EAAE6G,SAAS,CAAC7G,EAAE0G,UAAUxG,EAAE,MAAM,CAACkH,MAAM,CAACK,IAAIzH,EAAE+F,KAAKG,QAAQhG,EAAE,OAAO,CAACwH,MAAM1H,EAAE+F,KAAKG,OAAOlG,EAAE2H,GAAG,KAAK3H,EAAE+F,KAAKI,MAAMnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACA,EAAE,SAAS,CAACiH,YAAY,iBAAiB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,cAAcjG,EAAE,MAAMF,EAAE2H,GAAG,KAAKzH,EAAE,OAAO,CAACiH,YAAY,wBAAwB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,gBAAgB5H,EAAE+F,KAAKI,KAAKjG,EAAE,OAAO,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,YAAYnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,YAAY5H,EAAE8H,OAAO9H,EAAE+F,KAAKM,MAAMnG,EAAE,OAAO,CAACiH,YAAY,WAAWO,MAAM,CAACK,OAAO/H,EAAE+F,KAAKgC,SAAS,CAAC,aAAa/H,EAAE+F,KAAKM,MAAMnG,EAAE,OAAO,CAACwH,MAAM1H,EAAE+F,KAAKG,OAAOlG,EAAE8H,KAAK9H,EAAE2H,GAAG,KAAK,SAAS3H,EAAE+F,KAAKM,MAAMnG,EAAE,OAAO,CAACwH,MAAM1H,EAAE+F,KAAKM,MAAMkB,GAAG,CAACS,OAAO,SAAS/H,GAAG,OAAOA,EAAEgI,iBAAiBjI,EAAE+F,KAAKc,OAAO5G,MAAM,CAACC,EAAE,QAAQ,CAACkH,MAAM,CAACnD,KAAKjE,EAAE+F,KAAKM,MAAM6B,YAAYlI,EAAE+F,KAAKI,KAAKH,SAAS,IAAImC,SAAS,CAAChO,MAAM6F,EAAE+F,KAAK5L,SAAS6F,EAAE2H,GAAG,KAAKzH,EAAE,QAAQ,CAACiH,YAAY,eAAeC,MAAM,CAACnD,KAAK,SAAS9J,MAAM,QAAQ,CAAC,aAAa6F,EAAE+F,KAAKM,MAAMnG,EAAE,QAAQ,CAACkI,WAAW,CAAC,CAACnK,KAAK,QAAQoK,QAAQ,UAAUlO,MAAM6F,EAAE+F,KAAKuC,MAAMC,WAAW,eAAeb,MAAM1H,EAAE+F,KAAKM,MAAMe,MAAM,CAACpE,GAAGhD,EAAEzE,IAAI0I,KAAK,YAAYkE,SAAS,CAACK,QAAQC,MAAMC,QAAQ1I,EAAE+F,KAAKuC,OAAOtI,EAAE2I,GAAG3I,EAAE+F,KAAKuC,MAAM,OAAO,EAAEtI,EAAE+F,KAAKuC,OAAOf,GAAG,CAACqB,OAAO,CAAC,SAAS3I,GAAG,IAAIC,EAAEF,EAAE+F,KAAKuC,MAAM5L,EAAEuD,EAAEjF,OAAOE,IAAIwB,EAAE8L,QAAQ,GAAGC,MAAMC,QAAQxI,GAAG,CAAC,IAAIK,EAAEP,EAAE2I,GAAGzI,EAAE,MAAMxD,EAAE8L,QAAQjI,EAAE,GAAGP,EAAE6I,KAAK7I,EAAE+F,KAAK,QAAQ7F,EAAEgC,OAAO,CAAC,QAAQ3B,GAAG,GAAGP,EAAE6I,KAAK7I,EAAE+F,KAAK,QAAQ7F,EAAE4I,MAAM,EAAEvI,GAAG2B,OAAOhC,EAAE4I,MAAMvI,EAAE,UAAUP,EAAE6I,KAAK7I,EAAE+F,KAAK,QAAQ7K,IAAI8E,EAAE+F,KAAKc,WAAW,UAAU7G,EAAE+F,KAAKM,MAAMnG,EAAE,QAAQ,CAACkI,WAAW,CAAC,CAACnK,KAAK,QAAQoK,QAAQ,UAAUlO,MAAM6F,EAAE+F,KAAKuC,MAAMC,WAAW,eAAeb,MAAM1H,EAAE+F,KAAKM,MAAMe,MAAM,CAACpE,GAAGhD,EAAEzE,IAAI0I,KAAK,SAASkE,SAAS,CAACK,QAAQxI,EAAE+I,GAAG/I,EAAE+F,KAAKuC,MAAM,OAAOf,GAAG,CAACqB,OAAO,CAAC,SAAS3I,GAAG,OAAOD,EAAE6I,KAAK7I,EAAE+F,KAAK,QAAQ,OAAO/F,EAAE+F,KAAKc,WAAW3G,EAAE,QAAQ,CAACkI,WAAW,CAAC,CAACnK,KAAK,QAAQoK,QAAQ,UAAUlO,MAAM6F,EAAE+F,KAAKuC,MAAMC,WAAW,eAAeb,MAAM1H,EAAE+F,KAAKM,MAAMe,MAAM,CAACpE,GAAGhD,EAAEzE,IAAI0I,KAAKjE,EAAE+F,KAAKM,OAAO8B,SAAS,CAAChO,MAAM6F,EAAE+F,KAAKuC,OAAOf,GAAG,CAACqB,OAAO5I,EAAE+F,KAAKc,OAAOR,MAAM,SAASpG,GAAGA,EAAEjF,OAAOgO,WAAWhJ,EAAE6I,KAAK7I,EAAE+F,KAAK,QAAQ9F,EAAEjF,OAAOb,WAAW6F,EAAE2H,GAAG,KAAKzH,EAAE,QAAQ,CAACkH,MAAM,CAAC6B,IAAIjJ,EAAEzE,KAAKgM,GAAG,CAACC,MAAM,SAASvH,GAAG,OAAOA,EAAEiJ,kBAAkBjJ,EAAEgI,iBAAiBjI,EAAE+F,KAAKc,OAAO5G,MAAM,CAACD,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,gBAAgB,GAAGnG,EAAE+F,KAAKc,OAAO3G,EAAE,SAAS,CAACiH,YAAY,qBAAqBO,MAAM,CAACK,OAAO/H,EAAE+F,KAAKgC,QAAQX,MAAM,CAAC+B,SAASnJ,EAAE+F,KAAKoD,UAAU5B,GAAG,CAACC,MAAM,SAASvH,GAAG,OAAOA,EAAEiJ,kBAAkBjJ,EAAEgI,iBAAiBjI,EAAE+F,KAAKc,OAAO5G,MAAM,CAACC,EAAE,OAAO,CAACwH,MAAM1H,EAAE+F,KAAKG,OAAOlG,EAAE2H,GAAG,KAAK3H,EAAE+F,KAAKI,MAAMnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACA,EAAE,SAAS,CAACiH,YAAY,iBAAiB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,cAAcjG,EAAE,MAAMF,EAAE2H,GAAG,KAAKzH,EAAE,OAAO,CAACiH,YAAY,wBAAwB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,gBAAgB5H,EAAE+F,KAAKI,KAAKjG,EAAE,OAAO,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,YAAYnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,YAAY5H,EAAE8H,OAAO5H,EAAE,OAAO,CAACiH,YAAY,WAAWO,MAAM,CAACK,OAAO/H,EAAE+F,KAAKgC,SAAS,CAAC7H,EAAE,OAAO,CAACwH,MAAM1H,EAAE+F,KAAKG,OAAOlG,EAAE2H,GAAG,KAAK3H,EAAE+F,KAAKI,MAAMnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACA,EAAE,SAAS,CAACiH,YAAY,iBAAiB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,cAAcjG,EAAE,MAAMF,EAAE2H,GAAG,KAAKzH,EAAE,OAAO,CAACiH,YAAY,wBAAwB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,gBAAgB5H,EAAE+F,KAAKI,KAAKjG,EAAE,OAAO,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAKI,MAAM,YAAYnG,EAAE+F,KAAK6B,SAAS1H,EAAE,IAAI,CAACF,EAAE2H,GAAG,WAAW3H,EAAE6H,GAAG7H,EAAE+F,KAAK6B,UAAU,YAAY5H,EAAE8H,WAAW,IAAG,EAAG,KAAK,WAAW,MAAM5N,SAASe,MAAM,CAACmO,KAAK,CAACnF,KAAKwE,MAAMhO,QAAQ,WAAW,MAAM,CAAC,CAACwL,KAAK,wBAAwBC,KAAK,aAAaC,KAAK,eAAeH,UAAS,KAAMlF,GAAGZ,EAAE,IAAIA,EAAE,KAAKtD,EAAEsD,EAAEA,EAAEY,GAAGX,EAAElG,OAAOiB,EAAEwB,EAATzC,CAAYsG,GAAE,WAAY,IAAIP,EAAElG,KAAKkN,eAAe/G,EAAEnG,KAAKmN,MAAMC,IAAIlH,EAAE,OAAOC,EAAE,KAAKnG,KAAKuP,GAAGvP,KAAKsP,MAAK,SAAUpJ,EAAEE,GAAG,OAAOD,EAAE,kBAAkB,CAAC1E,IAAI2E,EAAEkH,MAAM,CAACrB,KAAK/F,QAAQ,KAAK,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBpD,EAAEF,GAAGE,IAAIuD,GAAG,IAAIE,EAAEF,EAAEjG;;;;;;;;;;;;;;;;;;;;;GAqBp+V+F,EAAExF,QAAQ4F,GAAGiJ,GAAG,SAAStJ,EAAEC,EAAEC,GAAG,aAAa,IAAIxD,EAAEwD,EAAE,IAAIA,EAAEA,EAAExD,GAAGA,GAAG6M,GAAG,SAASvJ,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK0C,KAAK,CAAC5C,EAAE9E,EAAE,kZAAkZ,KAAK8E,EAAE9F,QAAQ+F,GAAGuJ,GAAG,SAASxJ,EAAEC,EAAEC,GAAG,aAAa,IAAIxD,EAAEwD,EAAE,IAAIA,EAAEA,EAAExD,GAAGA,GAAG+M,GAAG,SAASzJ,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK0C,KAAK,CAAC5C,EAAE9E,EAAE,i9NAAi9N,KAAK8E,EAAE9F,QAAQ+F,GAAGyJ,GAAG,SAAS1J,EAAEC,EAAEC,GAAG,aAAa,IAAIxD,EAAEwD,EAAE,IAAIA,EAAEA,EAAExD,GAAGA,GAAGiN,GAAG,SAAS3J,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK0C,KAAK,CAAC5C,EAAE9E,EAAE,4DAA4D,KAAK8E,EAAE9F,QAAQ+F,M,oBCrB79OF,OAApOhC,EAAO7D,QAAuP,SAAS+F,GAAG,IAAIa,EAAE,GAAG,SAASd,EAAEE,GAAG,GAAGY,EAAEZ,GAAG,OAAOY,EAAEZ,GAAGhG,QAAQ,IAAIqG,EAAEO,EAAEZ,GAAG,CAAChF,EAAEgF,EAAEC,GAAE,EAAGjG,QAAQ,IAAI,OAAO+F,EAAEC,GAAGrG,KAAK0G,EAAErG,QAAQqG,EAAEA,EAAErG,QAAQ8F,GAAGO,EAAEJ,GAAE,EAAGI,EAAErG,QAAQ,OAAO8F,EAAEI,EAAEH,EAAED,EAAEK,EAAES,EAAEd,EAAEM,EAAE,SAASL,EAAEa,EAAEZ,GAAGF,EAAEO,EAAEN,EAAEa,IAAI7G,OAAOd,eAAe8G,EAAEa,EAAE,CAACzF,YAAW,EAAGzB,IAAIsG,KAAKF,EAAEpD,EAAE,SAASqD,GAAG,oBAAoBO,QAAQA,OAAOC,aAAaxG,OAAOd,eAAe8G,EAAEO,OAAOC,YAAY,CAACtG,MAAM,WAAWF,OAAOd,eAAe8G,EAAE,aAAa,CAAC9F,OAAM,KAAM6F,EAAEA,EAAE,SAASC,EAAEa,GAAG,GAAG,EAAEA,IAAIb,EAAED,EAAEC,IAAI,EAAEa,EAAE,OAAOb,EAAE,GAAG,EAAEa,GAAG,iBAAiBb,GAAGA,GAAGA,EAAEzF,WAAW,OAAOyF,EAAE,IAAIC,EAAEjG,OAAOyG,OAAO,MAAM,GAAGV,EAAEpD,EAAEsD,GAAGjG,OAAOd,eAAe+G,EAAE,UAAU,CAAC7E,YAAW,EAAGlB,MAAM8F,IAAI,EAAEa,GAAG,iBAAiBb,EAAE,IAAI,IAAIM,KAAKN,EAAED,EAAEM,EAAEJ,EAAEK,EAAE,SAASO,GAAG,OAAOb,EAAEa,IAAIH,KAAK,KAAKJ,IAAI,OAAOL,GAAGF,EAAEE,EAAE,SAASD,GAAG,IAAIa,EAAEb,GAAGA,EAAEzF,WAAW,WAAW,OAAOyF,EAAExF,SAAS,WAAW,OAAOwF,GAAG,OAAOD,EAAEM,EAAEQ,EAAE,IAAIA,GAAGA,GAAGd,EAAEO,EAAE,SAASN,EAAEa,GAAG,OAAO7G,OAAOV,UAAUqH,eAAe/G,KAAKoG,EAAEa,IAAId,EAAEa,EAAE,SAASb,EAAEA,EAAEc,EAAE,IAAv5B,CAA45B,CAAC,SAASb,EAAEa,EAAEd,GAAG,aAAa,SAASE,EAAED,EAAEa,EAAEd,EAAEE,EAAEK,EAAE3D,EAAEyD,EAAEd,GAAG,IAAIrE,EAAEwB,EAAE,mBAAmBuD,EAAEA,EAAEc,QAAQd,EAAE,GAAGa,IAAIpE,EAAEsE,OAAOF,EAAEpE,EAAEuE,gBAAgBjB,EAAEtD,EAAEwE,WAAU,GAAIhB,IAAIxD,EAAEyE,YAAW,GAAIvE,IAAIF,EAAE0E,SAAS,UAAUxE,GAAGyD,GAAGnF,EAAE,SAAS+E,IAAIA,EAAEA,GAAGnG,KAAKuH,QAAQvH,KAAKuH,OAAOC,YAAYxH,KAAKyH,QAAQzH,KAAKyH,OAAOF,QAAQvH,KAAKyH,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBvB,EAAEuB,qBAAqBjB,GAAGA,EAAE1G,KAAKC,KAAKmG,GAAGA,GAAGA,EAAEwB,uBAAuBxB,EAAEwB,sBAAsBC,IAAIrB,IAAI3D,EAAEiF,aAAazG,GAAGqF,IAAIrF,EAAEqE,EAAE,WAAWgB,EAAE1G,KAAKC,MAAM4C,EAAEyE,WAAWrH,KAAKyH,OAAOzH,MAAM8H,MAAMC,SAASC,aAAavB,GAAGrF,EAAE,GAAGwB,EAAEyE,WAAW,CAACzE,EAAEqF,cAAc7G,EAAE,IAAIkF,EAAE1D,EAAEsE,OAAOtE,EAAEsE,OAAO,SAASf,EAAEa,GAAG,OAAO5F,EAAErB,KAAKiH,GAAGV,EAAEH,EAAEa,QAAQ,CAAC,IAAIX,EAAEzD,EAAEuF,aAAavF,EAAEuF,aAAa9B,EAAE,GAAG+B,OAAO/B,EAAEjF,GAAG,CAACA,GAAG,MAAM,CAAChB,QAAQ+F,EAAEc,QAAQrE,GAAGsD,EAAEM,EAAEQ,EAAE,KAAI,WAAY,OAAOZ,MAAM,SAASD,EAAEa,EAAEd,GAAG,aAAaC,EAAE/F,QAAQ,SAAS+F,GAAG,IAAIa,EAAE,GAAG,OAAOA,EAAErH,SAAS,WAAW,OAAOK,KAAKqI,KAAI,SAAUrB,GAAG,IAAId,EAAE,SAASC,EAAEa,GAAG,IAAyXT,EAAEd,EAAErE,EAAzX8E,EAAEC,EAAE,IAAI,GAAGC,EAAED,EAAE,GAAG,IAAIC,EAAE,OAAOF,EAAE,GAAGc,GAAG,mBAAmBsB,KAAK,CAAC,IAAI7B,GAAGF,EAAEH,EAAEX,EAAE6C,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUnC,MAAMnF,EAAE,+DAA+DgH,OAAO3C,GAAG,OAAO2C,OAAOhH,EAAE,QAAQ0B,EAAEsD,EAAEuC,QAAQN,KAAI,SAAUlC,GAAG,MAAM,iBAAiBiC,OAAOhC,EAAEwC,YAAY,IAAIR,OAAOjC,EAAE,UAAU,MAAM,CAACD,GAAGkC,OAAOtF,GAAGsF,OAAO,CAAC3B,IAAIoC,KAAK,MAAgB,MAAM,CAAC3C,GAAG2C,KAAK,MAA5Z,CAAma7B,EAAEb,GAAG,OAAOa,EAAE,GAAG,UAAUoB,OAAOpB,EAAE,GAAG,MAAMoB,OAAOlC,EAAE,KAAKA,KAAK2C,KAAK,KAAK7B,EAAE5F,EAAE,SAAS+E,EAAED,EAAEE,GAAG,iBAAiBD,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAIM,EAAE,GAAG,GAAGL,EAAE,IAAI,IAAItD,EAAE,EAAEA,EAAE9C,KAAKqB,OAAOyB,IAAI,CAAC,IAAIyD,EAAEvG,KAAK8C,GAAG,GAAG,MAAMyD,IAAIE,EAAEF,IAAG,GAAI,IAAI,IAAId,EAAE,EAAEA,EAAEU,EAAE9E,OAAOoE,IAAI,CAAC,IAAIrE,EAAE,GAAGgH,OAAOjC,EAAEV,IAAIW,GAAGK,EAAErF,EAAE,MAAM8E,IAAI9E,EAAE,GAAGA,EAAE,GAAG,GAAGgH,OAAOlC,EAAE,SAASkC,OAAOhH,EAAE,IAAIA,EAAE,GAAG8E,GAAGc,EAAE8B,KAAK1H,MAAM4F,IAAI,SAASb,EAAEa,EAAEd,GAAG,aAAa,SAASE,EAAED,EAAEa,GAAG,IAAI,IAAId,EAAE,GAAGE,EAAE,GAAGK,EAAE,EAAEA,EAAEO,EAAE3F,OAAOoF,IAAI,CAAC,IAAI3D,EAAEkE,EAAEP,GAAGF,EAAEzD,EAAE,GAAG2C,EAAE,CAACyD,GAAG/C,EAAE,IAAIM,EAAE0C,IAAIrG,EAAE,GAAGsG,MAAMtG,EAAE,GAAGuG,UAAUvG,EAAE,IAAIsD,EAAEG,GAAGH,EAAEG,GAAG+C,MAAMR,KAAKrD,GAAGS,EAAE4C,KAAK1C,EAAEG,GAAG,CAAC2C,GAAG3C,EAAE+C,MAAM,CAAC7D,KAAK,OAAOS,EAAEA,EAAEpD,EAAEkE,GAAGd,EAAEM,EAAEQ,EAAE,WAAU,WAAY,OAAOkB,KAAK,IAAIzB,EAAE,oBAAoB8C,SAAS,GAAG,oBAAoBC,OAAOA,QAAQ/C,EAAE,MAAM,IAAIgD,MAAM,2JAA2J,IAAI3G,EAAE,GAAGyD,EAAEE,IAAI8C,SAASG,MAAMH,SAASI,qBAAqB,QAAQ,IAAIlE,EAAE,KAAKrE,EAAE,EAAEwB,GAAE,EAAG0D,EAAE,aAAaD,EAAE,KAAKoE,EAAE,oBAAoBb,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAAS7B,EAAE/B,EAAEa,EAAEd,EAAEO,GAAG7D,EAAEsD,EAAEG,EAAEI,GAAG,GAAG,IAAIF,EAAEH,EAAED,EAAEa,GAAG,OAAOR,EAAED,GAAG,SAASS,GAAG,IAAI,IAAId,EAAE,GAAGO,EAAE,EAAEA,EAAEF,EAAElF,OAAOoF,IAAI,CAAC,IAAIhB,EAAEc,EAAEE,IAAIrF,EAAE0B,EAAE2C,EAAEyD,KAAKc,OAAO9D,EAAE4C,KAAK1H,GAAsB,IAAnB4F,EAAER,EAAED,EAAEH,EAAED,EAAEa,IAAIT,EAAE,GAAOE,EAAE,EAAEA,EAAEP,EAAE7E,OAAOoF,IAAI,CAAC,IAAIrF,EAAE,GAAG,KAAKA,EAAE8E,EAAEO,IAAIuD,KAAK,CAAC,IAAI,IAAIpH,EAAE,EAAEA,EAAExB,EAAEkI,MAAMjI,OAAOuB,IAAIxB,EAAEkI,MAAM1G,YAAYE,EAAE1B,EAAE8H,OAAO,SAAS1C,EAAEL,GAAG,IAAI,IAAIa,EAAE,EAAEA,EAAEb,EAAE9E,OAAO2F,IAAI,CAAC,IAAId,EAAEC,EAAEa,GAAGZ,EAAEtD,EAAEoD,EAAEgD,IAAI,GAAG9C,EAAE,CAACA,EAAE4D,OAAO,IAAI,IAAIvD,EAAE,EAAEA,EAAEL,EAAEkD,MAAMjI,OAAOoF,IAAIL,EAAEkD,MAAM7C,GAAGP,EAAEoD,MAAM7C,IAAI,KAAKA,EAAEP,EAAEoD,MAAMjI,OAAOoF,IAAIL,EAAEkD,MAAMR,KAAKxJ,EAAE4G,EAAEoD,MAAM7C,KAAKL,EAAEkD,MAAMjI,OAAO6E,EAAEoD,MAAMjI,SAAS+E,EAAEkD,MAAMjI,OAAO6E,EAAEoD,MAAMjI,YAAY,CAAC,IAAIkF,EAAE,GAAG,IAAIE,EAAE,EAAEA,EAAEP,EAAEoD,MAAMjI,OAAOoF,IAAIF,EAAEuC,KAAKxJ,EAAE4G,EAAEoD,MAAM7C,KAAK3D,EAAEoD,EAAEgD,IAAI,CAACA,GAAGhD,EAAEgD,GAAGc,KAAK,EAAEV,MAAM/C,KAAK,SAASQ,IAAI,IAAIZ,EAAEoD,SAASW,cAAc,SAAS,OAAO/D,EAAEgE,KAAK,WAAW5D,EAAE6D,YAAYjE,GAAGA,EAAE,SAAS7G,EAAE6G,GAAG,IAAIa,EAAEd,EAAEE,EAAEmD,SAASc,cAAc,2BAA2BlE,EAAE+C,GAAG,MAAM,GAAG9C,EAAE,CAAC,GAAGxD,EAAE,OAAO0D,EAAEF,EAAEkE,WAAWC,YAAYnE,GAAG,GAAGqE,EAAE,CAAC,IAAIhE,EAAErF,IAAIgF,EAAEX,IAAIA,EAAEsB,KAAKC,EAAE0D,EAAE7D,KAAK,KAAKT,EAAEK,GAAE,GAAIP,EAAEwE,EAAE7D,KAAK,KAAKT,EAAEK,GAAE,QAASL,EAAEW,IAAIC,EAAE8I,EAAEjJ,KAAK,KAAKT,GAAGF,EAAE,WAAWE,EAAEkE,WAAWC,YAAYnE,IAAI,OAAOY,EAAEb,GAAG,SAASC,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAE+C,MAAMhD,EAAEgD,KAAK/C,EAAEgD,QAAQjD,EAAEiD,OAAOhD,EAAEiD,YAAYlD,EAAEkD,UAAU,OAAOrC,EAAEb,EAAEC,QAAQF,KAAK,IAAI+D,EAAE8F,GAAG9F,EAAE,GAAG,SAAS9D,EAAEa,GAAG,OAAOiD,EAAE9D,GAAGa,EAAEiD,EAAEW,OAAOC,SAAShC,KAAK,QAAQ,SAAS6B,EAAEvE,EAAEa,EAAEd,EAAEE,GAAG,IAAIK,EAAEP,EAAE,GAAGE,EAAE+C,IAAI,GAAGhD,EAAE2E,WAAW3E,EAAE2E,WAAWC,QAAQgF,EAAE/I,EAAEP,OAAO,CAAC,IAAI3D,EAAEyG,SAASyB,eAAevE,GAAGF,EAAEJ,EAAE8E,WAAW1E,EAAES,IAAIb,EAAEoE,YAAYhE,EAAES,IAAIT,EAAElF,OAAO8E,EAAE+E,aAAapI,EAAEyD,EAAES,IAAIb,EAAEiE,YAAYtH,IAAI,SAASgN,EAAE3J,EAAEa,GAAG,IAAId,EAAEc,EAAEmC,IAAI/C,EAAEY,EAAEoC,MAAM3C,EAAEO,EAAEqC,UAAU,GAAGjD,GAAGD,EAAEgF,aAAa,QAAQ/E,GAAGC,EAAE+E,OAAOjF,EAAEgF,aAAa,kBAAkBnE,EAAEkC,IAAIzC,IAAIP,GAAG,mBAAmBO,EAAEkC,QAAQ,GAAG,MAAMzC,GAAG,uDAAuDoC,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUjC,MAAM,OAAON,EAAE2E,WAAW3E,EAAE2E,WAAWC,QAAQ7E,MAAM,CAAC,KAAKC,EAAEkF,YAAYlF,EAAEoE,YAAYpE,EAAEkF,YAAYlF,EAAEiE,YAAYb,SAASyB,eAAe9E,OAAO,SAASC,EAAEa,EAAEd,GAAG,aAAaA,EAAEM,EAAEQ,EAAE,KAAI,WAAY,OAAOvB,KAAKS,EAAEM,EAAEQ,EAAE,KAAI,WAAY,OAAOT,KAAKL,EAAE,GAAG,IAAIE,EAAEF,EAAE,IAAIO,EAAEtG,OAAOiG,EAAE9F,kBAATH,GAA8B6P,eAAe,CAAC,CAAChO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,oDAAoD,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,mUAAmUvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,uEAAuEC,OAAO,CAAC,4hBAA4hB,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,iBAAiBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,aAAa,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,oCAAoC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iEAAiE,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,iIAAiIC,OAAO,CAAC,uQAAuQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,yBAAyBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,4BAA4BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0B,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,iCAAiC,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,gDAAgD,gBAAgB,kFAAkF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gHAAgHvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,mEAAmEC,OAAO,CAAC,2VAA2V,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,SAASQ,WAAW,CAACX,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAa,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWS,OAAO,CAACZ,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,uBAAuBU,MAAM,CAACb,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoBK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgB,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,2BAA2B,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,mBAAmBW,QAAQ,CAACd,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0B,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcY,OAAO,CAACf,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuBa,QAAQ,CAAChB,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,iCAAiC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,8CAA8C,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,iEAAiEC,OAAO,CAAC,mPAAmP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,eAAeG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,wNAAwNC,OAAO,CAAC,oPAAoP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,0BAA0BE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaQ,WAAW,CAACX,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgB,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAcI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcS,OAAO,CAACZ,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsBU,MAAM,CAACb,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqBK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,qBAAqBW,QAAQ,CAACd,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcY,OAAO,CAACf,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,oBAAoBa,QAAQ,CAAChB,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,kDAAkD,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,gNAAgNC,OAAO,CAAC,oQAAoQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,0BAA0BE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaQ,WAAW,CAACX,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgB,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAcI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcS,OAAO,CAACZ,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsBU,MAAM,CAACb,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqBK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,qBAAqBW,QAAQ,CAACd,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcY,OAAO,CAACf,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,oBAAoBa,QAAQ,CAAChB,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,gDAAgD,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qCAAqC,gBAAgB,8DAA8D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,4GAA4GC,OAAO,CAAC,yOAAyO,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,mBAAmB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAaK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,+BAA+B,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,gDAAgD,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,8BAA8B,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,6FAA6FC,OAAO,CAAC,oOAAoO,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,2BAA2B,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,6BAA6BE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,4BAA4BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kCAAkC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,6DAA6D,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,8EAA8EC,OAAO,CAAC,kQAAkQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,SAASK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuB,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,kDAAkD,gBAAgB,6EAA6E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,qEAAqEC,OAAO,CAAC,wQAAwQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,iBAAiBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,4BAA4B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+BvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,wMAAwMC,OAAO,CAAC,mPAAmP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iCAAiCM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,4BAA4BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0B,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,yCAAyC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,iEAAiE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,0HAA0HC,OAAO,CAAC,8PAA8P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,6BAA6BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,uCAAuC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,8CAA8C,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8HAA8HvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,+DAA+DC,OAAO,CAAC,iVAAiV,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,iBAAiB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,WAAW,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,oBAAoB,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qCAAqC,gBAAgB,+EAA+E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,gGAAgGC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,yCAAyC,gBAAgB,kEAAkE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,sDAAsDvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,4DAA4DC,OAAO,CAAC,uQAAuQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,SAASK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,gBAAgBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,yBAAyB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,iCAAiC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iBAAiB,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,oCAAoCC,OAAO,CAAC,uNAAuN,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,WAAWG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,2BAA2BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,sCAAsC,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyBvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,gEAAgEC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAe,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,OAAOG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,MAAM,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iBAAiBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,MAAM,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,UAAUO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mBAAmB,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,YAAY,gBAAgB,kFAAkF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,mKAAmKvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,6BAA6BC,OAAO,CAAC,0WAA0W,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgCM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,mCAAmC,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,mEAAmEvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,oDAAoDC,OAAO,CAAC,4QAA4Q,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kBAAkB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuB,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,mEAAmE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8DAA8DvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,uCAAuCC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2B,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qDAAqD,gBAAgB,qFAAqF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,wEAAwEC,OAAO,CAAC,mRAAmR,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,eAAeG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,SAASK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kCAAkC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,oBAAoB,gBAAgB,8DAA8D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,qFAAqFC,OAAO,CAAC,wNAAwN,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,WAAWG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+BvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,uCAAuCC,OAAO,CAAC,uOAAuO,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgCM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,6BAA6BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,gBAAgB,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,kLAAkLvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,mCAAmCC,OAAO,CAAC,uWAAuW,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcQ,WAAW,CAACX,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAc,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,uBAAuBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYS,OAAO,CAACZ,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAcU,MAAM,CAACb,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmBK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,8BAA8B,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,iBAAiBW,QAAQ,CAACd,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcY,OAAO,CAACf,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6Ba,QAAQ,CAAChB,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,mCAAmC,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qBAAqB,gBAAgB,+EAA+E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,+BAA+BvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,+FAA+FC,OAAO,CAAC,4OAA4O,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,UAAUQ,WAAW,CAACX,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAe,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,uBAAuBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWS,OAAO,CAACZ,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkBU,MAAM,CAACb,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgBK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,4BAA4B,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,mBAAmBW,QAAQ,CAACd,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kCAAkC,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAaY,OAAO,CAACf,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAc,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,2BAA2B,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mCAAmCa,QAAQ,CAAChB,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,2CAA2C,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,kDAAkD,gBAAgB,iFAAiF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,0GAA0GC,OAAO,CAAC,4QAA4Q,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,UAAUG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,4BAA4BO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,yCAAyC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iCAAiC,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,0KAA0KvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,kDAAkDC,OAAO,CAAC,iXAAiX,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,0BAA0B,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,+BAA+BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,mBAAmBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6B,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,wCAAwC,gBAAgB,6EAA6E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,2GAA2GvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,2DAA2DC,OAAO,CAAC,yUAAyU,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,UAAUQ,WAAW,CAACX,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAa,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,uBAAuBG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAaS,OAAO,CAACZ,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASU,MAAM,CAACb,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoBK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,6BAA6B,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,oBAAoBW,QAAQ,CAACd,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2B,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,iBAAiB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mBAAmBY,OAAO,CAACf,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,0BAA0B,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsBa,QAAQ,CAAChB,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,oCAAoC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uBAAuB,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,qHAAqHC,OAAO,CAAC,6NAA6N,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mBAAmBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,gBAAgBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,gDAAgD,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+BvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,6JAA6JC,OAAO,CAAC,qPAAqP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,QAAQI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,+BAA+BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6B,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,iCAAiC,CAACxO,OAAO,KAAKiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,kEAAkE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8PAA8PvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,wEAAwEC,OAAO,CAAC,6dAA6d,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,QAAQG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,wBAAwB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6B,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,4CAA4C,gBAAgB,2EAA2E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyBvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,gJAAgJC,OAAO,CAAC,yPAAyP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,gBAAgB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeE,QAAQ,CAACL,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,OAAOG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,OAAOK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,QAAQ,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,WAAWO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,eAAe,CAACxO,OAAO,QAAQiO,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iDAAiD,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyBvO,aAAa,CAAC,GAAG,CAAC,GAAG,CAACwO,MAAM,GAAGC,SAAS,CAACC,WAAW,kEAAkEC,OAAO,CAAC,+PAA+P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAe,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeG,OAAO,CAACN,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOI,MAAM,CAACP,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,OAAOK,KAAK,CAACR,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,QAAQ,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,SAASO,SAAS,CAACV,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAenI,KAAI,SAAUlC,GAAG,OAAOM,EAAE6K,eAAenL,EAAEnE,OAAOmE,EAAE8J,SAAS,IAAInN,EAAE2D,EAAE8K,QAAQhL,EAAEzD,EAAEU,SAASqD,KAAK/D,GAAG2C,EAAE3C,EAAEM,QAAQyD,KAAK/D,IAAI,SAASqD,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,MAAc,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,KAAwC,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,KAAQ,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,MAAiC,SAAS+F,EAAEa,EAAEd,GAAG,aAAaC,EAAE/F,QAAQ,SAAS+F,EAAEa,GAAG,OAAOA,IAAIA,EAAE,IAAI,iBAAiBb,EAAEA,GAAGA,EAAEzF,WAAWyF,EAAExF,QAAQwF,GAAGA,GAAG,eAAe0D,KAAK1D,KAAKA,EAAEA,EAAE6I,MAAM,GAAG,IAAIhI,EAAEwK,OAAOrL,GAAGa,EAAEwK,MAAM,cAAc3H,KAAK1D,IAAIa,EAAEyK,WAAW,IAAIrJ,OAAOjC,EAAExD,QAAQ,KAAK,OAAOA,QAAQ,MAAM,OAAO,KAAKwD,KAAK,SAASA,EAAEa,EAAEd,GAAG,aAAaA,EAAEpD,EAAEkE,GAAGA,EAAErG,QAAQ,k/HAAk/H,SAASwF,EAAEa,EAAEd,GAAG,aAAaA,EAAEpD,EAAEkE,GAAGA,EAAErG,QAAQ,kzHAAkzH,SAASwF,EAAEa,EAAEd,GAAG,aAAaA,EAAEpD,EAAEkE,GAAGA,EAAErG,QAAQ,itHAAitH,SAASwF,EAAEa,EAAEd,GAAG,aAAaA,EAAEpD,EAAEkE,GAAGA,EAAErG,QAAQ,8gMAA8gM,SAASwF,EAAEa,EAAEd,GAAG,aAAaA,EAAEpD,EAAEkE,GAAG,IAAIZ,EAAEF,EAAE,GAAGA,EAAE;;;;;;;;;;;;;;;;;;;;;;;AAuBz/jHE,EAAEsL,SAASzK,QAAQ0K,gBAAgB,kDAAkDvJ,OAAO,UAAU,6EAA6EhC,EAAEsL,SAASzK,QAAQ2K,aAAY,EAAG5K,EAAErG,QAAQyF,EAAEsL,UAAU,SAASvL,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,MAAqC,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,MAAsC,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,MAAoB,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,KAAmC,SAAS+F,EAAEa,EAAEd,GAAG,aAAaA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIc,EAAEpE,EAAE,SAASuD,GAAG,OAAOjB,KAAKyH,SAAShN,SAAS,IAAIgD,QAAQ,WAAW,IAAIkP,OAAO,EAAE1L,GAAG,KAAK,CAAC,CAAC,CAAC,SAASA,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,KAAoC,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,MAAiC,CAAC,CAAC,CAAC,CAAC,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,KAAwC,CAAC,CAAC,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,MAAsC,SAAS+F,EAAEa,EAAEd,GAAG,IAAIE,EAAEF,EAAE,IAAI,iBAAiBE,IAAIA,EAAE,CAAC,CAACD,EAAE/E,EAAEgF,EAAE,MAAMA,EAAEuF,SAASxF,EAAE/F,QAAQgG,EAAEuF,SAAQ,EAAGzF,EAAE,GAAGvF,SAAS,WAAWyF,GAAE,EAAG,KAAK,CAAC,CAAC,CAAC,SAASD,EAAEa,EAAEd,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIE,EAAEF,EAAE,GAAGO,EAAEP,EAAEE,EAAEA,GAAGY,EAAEpE,EAAE,SAASuD,EAAEa,EAAEd,GAAG,QAAG,IAASC,EAAE,IAAI,IAAIC,EAAED,EAAE9E,OAAO,EAAE+E,GAAG,EAAEA,IAAI,CAAC,IAAItD,EAAEqD,EAAEC,GAAGG,GAAGzD,EAAEgP,kBAAkBhP,EAAEiP,MAAM,IAAI/K,EAAEwF,QAAQ1J,EAAEiP,KAAKtM,IAAI3C,EAAEgP,kBAAkB,iBAAiBhP,EAAEgP,iBAAiBC,IAAI3Q,EAAEqE,IAAI,IAAIuB,EAAEwF,QAAQ1J,EAAEgP,iBAAiBC,MAAMxL,IAAId,GAAGrE,MAAMmF,GAAGnF,IAAIqF,EAAE7D,EAAEoP,KAAKC,KAAK,GAAG7J,OAAO7B,EAAEzD,EAAEiP,IAAIjP,EAAEgP,iBAAiBC,IAAI,+BAA+B3J,OAAOlC,EAAE6B,SAAS5D,KAAK,cAAc+B,GAAGC,EAAEf,OAAOgB,EAAE,OAAO,CAAC,CAAC,SAASD,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,MAAoC,SAAS+F,EAAEa,KAAK,CAAC,SAASb,EAAEa,EAAEd,GAAG,aAAaA,EAAEpD,EAAEkE,GAAG,IAAIZ,EAAEF,EAAE;;;;;;;;;;;;;;;;;;;;;GAqB1nDc,EAAErG,QAAQyF,EAAExD,GAAG,SAASuD,EAAEa,EAAEd,GAAG,IAAIE,EAAEF,EAAE,IAAI,iBAAiBE,IAAIA,EAAE,CAAC,CAACD,EAAE/E,EAAEgF,EAAE,MAAMA,EAAEuF,SAASxF,EAAE/F,QAAQgG,EAAEuF,SAAQ,EAAGzF,EAAE,GAAGvF,SAAS,WAAWyF,GAAE,EAAG,KAAK,SAASD,EAAEa,EAAEd,IAAIc,EAAEd,EAAE,EAAFA,EAAK,IAAK4C,KAAK,CAAC3C,EAAE/E,EAAE,4tDAA4tD,KAAK+E,EAAE/F,QAAQ4G,GAAG,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,SAASb,EAAEa,EAAEd,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIE,EAAEF,EAAE,IAAIO,EAAEP,EAAE,IAAIpD,EAAEoD,EAAE,IAAIK,GAAGL,EAAE,IAAI,SAASC,GAAG,IAAIa,EAAEb,EAAE+L,wBAAwBhM,EAAEqD,SAAS4I,gBAAgBC,aAAahM,EAAEmD,SAAS4I,gBAAgBE,YAAY5L,EAAEtG,OAAOmS,OAAO,IAAI,OAAO7L,EAAE8L,IAAIvL,EAAEuL,IAAI,EAAE9L,EAAE+L,KAAKxL,EAAEwL,KAAK,EAAE/L,EAAEgM,OAAOzL,EAAEyL,OAAOvM,EAAEO,EAAEiM,MAAM1L,EAAE0L,MAAMtM,EAAEK,EAAEkM,IAAIlM,EAAE8L,KAAK9L,EAAE+L,MAAM/L,EAAEgM,QAAQhM,EAAEiM,MAAMjM,EAAEmM,IAAInM,EAAE8L,KAAK9L,EAAE+L,MAAM/L,EAAEgM,QAAQhM,EAAEiM,MAAMjM,EAAEoM,QAAQpM,EAAE8L,IAAIvL,EAAEuL,IAAI9L,EAAEgM,OAAOzL,EAAEyL,OAAOvM,EAAE,EAAEO,EAAEqM,QAAQrM,EAAE+L,KAAKxL,EAAEwL,KAAK/L,EAAEiM,MAAM1L,EAAE0L,MAAMtM,EAAE,EAAEK,IAAIhB,EAAES,EAAE,IAAI9E,EAAE8E,EAAE,GAAGtD,EAAE,CAAC,eAAe,iBAAiB,cAAc,aAAa,cAAc,eAAe,kBAAkB,aAAa,sBAAsB0D,EAAE,CAACnC,KAAK,UAAUmK,WAAW,CAACyE,aAAa3M,EAAE4M,UAAUC,QAAQxM,EAAE9F,SAASQ,MAAM,CAAC+R,KAAK,CAAC/I,KAAKU,QAAQlK,SAAQ,GAAIwS,UAAU,CAAChJ,KAAKU,QAAQlK,SAAQ,GAAIyS,UAAU,CAACjJ,KAAKkJ,OAAO1S,QAAQ,SAAS2L,UAAU,SAASnG,GAAG,MAAM,CAAC,OAAO,SAAS,SAASqG,QAAQrG,IAAI,IAAImN,UAAU,CAACnJ,KAAKkJ,OAAO1S,QAAQ,MAAM4S,QAAQ,CAACpJ,KAAKU,QAAQlK,SAAQ,GAAI6S,YAAY,CAACrJ,KAAKkJ,OAAO1S,QAAQ,yCAAyC8S,UAAU,CAACtJ,KAAKkJ,OAAO1S,QAAQR,OAAOiB,EAAEyB,EAAT1C,CAAY,aAAagC,KAAK,WAAW,MAAM,CAACuR,QAAQ,GAAGC,OAAO3T,KAAKkT,KAAKU,WAAW,EAAEC,SAAS,QAAQ1T,OAAO2C,EAAEF,EAATzC,GAAc2S,QAAQ,EAAED,QAAQ,EAAEiB,aAAa,EAAEC,aAAY,EAAGC,SAAShU,KAAKiU,YAAYxH,SAAS,CAACyH,mBAAmB,WAAW,OAAOlU,KAAK0T,QAAQrS,OAAO,GAAG8S,oBAAoB,WAAW,OAAO,IAAInU,KAAK0T,QAAQrS,QAAQ,OAAOrB,KAAKoU,oBAAoBC,iBAAiB,WAAW,OAAOrU,KAAK0T,QAAQ,IAAIY,YAAY,WAAW,OAAOtU,KAAKgU,SAAS,GAAGhU,KAAKgU,SAAS,GAAG,IAAIO,mBAAmB,WAAW,GAAGvU,KAAKqU,kBAAkBrU,KAAKqU,iBAAiBvC,iBAAiB,CAAC,IAAI3L,EAAEnG,KAAKqU,iBAAiBvC,iBAAiBC,IAAI,GAAG,eAAe5L,EAAE,MAAM,CAACqO,GAAG,IAAIrI,KAAKnM,KAAKsU,YAAYnI,KAAKjL,OAAOlB,KAAKsU,YAAYpT,OAAO,aAAalB,KAAKsU,YAAYb,WAAW,GAAG,iBAAiBtN,EAAE,MAAM,CAACqO,GAAG,cAAc5O,GAAG5F,KAAKsU,YAAY1O,GAAG6O,MAAMzU,KAAKsU,YAAYG,MAAM,aAAazU,KAAKsU,YAAYb,WAAW,GAAG,iBAAiBtN,EAAE,MAAM,CAACqO,GAAG,SAAS,aAAaxU,KAAKsU,YAAYb,WAAW,OAAO,MAAMiB,iBAAiB,WAAW,OAAO1U,KAAKqU,kBAAkBrU,KAAKqU,iBAAiBvC,kBAAkB9R,KAAKqU,iBAAiBvC,iBAAiB6C,WAAW3U,KAAKqU,iBAAiBvC,iBAAiB6C,UAAUjH,OAAOkH,wBAAwB,WAAW,OAAO5U,KAAK0U,iBAAiB,QAAQ,MAAMG,iBAAiB,WAAW,IAAI1O,EAAEnG,KAAKqU,kBAAkBrU,KAAKqU,iBAAiBlS,KAAKkL,YAAYrG,EAAEhH,KAAKqU,kBAAkBrU,KAAKqU,iBAAiBlS,KAAKyL,MAAM,MAAM,GAAGxF,OAAOjC,EAAE,KAAKiC,OAAOpB,KAAK8N,MAAM,CAAC5B,KAAK,SAAS/M,GAAG,IAAIa,EAAEhH,KAAKA,KAAK2T,OAAOxN,EAAEnG,KAAK2T,QAAQ3T,KAAK+U,WAAU,WAAY/N,EAAEgO,cAAcC,YAAY,WAAWjV,KAAKkV,cAAc/U,OAAOsF,EAAE7C,EAATzC,CAAYH,KAAKmV,OAAOxU,QAAQiC,EAAE5C,OAAOoV,aAAa,WAAWpV,KAAKkV,cAAc/U,OAAOsF,EAAE7C,EAATzC,CAAYH,KAAKmV,OAAOxU,QAAQiC,EAAE5C,OAAO8M,QAAQ,CAACuI,WAAW,SAASlP,GAAG,IAAIa,EAAEhH,KAAKA,KAAK2T,OAAO,kBAAkBxN,EAAEA,GAAGnG,KAAK2T,OAAO3T,KAAK2T,QAAQ3T,KAAK+U,WAAU,WAAY/N,EAAEgO,YAAYhV,KAAKsV,MAAM,UAAUtV,KAAK8S,QAAQ,EAAE9S,KAAK6S,QAAQ,EAAE7S,KAAK8T,aAAa,EAAE9T,KAAK+T,aAAY,EAAG/T,KAAKsV,MAAM,UAAUtV,KAAKsV,MAAM,cAActV,KAAK2T,SAAS4B,UAAU,SAASpP,GAAGnG,KAAK2T,SAAS3T,KAAKsV,MAAM,eAAc,GAAItV,KAAKsV,MAAM,SAAStV,KAAK2T,QAAO,EAAG3T,KAAK4T,WAAW,EAAE5T,KAAK8S,QAAQ,EAAE9S,KAAK6S,QAAQ,EAAE7S,KAAK8T,aAAa,EAAE9T,KAAK+T,aAAY,IAAKiB,OAAO,WAAWhV,KAAK8S,QAAQ,EAAE9S,KAAK6S,QAAQ,EAAE7S,KAAK8T,aAAa,EAAE9T,KAAK+T,aAAY,EAAG,IAAI5N,EAAEI,EAAEvG,KAAKwV,MAAMlG,MAAMnJ,EAAEsM,SAASzS,KAAK6S,QAAQ,EAAE3N,KAAKwH,MAAM1M,KAAKwV,MAAMlG,KAAK8C,cAAc,GAAGpS,KAAK8T,aAAa5O,KAAKwH,MAAM1M,KAAKwV,MAAMlG,KAAK8C,cAAc,GAAGpS,KAAK+T,aAAY,GAAI,WAAW/T,KAAKoT,YAAYjN,EAAEqM,MAAMrM,EAAEuM,SAAS1S,KAAK8S,QAAQ3M,EAAE2M,QAAQ,EAAE5N,KAAKwH,MAAMvG,EAAE2M,SAAS,EAAE5N,KAAKwH,MAAMvG,EAAE2M,SAAS,IAAI2C,mBAAmB,SAAStP,GAAG,GAAGoD,SAASmM,gBAAgBvP,EAAEjF,OAAO,CAAC,IAAI8F,EAAEb,EAAEjF,OAAOyU,QAAQ,MAAM,GAAG3O,EAAE,CAAC,IAAId,EAAEc,EAAEqD,cAAc,cAAc,GAAGnE,EAAE,CAAC,IAAIE,EAAEpG,KAAKwV,MAAMI,SAASC,iBAAiB,cAAcpP,EAAEkI,MAAMlP,UAAU+M,QAAQzM,KAAKqG,EAAEF,GAAGO,GAAG,IAAIzG,KAAK4T,WAAWnN,EAAEzG,KAAK8V,mBAAmBC,oBAAoB,WAAW,IAAI5P,EAAEnG,KAAKwV,MAAMI,SAASvL,cAAc,aAAalE,GAAGA,EAAE6P,UAAUC,OAAO,WAAWH,YAAY,WAAW,IAAI3P,EAAEnG,KAAKwV,MAAMI,SAASC,iBAAiB,cAAc7V,KAAK4T,YAAY,GAAGzN,EAAE,CAACnG,KAAK+V,sBAAsB,IAAI/O,EAAEb,EAAEwP,QAAQ,aAAaxP,EAAE+P,QAAQlP,GAAGA,EAAEgP,UAAUpO,IAAI,YAAYuO,oBAAoB,SAAShQ,GAAGnG,KAAK2T,SAAS,IAAI3T,KAAK4T,WAAW5T,KAAKuV,aAAapP,EAAEgI,iBAAiBnO,KAAK4T,WAAW5T,KAAK4T,WAAW,GAAG5T,KAAK8V,gBAAgBM,gBAAgB,SAASjQ,GAAG,GAAGnG,KAAK2T,OAAO,CAAC,IAAI3M,EAAEhH,KAAKwV,MAAMI,SAASC,iBAAiB,cAAcxU,OAAO,EAAErB,KAAK4T,aAAa5M,EAAEhH,KAAKuV,aAAapP,EAAEgI,iBAAiBnO,KAAK4T,WAAW5T,KAAK4T,WAAW,GAAG5T,KAAK8V,gBAAgBO,iBAAiB,SAASlQ,GAAGnG,KAAK2T,SAASxN,EAAEgI,iBAAiBnO,KAAK4T,WAAW,EAAE5T,KAAK8V,gBAAgBQ,gBAAgB,SAASnQ,GAAGnG,KAAK2T,SAASxN,EAAEgI,iBAAiBnO,KAAK4T,WAAW5T,KAAKuW,IAAIV,iBAAiB,cAAcxU,OAAO,EAAErB,KAAK8V,gBAAgBU,gBAAgB,SAASrQ,GAAGnG,KAAK0U,kBAAkB1U,KAAK0U,iBAAiBvO,IAAI+O,YAAY,WAAWlV,KAAK0T,SAAS1T,KAAKmV,OAAOxU,SAAS,IAAIiK,QAAO,SAAUzE,GAAG,QAAQA,KAAKA,EAAE2L,uBAAuBzL,GAAGH,EAAE,IAAIA,EAAE,IAAIuE,EAAEvE,EAAE,IAAIgC,EAAEhC,EAAEE,EAAEqE,GAAGjE,EAAErG,OAAOkG,EAAEzD,EAATzC,CAAYmG,GAAE,WAAY,IAAIH,EAAEa,EAAEhH,KAAKkG,EAAEc,EAAEkG,eAAe9G,EAAEY,EAAEmG,MAAMC,IAAIlH,EAAE,OAAOc,EAAEmN,sBAAsBnN,EAAEmM,UAAU/M,EAAE,UAAUY,EAAEyP,GAAG,CAACnI,WAAW,CAAC,CAACnK,KAAK,UAAUoK,QAAQ,iBAAiBlO,MAAM2G,EAAEsN,YAAYjI,KAAKoC,WAAW,mBAAmBiI,UAAU,CAACC,MAAK,KAAMtJ,YAAY,kCAAkCO,MAAM,CAAC5G,EAAEsN,YAAYlI,KAAKpF,EAAE6N,kBAAkBvH,MAAM,CAACE,IAAI,uBAAuBC,GAAGzG,EAAE4P,GAAG,GAAG,CAAC5P,EAAE4N,wBAAwB5N,EAAEwP,mBAAmB,UAAUxP,EAAEuN,oBAAmB,GAAI,CAACnO,EAAE,OAAO,CAACkH,MAAM,CAAC,eAAc,EAAGuJ,OAAO,KAAK,CAAC7P,EAAE8P,GAAG,YAAY,KAAK1Q,EAAE,MAAM,CAACkI,WAAW,CAAC,CAACnK,KAAK,OAAOoK,QAAQ,SAASlO,MAAM2G,EAAEkN,oBAAoBlN,EAAEmM,UAAU1E,WAAW,mCAAmC,CAACtK,KAAK,gBAAgBoK,QAAQ,kBAAkBlO,MAAM2G,EAAEuO,UAAU9G,WAAW,cAAcsI,IAAI,WAAW1J,YAAY,cAAcO,MAAM,CAAC,oBAAoB5G,EAAE2M,QAAQlG,GAAG,CAACuJ,QAAQ,CAAC,SAAS7Q,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQxF,EAAEiQ,GAAG9Q,EAAE+Q,QAAQ,KAAK,GAAG/Q,EAAE1E,IAAI,CAAC,KAAK,aAAiB0E,EAAEgR,SAAShR,EAAEiR,UAAUjR,EAAEkR,QAAQlR,EAAEmR,QAAxC,KAAqDtQ,EAAEmP,oBAAoBhQ,IAAI,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQxF,EAAEiQ,GAAG9Q,EAAE+Q,QAAQ,OAAO,GAAG/Q,EAAE1E,IAAI,CAAC,OAAO,eAAmB0E,EAAEgR,SAAShR,EAAEiR,UAAUjR,EAAEkR,QAAQlR,EAAEmR,QAAxC,KAAqDtQ,EAAEoP,gBAAgBjQ,IAAI,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQxF,EAAEiQ,GAAG9Q,EAAE+Q,QAAQ,MAAM,EAAE/Q,EAAE1E,IAAI,QAAY0E,EAAEgR,SAAShR,EAAEiR,UAAUjR,EAAEkR,QAAQlR,EAAEmR,QAAxC,KAAqDtQ,EAAEoP,gBAAgBjQ,IAAI,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQxF,EAAEiQ,GAAG9Q,EAAE+Q,QAAQ,MAAM,EAAE/Q,EAAE1E,IAAI,OAAO,KAAK0E,EAAEiR,SAASjR,EAAEgR,SAAShR,EAAEkR,QAAQlR,EAAEmR,QAAQ,KAAKtQ,EAAEmP,oBAAoBhQ,GAAG,MAAM,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQxF,EAAEiQ,GAAG9Q,EAAE+Q,QAAQ,eAAU,EAAO/Q,EAAE1E,SAAI,IAAa0E,EAAEgR,SAAShR,EAAEiR,UAAUjR,EAAEkR,QAAQlR,EAAEmR,QAAxC,KAAqDtQ,EAAEqP,iBAAiBlQ,IAAI,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQxF,EAAEiQ,GAAG9Q,EAAE+Q,QAAQ,iBAAY,EAAO/Q,EAAE1E,SAAI,IAAa0E,EAAEgR,SAAShR,EAAEiR,UAAUjR,EAAEkR,QAAQlR,EAAEmR,QAAxC,KAAqDtQ,EAAEsP,gBAAgBnQ,IAAI,SAASA,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQxF,EAAEiQ,GAAG9Q,EAAE+Q,QAAQ,MAAM,GAAG/Q,EAAE1E,IAAI,CAAC,MAAM,YAAgB0E,EAAEgR,SAAShR,EAAEiR,UAAUjR,EAAEkR,QAAQlR,EAAEmR,QAAxC,MAAsDnR,EAAEgI,iBAAiBnH,EAAEuO,UAAUpP,QAAQ,CAACC,EAAE,SAAS,CAACiH,YAAY,yCAAyCO,OAAOzH,EAAE,GAAGA,EAAEa,EAAEwM,cAAa,EAAGrN,EAAE,uCAAuCa,EAAEsM,UAAUnN,EAAE,oCAAoCa,EAAEuM,QAAQpN,GAAGmH,MAAM,CAAC,aAAatG,EAAEyM,UAAU,gBAAgB,OAAO,gBAAgBzM,EAAE6M,SAAS,gBAAgB7M,EAAE2M,QAAQlG,GAAG,CAACC,MAAM,SAASvH,GAAG,OAAOA,EAAEgI,iBAAiBnH,EAAEqO,WAAWlP,IAAIoR,MAAM,SAASpR,GAAG,OAAOA,EAAEgE,KAAKqC,QAAQ,QAAQxF,EAAEiQ,GAAG9Q,EAAE+Q,QAAQ,QAAQ,GAAG/Q,EAAE1E,IAAI,CAAC,IAAI,cAAkB0E,EAAEgR,SAAShR,EAAEiR,UAAUjR,EAAEkR,QAAQlR,EAAEmR,QAAxC,MAAsDnR,EAAEgI,iBAAiBnH,EAAEqO,WAAWlP,OAAO,CAACa,EAAE6G,GAAG,SAAS7G,EAAE+G,GAAG/G,EAAEsM,WAAW,UAAUtM,EAAE6G,GAAG,KAAKzH,EAAE,MAAM,CAACkI,WAAW,CAAC,CAACnK,KAAK,OAAOoK,QAAQ,SAASlO,MAAM2G,EAAE2M,OAAOlF,WAAW,WAAWsI,IAAI,OAAO1J,YAAY,oBAAoBO,MAAM,CAAC,QAAQ5G,EAAEoM,UAAU,CAACF,KAAKlM,EAAE2M,SAAS6D,MAAM,CAACC,YAAYzQ,EAAE8L,QAAQ,KAAK4E,UAAU1Q,EAAE6L,QAAQ,MAAMvF,MAAM,CAACqK,SAAS,MAAMlK,GAAG,CAACmK,UAAU5Q,EAAEyO,qBAAqB,CAACrP,EAAE,MAAM,CAACiH,YAAY,0BAA0BmK,MAAM,CAACK,UAAU,cAAc7Q,EAAE8L,QAAQ,kBAAkB9L,EAAE8M,aAAa,QAAQ9M,EAAE+M,YAAY,kBAAkB,OAAO/M,EAAE6G,GAAG,KAAKzH,EAAE,KAAK,CAACkH,MAAM,CAACpE,GAAGlC,EAAE6M,SAAS8D,SAAS,OAAO,CAAC3Q,EAAE2M,OAAO,CAAC3M,EAAE8P,GAAG,YAAY9P,EAAEgH,MAAM,SAAS,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmB9F,EAAEtF,GAAGsF,IAAI1B,GAAGQ,EAAEpE,EAAE4D,EAAEpG,SAAS,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,CAAC,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,KAAqC,SAAS+F,EAAEa,GAAGb,EAAE/F,QAAQ,EAAQ,MAAoC,SAAS+F,EAAEa,EAAEd,GAAG,aAAa,IAAIE,EAAEF,EAAE,IAAIA,EAAEE,EAAEA,GAAGxD,GAAG,SAASuD,EAAEa,EAAEd,GAAG,IAAIE,EAAEF,EAAE,GAAGO,EAAEP,EAAE,GAAGpD,EAAEoD,EAAE,GAAGK,EAAEL,EAAE,IAAIT,EAAES,EAAE,IAAI9E,EAAE8E,EAAE,IAAIc,EAAEZ,GAAE,GAAI,IAAIxD,EAAE6D,EAAE3D,GAAGwD,EAAEG,EAAEF,GAAGF,EAAEI,EAAEhB,GAAGgF,EAAEhE,EAAErF,GAAG4F,EAAE8B,KAAK,CAAC3C,EAAE/E,EAAE,yDAAyDwB,EAAE,aAAaA,EAAE,qCAAqC0D,EAAE,wBAAwBD,EAAE,4BAA4BoE,EAAE,80JAA80J,KAAKtE,EAAE/F,QAAQ4G,M,oBC5Cpsef,OAAnPhC,EAAO7D,QAAsQ,SAAS8F,GAAG,IAAIC,EAAE,GAAG,SAASC,EAAEK,GAAG,GAAGN,EAAEM,GAAG,OAAON,EAAEM,GAAGrG,QAAQ,IAAI0C,EAAEqD,EAAEM,GAAG,CAACrF,EAAEqF,EAAEJ,GAAE,EAAGjG,QAAQ,IAAI,OAAO8F,EAAEO,GAAG1G,KAAK+C,EAAE1C,QAAQ0C,EAAEA,EAAE1C,QAAQgG,GAAGtD,EAAEuD,GAAE,EAAGvD,EAAE1C,QAAQ,OAAOgG,EAAEE,EAAEJ,EAAEE,EAAEG,EAAEJ,EAAEC,EAAEI,EAAE,SAASN,EAAEC,EAAEM,GAAGL,EAAEK,EAAEP,EAAEC,IAAIhG,OAAOd,eAAe6G,EAAEC,EAAE,CAAC5E,YAAW,EAAGzB,IAAI2G,KAAKL,EAAEtD,EAAE,SAASoD,GAAG,oBAAoBQ,QAAQA,OAAOC,aAAaxG,OAAOd,eAAe6G,EAAEQ,OAAOC,YAAY,CAACtG,MAAM,WAAWF,OAAOd,eAAe6G,EAAE,aAAa,CAAC7F,OAAM,KAAM+F,EAAEF,EAAE,SAASA,EAAEC,GAAG,GAAG,EAAEA,IAAID,EAAEE,EAAEF,IAAI,EAAEC,EAAE,OAAOD,EAAE,GAAG,EAAEC,GAAG,iBAAiBD,GAAGA,GAAGA,EAAExF,WAAW,OAAOwF,EAAE,IAAIO,EAAEtG,OAAOyG,OAAO,MAAM,GAAGR,EAAEtD,EAAE2D,GAAGtG,OAAOd,eAAeoH,EAAE,UAAU,CAAClF,YAAW,EAAGlB,MAAM6F,IAAI,EAAEC,GAAG,iBAAiBD,EAAE,IAAI,IAAIpD,KAAKoD,EAAEE,EAAEI,EAAEC,EAAE3D,EAAE,SAASqD,GAAG,OAAOD,EAAEC,IAAIU,KAAK,KAAK/D,IAAI,OAAO2D,GAAGL,EAAEA,EAAE,SAASF,GAAG,IAAIC,EAAED,GAAGA,EAAExF,WAAW,WAAW,OAAOwF,EAAEvF,SAAS,WAAW,OAAOuF,GAAG,OAAOE,EAAEI,EAAEL,EAAE,IAAIA,GAAGA,GAAGC,EAAEK,EAAE,SAASP,EAAEC,GAAG,OAAOhG,OAAOV,UAAUqH,eAAe/G,KAAKmG,EAAEC,IAAIC,EAAEW,EAAE,SAASX,EAAEA,EAAEY,EAAE,KAAv5B,CAA65B,CAACjC,EAAE,SAASmB,EAAEC,EAAEC,GAAG,aAAa,SAASK,EAAEP,EAAEC,EAAEC,EAAEK,EAAE3D,EAAE1B,EAAEwB,EAAEoE,GAAG,IAAIT,EAAE2B,EAAE,mBAAmBhC,EAAEA,EAAEe,QAAQf,EAAE,GAAGC,IAAI+B,EAAEhB,OAAOf,EAAE+B,EAAEf,gBAAgBf,EAAE8B,EAAEd,WAAU,GAAIX,IAAIyB,EAAEb,YAAW,GAAIjG,IAAI8G,EAAEZ,SAAS,UAAUlG,GAAGwB,GAAG2D,EAAE,SAASL,IAAIA,EAAEA,GAAGlG,KAAKuH,QAAQvH,KAAKuH,OAAOC,YAAYxH,KAAKyH,QAAQzH,KAAKyH,OAAOF,QAAQvH,KAAKyH,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBxB,EAAEwB,qBAAqB5E,GAAGA,EAAE/C,KAAKC,KAAKkG,GAAGA,GAAGA,EAAEyB,uBAAuBzB,EAAEyB,sBAAsBC,IAAIhF,IAAIsF,EAAEL,aAAatB,GAAGzD,IAAIyD,EAAES,EAAE,WAAWlE,EAAE/C,KAAKC,MAAMkI,EAAEb,WAAWrH,KAAKyH,OAAOzH,MAAM8H,MAAMC,SAASC,aAAalF,GAAGyD,EAAE,GAAG2B,EAAEb,WAAW,CAACa,EAAED,cAAc1B,EAAE,IAAIF,EAAE6B,EAAEhB,OAAOgB,EAAEhB,OAAO,SAAShB,EAAEC,GAAG,OAAOI,EAAExG,KAAKoG,GAAGE,EAAEH,EAAEC,QAAQ,CAAC,IAAIK,EAAE0B,EAAEC,aAAaD,EAAEC,aAAa3B,EAAE,GAAG4B,OAAO5B,EAAED,GAAG,CAACA,GAAG,MAAM,CAACnG,QAAQ8F,EAAEe,QAAQiB,GAAG9B,EAAEI,EAAEL,EAAE,KAAI,WAAY,OAAOM,MAAMzB,EAAE,SAASkB,EAAEC,EAAEC,GAAG,aAAaF,EAAE9F,QAAQ,SAAS8F,GAAG,IAAIC,EAAE,GAAG,OAAOA,EAAExG,SAAS,WAAW,OAAOK,KAAKqI,KAAI,SAAUlC,GAAG,IAAIC,EAAE,SAASF,EAAEC,GAAG,IAAyXvD,EAAEoE,EAAET,EAAzXH,EAAEF,EAAE,IAAI,GAAGO,EAAEP,EAAE,GAAG,IAAIO,EAAE,OAAOL,EAAE,GAAGD,GAAG,mBAAmBmC,KAAK,CAAC,IAAIxF,GAAGF,EAAE6D,EAAEO,EAAEsB,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU9F,MAAM2D,EAAE,+DAA+D6B,OAAOpB,GAAG,OAAOoB,OAAO7B,EAAE,QAAQnF,EAAEqF,EAAEkC,QAAQN,KAAI,SAAUnC,GAAG,MAAM,iBAAiBkC,OAAO3B,EAAEmC,YAAY,IAAIR,OAAOlC,EAAE,UAAU,MAAM,CAACE,GAAGgC,OAAOhH,GAAGgH,OAAO,CAACtF,IAAI+F,KAAK,MAAgB,MAAM,CAACzC,GAAGyC,KAAK,MAA5Z,CAAma1C,EAAED,GAAG,OAAOC,EAAE,GAAG,UAAUiC,OAAOjC,EAAE,GAAG,MAAMiC,OAAOhC,EAAE,KAAKA,KAAKyC,KAAK,KAAK1C,EAAE/E,EAAE,SAAS8E,EAAEE,EAAEK,GAAG,iBAAiBP,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAIpD,EAAE,GAAG,GAAG2D,EAAE,IAAI,IAAIrF,EAAE,EAAEA,EAAEpB,KAAKqB,OAAOD,IAAI,CAAC,IAAIwB,EAAE5C,KAAKoB,GAAG,GAAG,MAAMwB,IAAIE,EAAEF,IAAG,GAAI,IAAI,IAAIoE,EAAE,EAAEA,EAAEd,EAAE7E,OAAO2F,IAAI,CAAC,IAAIT,EAAE,GAAG6B,OAAOlC,EAAEc,IAAIP,GAAG3D,EAAEyD,EAAE,MAAMH,IAAIG,EAAE,GAAGA,EAAE,GAAG,GAAG6B,OAAOhC,EAAE,SAASgC,OAAO7B,EAAE,IAAIA,EAAE,GAAGH,GAAGD,EAAE2C,KAAKvC,MAAMJ,IAAI2R,IAAI,SAAS5R,EAAEC,EAAEC,GAAG,aAAaA,EAAEtD,EAAEqD,GAAG,IAAIM,EAAEL,EAAE;;;;;;;;;;;;;;;;;;;;;GAqB/7FD,EAAExF,QAAQ8F,EAAE7D,GAAGmV,GAAG,SAAS7R,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAqC4X,IAAI,SAAS9R,EAAEC,EAAEC,GAAG,aAAa,IAAIK,EAAEL,EAAE,IAAIA,EAAEA,EAAEK,GAAG7D,GAAGqV,IAAI,SAAS/R,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAK0C,KAAK,CAAC5C,EAAE9E,EAAE,ioCAAioC,KAAK8E,EAAE9F,QAAQ+F,GAAG6C,GAAG,SAAS9C,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAsC6I,EAAE,SAAS/C,EAAEC,EAAEC,GAAG,aAAa,SAASK,EAAEP,EAAEC,GAAG,IAAI,IAAIC,EAAE,GAAGK,EAAE,GAAG3D,EAAE,EAAEA,EAAEqD,EAAE9E,OAAOyB,IAAI,CAAC,IAAI1B,EAAE+E,EAAErD,GAAGF,EAAExB,EAAE,GAAG4F,EAAE,CAACkC,GAAGhD,EAAE,IAAIpD,EAAEqG,IAAI/H,EAAE,GAAGgI,MAAMhI,EAAE,GAAGiI,UAAUjI,EAAE,IAAIqF,EAAE7D,GAAG6D,EAAE7D,GAAG0G,MAAMR,KAAK9B,GAAGZ,EAAE0C,KAAKrC,EAAE7D,GAAG,CAACsG,GAAGtG,EAAE0G,MAAM,CAACtC,KAAK,OAAOZ,EAAEA,EAAEtD,EAAEqD,GAAGC,EAAEI,EAAEL,EAAE,WAAU,WAAY,OAAOY,KAAK,IAAIjE,EAAE,oBAAoByG,SAAS,GAAG,oBAAoBC,OAAOA,QAAQ1G,EAAE,MAAM,IAAI2G,MAAM,2JAA2J,IAAIrI,EAAE,GAAGwB,EAAEE,IAAIyG,SAASG,MAAMH,SAASI,qBAAqB,QAAQ,IAAI3C,EAAE,KAAKT,EAAE,EAAE2B,GAAE,EAAG7B,EAAE,aAAaG,EAAE,KAAKlH,EAAE,oBAAoBsK,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAAShD,EAAEb,EAAEC,EAAEC,EAAEtD,GAAGoF,EAAE9B,EAAEI,EAAE1D,GAAG,GAAG,IAAIF,EAAE6D,EAAEP,EAAEC,GAAG,OAAOuE,EAAE9H,GAAG,SAASuD,GAAG,IAAI,IAAIC,EAAE,GAAGtD,EAAE,EAAEA,EAAEF,EAAEvB,OAAOyB,IAAI,CAAC,IAAIkE,EAAEpE,EAAEE,IAAIyD,EAAEnF,EAAE4F,EAAEkC,KAAKc,OAAO5D,EAAE0C,KAAKvC,GAAsB,IAAnBJ,EAAEuE,EAAE9H,EAAE6D,EAAEP,EAAEC,IAAIvD,EAAE,GAAOE,EAAE,EAAEA,EAAEsD,EAAE/E,OAAOyB,IAAI,CAAC,IAAIyD,EAAE,GAAG,KAAKA,EAAEH,EAAEtD,IAAIkH,KAAK,CAAC,IAAI,IAAI9B,EAAE,EAAEA,EAAE3B,EAAE+C,MAAMjI,OAAO6G,IAAI3B,EAAE+C,MAAMpB,YAAY9G,EAAEmF,EAAE2C,OAAO,SAASwB,EAAExE,GAAG,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAE7E,OAAO8E,IAAI,CAAC,IAAIC,EAAEF,EAAEC,GAAGM,EAAErF,EAAEgF,EAAE8C,IAAI,GAAGzC,EAAE,CAACA,EAAEuD,OAAO,IAAI,IAAIlH,EAAE,EAAEA,EAAE2D,EAAE6C,MAAMjI,OAAOyB,IAAI2D,EAAE6C,MAAMxG,GAAGsD,EAAEkD,MAAMxG,IAAI,KAAKA,EAAEsD,EAAEkD,MAAMjI,OAAOyB,IAAI2D,EAAE6C,MAAMR,KAAKxC,EAAEF,EAAEkD,MAAMxG,KAAK2D,EAAE6C,MAAMjI,OAAO+E,EAAEkD,MAAMjI,SAASoF,EAAE6C,MAAMjI,OAAO+E,EAAEkD,MAAMjI,YAAY,CAAC,IAAIuB,EAAE,GAAG,IAAIE,EAAE,EAAEA,EAAEsD,EAAEkD,MAAMjI,OAAOyB,IAAIF,EAAEkG,KAAKxC,EAAEF,EAAEkD,MAAMxG,KAAK1B,EAAEgF,EAAE8C,IAAI,CAACA,GAAG9C,EAAE8C,GAAGc,KAAK,EAAEV,MAAM1G,KAAK,SAASqH,IAAI,IAAI/D,EAAEqD,SAASW,cAAc,SAAS,OAAOhE,EAAEiE,KAAK,WAAWvH,EAAEwH,YAAYlE,GAAGA,EAAE,SAASI,EAAEJ,GAAG,IAAIC,EAAEC,EAAEK,EAAE8C,SAASc,cAAc,2BAA2BnE,EAAEgD,GAAG,MAAM,GAAGzC,EAAE,CAAC,GAAGyB,EAAE,OAAO7B,EAAEI,EAAE6D,WAAWC,YAAY9D,GAAG,GAAGnH,EAAE,CAAC,IAAIwD,EAAEyD,IAAIE,EAAEO,IAAIA,EAAEiD,KAAK9D,EAAEwE,EAAE9D,KAAK,KAAKJ,EAAE3D,GAAE,GAAIsD,EAAEuE,EAAE9D,KAAK,KAAKJ,EAAE3D,GAAE,QAAS2D,EAAEwD,IAAI9D,EAAEqE,EAAE3D,KAAK,KAAKJ,GAAGL,EAAE,WAAWK,EAAE6D,WAAWC,YAAY9D,IAAI,OAAON,EAAED,GAAG,SAASO,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAE0C,MAAMjD,EAAEiD,KAAK1C,EAAE2C,QAAQlD,EAAEkD,OAAO3C,EAAE4C,YAAYnD,EAAEmD,UAAU,OAAOlD,EAAED,EAAEO,QAAQL,KAAK,IAAIvD,EAAE4H,GAAG5H,EAAE,GAAG,SAASqD,EAAEC,GAAG,OAAOtD,EAAEqD,GAAGC,EAAEtD,EAAE+H,OAAOC,SAAShC,KAAK,QAAQ,SAAS8B,EAAEzE,EAAEC,EAAEC,EAAEK,GAAG,IAAI3D,EAAEsD,EAAE,GAAGK,EAAE0C,IAAI,GAAGjD,EAAE4E,WAAW5E,EAAE4E,WAAWC,QAAQN,EAAEtE,EAAErD,OAAO,CAAC,IAAI1B,EAAEmI,SAASyB,eAAelI,GAAGF,EAAEsD,EAAE+E,WAAWrI,EAAEuD,IAAID,EAAEqE,YAAY3H,EAAEuD,IAAIvD,EAAEvB,OAAO6E,EAAEgF,aAAa9J,EAAEwB,EAAEuD,IAAID,EAAEkE,YAAYhJ,IAAI,SAASoJ,EAAEtE,EAAEC,GAAG,IAAIC,EAAED,EAAEgD,IAAI1C,EAAEN,EAAEiD,MAAMtG,EAAEqD,EAAEkD,UAAU,GAAG5C,GAAGP,EAAEiF,aAAa,QAAQ1E,GAAGD,EAAE4E,OAAOlF,EAAEiF,aAAa,kBAAkBhF,EAAE+C,IAAIpG,IAAIsD,GAAG,mBAAmBtD,EAAE6F,QAAQ,GAAG,MAAMvC,GAAG,uDAAuDkC,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU5F,MAAM,OAAOoD,EAAE4E,WAAW5E,EAAE4E,WAAWC,QAAQ3E,MAAM,CAAC,KAAKF,EAAEmF,YAAYnF,EAAEqE,YAAYrE,EAAEmF,YAAYnF,EAAEkE,YAAYb,SAASyB,eAAe5E,OAAOkF,GAAG,SAASpF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAuCmL,GAAG,SAASrF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAiD8X,GAAG,SAAShS,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAI,IAAIK,EAAEL,EAAE,GAAGtD,EAAEsD,EAAEA,EAAEK;;;;;;;;;;;;;;;;;;;;;GAqBvlIN,EAAEvD,EAAE,CAACuV,OAAO,WAAWnY,KAAKmV,OAAOxU,SAAS,KAAKX,KAAKqM,KAAK1I,SAASb,EAAEF,EAAEoP,KAAKC,KAAK,GAAG7J,OAAOpI,KAAK+H,SAAS5D,KAAK,2DAA2DnE,MAAMA,KAAKoY,WAAWpY,KAAKuW,IAAIN,WAAWb,aAAa,WAAWpV,KAAKqM,KAAKrM,KAAKqY,WAAWlW,KAAK,WAAW,MAAM,CAACkK,KAAKrM,KAAKqY,YAAY5L,SAAS,CAAC6L,WAAW,WAAW,OAAOtY,KAAKqM,MAAMrM,KAAKqM,KAAK1I,OAAOtC,OAAO,KAAKyL,QAAQ,CAACuL,QAAQ,WAAW,OAAOrY,KAAKmV,OAAOxU,QAAQX,KAAKmV,OAAOxU,QAAQ,GAAG0L,KAAK1I,OAAO,OAAO4U,GAAG,SAASrS,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAAmCoL,GAAG,SAAStF,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,MAA4BoY,GAAG,SAAStS,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIK,EAAEL,EAAE,IAAItD,GAAGsD,EAAE,IAAI,SAASF,EAAEC,GAAG,IAAI,IAAIC,EAAEF,EAAEuS,QAAQrS,GAAG,CAAC,GAAGA,EAAE2B,SAAS5D,OAAOgC,EAAE,OAAOC,EAAEA,EAAEA,EAAEqS,WAAWtS,EAAEvD,EAAE,CAAC8V,OAAO,CAACjS,EAAE7D,GAAGzB,MAAM,CAACiL,KAAK,CAACjC,KAAKkJ,OAAO1S,QAAQ,IAAIgY,MAAM,CAACxO,KAAKkJ,OAAO1S,QAAQ,IAAIiY,gBAAgB,CAACzO,KAAKU,QAAQlK,SAAQ,GAAI8S,UAAU,CAACtJ,KAAKkJ,OAAO1S,QAAQ,KAAK8L,SAAS,CAACoM,UAAU,WAAW,IAAI,OAAO,IAAIhM,IAAI7M,KAAKoM,MAAM,MAAMlG,GAAG,OAAM,KAAM4G,QAAQ,CAACgM,QAAQ,SAAS5S,GAAG,GAAGlG,KAAKsV,MAAM,QAAQpP,GAAGlG,KAAK4Y,gBAAgB,CAAC,IAAIzS,EAAErD,EAAE9C,KAAK,WAAWmG,GAAGA,EAAEoP,WAAWpP,EAAEoP,iBAAiBxJ,EAAE,SAAS7F,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAwC2Y,GAAG,SAAS7S,EAAEC,EAAEC,GAAG,IAAIK,EAAEL,EAAE,KAAK,iBAAiBK,IAAIA,EAAE,CAAC,CAACP,EAAE9E,EAAEqF,EAAE,MAAMA,EAAEkF,SAASzF,EAAE9F,QAAQqG,EAAEkF,SAAQ,EAAGvF,EAAE,GAAGzF,SAAS,WAAW8F,GAAE,EAAG,KAAKuS,EAAE,SAAS9S,EAAEC,GAAGD,EAAE9F,QAAQ,EAAQ,KAAQ6Y,GAAG,SAAS/S,EAAEC,KAAK+S,GAAG,SAAShT,EAAEC,EAAEC,GAAG,aAAa,IAAIK,EAAE,CAACtC,KAAK,eAAeuU,OAAO,CAACtS,EAAE,IAAIxD,GAAGzB,MAAM,CAACkO,SAAS,CAAClF,KAAKU,QAAQlK,SAAQ,IAAK8L,SAAS,CAAC0M,YAAY,WAAW,OAAOnZ,KAAKqP,YAAYvM,GAAGsD,EAAE,KAAKA,EAAE,IAAIhF,EAAEgF,EAAE,IAAIxD,EAAEwD,EAAEA,EAAEhF,GAAG4F,EAAE7G,OAAO2C,EAAEF,EAATzC,CAAYsG,GAAE,WAAY,IAAIP,EAAElG,KAAKmG,EAAED,EAAEgH,eAAe9G,EAAEF,EAAEiH,MAAMC,IAAIjH,EAAE,OAAOC,EAAE,KAAK,CAACiH,YAAY,SAASO,MAAM,CAAC,mBAAmB1H,EAAEmJ,WAAW,CAACjJ,EAAE,SAAS,CAACiH,YAAY,gBAAgBO,MAAM,CAACwL,UAAUlT,EAAEiT,aAAa7L,MAAM,CAAC,aAAapH,EAAEuN,WAAWhG,GAAG,CAACC,MAAMxH,EAAE4S,UAAU,CAAC1S,EAAE,OAAO,CAACiH,YAAY,sBAAsBO,MAAM,CAAC1H,EAAE2S,UAAU,2BAA2B3S,EAAEkG,MAAMoL,MAAM,CAAC6B,gBAAgBnT,EAAE2S,UAAU,OAAO3S,EAAEkG,KAAK,IAAI,QAAQlG,EAAE2H,GAAG,KAAK3H,EAAEyS,MAAMvS,EAAE,IAAI,CAACA,EAAE,SAAS,CAACiH,YAAY,wBAAwB,CAACnH,EAAE2H,GAAG,aAAa3H,EAAE6H,GAAG7H,EAAEyS,OAAO,cAAczS,EAAE2H,GAAG,KAAKzH,EAAE,MAAMF,EAAE2H,GAAG,KAAKzH,EAAE,OAAO,CAACiH,YAAY,0BAA0BgB,SAAS,CAACiL,YAAYpT,EAAE6H,GAAG7H,EAAEmG,WAAWnG,EAAEoS,WAAWlS,EAAE,IAAI,CAACiH,YAAY,0BAA0BgB,SAAS,CAACiL,YAAYpT,EAAE6H,GAAG7H,EAAEmG,SAASjG,EAAE,OAAO,CAACiH,YAAY,uBAAuB,CAACnH,EAAE2H,GAAG3H,EAAE6H,GAAG7H,EAAEmG,SAASnG,EAAE2H,GAAG,KAAK3H,EAAE8H,MAAM,OAAO,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBpL,EAAEA,GAAGA,IAAIoE,GAAGb,EAAEvD,EAAEoE,EAAE5G,Y,kBC1C/gF,SAASmZ,EAASC,GAChB,MAA6B,mBAAlBA,EAAQnZ,QACjBoZ,QAAQxH,KAAK,2CAA4CuH,EAAQ/K,WAAY,uBACtE,GA0BX,SAASiL,EAASC,GAChB,YAA0C,IAA5BA,EAAMC,mBAAqCD,EAAMC,kBAAkBC,UAGzE5V,EAAO7D,QAAU,CACzByG,KAAM,SAAUiT,EAAIN,EAASG,GAC3B,IAAKJ,EAASC,GAAU,OAGxB,SAASO,EAAQ5T,GACf,GAAKwT,EAAMK,QAAX,CAGA,IAAIC,EAAW9T,EAAE+T,MAAS/T,EAAEgU,cAAgBhU,EAAEgU,eAC9CF,GAAYA,EAAS5Y,OAAS,GAAK4Y,EAASG,QAAQjU,EAAEjF,QAElD4Y,EAAGO,SAASlU,EAAEjF,SApCxB,SAAiBoZ,EAAWL,GAC1B,IAAKK,IAAcL,EACjB,OAAO,EAET,IAAK,IAAI7Y,EAAI,EAAG0E,EAAMmU,EAAS5Y,OAAQD,EAAI0E,EAAK1E,IAC9C,IACE,GAAIkZ,EAAUD,SAASJ,EAAS7Y,IAC9B,OAAO,EAET,GAAI6Y,EAAS7Y,GAAGiZ,SAASC,GACvB,OAAO,EAET,MAAMnU,GACN,OAAO,EAIX,OAAO,EAmB0BoU,CAAQZ,EAAMK,QAAQM,UAAWL,IAE9DH,EAAGU,oBAAoBC,SAAStU,IAIlC2T,EAAGU,oBAAsB,CACvBT,QAASA,EACTU,SAAUjB,EAAQnZ,OAEpB,MAAMqa,EAAe,iBAAkBnR,SAAS4I,gBAAkB,aAAe,SAChFuH,EAASC,IAAUpQ,SAASoR,iBAAiBD,EAAcX,IAG9Da,OAAQ,SAAUd,EAAIN,GAChBD,EAASC,KAAUM,EAAGU,oBAAoBC,SAAWjB,EAAQnZ,QAGnEwa,OAAQ,SAAUf,EAAIN,EAASG,GAE7B,MAAMe,EAAe,iBAAkBnR,SAAS4I,gBAAkB,aAAe,SAChFuH,EAASC,IAAUG,EAAGU,qBAAuBjR,SAASuR,oBAAoBJ,EAAcZ,EAAGU,oBAAoBT,gBACzGD,EAAGU","file":"vendors~editor-rich.js?v=29d438f918f0be3868ae","sourcesContent":["var DESCRIPTORS = require('../internals/descriptors');\nvar defineProperty = require('../internals/object-define-property').f;\n\nvar FunctionPrototype = Function.prototype;\nvar FunctionPrototypeToString = FunctionPrototype.toString;\nvar nameRE = /^\\s*function ([^ (]*)/;\nvar NAME = 'name';\n\n// Function instances `.name` property\n// https://tc39.github.io/ecma262/#sec-function-instances-name\nif (DESCRIPTORS && !(NAME in FunctionPrototype)) {\n defineProperty(FunctionPrototype, NAME, {\n configurable: true,\n get: function () {\n try {\n return FunctionPrototypeToString.call(this).match(nameRE)[1];\n } catch (error) {\n return '';\n }\n }\n });\n}\n","\"use strict\";\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.regexp.to-string\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getGettextBuilder = getGettextBuilder;\n\nvar _nodeGettext = _interopRequireDefault(require(\"node-gettext\"));\n\nvar _ = require(\".\");\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nvar GettextBuilder = /*#__PURE__*/function () {\n function GettextBuilder() {\n _classCallCheck(this, GettextBuilder);\n\n this.translations = {};\n this.debug = false;\n }\n\n _createClass(GettextBuilder, [{\n key: \"setLanguage\",\n value: function setLanguage(language) {\n this.locale = language;\n return this;\n }\n }, {\n key: \"detectLocale\",\n value: function detectLocale() {\n return this.setLanguage((0, _.getLanguage)());\n }\n }, {\n key: \"addTranslation\",\n value: function addTranslation(language, data) {\n this.translations[language] = data;\n return this;\n }\n }, {\n key: \"enableDebugMode\",\n value: function enableDebugMode() {\n this.debug = true;\n return this;\n }\n }, {\n key: \"build\",\n value: function build() {\n return new GettextWrapper(this.locale || 'en', this.translations, this.debug);\n }\n }]);\n\n return GettextBuilder;\n}();\n\nvar GettextWrapper = /*#__PURE__*/function () {\n function GettextWrapper(locale, data, debug) {\n _classCallCheck(this, GettextWrapper);\n\n this.gt = new _nodeGettext.default({\n debug: debug,\n sourceLocale: 'en'\n });\n\n for (var key in data) {\n this.gt.addTranslations(key, 'messages', data[key]);\n }\n\n this.gt.setLocale(locale);\n }\n\n _createClass(GettextWrapper, [{\n key: \"subtitudePlaceholders\",\n value: function subtitudePlaceholders(translated, vars) {\n return translated.replace(/{([^{}]*)}/g, function (a, b) {\n var r = vars[b];\n\n if (typeof r === 'string' || typeof r === 'number') {\n return r.toString();\n } else {\n return a;\n }\n });\n }\n }, {\n key: \"gettext\",\n value: function gettext(original) {\n var placeholders = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n return this.subtitudePlaceholders(this.gt.gettext(original), placeholders);\n }\n }, {\n key: \"ngettext\",\n value: function ngettext(singular, plural, count) {\n var placeholders = arguments.length > 3 && arguments[3] !== undefined ? arguments[3] : {};\n return this.subtitudePlaceholders(this.gt.ngettext(singular, plural, count).replace(/%n/g, count.toString()), placeholders);\n }\n }]);\n\n return GettextWrapper;\n}();\n\nfunction getGettextBuilder() {\n return new GettextBuilder();\n}\n//# sourceMappingURL=gettext.js.map","'use strict';\nvar $ = require('../internals/export');\nvar $trim = require('../internals/string-trim').trim;\nvar forcedStringTrimMethod = require('../internals/string-trim-forced');\n\n// `String.prototype.trim` method\n// https://tc39.github.io/ecma262/#sec-string.prototype.trim\n$({ target: 'String', proto: true, forced: forcedStringTrimMethod('trim') }, {\n trim: function trim() {\n return $trim(this);\n }\n});\n","var fails = require('../internals/fails');\nvar whitespaces = require('../internals/whitespaces');\n\nvar non = '\\u200B\\u0085\\u180E';\n\n// check that a method works with the correct list\n// of whitespaces and has a correct name\nmodule.exports = function (METHOD_NAME) {\n return fails(function () {\n return !!whitespaces[METHOD_NAME]() || non[METHOD_NAME]() != non || whitespaces[METHOD_NAME].name !== METHOD_NAME;\n });\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar toAbsoluteIndex = require('../internals/to-absolute-index');\nvar toInteger = require('../internals/to-integer');\nvar toLength = require('../internals/to-length');\nvar toObject = require('../internals/to-object');\nvar arraySpeciesCreate = require('../internals/array-species-create');\nvar createProperty = require('../internals/create-property');\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('splice');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('splice', { ACCESSORS: true, 0: 0, 1: 2 });\n\nvar max = Math.max;\nvar min = Math.min;\nvar MAX_SAFE_INTEGER = 0x1FFFFFFFFFFFFF;\nvar MAXIMUM_ALLOWED_LENGTH_EXCEEDED = 'Maximum allowed length exceeded';\n\n// `Array.prototype.splice` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.splice\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n splice: function splice(start, deleteCount /* , ...items */) {\n var O = toObject(this);\n var len = toLength(O.length);\n var actualStart = toAbsoluteIndex(start, len);\n var argumentsLength = arguments.length;\n var insertCount, actualDeleteCount, A, k, from, to;\n if (argumentsLength === 0) {\n insertCount = actualDeleteCount = 0;\n } else if (argumentsLength === 1) {\n insertCount = 0;\n actualDeleteCount = len - actualStart;\n } else {\n insertCount = argumentsLength - 2;\n actualDeleteCount = min(max(toInteger(deleteCount), 0), len - actualStart);\n }\n if (len + insertCount - actualDeleteCount > MAX_SAFE_INTEGER) {\n throw TypeError(MAXIMUM_ALLOWED_LENGTH_EXCEEDED);\n }\n A = arraySpeciesCreate(O, actualDeleteCount);\n for (k = 0; k < actualDeleteCount; k++) {\n from = actualStart + k;\n if (from in O) createProperty(A, k, O[from]);\n }\n A.length = actualDeleteCount;\n if (insertCount < actualDeleteCount) {\n for (k = actualStart; k < len - actualDeleteCount; k++) {\n from = k + actualDeleteCount;\n to = k + insertCount;\n if (from in O) O[to] = O[from];\n else delete O[to];\n }\n for (k = len; k > len - actualDeleteCount + insertCount; k--) delete O[k - 1];\n } else if (insertCount > actualDeleteCount) {\n for (k = len - actualDeleteCount; k > actualStart; k--) {\n from = k + actualDeleteCount - 1;\n to = k + insertCount - 1;\n if (from in O) O[to] = O[from];\n else delete O[to];\n }\n }\n for (k = 0; k < insertCount; k++) {\n O[k + actualStart] = arguments[k + 2];\n }\n O.length = len - actualDeleteCount + insertCount;\n return A;\n }\n});\n","!function(t,e){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=e():\"function\"==typeof define&&define.amd?define(\"Components/PopoverMenu\",[],e):\"object\"==typeof exports?exports[\"Components/PopoverMenu\"]=e():(t.NextcloudVue=t.NextcloudVue||{},t.NextcloudVue[\"Components/PopoverMenu\"]=e())}(window,(function(){return function(t){var e={};function n(a){if(e[a])return e[a].exports;var i=e[a]={i:a,l:!1,exports:{}};return t[a].call(i.exports,i,i.exports,n),i.l=!0,i.exports}return n.m=t,n.c=e,n.d=function(t,e,a){n.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:a})},n.r=function(t){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(t,\"__esModule\",{value:!0})},n.t=function(t,e){if(1&e&&(t=n(t)),8&e)return t;if(4&e&&\"object\"==typeof t&&t&&t.__esModule)return t;var a=Object.create(null);if(n.r(a),Object.defineProperty(a,\"default\",{enumerable:!0,value:t}),2&e&&\"string\"!=typeof t)for(var i in t)n.d(a,i,function(e){return t[e]}.bind(null,i));return a},n.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return n.d(e,\"a\",e),e},n.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},n.p=\"/dist/\",n(n.s=53)}({0:function(t,e,n){\"use strict\";function a(t,e,n,a,i,o,s,r){var l,c=\"function\"==typeof t?t.options:t;if(e&&(c.render=e,c.staticRenderFns=n,c._compiled=!0),a&&(c.functional=!0),o&&(c._scopeId=\"data-v-\"+o),s?(l=function(t){(t=t||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(t=__VUE_SSR_CONTEXT__),i&&i.call(this,t),t&&t._registeredComponents&&t._registeredComponents.add(s)},c._ssrRegister=l):i&&(l=r?function(){i.call(this,(c.functional?this.parent:this).$root.$options.shadowRoot)}:i),l)if(c.functional){c._injectStyles=l;var d=c.render;c.render=function(t,e){return l.call(e),d(t,e)}}else{var u=c.beforeCreate;c.beforeCreate=u?[].concat(u,l):[l]}return{exports:t,options:c}}n.d(e,\"a\",(function(){return a}))},1:function(t,e,n){\"use strict\";t.exports=function(t){var e=[];return e.toString=function(){return this.map((function(e){var n=function(t,e){var n=t[1]||\"\",a=t[3];if(!a)return n;if(e&&\"function\"==typeof btoa){var i=(s=a,r=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),l=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(r),\"/*# \".concat(l,\" */\")),o=a.sources.map((function(t){return\"/*# sourceURL=\".concat(a.sourceRoot||\"\").concat(t,\" */\")}));return[n].concat(o).concat([i]).join(\"\\n\")}var s,r,l;return[n].join(\"\\n\")}(e,t);return e[2]?\"@media \".concat(e[2],\" {\").concat(n,\"}\"):n})).join(\"\")},e.i=function(t,n,a){\"string\"==typeof t&&(t=[[null,t,\"\"]]);var i={};if(a)for(var o=0;o<this.length;o++){var s=this[o][0];null!=s&&(i[s]=!0)}for(var r=0;r<t.length;r++){var l=[].concat(t[r]);a&&i[l[0]]||(n&&(l[2]?l[2]=\"\".concat(n,\" and \").concat(l[2]):l[2]=n),e.push(l))}},e}},15:function(t,e){t.exports=require(\"core-js/modules/es.array.index-of\")},19:function(t,e){t.exports=require(\"core-js/modules/es.array.iterator\")},2:function(t,e,n){\"use strict\";function a(t,e){for(var n=[],a={},i=0;i<e.length;i++){var o=e[i],s=o[0],r={id:t+\":\"+i,css:o[1],media:o[2],sourceMap:o[3]};a[s]?a[s].parts.push(r):n.push(a[s]={id:s,parts:[r]})}return n}n.r(e),n.d(e,\"default\",(function(){return m}));var i=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!i)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var o={},s=i&&(document.head||document.getElementsByTagName(\"head\")[0]),r=null,l=0,c=!1,d=function(){},u=null,p=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function m(t,e,n,i){c=n,u=i||{};var s=a(t,e);return f(s),function(e){for(var n=[],i=0;i<s.length;i++){var r=s[i];(l=o[r.id]).refs--,n.push(l)}e?f(s=a(t,e)):s=[];for(i=0;i<n.length;i++){var l;if(0===(l=n[i]).refs){for(var c=0;c<l.parts.length;c++)l.parts[c]();delete o[l.id]}}}}function f(t){for(var e=0;e<t.length;e++){var n=t[e],a=o[n.id];if(a){a.refs++;for(var i=0;i<a.parts.length;i++)a.parts[i](n.parts[i]);for(;i<n.parts.length;i++)a.parts.push(v(n.parts[i]));a.parts.length>n.parts.length&&(a.parts.length=n.parts.length)}else{var s=[];for(i=0;i<n.parts.length;i++)s.push(v(n.parts[i]));o[n.id]={id:n.id,refs:1,parts:s}}}}function b(){var t=document.createElement(\"style\");return t.type=\"text/css\",s.appendChild(t),t}function v(t){var e,n,a=document.querySelector('style[data-vue-ssr-id~=\"'+t.id+'\"]');if(a){if(c)return d;a.parentNode.removeChild(a)}if(p){var i=l++;a=r||(r=b()),e=y.bind(null,a,i,!1),n=y.bind(null,a,i,!0)}else a=b(),e=g.bind(null,a),n=function(){a.parentNode.removeChild(a)};return e(t),function(a){if(a){if(a.css===t.css&&a.media===t.media&&a.sourceMap===t.sourceMap)return;e(t=a)}else n()}}var h,x=(h=[],function(t,e){return h[t]=e,h.filter(Boolean).join(\"\\n\")});function y(t,e,n,a){var i=n?\"\":a.css;if(t.styleSheet)t.styleSheet.cssText=x(e,i);else{var o=document.createTextNode(i),s=t.childNodes;s[e]&&t.removeChild(s[e]),s.length?t.insertBefore(o,s[e]):t.appendChild(o)}}function g(t,e){var n=e.css,a=e.media,i=e.sourceMap;if(a&&t.setAttribute(\"media\",a),u.ssrId&&t.setAttribute(\"data-vue-ssr-id\",e.id),i&&(n+=\"\\n/*# sourceURL=\"+i.sources[0]+\" */\",n+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(i))))+\" */\"),t.styleSheet)t.styleSheet.cssText=n;else{for(;t.firstChild;)t.removeChild(t.firstChild);t.appendChild(document.createTextNode(n))}}},20:function(t,e){t.exports=require(\"core-js/modules/es.string.iterator\")},21:function(t,e){t.exports=require(\"core-js/modules/web.dom-collections.iterator\")},27:function(t,e){t.exports=require(\"core-js/modules/web.url\")},28:function(t,e){t.exports=require(\"core-js/modules/es.regexp.to-string\")},33:function(t,e,n){var a=n(85);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"1f2ec49f\",a,!0,{})},34:function(t,e,n){var a=n(87);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"9c561116\",a,!0,{})},35:function(t,e,n){var a=n(89);\"string\"==typeof a&&(a=[[t.i,a,\"\"]]),a.locals&&(t.exports=a.locals);(0,n(2).default)(\"93093140\",a,!0,{})},41:function(t,e){},5:function(t,e){t.exports=require(\"core-js/modules/es.object.to-string\")},53:function(t,e,n){\"use strict\";n.r(e);n(15),n(19),n(5),n(28),n(20),n(21),n(27);var a={name:\"PopoverMenuItem\",props:{item:{type:Object,required:!0,default:function(){return{key:\"nextcloud-link\",href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}},validator:function(t){return!t.input||-1!==[\"text\",\"checkbox\"].indexOf(t.input)}}},computed:{key:function(){return this.item.key?this.item.key:Math.round(16*Math.random()*1e6).toString(16)},iconIsUrl:function(){try{return new URL(this.item.icon),!0}catch(t){return!1}}},methods:{action:function(t){this.item.action&&this.item.action(t)}}},i=(n(84),n(86),n(0)),o={name:\"PopoverMenu\",components:{PopoverMenuItem:Object(i.a)(a,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n(\"li\",[t.item.href?n(\"a\",{staticClass:\"focusable\",attrs:{href:t.item.href?t.item.href:\"#\",target:t.item.target?t.item.target:\"\",download:t.item.download,rel:\"noreferrer noopener\"},on:{click:t.action}},[t.iconIsUrl?n(\"img\",{attrs:{src:t.item.icon}}):n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()]):t.item.input?n(\"span\",{staticClass:\"menuitem\",class:{active:t.item.active}},[\"checkbox\"!==t.item.input?n(\"span\",{class:t.item.icon}):t._e(),t._v(\" \"),\"text\"===t.item.input?n(\"form\",{class:t.item.input,on:{submit:function(e){return e.preventDefault(),t.item.action(e)}}},[n(\"input\",{attrs:{type:t.item.input,placeholder:t.item.text,required:\"\"},domProps:{value:t.item.value}}),t._v(\" \"),n(\"input\",{staticClass:\"icon-confirm\",attrs:{type:\"submit\",value:\"\"}})]):[\"checkbox\"===t.item.input?n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:\"checkbox\"},domProps:{checked:Array.isArray(t.item.model)?t._i(t.item.model,null)>-1:t.item.model},on:{change:[function(e){var n=t.item.model,a=e.target,i=!!a.checked;if(Array.isArray(n)){var o=t._i(n,null);a.checked?o<0&&t.$set(t.item,\"model\",n.concat([null])):o>-1&&t.$set(t.item,\"model\",n.slice(0,o).concat(n.slice(o+1)))}else t.$set(t.item,\"model\",i)},t.item.action]}}):\"radio\"===t.item.input?n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:\"radio\"},domProps:{checked:t._q(t.item.model,null)},on:{change:[function(e){return t.$set(t.item,\"model\",null)},t.item.action]}}):n(\"input\",{directives:[{name:\"model\",rawName:\"v-model\",value:t.item.model,expression:\"item.model\"}],class:t.item.input,attrs:{id:t.key,type:t.item.input},domProps:{value:t.item.model},on:{change:t.item.action,input:function(e){e.target.composing||t.$set(t.item,\"model\",e.target.value)}}}),t._v(\" \"),n(\"label\",{attrs:{for:t.key},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")])]],2):t.item.action?n(\"button\",{staticClass:\"menuitem focusable\",class:{active:t.item.active},attrs:{disabled:t.item.disabled},on:{click:function(e){return e.stopPropagation(),e.preventDefault(),t.item.action(e)}}},[n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()]):n(\"span\",{staticClass:\"menuitem\",class:{active:t.item.active}},[n(\"span\",{class:t.item.icon}),t._v(\" \"),t.item.text&&t.item.longtext?n(\"p\",[n(\"strong\",{staticClass:\"menuitem-text\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\\t\")]),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"menuitem-text-detail\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\\t\")])]):t.item.text?n(\"span\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.text)+\"\\n\\t\\t\")]):t.item.longtext?n(\"p\",[t._v(\"\\n\\t\\t\\t\"+t._s(t.item.longtext)+\"\\n\\t\\t\")]):t._e()])])}),[],!1,null,\"75ab886e\",null).exports},props:{menu:{type:Array,default:function(){return[{href:\"https://nextcloud.com\",icon:\"icon-links\",text:\"Nextcloud\"}]},required:!0}}},s=(n(88),n(41)),r=n.n(s),l=Object(i.a)(o,(function(){var t=this.$createElement,e=this._self._c||t;return e(\"ul\",this._l(this.menu,(function(t,n){return e(\"PopoverMenuItem\",{key:n,attrs:{item:t}})})),1)}),[],!1,null,\"81c2afc0\",null);\"function\"==typeof r.a&&r()(l);var c=l.exports;\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */e.default=c},84:function(t,e,n){\"use strict\";var a=n(33);n.n(a).a},85:function(t,e,n){(e=n(1)(!1)).push([t.i,\"\\nbutton.menuitem[data-v-75ab886e] {\\n\\ttext-align: left;\\n}\\nbutton.menuitem *[data-v-75ab886e] {\\n\\tcursor: pointer;\\n}\\nbutton.menuitem[data-v-75ab886e]:disabled {\\n\\topacity: 0.5 !important;\\n\\tcursor: default;\\n}\\nbutton.menuitem:disabled *[data-v-75ab886e] {\\n\\tcursor: default;\\n}\\n.menuitem.active[data-v-75ab886e] {\\n\\tbox-shadow: inset 2px 0 var(--color-primary);\\n\\tborder-radius: 0;\\n}\\n\",\"\"]),t.exports=e},86:function(t,e,n){\"use strict\";var a=n(34);n.n(a).a},87:function(t,e,n){(e=n(1)(!1)).push([t.i,\"li[data-v-75ab886e]{display:flex;flex:0 0 auto}li.hidden[data-v-75ab886e]{display:none}li>button[data-v-75ab886e],li>a[data-v-75ab886e],li>.menuitem[data-v-75ab886e]{cursor:pointer;line-height:44px;border:0;border-radius:0;background-color:transparent;display:flex;align-items:flex-start;height:auto;margin:0;padding:0;font-weight:normal;box-shadow:none;width:100%;color:var(--color-main-text);white-space:nowrap;opacity:.7}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{min-width:0;min-height:0;background-position:14px center;background-size:16px}li>button span[class^='icon-'][data-v-75ab886e],li>button span[class*=' icon-'][data-v-75ab886e],li>a span[class^='icon-'][data-v-75ab886e],li>a span[class*=' icon-'][data-v-75ab886e],li>.menuitem span[class^='icon-'][data-v-75ab886e],li>.menuitem span[class*=' icon-'][data-v-75ab886e]{padding:22px 0 22px 44px}li>button:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>button:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>a:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>span[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>input[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child,li>.menuitem:not([class^='icon-']):not([class*='icon-'])>form[data-v-75ab886e]:not([class^='icon-']):not([class*='icon-']):first-child{margin-left:44px}li>button[class^='icon-'][data-v-75ab886e],li>button[class*=' icon-'][data-v-75ab886e],li>a[class^='icon-'][data-v-75ab886e],li>a[class*=' icon-'][data-v-75ab886e],li>.menuitem[class^='icon-'][data-v-75ab886e],li>.menuitem[class*=' icon-'][data-v-75ab886e]{padding:0 14px 0 44px}li>button[data-v-75ab886e]:not(:disabled):hover,li>button[data-v-75ab886e]:not(:disabled):focus,li>button:not(:disabled).active[data-v-75ab886e],li>a[data-v-75ab886e]:not(:disabled):hover,li>a[data-v-75ab886e]:not(:disabled):focus,li>a:not(:disabled).active[data-v-75ab886e],li>.menuitem[data-v-75ab886e]:not(:disabled):hover,li>.menuitem[data-v-75ab886e]:not(:disabled):focus,li>.menuitem:not(:disabled).active[data-v-75ab886e]{opacity:1 !important}li>button.action[data-v-75ab886e],li>a.action[data-v-75ab886e],li>.menuitem.action[data-v-75ab886e]{padding:inherit !important}li>button>span[data-v-75ab886e],li>a>span[data-v-75ab886e],li>.menuitem>span[data-v-75ab886e]{cursor:pointer;white-space:nowrap}li>button>p[data-v-75ab886e],li>a>p[data-v-75ab886e],li>.menuitem>p[data-v-75ab886e]{width:150px;line-height:1.6em;padding:8px 0;white-space:normal}li>button>select[data-v-75ab886e],li>a>select[data-v-75ab886e],li>.menuitem>select[data-v-75ab886e]{margin:0;margin-left:6px}li>button[data-v-75ab886e]:not(:empty),li>a[data-v-75ab886e]:not(:empty),li>.menuitem[data-v-75ab886e]:not(:empty){padding-right:14px !important}li>button>img[data-v-75ab886e],li>a>img[data-v-75ab886e],li>.menuitem>img[data-v-75ab886e]{width:16px;padding:14px}li>button>input.radio+label[data-v-75ab886e],li>button>input.checkbox+label[data-v-75ab886e],li>a>input.radio+label[data-v-75ab886e],li>a>input.checkbox+label[data-v-75ab886e],li>.menuitem>input.radio+label[data-v-75ab886e],li>.menuitem>input.checkbox+label[data-v-75ab886e]{padding:0 !important;width:100%}li>button>input.checkbox+label[data-v-75ab886e]::before,li>a>input.checkbox+label[data-v-75ab886e]::before,li>.menuitem>input.checkbox+label[data-v-75ab886e]::before{margin:-2px 13px 0}li>button>input.radio+label[data-v-75ab886e]::before,li>a>input.radio+label[data-v-75ab886e]::before,li>.menuitem>input.radio+label[data-v-75ab886e]::before{margin:-2px 12px 0}li>button>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>a>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]),li>.menuitem>input[data-v-75ab886e]:not([type=radio]):not([type=checkbox]):not([type=image]){width:150px}li>button form[data-v-75ab886e],li>a form[data-v-75ab886e],li>.menuitem form[data-v-75ab886e]{display:flex;flex:1 1 auto}li>button form[data-v-75ab886e]:not(:first-child),li>a form[data-v-75ab886e]:not(:first-child),li>.menuitem form[data-v-75ab886e]:not(:first-child){margin-left:5px}li>button>span.hidden+form[data-v-75ab886e],li>button>span[style*='display:none']+form[data-v-75ab886e],li>a>span.hidden+form[data-v-75ab886e],li>a>span[style*='display:none']+form[data-v-75ab886e],li>.menuitem>span.hidden+form[data-v-75ab886e],li>.menuitem>span[style*='display:none']+form[data-v-75ab886e]{margin-left:0}li>button input[data-v-75ab886e],li>a input[data-v-75ab886e],li>.menuitem input[data-v-75ab886e]{min-width:44px;max-height:40px;margin:2px 0;flex:1 1 auto}li>button input[data-v-75ab886e]:not(:first-child),li>a input[data-v-75ab886e]:not(:first-child),li>.menuitem input[data-v-75ab886e]:not(:first-child){margin-left:5px}li:not(.hidden):not([style*='display:none']):first-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):first-of-type>.menuitem>input[data-v-75ab886e]{margin-top:12px}li:not(.hidden):not([style*='display:none']):last-of-type>button>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>button>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>a>input[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>form[data-v-75ab886e],li:not(.hidden):not([style*='display:none']):last-of-type>.menuitem>input[data-v-75ab886e]{margin-bottom:12px}li>button[data-v-75ab886e]{padding:0}li>button span[data-v-75ab886e]{opacity:1}\\n\",\"\"]),t.exports=e},88:function(t,e,n){\"use strict\";var a=n(35);n.n(a).a},89:function(t,e,n){(e=n(1)(!1)).push([t.i,\"ul[data-v-81c2afc0]{display:flex;flex-direction:column}\\n\",\"\"]),t.exports=e}})}));\n//# sourceMappingURL=PopoverMenu.js.map","!function(e,s){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=s():\"function\"==typeof define&&define.amd?define(\"Components/Actions\",[],s):\"object\"==typeof exports?exports[\"Components/Actions\"]=s():(e.NextcloudVue=e.NextcloudVue||{},e.NextcloudVue[\"Components/Actions\"]=s())}(window,(function(){return function(e){var s={};function t(n){if(s[n])return s[n].exports;var o=s[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,t),o.l=!0,o.exports}return t.m=e,t.c=s,t.d=function(e,s,n){t.o(e,s)||Object.defineProperty(e,s,{enumerable:!0,get:n})},t.r=function(e){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(e,\"__esModule\",{value:!0})},t.t=function(e,s){if(1&s&&(e=t(e)),8&s)return e;if(4&s&&\"object\"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(t.r(n),Object.defineProperty(n,\"default\",{enumerable:!0,value:e}),2&s&&\"string\"!=typeof e)for(var o in e)t.d(n,o,function(s){return e[s]}.bind(null,o));return n},t.n=function(e){var s=e&&e.__esModule?function(){return e.default}:function(){return e};return t.d(s,\"a\",s),s},t.o=function(e,s){return Object.prototype.hasOwnProperty.call(e,s)},t.p=\"/dist/\",t(t.s=42)}([function(e,s,t){\"use strict\";function n(e,s,t,n,o,r,c,A){var i,a=\"function\"==typeof e?e.options:e;if(s&&(a.render=s,a.staticRenderFns=t,a._compiled=!0),n&&(a.functional=!0),r&&(a._scopeId=\"data-v-\"+r),c?(i=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(c)},a._ssrRegister=i):o&&(i=A?function(){o.call(this,(a.functional?this.parent:this).$root.$options.shadowRoot)}:o),i)if(a.functional){a._injectStyles=i;var m=a.render;a.render=function(e,s){return i.call(s),m(e,s)}}else{var l=a.beforeCreate;a.beforeCreate=l?[].concat(l,i):[i]}return{exports:e,options:a}}t.d(s,\"a\",(function(){return n}))},function(e,s,t){\"use strict\";e.exports=function(e){var s=[];return s.toString=function(){return this.map((function(s){var t=function(e,s){var t=e[1]||\"\",n=e[3];if(!n)return t;if(s&&\"function\"==typeof btoa){var o=(c=n,A=btoa(unescape(encodeURIComponent(JSON.stringify(c)))),i=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(A),\"/*# \".concat(i,\" */\")),r=n.sources.map((function(e){return\"/*# sourceURL=\".concat(n.sourceRoot||\"\").concat(e,\" */\")}));return[t].concat(r).concat([o]).join(\"\\n\")}var c,A,i;return[t].join(\"\\n\")}(s,e);return s[2]?\"@media \".concat(s[2],\" {\").concat(t,\"}\"):t})).join(\"\")},s.i=function(e,t,n){\"string\"==typeof e&&(e=[[null,e,\"\"]]);var o={};if(n)for(var r=0;r<this.length;r++){var c=this[r][0];null!=c&&(o[c]=!0)}for(var A=0;A<e.length;A++){var i=[].concat(e[A]);n&&o[i[0]]||(t&&(i[2]?i[2]=\"\".concat(t,\" and \").concat(i[2]):i[2]=t),s.push(i))}},s}},function(e,s,t){\"use strict\";function n(e,s){for(var t=[],n={},o=0;o<s.length;o++){var r=s[o],c=r[0],A={id:e+\":\"+o,css:r[1],media:r[2],sourceMap:r[3]};n[c]?n[c].parts.push(A):t.push(n[c]={id:c,parts:[A]})}return t}t.r(s),t.d(s,\"default\",(function(){return u}));var o=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!o)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var r={},c=o&&(document.head||document.getElementsByTagName(\"head\")[0]),A=null,i=0,a=!1,m=function(){},l=null,g=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function u(e,s,t,o){a=t,l=o||{};var c=n(e,s);return d(c),function(s){for(var t=[],o=0;o<c.length;o++){var A=c[o];(i=r[A.id]).refs--,t.push(i)}s?d(c=n(e,s)):c=[];for(o=0;o<t.length;o++){var i;if(0===(i=t[o]).refs){for(var a=0;a<i.parts.length;a++)i.parts[a]();delete r[i.id]}}}}function d(e){for(var s=0;s<e.length;s++){var t=e[s],n=r[t.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](t.parts[o]);for(;o<t.parts.length;o++)n.parts.push(f(t.parts[o]));n.parts.length>t.parts.length&&(n.parts.length=t.parts.length)}else{var c=[];for(o=0;o<t.parts.length;o++)c.push(f(t.parts[o]));r[t.id]={id:t.id,refs:1,parts:c}}}}function p(){var e=document.createElement(\"style\");return e.type=\"text/css\",c.appendChild(e),e}function f(e){var s,t,n=document.querySelector('style[data-vue-ssr-id~=\"'+e.id+'\"]');if(n){if(a)return m;n.parentNode.removeChild(n)}if(g){var o=i++;n=A||(A=p()),s=h.bind(null,n,o,!1),t=h.bind(null,n,o,!0)}else n=p(),s=T.bind(null,n),t=function(){n.parentNode.removeChild(n)};return s(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;s(e=n)}else t()}}var v,M=(v=[],function(e,s){return v[e]=s,v.filter(Boolean).join(\"\\n\")});function h(e,s,t,n){var o=t?\"\":n.css;if(e.styleSheet)e.styleSheet.cssText=M(s,o);else{var r=document.createTextNode(o),c=e.childNodes;c[s]&&e.removeChild(c[s]),c.length?e.insertBefore(r,c[s]):e.appendChild(r)}}function T(e,s){var t=s.css,n=s.media,o=s.sourceMap;if(n&&e.setAttribute(\"media\",n),l.ssrId&&e.setAttribute(\"data-vue-ssr-id\",s.id),o&&(t+=\"\\n/*# sourceURL=\"+o.sources[0]+\" */\",t+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+\" */\"),e.styleSheet)e.styleSheet.cssText=t;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(t))}}},function(e,s,t){\"use strict\";t.d(s,\"b\",(function(){return A})),t.d(s,\"a\",(function(){return c}));t(7);var n=t(23),o=Object(n.getGettextBuilder)().detectLocale();[{locale:\"br\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Kervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020\",\"Language-Team\":\"Breton (https://www.transifex.com/nextcloud/teams/64236/br/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"br\",\"Plural-Forms\":\"nplurals=5; plural=((n%10 == 1) && (n%100 != 11) && (n%100 !=71) && (n%100 !=91) ? 0 :(n%10 == 2) && (n%100 != 12) && (n%100 !=72) && (n%100 !=92) ? 1 :(n%10 ==3 || n%10==4 || n%10==9) && (n%100 < 10 || n% 100 > 19) && (n%100 < 70 || n%100 > 79) && (n%100 < 90 || n%100 > 99) ? 2 :(n != 0 && n % 1000000 == 0) ? 3 : 4);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nKervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020\\n\"},msgstr:[\"Last-Translator: Kervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020\\nLanguage-Team: Breton (https://www.transifex.com/nextcloud/teams/64236/br/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: br\\nPlural-Forms: nplurals=5; plural=((n%10 == 1) && (n%100 != 11) && (n%100 !=71) && (n%100 !=91) ? 0 :(n%10 == 2) && (n%100 != 12) && (n%100 !=72) && (n%100 !=92) ? 1 :(n%10 ==3 || n%10==4 || n%10==9) && (n%100 < 10 || n% 100 > 19) && (n%100 < 70 || n%100 > 79) && (n%100 < 90 || n%100 > 99) ? 2 :(n != 0 && n % 1000000 == 0) ? 3 : 4);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (diwelus)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (bevennet)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Oberioù\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Dibab\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Seriñ\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Da heul\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Disoc'h ebet\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Arsav an diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"A-raok\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Choaz un tag\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Arventoù\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Kregiñ an diaporama\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Dibosuple klask ar strollad\"]}}}}},{locale:\"ca\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Carles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020\",\"Language-Team\":\"Catalan (https://www.transifex.com/nextcloud/teams/64236/ca/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ca\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nMarc Riera <marcriera@softcatala.org>, 2020\\nCarles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020\\n\"},msgstr:[\"Last-Translator: Carles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020\\nLanguage-Team: Catalan (https://www.transifex.com/nextcloud/teams/64236/ca/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ca\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restringit)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Accions\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Trieu\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Tanca\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Següent\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Sense resultats\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Atura la presentació\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterior\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecciona una etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Paràmetres\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Inicia la presentació\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"No es pot cercar el grup\"]}}}}},{locale:\"cs_CZ\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Pavel Borecki <pavel.borecki@gmail.com>, 2020\",\"Language-Team\":\"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"cs_CZ\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nPavel Borecki <pavel.borecki@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: cs_CZ\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (neviditelný)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (omezený)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Akce\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Aktivity\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Zvířata a příroda\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Zvolit\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Zavřít\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Uživatelsky určené\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Příznaky\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Jídlo a pití\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Často používané\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Následující\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Nenalezeno žádné emoji\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Žádné výsledky\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Objekty\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pozastavit prezentaci\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Lidé a tělo\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Vyberte emoji\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Předchozí\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Hledat\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Výsledky hledání\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Vybrat štítek\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Nastavení\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Úsměvy a emoce\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Spustit prezentaci\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Symboly\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Cestování a místa\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Nedaří se hledat skupinu\"]}}}}},{locale:\"da\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Thomas Nielsen <thsnielsen@gmail.com>, 2020\",\"Language-Team\":\"Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"da\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nThomas Nielsen <thsnielsen@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Thomas Nielsen <thsnielsen@gmail.com>, 2020\\nLanguage-Team: Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: da\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (usynlig)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (begrænset)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Handlinger\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Vælg\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Luk\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Videre\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Ingen resultater\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Suspender fremvisning\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Forrige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Vælg et mærke\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Indstillinger\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Start fremvisning\"]}}}}},{locale:\"de\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Mario Siegmann <mario_siegmann@web.de>, 2020\",\"Language-Team\":\"German (https://www.transifex.com/nextcloud/teams/64236/de/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"de\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\\nAndreas Eitel <github-aneitel@online.de>, 2020\\nMario Siegmann <mario_siegmann@web.de>, 2020\\n\"},msgstr:[\"Last-Translator: Mario Siegmann <mario_siegmann@web.de>, 2020\\nLanguage-Team: German (https://www.transifex.com/nextcloud/teams/64236/de/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: de\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (unsichtbar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (eingeschränkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Aktionen\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Aktivitäten\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Tiere & Natur\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Auswählen\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Schließen\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Benutzerdefiniert\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Markierung\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Essen & Trinken\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Häufig verwendet\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Weiter\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Kein Emoji gefunden\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Keine Ergebnisse\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Gegenstände\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow pausieren\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Menschen & Körper\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Ein Emoji auswählen\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorherige\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Ein Emoji auswählen\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Suchergebnisse\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Schlagwort auswählen\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Einstellungen\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Smileys & Emotionen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow starten\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Symbole\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Reisen & Orte\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Die Gruppe konnte nicht durchsucht werden\"]}}}}},{locale:\"de_DE\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Mario Siegmann <mario_siegmann@web.de>, 2020\",\"Language-Team\":\"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"de_DE\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\\nProfDrJones <jones@fs.cs.hm.edu>, 2020\\nMario Siegmann <mario_siegmann@web.de>, 2020\\n\"},msgstr:[\"Last-Translator: Mario Siegmann <mario_siegmann@web.de>, 2020\\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: de_DE\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (unsichtbar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (eingeschränkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Aktionen\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Aktivitäten\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Tiere & Natur\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Auswählen\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Schließen\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Benutzerdefiniert\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Markierung\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Essen & Trinken\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Häufig verwendet\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Weiter\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Kein Emoji gefunden\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Keine Ergebnisse\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Gegenstände\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow pausieren\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Menschen & Körper\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Ein Emoji auswählen\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorherige\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Suchen\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Suchergebnisse\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Schlagwort auswählen\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Einstellungen\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Smileys & Emotionen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow starten\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Symbole\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Reisen & Orte\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Die Gruppe kann nicht durchsucht werden\"]}}}}},{locale:\"el\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"george k <norhorn@gmail.com>, 2020\",\"Language-Team\":\"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"el\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nEfstathios Iosifidis <iefstathios@gmail.com>, 2020\\ngeorge k <norhorn@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: george k <norhorn@gmail.com>, 2020\\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: el\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (αόρατο)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (περιορισμένο)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Ενέργειες\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Επιλογή\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Κλείσιμο\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Επόμενο\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:34\"},msgstr:[\"Κανένα αποτέλεσμα\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Παύση προβολής διαφανειών\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Προηγούμενο\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Επιλογή ετικέτας\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ρυθμίσεις\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Έναρξη προβολής διαφανειών\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:138\"},msgstr:[\"Δεν είναι δυνατή η αναζήτηση της ομάδας\"]}}}}},{locale:\"es\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"asd fgh <c1@cgps.xyz>, 2020\",\"Language-Team\":\"Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"es\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\njavier san felipe <jsanfe@gmail.com>, 2020\\nasd fgh <c1@cgps.xyz>, 2020\\n\"},msgstr:[\"Last-Translator: asd fgh <c1@cgps.xyz>, 2020\\nLanguage-Team: Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: es\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{etiqueta} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{etiqueta} (restringido)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"acciones\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Elige\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Cierra\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Siguiente\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\" Ningún resultado\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausa la presentación \"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterior\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecciona una etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ajustes\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Comienza la presentación \"]}}}}},{locale:\"eu\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\",\"Language-Team\":\"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"eu\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: eu\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (ikusezina)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (mugatua)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Aukeratu\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Itxi\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Hurrengoa\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Emaitzarik ez\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pausatu diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Aurrekoa\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Hautatu etiketa bat\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ezarpenak\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Hasi diaporama\"]}}}}},{locale:\"fi_FI\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Robin Lahtinen <robin.lahtinen@gmail.com>, 2020\",\"Language-Team\":\"Finnish (Finland) (https://www.transifex.com/nextcloud/teams/64236/fi_FI/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"fi_FI\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nRobin Lahtinen <robin.lahtinen@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Robin Lahtinen <robin.lahtinen@gmail.com>, 2020\\nLanguage-Team: Finnish (Finland) (https://www.transifex.com/nextcloud/teams/64236/fi_FI/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: fi_FI\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (näkymätön)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (rajoitettu)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Toiminnot\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Valitse\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Sulje\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seuraava\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Ei tuloksia\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Keskeytä diaesitys\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Edellinen\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Valitse tagi\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Asetukset\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Aloita diaesitys\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Ryhmää ei voi hakea\"]}}}}},{locale:\"fr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Luclu7 <theluc7andcompagnie@gmail.com>, 2020\",\"Language-Team\":\"French (https://www.transifex.com/nextcloud/teams/64236/fr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"fr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nBrendan Abolivier <transifex@brendanabolivier.com>, 2020\\ngud bes <gudbes@protonmail.com>, 2020\\nGreg Greg <grena@grenabox.fr>, 2020\\nLuclu7 <theluc7andcompagnie@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Luclu7 <theluc7andcompagnie@gmail.com>, 2020\\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: fr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restreint)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Actions\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Choisir\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Fermer\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Suivant\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Aucun résultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Mettre le diaporama en pause\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Précédent\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Sélectionnez une balise\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Paramètres\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Démarrer le diaporama\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Impossible de chercher le groupe\"]}}}}},{locale:\"gl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Anonymous Person <pessoaemluta@protonmail.com>, 2020\",\"Language-Team\":\"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"gl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\\nAnonymous Person <pessoaemluta@protonmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Anonymous Person <pessoaemluta@protonmail.com>, 2020\\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: gl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisíbel)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restrinxido)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Accións\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escoller\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Pechar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seguinte\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Sen resultados\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausar o diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterir\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleccione unha etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Axustes\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Iniciar o diaporama\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Non se puido procurar o grupo.\"]}}}}},{locale:\"he\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Yaron Shahrabani <sh.yaron@gmail.com>, 2020\",\"Language-Team\":\"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"he\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: he\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (נסתר)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (מוגבל)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"בחירה\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"סגירה\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"הבא\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"אין תוצאות\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"השהיית מצגת\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"הקודם\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"בחירת תגית\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"הגדרות\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"התחלת המצגת\"]}}}}},{locale:\"hu_HU\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"asbot10 <asbot000@gmail.com>, 2020\",\"Language-Team\":\"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"hu_HU\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nBalázs Meskó <mesko.balazs@fsf.hu>, 2020\\nasbot10 <asbot000@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: asbot10 <asbot000@gmail.com>, 2020\\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: hu_HU\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (láthatatlan)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (korlátozott)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:197\"},msgstr:[\"Műveletek\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Válassszon\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Bezárás\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Következő\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nincs találat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diavetítés szüneteltetése\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Előző\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Válasszon címkét\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Beállítások\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diavetítés indítása\"]}}}}},{locale:\"is\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Sveinn í Felli <sv1@fellsnet.is>, 2020\",\"Language-Team\":\"Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"is\",\"Plural-Forms\":\"nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nSveinn í Felli <sv1@fellsnet.is>, 2020\\n\"},msgstr:[\"Last-Translator: Sveinn í Felli <sv1@fellsnet.is>, 2020\\nLanguage-Team: Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: is\\nPlural-Forms: nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (ósýnilegt)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (takmarkað)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Aðgerðir\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Velja\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Loka\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Næsta\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Engar niðurstöður\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Gera hlé á skyggnusýningu\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Fyrri\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Veldu merki\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Stillingar\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Byrja skyggnusýningu\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Get ekki leitað í hópnum\"]}}}}},{locale:\"it\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Random_R, 2020\",\"Language-Team\":\"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"it\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nRandom_R, 2020\\n\"},msgstr:[\"Last-Translator: Random_R, 2020\\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: it\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisibile)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (limitato)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Azioni\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Scegli\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Chiudi\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Successivo\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:34\"},msgstr:[\"Nessun risultato\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Presentazione in pausa\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Precedente\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleziona un'etichetta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Impostazioni\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Avvia presentazione\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:138\"},msgstr:[\"Impossibile cercare il gruppo\"]}}}}},{locale:\"ja_JP\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"YANO Tetsu <tetuyano+transi@gmail.com>, 2020\",\"Language-Team\":\"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ja_JP\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ja_JP\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{タグ} (不可視)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{タグ} (制限付)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"操作\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"選択\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"閉じる\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"次\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"なし\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"スライドショーを一時停止\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"前\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"タグを選択\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"設定\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"スライドショーを開始\"]}}}}},{locale:\"lt_LT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Moo, 2020\",\"Language-Team\":\"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lt_LT\",\"Plural-Forms\":\"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMoo, 2020\\n\"},msgstr:[\"Last-Translator: Moo, 2020\\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lt_LT\\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (nematoma)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (apribota)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Pasirinkti\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Užverti\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Kitas\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nėra rezultatų\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pristabdyti skaidrių rodymą\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Ankstesnis\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Pasirinkti žymę\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Nustatymai\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pradėti skaidrių rodymą\"]}}}}},{locale:\"lv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"stendec <stendec@inbox.lv>, 2020\",\"Language-Team\":\"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lv\",\"Plural-Forms\":\"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nstendec <stendec@inbox.lv>, 2020\\n\"},msgstr:[\"Last-Translator: stendec <stendec@inbox.lv>, 2020\\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lv\\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (neredzams)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ierobežots)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Izvēlēties\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Aizvērt\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Nākamais\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nav rezultātu\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pauzēt slaidrādi\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Iepriekšējais\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Izvēlēties birku\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Iestatījumi\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Sākt slaidrādi\"]}}}}},{locale:\"mk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Сашко Тодоров, 2020\",\"Language-Team\":\"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"mk\",\"Plural-Forms\":\"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nСашко Тодоров, 2020\\n\"},msgstr:[\"Last-Translator: Сашко Тодоров, 2020\\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: mk\\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (невидливо)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ограничено)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Избери\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Затвори\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Следно\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Нема резултати\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Пузирај слајдшоу\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Предходно\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Избери ознака\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Параметри\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Стартувај слајдшоу\"]}}}}},{locale:\"nb_NO\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Ole Jakob Brustad <ole.jakob@brustadbuss.no>, 2020\",\"Language-Team\":\"Norwegian Bokmål (Norway) (https://www.transifex.com/nextcloud/teams/64236/nb_NO/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"nb_NO\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nOle Jakob Brustad <ole.jakob@brustadbuss.no>, 2020\\n\"},msgstr:[\"Last-Translator: Ole Jakob Brustad <ole.jakob@brustadbuss.no>, 2020\\nLanguage-Team: Norwegian Bokmål (Norway) (https://www.transifex.com/nextcloud/teams/64236/nb_NO/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: nb_NO\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (usynlig)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (beskyttet)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Handlinger\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Velg\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Lukk\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Neste\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Ingen resultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pause lysbildefremvisning\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Forrige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Velg et merke\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Instillinger\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Start lysbildefremvisning\"]}}}}},{locale:\"nl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Arjan van S, 2020\",\"Language-Team\":\"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"nl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\\nArjan van S, 2020\\n\"},msgstr:[\"Last-Translator: Arjan van S, 2020\\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: nl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (onzichtbaar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (beperkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Acties\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Kies\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Sluiten\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Volgende\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Geen resultaten\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pauzeer diavoorstelling\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecteer een label\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Instellingen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Start diavoorstelling\"]}}}}},{locale:\"oc\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Quentin PAGÈS, 2020\",\"Language-Team\":\"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"oc\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nQuentin PAGÈS, 2020\\n\"},msgstr:[\"Last-Translator: Quentin PAGÈS, 2020\\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: oc\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (limit)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Accions\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Causir\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Tampar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seguent\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Cap de resultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Metre en pausa lo diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Precedent\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleccionar una etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Paramètres\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Lançar lo diaporama\"]}}}}},{locale:\"pl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Valdnet, 2020\",\"Language-Team\":\"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pl\",\"Plural-Forms\":\"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nValdnet, 2020\\n\"},msgstr:[\"Last-Translator: Valdnet, 2020\\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pl\\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (niewidoczna)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (ograniczona)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Działania\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Aktywność\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Zwierzęta i natura\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Wybierz\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Zamknij\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Zwyczajne\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Flagi\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Jedzenie i picie\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Często używane\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Następny\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Nie znaleziono emotikonów\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Brak wyników\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Obiekty\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Wstrzymaj pokaz slajdów\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Ludzie i ciało\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Wybierz emoji\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Poprzedni\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Szukaj\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Wyniki wyszukiwania\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Wybierz etykietę\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ustawienia\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Buźki i emotikony\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Rozpocznij pokaz slajdów\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Symbole\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Podróże i miejsca\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Nie można przeszukać grupy\"]}}}}},{locale:\"pt_BR\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Paulo Schopf, 2020\",\"Language-Team\":\"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_BR\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nMaurício Gardini <accounts@mauriciogardini.com>, 2020\\nPaulo Schopf, 2020\\n\"},msgstr:[\"Last-Translator: Paulo Schopf, 2020\\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_BR\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisível)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restrito) \"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Ações\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Atividades\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Animais & Natureza\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escolher\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Fechar\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Personalizado\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Bandeiras\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Comida & Bebida\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Mais usados\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Próximo\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Nenhum emoji encontrado\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Sem resultados\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Objetos\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausar apresentação de slides\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Pessoas & Corpo\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Escolha um emoji\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterior\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Pesquisar\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Resultados da pesquisa\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecionar uma tag\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Configurações\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Smiles & Emoções\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Iniciar apresentação de slides\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Símbolo\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Viagem & Lugares\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Não foi possível pesquisar o grupo\"]}}}}},{locale:\"pt_PT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Manuela Silva <manuelarodsilva@gmail.com>, 2020\",\"Language-Team\":\"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_PT\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nfpapoila <fpapoila@gmail.com>, 2020\\nManuela Silva <manuelarodsilva@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Manuela Silva <manuelarodsilva@gmail.com>, 2020\\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_PT\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisivel)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restrito)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Ações\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escolher\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Fechar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seguinte\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Sem resultados\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausar diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterior\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecionar uma etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Definições\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Iniciar diaporama\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Não é possível pesquisar o grupo\"]}}}}},{locale:\"ru\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Alex <kekcuha@gmail.com>, 2020\",\"Language-Team\":\"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ru\",\"Plural-Forms\":\"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAlex <kekcuha@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Alex <kekcuha@gmail.com>, 2020\\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ru\\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (невидимое)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ограниченное)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Выберите\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Закрыть\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Следующее\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Результаты отсуствуют\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Приостановить показ слйдов\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Предыдущее\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Выберите метку\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Параметры\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Начать показ слайдов\"]}}}}},{locale:\"sk_SK\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Anton Kuchár <tonokuc@pobox.sk>, 2020\",\"Language-Team\":\"Slovak (Slovakia) (https://www.transifex.com/nextcloud/teams/64236/sk_SK/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sk_SK\",\"Plural-Forms\":\"nplurals=4; plural=(n % 1 == 0 && n == 1 ? 0 : n % 1 == 0 && n >= 2 && n <= 4 ? 1 : n % 1 != 0 ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nAnton Kuchár <tonokuc@pobox.sk>, 2020\\n\"},msgstr:[\"Last-Translator: Anton Kuchár <tonokuc@pobox.sk>, 2020\\nLanguage-Team: Slovak (Slovakia) (https://www.transifex.com/nextcloud/teams/64236/sk_SK/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sk_SK\\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n == 1 ? 0 : n % 1 == 0 && n >= 2 && n <= 4 ? 1 : n % 1 != 0 ? 2: 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (neviditeľný)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (obmedzený)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Akcie\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Aktivity\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Zvieratá a príroda\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Vybrať\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Zatvoriť\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Zvyk\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Vlajky\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Jedlo a nápoje\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Často používané\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Ďalší\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Nenašli sa žiadne emodži\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Žiadne výsledky\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Objekty\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pozastaviť prezentáciu\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Ľudia a telo\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Vyberte si emodži\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Predchádzajúci\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Hľadať\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Výsledky vyhľadávania\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Vybrať štítok\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Nastavenia\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Smajlíky a emócie\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Začať prezentáciu\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Symboly\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Cestovanie a miesta\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Skupinu sa nepodarilo nájsť\"]}}}}},{locale:\"sv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Jonatan Nyberg, 2020\",\"Language-Team\":\"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sv\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nGabriel Ekström <gabriel.ekstrom06@gmail.com>, 2020\\nErik Lennartsson, 2020\\nJonatan Nyberg, 2020\\n\"},msgstr:[\"Last-Translator: Jonatan Nyberg, 2020\\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sv\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (osynlig)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (begränsad)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Åtgärder\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Välj\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Stäng\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Nästa\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Inga resultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausa bildspel\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Föregående\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Välj en tag\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Inställningar\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Starta bildspel\"]}}}}},{locale:\"tr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\",\"Language-Team\":\"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"tr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nKemal Oktay Aktoğan <oktayaktogan@gmail.com>, 2020\\nabc Def <hdogan1974@gmail.com>, 2020\\nHüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\\n\"},msgstr:[\"Last-Translator: Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: tr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (görünmez)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (kısıtlı)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Eylemler\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Seç\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Kapat\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Sonraki\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Sonuçlar yok\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Slayt gösterisini duraklat\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Önceki\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Bir etiket seçin\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ayarlar\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Slayt gösterisini başlat\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Grupta arama yapılamıyor\"]}}}}},{locale:\"uk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\",\"Language-Team\":\"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"uk\",\"Plural-Forms\":\"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: uk\\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restricted)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:197\"},msgstr:[\"Дії\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Виберіть\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Закрити\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Вперед\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Відсутні результати\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Пауза у показі слайдів\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Назад\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Виберіть позначку\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Налаштування\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Почати показ слайдів\"]}}}}},{locale:\"zh_CN\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Pascal Janus <pascal_janus@163.com>, 2020\",\"Language-Team\":\"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_CN\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nSleepyJesse <Jesse_Xu@live.com>, 2020\\nJianming Liang <fuufuukun@163.com>, 2020\\nPascal Janus <pascal_janus@163.com>, 2020\\n\"},msgstr:[\"Last-Translator: Pascal Janus <pascal_janus@163.com>, 2020\\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_CN\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (不可见)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (受限)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"行为\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"选择\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"关闭\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"下一个\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"无结果\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"暂停幻灯片\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"上一个\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"选择一个标签\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"设置\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"开始幻灯片\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"无法搜索分组\"]}}}}},{locale:\"zh_TW\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"byStarTW (pan93412) <pan93412@gmail.com>, 2020\",\"Language-Team\":\"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_TW\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nbyStarTW (pan93412) <pan93412@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: byStarTW (pan93412) <pan93412@gmail.com>, 2020\\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_TW\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (隱藏)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (受限)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"選擇\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"關閉\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"下一個\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"無結果\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"暫停幻燈片\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"上一個\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"選擇標籤\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"設定\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"開始幻燈片\"]}}}}}].map((function(e){return o.addTranslation(e.locale,e.json)}));var r=o.build(),c=r.ngettext.bind(r),A=r.gettext.bind(r)},function(e,s){e.exports=require(\"v-tooltip\")},function(e,s){e.exports=require(\"core-js/modules/es.object.to-string\")},function(e,s){e.exports=require(\"vue\")},function(e,s){e.exports=require(\"core-js/modules/es.array.map\")},function(e,s,t){\"use strict\";e.exports=function(e,s){return s||(s={}),\"string\"!=typeof(e=e&&e.__esModule?e.default:e)?e:(/^['\"].*['\"]$/.test(e)&&(e=e.slice(1,-1)),s.hash&&(e+=s.hash),/[\"'() \\t\\n]/.test(e)||s.needQuotes?'\"'.concat(e.replace(/\"/g,'\\\\\"').replace(/\\n/g,\"\\\\n\"),'\"'):e)}},function(e,s,t){\"use strict\";t.r(s),s.default=\"data:application/vnd.ms-fontobject;base64,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\"},function(e,s,t){\"use strict\";t.r(s),s.default=\"data:font/woff;base64,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\"},function(e,s,t){\"use strict\";t.r(s),s.default=\"data:font/ttf;base64,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\"},function(e,s,t){\"use strict\";t.r(s),s.default=\"data:image/svg+xml;base64,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\"},function(e,s,t){\"use strict\";t.r(s);var n=t(4);t(43);\n/**\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nn.VTooltip.options.defaultTemplate='<div class=\"vue-tooltip\" role=\"tooltip\" data-v-'.concat(\"91c9b6f\",'><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>'),n.VTooltip.options.defaultHtml=!1,s.default=n.VTooltip},function(e,s){e.exports=require(\"core-js/modules/es.function.name\")},function(e,s){e.exports=require(\"core-js/modules/es.array.index-of\")},function(e,s){e.exports=require(\"v-click-outside\")},function(e,s){e.exports=require(\"core-js/modules/es.regexp.exec\")},function(e,s,t){\"use strict\";t(5),t(17),t(28),t(31);s.a=function(e){return Math.random().toString(36).replace(/[^a-z]+/g,\"\").substr(0,e||5)}},,,,function(e,s){e.exports=require(\"core-js/modules/es.array.concat\")},function(e,s){e.exports=require(\"@nextcloud/l10n/dist/gettext\")},,,,,function(e,s){e.exports=require(\"core-js/modules/es.regexp.to-string\")},,,function(e,s){e.exports=require(\"core-js/modules/es.string.replace\")},function(e,s,t){var n=t(83);\"string\"==typeof n&&(n=[[e.i,n,\"\"]]),n.locals&&(e.exports=n.locals);(0,t(2).default)(\"3ff88b8a\",n,!0,{})},,,,function(e,s,t){\"use strict\";t(22),t(15),t(81),t(14);var n=t(6),o=t.n(n);s.a=function(e,s,t){if(void 0!==e)for(var n=e.length-1;n>=0;n--){var r=e[n],c=!r.componentOptions&&r.tag&&-1===s.indexOf(r.tag),A=!!r.componentOptions&&\"string\"==typeof r.componentOptions.tag,i=A&&-1===s.indexOf(r.componentOptions.tag);(c||!A||i)&&((c||i)&&o.a.util.warn(\"\".concat(c?r.tag:r.componentOptions.tag,\" is not allowed inside the \").concat(t.$options.name,\" component\"),t),e.splice(n,1))}}},,,function(e,s){e.exports=require(\"core-js/modules/es.array.filter\")},function(e,s){},,function(e,s,t){\"use strict\";t.r(s);var n=t(51);\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */s.default=n.a},function(e,s,t){var n=t(44);\"string\"==typeof n&&(n=[[e.i,n,\"\"]]),n.locals&&(e.exports=n.locals);(0,t(2).default)(\"941c791e\",n,!0,{})},function(e,s,t){(s=t(1)(!1)).push([e.i,\".vue-tooltip[data-v-91c9b6f]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;opacity:0;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-91c9b6f][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-91c9b6f][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-91c9b6f][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-91c9b6f] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-91c9b6f] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\\n\",\"\"]),e.exports=s},,,,,,,function(e,s,t){\"use strict\";t(22),t(39),t(15);var n=t(16),o=t(13),r=t(18),c=(t(80),function(e){var s=e.getBoundingClientRect(),t=document.documentElement.clientHeight,n=document.documentElement.clientWidth,o=Object.assign({});return o.top=s.top<0,o.left=s.left<0,o.bottom=s.bottom>t,o.right=s.right>n,o.any=o.top||o.left||o.bottom||o.right,o.all=o.top&&o.left&&o.bottom&&o.right,o.offsetY=o.top?s.top:o.bottom?s.bottom-t:0,o.offsetX=o.left?s.left:o.right?s.right-n:0,o}),A=t(36),i=t(3),a=[\"ActionButton\",\"ActionCheckbox\",\"ActionInput\",\"ActionLink\",\"ActionRadio\",\"ActionRouter\",\"ActionSeparator\",\"ActionText\",\"ActionTextEditable\"],m={name:\"Actions\",directives:{ClickOutside:n.directive,tooltip:o.default},props:{open:{type:Boolean,default:!1},forceMenu:{type:Boolean,default:!1},menuAlign:{type:String,default:\"center\",validator:function(e){return[\"left\",\"center\",\"right\"].indexOf(e)>-1}},menuTitle:{type:String,default:null},primary:{type:Boolean,default:!1},defaultIcon:{type:String,default:\"action-item__menutoggle--default-icon\"},ariaLabel:{type:String,default:Object(i.b)(\"Actions\")}},data:function(){return{actions:[],opened:this.open,focusIndex:0,randomId:\"menu-\"+Object(r.a)(),offsetX:0,offsetY:0,offsetYArrow:0,rotateArrow:!1,children:this.$children}},computed:{hasMultipleActions:function(){return this.actions.length>1},isValidSingleAction:function(){return 1===this.actions.length&&null!==this.firstActionElement},firstActionVNode:function(){return this.actions[0]},firstAction:function(){return this.children[0]?this.children[0]:{}},firstActionBinding:function(){if(this.firstActionVNode&&this.firstActionVNode.componentOptions){var e=this.firstActionVNode.componentOptions.tag;if(\"ActionLink\"===e)return{is:\"a\",href:this.firstAction.href,target:this.firstAction.target,\"aria-label\":this.firstAction.ariaLabel};if(\"ActionRouter\"===e)return{is:\"router-link\",to:this.firstAction.to,exact:this.firstAction.exact,\"aria-label\":this.firstAction.ariaLabel};if(\"ActionButton\"===e)return{is:\"button\",\"aria-label\":this.firstAction.ariaLabel}}return null},firstActionEvent:function(){return this.firstActionVNode&&this.firstActionVNode.componentOptions&&this.firstActionVNode.componentOptions.listeners&&this.firstActionVNode.componentOptions.listeners.click},firstActionEventBinding:function(){return this.firstActionEvent?\"click\":null},firstActionClass:function(){var e=this.firstActionVNode&&this.firstActionVNode.data.staticClass,s=this.firstActionVNode&&this.firstActionVNode.data.class;return\"\".concat(e,\" \").concat(s)}},watch:{open:function(e){var s=this;this.opened=e,this.opened&&this.$nextTick((function(){s.onOpen()}))}},beforeMount:function(){this.initActions(),Object(A.a)(this.$slots.default,a,this)},beforeUpdate:function(){this.initActions(),Object(A.a)(this.$slots.default,a,this)},methods:{toggleMenu:function(e){var s=this;this.opened=\"boolean\"==typeof e?e:!this.opened,this.opened?(this.$nextTick((function(){s.onOpen()})),this.$emit(\"open\")):(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,this.$emit(\"close\")),this.$emit(\"update:open\",this.opened)},closeMenu:function(e){this.opened&&(this.$emit(\"update:open\",!1),this.$emit(\"close\"),this.opened=!1,this.focusIndex=0,this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1)},onOpen:function(){this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1;var e=c(this.$refs.menu);e.bottom&&(this.offsetY=0-Math.round(this.$refs.menu.clientHeight)-42,this.offsetYArrow=Math.round(this.$refs.menu.clientHeight)+18,this.rotateArrow=!0),\"center\"===this.menuAlign&&(e.left||e.right)&&(this.offsetX=e.offsetX>0?Math.round(e.offsetX)+5:Math.round(e.offsetX)-5)},onMouseFocusAction:function(e){if(document.activeElement!==e.target){var s=e.target.closest(\"li\");if(s){var t=s.querySelector(\".focusable\");if(t){var n=this.$refs.fullmenu.querySelectorAll(\".focusable\"),o=Array.prototype.indexOf.call(n,t);o>-1&&(this.focusIndex=o,this.focusAction())}}}},removeCurrentActive:function(){var e=this.$refs.fullmenu.querySelector(\"li.active\");e&&e.classList.remove(\"active\")},focusAction:function(){var e=this.$refs.fullmenu.querySelectorAll(\".focusable\")[this.focusIndex];if(e){this.removeCurrentActive();var s=e.closest(\"li.action\");e.focus(),s&&s.classList.add(\"active\")}},focusPreviousAction:function(e){this.opened&&(0===this.focusIndex?this.closeMenu():(e.preventDefault(),this.focusIndex=this.focusIndex-1),this.focusAction())},focusNextAction:function(e){if(this.opened){var s=this.$refs.fullmenu.querySelectorAll(\".focusable\").length-1;this.focusIndex===s?this.closeMenu():(e.preventDefault(),this.focusIndex=this.focusIndex+1),this.focusAction()}},focusFirstAction:function(e){this.opened&&(e.preventDefault(),this.focusIndex=0,this.focusAction())},focusLastAction:function(e){this.opened&&(e.preventDefault(),this.focusIndex=this.$el.querySelectorAll(\".focusable\").length-1,this.focusAction())},execFirstAction:function(e){this.firstActionEvent&&this.firstActionEvent(e)},initActions:function(){this.actions=(this.$slots.default||[]).filter((function(e){return!!e&&!!e.componentOptions}))}}},l=(t(82),t(0)),g=t(40),u=t.n(g),d=Object(l.a)(m,(function(){var e,s=this,t=s.$createElement,n=s._self._c||t;return s.isValidSingleAction&&!s.forceMenu?n(\"element\",s._b({directives:[{name:\"tooltip\",rawName:\"v-tooltip.auto\",value:s.firstAction.text,expression:\"firstAction.text\",modifiers:{auto:!0}}],staticClass:\"action-item action-item--single\",class:[s.firstAction.icon,s.firstActionClass],attrs:{rel:\"noreferrer noopener\"},on:s._d({},[s.firstActionEventBinding,s.execFirstAction])},\"element\",s.firstActionBinding,!1),[n(\"span\",{attrs:{\"aria-hidden\":!0,hidden:\"\"}},[s._t(\"default\")],2)]):n(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:s.hasMultipleActions||s.forceMenu,expression:\"hasMultipleActions || forceMenu\"},{name:\"click-outside\",rawName:\"v-click-outside\",value:s.closeMenu,expression:\"closeMenu\"}],ref:\"fullmenu\",staticClass:\"action-item\",class:{\"action-item--open\":s.opened},on:{keydown:[function(e){return!e.type.indexOf(\"key\")&&s._k(e.keyCode,\"up\",38,e.key,[\"Up\",\"ArrowUp\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:s.focusPreviousAction(e)},function(e){return!e.type.indexOf(\"key\")&&s._k(e.keyCode,\"down\",40,e.key,[\"Down\",\"ArrowDown\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:s.focusNextAction(e)},function(e){return!e.type.indexOf(\"key\")&&s._k(e.keyCode,\"tab\",9,e.key,\"Tab\")?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:s.focusNextAction(e)},function(e){return!e.type.indexOf(\"key\")&&s._k(e.keyCode,\"tab\",9,e.key,\"Tab\")?null:e.shiftKey?e.ctrlKey||e.altKey||e.metaKey?null:s.focusPreviousAction(e):null},function(e){return!e.type.indexOf(\"key\")&&s._k(e.keyCode,\"page-up\",void 0,e.key,void 0)?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:s.focusFirstAction(e)},function(e){return!e.type.indexOf(\"key\")&&s._k(e.keyCode,\"page-down\",void 0,e.key,void 0)?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:s.focusLastAction(e)},function(e){return!e.type.indexOf(\"key\")&&s._k(e.keyCode,\"esc\",27,e.key,[\"Esc\",\"Escape\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),s.closeMenu(e))}]}},[n(\"button\",{staticClass:\"icon action-item__menutoggle focusable\",class:(e={},e[s.defaultIcon]=!0,e[\"action-item__menutoggle--with-title\"]=s.menuTitle,e[\"action-item__menutoggle--primary\"]=s.primary,e),attrs:{\"aria-label\":s.ariaLabel,\"aria-haspopup\":\"true\",\"aria-controls\":s.randomId,\"aria-expanded\":s.opened},on:{click:function(e){return e.preventDefault(),s.toggleMenu(e)},keyup:function(e){return!e.type.indexOf(\"key\")&&s._k(e.keyCode,\"space\",32,e.key,[\" \",\"Spacebar\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),s.toggleMenu(e))}}},[s._v(\"\\n\\t\\t\"+s._s(s.menuTitle)+\"\\n\\t\")]),s._v(\" \"),n(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:s.opened,expression:\"opened\"}],ref:\"menu\",staticClass:\"action-item__menu\",class:[\"menu-\"+s.menuAlign,{open:s.opened}],style:{marginRight:s.offsetX+\"px\",marginTop:s.offsetY+\"px\"},attrs:{tabindex:\"-1\"},on:{mousemove:s.onMouseFocusAction}},[n(\"div\",{staticClass:\"action-item__menu_arrow\",style:{transform:\"translateX(\"+s.offsetX+\"px) translateY(\"+s.offsetYArrow+\"px) \"+(s.rotateArrow?\" rotate(180deg)\":\"\")}}),s._v(\" \"),n(\"ul\",{attrs:{id:s.randomId,tabindex:\"-1\"}},[s.opened?[s._t(\"default\")]:s._e()],2)])])}),[],!1,null,\"03498935\",null);\"function\"==typeof u.a&&u()(d);s.a=d.exports},,,,,,,,,,,,,,,,,,,,,,,,,,,,,function(e,s){e.exports=require(\"core-js/modules/es.object.assign\")},function(e,s){e.exports=require(\"core-js/modules/es.array.splice\")},function(e,s,t){\"use strict\";var n=t(32);t.n(n).a},function(e,s,t){var n=t(1),o=t(8),r=t(9),c=t(10),A=t(11),i=t(12);s=n(!1);var a=o(r),m=o(c),l=o(A),g=o(i);s.push([e.i,'@font-face{font-family:\"iconfont-vue-91c9b6f\";src:url('+a+\");src:url(\"+a+') format(\"embedded-opentype\"),url('+m+') format(\"woff\"),url('+l+') format(\"truetype\"),url('+g+') format(\"svg\")}.icon[data-v-03498935]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-left[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-right-double[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-right[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.breadcrumb[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.checkmark[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.close[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.confirm[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.info[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.menu[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.more[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.pause[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.play[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.triangle-s[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.action-item[data-v-03498935]{position:relative;display:inline-block}.action-item--single[data-v-03498935]:hover,.action-item--single[data-v-03498935]:focus,.action-item--single[data-v-03498935]:active,.action-item__menutoggle[data-v-03498935]:hover,.action-item__menutoggle[data-v-03498935]:focus,.action-item__menutoggle[data-v-03498935]:active{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item.action-item--open .action-item__menutoggle[data-v-03498935]{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item--single[data-v-03498935],.action-item__menutoggle[data-v-03498935]{box-sizing:border-box;width:auto;min-width:44px;height:44px;margin:0;padding:14px;cursor:pointer;border:none;background-color:transparent}.action-item__menutoggle[data-v-03498935]{display:flex;align-items:center;justify-content:center;opacity:.7;border-radius:22px;font-weight:bold;line-height:16px}.action-item__menutoggle[data-v-03498935]:before{content:\\'\\'}.action-item__menutoggle--default-icon[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";font-style:normal;font-weight:400;content:\"\"}.action-item__menutoggle--default-icon[data-v-03498935]::before{font-size:16px}.action-item__menutoggle--with-title[data-v-03498935]{position:relative;padding-left:44px;white-space:nowrap;opacity:1;border:1px solid var(--color-border-dark);background-color:var(--color-background-dark);background-position:14px center;font-size:inherit}.action-item__menutoggle--with-title[data-v-03498935]:before{position:absolute;top:14px;left:14px}.action-item__menutoggle--primary[data-v-03498935]{opacity:1;color:var(--color-primary-text);border:none;background-color:var(--color-primary-element)}.action-item--open .action-item__menutoggle--primary[data-v-03498935],.action-item__menutoggle--primary[data-v-03498935]:hover,.action-item__menutoggle--primary[data-v-03498935]:focus,.action-item__menutoggle--primary[data-v-03498935]:active{color:var(--color-primary-text) !important;background-color:var(--color-primary-element-light) !important}.action-item--single[data-v-03498935]{opacity:.7}.action-item--single[data-v-03498935]:hover,.action-item--single[data-v-03498935]:focus,.action-item--single[data-v-03498935]:active{opacity:1}.action-item--single>[hidden][data-v-03498935]{display:none}.action-item--multiple[data-v-03498935]{position:relative}.action-item__menu[data-v-03498935]{position:absolute;z-index:110;right:50%;display:none;margin-top:-5px;margin-bottom:10px;transform:translateX(50%);color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background);filter:drop-shadow(0 1px 3px var(--color-box-shadow))}.action-item__menu ul[data-v-03498935]>:not(li){display:none}.action-item__menu.open[data-v-03498935]{display:block}.action-item__menu .action-item__menu_arrow[data-v-03498935]{position:absolute;right:50%;bottom:100%;width:0;height:0;margin-right:-9px;content:\\' \\';pointer-events:none;border:solid transparent;border-width:9px;border-bottom-color:var(--color-main-background)}.action-item__menu.menu-right[data-v-03498935]{right:0;left:auto;transform:none}.action-item__menu.menu-right .action-item__menu_arrow[data-v-03498935]{right:13px;margin-right:0}.action-item__menu.menu-left[data-v-03498935]{right:auto;left:0;transform:none}.action-item__menu.menu-left .action-item__menu_arrow[data-v-03498935]{right:auto;left:13px;margin-right:0}.ie .action-item__menu[data-v-03498935],.ie .action-item__menu .action-item__menu_arrow[data-v-03498935],.edge .action-item__menu[data-v-03498935],.edge .action-item__menu .action-item__menu_arrow[data-v-03498935]{border:1px solid var(--color-border)}\\n',\"\"]),e.exports=s}])}));\n//# sourceMappingURL=Actions.js.map","!function(t,e){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=e():\"function\"==typeof define&&define.amd?define(\"Components/ActionButton\",[],e):\"object\"==typeof exports?exports[\"Components/ActionButton\"]=e():(t.NextcloudVue=t.NextcloudVue||{},t.NextcloudVue[\"Components/ActionButton\"]=e())}(window,(function(){return function(t){var e={};function n(o){if(e[o])return e[o].exports;var r=e[o]={i:o,l:!1,exports:{}};return t[o].call(r.exports,r,r.exports,n),r.l=!0,r.exports}return n.m=t,n.c=e,n.d=function(t,e,o){n.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:o})},n.r=function(t){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(t,\"__esModule\",{value:!0})},n.t=function(t,e){if(1&e&&(t=n(t)),8&e)return t;if(4&e&&\"object\"==typeof t&&t&&t.__esModule)return t;var o=Object.create(null);if(n.r(o),Object.defineProperty(o,\"default\",{enumerable:!0,value:t}),2&e&&\"string\"!=typeof t)for(var r in t)n.d(o,r,function(e){return t[e]}.bind(null,r));return o},n.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return n.d(e,\"a\",e),e},n.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},n.p=\"/dist/\",n(n.s=108)}({0:function(t,e,n){\"use strict\";function o(t,e,n,o,r,i,a,s){var c,u=\"function\"==typeof t?t.options:t;if(e&&(u.render=e,u.staticRenderFns=n,u._compiled=!0),o&&(u.functional=!0),i&&(u._scopeId=\"data-v-\"+i),a?(c=function(t){(t=t||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(t=__VUE_SSR_CONTEXT__),r&&r.call(this,t),t&&t._registeredComponents&&t._registeredComponents.add(a)},u._ssrRegister=c):r&&(c=s?function(){r.call(this,(u.functional?this.parent:this).$root.$options.shadowRoot)}:r),c)if(u.functional){u._injectStyles=c;var l=u.render;u.render=function(t,e){return c.call(e),l(t,e)}}else{var d=u.beforeCreate;u.beforeCreate=d?[].concat(d,c):[c]}return{exports:t,options:u}}n.d(e,\"a\",(function(){return o}))},1:function(t,e,n){\"use strict\";t.exports=function(t){var e=[];return e.toString=function(){return this.map((function(e){var n=function(t,e){var n=t[1]||\"\",o=t[3];if(!o)return n;if(e&&\"function\"==typeof btoa){var r=(a=o,s=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),c=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(s),\"/*# \".concat(c,\" */\")),i=o.sources.map((function(t){return\"/*# sourceURL=\".concat(o.sourceRoot||\"\").concat(t,\" */\")}));return[n].concat(i).concat([r]).join(\"\\n\")}var a,s,c;return[n].join(\"\\n\")}(e,t);return e[2]?\"@media \".concat(e[2],\" {\").concat(n,\"}\"):n})).join(\"\")},e.i=function(t,n,o){\"string\"==typeof t&&(t=[[null,t,\"\"]]);var r={};if(o)for(var i=0;i<this.length;i++){var a=this[i][0];null!=a&&(r[a]=!0)}for(var s=0;s<t.length;s++){var c=[].concat(t[s]);o&&r[c[0]]||(n&&(c[2]?c[2]=\"\".concat(n,\" and \").concat(c[2]):c[2]=n),e.push(c))}},e}},108:function(t,e,n){\"use strict\";n.r(e);var o=n(92);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */e.default=o.a},14:function(t,e){t.exports=require(\"core-js/modules/es.function.name\")},144:function(t,e,n){\"use strict\";var o=n(58);n.n(o).a},145:function(t,e,n){(e=n(1)(!1)).push([t.i,\"li.active[data-v-51ef2a86]{box-shadow:inset 4px 0 var(--color-primary)}.action--disabled[data-v-51ef2a86]{pointer-events:none;opacity:.5}.action--disabled[data-v-51ef2a86]:hover,.action--disabled[data-v-51ef2a86]:focus{cursor:default;opacity:.5}.action--disabled *[data-v-51ef2a86]{opacity:1 !important}.action-button[data-v-51ef2a86]{display:flex;align-items:flex-start;width:100%;height:auto;margin:0;padding:0;padding-right:14px;cursor:pointer;white-space:nowrap;opacity:.7;color:var(--color-main-text);border:0;border-radius:0;background-color:transparent;box-shadow:none;font-weight:normal;line-height:44px}.action-button[data-v-51ef2a86]:hover,.action-button[data-v-51ef2a86]:focus{opacity:1}.action-button>span[data-v-51ef2a86]{cursor:pointer;white-space:nowrap}.action-button__icon[data-v-51ef2a86]{width:44px;height:44px;opacity:1;background-position:14px center;background-size:16px}.action-button p[data-v-51ef2a86]{width:150px;padding:7px 0;margin:auto;cursor:pointer;text-align:left;line-height:1.6em}.action-button__longtext[data-v-51ef2a86]{cursor:pointer;white-space:pre-wrap}.action-button__title[data-v-51ef2a86]{font-weight:bold}\\n\",\"\"]),t.exports=e},19:function(t,e){t.exports=require(\"core-js/modules/es.array.iterator\")},2:function(t,e,n){\"use strict\";function o(t,e){for(var n=[],o={},r=0;r<e.length;r++){var i=e[r],a=i[0],s={id:t+\":\"+r,css:i[1],media:i[2],sourceMap:i[3]};o[a]?o[a].parts.push(s):n.push(o[a]={id:a,parts:[s]})}return n}n.r(e),n.d(e,\"default\",(function(){return p}));var r=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!r)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var i={},a=r&&(document.head||document.getElementsByTagName(\"head\")[0]),s=null,c=0,u=!1,l=function(){},d=null,f=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function p(t,e,n,r){u=n,d=r||{};var a=o(t,e);return h(a),function(e){for(var n=[],r=0;r<a.length;r++){var s=a[r];(c=i[s.id]).refs--,n.push(c)}e?h(a=o(t,e)):a=[];for(r=0;r<n.length;r++){var c;if(0===(c=n[r]).refs){for(var u=0;u<c.parts.length;u++)c.parts[u]();delete i[c.id]}}}}function h(t){for(var e=0;e<t.length;e++){var n=t[e],o=i[n.id];if(o){o.refs++;for(var r=0;r<o.parts.length;r++)o.parts[r](n.parts[r]);for(;r<n.parts.length;r++)o.parts.push(m(n.parts[r]));o.parts.length>n.parts.length&&(o.parts.length=n.parts.length)}else{var a=[];for(r=0;r<n.parts.length;r++)a.push(m(n.parts[r]));i[n.id]={id:n.id,refs:1,parts:a}}}}function v(){var t=document.createElement(\"style\");return t.type=\"text/css\",a.appendChild(t),t}function m(t){var e,n,o=document.querySelector('style[data-vue-ssr-id~=\"'+t.id+'\"]');if(o){if(u)return l;o.parentNode.removeChild(o)}if(f){var r=c++;o=s||(s=v()),e=x.bind(null,o,r,!1),n=x.bind(null,o,r,!0)}else o=v(),e=y.bind(null,o),n=function(){o.parentNode.removeChild(o)};return e(t),function(o){if(o){if(o.css===t.css&&o.media===t.media&&o.sourceMap===t.sourceMap)return;e(t=o)}else n()}}var b,g=(b=[],function(t,e){return b[t]=e,b.filter(Boolean).join(\"\\n\")});function x(t,e,n,o){var r=n?\"\":o.css;if(t.styleSheet)t.styleSheet.cssText=g(e,r);else{var i=document.createTextNode(r),a=t.childNodes;a[e]&&t.removeChild(a[e]),a.length?t.insertBefore(i,a[e]):t.appendChild(i)}}function y(t,e){var n=e.css,o=e.media,r=e.sourceMap;if(o&&t.setAttribute(\"media\",o),d.ssrId&&t.setAttribute(\"data-vue-ssr-id\",e.id),r&&(n+=\"\\n/*# sourceURL=\"+r.sources[0]+\" */\",n+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(r))))+\" */\"),t.styleSheet)t.styleSheet.cssText=n;else{for(;t.firstChild;)t.removeChild(t.firstChild);t.appendChild(document.createTextNode(n))}}},20:function(t,e){t.exports=require(\"core-js/modules/es.string.iterator\")},21:function(t,e){t.exports=require(\"core-js/modules/web.dom-collections.iterator\")},24:function(t,e,n){\"use strict\";n(14),n(26);var o=n(6),r=n.n(o);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */e.a={before:function(){this.$slots.default&&\"\"!==this.text.trim()||(r.a.util.warn(\"\".concat(this.$options.name,\" cannot be empty and requires a meaningful text content\"),this),this.$destroy(),this.$el.remove())},beforeUpdate:function(){this.text=this.getText()},data:function(){return{text:this.getText()}},computed:{isLongText:function(){return this.text&&this.text.trim().length>20}},methods:{getText:function(){return this.$slots.default?this.$slots.default[0].text.trim():\"\"}}}},26:function(t,e){t.exports=require(\"core-js/modules/es.string.trim\")},27:function(t,e){t.exports=require(\"core-js/modules/web.url\")},30:function(t,e,n){\"use strict\";n(19),n(5),n(20),n(21),n(27);var o=n(24),r=(n(14),function(t,e){for(var n=t.$parent;n;){if(n.$options.name===e)return n;n=n.$parent}});e.a={mixins:[o.a],props:{icon:{type:String,default:\"\"},title:{type:String,default:\"\"},closeAfterClick:{type:Boolean,default:!1},ariaLabel:{type:String,default:\"\"}},computed:{isIconUrl:function(){try{return new URL(this.icon)}catch(t){return!1}}},methods:{onClick:function(t){if(this.$emit(\"click\",t),this.closeAfterClick){var e=r(this,\"Actions\");e&&e.closeMenu&&e.closeMenu()}}}}},5:function(t,e){t.exports=require(\"core-js/modules/es.object.to-string\")},58:function(t,e,n){var o=n(145);\"string\"==typeof o&&(o=[[t.i,o,\"\"]]),o.locals&&(t.exports=o.locals);(0,n(2).default)(\"0ac54828\",o,!0,{})},6:function(t,e){t.exports=require(\"vue\")},69:function(t,e){},92:function(t,e,n){\"use strict\";var o={name:\"ActionButton\",mixins:[n(30).a],props:{disabled:{type:Boolean,default:!1}},computed:{isFocusable:function(){return!this.disabled}}},r=(n(144),n(0)),i=n(69),a=n.n(i),s=Object(r.a)(o,(function(){var t=this,e=t.$createElement,n=t._self._c||e;return n(\"li\",{staticClass:\"action\",class:{\"action--disabled\":t.disabled}},[n(\"button\",{staticClass:\"action-button\",class:{focusable:t.isFocusable},attrs:{\"aria-label\":t.ariaLabel},on:{click:t.onClick}},[n(\"span\",{staticClass:\"action-button__icon\",class:[t.isIconUrl?\"action-button__icon--url\":t.icon],style:{backgroundImage:t.isIconUrl?\"url(\"+t.icon+\")\":null}}),t._v(\" \"),t.title?n(\"p\",[n(\"strong\",{staticClass:\"action-button__title\"},[t._v(\"\\n\\t\\t\\t\\t\"+t._s(t.title)+\"\\n\\t\\t\\t\")]),t._v(\" \"),n(\"br\"),t._v(\" \"),n(\"span\",{staticClass:\"action-button__longtext\",domProps:{textContent:t._s(t.text)}})]):t.isLongText?n(\"p\",{staticClass:\"action-button__longtext\",domProps:{textContent:t._s(t.text)}}):n(\"span\",{staticClass:\"action-button__text\"},[t._v(t._s(t.text))]),t._v(\" \"),t._e()],2)])}),[],!1,null,\"51ef2a86\",null);\"function\"==typeof a.a&&a()(s);e.a=s.exports}})}));\n//# sourceMappingURL=ActionButton.js.map","function validate(binding) {\n if (typeof binding.value !== 'function') {\n console.warn('[Vue-click-outside:] provided expression', binding.expression, 'is not a function.')\n return false\n }\n\n return true\n}\n\nfunction isPopup(popupItem, elements) {\n if (!popupItem || !elements)\n return false\n\n for (var i = 0, len = elements.length; i < len; i++) {\n try {\n if (popupItem.contains(elements[i])) {\n return true\n }\n if (elements[i].contains(popupItem)) {\n return false\n }\n } catch(e) {\n return false\n }\n }\n\n return false\n}\n\nfunction isServer(vNode) {\n return typeof vNode.componentInstance !== 'undefined' && vNode.componentInstance.$isServer\n}\n\nexports = module.exports = {\n bind: function (el, binding, vNode) {\n if (!validate(binding)) return\n\n // Define Handler and cache it on the element\n function handler(e) {\n if (!vNode.context) return\n\n // some components may have related popup item, on which we shall prevent the click outside event handler.\n var elements = e.path || (e.composedPath && e.composedPath())\n elements && elements.length > 0 && elements.unshift(e.target)\n\n if (el.contains(e.target) || isPopup(vNode.context.popupItem, elements)) return\n\n el.__vueClickOutside__.callback(e)\n }\n\n // add Event Listeners\n el.__vueClickOutside__ = {\n handler: handler,\n callback: binding.value\n }\n const clickHandler = 'ontouchstart' in document.documentElement ? 'touchstart' : 'click';\n !isServer(vNode) && document.addEventListener(clickHandler, handler)\n },\n\n update: function (el, binding) {\n if (validate(binding)) el.__vueClickOutside__.callback = binding.value\n },\n\n unbind: function (el, binding, vNode) {\n // Remove Event Listeners\n const clickHandler = 'ontouchstart' in document.documentElement ? 'touchstart' : 'click';\n !isServer(vNode) && el.__vueClickOutside__ && document.removeEventListener(clickHandler, el.__vueClickOutside__.handler)\n delete el.__vueClickOutside__\n }\n}\n"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/vendors~editor.js b/js/vendors~editor.js
index 44add5b4c..067950acd 100644
--- a/js/vendors~editor.js
+++ b/js/vendors~editor.js
@@ -1,10 +1,10 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[197],[function(e,t){e.exports=function(e){try{return!!e()}catch(e){return!0}}},function(e,t,n){var r=n(2),o=n(72),i=n(4),a=n(39),s=n(88),u=n(147),c=o("wks"),l=r.Symbol,d=u?l:l&&l.withoutSetter||a;e.exports=function(e){return i(c,e)||(s&&i(l,e)?c[e]=l[e]:c[e]=d("Symbol."+e)),c[e]}},function(e,t,n){(function(t){var n=function(e){return e&&e.Math==Math&&e};e.exports=n("object"==typeof globalThis&&globalThis)||n("object"==typeof window&&window)||n("object"==typeof self&&self)||n("object"==typeof t&&t)||Function("return this")()}).call(this,n(46))},function(e,t,n){"use strict";var r=n(77),o=Object.prototype.toString;function i(e){return"[object Array]"===o.call(e)}function a(e){return void 0===e}function s(e){return null!==e&&"object"==typeof e}function u(e){return"[object Function]"===o.call(e)}function c(e,t){if(null!=e)if("object"!=typeof e&&(e=[e]),i(e))for(var n=0,r=e.length;n<r;n++)t.call(null,e[n],n,e);else for(var o in e)Object.prototype.hasOwnProperty.call(e,o)&&t.call(null,e[o],o,e)}e.exports={isArray:i,isArrayBuffer:function(e){return"[object ArrayBuffer]"===o.call(e)},isBuffer:function(e){return null!==e&&!a(e)&&null!==e.constructor&&!a(e.constructor)&&"function"==typeof e.constructor.isBuffer&&e.constructor.isBuffer(e)},isFormData:function(e){return"undefined"!=typeof FormData&&e instanceof FormData},isArrayBufferView:function(e){return"undefined"!=typeof ArrayBuffer&&ArrayBuffer.isView?ArrayBuffer.isView(e):e&&e.buffer&&e.buffer instanceof ArrayBuffer},isString:function(e){return"string"==typeof e},isNumber:function(e){return"number"==typeof e},isObject:s,isUndefined:a,isDate:function(e){return"[object Date]"===o.call(e)},isFile:function(e){return"[object File]"===o.call(e)},isBlob:function(e){return"[object Blob]"===o.call(e)},isFunction:u,isStream:function(e){return s(e)&&u(e.pipe)},isURLSearchParams:function(e){return"undefined"!=typeof URLSearchParams&&e instanceof URLSearchParams},isStandardBrowserEnv:function(){return("undefined"==typeof navigator||"ReactNative"!==navigator.product&&"NativeScript"!==navigator.product&&"NS"!==navigator.product)&&("undefined"!=typeof window&&"undefined"!=typeof document)},forEach:c,merge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]=n}for(var r=0,o=arguments.length;r<o;r++)c(arguments[r],n);return t},deepMerge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]="object"==typeof n?e({},n):n}for(var r=0,o=arguments.length;r<o;r++)c(arguments[r],n);return t},extend:function(e,t,n){return c(t,(function(t,o){e[o]=n&&"function"==typeof t?r(t,n):t})),e},trim:function(e){return e.replace(/^\s*/,"").replace(/\s*$/,"")}}},function(e,t){var n={}.hasOwnProperty;e.exports=function(e,t){return n.call(e,t)}},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e))throw TypeError(String(e)+" is not an object");return e}},function(e,t){e.exports=function(e){return"object"==typeof e?null!==e:"function"==typeof e}},function(e,t,n){var r=n(0);e.exports=!r((function(){return 7!=Object.defineProperty({},1,{get:function(){return 7}})[1]}))},function(e,t,n){var r=n(7),o=n(70),i=n(5),a=n(34),s=Object.defineProperty;t.f=r?s:function(e,t,n){if(i(e),t=a(t,!0),i(n),o)try{return s(e,t,n)}catch(e){}if("get"in n||"set"in n)throw TypeError("Accessors not supported");return"value"in n&&(e[t]=n.value),e}},function(e,t,n){var r=n(7),o=n(8),i=n(24);e.exports=r?function(e,t,n){return o.f(e,t,i(1,n))}:function(e,t,n){return e[t]=n,e}},function(e,t,n){var r=n(2),o=n(54).f,i=n(9),a=n(12),s=n(37),u=n(126),c=n(55);e.exports=function(e,t){var n,l,d,h,f,p=e.target,m=e.global,_=e.stat;if(n=m?r:_?r[p]||s(p,{}):(r[p]||{}).prototype)for(l in t){if(h=t[l],d=e.noTargetGet?(f=o(n,l))&&f.value:n[l],!c(m?l:p+(_?".":"#")+l,e.forced)&&void 0!==d){if(typeof h==typeof d)continue;u(h,d)}(e.sham||d&&d.sham)&&i(h,"sham",!0),a(n,l,h,e)}}},function(e,t,n){"use strict";n(103),n(58),n(51),n(53),n(99),n(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getRootUrl=t.generateFilePath=t.imagePath=t.generateUrl=t.generateOcsUrl=t.generateRemoteUrl=t.linkTo=void 0;t.linkTo=function(e,t){return r(e,"",t)};t.generateRemoteUrl=function(e){return window.location.protocol+"//"+window.location.host+function(e){return o()+"/remote.php/"+e}(e)};t.generateOcsUrl=function(e,t){return t=2!==t?1:2,window.location.protocol+"//"+window.location.host+o()+"/ocs/v"+t+".php/"+e+"/"};t.generateUrl=function(e,t,n){var r=Object.assign({escape:!0,noRewrite:!1},n||{}),i=function(e,t){return t=t||{},e.replace(/{([^{}]*)}/g,(function(e,n){var o=t[n];return r.escape?"string"==typeof o||"number"==typeof o?encodeURIComponent(o.toString()):encodeURIComponent(e):"string"==typeof o||"number"==typeof o?o.toString():e}))};return"/"!==e.charAt(0)&&(e="/"+e),!0!==OC.config.modRewriteWorking||r.noRewrite?o()+"/index.php"+i(e,t||{}):o()+i(e,t||{})};t.imagePath=function(e,t){return-1===t.indexOf(".")?r(e,"img",t+".svg"):r(e,"img",t)};var r=function(e,t,n){var r=-1!==OC.coreApps.indexOf(e),i=o();return"php"!==n.substring(n.length-3)||r?"php"===n.substring(n.length-3)||r?(i+="settings"!==e&&"core"!==e&&"search"!==e||"ajax"!==t?"/":"/index.php/",r||(i+="apps/"),""!==e&&(i+=e+="/"),t&&(i+=t+"/"),i+=n):(i=OC.appswebroots[e],t&&(i+="/"+t+"/"),"/"!==i.substring(i.length-1)&&(i+="/"),i+=n):(i+="/index.php/apps/"+e,"index.php"!==n&&(i+="/",t&&(i+=encodeURI(t+"/")),i+=n)),i};t.generateFilePath=r;var o=function(){return OC.webroot};t.getRootUrl=o},function(e,t,n){var r=n(2),o=n(9),i=n(4),a=n(37),s=n(62),u=n(20),c=u.get,l=u.enforce,d=String(String).split("String");(e.exports=function(e,t,n,s){var u=!!s&&!!s.unsafe,c=!!s&&!!s.enumerable,h=!!s&&!!s.noTargetGet;"function"==typeof n&&("string"!=typeof t||i(n,"name")||o(n,"name",t),l(n).source=d.join("string"==typeof t?t:"")),e!==r?(u?!h&&e[t]&&(c=!0):delete e[t],c?e[t]=n:o(e,t,n)):c?e[t]=n:a(t,n)})(Function.prototype,"toString",(function(){return"function"==typeof this&&c(this).source||s(this)}))},,function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.subscribe=function(e,t){i.subscribe(e,t)},t.unsubscribe=function(e,t){i.unsubscribe(e,t)},t.emit=function(e,t){i.emit(e,t)};var r=n(148),o=n(153);var i=(void 0!==window.OC&&window.OC._eventBus&&void 0===window._nc_event_bus&&(console.warn("found old event bus instance at OC._eventBus. Update your version!"),window._nc_event_bus=window.OC._eventBus),void 0!==window._nc_event_bus?new r.ProxyBus(window._nc_event_bus):window._nc_event_bus=new o.SimpleBus)},function(e,t,n){var r=n(23),o=Math.min;e.exports=function(e){return e>0?o(r(e),9007199254740991):0}},function(e,t,n){var r=n(21);e.exports=function(e){return Object(r(e))}},function(e,t){e.exports={}},function(e,t,n){"use strict";function r(e,t,n,r,o,i,a,s){var u,c="function"==typeof e?e.options:e;if(t&&(c.render=t,c.staticRenderFns=n,c._compiled=!0),r&&(c.functional=!0),i&&(c._scopeId="data-v-"+i),a?(u=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(a)},c._ssrRegister=u):o&&(u=s?function(){o.call(this,(c.functional?this.parent:this).$root.$options.shadowRoot)}:o),u)if(c.functional){c._injectStyles=u;var l=c.render;c.render=function(e,t){return u.call(t),l(e,t)}}else{var d=c.beforeCreate;c.beforeCreate=d?[].concat(d,u):[u]}return{exports:e,options:c}}n.d(t,"a",(function(){return r}))},function(e,t){var n={}.toString;e.exports=function(e){return n.call(e).slice(8,-1)}},function(e,t,n){var r,o,i,a=n(125),s=n(2),u=n(6),c=n(9),l=n(4),d=n(38),h=n(26),f=s.WeakMap;if(a){var p=new f,m=p.get,_=p.has,g=p.set;r=function(e,t){return g.call(p,e,t),t},o=function(e){return m.call(p,e)||{}},i=function(e){return _.call(p,e)}}else{var y=d("state");h[y]=!0,r=function(e,t){return c(e,y,t),t},o=function(e){return l(e,y)?e[y]:{}},i=function(e){return l(e,y)}}e.exports={set:r,get:o,has:i,enforce:function(e){return i(e)?o(e):r(e,{})},getterFor:function(e){return function(t){var n;if(!u(t)||(n=o(t)).type!==e)throw TypeError("Incompatible receiver, "+e+" required");return n}}}},function(e,t){e.exports=function(e){if(null==e)throw TypeError("Can't call method on "+e);return e}},function(e,t,n){var r=n(128),o=n(2),i=function(e){return"function"==typeof e?e:void 0};e.exports=function(e,t){return arguments.length<2?i(r[e])||i(o[e]):r[e]&&r[e][t]||o[e]&&o[e][t]}},function(e,t){var n=Math.ceil,r=Math.floor;e.exports=function(e){return isNaN(e=+e)?0:(e>0?r:n)(e)}},function(e,t){e.exports=function(e,t){return{enumerable:!(1&e),configurable:!(2&e),writable:!(4&e),value:t}}},function(e,t,n){var r=n(36),o=n(21);e.exports=function(e){return r(o(e))}},function(e,t){e.exports={}},,,function(e,t,n){"use strict";n(58),Object.defineProperty(t,"__esModule",{value:!0}),t.default=void 0;var r,o=(r=n(129))&&r.__esModule?r:{default:r},i=n(109);var a=o.default.create({headers:{requesttoken:(0,i.getRequestToken)()}}),s=Object.assign(a,{CancelToken:o.default.CancelToken,isCancel:o.default.isCancel});(0,i.onRequestTokenUpdate)((function(e){return a.defaults.headers.requesttoken=e}));var u=s;t.default=u},function(e,t,n){var r=n(104);e.exports=function(e,t,n){if(r(e),void 0===t)return e;switch(n){case 0:return function(){return e.call(t)};case 1:return function(n){return e.call(t,n)};case 2:return function(n,r){return e.call(t,n,r)};case 3:return function(n,r,o){return e.call(t,n,r,o)}}return function(){return e.apply(t,arguments)}}},function(e,t,n){var r=n(7),o=n(0),i=n(4),a=Object.defineProperty,s={},u=function(e){throw e};e.exports=function(e,t){if(i(s,e))return s[e];t||(t={});var n=[][e],c=!!i(t,"ACCESSORS")&&t.ACCESSORS,l=i(t,0)?t[0]:u,d=i(t,1)?t[1]:void 0;return s[e]=!!n&&!o((function(){if(c&&!r)return!0;var e={length:-1};c?a(e,1,{enumerable:!0,get:u}):e[1]=1,n.call(e,l,d)}))}},function(e,t,n){var r,o=n(5),i=n(115),a=n(40),s=n(26),u=n(116),c=n(61),l=n(38),d=l("IE_PROTO"),h=function(){},f=function(e){return"<script>"+e+"<\/script>"},p=function(){try{r=document.domain&&new ActiveXObject("htmlfile")}catch(e){}var e,t;p=r?function(e){e.write(f("")),e.close();var t=e.parentWindow.Object;return e=null,t}(r):((t=c("iframe")).style.display="none",u.appendChild(t),t.src=String("javascript:"),(e=t.contentWindow.document).open(),e.write(f("document.F=Object")),e.close(),e.F);for(var n=a.length;n--;)delete p.prototype[a[n]];return p()};s[d]=!0,e.exports=Object.create||function(e,t){var n;return null!==e?(h.prototype=o(e),n=new h,h.prototype=null,n[d]=e):n=p(),void 0===t?n:i(n,t)}},function(e,t,n){var r=n(8).f,o=n(4),i=n(1)("toStringTag");e.exports=function(e,t,n){e&&!o(e=n?e:e.prototype,i)&&r(e,i,{configurable:!0,value:t})}},function(e,t,n){var r=n(6);e.exports=function(e,t){if(!r(e))return e;var n,o;if(t&&"function"==typeof(n=e.toString)&&!r(o=n.call(e)))return o;if("function"==typeof(n=e.valueOf)&&!r(o=n.call(e)))return o;if(!t&&"function"==typeof(n=e.toString)&&!r(o=n.call(e)))return o;throw TypeError("Can't convert object to primitive value")}},function(e,t){e.exports=!1},function(e,t,n){var r=n(0),o=n(19),i="".split;e.exports=r((function(){return!Object("z").propertyIsEnumerable(0)}))?function(e){return"String"==o(e)?i.call(e,""):Object(e)}:Object},function(e,t,n){var r=n(2),o=n(9);e.exports=function(e,t){try{o(r,e,t)}catch(n){r[e]=t}return t}},function(e,t,n){var r=n(72),o=n(39),i=r("keys");e.exports=function(e){return i[e]||(i[e]=o(e))}},function(e,t){var n=0,r=Math.random();e.exports=function(e){return"Symbol("+String(void 0===e?"":e)+")_"+(++n+r).toString(36)}},function(e,t){e.exports=["constructor","hasOwnProperty","isPrototypeOf","propertyIsEnumerable","toLocaleString","toString","valueOf"]},function(e,t){const n=Number.MAX_SAFE_INTEGER||9007199254740991;e.exports={SEMVER_SPEC_VERSION:"2.0.0",MAX_LENGTH:256,MAX_SAFE_INTEGER:n,MAX_SAFE_COMPONENT_LENGTH:16}},function(e,t,n){"use strict";var r=n(10),o=n(117),i=n(93),a=n(94),s=n(33),u=n(9),c=n(12),l=n(1),d=n(35),h=n(17),f=n(92),p=f.IteratorPrototype,m=f.BUGGY_SAFARI_ITERATORS,_=l("iterator"),g=function(){return this};e.exports=function(e,t,n,l,f,y,v){o(n,t,l);var M,k,b,w=function(e){if(e===f&&S)return S;if(!m&&e in D)return D[e];switch(e){case"keys":case"values":case"entries":return function(){return new n(this,e)}}return function(){return new n(this)}},L=t+" Iterator",T=!1,D=e.prototype,x=D[_]||D["@@iterator"]||f&&D[f],S=!m&&x||w(f),Y="Array"==t&&D.entries||x;if(Y&&(M=i(Y.call(new e)),p!==Object.prototype&&M.next&&(d||i(M)===p||(a?a(M,p):"function"!=typeof M[_]&&u(M,_,g)),s(M,L,!0,!0),d&&(h[L]=g))),"values"==f&&x&&"values"!==x.name&&(T=!0,S=function(){return x.call(this)}),d&&!v||D[_]===S||u(D,_,S),h[t]=S,f)if(k={values:w("values"),keys:y?S:w("keys"),entries:w("entries")},v)for(b in k)(m||T||!(b in D))&&c(D,b,k[b]);else r({target:t,proto:!0,forced:m||T},k);return k}},function(e,t,n){var r={};r[n(1)("toStringTag")]="z",e.exports="[object z]"===String(r)},function(e,t,n){"use strict";var r,o,i=n(97),a=n(164),s=RegExp.prototype.exec,u=String.prototype.replace,c=s,l=(r=/a/,o=/b*/g,s.call(r,"a"),s.call(o,"a"),0!==r.lastIndex||0!==o.lastIndex),d=a.UNSUPPORTED_Y||a.BROKEN_CARET,h=void 0!==/()??/.exec("")[1];(l||h||d)&&(c=function(e){var t,n,r,o,a=this,c=d&&a.sticky,f=i.call(a),p=a.source,m=0,_=e;return c&&(-1===(f=f.replace("y","")).indexOf("g")&&(f+="g"),_=String(e).slice(a.lastIndex),a.lastIndex>0&&(!a.multiline||a.multiline&&"\n"!==e[a.lastIndex-1])&&(p="(?: "+p+")",_=" "+_,m++),n=new RegExp("^(?:"+p+")",f)),h&&(n=new RegExp("^"+p+"$(?!\\s)",f)),l&&(t=a.lastIndex),r=s.call(c?n:a,_),c?r?(r.input=r.input.slice(m),r[0]=r[0].slice(m),r.index=a.lastIndex,a.lastIndex+=r[0].length):a.lastIndex=0:l&&r&&(a.lastIndex=a.global?r.index+r[0].length:t),h&&r&&r.length>1&&u.call(r[0],n,(function(){for(o=1;o<arguments.length-2;o++)void 0===arguments[o]&&(r[o]=void 0)})),r}),e.exports=c},,function(e,t){var n;n=function(){return this}();try{n=n||new Function("return this")()}catch(e){"object"==typeof window&&(n=window)}e.exports=n},function(e,t){var n,r,o=e.exports={};function i(){throw new Error("setTimeout has not been defined")}function a(){throw new Error("clearTimeout has not been defined")}function s(e){if(n===setTimeout)return setTimeout(e,0);if((n===i||!n)&&setTimeout)return n=setTimeout,setTimeout(e,0);try{return n(e,0)}catch(t){try{return n.call(null,e,0)}catch(t){return n.call(this,e,0)}}}!function(){try{n="function"==typeof setTimeout?setTimeout:i}catch(e){n=i}try{r="function"==typeof clearTimeout?clearTimeout:a}catch(e){r=a}}();var u,c=[],l=!1,d=-1;function h(){l&&u&&(l=!1,u.length?c=u.concat(c):d=-1,c.length&&f())}function f(){if(!l){var e=s(h);l=!0;for(var t=c.length;t;){for(u=c,c=[];++d<t;)u&&u[d].run();d=-1,t=c.length}u=null,l=!1,function(e){if(r===clearTimeout)return clearTimeout(e);if((r===a||!r)&&clearTimeout)return r=clearTimeout,clearTimeout(e);try{r(e)}catch(t){try{return r.call(null,e)}catch(t){return r.call(this,e)}}}(e)}}function p(e,t){this.fun=e,this.array=t}function m(){}o.nextTick=function(e){var t=new Array(arguments.length-1);if(arguments.length>1)for(var n=1;n<arguments.length;n++)t[n-1]=arguments[n];c.push(new p(e,t)),1!==c.length||l||s(f)},p.prototype.run=function(){this.fun.apply(null,this.array)},o.title="browser",o.browser=!0,o.env={},o.argv=[],o.version="",o.versions={},o.on=m,o.addListener=m,o.once=m,o.off=m,o.removeListener=m,o.removeAllListeners=m,o.emit=m,o.prependListener=m,o.prependOnceListener=m,o.listeners=function(e){return[]},o.binding=function(e){throw new Error("process.binding is not supported")},o.cwd=function(){return"/"},o.chdir=function(e){throw new Error("process.chdir is not supported")},o.umask=function(){return 0}},,function(e,t,n){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var n=function(e,t){var n=e[1]||"",r=e[3];if(!r)return n;if(t&&"function"==typeof btoa){var o=(a=r,s=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),u="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(s),"/*# ".concat(u," */")),i=r.sources.map((function(e){return"/*# sourceURL=".concat(r.sourceRoot||"").concat(e," */")}));return[n].concat(i).concat([o]).join("\n")}var a,s,u;return[n].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(n,"}"):n})).join("")},t.i=function(e,n,r){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(r)for(var i=0;i<this.length;i++){var a=this[i][0];null!=a&&(o[a]=!0)}for(var s=0;s<e.length;s++){var u=[].concat(e[s]);r&&o[u[0]]||(n&&(u[2]?u[2]="".concat(n," and ").concat(u[2]):u[2]=n),t.push(u))}},t}},function(e,t,n){"use strict";function r(e,t){for(var n=[],r={},o=0;o<t.length;o++){var i=t[o],a=i[0],s={id:e+":"+o,css:i[1],media:i[2],sourceMap:i[3]};r[a]?r[a].parts.push(s):n.push(r[a]={id:a,parts:[s]})}return n}n.r(t),n.d(t,"default",(function(){return f}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var i={},a=o&&(document.head||document.getElementsByTagName("head")[0]),s=null,u=0,c=!1,l=function(){},d=null,h="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function f(e,t,n,o){c=n,d=o||{};var a=r(e,t);return p(a),function(t){for(var n=[],o=0;o<a.length;o++){var s=a[o];(u=i[s.id]).refs--,n.push(u)}t?p(a=r(e,t)):a=[];for(o=0;o<n.length;o++){var u;if(0===(u=n[o]).refs){for(var c=0;c<u.parts.length;c++)u.parts[c]();delete i[u.id]}}}}function p(e){for(var t=0;t<e.length;t++){var n=e[t],r=i[n.id];if(r){r.refs++;for(var o=0;o<r.parts.length;o++)r.parts[o](n.parts[o]);for(;o<n.parts.length;o++)r.parts.push(_(n.parts[o]));r.parts.length>n.parts.length&&(r.parts.length=n.parts.length)}else{var a=[];for(o=0;o<n.parts.length;o++)a.push(_(n.parts[o]));i[n.id]={id:n.id,refs:1,parts:a}}}}function m(){var e=document.createElement("style");return e.type="text/css",a.appendChild(e),e}function _(e){var t,n,r=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(r){if(c)return l;r.parentNode.removeChild(r)}if(h){var o=u++;r=s||(s=m()),t=v.bind(null,r,o,!1),n=v.bind(null,r,o,!0)}else r=m(),t=M.bind(null,r),n=function(){r.parentNode.removeChild(r)};return t(e),function(r){if(r){if(r.css===e.css&&r.media===e.media&&r.sourceMap===e.sourceMap)return;t(e=r)}else n()}}var g,y=(g=[],function(e,t){return g[e]=t,g.filter(Boolean).join("\n")});function v(e,t,n,r){var o=n?"":r.css;if(e.styleSheet)e.styleSheet.cssText=y(t,o);else{var i=document.createTextNode(o),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(i,a[t]):e.appendChild(i)}}function M(e,t){var n=t.css,r=t.media,o=t.sourceMap;if(r&&e.setAttribute("media",r),d.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(n+="\n/*# sourceURL="+o.sources[0]+" */",n+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=n;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(n))}}},function(e,t,n){var r=n(43),o=n(12),i=n(161);r||o(Object.prototype,"toString",i,{unsafe:!0})},function(e,t,n){"use strict";var r=n(25),o=n(154),i=n(17),a=n(20),s=n(42),u=a.set,c=a.getterFor("Array Iterator");e.exports=s(Array,"Array",(function(e,t){u(this,{type:"Array Iterator",target:r(e),index:0,kind:t})}),(function(){var e=c(this),t=e.target,n=e.kind,r=e.index++;return!t||r>=t.length?(e.target=void 0,{value:void 0,done:!0}):"keys"==n?{value:r,done:!1}:"values"==n?{value:t[r],done:!1}:{value:[r,t[r]],done:!1}}),"values"),i.Arguments=i.Array,o("keys"),o("values"),o("entries")},function(e,t,n){"use strict";var r=n(10),o=n(44);r({target:"RegExp",proto:!0,forced:/./.exec!==o},{exec:o})},function(e,t,n){var r=n(7),o=n(69),i=n(24),a=n(25),s=n(34),u=n(4),c=n(70),l=Object.getOwnPropertyDescriptor;t.f=r?l:function(e,t){if(e=a(e),t=s(t,!0),c)try{return l(e,t)}catch(e){}if(u(e,t))return i(!o.f.call(e,t),e[t])}},function(e,t,n){var r=n(0),o=/#|\.prototype\./,i=function(e,t){var n=s[a(e)];return n==c||n!=u&&("function"==typeof t?r(t):!!t)},a=i.normalize=function(e){return String(e).replace(o,".").toLowerCase()},s=i.data={},u=i.NATIVE="N",c=i.POLYFILL="P";e.exports=i},function(e,t,n){var r=n(0),o=n(1),i=n(65),a=o("species");e.exports=function(e){return i>=51||!r((function(){var t=[];return(t.constructor={})[a]=function(){return{foo:1}},1!==t[e](Boolean).foo}))}},function(e,t){e.exports=function(e,t,n){if(!(e instanceof t))throw TypeError("Incorrect "+(n?n+" ":"")+"invocation");return e}},function(e,t,n){var r=n(10),o=n(113);r({target:"Object",stat:!0,forced:Object.assign!==o},{assign:o})},function(e,t,n){var r=n(6),o=n(87),i=n(1)("species");e.exports=function(e,t){var n;return o(e)&&("function"!=typeof(n=e.constructor)||n!==Array&&!o(n.prototype)?r(n)&&null===(n=n[i])&&(n=void 0):n=void 0),new(void 0===n?Array:n)(0===t?0:t)}},function(e,t,n){"use strict";var r=n(10),o=n(0),i=n(87),a=n(6),s=n(16),u=n(15),c=n(105),l=n(59),d=n(56),h=n(1),f=n(65),p=h("isConcatSpreadable"),m=f>=51||!o((function(){var e=[];return e[p]=!1,e.concat()[0]!==e})),_=d("concat"),g=function(e){if(!a(e))return!1;var t=e[p];return void 0!==t?!!t:i(e)};r({target:"Array",proto:!0,forced:!m||!_},{concat:function(e){var t,n,r,o,i,a=s(this),d=l(a,0),h=0;for(t=-1,r=arguments.length;t<r;t++)if(g(i=-1===t?a:arguments[t])){if(h+(o=u(i.length))>9007199254740991)throw TypeError("Maximum allowed index exceeded");for(n=0;n<o;n++,h++)n in i&&c(d,h,i[n])}else{if(h>=9007199254740991)throw TypeError("Maximum allowed index exceeded");c(d,h++,i)}return d.length=h,d}})},function(e,t,n){var r=n(2),o=n(6),i=r.document,a=o(i)&&o(i.createElement);e.exports=function(e){return a?i.createElement(e):{}}},function(e,t,n){var r=n(71),o=Function.toString;"function"!=typeof r.inspectSource&&(r.inspectSource=function(e){return o.call(e)}),e.exports=r.inspectSource},function(e,t,n){var r=n(30),o=n(36),i=n(16),a=n(15),s=n(59),u=[].push,c=function(e){var t=1==e,n=2==e,c=3==e,l=4==e,d=6==e,h=5==e||d;return function(f,p,m,_){for(var g,y,v=i(f),M=o(v),k=r(p,m,3),b=a(M.length),w=0,L=_||s,T=t?L(f,b):n?L(f,0):void 0;b>w;w++)if((h||w in M)&&(y=k(g=M[w],w,v),e))if(t)T[w]=y;else if(y)switch(e){case 3:return!0;case 5:return g;case 6:return w;case 2:u.call(T,g)}else if(l)return!1;return d?-1:c||l?l:T}};e.exports={forEach:c(0),map:c(1),filter:c(2),some:c(3),every:c(4),find:c(5),findIndex:c(6)}},function(e,t,n){"use strict";var r=n(0);e.exports=function(e,t){var n=[][e];return!!n&&r((function(){n.call(null,t||function(){throw 1},1)}))}},function(e,t,n){var r,o,i=n(2),a=n(114),s=i.process,u=s&&s.versions,c=u&&u.v8;c?o=(r=c.split("."))[0]+r[1]:a&&(!(r=a.match(/Edge\/(\d+)/))||r[1]>=74)&&(r=a.match(/Chrome\/(\d+)/))&&(o=r[1]),e.exports=o&&+o},function(e,t,n){var r=n(5),o=n(118),i=n(15),a=n(30),s=n(106),u=n(119),c=function(e,t){this.stopped=e,this.result=t};(e.exports=function(e,t,n,l,d){var h,f,p,m,_,g,y,v=a(t,n,l?2:1);if(d)h=e;else{if("function"!=typeof(f=s(e)))throw TypeError("Target is not iterable");if(o(f)){for(p=0,m=i(e.length);m>p;p++)if((_=l?v(r(y=e[p])[0],y[1]):v(e[p]))&&_ instanceof c)return _;return new c(!1)}h=f.call(e)}for(g=h.next;!(y=g.call(h)).done;)if("object"==typeof(_=u(h,v,y.value,l))&&_&&_ instanceof c)return _;return new c(!1)}).stop=function(e){return new c(!0,e)}},function(e,t,n){var r=n(43),o=n(19),i=n(1)("toStringTag"),a="Arguments"==o(function(){return arguments}());e.exports=r?o:function(e){var t,n,r;return void 0===e?"Undefined":null===e?"Null":"string"==typeof(n=function(e,t){try{return e[t]}catch(e){}}(t=Object(e),i))?n:a?o(t):"Object"==(r=o(t))&&"function"==typeof t.callee?"Arguments":r}},function(e,t,n){var r=n(23),o=n(21),i=function(e){return function(t,n){var i,a,s=String(o(t)),u=r(n),c=s.length;return u<0||u>=c?e?"":void 0:(i=s.charCodeAt(u))<55296||i>56319||u+1===c||(a=s.charCodeAt(u+1))<56320||a>57343?e?s.charAt(u):i:e?s.slice(u,u+2):a-56320+(i-55296<<10)+65536}};e.exports={codeAt:i(!1),charAt:i(!0)}},function(e,t,n){"use strict";var r={}.propertyIsEnumerable,o=Object.getOwnPropertyDescriptor,i=o&&!r.call({1:2},1);t.f=i?function(e){var t=o(this,e);return!!t&&t.enumerable}:r},function(e,t,n){var r=n(7),o=n(0),i=n(61);e.exports=!r&&!o((function(){return 7!=Object.defineProperty(i("div"),"a",{get:function(){return 7}}).a}))},function(e,t,n){var r=n(2),o=n(37),i=r["__core-js_shared__"]||o("__core-js_shared__",{});e.exports=i},function(e,t,n){var r=n(35),o=n(71);(e.exports=function(e,t){return o[e]||(o[e]=void 0!==t?t:{})})("versions",[]).push({version:"3.6.5",mode:r?"pure":"global",copyright:"© 2020 Denis Pushkarev (zloirock.ru)"})},function(e,t,n){var r=n(4),o=n(25),i=n(74).indexOf,a=n(26);e.exports=function(e,t){var n,s=o(e),u=0,c=[];for(n in s)!r(a,n)&&r(s,n)&&c.push(n);for(;t.length>u;)r(s,n=t[u++])&&(~i(c,n)||c.push(n));return c}},function(e,t,n){var r=n(25),o=n(15),i=n(108),a=function(e){return function(t,n,a){var s,u=r(t),c=o(u.length),l=i(a,c);if(e&&n!=n){for(;c>l;)if((s=u[l++])!=s)return!0}else for(;c>l;l++)if((e||l in u)&&u[l]===n)return e||l||0;return!e&&-1}};e.exports={includes:a(!0),indexOf:a(!1)}},function(e,t){t.f=Object.getOwnPropertySymbols},function(e,t,n){var r=n(73),o=n(40);e.exports=Object.keys||function(e){return r(e,o)}},function(e,t,n){"use strict";e.exports=function(e,t){return function(){for(var n=new Array(arguments.length),r=0;r<n.length;r++)n[r]=arguments[r];return e.apply(t,n)}}},function(e,t,n){"use strict";var r=n(3);function o(e){return encodeURIComponent(e).replace(/%40/gi,"@").replace(/%3A/gi,":").replace(/%24/g,"$").replace(/%2C/gi,",").replace(/%20/g,"+").replace(/%5B/gi,"[").replace(/%5D/gi,"]")}e.exports=function(e,t,n){if(!t)return e;var i;if(n)i=n(t);else if(r.isURLSearchParams(t))i=t.toString();else{var a=[];r.forEach(t,(function(e,t){null!=e&&(r.isArray(e)?t+="[]":e=[e],r.forEach(e,(function(e){r.isDate(e)?e=e.toISOString():r.isObject(e)&&(e=JSON.stringify(e)),a.push(o(t)+"="+o(e))})))})),i=a.join("&")}if(i){var s=e.indexOf("#");-1!==s&&(e=e.slice(0,s)),e+=(-1===e.indexOf("?")?"?":"&")+i}return e}},function(e,t,n){"use strict";e.exports=function(e){return!(!e||!e.__CANCEL__)}},function(e,t,n){"use strict";(function(t){var r=n(3),o=n(135),i={"Content-Type":"application/x-www-form-urlencoded"};function a(e,t){!r.isUndefined(e)&&r.isUndefined(e["Content-Type"])&&(e["Content-Type"]=t)}var s,u={adapter:(("undefined"!=typeof XMLHttpRequest||void 0!==t&&"[object process]"===Object.prototype.toString.call(t))&&(s=n(81)),s),transformRequest:[function(e,t){return o(t,"Accept"),o(t,"Content-Type"),r.isFormData(e)||r.isArrayBuffer(e)||r.isBuffer(e)||r.isStream(e)||r.isFile(e)||r.isBlob(e)?e:r.isArrayBufferView(e)?e.buffer:r.isURLSearchParams(e)?(a(t,"application/x-www-form-urlencoded;charset=utf-8"),e.toString()):r.isObject(e)?(a(t,"application/json;charset=utf-8"),JSON.stringify(e)):e}],transformResponse:[function(e){if("string"==typeof e)try{e=JSON.parse(e)}catch(e){}return e}],timeout:0,xsrfCookieName:"XSRF-TOKEN",xsrfHeaderName:"X-XSRF-TOKEN",maxContentLength:-1,validateStatus:function(e){return e>=200&&e<300}};u.headers={common:{Accept:"application/json, text/plain, */*"}},r.forEach(["delete","get","head"],(function(e){u.headers[e]={}})),r.forEach(["post","put","patch"],(function(e){u.headers[e]=r.merge(i)})),e.exports=u}).call(this,n(47))},function(e,t,n){"use strict";var r=n(3),o=n(136),i=n(78),a=n(138),s=n(141),u=n(142),c=n(82);e.exports=function(e){return new Promise((function(t,l){var d=e.data,h=e.headers;r.isFormData(d)&&delete h["Content-Type"];var f=new XMLHttpRequest;if(e.auth){var p=e.auth.username||"",m=e.auth.password||"";h.Authorization="Basic "+btoa(p+":"+m)}var _=a(e.baseURL,e.url);if(f.open(e.method.toUpperCase(),i(_,e.params,e.paramsSerializer),!0),f.timeout=e.timeout,f.onreadystatechange=function(){if(f&&4===f.readyState&&(0!==f.status||f.responseURL&&0===f.responseURL.indexOf("file:"))){var n="getAllResponseHeaders"in f?s(f.getAllResponseHeaders()):null,r={data:e.responseType&&"text"!==e.responseType?f.response:f.responseText,status:f.status,statusText:f.statusText,headers:n,config:e,request:f};o(t,l,r),f=null}},f.onabort=function(){f&&(l(c("Request aborted",e,"ECONNABORTED",f)),f=null)},f.onerror=function(){l(c("Network Error",e,null,f)),f=null},f.ontimeout=function(){var t="timeout of "+e.timeout+"ms exceeded";e.timeoutErrorMessage&&(t=e.timeoutErrorMessage),l(c(t,e,"ECONNABORTED",f)),f=null},r.isStandardBrowserEnv()){var g=n(143),y=(e.withCredentials||u(_))&&e.xsrfCookieName?g.read(e.xsrfCookieName):void 0;y&&(h[e.xsrfHeaderName]=y)}if("setRequestHeader"in f&&r.forEach(h,(function(e,t){void 0===d&&"content-type"===t.toLowerCase()?delete h[t]:f.setRequestHeader(t,e)})),r.isUndefined(e.withCredentials)||(f.withCredentials=!!e.withCredentials),e.responseType)try{f.responseType=e.responseType}catch(t){if("json"!==e.responseType)throw t}"function"==typeof e.onDownloadProgress&&f.addEventListener("progress",e.onDownloadProgress),"function"==typeof e.onUploadProgress&&f.upload&&f.upload.addEventListener("progress",e.onUploadProgress),e.cancelToken&&e.cancelToken.promise.then((function(e){f&&(f.abort(),l(e),f=null)})),void 0===d&&(d=null),f.send(d)}))}},function(e,t,n){"use strict";var r=n(137);e.exports=function(e,t,n,o,i){var a=new Error(e);return r(a,t,n,o,i)}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){t=t||{};var n={},o=["url","method","params","data"],i=["headers","auth","proxy"],a=["baseURL","url","transformRequest","transformResponse","paramsSerializer","timeout","withCredentials","adapter","responseType","xsrfCookieName","xsrfHeaderName","onUploadProgress","onDownloadProgress","maxContentLength","validateStatus","maxRedirects","httpAgent","httpsAgent","cancelToken","socketPath"];r.forEach(o,(function(e){void 0!==t[e]&&(n[e]=t[e])})),r.forEach(i,(function(o){r.isObject(t[o])?n[o]=r.deepMerge(e[o],t[o]):void 0!==t[o]?n[o]=t[o]:r.isObject(e[o])?n[o]=r.deepMerge(e[o]):void 0!==e[o]&&(n[o]=e[o])})),r.forEach(a,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])}));var s=o.concat(i).concat(a),u=Object.keys(t).filter((function(e){return-1===s.indexOf(e)}));return r.forEach(u,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])})),n}},function(e,t,n){"use strict";function r(e){this.message=e}r.prototype.toString=function(){return"Cancel"+(this.message?": "+this.message:"")},r.prototype.__CANCEL__=!0,e.exports=r},function(e,t,n){"use strict";var r=n(10),o=n(86);r({target:"Array",proto:!0,forced:[].forEach!=o},{forEach:o})},function(e,t,n){"use strict";var r=n(63).forEach,o=n(64),i=n(31),a=o("forEach"),s=i("forEach");e.exports=a&&s?[].forEach:function(e){return r(this,e,arguments.length>1?arguments[1]:void 0)}},function(e,t,n){var r=n(19);e.exports=Array.isArray||function(e){return"Array"==r(e)}},function(e,t,n){var r=n(0);e.exports=!!Object.getOwnPropertySymbols&&!r((function(){return!String(Symbol())}))},function(e,t,n){const{MAX_SAFE_COMPONENT_LENGTH:r}=n(41),o=n(90),i=(t=e.exports={}).re=[],a=t.src=[],s=t.t={};let u=0;const c=(e,t,n)=>{const r=u++;o(r,t),s[e]=r,a[r]=t,i[r]=new RegExp(t,n?"g":void 0)};c("NUMERICIDENTIFIER","0|[1-9]\\d*"),c("NUMERICIDENTIFIERLOOSE","[0-9]+"),c("NONNUMERICIDENTIFIER","\\d*[a-zA-Z-][a-zA-Z0-9-]*"),c("MAINVERSION",`(${a[s.NUMERICIDENTIFIER]})\\.(${a[s.NUMERICIDENTIFIER]})\\.(${a[s.NUMERICIDENTIFIER]})`),c("MAINVERSIONLOOSE",`(${a[s.NUMERICIDENTIFIERLOOSE]})\\.(${a[s.NUMERICIDENTIFIERLOOSE]})\\.(${a[s.NUMERICIDENTIFIERLOOSE]})`),c("PRERELEASEIDENTIFIER",`(?:${a[s.NUMERICIDENTIFIER]}|${a[s.NONNUMERICIDENTIFIER]})`),c("PRERELEASEIDENTIFIERLOOSE",`(?:${a[s.NUMERICIDENTIFIERLOOSE]}|${a[s.NONNUMERICIDENTIFIER]})`),c("PRERELEASE",`(?:-(${a[s.PRERELEASEIDENTIFIER]}(?:\\.${a[s.PRERELEASEIDENTIFIER]})*))`),c("PRERELEASELOOSE",`(?:-?(${a[s.PRERELEASEIDENTIFIERLOOSE]}(?:\\.${a[s.PRERELEASEIDENTIFIERLOOSE]})*))`),c("BUILDIDENTIFIER","[0-9A-Za-z-]+"),c("BUILD",`(?:\\+(${a[s.BUILDIDENTIFIER]}(?:\\.${a[s.BUILDIDENTIFIER]})*))`),c("FULLPLAIN",`v?${a[s.MAINVERSION]}${a[s.PRERELEASE]}?${a[s.BUILD]}?`),c("FULL",`^${a[s.FULLPLAIN]}$`),c("LOOSEPLAIN",`[v=\\s]*${a[s.MAINVERSIONLOOSE]}${a[s.PRERELEASELOOSE]}?${a[s.BUILD]}?`),c("LOOSE",`^${a[s.LOOSEPLAIN]}$`),c("GTLT","((?:<|>)?=?)"),c("XRANGEIDENTIFIERLOOSE",a[s.NUMERICIDENTIFIERLOOSE]+"|x|X|\\*"),c("XRANGEIDENTIFIER",a[s.NUMERICIDENTIFIER]+"|x|X|\\*"),c("XRANGEPLAIN",`[v=\\s]*(${a[s.XRANGEIDENTIFIER]})(?:\\.(${a[s.XRANGEIDENTIFIER]})(?:\\.(${a[s.XRANGEIDENTIFIER]})(?:${a[s.PRERELEASE]})?${a[s.BUILD]}?)?)?`),c("XRANGEPLAINLOOSE",`[v=\\s]*(${a[s.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[s.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[s.XRANGEIDENTIFIERLOOSE]})(?:${a[s.PRERELEASELOOSE]})?${a[s.BUILD]}?)?)?`),c("XRANGE",`^${a[s.GTLT]}\\s*${a[s.XRANGEPLAIN]}$`),c("XRANGELOOSE",`^${a[s.GTLT]}\\s*${a[s.XRANGEPLAINLOOSE]}$`),c("COERCE",`(^|[^\\d])(\\d{1,${r}})(?:\\.(\\d{1,${r}}))?(?:\\.(\\d{1,${r}}))?(?:$|[^\\d])`),c("COERCERTL",a[s.COERCE],!0),c("LONETILDE","(?:~>?)"),c("TILDETRIM",`(\\s*)${a[s.LONETILDE]}\\s+`,!0),t.tildeTrimReplace="$1~",c("TILDE",`^${a[s.LONETILDE]}${a[s.XRANGEPLAIN]}$`),c("TILDELOOSE",`^${a[s.LONETILDE]}${a[s.XRANGEPLAINLOOSE]}$`),c("LONECARET","(?:\\^)"),c("CARETTRIM",`(\\s*)${a[s.LONECARET]}\\s+`,!0),t.caretTrimReplace="$1^",c("CARET",`^${a[s.LONECARET]}${a[s.XRANGEPLAIN]}$`),c("CARETLOOSE",`^${a[s.LONECARET]}${a[s.XRANGEPLAINLOOSE]}$`),c("COMPARATORLOOSE",`^${a[s.GTLT]}\\s*(${a[s.LOOSEPLAIN]})$|^$`),c("COMPARATOR",`^${a[s.GTLT]}\\s*(${a[s.FULLPLAIN]})$|^$`),c("COMPARATORTRIM",`(\\s*)${a[s.GTLT]}\\s*(${a[s.LOOSEPLAIN]}|${a[s.XRANGEPLAIN]})`,!0),t.comparatorTrimReplace="$1$2$3",c("HYPHENRANGE",`^\\s*(${a[s.XRANGEPLAIN]})\\s+-\\s+(${a[s.XRANGEPLAIN]})\\s*$`),c("HYPHENRANGELOOSE",`^\\s*(${a[s.XRANGEPLAINLOOSE]})\\s+-\\s+(${a[s.XRANGEPLAINLOOSE]})\\s*$`),c("STAR","(<|>)?=?\\s*\\*"),c("GTE0","^\\s*>=\\s*0.0.0\\s*$"),c("GTE0PRE","^\\s*>=\\s*0.0.0-0\\s*$")},function(e,t,n){(function(t){const n="object"==typeof t&&t.env&&t.env.NODE_DEBUG&&/\bsemver\b/i.test(t.env.NODE_DEBUG)?(...e)=>console.error("SEMVER",...e):()=>{};e.exports=n}).call(this,n(47))},function(e,t,n){const r=n(90),{MAX_LENGTH:o,MAX_SAFE_INTEGER:i}=n(41),{re:a,t:s}=n(89),{compareIdentifiers:u}=n(151);class c{constructor(e,t){if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof c){if(e.loose===!!t.loose&&e.includePrerelease===!!t.includePrerelease)return e;e=e.version}else if("string"!=typeof e)throw new TypeError("Invalid Version: "+e);if(e.length>o)throw new TypeError(`version is longer than ${o} characters`);r("SemVer",e,t),this.options=t,this.loose=!!t.loose,this.includePrerelease=!!t.includePrerelease;const n=e.trim().match(t.loose?a[s.LOOSE]:a[s.FULL]);if(!n)throw new TypeError("Invalid Version: "+e);if(this.raw=e,this.major=+n[1],this.minor=+n[2],this.patch=+n[3],this.major>i||this.major<0)throw new TypeError("Invalid major version");if(this.minor>i||this.minor<0)throw new TypeError("Invalid minor version");if(this.patch>i||this.patch<0)throw new TypeError("Invalid patch version");n[4]?this.prerelease=n[4].split(".").map(e=>{if(/^[0-9]+$/.test(e)){const t=+e;if(t>=0&&t<i)return t}return e}):this.prerelease=[],this.build=n[5]?n[5].split("."):[],this.format()}format(){return this.version=`${this.major}.${this.minor}.${this.patch}`,this.prerelease.length&&(this.version+="-"+this.prerelease.join(".")),this.version}toString(){return this.version}compare(e){if(r("SemVer.compare",this.version,this.options,e),!(e instanceof c)){if("string"==typeof e&&e===this.version)return 0;e=new c(e,this.options)}return e.version===this.version?0:this.compareMain(e)||this.comparePre(e)}compareMain(e){return e instanceof c||(e=new c(e,this.options)),u(this.major,e.major)||u(this.minor,e.minor)||u(this.patch,e.patch)}comparePre(e){if(e instanceof c||(e=new c(e,this.options)),this.prerelease.length&&!e.prerelease.length)return-1;if(!this.prerelease.length&&e.prerelease.length)return 1;if(!this.prerelease.length&&!e.prerelease.length)return 0;let t=0;do{const n=this.prerelease[t],o=e.prerelease[t];if(r("prerelease compare",t,n,o),void 0===n&&void 0===o)return 0;if(void 0===o)return 1;if(void 0===n)return-1;if(n!==o)return u(n,o)}while(++t)}compareBuild(e){e instanceof c||(e=new c(e,this.options));let t=0;do{const n=this.build[t],o=e.build[t];if(r("prerelease compare",t,n,o),void 0===n&&void 0===o)return 0;if(void 0===o)return 1;if(void 0===n)return-1;if(n!==o)return u(n,o)}while(++t)}inc(e,t){switch(e){case"premajor":this.prerelease.length=0,this.patch=0,this.minor=0,this.major++,this.inc("pre",t);break;case"preminor":this.prerelease.length=0,this.patch=0,this.minor++,this.inc("pre",t);break;case"prepatch":this.prerelease.length=0,this.inc("patch",t),this.inc("pre",t);break;case"prerelease":0===this.prerelease.length&&this.inc("patch",t),this.inc("pre",t);break;case"major":0===this.minor&&0===this.patch&&0!==this.prerelease.length||this.major++,this.minor=0,this.patch=0,this.prerelease=[];break;case"minor":0===this.patch&&0!==this.prerelease.length||this.minor++,this.patch=0,this.prerelease=[];break;case"patch":0===this.prerelease.length&&this.patch++,this.prerelease=[];break;case"pre":if(0===this.prerelease.length)this.prerelease=[0];else{let e=this.prerelease.length;for(;--e>=0;)"number"==typeof this.prerelease[e]&&(this.prerelease[e]++,e=-2);-1===e&&this.prerelease.push(0)}t&&(this.prerelease[0]===t?isNaN(this.prerelease[1])&&(this.prerelease=[t,0]):this.prerelease=[t,0]);break;default:throw new Error("invalid increment argument: "+e)}return this.format(),this.raw=this.version,this}}e.exports=c},function(e,t,n){"use strict";var r,o,i,a=n(93),s=n(9),u=n(4),c=n(1),l=n(35),d=c("iterator"),h=!1;[].keys&&("next"in(i=[].keys())?(o=a(a(i)))!==Object.prototype&&(r=o):h=!0),null==r&&(r={}),l||u(r,d)||s(r,d,(function(){return this})),e.exports={IteratorPrototype:r,BUGGY_SAFARI_ITERATORS:h}},function(e,t,n){var r=n(4),o=n(16),i=n(38),a=n(155),s=i("IE_PROTO"),u=Object.prototype;e.exports=a?Object.getPrototypeOf:function(e){return e=o(e),r(e,s)?e[s]:"function"==typeof e.constructor&&e instanceof e.constructor?e.constructor.prototype:e instanceof Object?u:null}},function(e,t,n){var r=n(5),o=n(156);e.exports=Object.setPrototypeOf||("__proto__"in{}?function(){var e,t=!1,n={};try{(e=Object.getOwnPropertyDescriptor(Object.prototype,"__proto__").set).call(n,[]),t=n instanceof Array}catch(e){}return function(n,i){return r(n),o(i),t?e.call(n,i):n.__proto__=i,n}}():void 0)},function(e,t,n){var r=n(26),o=n(6),i=n(4),a=n(8).f,s=n(39),u=n(159),c=s("meta"),l=0,d=Object.isExtensible||function(){return!0},h=function(e){a(e,c,{value:{objectID:"O"+ ++l,weakData:{}}})},f=e.exports={REQUIRED:!1,fastKey:function(e,t){if(!o(e))return"symbol"==typeof e?e:("string"==typeof e?"S":"P")+e;if(!i(e,c)){if(!d(e))return"F";if(!t)return"E";h(e)}return e[c].objectID},getWeakData:function(e,t){if(!i(e,c)){if(!d(e))return!0;if(!t)return!1;h(e)}return e[c].weakData},onFreeze:function(e){return u&&f.REQUIRED&&d(e)&&!i(e,c)&&h(e),e}};r[c]=!0},function(e,t){e.exports={CSSRuleList:0,CSSStyleDeclaration:0,CSSValueList:0,ClientRectList:0,DOMRectList:0,DOMStringList:0,DOMTokenList:1,DataTransferItemList:0,FileList:0,HTMLAllCollection:0,HTMLCollection:0,HTMLFormElement:0,HTMLSelectElement:0,MediaList:0,MimeTypeArray:0,NamedNodeMap:0,NodeList:1,PaintRequestList:0,Plugin:0,PluginArray:0,SVGLengthList:0,SVGNumberList:0,SVGPathSegList:0,SVGPointList:0,SVGStringList:0,SVGTransformList:0,SourceBufferList:0,StyleSheetList:0,TextTrackCueList:0,TextTrackList:0,TouchList:0}},function(e,t,n){"use strict";var r=n(5);e.exports=function(){var e=r(this),t="";return e.global&&(t+="g"),e.ignoreCase&&(t+="i"),e.multiline&&(t+="m"),e.dotAll&&(t+="s"),e.unicode&&(t+="u"),e.sticky&&(t+="y"),t}},,function(e,t,n){"use strict";var r=n(12),o=n(5),i=n(0),a=n(97),s=RegExp.prototype,u=s.toString,c=i((function(){return"/a/b"!=u.call({source:"a",flags:"b"})})),l="toString"!=u.name;(c||l)&&r(RegExp.prototype,"toString",(function(){var e=o(this),t=String(e.source),n=e.flags;return"/"+t+"/"+String(void 0===n&&e instanceof RegExp&&!("flags"in s)?a.call(e):n)}),{unsafe:!0})},function(e,t,n){"use strict";var r=n(68).charAt,o=n(20),i=n(42),a=o.set,s=o.getterFor("String Iterator");i(String,"String",(function(e){a(this,{type:"String Iterator",string:String(e),index:0})}),(function(){var e,t=s(this),n=t.string,o=t.index;return o>=n.length?{value:void 0,done:!0}:(e=r(n,o),t.index+=e.length,{value:e,done:!1})}))},function(e,t,n){"use strict";var r=n(122),o=n(5),i=n(16),a=n(15),s=n(23),u=n(21),c=n(123),l=n(124),d=Math.max,h=Math.min,f=Math.floor,p=/\$([$&'`]|\d\d?|<[^>]*>)/g,m=/\$([$&'`]|\d\d?)/g;r("replace",2,(function(e,t,n,r){var _=r.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE,g=r.REPLACE_KEEPS_$0,y=_?"$":"$0";return[function(n,r){var o=u(this),i=null==n?void 0:n[e];return void 0!==i?i.call(n,o,r):t.call(String(o),n,r)},function(e,r){if(!_&&g||"string"==typeof r&&-1===r.indexOf(y)){var i=n(t,e,this,r);if(i.done)return i.value}var u=o(e),f=String(this),p="function"==typeof r;p||(r=String(r));var m=u.global;if(m){var M=u.unicode;u.lastIndex=0}for(var k=[];;){var b=l(u,f);if(null===b)break;if(k.push(b),!m)break;""===String(b[0])&&(u.lastIndex=c(f,a(u.lastIndex),M))}for(var w,L="",T=0,D=0;D<k.length;D++){b=k[D];for(var x=String(b[0]),S=d(h(s(b.index),f.length),0),Y=[],O=1;O<b.length;O++)Y.push(void 0===(w=b[O])?w:String(w));var C=b.groups;if(p){var E=[x].concat(Y,S,f);void 0!==C&&E.push(C);var A=String(r.apply(void 0,E))}else A=v(x,f,S,Y,C,r);S>=T&&(L+=f.slice(T,S)+A,T=S+x.length)}return L+f.slice(T)}];function v(e,n,r,o,a,s){var u=r+e.length,c=o.length,l=m;return void 0!==a&&(a=i(a),l=p),t.call(s,l,(function(t,i){var s;switch(i.charAt(0)){case"$":return"$";case"&":return e;case"`":return n.slice(0,r);case"'":return n.slice(u);case"<":s=a[i.slice(1,-1)];break;default:var l=+i;if(0===l)return t;if(l>c){var d=f(l/10);return 0===d?t:d<=c?void 0===o[d-1]?i.charAt(1):o[d-1]+i.charAt(1):t}s=o[l-1]}return void 0===s?"":s}))}}))},function(e,t,n){var r=n(2),o=n(96),i=n(52),a=n(9),s=n(1),u=s("iterator"),c=s("toStringTag"),l=i.values;for(var d in o){var h=r[d],f=h&&h.prototype;if(f){if(f[u]!==l)try{a(f,u,l)}catch(e){f[u]=l}if(f[c]||a(f,c,d),o[d])for(var p in i)if(f[p]!==i[p])try{a(f,p,i[p])}catch(e){f[p]=i[p]}}}},function(e,t,n){"use strict";var r=n(10),o=n(74).indexOf,i=n(64),a=n(31),s=[].indexOf,u=!!s&&1/[1].indexOf(1,-0)<0,c=i("indexOf"),l=a("indexOf",{ACCESSORS:!0,1:0});r({target:"Array",proto:!0,forced:u||!c||!l},{indexOf:function(e){return u?s.apply(this,arguments)||0:o(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t){e.exports=function(e){if("function"!=typeof e)throw TypeError(String(e)+" is not a function");return e}},function(e,t,n){"use strict";var r=n(34),o=n(8),i=n(24);e.exports=function(e,t,n){var a=r(t);a in e?o.f(e,a,i(0,n)):e[a]=n}},function(e,t,n){var r=n(67),o=n(17),i=n(1)("iterator");e.exports=function(e){if(null!=e)return e[i]||e["@@iterator"]||o[r(e)]}},function(e,t,n){var r=n(73),o=n(40).concat("length","prototype");t.f=Object.getOwnPropertyNames||function(e){return r(e,o)}},function(e,t,n){var r=n(23),o=Math.max,i=Math.min;e.exports=function(e,t){var n=r(e);return n<0?o(n+t,0):i(n,t)}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),Object.defineProperty(t,"getRequestToken",{enumerable:!0,get:function(){return r.getRequestToken}}),Object.defineProperty(t,"onRequestTokenUpdate",{enumerable:!0,get:function(){return r.onRequestTokenUpdate}}),Object.defineProperty(t,"getCurrentUser",{enumerable:!0,get:function(){return o.getCurrentUser}});var r=n(146),o=n(163)},function(e,t,n){"use strict";var r=n(10),o=n(63).filter,i=n(56),a=n(31),s=i("filter"),u=a("filter");r({target:"Array",proto:!0,forced:!s||!u},{filter:function(e){return o(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t,n){var r=n(6),o=n(94);e.exports=function(e,t,n){var i,a;return o&&"function"==typeof(i=t.constructor)&&i!==n&&r(a=i.prototype)&&a!==n.prototype&&o(e,a),e}},function(e,t,n){var r=n(12);e.exports=function(e,t,n){for(var o in t)r(e,o,t[o],n);return e}},function(e,t,n){"use strict";var r=n(7),o=n(0),i=n(76),a=n(75),s=n(69),u=n(16),c=n(36),l=Object.assign,d=Object.defineProperty;e.exports=!l||o((function(){if(r&&1!==l({b:1},l(d({},"a",{enumerable:!0,get:function(){d(this,"b",{value:3,enumerable:!1})}}),{b:2})).b)return!0;var e={},t={},n=Symbol();return e[n]=7,"abcdefghijklmnopqrst".split("").forEach((function(e){t[e]=e})),7!=l({},e)[n]||"abcdefghijklmnopqrst"!=i(l({},t)).join("")}))?function(e,t){for(var n=u(e),o=arguments.length,l=1,d=a.f,h=s.f;o>l;)for(var f,p=c(arguments[l++]),m=d?i(p).concat(d(p)):i(p),_=m.length,g=0;_>g;)f=m[g++],r&&!h.call(p,f)||(n[f]=p[f]);return n}:l},function(e,t,n){var r=n(22);e.exports=r("navigator","userAgent")||""},function(e,t,n){var r=n(7),o=n(8),i=n(5),a=n(76);e.exports=r?Object.defineProperties:function(e,t){i(e);for(var n,r=a(t),s=r.length,u=0;s>u;)o.f(e,n=r[u++],t[n]);return e}},function(e,t,n){var r=n(22);e.exports=r("document","documentElement")},function(e,t,n){"use strict";var r=n(92).IteratorPrototype,o=n(32),i=n(24),a=n(33),s=n(17),u=function(){return this};e.exports=function(e,t,n){var c=t+" Iterator";return e.prototype=o(r,{next:i(1,n)}),a(e,c,!1,!0),s[c]=u,e}},function(e,t,n){var r=n(1),o=n(17),i=r("iterator"),a=Array.prototype;e.exports=function(e){return void 0!==e&&(o.Array===e||a[i]===e)}},function(e,t,n){var r=n(5);e.exports=function(e,t,n,o){try{return o?t(r(n)[0],n[1]):t(n)}catch(t){var i=e.return;throw void 0!==i&&r(i.call(e)),t}}},function(e,t,n){var r=n(1)("iterator"),o=!1;try{var i=0,a={next:function(){return{done:!!i++}},return:function(){o=!0}};a[r]=function(){return this},Array.from(a,(function(){throw 2}))}catch(e){}e.exports=function(e,t){if(!t&&!o)return!1;var n=!1;try{var i={};i[r]=function(){return{next:function(){return{done:n=!0}}}},e(i)}catch(e){}return n}},function(e,t,n){"use strict";var r=n(22),o=n(8),i=n(1),a=n(7),s=i("species");e.exports=function(e){var t=r(e),n=o.f;a&&t&&!t[s]&&n(t,s,{configurable:!0,get:function(){return this}})}},function(e,t,n){"use strict";n(53);var r=n(12),o=n(0),i=n(1),a=n(44),s=n(9),u=i("species"),c=!o((function(){var e=/./;return e.exec=function(){var e=[];return e.groups={a:"7"},e},"7"!=="".replace(e,"$<a>")})),l="$0"==="a".replace(/./,"$0"),d=i("replace"),h=!!/./[d]&&""===/./[d]("a","$0"),f=!o((function(){var e=/(?:)/,t=e.exec;e.exec=function(){return t.apply(this,arguments)};var n="ab".split(e);return 2!==n.length||"a"!==n[0]||"b"!==n[1]}));e.exports=function(e,t,n,d){var p=i(e),m=!o((function(){var t={};return t[p]=function(){return 7},7!=""[e](t)})),_=m&&!o((function(){var t=!1,n=/a/;return"split"===e&&((n={}).constructor={},n.constructor[u]=function(){return n},n.flags="",n[p]=/./[p]),n.exec=function(){return t=!0,null},n[p](""),!t}));if(!m||!_||"replace"===e&&(!c||!l||h)||"split"===e&&!f){var g=/./[p],y=n(p,""[e],(function(e,t,n,r,o){return t.exec===a?m&&!o?{done:!0,value:g.call(t,n,r)}:{done:!0,value:e.call(n,t,r)}:{done:!1}}),{REPLACE_KEEPS_$0:l,REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE:h}),v=y[0],M=y[1];r(String.prototype,e,v),r(RegExp.prototype,p,2==t?function(e,t){return M.call(e,this,t)}:function(e){return M.call(e,this)})}d&&s(RegExp.prototype[p],"sham",!0)}},function(e,t,n){"use strict";var r=n(68).charAt;e.exports=function(e,t,n){return t+(n?r(e,t).length:1)}},function(e,t,n){var r=n(19),o=n(44);e.exports=function(e,t){var n=e.exec;if("function"==typeof n){var i=n.call(e,t);if("object"!=typeof i)throw TypeError("RegExp exec method returned something other than an Object or null");return i}if("RegExp"!==r(e))throw TypeError("RegExp#exec called on incompatible receiver");return o.call(e,t)}},function(e,t,n){var r=n(2),o=n(62),i=r.WeakMap;e.exports="function"==typeof i&&/native code/.test(o(i))},function(e,t,n){var r=n(4),o=n(127),i=n(54),a=n(8);e.exports=function(e,t){for(var n=o(t),s=a.f,u=i.f,c=0;c<n.length;c++){var l=n[c];r(e,l)||s(e,l,u(t,l))}}},function(e,t,n){var r=n(22),o=n(107),i=n(75),a=n(5);e.exports=r("Reflect","ownKeys")||function(e){var t=o.f(a(e)),n=i.f;return n?t.concat(n(e)):t}},function(e,t,n){var r=n(2);e.exports=r},function(e,t,n){e.exports=n(130)},function(e,t,n){"use strict";var r=n(3),o=n(77),i=n(131),a=n(83);function s(e){var t=new i(e),n=o(i.prototype.request,t);return r.extend(n,i.prototype,t),r.extend(n,t),n}var u=s(n(80));u.Axios=i,u.create=function(e){return s(a(u.defaults,e))},u.Cancel=n(84),u.CancelToken=n(144),u.isCancel=n(79),u.all=function(e){return Promise.all(e)},u.spread=n(145),e.exports=u,e.exports.default=u},function(e,t,n){"use strict";var r=n(3),o=n(78),i=n(132),a=n(133),s=n(83);function u(e){this.defaults=e,this.interceptors={request:new i,response:new i}}u.prototype.request=function(e){"string"==typeof e?(e=arguments[1]||{}).url=arguments[0]:e=e||{},(e=s(this.defaults,e)).method?e.method=e.method.toLowerCase():this.defaults.method?e.method=this.defaults.method.toLowerCase():e.method="get";var t=[a,void 0],n=Promise.resolve(e);for(this.interceptors.request.forEach((function(e){t.unshift(e.fulfilled,e.rejected)})),this.interceptors.response.forEach((function(e){t.push(e.fulfilled,e.rejected)}));t.length;)n=n.then(t.shift(),t.shift());return n},u.prototype.getUri=function(e){return e=s(this.defaults,e),o(e.url,e.params,e.paramsSerializer).replace(/^\?/,"")},r.forEach(["delete","get","head","options"],(function(e){u.prototype[e]=function(t,n){return this.request(r.merge(n||{},{method:e,url:t}))}})),r.forEach(["post","put","patch"],(function(e){u.prototype[e]=function(t,n,o){return this.request(r.merge(o||{},{method:e,url:t,data:n}))}})),e.exports=u},function(e,t,n){"use strict";var r=n(3);function o(){this.handlers=[]}o.prototype.use=function(e,t){return this.handlers.push({fulfilled:e,rejected:t}),this.handlers.length-1},o.prototype.eject=function(e){this.handlers[e]&&(this.handlers[e]=null)},o.prototype.forEach=function(e){r.forEach(this.handlers,(function(t){null!==t&&e(t)}))},e.exports=o},function(e,t,n){"use strict";var r=n(3),o=n(134),i=n(79),a=n(80);function s(e){e.cancelToken&&e.cancelToken.throwIfRequested()}e.exports=function(e){return s(e),e.headers=e.headers||{},e.data=o(e.data,e.headers,e.transformRequest),e.headers=r.merge(e.headers.common||{},e.headers[e.method]||{},e.headers),r.forEach(["delete","get","head","post","put","patch","common"],(function(t){delete e.headers[t]})),(e.adapter||a.adapter)(e).then((function(t){return s(e),t.data=o(t.data,t.headers,e.transformResponse),t}),(function(t){return i(t)||(s(e),t&&t.response&&(t.response.data=o(t.response.data,t.response.headers,e.transformResponse))),Promise.reject(t)}))}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t,n){return r.forEach(n,(function(n){e=n(e,t)})),e}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){r.forEach(e,(function(n,r){r!==t&&r.toUpperCase()===t.toUpperCase()&&(e[t]=n,delete e[r])}))}},function(e,t,n){"use strict";var r=n(82);e.exports=function(e,t,n){var o=n.config.validateStatus;!o||o(n.status)?e(n):t(r("Request failed with status code "+n.status,n.config,null,n.request,n))}},function(e,t,n){"use strict";e.exports=function(e,t,n,r,o){return e.config=t,n&&(e.code=n),e.request=r,e.response=o,e.isAxiosError=!0,e.toJSON=function(){return{message:this.message,name:this.name,description:this.description,number:this.number,fileName:this.fileName,lineNumber:this.lineNumber,columnNumber:this.columnNumber,stack:this.stack,config:this.config,code:this.code}},e}},function(e,t,n){"use strict";var r=n(139),o=n(140);e.exports=function(e,t){return e&&!r(t)?o(e,t):t}},function(e,t,n){"use strict";e.exports=function(e){return/^([a-z][a-z\d\+\-\.]*:)?\/\//i.test(e)}},function(e,t,n){"use strict";e.exports=function(e,t){return t?e.replace(/\/+$/,"")+"/"+t.replace(/^\/+/,""):e}},function(e,t,n){"use strict";var r=n(3),o=["age","authorization","content-length","content-type","etag","expires","from","host","if-modified-since","if-unmodified-since","last-modified","location","max-forwards","proxy-authorization","referer","retry-after","user-agent"];e.exports=function(e){var t,n,i,a={};return e?(r.forEach(e.split("\n"),(function(e){if(i=e.indexOf(":"),t=r.trim(e.substr(0,i)).toLowerCase(),n=r.trim(e.substr(i+1)),t){if(a[t]&&o.indexOf(t)>=0)return;a[t]="set-cookie"===t?(a[t]?a[t]:[]).concat([n]):a[t]?a[t]+", "+n:n}})),a):a}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?function(){var e,t=/(msie|trident)/i.test(navigator.userAgent),n=document.createElement("a");function o(e){var r=e;return t&&(n.setAttribute("href",r),r=n.href),n.setAttribute("href",r),{href:n.href,protocol:n.protocol?n.protocol.replace(/:$/,""):"",host:n.host,search:n.search?n.search.replace(/^\?/,""):"",hash:n.hash?n.hash.replace(/^#/,""):"",hostname:n.hostname,port:n.port,pathname:"/"===n.pathname.charAt(0)?n.pathname:"/"+n.pathname}}return e=o(window.location.href),function(t){var n=r.isString(t)?o(t):t;return n.protocol===e.protocol&&n.host===e.host}}():function(){return!0}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?{write:function(e,t,n,o,i,a){var s=[];s.push(e+"="+encodeURIComponent(t)),r.isNumber(n)&&s.push("expires="+new Date(n).toGMTString()),r.isString(o)&&s.push("path="+o),r.isString(i)&&s.push("domain="+i),!0===a&&s.push("secure"),document.cookie=s.join("; ")},read:function(e){var t=document.cookie.match(new RegExp("(^|;\\s*)("+e+")=([^;]*)"));return t?decodeURIComponent(t[3]):null},remove:function(e){this.write(e,"",Date.now()-864e5)}}:{write:function(){},read:function(){return null},remove:function(){}}},function(e,t,n){"use strict";var r=n(84);function o(e){if("function"!=typeof e)throw new TypeError("executor must be a function.");var t;this.promise=new Promise((function(e){t=e}));var n=this;e((function(e){n.reason||(n.reason=new r(e),t(n.reason))}))}o.prototype.throwIfRequested=function(){if(this.reason)throw this.reason},o.source=function(){var e;return{token:new o((function(t){e=t})),cancel:e}},e.exports=o},function(e,t,n){"use strict";e.exports=function(e){return function(t){return e.apply(null,t)}}},function(e,t,n){"use strict";n(85),Object.defineProperty(t,"__esModule",{value:!0}),t.getRequestToken=function(){return i},t.onRequestTokenUpdate=function(e){a.push(e)};var r=n(14),o=document.getElementsByTagName("head")[0],i=o?o.getAttribute("data-requesttoken"):null,a=[];(0,r.subscribe)("csrf-token-update",(function(e){i=e.token,a.forEach((function(t){try{t(e.token)}catch(e){console.error("error updating CSRF token observer",e)}}))}))},function(e,t,n){var r=n(88);e.exports=r&&!Symbol.sham&&"symbol"==typeof Symbol.iterator},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.ProxyBus=void 0;var r=i(n(149)),o=i(n(152));function i(e){return e&&e.__esModule?e:{default:e}}function a(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}var s="1.2.0",u=function(){function e(t){var n,i,a;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),a=void 0,(i="bus")in(n=this)?Object.defineProperty(n,i,{value:a,enumerable:!0,configurable:!0,writable:!0}):n[i]=a,"function"==typeof t.getVersion&&(0,r.default)(t.getVersion())?(0,o.default)(t.getVersion())!==(0,o.default)(this.getVersion())&&console.warn("Proxying an event bus of version "+t.getVersion()+" with "+this.getVersion()):console.warn("Proxying an event bus with an unknown or invalid version"),this.bus=t}var t,n,i;return t=e,(n=[{key:"getVersion",value:function(){return s}},{key:"subscribe",value:function(e,t){this.bus.subscribe(e,t)}},{key:"unsubscribe",value:function(e,t){this.bus.unsubscribe(e,t)}},{key:"emit",value:function(e,t){this.bus.emit(e,t)}}])&&a(t.prototype,n),i&&a(t,i),e}();t.ProxyBus=u},function(e,t,n){const r=n(150);e.exports=(e,t)=>{const n=r(e,t);return n?n.version:null}},function(e,t,n){const{MAX_LENGTH:r}=n(41),{re:o,t:i}=n(89),a=n(91);e.exports=(e,t)=>{if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof a)return e;if("string"!=typeof e)return null;if(e.length>r)return null;if(!(t.loose?o[i.LOOSE]:o[i.FULL]).test(e))return null;try{return new a(e,t)}catch(e){return null}}},function(e,t){const n=/^[0-9]+$/,r=(e,t)=>{const r=n.test(e),o=n.test(t);return r&&o&&(e=+e,t=+t),e===t?0:r&&!o?-1:o&&!r?1:e<t?-1:1};e.exports={compareIdentifiers:r,rcompareIdentifiers:(e,t)=>r(t,e)}},function(e,t,n){const r=n(91);e.exports=(e,t)=>new r(e,t).major},function(e,t,n){"use strict";function r(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}n(60),n(110),n(85),n(52),n(157),n(51),n(100),n(162),n(102),Object.defineProperty(t,"__esModule",{value:!0}),t.SimpleBus=void 0;var o="1.2.0",i=function(){function e(){var t,n,r;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),t=this,n="handlers",r=new Map,n in t?Object.defineProperty(t,n,{value:r,enumerable:!0,configurable:!0,writable:!0}):t[n]=r}var t,n,i;return t=e,(n=[{key:"getVersion",value:function(){return o}},{key:"subscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).concat(t))}},{key:"unsubscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).filter((function(e){return e!=t})))}},{key:"emit",value:function(e,t){(this.handlers.get(e)||[]).forEach((function(e){try{e(t)}catch(e){console.error("could not invoke event listener",e)}}))}}])&&r(t.prototype,n),i&&r(t,i),e}();t.SimpleBus=i},function(e,t,n){var r=n(1),o=n(32),i=n(8),a=r("unscopables"),s=Array.prototype;null==s[a]&&i.f(s,a,{configurable:!0,value:o(null)}),e.exports=function(e){s[a][e]=!0}},function(e,t,n){var r=n(0);e.exports=!r((function(){function e(){}return e.prototype.constructor=null,Object.getPrototypeOf(new e)!==e.prototype}))},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e)&&null!==e)throw TypeError("Can't set "+String(e)+" as a prototype");return e}},function(e,t,n){"use strict";var r=n(158),o=n(160);e.exports=r("Map",(function(e){return function(){return e(this,arguments.length?arguments[0]:void 0)}}),o)},function(e,t,n){"use strict";var r=n(10),o=n(2),i=n(55),a=n(12),s=n(95),u=n(66),c=n(57),l=n(6),d=n(0),h=n(120),f=n(33),p=n(111);e.exports=function(e,t,n){var m=-1!==e.indexOf("Map"),_=-1!==e.indexOf("Weak"),g=m?"set":"add",y=o[e],v=y&&y.prototype,M=y,k={},b=function(e){var t=v[e];a(v,e,"add"==e?function(e){return t.call(this,0===e?0:e),this}:"delete"==e?function(e){return!(_&&!l(e))&&t.call(this,0===e?0:e)}:"get"==e?function(e){return _&&!l(e)?void 0:t.call(this,0===e?0:e)}:"has"==e?function(e){return!(_&&!l(e))&&t.call(this,0===e?0:e)}:function(e,n){return t.call(this,0===e?0:e,n),this})};if(i(e,"function"!=typeof y||!(_||v.forEach&&!d((function(){(new y).entries().next()})))))M=n.getConstructor(t,e,m,g),s.REQUIRED=!0;else if(i(e,!0)){var w=new M,L=w[g](_?{}:-0,1)!=w,T=d((function(){w.has(1)})),D=h((function(e){new y(e)})),x=!_&&d((function(){for(var e=new y,t=5;t--;)e[g](t,t);return!e.has(-0)}));D||((M=t((function(t,n){c(t,M,e);var r=p(new y,t,M);return null!=n&&u(n,r[g],r,m),r}))).prototype=v,v.constructor=M),(T||x)&&(b("delete"),b("has"),m&&b("get")),(x||L)&&b(g),_&&v.clear&&delete v.clear}return k[e]=M,r({global:!0,forced:M!=y},k),f(M,e),_||n.setStrong(M,e,m),M}},function(e,t,n){var r=n(0);e.exports=!r((function(){return Object.isExtensible(Object.preventExtensions({}))}))},function(e,t,n){"use strict";var r=n(8).f,o=n(32),i=n(112),a=n(30),s=n(57),u=n(66),c=n(42),l=n(121),d=n(7),h=n(95).fastKey,f=n(20),p=f.set,m=f.getterFor;e.exports={getConstructor:function(e,t,n,c){var l=e((function(e,r){s(e,l,t),p(e,{type:t,index:o(null),first:void 0,last:void 0,size:0}),d||(e.size=0),null!=r&&u(r,e[c],e,n)})),f=m(t),_=function(e,t,n){var r,o,i=f(e),a=g(e,t);return a?a.value=n:(i.last=a={index:o=h(t,!0),key:t,value:n,previous:r=i.last,next:void 0,removed:!1},i.first||(i.first=a),r&&(r.next=a),d?i.size++:e.size++,"F"!==o&&(i.index[o]=a)),e},g=function(e,t){var n,r=f(e),o=h(t);if("F"!==o)return r.index[o];for(n=r.first;n;n=n.next)if(n.key==t)return n};return i(l.prototype,{clear:function(){for(var e=f(this),t=e.index,n=e.first;n;)n.removed=!0,n.previous&&(n.previous=n.previous.next=void 0),delete t[n.index],n=n.next;e.first=e.last=void 0,d?e.size=0:this.size=0},delete:function(e){var t=f(this),n=g(this,e);if(n){var r=n.next,o=n.previous;delete t.index[n.index],n.removed=!0,o&&(o.next=r),r&&(r.previous=o),t.first==n&&(t.first=r),t.last==n&&(t.last=o),d?t.size--:this.size--}return!!n},forEach:function(e){for(var t,n=f(this),r=a(e,arguments.length>1?arguments[1]:void 0,3);t=t?t.next:n.first;)for(r(t.value,t.key,this);t&&t.removed;)t=t.previous},has:function(e){return!!g(this,e)}}),i(l.prototype,n?{get:function(e){var t=g(this,e);return t&&t.value},set:function(e,t){return _(this,0===e?0:e,t)}}:{add:function(e){return _(this,e=0===e?0:e,e)}}),d&&r(l.prototype,"size",{get:function(){return f(this).size}}),l},setStrong:function(e,t,n){var r=t+" Iterator",o=m(t),i=m(r);c(e,t,(function(e,t){p(this,{type:r,target:e,state:o(e),kind:t,last:void 0})}),(function(){for(var e=i(this),t=e.kind,n=e.last;n&&n.removed;)n=n.previous;return e.target&&(e.last=n=n?n.next:e.state.first)?"keys"==t?{value:n.key,done:!1}:"values"==t?{value:n.value,done:!1}:{value:[n.key,n.value],done:!1}:(e.target=void 0,{value:void 0,done:!0})}),n?"entries":"values",!n,!0),l(t)}}},function(e,t,n){"use strict";var r=n(43),o=n(67);e.exports=r?{}.toString:function(){return"[object "+o(this)+"]"}},function(e,t,n){var r=n(2),o=n(96),i=n(86),a=n(9);for(var s in o){var u=r[s],c=u&&u.prototype;if(c&&c.forEach!==i)try{a(c,"forEach",i)}catch(e){c.forEach=i}}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.getCurrentUser=function(){if(null===o)return null;return{uid:o,displayName:a}};var r=document.getElementsByTagName("head")[0],o=r?r.getAttribute("data-user"):null,i=document.getElementsByTagName("head")[0],a=i?i.getAttribute("data-user-displayname"):null},function(e,t,n){"use strict";var r=n(0);function o(e,t){return RegExp(e,t)}t.UNSUPPORTED_Y=r((function(){var e=o("a","y");return e.lastIndex=2,null!=e.exec("abcd")})),t.BROKEN_CARET=r((function(){var e=o("^r","gy");return e.lastIndex=2,null!=e.exec("str")}))},,,,,,,,,,,,,,function(e,t,n){(function(e){e.exports=function(){"use strict";var t,r;function o(){return t.apply(null,arguments)}function i(e){return e instanceof Array||"[object Array]"===Object.prototype.toString.call(e)}function a(e){return null!=e&&"[object Object]"===Object.prototype.toString.call(e)}function s(e){return void 0===e}function u(e){return"number"==typeof e||"[object Number]"===Object.prototype.toString.call(e)}function c(e){return e instanceof Date||"[object Date]"===Object.prototype.toString.call(e)}function l(e,t){var n,r=[];for(n=0;n<e.length;++n)r.push(t(e[n],n));return r}function d(e,t){return Object.prototype.hasOwnProperty.call(e,t)}function h(e,t){for(var n in t)d(t,n)&&(e[n]=t[n]);return d(t,"toString")&&(e.toString=t.toString),d(t,"valueOf")&&(e.valueOf=t.valueOf),e}function f(e,t,n,r){return Lt(e,t,n,r,!0).utc()}function p(e){return null==e._pf&&(e._pf={empty:!1,unusedTokens:[],unusedInput:[],overflow:-2,charsLeftOver:0,nullInput:!1,invalidMonth:null,invalidFormat:!1,userInvalidated:!1,iso:!1,parsedDateParts:[],meridiem:null,rfc2822:!1,weekdayMismatch:!1}),e._pf}function m(e){if(null==e._isValid){var t=p(e),n=r.call(t.parsedDateParts,(function(e){return null!=e})),o=!isNaN(e._d.getTime())&&t.overflow<0&&!t.empty&&!t.invalidMonth&&!t.invalidWeekday&&!t.weekdayMismatch&&!t.nullInput&&!t.invalidFormat&&!t.userInvalidated&&(!t.meridiem||t.meridiem&&n);if(e._strict&&(o=o&&0===t.charsLeftOver&&0===t.unusedTokens.length&&void 0===t.bigHour),null!=Object.isFrozen&&Object.isFrozen(e))return o;e._isValid=o}return e._isValid}function _(e){var t=f(NaN);return null!=e?h(p(t),e):p(t).userInvalidated=!0,t}r=Array.prototype.some?Array.prototype.some:function(e){for(var t=Object(this),n=t.length>>>0,r=0;r<n;r++)if(r in t&&e.call(this,t[r],r,t))return!0;return!1};var g=o.momentProperties=[];function y(e,t){var n,r,o;if(s(t._isAMomentObject)||(e._isAMomentObject=t._isAMomentObject),s(t._i)||(e._i=t._i),s(t._f)||(e._f=t._f),s(t._l)||(e._l=t._l),s(t._strict)||(e._strict=t._strict),s(t._tzm)||(e._tzm=t._tzm),s(t._isUTC)||(e._isUTC=t._isUTC),s(t._offset)||(e._offset=t._offset),s(t._pf)||(e._pf=p(t)),s(t._locale)||(e._locale=t._locale),g.length>0)for(n=0;n<g.length;n++)s(o=t[r=g[n]])||(e[r]=o);return e}var v=!1;function M(e){y(this,e),this._d=new Date(null!=e._d?e._d.getTime():NaN),this.isValid()||(this._d=new Date(NaN)),!1===v&&(v=!0,o.updateOffset(this),v=!1)}function k(e){return e instanceof M||null!=e&&null!=e._isAMomentObject}function b(e){return e<0?Math.ceil(e)||0:Math.floor(e)}function w(e){var t=+e,n=0;return 0!==t&&isFinite(t)&&(n=b(t)),n}function L(e,t,n){var r,o=Math.min(e.length,t.length),i=Math.abs(e.length-t.length),a=0;for(r=0;r<o;r++)(n&&e[r]!==t[r]||!n&&w(e[r])!==w(t[r]))&&a++;return a+i}function T(e){!1===o.suppressDeprecationWarnings&&"undefined"!=typeof console&&console.warn&&console.warn("Deprecation warning: "+e)}function D(e,t){var n=!0;return h((function(){if(null!=o.deprecationHandler&&o.deprecationHandler(null,e),n){for(var r,i=[],a=0;a<arguments.length;a++){if(r="","object"==typeof arguments[a]){for(var s in r+="\n["+a+"] ",arguments[0])r+=s+": "+arguments[0][s]+", ";r=r.slice(0,-2)}else r=arguments[a];i.push(r)}T(e+"\nArguments: "+Array.prototype.slice.call(i).join("")+"\n"+(new Error).stack),n=!1}return t.apply(this,arguments)}),t)}var x,S={};function Y(e,t){null!=o.deprecationHandler&&o.deprecationHandler(e,t),S[e]||(T(t),S[e]=!0)}function O(e){return e instanceof Function||"[object Function]"===Object.prototype.toString.call(e)}function C(e,t){var n,r=h({},e);for(n in t)d(t,n)&&(a(e[n])&&a(t[n])?(r[n]={},h(r[n],e[n]),h(r[n],t[n])):null!=t[n]?r[n]=t[n]:delete r[n]);for(n in e)d(e,n)&&!d(t,n)&&a(e[n])&&(r[n]=h({},r[n]));return r}function E(e){null!=e&&this.set(e)}o.suppressDeprecationWarnings=!1,o.deprecationHandler=null,x=Object.keys?Object.keys:function(e){var t,n=[];for(t in e)d(e,t)&&n.push(t);return n};var A={};function j(e,t){var n=e.toLowerCase();A[n]=A[n+"s"]=A[t]=e}function H(e){return"string"==typeof e?A[e]||A[e.toLowerCase()]:void 0}function P(e){var t,n,r={};for(n in e)d(e,n)&&(t=H(n))&&(r[t]=e[n]);return r}var N={};function R(e,t){N[e]=t}function F(e,t,n){var r=""+Math.abs(e),o=t-r.length;return(e>=0?n?"+":"":"-")+Math.pow(10,Math.max(0,o)).toString().substr(1)+r}var I=/(\[[^\[]*\])|(\\)?([Hh]mm(ss)?|Mo|MM?M?M?|Do|DDDo|DD?D?D?|ddd?d?|do?|w[o|w]?|W[o|W]?|Qo?|YYYYYY|YYYYY|YYYY|YY|gg(ggg?)?|GG(GGG?)?|e|E|a|A|hh?|HH?|kk?|mm?|ss?|S{1,9}|x|X|zz?|ZZ?|.)/g,z=/(\[[^\[]*\])|(\\)?(LTS|LT|LL?L?L?|l{1,4})/g,W={},$={};function B(e,t,n,r){var o=r;"string"==typeof r&&(o=function(){return this[r]()}),e&&($[e]=o),t&&($[t[0]]=function(){return F(o.apply(this,arguments),t[1],t[2])}),n&&($[n]=function(){return this.localeData().ordinal(o.apply(this,arguments),e)})}function q(e,t){return e.isValid()?(t=U(t,e.localeData()),W[t]=W[t]||function(e){var t,n,r,o=e.match(I);for(t=0,n=o.length;t<n;t++)$[o[t]]?o[t]=$[o[t]]:o[t]=(r=o[t]).match(/\[[\s\S]/)?r.replace(/^\[|\]$/g,""):r.replace(/\\/g,"");return function(t){var r,i="";for(r=0;r<n;r++)i+=O(o[r])?o[r].call(t,e):o[r];return i}}(t),W[t](e)):e.localeData().invalidDate()}function U(e,t){var n=5;function r(e){return t.longDateFormat(e)||e}for(z.lastIndex=0;n>=0&&z.test(e);)e=e.replace(z,r),z.lastIndex=0,n-=1;return e}var V=/\d/,J=/\d\d/,G=/\d{3}/,K=/\d{4}/,Z=/[+-]?\d{6}/,X=/\d\d?/,Q=/\d\d\d\d?/,ee=/\d\d\d\d\d\d?/,te=/\d{1,3}/,ne=/\d{1,4}/,re=/[+-]?\d{1,6}/,oe=/\d+/,ie=/[+-]?\d+/,ae=/Z|[+-]\d\d:?\d\d/gi,se=/Z|[+-]\d\d(?::?\d\d)?/gi,ue=/[0-9]{0,256}['a-z\u00A0-\u05FF\u0700-\uD7FF\uF900-\uFDCF\uFDF0-\uFF07\uFF10-\uFFEF]{1,256}|[\u0600-\u06FF\/]{1,256}(\s*?[\u0600-\u06FF]{1,256}){1,2}/i,ce={};function le(e,t,n){ce[e]=O(t)?t:function(e,r){return e&&n?n:t}}function de(e,t){return d(ce,e)?ce[e](t._strict,t._locale):new RegExp(he(e.replace("\\","").replace(/\\(\[)|\\(\])|\[([^\]\[]*)\]|\\(.)/g,(function(e,t,n,r,o){return t||n||r||o}))))}function he(e){return e.replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&")}var fe={};function pe(e,t){var n,r=t;for("string"==typeof e&&(e=[e]),u(t)&&(r=function(e,n){n[t]=w(e)}),n=0;n<e.length;n++)fe[e[n]]=r}function me(e,t){pe(e,(function(e,n,r,o){r._w=r._w||{},t(e,r._w,r,o)}))}function _e(e,t,n){null!=t&&d(fe,e)&&fe[e](t,n._a,n,e)}function ge(e){return ye(e)?366:365}function ye(e){return e%4==0&&e%100!=0||e%400==0}B("Y",0,0,(function(){var e=this.year();return e<=9999?""+e:"+"+e})),B(0,["YY",2],0,(function(){return this.year()%100})),B(0,["YYYY",4],0,"year"),B(0,["YYYYY",5],0,"year"),B(0,["YYYYYY",6,!0],0,"year"),j("year","y"),R("year",1),le("Y",ie),le("YY",X,J),le("YYYY",ne,K),le("YYYYY",re,Z),le("YYYYYY",re,Z),pe(["YYYYY","YYYYYY"],0),pe("YYYY",(function(e,t){t[0]=2===e.length?o.parseTwoDigitYear(e):w(e)})),pe("YY",(function(e,t){t[0]=o.parseTwoDigitYear(e)})),pe("Y",(function(e,t){t[0]=parseInt(e,10)})),o.parseTwoDigitYear=function(e){return w(e)+(w(e)>68?1900:2e3)};var ve,Me=ke("FullYear",!0);function ke(e,t){return function(n){return null!=n?(we(this,e,n),o.updateOffset(this,t),this):be(this,e)}}function be(e,t){return e.isValid()?e._d["get"+(e._isUTC?"UTC":"")+t]():NaN}function we(e,t,n){e.isValid()&&!isNaN(n)&&("FullYear"===t&&ye(e.year())&&1===e.month()&&29===e.date()?e._d["set"+(e._isUTC?"UTC":"")+t](n,e.month(),Le(n,e.month())):e._d["set"+(e._isUTC?"UTC":"")+t](n))}function Le(e,t){if(isNaN(e)||isNaN(t))return NaN;var n,r=(t%(n=12)+n)%n;return e+=(t-r)/12,1===r?ye(e)?29:28:31-r%7%2}ve=Array.prototype.indexOf?Array.prototype.indexOf:function(e){var t;for(t=0;t<this.length;++t)if(this[t]===e)return t;return-1},B("M",["MM",2],"Mo",(function(){return this.month()+1})),B("MMM",0,0,(function(e){return this.localeData().monthsShort(this,e)})),B("MMMM",0,0,(function(e){return this.localeData().months(this,e)})),j("month","M"),R("month",8),le("M",X),le("MM",X,J),le("MMM",(function(e,t){return t.monthsShortRegex(e)})),le("MMMM",(function(e,t){return t.monthsRegex(e)})),pe(["M","MM"],(function(e,t){t[1]=w(e)-1})),pe(["MMM","MMMM"],(function(e,t,n,r){var o=n._locale.monthsParse(e,r,n._strict);null!=o?t[1]=o:p(n).invalidMonth=e}));var Te=/D[oD]?(\[[^\[\]]*\]|\s)+MMMM?/,De="January_February_March_April_May_June_July_August_September_October_November_December".split("_"),xe="Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_");function Se(e,t,n){var r,o,i,a=e.toLocaleLowerCase();if(!this._monthsParse)for(this._monthsParse=[],this._longMonthsParse=[],this._shortMonthsParse=[],r=0;r<12;++r)i=f([2e3,r]),this._shortMonthsParse[r]=this.monthsShort(i,"").toLocaleLowerCase(),this._longMonthsParse[r]=this.months(i,"").toLocaleLowerCase();return n?"MMM"===t?-1!==(o=ve.call(this._shortMonthsParse,a))?o:null:-1!==(o=ve.call(this._longMonthsParse,a))?o:null:"MMM"===t?-1!==(o=ve.call(this._shortMonthsParse,a))||-1!==(o=ve.call(this._longMonthsParse,a))?o:null:-1!==(o=ve.call(this._longMonthsParse,a))||-1!==(o=ve.call(this._shortMonthsParse,a))?o:null}function Ye(e,t){var n;if(!e.isValid())return e;if("string"==typeof t)if(/^\d+$/.test(t))t=w(t);else if(!u(t=e.localeData().monthsParse(t)))return e;return n=Math.min(e.date(),Le(e.year(),t)),e._d["set"+(e._isUTC?"UTC":"")+"Month"](t,n),e}function Oe(e){return null!=e?(Ye(this,e),o.updateOffset(this,!0),this):be(this,"Month")}var Ce=ue,Ee=ue;function Ae(){function e(e,t){return t.length-e.length}var t,n,r=[],o=[],i=[];for(t=0;t<12;t++)n=f([2e3,t]),r.push(this.monthsShort(n,"")),o.push(this.months(n,"")),i.push(this.months(n,"")),i.push(this.monthsShort(n,""));for(r.sort(e),o.sort(e),i.sort(e),t=0;t<12;t++)r[t]=he(r[t]),o[t]=he(o[t]);for(t=0;t<24;t++)i[t]=he(i[t]);this._monthsRegex=new RegExp("^("+i.join("|")+")","i"),this._monthsShortRegex=this._monthsRegex,this._monthsStrictRegex=new RegExp("^("+o.join("|")+")","i"),this._monthsShortStrictRegex=new RegExp("^("+r.join("|")+")","i")}function je(e,t,n,r,o,i,a){var s;return e<100&&e>=0?(s=new Date(e+400,t,n,r,o,i,a),isFinite(s.getFullYear())&&s.setFullYear(e)):s=new Date(e,t,n,r,o,i,a),s}function He(e){var t;if(e<100&&e>=0){var n=Array.prototype.slice.call(arguments);n[0]=e+400,t=new Date(Date.UTC.apply(null,n)),isFinite(t.getUTCFullYear())&&t.setUTCFullYear(e)}else t=new Date(Date.UTC.apply(null,arguments));return t}function Pe(e,t,n){var r=7+t-n;return-(7+He(e,0,r).getUTCDay()-t)%7+r-1}function Ne(e,t,n,r,o){var i,a,s=1+7*(t-1)+(7+n-r)%7+Pe(e,r,o);return s<=0?a=ge(i=e-1)+s:s>ge(e)?(i=e+1,a=s-ge(e)):(i=e,a=s),{year:i,dayOfYear:a}}function Re(e,t,n){var r,o,i=Pe(e.year(),t,n),a=Math.floor((e.dayOfYear()-i-1)/7)+1;return a<1?r=a+Fe(o=e.year()-1,t,n):a>Fe(e.year(),t,n)?(r=a-Fe(e.year(),t,n),o=e.year()+1):(o=e.year(),r=a),{week:r,year:o}}function Fe(e,t,n){var r=Pe(e,t,n),o=Pe(e+1,t,n);return(ge(e)-r+o)/7}function Ie(e,t){return e.slice(t,7).concat(e.slice(0,t))}B("w",["ww",2],"wo","week"),B("W",["WW",2],"Wo","isoWeek"),j("week","w"),j("isoWeek","W"),R("week",5),R("isoWeek",5),le("w",X),le("ww",X,J),le("W",X),le("WW",X,J),me(["w","ww","W","WW"],(function(e,t,n,r){t[r.substr(0,1)]=w(e)})),B("d",0,"do","day"),B("dd",0,0,(function(e){return this.localeData().weekdaysMin(this,e)})),B("ddd",0,0,(function(e){return this.localeData().weekdaysShort(this,e)})),B("dddd",0,0,(function(e){return this.localeData().weekdays(this,e)})),B("e",0,0,"weekday"),B("E",0,0,"isoWeekday"),j("day","d"),j("weekday","e"),j("isoWeekday","E"),R("day",11),R("weekday",11),R("isoWeekday",11),le("d",X),le("e",X),le("E",X),le("dd",(function(e,t){return t.weekdaysMinRegex(e)})),le("ddd",(function(e,t){return t.weekdaysShortRegex(e)})),le("dddd",(function(e,t){return t.weekdaysRegex(e)})),me(["dd","ddd","dddd"],(function(e,t,n,r){var o=n._locale.weekdaysParse(e,r,n._strict);null!=o?t.d=o:p(n).invalidWeekday=e})),me(["d","e","E"],(function(e,t,n,r){t[r]=w(e)}));var ze="Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),We="Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),$e="Su_Mo_Tu_We_Th_Fr_Sa".split("_");function Be(e,t,n){var r,o,i,a=e.toLocaleLowerCase();if(!this._weekdaysParse)for(this._weekdaysParse=[],this._shortWeekdaysParse=[],this._minWeekdaysParse=[],r=0;r<7;++r)i=f([2e3,1]).day(r),this._minWeekdaysParse[r]=this.weekdaysMin(i,"").toLocaleLowerCase(),this._shortWeekdaysParse[r]=this.weekdaysShort(i,"").toLocaleLowerCase(),this._weekdaysParse[r]=this.weekdays(i,"").toLocaleLowerCase();return n?"dddd"===t?-1!==(o=ve.call(this._weekdaysParse,a))?o:null:"ddd"===t?-1!==(o=ve.call(this._shortWeekdaysParse,a))?o:null:-1!==(o=ve.call(this._minWeekdaysParse,a))?o:null:"dddd"===t?-1!==(o=ve.call(this._weekdaysParse,a))||-1!==(o=ve.call(this._shortWeekdaysParse,a))||-1!==(o=ve.call(this._minWeekdaysParse,a))?o:null:"ddd"===t?-1!==(o=ve.call(this._shortWeekdaysParse,a))||-1!==(o=ve.call(this._weekdaysParse,a))||-1!==(o=ve.call(this._minWeekdaysParse,a))?o:null:-1!==(o=ve.call(this._minWeekdaysParse,a))||-1!==(o=ve.call(this._weekdaysParse,a))||-1!==(o=ve.call(this._shortWeekdaysParse,a))?o:null}var qe=ue,Ue=ue,Ve=ue;function Je(){function e(e,t){return t.length-e.length}var t,n,r,o,i,a=[],s=[],u=[],c=[];for(t=0;t<7;t++)n=f([2e3,1]).day(t),r=this.weekdaysMin(n,""),o=this.weekdaysShort(n,""),i=this.weekdays(n,""),a.push(r),s.push(o),u.push(i),c.push(r),c.push(o),c.push(i);for(a.sort(e),s.sort(e),u.sort(e),c.sort(e),t=0;t<7;t++)s[t]=he(s[t]),u[t]=he(u[t]),c[t]=he(c[t]);this._weekdaysRegex=new RegExp("^("+c.join("|")+")","i"),this._weekdaysShortRegex=this._weekdaysRegex,this._weekdaysMinRegex=this._weekdaysRegex,this._weekdaysStrictRegex=new RegExp("^("+u.join("|")+")","i"),this._weekdaysShortStrictRegex=new RegExp("^("+s.join("|")+")","i"),this._weekdaysMinStrictRegex=new RegExp("^("+a.join("|")+")","i")}function Ge(){return this.hours()%12||12}function Ke(e,t){B(e,0,0,(function(){return this.localeData().meridiem(this.hours(),this.minutes(),t)}))}function Ze(e,t){return t._meridiemParse}B("H",["HH",2],0,"hour"),B("h",["hh",2],0,Ge),B("k",["kk",2],0,(function(){return this.hours()||24})),B("hmm",0,0,(function(){return""+Ge.apply(this)+F(this.minutes(),2)})),B("hmmss",0,0,(function(){return""+Ge.apply(this)+F(this.minutes(),2)+F(this.seconds(),2)})),B("Hmm",0,0,(function(){return""+this.hours()+F(this.minutes(),2)})),B("Hmmss",0,0,(function(){return""+this.hours()+F(this.minutes(),2)+F(this.seconds(),2)})),Ke("a",!0),Ke("A",!1),j("hour","h"),R("hour",13),le("a",Ze),le("A",Ze),le("H",X),le("h",X),le("k",X),le("HH",X,J),le("hh",X,J),le("kk",X,J),le("hmm",Q),le("hmmss",ee),le("Hmm",Q),le("Hmmss",ee),pe(["H","HH"],3),pe(["k","kk"],(function(e,t,n){var r=w(e);t[3]=24===r?0:r})),pe(["a","A"],(function(e,t,n){n._isPm=n._locale.isPM(e),n._meridiem=e})),pe(["h","hh"],(function(e,t,n){t[3]=w(e),p(n).bigHour=!0})),pe("hmm",(function(e,t,n){var r=e.length-2;t[3]=w(e.substr(0,r)),t[4]=w(e.substr(r)),p(n).bigHour=!0})),pe("hmmss",(function(e,t,n){var r=e.length-4,o=e.length-2;t[3]=w(e.substr(0,r)),t[4]=w(e.substr(r,2)),t[5]=w(e.substr(o)),p(n).bigHour=!0})),pe("Hmm",(function(e,t,n){var r=e.length-2;t[3]=w(e.substr(0,r)),t[4]=w(e.substr(r))})),pe("Hmmss",(function(e,t,n){var r=e.length-4,o=e.length-2;t[3]=w(e.substr(0,r)),t[4]=w(e.substr(r,2)),t[5]=w(e.substr(o))}));var Xe,Qe=ke("Hours",!0),et={calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},longDateFormat:{LTS:"h:mm:ss A",LT:"h:mm A",L:"MM/DD/YYYY",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY h:mm A",LLLL:"dddd, MMMM D, YYYY h:mm A"},invalidDate:"Invalid date",ordinal:"%d",dayOfMonthOrdinalParse:/\d{1,2}/,relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},months:De,monthsShort:xe,week:{dow:0,doy:6},weekdays:ze,weekdaysMin:$e,weekdaysShort:We,meridiemParse:/[ap]\.?m?\.?/i},tt={},nt={};function rt(e){return e?e.toLowerCase().replace("_","-"):e}function ot(t){var r=null;if(!tt[t]&&void 0!==e&&e&&e.exports)try{r=Xe._abbr,n(555)("./"+t),it(r)}catch(e){}return tt[t]}function it(e,t){var n;return e&&((n=s(t)?st(e):at(e,t))?Xe=n:"undefined"!=typeof console&&console.warn&&console.warn("Locale "+e+" not found. Did you forget to load it?")),Xe._abbr}function at(e,t){if(null!==t){var n,r=et;if(t.abbr=e,null!=tt[e])Y("defineLocaleOverride","use moment.updateLocale(localeName, config) to change an existing locale. moment.defineLocale(localeName, config) should only be used for creating a new locale See http://momentjs.com/guides/#/warnings/define-locale/ for more info."),r=tt[e]._config;else if(null!=t.parentLocale)if(null!=tt[t.parentLocale])r=tt[t.parentLocale]._config;else{if(null==(n=ot(t.parentLocale)))return nt[t.parentLocale]||(nt[t.parentLocale]=[]),nt[t.parentLocale].push({name:e,config:t}),null;r=n._config}return tt[e]=new E(C(r,t)),nt[e]&&nt[e].forEach((function(e){at(e.name,e.config)})),it(e),tt[e]}return delete tt[e],null}function st(e){var t;if(e&&e._locale&&e._locale._abbr&&(e=e._locale._abbr),!e)return Xe;if(!i(e)){if(t=ot(e))return t;e=[e]}return function(e){for(var t,n,r,o,i=0;i<e.length;){for(t=(o=rt(e[i]).split("-")).length,n=(n=rt(e[i+1]))?n.split("-"):null;t>0;){if(r=ot(o.slice(0,t).join("-")))return r;if(n&&n.length>=t&&L(o,n,!0)>=t-1)break;t--}i++}return Xe}(e)}function ut(e){var t,n=e._a;return n&&-2===p(e).overflow&&(t=n[1]<0||n[1]>11?1:n[2]<1||n[2]>Le(n[0],n[1])?2:n[3]<0||n[3]>24||24===n[3]&&(0!==n[4]||0!==n[5]||0!==n[6])?3:n[4]<0||n[4]>59?4:n[5]<0||n[5]>59?5:n[6]<0||n[6]>999?6:-1,p(e)._overflowDayOfYear&&(t<0||t>2)&&(t=2),p(e)._overflowWeeks&&-1===t&&(t=7),p(e)._overflowWeekday&&-1===t&&(t=8),p(e).overflow=t),e}function ct(e,t,n){return null!=e?e:null!=t?t:n}function lt(e){var t,n,r,i,a,s=[];if(!e._d){for(r=function(e){var t=new Date(o.now());return e._useUTC?[t.getUTCFullYear(),t.getUTCMonth(),t.getUTCDate()]:[t.getFullYear(),t.getMonth(),t.getDate()]}(e),e._w&&null==e._a[2]&&null==e._a[1]&&function(e){var t,n,r,o,i,a,s,u;if(null!=(t=e._w).GG||null!=t.W||null!=t.E)i=1,a=4,n=ct(t.GG,e._a[0],Re(Tt(),1,4).year),r=ct(t.W,1),((o=ct(t.E,1))<1||o>7)&&(u=!0);else{i=e._locale._week.dow,a=e._locale._week.doy;var c=Re(Tt(),i,a);n=ct(t.gg,e._a[0],c.year),r=ct(t.w,c.week),null!=t.d?((o=t.d)<0||o>6)&&(u=!0):null!=t.e?(o=t.e+i,(t.e<0||t.e>6)&&(u=!0)):o=i}r<1||r>Fe(n,i,a)?p(e)._overflowWeeks=!0:null!=u?p(e)._overflowWeekday=!0:(s=Ne(n,r,o,i,a),e._a[0]=s.year,e._dayOfYear=s.dayOfYear)}(e),null!=e._dayOfYear&&(a=ct(e._a[0],r[0]),(e._dayOfYear>ge(a)||0===e._dayOfYear)&&(p(e)._overflowDayOfYear=!0),n=He(a,0,e._dayOfYear),e._a[1]=n.getUTCMonth(),e._a[2]=n.getUTCDate()),t=0;t<3&&null==e._a[t];++t)e._a[t]=s[t]=r[t];for(;t<7;t++)e._a[t]=s[t]=null==e._a[t]?2===t?1:0:e._a[t];24===e._a[3]&&0===e._a[4]&&0===e._a[5]&&0===e._a[6]&&(e._nextDay=!0,e._a[3]=0),e._d=(e._useUTC?He:je).apply(null,s),i=e._useUTC?e._d.getUTCDay():e._d.getDay(),null!=e._tzm&&e._d.setUTCMinutes(e._d.getUTCMinutes()-e._tzm),e._nextDay&&(e._a[3]=24),e._w&&void 0!==e._w.d&&e._w.d!==i&&(p(e).weekdayMismatch=!0)}}var dt=/^\s*((?:[+-]\d{6}|\d{4})-(?:\d\d-\d\d|W\d\d-\d|W\d\d|\d\d\d|\d\d))(?:(T| )(\d\d(?::\d\d(?::\d\d(?:[.,]\d+)?)?)?)([\+\-]\d\d(?::?\d\d)?|\s*Z)?)?$/,ht=/^\s*((?:[+-]\d{6}|\d{4})(?:\d\d\d\d|W\d\d\d|W\d\d|\d\d\d|\d\d))(?:(T| )(\d\d(?:\d\d(?:\d\d(?:[.,]\d+)?)?)?)([\+\-]\d\d(?::?\d\d)?|\s*Z)?)?$/,ft=/Z|[+-]\d\d(?::?\d\d)?/,pt=[["YYYYYY-MM-DD",/[+-]\d{6}-\d\d-\d\d/],["YYYY-MM-DD",/\d{4}-\d\d-\d\d/],["GGGG-[W]WW-E",/\d{4}-W\d\d-\d/],["GGGG-[W]WW",/\d{4}-W\d\d/,!1],["YYYY-DDD",/\d{4}-\d{3}/],["YYYY-MM",/\d{4}-\d\d/,!1],["YYYYYYMMDD",/[+-]\d{10}/],["YYYYMMDD",/\d{8}/],["GGGG[W]WWE",/\d{4}W\d{3}/],["GGGG[W]WW",/\d{4}W\d{2}/,!1],["YYYYDDD",/\d{7}/]],mt=[["HH:mm:ss.SSSS",/\d\d:\d\d:\d\d\.\d+/],["HH:mm:ss,SSSS",/\d\d:\d\d:\d\d,\d+/],["HH:mm:ss",/\d\d:\d\d:\d\d/],["HH:mm",/\d\d:\d\d/],["HHmmss.SSSS",/\d\d\d\d\d\d\.\d+/],["HHmmss,SSSS",/\d\d\d\d\d\d,\d+/],["HHmmss",/\d\d\d\d\d\d/],["HHmm",/\d\d\d\d/],["HH",/\d\d/]],_t=/^\/?Date\((\-?\d+)/i;function gt(e){var t,n,r,o,i,a,s=e._i,u=dt.exec(s)||ht.exec(s);if(u){for(p(e).iso=!0,t=0,n=pt.length;t<n;t++)if(pt[t][1].exec(u[1])){o=pt[t][0],r=!1!==pt[t][2];break}if(null==o)return void(e._isValid=!1);if(u[3]){for(t=0,n=mt.length;t<n;t++)if(mt[t][1].exec(u[3])){i=(u[2]||" ")+mt[t][0];break}if(null==i)return void(e._isValid=!1)}if(!r&&null!=i)return void(e._isValid=!1);if(u[4]){if(!ft.exec(u[4]))return void(e._isValid=!1);a="Z"}e._f=o+(i||"")+(a||""),bt(e)}else e._isValid=!1}var yt=/^(?:(Mon|Tue|Wed|Thu|Fri|Sat|Sun),?\s)?(\d{1,2})\s(Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec)\s(\d{2,4})\s(\d\d):(\d\d)(?::(\d\d))?\s(?:(UT|GMT|[ECMP][SD]T)|([Zz])|([+-]\d{4}))$/;function vt(e){var t=parseInt(e,10);return t<=49?2e3+t:t<=999?1900+t:t}var Mt={UT:0,GMT:0,EDT:-240,EST:-300,CDT:-300,CST:-360,MDT:-360,MST:-420,PDT:-420,PST:-480};function kt(e){var t,n,r,o,i,a,s,u=yt.exec(e._i.replace(/\([^)]*\)|[\n\t]/g," ").replace(/(\s\s+)/g," ").replace(/^\s\s*/,"").replace(/\s\s*$/,""));if(u){var c=(t=u[4],n=u[3],r=u[2],o=u[5],i=u[6],a=u[7],s=[vt(t),xe.indexOf(n),parseInt(r,10),parseInt(o,10),parseInt(i,10)],a&&s.push(parseInt(a,10)),s);if(!function(e,t,n){return!e||We.indexOf(e)===new Date(t[0],t[1],t[2]).getDay()||(p(n).weekdayMismatch=!0,n._isValid=!1,!1)}(u[1],c,e))return;e._a=c,e._tzm=function(e,t,n){if(e)return Mt[e];if(t)return 0;var r=parseInt(n,10),o=r%100;return(r-o)/100*60+o}(u[8],u[9],u[10]),e._d=He.apply(null,e._a),e._d.setUTCMinutes(e._d.getUTCMinutes()-e._tzm),p(e).rfc2822=!0}else e._isValid=!1}function bt(e){if(e._f!==o.ISO_8601)if(e._f!==o.RFC_2822){e._a=[],p(e).empty=!0;var t,n,r,i,a,s=""+e._i,u=s.length,c=0;for(r=U(e._f,e._locale).match(I)||[],t=0;t<r.length;t++)i=r[t],(n=(s.match(de(i,e))||[])[0])&&((a=s.substr(0,s.indexOf(n))).length>0&&p(e).unusedInput.push(a),s=s.slice(s.indexOf(n)+n.length),c+=n.length),$[i]?(n?p(e).empty=!1:p(e).unusedTokens.push(i),_e(i,n,e)):e._strict&&!n&&p(e).unusedTokens.push(i);p(e).charsLeftOver=u-c,s.length>0&&p(e).unusedInput.push(s),e._a[3]<=12&&!0===p(e).bigHour&&e._a[3]>0&&(p(e).bigHour=void 0),p(e).parsedDateParts=e._a.slice(0),p(e).meridiem=e._meridiem,e._a[3]=function(e,t,n){var r;return null==n?t:null!=e.meridiemHour?e.meridiemHour(t,n):null!=e.isPM?((r=e.isPM(n))&&t<12&&(t+=12),r||12!==t||(t=0),t):t}(e._locale,e._a[3],e._meridiem),lt(e),ut(e)}else kt(e);else gt(e)}function wt(e){var t=e._i,n=e._f;return e._locale=e._locale||st(e._l),null===t||void 0===n&&""===t?_({nullInput:!0}):("string"==typeof t&&(e._i=t=e._locale.preparse(t)),k(t)?new M(ut(t)):(c(t)?e._d=t:i(n)?function(e){var t,n,r,o,i;if(0===e._f.length)return p(e).invalidFormat=!0,void(e._d=new Date(NaN));for(o=0;o<e._f.length;o++)i=0,t=y({},e),null!=e._useUTC&&(t._useUTC=e._useUTC),t._f=e._f[o],bt(t),m(t)&&(i+=p(t).charsLeftOver,i+=10*p(t).unusedTokens.length,p(t).score=i,(null==r||i<r)&&(r=i,n=t));h(e,n||t)}(e):n?bt(e):function(e){var t=e._i;s(t)?e._d=new Date(o.now()):c(t)?e._d=new Date(t.valueOf()):"string"==typeof t?function(e){var t=_t.exec(e._i);null===t?(gt(e),!1===e._isValid&&(delete e._isValid,kt(e),!1===e._isValid&&(delete e._isValid,o.createFromInputFallback(e)))):e._d=new Date(+t[1])}(e):i(t)?(e._a=l(t.slice(0),(function(e){return parseInt(e,10)})),lt(e)):a(t)?function(e){if(!e._d){var t=P(e._i);e._a=l([t.year,t.month,t.day||t.date,t.hour,t.minute,t.second,t.millisecond],(function(e){return e&&parseInt(e,10)})),lt(e)}}(e):u(t)?e._d=new Date(t):o.createFromInputFallback(e)}(e),m(e)||(e._d=null),e))}function Lt(e,t,n,r,o){var s,u={};return!0!==n&&!1!==n||(r=n,n=void 0),(a(e)&&function(e){if(Object.getOwnPropertyNames)return 0===Object.getOwnPropertyNames(e).length;var t;for(t in e)if(e.hasOwnProperty(t))return!1;return!0}(e)||i(e)&&0===e.length)&&(e=void 0),u._isAMomentObject=!0,u._useUTC=u._isUTC=o,u._l=n,u._i=e,u._f=t,u._strict=r,(s=new M(ut(wt(u))))._nextDay&&(s.add(1,"d"),s._nextDay=void 0),s}function Tt(e,t,n,r){return Lt(e,t,n,r,!1)}o.createFromInputFallback=D("value provided is not in a recognized RFC2822 or ISO format. moment construction falls back to js Date(), which is not reliable across all browsers and versions. Non RFC2822/ISO date formats are discouraged and will be removed in an upcoming major release. Please refer to http://momentjs.com/guides/#/warnings/js-date/ for more info.",(function(e){e._d=new Date(e._i+(e._useUTC?" UTC":""))})),o.ISO_8601=function(){},o.RFC_2822=function(){};var Dt=D("moment().min is deprecated, use moment.max instead. http://momentjs.com/guides/#/warnings/min-max/",(function(){var e=Tt.apply(null,arguments);return this.isValid()&&e.isValid()?e<this?this:e:_()})),xt=D("moment().max is deprecated, use moment.min instead. http://momentjs.com/guides/#/warnings/min-max/",(function(){var e=Tt.apply(null,arguments);return this.isValid()&&e.isValid()?e>this?this:e:_()}));function St(e,t){var n,r;if(1===t.length&&i(t[0])&&(t=t[0]),!t.length)return Tt();for(n=t[0],r=1;r<t.length;++r)t[r].isValid()&&!t[r][e](n)||(n=t[r]);return n}var Yt=["year","quarter","month","week","day","hour","minute","second","millisecond"];function Ot(e){var t=P(e),n=t.year||0,r=t.quarter||0,o=t.month||0,i=t.week||t.isoWeek||0,a=t.day||0,s=t.hour||0,u=t.minute||0,c=t.second||0,l=t.millisecond||0;this._isValid=function(e){for(var t in e)if(-1===ve.call(Yt,t)||null!=e[t]&&isNaN(e[t]))return!1;for(var n=!1,r=0;r<Yt.length;++r)if(e[Yt[r]]){if(n)return!1;parseFloat(e[Yt[r]])!==w(e[Yt[r]])&&(n=!0)}return!0}(t),this._milliseconds=+l+1e3*c+6e4*u+1e3*s*60*60,this._days=+a+7*i,this._months=+o+3*r+12*n,this._data={},this._locale=st(),this._bubble()}function Ct(e){return e instanceof Ot}function Et(e){return e<0?-1*Math.round(-1*e):Math.round(e)}function At(e,t){B(e,0,0,(function(){var e=this.utcOffset(),n="+";return e<0&&(e=-e,n="-"),n+F(~~(e/60),2)+t+F(~~e%60,2)}))}At("Z",":"),At("ZZ",""),le("Z",se),le("ZZ",se),pe(["Z","ZZ"],(function(e,t,n){n._useUTC=!0,n._tzm=Ht(se,e)}));var jt=/([\+\-]|\d\d)/gi;function Ht(e,t){var n=(t||"").match(e);if(null===n)return null;var r=((n[n.length-1]||[])+"").match(jt)||["-",0,0],o=60*r[1]+w(r[2]);return 0===o?0:"+"===r[0]?o:-o}function Pt(e,t){var n,r;return t._isUTC?(n=t.clone(),r=(k(e)||c(e)?e.valueOf():Tt(e).valueOf())-n.valueOf(),n._d.setTime(n._d.valueOf()+r),o.updateOffset(n,!1),n):Tt(e).local()}function Nt(e){return 15*-Math.round(e._d.getTimezoneOffset()/15)}function Rt(){return!!this.isValid()&&this._isUTC&&0===this._offset}o.updateOffset=function(){};var Ft=/^(\-|\+)?(?:(\d*)[. ])?(\d+)\:(\d+)(?:\:(\d+)(\.\d*)?)?$/,It=/^(-|\+)?P(?:([-+]?[0-9,.]*)Y)?(?:([-+]?[0-9,.]*)M)?(?:([-+]?[0-9,.]*)W)?(?:([-+]?[0-9,.]*)D)?(?:T(?:([-+]?[0-9,.]*)H)?(?:([-+]?[0-9,.]*)M)?(?:([-+]?[0-9,.]*)S)?)?$/;function zt(e,t){var n,r,o,i,a,s,c=e,l=null;return Ct(e)?c={ms:e._milliseconds,d:e._days,M:e._months}:u(e)?(c={},t?c[t]=e:c.milliseconds=e):(l=Ft.exec(e))?(n="-"===l[1]?-1:1,c={y:0,d:w(l[2])*n,h:w(l[3])*n,m:w(l[4])*n,s:w(l[5])*n,ms:w(Et(1e3*l[6]))*n}):(l=It.exec(e))?(n="-"===l[1]?-1:1,c={y:Wt(l[2],n),M:Wt(l[3],n),w:Wt(l[4],n),d:Wt(l[5],n),h:Wt(l[6],n),m:Wt(l[7],n),s:Wt(l[8],n)}):null==c?c={}:"object"==typeof c&&("from"in c||"to"in c)&&(i=Tt(c.from),a=Tt(c.to),o=i.isValid()&&a.isValid()?(a=Pt(a,i),i.isBefore(a)?s=$t(i,a):((s=$t(a,i)).milliseconds=-s.milliseconds,s.months=-s.months),s):{milliseconds:0,months:0},(c={}).ms=o.milliseconds,c.M=o.months),r=new Ot(c),Ct(e)&&d(e,"_locale")&&(r._locale=e._locale),r}function Wt(e,t){var n=e&&parseFloat(e.replace(",","."));return(isNaN(n)?0:n)*t}function $t(e,t){var n={};return n.months=t.month()-e.month()+12*(t.year()-e.year()),e.clone().add(n.months,"M").isAfter(t)&&--n.months,n.milliseconds=+t-+e.clone().add(n.months,"M"),n}function Bt(e,t){return function(n,r){var o;return null===r||isNaN(+r)||(Y(t,"moment()."+t+"(period, number) is deprecated. Please use moment()."+t+"(number, period). See http://momentjs.com/guides/#/warnings/add-inverted-param/ for more info."),o=n,n=r,r=o),qt(this,zt(n="string"==typeof n?+n:n,r),e),this}}function qt(e,t,n,r){var i=t._milliseconds,a=Et(t._days),s=Et(t._months);e.isValid()&&(r=null==r||r,s&&Ye(e,be(e,"Month")+s*n),a&&we(e,"Date",be(e,"Date")+a*n),i&&e._d.setTime(e._d.valueOf()+i*n),r&&o.updateOffset(e,a||s))}zt.fn=Ot.prototype,zt.invalid=function(){return zt(NaN)};var Ut=Bt(1,"add"),Vt=Bt(-1,"subtract");function Jt(e,t){var n=12*(t.year()-e.year())+(t.month()-e.month()),r=e.clone().add(n,"months");return-(n+(t-r<0?(t-r)/(r-e.clone().add(n-1,"months")):(t-r)/(e.clone().add(n+1,"months")-r)))||0}function Gt(e){var t;return void 0===e?this._locale._abbr:(null!=(t=st(e))&&(this._locale=t),this)}o.defaultFormat="YYYY-MM-DDTHH:mm:ssZ",o.defaultFormatUtc="YYYY-MM-DDTHH:mm:ss[Z]";var Kt=D("moment().lang() is deprecated. Instead, use moment().localeData() to get the language configuration. Use moment().locale() to change languages.",(function(e){return void 0===e?this.localeData():this.locale(e)}));function Zt(){return this._locale}function Xt(e,t){return(e%t+t)%t}function Qt(e,t,n){return e<100&&e>=0?new Date(e+400,t,n)-126227808e5:new Date(e,t,n).valueOf()}function en(e,t,n){return e<100&&e>=0?Date.UTC(e+400,t,n)-126227808e5:Date.UTC(e,t,n)}function tn(e,t){B(0,[e,e.length],0,t)}function nn(e,t,n,r,o){var i;return null==e?Re(this,r,o).year:(t>(i=Fe(e,r,o))&&(t=i),rn.call(this,e,t,n,r,o))}function rn(e,t,n,r,o){var i=Ne(e,t,n,r,o),a=He(i.year,0,i.dayOfYear);return this.year(a.getUTCFullYear()),this.month(a.getUTCMonth()),this.date(a.getUTCDate()),this}B(0,["gg",2],0,(function(){return this.weekYear()%100})),B(0,["GG",2],0,(function(){return this.isoWeekYear()%100})),tn("gggg","weekYear"),tn("ggggg","weekYear"),tn("GGGG","isoWeekYear"),tn("GGGGG","isoWeekYear"),j("weekYear","gg"),j("isoWeekYear","GG"),R("weekYear",1),R("isoWeekYear",1),le("G",ie),le("g",ie),le("GG",X,J),le("gg",X,J),le("GGGG",ne,K),le("gggg",ne,K),le("GGGGG",re,Z),le("ggggg",re,Z),me(["gggg","ggggg","GGGG","GGGGG"],(function(e,t,n,r){t[r.substr(0,2)]=w(e)})),me(["gg","GG"],(function(e,t,n,r){t[r]=o.parseTwoDigitYear(e)})),B("Q",0,"Qo","quarter"),j("quarter","Q"),R("quarter",7),le("Q",V),pe("Q",(function(e,t){t[1]=3*(w(e)-1)})),B("D",["DD",2],"Do","date"),j("date","D"),R("date",9),le("D",X),le("DD",X,J),le("Do",(function(e,t){return e?t._dayOfMonthOrdinalParse||t._ordinalParse:t._dayOfMonthOrdinalParseLenient})),pe(["D","DD"],2),pe("Do",(function(e,t){t[2]=w(e.match(X)[0])}));var on=ke("Date",!0);B("DDD",["DDDD",3],"DDDo","dayOfYear"),j("dayOfYear","DDD"),R("dayOfYear",4),le("DDD",te),le("DDDD",G),pe(["DDD","DDDD"],(function(e,t,n){n._dayOfYear=w(e)})),B("m",["mm",2],0,"minute"),j("minute","m"),R("minute",14),le("m",X),le("mm",X,J),pe(["m","mm"],4);var an=ke("Minutes",!1);B("s",["ss",2],0,"second"),j("second","s"),R("second",15),le("s",X),le("ss",X,J),pe(["s","ss"],5);var sn,un=ke("Seconds",!1);for(B("S",0,0,(function(){return~~(this.millisecond()/100)})),B(0,["SS",2],0,(function(){return~~(this.millisecond()/10)})),B(0,["SSS",3],0,"millisecond"),B(0,["SSSS",4],0,(function(){return 10*this.millisecond()})),B(0,["SSSSS",5],0,(function(){return 100*this.millisecond()})),B(0,["SSSSSS",6],0,(function(){return 1e3*this.millisecond()})),B(0,["SSSSSSS",7],0,(function(){return 1e4*this.millisecond()})),B(0,["SSSSSSSS",8],0,(function(){return 1e5*this.millisecond()})),B(0,["SSSSSSSSS",9],0,(function(){return 1e6*this.millisecond()})),j("millisecond","ms"),R("millisecond",16),le("S",te,V),le("SS",te,J),le("SSS",te,G),sn="SSSS";sn.length<=9;sn+="S")le(sn,oe);function cn(e,t){t[6]=w(1e3*("0."+e))}for(sn="S";sn.length<=9;sn+="S")pe(sn,cn);var ln=ke("Milliseconds",!1);B("z",0,0,"zoneAbbr"),B("zz",0,0,"zoneName");var dn=M.prototype;function hn(e){return e}dn.add=Ut,dn.calendar=function(e,t){var n=e||Tt(),r=Pt(n,this).startOf("day"),i=o.calendarFormat(this,r)||"sameElse",a=t&&(O(t[i])?t[i].call(this,n):t[i]);return this.format(a||this.localeData().calendar(i,this,Tt(n)))},dn.clone=function(){return new M(this)},dn.diff=function(e,t,n){var r,o,i;if(!this.isValid())return NaN;if(!(r=Pt(e,this)).isValid())return NaN;switch(o=6e4*(r.utcOffset()-this.utcOffset()),t=H(t)){case"year":i=Jt(this,r)/12;break;case"month":i=Jt(this,r);break;case"quarter":i=Jt(this,r)/3;break;case"second":i=(this-r)/1e3;break;case"minute":i=(this-r)/6e4;break;case"hour":i=(this-r)/36e5;break;case"day":i=(this-r-o)/864e5;break;case"week":i=(this-r-o)/6048e5;break;default:i=this-r}return n?i:b(i)},dn.endOf=function(e){var t;if(void 0===(e=H(e))||"millisecond"===e||!this.isValid())return this;var n=this._isUTC?en:Qt;switch(e){case"year":t=n(this.year()+1,0,1)-1;break;case"quarter":t=n(this.year(),this.month()-this.month()%3+3,1)-1;break;case"month":t=n(this.year(),this.month()+1,1)-1;break;case"week":t=n(this.year(),this.month(),this.date()-this.weekday()+7)-1;break;case"isoWeek":t=n(this.year(),this.month(),this.date()-(this.isoWeekday()-1)+7)-1;break;case"day":case"date":t=n(this.year(),this.month(),this.date()+1)-1;break;case"hour":t=this._d.valueOf(),t+=36e5-Xt(t+(this._isUTC?0:6e4*this.utcOffset()),36e5)-1;break;case"minute":t=this._d.valueOf(),t+=6e4-Xt(t,6e4)-1;break;case"second":t=this._d.valueOf(),t+=1e3-Xt(t,1e3)-1}return this._d.setTime(t),o.updateOffset(this,!0),this},dn.format=function(e){e||(e=this.isUtc()?o.defaultFormatUtc:o.defaultFormat);var t=q(this,e);return this.localeData().postformat(t)},dn.from=function(e,t){return this.isValid()&&(k(e)&&e.isValid()||Tt(e).isValid())?zt({to:this,from:e}).locale(this.locale()).humanize(!t):this.localeData().invalidDate()},dn.fromNow=function(e){return this.from(Tt(),e)},dn.to=function(e,t){return this.isValid()&&(k(e)&&e.isValid()||Tt(e).isValid())?zt({from:this,to:e}).locale(this.locale()).humanize(!t):this.localeData().invalidDate()},dn.toNow=function(e){return this.to(Tt(),e)},dn.get=function(e){return O(this[e=H(e)])?this[e]():this},dn.invalidAt=function(){return p(this).overflow},dn.isAfter=function(e,t){var n=k(e)?e:Tt(e);return!(!this.isValid()||!n.isValid())&&("millisecond"===(t=H(t)||"millisecond")?this.valueOf()>n.valueOf():n.valueOf()<this.clone().startOf(t).valueOf())},dn.isBefore=function(e,t){var n=k(e)?e:Tt(e);return!(!this.isValid()||!n.isValid())&&("millisecond"===(t=H(t)||"millisecond")?this.valueOf()<n.valueOf():this.clone().endOf(t).valueOf()<n.valueOf())},dn.isBetween=function(e,t,n,r){var o=k(e)?e:Tt(e),i=k(t)?t:Tt(t);return!!(this.isValid()&&o.isValid()&&i.isValid())&&("("===(r=r||"()")[0]?this.isAfter(o,n):!this.isBefore(o,n))&&(")"===r[1]?this.isBefore(i,n):!this.isAfter(i,n))},dn.isSame=function(e,t){var n,r=k(e)?e:Tt(e);return!(!this.isValid()||!r.isValid())&&("millisecond"===(t=H(t)||"millisecond")?this.valueOf()===r.valueOf():(n=r.valueOf(),this.clone().startOf(t).valueOf()<=n&&n<=this.clone().endOf(t).valueOf()))},dn.isSameOrAfter=function(e,t){return this.isSame(e,t)||this.isAfter(e,t)},dn.isSameOrBefore=function(e,t){return this.isSame(e,t)||this.isBefore(e,t)},dn.isValid=function(){return m(this)},dn.lang=Kt,dn.locale=Gt,dn.localeData=Zt,dn.max=xt,dn.min=Dt,dn.parsingFlags=function(){return h({},p(this))},dn.set=function(e,t){if("object"==typeof e)for(var n=function(e){var t=[];for(var n in e)t.push({unit:n,priority:N[n]});return t.sort((function(e,t){return e.priority-t.priority})),t}(e=P(e)),r=0;r<n.length;r++)this[n[r].unit](e[n[r].unit]);else if(O(this[e=H(e)]))return this[e](t);return this},dn.startOf=function(e){var t;if(void 0===(e=H(e))||"millisecond"===e||!this.isValid())return this;var n=this._isUTC?en:Qt;switch(e){case"year":t=n(this.year(),0,1);break;case"quarter":t=n(this.year(),this.month()-this.month()%3,1);break;case"month":t=n(this.year(),this.month(),1);break;case"week":t=n(this.year(),this.month(),this.date()-this.weekday());break;case"isoWeek":t=n(this.year(),this.month(),this.date()-(this.isoWeekday()-1));break;case"day":case"date":t=n(this.year(),this.month(),this.date());break;case"hour":t=this._d.valueOf(),t-=Xt(t+(this._isUTC?0:6e4*this.utcOffset()),36e5);break;case"minute":t=this._d.valueOf(),t-=Xt(t,6e4);break;case"second":t=this._d.valueOf(),t-=Xt(t,1e3)}return this._d.setTime(t),o.updateOffset(this,!0),this},dn.subtract=Vt,dn.toArray=function(){var e=this;return[e.year(),e.month(),e.date(),e.hour(),e.minute(),e.second(),e.millisecond()]},dn.toObject=function(){var e=this;return{years:e.year(),months:e.month(),date:e.date(),hours:e.hours(),minutes:e.minutes(),seconds:e.seconds(),milliseconds:e.milliseconds()}},dn.toDate=function(){return new Date(this.valueOf())},dn.toISOString=function(e){if(!this.isValid())return null;var t=!0!==e,n=t?this.clone().utc():this;return n.year()<0||n.year()>9999?q(n,t?"YYYYYY-MM-DD[T]HH:mm:ss.SSS[Z]":"YYYYYY-MM-DD[T]HH:mm:ss.SSSZ"):O(Date.prototype.toISOString)?t?this.toDate().toISOString():new Date(this.valueOf()+60*this.utcOffset()*1e3).toISOString().replace("Z",q(n,"Z")):q(n,t?"YYYY-MM-DD[T]HH:mm:ss.SSS[Z]":"YYYY-MM-DD[T]HH:mm:ss.SSSZ")},dn.inspect=function(){if(!this.isValid())return"moment.invalid(/* "+this._i+" */)";var e="moment",t="";this.isLocal()||(e=0===this.utcOffset()?"moment.utc":"moment.parseZone",t="Z");var n="["+e+'("]',r=0<=this.year()&&this.year()<=9999?"YYYY":"YYYYYY",o=t+'[")]';return this.format(n+r+"-MM-DD[T]HH:mm:ss.SSS"+o)},dn.toJSON=function(){return this.isValid()?this.toISOString():null},dn.toString=function(){return this.clone().locale("en").format("ddd MMM DD YYYY HH:mm:ss [GMT]ZZ")},dn.unix=function(){return Math.floor(this.valueOf()/1e3)},dn.valueOf=function(){return this._d.valueOf()-6e4*(this._offset||0)},dn.creationData=function(){return{input:this._i,format:this._f,locale:this._locale,isUTC:this._isUTC,strict:this._strict}},dn.year=Me,dn.isLeapYear=function(){return ye(this.year())},dn.weekYear=function(e){return nn.call(this,e,this.week(),this.weekday(),this.localeData()._week.dow,this.localeData()._week.doy)},dn.isoWeekYear=function(e){return nn.call(this,e,this.isoWeek(),this.isoWeekday(),1,4)},dn.quarter=dn.quarters=function(e){return null==e?Math.ceil((this.month()+1)/3):this.month(3*(e-1)+this.month()%3)},dn.month=Oe,dn.daysInMonth=function(){return Le(this.year(),this.month())},dn.week=dn.weeks=function(e){var t=this.localeData().week(this);return null==e?t:this.add(7*(e-t),"d")},dn.isoWeek=dn.isoWeeks=function(e){var t=Re(this,1,4).week;return null==e?t:this.add(7*(e-t),"d")},dn.weeksInYear=function(){var e=this.localeData()._week;return Fe(this.year(),e.dow,e.doy)},dn.isoWeeksInYear=function(){return Fe(this.year(),1,4)},dn.date=on,dn.day=dn.days=function(e){if(!this.isValid())return null!=e?this:NaN;var t=this._isUTC?this._d.getUTCDay():this._d.getDay();return null!=e?(e=function(e,t){return"string"!=typeof e?e:isNaN(e)?"number"==typeof(e=t.weekdaysParse(e))?e:null:parseInt(e,10)}(e,this.localeData()),this.add(e-t,"d")):t},dn.weekday=function(e){if(!this.isValid())return null!=e?this:NaN;var t=(this.day()+7-this.localeData()._week.dow)%7;return null==e?t:this.add(e-t,"d")},dn.isoWeekday=function(e){if(!this.isValid())return null!=e?this:NaN;if(null!=e){var t=function(e,t){return"string"==typeof e?t.weekdaysParse(e)%7||7:isNaN(e)?null:e}(e,this.localeData());return this.day(this.day()%7?t:t-7)}return this.day()||7},dn.dayOfYear=function(e){var t=Math.round((this.clone().startOf("day")-this.clone().startOf("year"))/864e5)+1;return null==e?t:this.add(e-t,"d")},dn.hour=dn.hours=Qe,dn.minute=dn.minutes=an,dn.second=dn.seconds=un,dn.millisecond=dn.milliseconds=ln,dn.utcOffset=function(e,t,n){var r,i=this._offset||0;if(!this.isValid())return null!=e?this:NaN;if(null!=e){if("string"==typeof e){if(null===(e=Ht(se,e)))return this}else Math.abs(e)<16&&!n&&(e*=60);return!this._isUTC&&t&&(r=Nt(this)),this._offset=e,this._isUTC=!0,null!=r&&this.add(r,"m"),i!==e&&(!t||this._changeInProgress?qt(this,zt(e-i,"m"),1,!1):this._changeInProgress||(this._changeInProgress=!0,o.updateOffset(this,!0),this._changeInProgress=null)),this}return this._isUTC?i:Nt(this)},dn.utc=function(e){return this.utcOffset(0,e)},dn.local=function(e){return this._isUTC&&(this.utcOffset(0,e),this._isUTC=!1,e&&this.subtract(Nt(this),"m")),this},dn.parseZone=function(){if(null!=this._tzm)this.utcOffset(this._tzm,!1,!0);else if("string"==typeof this._i){var e=Ht(ae,this._i);null!=e?this.utcOffset(e):this.utcOffset(0,!0)}return this},dn.hasAlignedHourOffset=function(e){return!!this.isValid()&&(e=e?Tt(e).utcOffset():0,(this.utcOffset()-e)%60==0)},dn.isDST=function(){return this.utcOffset()>this.clone().month(0).utcOffset()||this.utcOffset()>this.clone().month(5).utcOffset()},dn.isLocal=function(){return!!this.isValid()&&!this._isUTC},dn.isUtcOffset=function(){return!!this.isValid()&&this._isUTC},dn.isUtc=Rt,dn.isUTC=Rt,dn.zoneAbbr=function(){return this._isUTC?"UTC":""},dn.zoneName=function(){return this._isUTC?"Coordinated Universal Time":""},dn.dates=D("dates accessor is deprecated. Use date instead.",on),dn.months=D("months accessor is deprecated. Use month instead",Oe),dn.years=D("years accessor is deprecated. Use year instead",Me),dn.zone=D("moment().zone is deprecated, use moment().utcOffset instead. http://momentjs.com/guides/#/warnings/zone/",(function(e,t){return null!=e?("string"!=typeof e&&(e=-e),this.utcOffset(e,t),this):-this.utcOffset()})),dn.isDSTShifted=D("isDSTShifted is deprecated. See http://momentjs.com/guides/#/warnings/dst-shifted/ for more information",(function(){if(!s(this._isDSTShifted))return this._isDSTShifted;var e={};if(y(e,this),(e=wt(e))._a){var t=e._isUTC?f(e._a):Tt(e._a);this._isDSTShifted=this.isValid()&&L(e._a,t.toArray())>0}else this._isDSTShifted=!1;return this._isDSTShifted}));var fn=E.prototype;function pn(e,t,n,r){var o=st(),i=f().set(r,t);return o[n](i,e)}function mn(e,t,n){if(u(e)&&(t=e,e=void 0),e=e||"",null!=t)return pn(e,t,n,"month");var r,o=[];for(r=0;r<12;r++)o[r]=pn(e,r,n,"month");return o}function _n(e,t,n,r){"boolean"==typeof e?(u(t)&&(n=t,t=void 0),t=t||""):(n=t=e,e=!1,u(t)&&(n=t,t=void 0),t=t||"");var o,i=st(),a=e?i._week.dow:0;if(null!=n)return pn(t,(n+a)%7,r,"day");var s=[];for(o=0;o<7;o++)s[o]=pn(t,(o+a)%7,r,"day");return s}fn.calendar=function(e,t,n){var r=this._calendar[e]||this._calendar.sameElse;return O(r)?r.call(t,n):r},fn.longDateFormat=function(e){var t=this._longDateFormat[e],n=this._longDateFormat[e.toUpperCase()];return t||!n?t:(this._longDateFormat[e]=n.replace(/MMMM|MM|DD|dddd/g,(function(e){return e.slice(1)})),this._longDateFormat[e])},fn.invalidDate=function(){return this._invalidDate},fn.ordinal=function(e){return this._ordinal.replace("%d",e)},fn.preparse=hn,fn.postformat=hn,fn.relativeTime=function(e,t,n,r){var o=this._relativeTime[n];return O(o)?o(e,t,n,r):o.replace(/%d/i,e)},fn.pastFuture=function(e,t){var n=this._relativeTime[e>0?"future":"past"];return O(n)?n(t):n.replace(/%s/i,t)},fn.set=function(e){var t,n;for(n in e)O(t=e[n])?this[n]=t:this["_"+n]=t;this._config=e,this._dayOfMonthOrdinalParseLenient=new RegExp((this._dayOfMonthOrdinalParse.source||this._ordinalParse.source)+"|"+/\d{1,2}/.source)},fn.months=function(e,t){return e?i(this._months)?this._months[e.month()]:this._months[(this._months.isFormat||Te).test(t)?"format":"standalone"][e.month()]:i(this._months)?this._months:this._months.standalone},fn.monthsShort=function(e,t){return e?i(this._monthsShort)?this._monthsShort[e.month()]:this._monthsShort[Te.test(t)?"format":"standalone"][e.month()]:i(this._monthsShort)?this._monthsShort:this._monthsShort.standalone},fn.monthsParse=function(e,t,n){var r,o,i;if(this._monthsParseExact)return Se.call(this,e,t,n);for(this._monthsParse||(this._monthsParse=[],this._longMonthsParse=[],this._shortMonthsParse=[]),r=0;r<12;r++){if(o=f([2e3,r]),n&&!this._longMonthsParse[r]&&(this._longMonthsParse[r]=new RegExp("^"+this.months(o,"").replace(".","")+"$","i"),this._shortMonthsParse[r]=new RegExp("^"+this.monthsShort(o,"").replace(".","")+"$","i")),n||this._monthsParse[r]||(i="^"+this.months(o,"")+"|^"+this.monthsShort(o,""),this._monthsParse[r]=new RegExp(i.replace(".",""),"i")),n&&"MMMM"===t&&this._longMonthsParse[r].test(e))return r;if(n&&"MMM"===t&&this._shortMonthsParse[r].test(e))return r;if(!n&&this._monthsParse[r].test(e))return r}},fn.monthsRegex=function(e){return this._monthsParseExact?(d(this,"_monthsRegex")||Ae.call(this),e?this._monthsStrictRegex:this._monthsRegex):(d(this,"_monthsRegex")||(this._monthsRegex=Ee),this._monthsStrictRegex&&e?this._monthsStrictRegex:this._monthsRegex)},fn.monthsShortRegex=function(e){return this._monthsParseExact?(d(this,"_monthsRegex")||Ae.call(this),e?this._monthsShortStrictRegex:this._monthsShortRegex):(d(this,"_monthsShortRegex")||(this._monthsShortRegex=Ce),this._monthsShortStrictRegex&&e?this._monthsShortStrictRegex:this._monthsShortRegex)},fn.week=function(e){return Re(e,this._week.dow,this._week.doy).week},fn.firstDayOfYear=function(){return this._week.doy},fn.firstDayOfWeek=function(){return this._week.dow},fn.weekdays=function(e,t){var n=i(this._weekdays)?this._weekdays:this._weekdays[e&&!0!==e&&this._weekdays.isFormat.test(t)?"format":"standalone"];return!0===e?Ie(n,this._week.dow):e?n[e.day()]:n},fn.weekdaysMin=function(e){return!0===e?Ie(this._weekdaysMin,this._week.dow):e?this._weekdaysMin[e.day()]:this._weekdaysMin},fn.weekdaysShort=function(e){return!0===e?Ie(this._weekdaysShort,this._week.dow):e?this._weekdaysShort[e.day()]:this._weekdaysShort},fn.weekdaysParse=function(e,t,n){var r,o,i;if(this._weekdaysParseExact)return Be.call(this,e,t,n);for(this._weekdaysParse||(this._weekdaysParse=[],this._minWeekdaysParse=[],this._shortWeekdaysParse=[],this._fullWeekdaysParse=[]),r=0;r<7;r++){if(o=f([2e3,1]).day(r),n&&!this._fullWeekdaysParse[r]&&(this._fullWeekdaysParse[r]=new RegExp("^"+this.weekdays(o,"").replace(".","\\.?")+"$","i"),this._shortWeekdaysParse[r]=new RegExp("^"+this.weekdaysShort(o,"").replace(".","\\.?")+"$","i"),this._minWeekdaysParse[r]=new RegExp("^"+this.weekdaysMin(o,"").replace(".","\\.?")+"$","i")),this._weekdaysParse[r]||(i="^"+this.weekdays(o,"")+"|^"+this.weekdaysShort(o,"")+"|^"+this.weekdaysMin(o,""),this._weekdaysParse[r]=new RegExp(i.replace(".",""),"i")),n&&"dddd"===t&&this._fullWeekdaysParse[r].test(e))return r;if(n&&"ddd"===t&&this._shortWeekdaysParse[r].test(e))return r;if(n&&"dd"===t&&this._minWeekdaysParse[r].test(e))return r;if(!n&&this._weekdaysParse[r].test(e))return r}},fn.weekdaysRegex=function(e){return this._weekdaysParseExact?(d(this,"_weekdaysRegex")||Je.call(this),e?this._weekdaysStrictRegex:this._weekdaysRegex):(d(this,"_weekdaysRegex")||(this._weekdaysRegex=qe),this._weekdaysStrictRegex&&e?this._weekdaysStrictRegex:this._weekdaysRegex)},fn.weekdaysShortRegex=function(e){return this._weekdaysParseExact?(d(this,"_weekdaysRegex")||Je.call(this),e?this._weekdaysShortStrictRegex:this._weekdaysShortRegex):(d(this,"_weekdaysShortRegex")||(this._weekdaysShortRegex=Ue),this._weekdaysShortStrictRegex&&e?this._weekdaysShortStrictRegex:this._weekdaysShortRegex)},fn.weekdaysMinRegex=function(e){return this._weekdaysParseExact?(d(this,"_weekdaysRegex")||Je.call(this),e?this._weekdaysMinStrictRegex:this._weekdaysMinRegex):(d(this,"_weekdaysMinRegex")||(this._weekdaysMinRegex=Ve),this._weekdaysMinStrictRegex&&e?this._weekdaysMinStrictRegex:this._weekdaysMinRegex)},fn.isPM=function(e){return"p"===(e+"").toLowerCase().charAt(0)},fn.meridiem=function(e,t,n){return e>11?n?"pm":"PM":n?"am":"AM"},it("en",{dayOfMonthOrdinalParse:/\d{1,2}(th|st|nd|rd)/,ordinal:function(e){var t=e%10;return e+(1===w(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")}}),o.lang=D("moment.lang is deprecated. Use moment.locale instead.",it),o.langData=D("moment.langData is deprecated. Use moment.localeData instead.",st);var gn=Math.abs;function yn(e,t,n,r){var o=zt(t,n);return e._milliseconds+=r*o._milliseconds,e._days+=r*o._days,e._months+=r*o._months,e._bubble()}function vn(e){return e<0?Math.floor(e):Math.ceil(e)}function Mn(e){return 4800*e/146097}function kn(e){return 146097*e/4800}function bn(e){return function(){return this.as(e)}}var wn=bn("ms"),Ln=bn("s"),Tn=bn("m"),Dn=bn("h"),xn=bn("d"),Sn=bn("w"),Yn=bn("M"),On=bn("Q"),Cn=bn("y");function En(e){return function(){return this.isValid()?this._data[e]:NaN}}var An=En("milliseconds"),jn=En("seconds"),Hn=En("minutes"),Pn=En("hours"),Nn=En("days"),Rn=En("months"),Fn=En("years"),In=Math.round,zn={ss:44,s:45,m:45,h:22,d:26,M:11};function Wn(e,t,n,r,o){return o.relativeTime(t||1,!!n,e,r)}var $n=Math.abs;function Bn(e){return(e>0)-(e<0)||+e}function qn(){if(!this.isValid())return this.localeData().invalidDate();var e,t,n=$n(this._milliseconds)/1e3,r=$n(this._days),o=$n(this._months);e=b(n/60),t=b(e/60),n%=60,e%=60;var i=b(o/12),a=o%=12,s=r,u=t,c=e,l=n?n.toFixed(3).replace(/\.?0+$/,""):"",d=this.asSeconds();if(!d)return"P0D";var h=d<0?"-":"",f=Bn(this._months)!==Bn(d)?"-":"",p=Bn(this._days)!==Bn(d)?"-":"",m=Bn(this._milliseconds)!==Bn(d)?"-":"";return h+"P"+(i?f+i+"Y":"")+(a?f+a+"M":"")+(s?p+s+"D":"")+(u||c||l?"T":"")+(u?m+u+"H":"")+(c?m+c+"M":"")+(l?m+l+"S":"")}var Un=Ot.prototype;return Un.isValid=function(){return this._isValid},Un.abs=function(){var e=this._data;return this._milliseconds=gn(this._milliseconds),this._days=gn(this._days),this._months=gn(this._months),e.milliseconds=gn(e.milliseconds),e.seconds=gn(e.seconds),e.minutes=gn(e.minutes),e.hours=gn(e.hours),e.months=gn(e.months),e.years=gn(e.years),this},Un.add=function(e,t){return yn(this,e,t,1)},Un.subtract=function(e,t){return yn(this,e,t,-1)},Un.as=function(e){if(!this.isValid())return NaN;var t,n,r=this._milliseconds;if("month"===(e=H(e))||"quarter"===e||"year"===e)switch(t=this._days+r/864e5,n=this._months+Mn(t),e){case"month":return n;case"quarter":return n/3;case"year":return n/12}else switch(t=this._days+Math.round(kn(this._months)),e){case"week":return t/7+r/6048e5;case"day":return t+r/864e5;case"hour":return 24*t+r/36e5;case"minute":return 1440*t+r/6e4;case"second":return 86400*t+r/1e3;case"millisecond":return Math.floor(864e5*t)+r;default:throw new Error("Unknown unit "+e)}},Un.asMilliseconds=wn,Un.asSeconds=Ln,Un.asMinutes=Tn,Un.asHours=Dn,Un.asDays=xn,Un.asWeeks=Sn,Un.asMonths=Yn,Un.asQuarters=On,Un.asYears=Cn,Un.valueOf=function(){return this.isValid()?this._milliseconds+864e5*this._days+this._months%12*2592e6+31536e6*w(this._months/12):NaN},Un._bubble=function(){var e,t,n,r,o,i=this._milliseconds,a=this._days,s=this._months,u=this._data;return i>=0&&a>=0&&s>=0||i<=0&&a<=0&&s<=0||(i+=864e5*vn(kn(s)+a),a=0,s=0),u.milliseconds=i%1e3,e=b(i/1e3),u.seconds=e%60,t=b(e/60),u.minutes=t%60,n=b(t/60),u.hours=n%24,a+=b(n/24),o=b(Mn(a)),s+=o,a-=vn(kn(o)),r=b(s/12),s%=12,u.days=a,u.months=s,u.years=r,this},Un.clone=function(){return zt(this)},Un.get=function(e){return e=H(e),this.isValid()?this[e+"s"]():NaN},Un.milliseconds=An,Un.seconds=jn,Un.minutes=Hn,Un.hours=Pn,Un.days=Nn,Un.weeks=function(){return b(this.days()/7)},Un.months=Rn,Un.years=Fn,Un.humanize=function(e){if(!this.isValid())return this.localeData().invalidDate();var t=this.localeData(),n=function(e,t,n){var r=zt(e).abs(),o=In(r.as("s")),i=In(r.as("m")),a=In(r.as("h")),s=In(r.as("d")),u=In(r.as("M")),c=In(r.as("y")),l=o<=zn.ss&&["s",o]||o<zn.s&&["ss",o]||i<=1&&["m"]||i<zn.m&&["mm",i]||a<=1&&["h"]||a<zn.h&&["hh",a]||s<=1&&["d"]||s<zn.d&&["dd",s]||u<=1&&["M"]||u<zn.M&&["MM",u]||c<=1&&["y"]||["yy",c];return l[2]=t,l[3]=+e>0,l[4]=n,Wn.apply(null,l)}(this,!e,t);return e&&(n=t.pastFuture(+this,n)),t.postformat(n)},Un.toISOString=qn,Un.toString=qn,Un.toJSON=qn,Un.locale=Gt,Un.localeData=Zt,Un.toIsoString=D("toIsoString() is deprecated. Please use toISOString() instead (notice the capitals)",qn),Un.lang=Kt,B("X",0,0,"unix"),B("x",0,0,"valueOf"),le("x",ie),le("X",/[+-]?\d+(\.\d{1,3})?/),pe("X",(function(e,t,n){n._d=new Date(1e3*parseFloat(e,10))})),pe("x",(function(e,t,n){n._d=new Date(w(e))})),o.version="2.24.0",t=Tt,o.fn=dn,o.min=function(){var e=[].slice.call(arguments,0);return St("isBefore",e)},o.max=function(){var e=[].slice.call(arguments,0);return St("isAfter",e)},o.now=function(){return Date.now?Date.now():+new Date},o.utc=f,o.unix=function(e){return Tt(1e3*e)},o.months=function(e,t){return mn(e,t,"months")},o.isDate=c,o.locale=it,o.invalid=_,o.duration=zt,o.isMoment=k,o.weekdays=function(e,t,n){return _n(e,t,n,"weekdays")},o.parseZone=function(){return Tt.apply(null,arguments).parseZone()},o.localeData=st,o.isDuration=Ct,o.monthsShort=function(e,t){return mn(e,t,"monthsShort")},o.weekdaysMin=function(e,t,n){return _n(e,t,n,"weekdaysMin")},o.defineLocale=at,o.updateLocale=function(e,t){if(null!=t){var n,r,o=et;null!=(r=ot(e))&&(o=r._config),t=C(o,t),(n=new E(t)).parentLocale=tt[e],tt[e]=n,it(e)}else null!=tt[e]&&(null!=tt[e].parentLocale?tt[e]=tt[e].parentLocale:null!=tt[e]&&delete tt[e]);return tt[e]},o.locales=function(){return x(tt)},o.weekdaysShort=function(e,t,n){return _n(e,t,n,"weekdaysShort")},o.normalizeUnits=H,o.relativeTimeRounding=function(e){return void 0===e?In:"function"==typeof e&&(In=e,!0)},o.relativeTimeThreshold=function(e,t){return void 0!==zn[e]&&(void 0===t?zn[e]:(zn[e]=t,"s"===e&&(zn.ss=t-1),!0))},o.calendarFormat=function(e,t){var n=e.diff(t,"days",!0);return n<-6?"sameElse":n<-1?"lastWeek":n<0?"lastDay":n<1?"sameDay":n<2?"nextDay":n<7?"nextWeek":"sameElse"},o.prototype=dn,o.HTML5_FMT={DATETIME_LOCAL:"YYYY-MM-DDTHH:mm",DATETIME_LOCAL_SECONDS:"YYYY-MM-DDTHH:mm:ss",DATETIME_LOCAL_MS:"YYYY-MM-DDTHH:mm:ss.SSS",DATE:"YYYY-MM-DD",TIME:"HH:mm",TIME_SECONDS:"HH:mm:ss",TIME_MS:"HH:mm:ss.SSS",WEEK:"GGGG-[W]WW",MONTH:"YYYY-MM"},o}()}).call(this,n(224)(e))},function(e,t,n){"use strict";var r=Object.prototype.hasOwnProperty;function o(e,t){return r.call(e,t)}function i(e){return!(e>=55296&&e<=57343)&&(!(e>=64976&&e<=65007)&&(65535!=(65535&e)&&65534!=(65535&e)&&(!(e>=0&&e<=8)&&(11!==e&&(!(e>=14&&e<=31)&&(!(e>=127&&e<=159)&&!(e>1114111)))))))}function a(e){if(e>65535){var t=55296+((e-=65536)>>10),n=56320+(1023&e);return String.fromCharCode(t,n)}return String.fromCharCode(e)}var s=/\\([!"#$%&'()*+,\-.\/:;<=>?@[\\\]^_`{|}~])/g,u=new RegExp(s.source+"|"+/&([a-z#][a-z0-9]{1,31});/gi.source,"gi"),c=/^#((?:x[a-f0-9]{1,8}|[0-9]{1,8}))/i,l=n(354);var d=/[&<>"]/,h=/[&<>"]/g,f={"&":"&amp;","<":"&lt;",">":"&gt;",'"':"&quot;"};function p(e){return f[e]}var m=/[.?*+^$[\]\\(){}|-]/g;var _=n(200);t.lib={},t.lib.mdurl=n(355),t.lib.ucmicro=n(571),t.assign=function(e){var t=Array.prototype.slice.call(arguments,1);return t.forEach((function(t){if(t){if("object"!=typeof t)throw new TypeError(t+"must be object");Object.keys(t).forEach((function(n){e[n]=t[n]}))}})),e},t.isString=function(e){return"[object String]"===function(e){return Object.prototype.toString.call(e)}(e)},t.has=o,t.unescapeMd=function(e){return e.indexOf("\\")<0?e:e.replace(s,"$1")},t.unescapeAll=function(e){return e.indexOf("\\")<0&&e.indexOf("&")<0?e:e.replace(u,(function(e,t,n){return t||function(e,t){var n=0;return o(l,t)?l[t]:35===t.charCodeAt(0)&&c.test(t)&&i(n="x"===t[1].toLowerCase()?parseInt(t.slice(2),16):parseInt(t.slice(1),10))?a(n):e}(e,n)}))},t.isValidEntityCode=i,t.fromCodePoint=a,t.escapeHtml=function(e){return d.test(e)?e.replace(h,p):e},t.arrayReplaceAt=function(e,t,n){return[].concat(e.slice(0,t),n,e.slice(t+1))},t.isSpace=function(e){switch(e){case 9:case 32:return!0}return!1},t.isWhiteSpace=function(e){if(e>=8192&&e<=8202)return!0;switch(e){case 9:case 10:case 11:case 12:case 13:case 32:case 160:case 5760:case 8239:case 8287:case 12288:return!0}return!1},t.isMdAsciiPunct=function(e){switch(e){case 33:case 34:case 35:case 36:case 37:case 38:case 39:case 40:case 41:case 42:case 43:case 44:case 45:case 46:case 47:case 58:case 59:case 60:case 61:case 62:case 63:case 64:case 91:case 92:case 93:case 94:case 95:case 96:case 123:case 124:case 125:case 126:return!0;default:return!1}},t.isPunctChar=function(e){return _.test(e)},t.escapeRE=function(e){return e.replace(m,"\\$&")},t.normalizeReference=function(e){return e.trim().replace(/\s+/g," ").toUpperCase()}},function(e,t,n){"use strict";n.d(t,"a",(function(){return L})),n.d(t,"b",(function(){return y})),n.d(t,"c",(function(){return h})),n.d(t,"d",(function(){return l})),n.d(t,"e",(function(){return _})),n.d(t,"f",(function(){return g})),n.d(t,"g",(function(){return m}));var r=n(184),o=n(182),i=n(181);function a(e,t){return!e.selection.empty&&(t&&t(e.tr.deleteSelection().scrollIntoView()),!0)}function s(e,t){for(;e;e="start"==t?e.firstChild:e.lastChild)if(e.isTextblock)return!0;return!1}function u(e){if(!e.parent.type.spec.isolating)for(var t=e.depth-1;t>=0;t--){if(e.index(t)>0)return e.doc.resolve(e.before(t+1));if(e.node(t).type.spec.isolating)break}return null}function c(e){if(!e.parent.type.spec.isolating)for(var t=e.depth-1;t>=0;t--){var n=e.node(t);if(e.index(t)+1<n.childCount)return e.doc.resolve(e.after(t+1));if(n.type.spec.isolating)break}return null}function l(e,t){var n=e.selection,o=n.$from,i=n.$to,a=o.blockRange(i),s=a&&Object(r.k)(a);return null!=s&&(t&&t(e.tr.lift(a,s).scrollIntoView()),!0)}function d(e){for(var t=0;t<e.edgeCount;t++){var n=e.edge(t).type;if(n.isTextblock&&!n.hasRequiredAttrs())return n}return null}function h(e,t){var n=e.selection,r=n.$head,o=n.$anchor;if(!r.parent.type.spec.code||!r.sameParent(o))return!1;var a=r.node(-1),s=r.indexAfter(-1),u=d(a.contentMatchAt(s));if(!a.canReplaceWith(s,s,u))return!1;if(t){var c=r.after(),l=e.tr.replaceWith(c,c,u.createAndFill());l.setSelection(i.Selection.near(l.doc.resolve(c),1)),t(l.scrollIntoView())}return!0}function f(e,t){var n=e.selection,a=n.$from,s=n.$to;if(e.selection instanceof i.NodeSelection&&e.selection.node.isBlock)return!(!a.parentOffset||!Object(r.g)(e.doc,a.pos))&&(t&&t(e.tr.split(a.pos).scrollIntoView()),!0);if(!a.parent.isBlock)return!1;if(t){var u=s.parentOffset==s.parent.content.size,c=e.tr;e.selection instanceof i.TextSelection&&c.deleteSelection();var l=0==a.depth?null:d(a.node(-1).contentMatchAt(a.indexAfter(-1))),h=u&&l?[{type:l}]:null,f=Object(r.g)(c.doc,c.mapping.map(a.pos),1,h);h||f||!Object(r.g)(c.doc,c.mapping.map(a.pos),1,l&&[{type:l}])||(h=[{type:l}],f=!0),f&&(c.split(c.mapping.map(a.pos),1,h),u||a.parentOffset||a.parent.type==l||!a.node(-1).canReplace(a.index(-1),a.indexAfter(-1),o.Fragment.from(l.create(),a.parent))||c.setNodeMarkup(c.mapping.map(a.before()),l)),t(c.scrollIntoView())}return!0}function p(e,t,n){var a,s,u=t.nodeBefore,c=t.nodeAfter;if(u.type.spec.isolating||c.type.spec.isolating)return!1;if(function(e,t,n){var o=t.nodeBefore,i=t.nodeAfter,a=t.index();return!!(o&&i&&o.type.compatibleContent(i.type))&&(!o.content.size&&t.parent.canReplace(a-1,a)?(n&&n(e.tr.delete(t.pos-o.nodeSize,t.pos).scrollIntoView()),!0):!(!t.parent.canReplace(a,a+1)||!i.isTextblock&&!Object(r.f)(e.doc,t.pos))&&(n&&n(e.tr.clearIncompatible(t.pos,o.type,o.contentMatchAt(o.childCount)).join(t.pos).scrollIntoView()),!0))}(e,t,n))return!0;if(t.parent.canReplace(t.index(),t.index()+1)&&(a=(s=u.contentMatchAt(u.childCount)).findWrapping(c.type))&&s.matchType(a[0]||c.type).validEnd){if(n){for(var l=t.pos+c.nodeSize,d=o.Fragment.empty,h=a.length-1;h>=0;h--)d=o.Fragment.from(a[h].create(null,d));d=o.Fragment.from(u.copy(d));var f=e.tr.step(new r.b(t.pos-1,l,t.pos,l,new o.Slice(d,1,0),a.length,!0)),p=l+2*a.length;Object(r.f)(f.doc,p)&&f.join(p),n(f.scrollIntoView())}return!0}var m=i.Selection.findFrom(t,1),_=m&&m.$from.blockRange(m.$to),g=_&&Object(r.k)(_);return null!=g&&g>=t.depth&&(n&&n(e.tr.lift(_,g).scrollIntoView()),!0)}function m(e,t){return function(n,o){var i=n.selection,a=i.$from,s=i.$to,u=a.blockRange(s),c=u&&Object(r.i)(u,e,t);return!!c&&(o&&o(n.tr.wrap(u,c).scrollIntoView()),!0)}}function _(e,t){return function(n,r){var o=n.selection,i=o.from,a=o.to,s=!1;return n.doc.nodesBetween(i,a,(function(r,o){if(s)return!1;if(r.isTextblock&&!r.hasMarkup(e,t))if(r.type==e)s=!0;else{var i=n.doc.resolve(o),a=i.index();s=i.parent.canReplaceWith(a,a+1,e)}})),!!s&&(r&&r(n.tr.setBlockType(i,a,e,t).scrollIntoView()),!0)}}function g(e,t){return function(n,r){var o=n.selection,i=o.empty,a=o.$cursor,s=o.ranges;if(i&&!a||!function(e,t,n){for(var r=function(r){var o=t[r],i=o.$from,a=o.$to,s=0==i.depth&&e.type.allowsMarkType(n);if(e.nodesBetween(i.pos,a.pos,(function(e){if(s)return!1;s=e.inlineContent&&e.type.allowsMarkType(n)})),s)return{v:!0}},o=0;o<t.length;o++){var i=r(o);if(i)return i.v}return!1}(n.doc,s,e))return!1;if(r)if(a)e.isInSet(n.storedMarks||a.marks())?r(n.tr.removeStoredMark(e)):r(n.tr.addStoredMark(e.create(t)));else{for(var u=!1,c=n.tr,l=0;!u&&l<s.length;l++){var d=s[l],h=d.$from,f=d.$to;u=n.doc.rangeHasMark(h.pos,f.pos,e)}for(var p=0;p<s.length;p++){var m=s[p],_=m.$from,g=m.$to;u?c.removeMark(_.pos,g.pos,e):c.addMark(_.pos,g.pos,e.create(t))}r(c.scrollIntoView())}return!0}}function y(){for(var e=[],t=arguments.length;t--;)e[t]=arguments[t];return function(t,n,r){for(var o=0;o<e.length;o++)if(e[o](t,n,r))return!0;return!1}}var v=y(a,(function(e,t,n){var o=e.selection.$cursor;if(!o||(n?!n.endOfTextblock("backward",e):o.parentOffset>0))return!1;var a=u(o);if(!a){var c=o.blockRange(),l=c&&Object(r.k)(c);return null!=l&&(t&&t(e.tr.lift(c,l).scrollIntoView()),!0)}var d=a.nodeBefore;if(!d.type.spec.isolating&&p(e,a,t))return!0;if(0==o.parent.content.size&&(s(d,"end")||i.NodeSelection.isSelectable(d))){if(t){var h=e.tr.deleteRange(o.before(),o.after());h.setSelection(s(d,"end")?i.Selection.findFrom(h.doc.resolve(h.mapping.map(a.pos,-1)),-1):i.NodeSelection.create(h.doc,a.pos-d.nodeSize)),t(h.scrollIntoView())}return!0}return!(!d.isAtom||a.depth!=o.depth-1)&&(t&&t(e.tr.delete(a.pos-d.nodeSize,a.pos).scrollIntoView()),!0)}),(function(e,t,n){var r=e.selection,o=r.$head,a=o;if(!r.empty)return!1;if(o.parent.isTextblock){if(n?!n.endOfTextblock("backward",e):o.parentOffset>0)return!1;a=u(o)}var s=a&&a.nodeBefore;return!(!s||!i.NodeSelection.isSelectable(s))&&(t&&t(e.tr.setSelection(i.NodeSelection.create(e.doc,a.pos-s.nodeSize)).scrollIntoView()),!0)})),M=y(a,(function(e,t,n){var r=e.selection.$cursor;if(!r||(n?!n.endOfTextblock("forward",e):r.parentOffset<r.parent.content.size))return!1;var o=c(r);if(!o)return!1;var a=o.nodeAfter;if(p(e,o,t))return!0;if(0==r.parent.content.size&&(s(a,"start")||i.NodeSelection.isSelectable(a))){if(t){var u=e.tr.deleteRange(r.before(),r.after());u.setSelection(s(a,"start")?i.Selection.findFrom(u.doc.resolve(u.mapping.map(o.pos)),1):i.NodeSelection.create(u.doc,u.mapping.map(o.pos))),t(u.scrollIntoView())}return!0}return!(!a.isAtom||o.depth!=r.depth-1)&&(t&&t(e.tr.delete(o.pos,o.pos+a.nodeSize).scrollIntoView()),!0)}),(function(e,t,n){var r=e.selection,o=r.$head,a=o;if(!r.empty)return!1;if(o.parent.isTextblock){if(n?!n.endOfTextblock("forward",e):o.parentOffset<o.parent.content.size)return!1;a=c(o)}var s=a&&a.nodeAfter;return!(!s||!i.NodeSelection.isSelectable(s))&&(t&&t(e.tr.setSelection(i.NodeSelection.create(e.doc,a.pos)).scrollIntoView()),!0)})),k={Enter:y((function(e,t){var n=e.selection,r=n.$head,o=n.$anchor;return!(!r.parent.type.spec.code||!r.sameParent(o))&&(t&&t(e.tr.insertText("\n").scrollIntoView()),!0)}),(function(e,t){var n=e.selection,r=n.$from,o=n.$to;if(r.parent.inlineContent||o.parent.inlineContent)return!1;var a=d(r.parent.contentMatchAt(o.indexAfter()));if(!a||!a.isTextblock)return!1;if(t){var s=(!r.parentOffset&&o.index()<o.parent.childCount?r:o).pos,u=e.tr.insert(s,a.createAndFill());u.setSelection(i.TextSelection.create(u.doc,s+1)),t(u.scrollIntoView())}return!0}),(function(e,t){var n=e.selection.$cursor;if(!n||n.parent.content.size)return!1;if(n.depth>1&&n.after()!=n.end(-1)){var o=n.before();if(Object(r.g)(e.doc,o))return t&&t(e.tr.split(o).scrollIntoView()),!0}var i=n.blockRange(),a=i&&Object(r.k)(i);return null!=a&&(t&&t(e.tr.lift(i,a).scrollIntoView()),!0)}),f),"Mod-Enter":h,Backspace:v,"Mod-Backspace":v,Delete:M,"Mod-Delete":M,"Mod-a":function(e,t){return t&&t(e.tr.setSelection(new i.AllSelection(e.doc))),!0}},b={"Ctrl-h":k.Backspace,"Alt-Backspace":k["Mod-Backspace"],"Ctrl-d":k.Delete,"Ctrl-Alt-Backspace":k["Mod-Delete"],"Alt-Delete":k["Mod-Delete"],"Alt-d":k["Mod-Delete"]};for(var w in k)b[w]=k[w];var L=("undefined"!=typeof navigator?/Mac/.test(navigator.platform):"undefined"!=typeof os&&"darwin"==os.platform())?b:k},function(e,t,n){"use strict";n.r(t),n.d(t,"AllSelection",(function(){return f})),n.d(t,"EditorState",(function(){return b})),n.d(t,"NodeSelection",(function(){return d})),n.d(t,"Plugin",(function(){return T})),n.d(t,"PluginKey",(function(){return S})),n.d(t,"Selection",(function(){return a})),n.d(t,"SelectionRange",(function(){return u})),n.d(t,"TextSelection",(function(){return c})),n.d(t,"Transaction",(function(){return g}));var r=n(182),o=n(184),i=Object.create(null),a=function(e,t,n){this.ranges=n||[new u(e.min(t),e.max(t))],this.$anchor=e,this.$head=t},s={anchor:{configurable:!0},head:{configurable:!0},from:{configurable:!0},to:{configurable:!0},$from:{configurable:!0},$to:{configurable:!0},empty:{configurable:!0}};s.anchor.get=function(){return this.$anchor.pos},s.head.get=function(){return this.$head.pos},s.from.get=function(){return this.$from.pos},s.to.get=function(){return this.$to.pos},s.$from.get=function(){return this.ranges[0].$from},s.$to.get=function(){return this.ranges[0].$to},s.empty.get=function(){for(var e=this.ranges,t=0;t<e.length;t++)if(e[t].$from.pos!=e[t].$to.pos)return!1;return!0},a.prototype.content=function(){return this.$from.node(0).slice(this.from,this.to,!0)},a.prototype.replace=function(e,t){void 0===t&&(t=r.Slice.empty);for(var n=t.content.lastChild,o=null,i=0;i<t.openEnd;i++)o=n,n=n.lastChild;for(var a=e.steps.length,s=this.ranges,u=0;u<s.length;u++){var c=s[u],l=c.$from,d=c.$to,h=e.mapping.slice(a);e.replaceRange(h.map(l.pos),h.map(d.pos),u?r.Slice.empty:t),0==u&&_(e,a,(n?n.isInline:o&&o.isTextblock)?-1:1)}},a.prototype.replaceWith=function(e,t){for(var n=e.steps.length,r=this.ranges,o=0;o<r.length;o++){var i=r[o],a=i.$from,s=i.$to,u=e.mapping.slice(n),c=u.map(a.pos),l=u.map(s.pos);o?e.deleteRange(c,l):(e.replaceRangeWith(c,l,t),_(e,n,t.isInline?-1:1))}},a.findFrom=function(e,t,n){var r=e.parent.inlineContent?new c(e):m(e.node(0),e.parent,e.pos,e.index(),t,n);if(r)return r;for(var o=e.depth-1;o>=0;o--){var i=t<0?m(e.node(0),e.node(o),e.before(o+1),e.index(o),t,n):m(e.node(0),e.node(o),e.after(o+1),e.index(o)+1,t,n);if(i)return i}},a.near=function(e,t){return void 0===t&&(t=1),this.findFrom(e,t)||this.findFrom(e,-t)||new f(e.node(0))},a.atStart=function(e){return m(e,e,0,0,1)||new f(e)},a.atEnd=function(e){return m(e,e,e.content.size,e.childCount,-1)||new f(e)},a.fromJSON=function(e,t){if(!t||!t.type)throw new RangeError("Invalid input for Selection.fromJSON");var n=i[t.type];if(!n)throw new RangeError("No selection type "+t.type+" defined");return n.fromJSON(e,t)},a.jsonID=function(e,t){if(e in i)throw new RangeError("Duplicate use of selection JSON ID "+e);return i[e]=t,t.prototype.jsonID=e,t},a.prototype.getBookmark=function(){return c.between(this.$anchor,this.$head).getBookmark()},Object.defineProperties(a.prototype,s),a.prototype.visible=!0;var u=function(e,t){this.$from=e,this.$to=t},c=function(e){function t(t,n){void 0===n&&(n=t),e.call(this,t,n)}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={$cursor:{configurable:!0}};return n.$cursor.get=function(){return this.$anchor.pos==this.$head.pos?this.$head:null},t.prototype.map=function(n,r){var o=n.resolve(r.map(this.head));if(!o.parent.inlineContent)return e.near(o);var i=n.resolve(r.map(this.anchor));return new t(i.parent.inlineContent?i:o,o)},t.prototype.replace=function(t,n){if(void 0===n&&(n=r.Slice.empty),e.prototype.replace.call(this,t,n),n==r.Slice.empty){var o=this.$from.marksAcross(this.$to);o&&t.ensureMarks(o)}},t.prototype.eq=function(e){return e instanceof t&&e.anchor==this.anchor&&e.head==this.head},t.prototype.getBookmark=function(){return new l(this.anchor,this.head)},t.prototype.toJSON=function(){return{type:"text",anchor:this.anchor,head:this.head}},t.fromJSON=function(e,n){if("number"!=typeof n.anchor||"number"!=typeof n.head)throw new RangeError("Invalid input for TextSelection.fromJSON");return new t(e.resolve(n.anchor),e.resolve(n.head))},t.create=function(e,t,n){void 0===n&&(n=t);var r=e.resolve(t);return new this(r,n==t?r:e.resolve(n))},t.between=function(n,r,o){var i=n.pos-r.pos;if(o&&!i||(o=i>=0?1:-1),!r.parent.inlineContent){var a=e.findFrom(r,o,!0)||e.findFrom(r,-o,!0);if(!a)return e.near(r,o);r=a.$head}return n.parent.inlineContent||(0==i||(n=(e.findFrom(n,-o,!0)||e.findFrom(n,o,!0)).$anchor).pos<r.pos!=i<0)&&(n=r),new t(n,r)},Object.defineProperties(t.prototype,n),t}(a);a.jsonID("text",c);var l=function(e,t){this.anchor=e,this.head=t};l.prototype.map=function(e){return new l(e.map(this.anchor),e.map(this.head))},l.prototype.resolve=function(e){return c.between(e.resolve(this.anchor),e.resolve(this.head))};var d=function(e){function t(t){var n=t.nodeAfter,r=t.node(0).resolve(t.pos+n.nodeSize);e.call(this,t,r),this.node=n}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.map=function(n,r){var o=r.mapResult(this.anchor),i=o.deleted,a=o.pos,s=n.resolve(a);return i?e.near(s):new t(s)},t.prototype.content=function(){return new r.Slice(r.Fragment.from(this.node),0,0)},t.prototype.eq=function(e){return e instanceof t&&e.anchor==this.anchor},t.prototype.toJSON=function(){return{type:"node",anchor:this.anchor}},t.prototype.getBookmark=function(){return new h(this.anchor)},t.fromJSON=function(e,n){if("number"!=typeof n.anchor)throw new RangeError("Invalid input for NodeSelection.fromJSON");return new t(e.resolve(n.anchor))},t.create=function(e,t){return new this(e.resolve(t))},t.isSelectable=function(e){return!e.isText&&!1!==e.type.spec.selectable},t}(a);d.prototype.visible=!1,a.jsonID("node",d);var h=function(e){this.anchor=e};h.prototype.map=function(e){var t=e.mapResult(this.anchor),n=t.deleted,r=t.pos;return n?new l(r,r):new h(r)},h.prototype.resolve=function(e){var t=e.resolve(this.anchor),n=t.nodeAfter;return n&&d.isSelectable(n)?new d(t):a.near(t)};var f=function(e){function t(t){e.call(this,t.resolve(0),t.resolve(t.content.size))}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.replace=function(t,n){if(void 0===n&&(n=r.Slice.empty),n==r.Slice.empty){t.delete(0,t.doc.content.size);var o=e.atStart(t.doc);o.eq(t.selection)||t.setSelection(o)}else e.prototype.replace.call(this,t,n)},t.prototype.toJSON=function(){return{type:"all"}},t.fromJSON=function(e){return new t(e)},t.prototype.map=function(e){return new t(e)},t.prototype.eq=function(e){return e instanceof t},t.prototype.getBookmark=function(){return p},t}(a);a.jsonID("all",f);var p={map:function(){return this},resolve:function(e){return new f(e)}};function m(e,t,n,r,o,i){if(t.inlineContent)return c.create(e,n);for(var a=r-(o>0?0:1);o>0?a<t.childCount:a>=0;a+=o){var s=t.child(a);if(s.isAtom){if(!i&&d.isSelectable(s))return d.create(e,n-(o<0?s.nodeSize:0))}else{var u=m(e,s,n+o,o<0?s.childCount:0,o,i);if(u)return u}n+=s.nodeSize*o}}function _(e,t,n){var r=e.steps.length-1;if(!(r<t)){var i,s=e.steps[r];if(s instanceof o.c||s instanceof o.b)e.mapping.maps[r].forEach((function(e,t,n,r){null==i&&(i=r)})),e.setSelection(a.near(e.doc.resolve(i),n))}}var g=function(e){function t(t){e.call(this,t.doc),this.time=Date.now(),this.curSelection=t.selection,this.curSelectionFor=0,this.storedMarks=t.storedMarks,this.updated=0,this.meta=Object.create(null)}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={selection:{configurable:!0},selectionSet:{configurable:!0},storedMarksSet:{configurable:!0},isGeneric:{configurable:!0},scrolledIntoView:{configurable:!0}};return n.selection.get=function(){return this.curSelectionFor<this.steps.length&&(this.curSelection=this.curSelection.map(this.doc,this.mapping.slice(this.curSelectionFor)),this.curSelectionFor=this.steps.length),this.curSelection},t.prototype.setSelection=function(e){if(e.$from.doc!=this.doc)throw new RangeError("Selection passed to setSelection must point at the current document");return this.curSelection=e,this.curSelectionFor=this.steps.length,this.updated=-3&(1|this.updated),this.storedMarks=null,this},n.selectionSet.get=function(){return(1&this.updated)>0},t.prototype.setStoredMarks=function(e){return this.storedMarks=e,this.updated|=2,this},t.prototype.ensureMarks=function(e){return r.Mark.sameSet(this.storedMarks||this.selection.$from.marks(),e)||this.setStoredMarks(e),this},t.prototype.addStoredMark=function(e){return this.ensureMarks(e.addToSet(this.storedMarks||this.selection.$head.marks()))},t.prototype.removeStoredMark=function(e){return this.ensureMarks(e.removeFromSet(this.storedMarks||this.selection.$head.marks()))},n.storedMarksSet.get=function(){return(2&this.updated)>0},t.prototype.addStep=function(t,n){e.prototype.addStep.call(this,t,n),this.updated=-3&this.updated,this.storedMarks=null},t.prototype.setTime=function(e){return this.time=e,this},t.prototype.replaceSelection=function(e){return this.selection.replace(this,e),this},t.prototype.replaceSelectionWith=function(e,t){var n=this.selection;return!1!==t&&(e=e.mark(this.storedMarks||(n.empty?n.$from.marks():n.$from.marksAcross(n.$to)||r.Mark.none))),n.replaceWith(this,e),this},t.prototype.deleteSelection=function(){return this.selection.replace(this),this},t.prototype.insertText=function(e,t,n){void 0===n&&(n=t);var r=this.doc.type.schema;if(null==t)return e?this.replaceSelectionWith(r.text(e),!0):this.deleteSelection();if(!e)return this.deleteRange(t,n);var o=this.storedMarks;if(!o){var i=this.doc.resolve(t);o=n==t?i.marks():i.marksAcross(this.doc.resolve(n))}return this.replaceRangeWith(t,n,r.text(e,o)),this.selection.empty||this.setSelection(a.near(this.selection.$to)),this},t.prototype.setMeta=function(e,t){return this.meta["string"==typeof e?e:e.key]=t,this},t.prototype.getMeta=function(e){return this.meta["string"==typeof e?e:e.key]},n.isGeneric.get=function(){for(var e in this.meta)return!1;return!0},t.prototype.scrollIntoView=function(){return this.updated|=4,this},n.scrolledIntoView.get=function(){return(4&this.updated)>0},Object.defineProperties(t.prototype,n),t}(o.e);function y(e,t){return t&&e?e.bind(t):e}var v=function(e,t,n){this.name=e,this.init=y(t.init,n),this.apply=y(t.apply,n)},M=[new v("doc",{init:function(e){return e.doc||e.schema.topNodeType.createAndFill()},apply:function(e){return e.doc}}),new v("selection",{init:function(e,t){return e.selection||a.atStart(t.doc)},apply:function(e){return e.selection}}),new v("storedMarks",{init:function(e){return e.storedMarks||null},apply:function(e,t,n,r){return r.selection.$cursor?e.storedMarks:null}}),new v("scrollToSelection",{init:function(){return 0},apply:function(e,t){return e.scrolledIntoView?t+1:t}})],k=function(e,t){var n=this;this.schema=e,this.fields=M.concat(),this.plugins=[],this.pluginsByKey=Object.create(null),t&&t.forEach((function(e){if(n.pluginsByKey[e.key])throw new RangeError("Adding different instances of a keyed plugin ("+e.key+")");n.plugins.push(e),n.pluginsByKey[e.key]=e,e.spec.state&&n.fields.push(new v(e.key,e.spec.state,e))}))},b=function(e){this.config=e},w={schema:{configurable:!0},plugins:{configurable:!0},tr:{configurable:!0}};w.schema.get=function(){return this.config.schema},w.plugins.get=function(){return this.config.plugins},b.prototype.apply=function(e){return this.applyTransaction(e).state},b.prototype.filterTransaction=function(e,t){void 0===t&&(t=-1);for(var n=0;n<this.config.plugins.length;n++)if(n!=t){var r=this.config.plugins[n];if(r.spec.filterTransaction&&!r.spec.filterTransaction.call(r,e,this))return!1}return!0},b.prototype.applyTransaction=function(e){if(!this.filterTransaction(e))return{state:this,transactions:[]};for(var t=[e],n=this.applyInner(e),r=null;;){for(var o=!1,i=0;i<this.config.plugins.length;i++){var a=this.config.plugins[i];if(a.spec.appendTransaction){var s=r?r[i].n:0,u=r?r[i].state:this,c=s<t.length&&a.spec.appendTransaction.call(a,s?t.slice(s):t,u,n);if(c&&n.filterTransaction(c,i)){if(c.setMeta("appendedTransaction",e),!r){r=[];for(var l=0;l<this.config.plugins.length;l++)r.push(l<i?{state:n,n:t.length}:{state:this,n:0})}t.push(c),n=n.applyInner(c),o=!0}r&&(r[i]={state:n,n:t.length})}}if(!o)return{state:n,transactions:t}}},b.prototype.applyInner=function(e){if(!e.before.eq(this.doc))throw new RangeError("Applying a mismatched transaction");for(var t=new b(this.config),n=this.config.fields,r=0;r<n.length;r++){var o=n[r];t[o.name]=o.apply(e,this[o.name],this,t)}for(var i=0;i<L.length;i++)L[i](this,e,t);return t},w.tr.get=function(){return new g(this)},b.create=function(e){for(var t=new k(e.schema||e.doc.type.schema,e.plugins),n=new b(t),r=0;r<t.fields.length;r++)n[t.fields[r].name]=t.fields[r].init(e,n);return n},b.prototype.reconfigure=function(e){for(var t=new k(e.schema||this.schema,e.plugins),n=t.fields,r=new b(t),o=0;o<n.length;o++){var i=n[o].name;r[i]=this.hasOwnProperty(i)?this[i]:n[o].init(e,r)}return r},b.prototype.toJSON=function(e){var t={doc:this.doc.toJSON(),selection:this.selection.toJSON()};if(this.storedMarks&&(t.storedMarks=this.storedMarks.map((function(e){return e.toJSON()}))),e&&"object"==typeof e)for(var n in e){if("doc"==n||"selection"==n)throw new RangeError("The JSON fields `doc` and `selection` are reserved");var r=e[n],o=r.spec.state;o&&o.toJSON&&(t[n]=o.toJSON.call(r,this[r.key]))}return t},b.fromJSON=function(e,t,n){if(!t)throw new RangeError("Invalid input for EditorState.fromJSON");if(!e.schema)throw new RangeError("Required config field 'schema' missing");var o=new k(e.schema,e.plugins),i=new b(o);return o.fields.forEach((function(o){if("doc"==o.name)i.doc=r.Node.fromJSON(e.schema,t.doc);else if("selection"==o.name)i.selection=a.fromJSON(i.doc,t.selection);else if("storedMarks"==o.name)t.storedMarks&&(i.storedMarks=t.storedMarks.map(e.schema.markFromJSON));else{if(n)for(var s in n){var u=n[s],c=u.spec.state;if(u.key==o.name&&c&&c.fromJSON&&Object.prototype.hasOwnProperty.call(t,s))return void(i[o.name]=c.fromJSON.call(u,e,t[s],i))}i[o.name]=o.init(e,i)}})),i},b.addApplyListener=function(e){L.push(e)},b.removeApplyListener=function(e){var t=L.indexOf(e);t>-1&&L.splice(t,1)},Object.defineProperties(b.prototype,w);var L=[];var T=function(e){this.props={},e.props&&function e(t,n,r){for(var o in t){var i=t[o];i instanceof Function?i=i.bind(n):"handleDOMEvents"==o&&(i=e(i,n,{})),r[o]=i}return r}(e.props,this,this.props),this.spec=e,this.key=e.key?e.key.key:x("plugin")};T.prototype.getState=function(e){return e[this.key]};var D=Object.create(null);function x(e){return e in D?e+"$"+ ++D[e]:(D[e]=0,e+"$")}var S=function(e){void 0===e&&(e="key"),this.key=x(e)};S.prototype.get=function(e){return e.config.pluginsByKey[this.key]},S.prototype.getState=function(e){return e[this.key]}},function(e,t,n){"use strict";function r(e){this.content=e}n.r(t),n.d(t,"ContentMatch",(function(){return A})),n.d(t,"DOMParser",(function(){return ee})),n.d(t,"DOMSerializer",(function(){return de})),n.d(t,"Fragment",(function(){return i})),n.d(t,"Mark",(function(){return l})),n.d(t,"MarkType",(function(){return Z})),n.d(t,"Node",(function(){return Y})),n.d(t,"NodeRange",(function(){return D})),n.d(t,"NodeType",(function(){return V})),n.d(t,"ReplaceError",(function(){return d})),n.d(t,"ResolvedPos",(function(){return k})),n.d(t,"Schema",(function(){return X})),n.d(t,"Slice",(function(){return h})),r.prototype={constructor:r,find:function(e){for(var t=0;t<this.content.length;t+=2)if(this.content[t]===e)return t;return-1},get:function(e){var t=this.find(e);return-1==t?void 0:this.content[t+1]},update:function(e,t,n){var o=n&&n!=e?this.remove(n):this,i=o.find(e),a=o.content.slice();return-1==i?a.push(n||e,t):(a[i+1]=t,n&&(a[i]=n)),new r(a)},remove:function(e){var t=this.find(e);if(-1==t)return this;var n=this.content.slice();return n.splice(t,2),new r(n)},addToStart:function(e,t){return new r([e,t].concat(this.remove(e).content))},addToEnd:function(e,t){var n=this.remove(e).content.slice();return n.push(e,t),new r(n)},addBefore:function(e,t,n){var o=this.remove(t),i=o.content.slice(),a=o.find(e);return i.splice(-1==a?i.length:a,0,t,n),new r(i)},forEach:function(e){for(var t=0;t<this.content.length;t+=2)e(this.content[t],this.content[t+1])},prepend:function(e){return(e=r.from(e)).size?new r(e.content.concat(this.subtract(e).content)):this},append:function(e){return(e=r.from(e)).size?new r(this.subtract(e).content.concat(e.content)):this},subtract:function(e){var t=this;e=r.from(e);for(var n=0;n<e.content.length;n+=2)t=t.remove(e.content[n]);return t},get size(){return this.content.length>>1}},r.from=function(e){if(e instanceof r)return e;var t=[];if(e)for(var n in e)t.push(n,e[n]);return new r(t)};var o=r;var i=function(e,t){if(this.content=e,this.size=t||0,null==t)for(var n=0;n<e.length;n++)this.size+=e[n].nodeSize},a={firstChild:{configurable:!0},lastChild:{configurable:!0},childCount:{configurable:!0}};i.prototype.nodesBetween=function(e,t,n,r,o){void 0===r&&(r=0);for(var i=0,a=0;a<t;i++){var s=this.content[i],u=a+s.nodeSize;if(u>e&&!1!==n(s,r+a,o,i)&&s.content.size){var c=a+1;s.nodesBetween(Math.max(0,e-c),Math.min(s.content.size,t-c),n,r+c)}a=u}},i.prototype.descendants=function(e){this.nodesBetween(0,this.size,e)},i.prototype.textBetween=function(e,t,n,r){var o="",i=!0;return this.nodesBetween(e,t,(function(a,s){a.isText?(o+=a.text.slice(Math.max(e,s)-s,t-s),i=!n):a.isLeaf&&r?(o+=r,i=!n):!i&&a.isBlock&&(o+=n,i=!0)}),0),o},i.prototype.append=function(e){if(!e.size)return this;if(!this.size)return e;var t=this.lastChild,n=e.firstChild,r=this.content.slice(),o=0;for(t.isText&&t.sameMarkup(n)&&(r[r.length-1]=t.withText(t.text+n.text),o=1);o<e.content.length;o++)r.push(e.content[o]);return new i(r,this.size+e.size)},i.prototype.cut=function(e,t){if(null==t&&(t=this.size),0==e&&t==this.size)return this;var n=[],r=0;if(t>e)for(var o=0,a=0;a<t;o++){var s=this.content[o],u=a+s.nodeSize;u>e&&((a<e||u>t)&&(s=s.isText?s.cut(Math.max(0,e-a),Math.min(s.text.length,t-a)):s.cut(Math.max(0,e-a-1),Math.min(s.content.size,t-a-1))),n.push(s),r+=s.nodeSize),a=u}return new i(n,r)},i.prototype.cutByIndex=function(e,t){return e==t?i.empty:0==e&&t==this.content.length?this:new i(this.content.slice(e,t))},i.prototype.replaceChild=function(e,t){var n=this.content[e];if(n==t)return this;var r=this.content.slice(),o=this.size+t.nodeSize-n.nodeSize;return r[e]=t,new i(r,o)},i.prototype.addToStart=function(e){return new i([e].concat(this.content),this.size+e.nodeSize)},i.prototype.addToEnd=function(e){return new i(this.content.concat(e),this.size+e.nodeSize)},i.prototype.eq=function(e){if(this.content.length!=e.content.length)return!1;for(var t=0;t<this.content.length;t++)if(!this.content[t].eq(e.content[t]))return!1;return!0},a.firstChild.get=function(){return this.content.length?this.content[0]:null},a.lastChild.get=function(){return this.content.length?this.content[this.content.length-1]:null},a.childCount.get=function(){return this.content.length},i.prototype.child=function(e){var t=this.content[e];if(!t)throw new RangeError("Index "+e+" out of range for "+this);return t},i.prototype.maybeChild=function(e){return this.content[e]},i.prototype.forEach=function(e){for(var t=0,n=0;t<this.content.length;t++){var r=this.content[t];e(r,n,t),n+=r.nodeSize}},i.prototype.findDiffStart=function(e,t){return void 0===t&&(t=0),function e(t,n,r){for(var o=0;;o++){if(o==t.childCount||o==n.childCount)return t.childCount==n.childCount?null:r;var i=t.child(o),a=n.child(o);if(i!=a){if(!i.sameMarkup(a))return r;if(i.isText&&i.text!=a.text){for(var s=0;i.text[s]==a.text[s];s++)r++;return r}if(i.content.size||a.content.size){var u=e(i.content,a.content,r+1);if(null!=u)return u}r+=i.nodeSize}else r+=i.nodeSize}}(this,e,t)},i.prototype.findDiffEnd=function(e,t,n){return void 0===t&&(t=this.size),void 0===n&&(n=e.size),function e(t,n,r,o){for(var i=t.childCount,a=n.childCount;;){if(0==i||0==a)return i==a?null:{a:r,b:o};var s=t.child(--i),u=n.child(--a),c=s.nodeSize;if(s!=u){if(!s.sameMarkup(u))return{a:r,b:o};if(s.isText&&s.text!=u.text){for(var l=0,d=Math.min(s.text.length,u.text.length);l<d&&s.text[s.text.length-l-1]==u.text[u.text.length-l-1];)l++,r--,o--;return{a:r,b:o}}if(s.content.size||u.content.size){var h=e(s.content,u.content,r-1,o-1);if(h)return h}r-=c,o-=c}else r-=c,o-=c}}(this,e,t,n)},i.prototype.findIndex=function(e,t){if(void 0===t&&(t=-1),0==e)return u(0,e);if(e==this.size)return u(this.content.length,e);if(e>this.size||e<0)throw new RangeError("Position "+e+" outside of fragment ("+this+")");for(var n=0,r=0;;n++){var o=r+this.child(n).nodeSize;if(o>=e)return o==e||t>0?u(n+1,o):u(n,r);r=o}},i.prototype.toString=function(){return"<"+this.toStringInner()+">"},i.prototype.toStringInner=function(){return this.content.join(", ")},i.prototype.toJSON=function(){return this.content.length?this.content.map((function(e){return e.toJSON()})):null},i.fromJSON=function(e,t){if(!t)return i.empty;if(!Array.isArray(t))throw new RangeError("Invalid input for Fragment.fromJSON");return new i(t.map(e.nodeFromJSON))},i.fromArray=function(e){if(!e.length)return i.empty;for(var t,n=0,r=0;r<e.length;r++){var o=e[r];n+=o.nodeSize,r&&o.isText&&e[r-1].sameMarkup(o)?(t||(t=e.slice(0,r)),t[t.length-1]=o.withText(t[t.length-1].text+o.text)):t&&t.push(o)}return new i(t||e,n)},i.from=function(e){if(!e)return i.empty;if(e instanceof i)return e;if(Array.isArray(e))return this.fromArray(e);if(e.attrs)return new i([e],e.nodeSize);throw new RangeError("Can not convert "+e+" to a Fragment"+(e.nodesBetween?" (looks like multiple versions of prosemirror-model were loaded)":""))},Object.defineProperties(i.prototype,a);var s={index:0,offset:0};function u(e,t){return s.index=e,s.offset=t,s}function c(e,t){if(e===t)return!0;if(!e||"object"!=typeof e||!t||"object"!=typeof t)return!1;var n=Array.isArray(e);if(Array.isArray(t)!=n)return!1;if(n){if(e.length!=t.length)return!1;for(var r=0;r<e.length;r++)if(!c(e[r],t[r]))return!1}else{for(var o in e)if(!(o in t)||!c(e[o],t[o]))return!1;for(var i in t)if(!(i in e))return!1}return!0}i.empty=new i([],0);var l=function(e,t){this.type=e,this.attrs=t};function d(e){var t=Error.call(this,e);return t.__proto__=d.prototype,t}l.prototype.addToSet=function(e){for(var t,n=!1,r=0;r<e.length;r++){var o=e[r];if(this.eq(o))return e;if(this.type.excludes(o.type))t||(t=e.slice(0,r));else{if(o.type.excludes(this.type))return e;!n&&o.type.rank>this.type.rank&&(t||(t=e.slice(0,r)),t.push(this),n=!0),t&&t.push(o)}}return t||(t=e.slice()),n||t.push(this),t},l.prototype.removeFromSet=function(e){for(var t=0;t<e.length;t++)if(this.eq(e[t]))return e.slice(0,t).concat(e.slice(t+1));return e},l.prototype.isInSet=function(e){for(var t=0;t<e.length;t++)if(this.eq(e[t]))return!0;return!1},l.prototype.eq=function(e){return this==e||this.type==e.type&&c(this.attrs,e.attrs)},l.prototype.toJSON=function(){var e={type:this.type.name};for(var t in this.attrs){e.attrs=this.attrs;break}return e},l.fromJSON=function(e,t){if(!t)throw new RangeError("Invalid input for Mark.fromJSON");var n=e.marks[t.type];if(!n)throw new RangeError("There is no mark type "+t.type+" in this schema");return n.create(t.attrs)},l.sameSet=function(e,t){if(e==t)return!0;if(e.length!=t.length)return!1;for(var n=0;n<e.length;n++)if(!e[n].eq(t[n]))return!1;return!0},l.setFrom=function(e){if(!e||0==e.length)return l.none;if(e instanceof l)return[e];var t=e.slice();return t.sort((function(e,t){return e.type.rank-t.type.rank})),t},l.none=[],d.prototype=Object.create(Error.prototype),d.prototype.constructor=d,d.prototype.name="ReplaceError";var h=function(e,t,n){this.content=e,this.openStart=t,this.openEnd=n},f={size:{configurable:!0}};function p(e,t,n){if(n.openStart>e.depth)throw new d("Inserted content deeper than insertion position");if(e.depth-n.openStart!=t.depth-n.openEnd)throw new d("Inconsistent open depths");return function e(t,n,r,o){var a=t.index(o),s=t.node(o);if(a==n.index(o)&&o<t.depth-r.openStart){var u=e(t,n,r,o+1);return s.copy(s.content.replaceChild(a,u))}if(r.content.size){if(r.openStart||r.openEnd||t.depth!=o||n.depth!=o){var c=function(e,t){for(var n=t.depth-e.openStart,r=t.node(n).copy(e.content),o=n-1;o>=0;o--)r=t.node(o).copy(i.from(r));return{start:r.resolveNoCache(e.openStart+n),end:r.resolveNoCache(r.content.size-e.openEnd-n)}}(r,t),l=c.start,d=c.end;return v(s,function e(t,n,r,o,a){var s=t.depth>a&&_(t,n,a+1),u=o.depth>a&&_(r,o,a+1),c=[];y(null,t,a,c),s&&u&&n.index(a)==r.index(a)?(m(s,u),g(v(s,e(t,n,r,o,a+1)),c)):(s&&g(v(s,M(t,n,a+1)),c),y(n,r,a,c),u&&g(v(u,M(r,o,a+1)),c));return y(o,null,a,c),new i(c)}(t,l,d,n,o))}var h=t.parent,f=h.content;return v(h,f.cut(0,t.parentOffset).append(r.content).append(f.cut(n.parentOffset)))}return v(s,M(t,n,o))}(e,t,n,0)}function m(e,t){if(!t.type.compatibleContent(e.type))throw new d("Cannot join "+t.type.name+" onto "+e.type.name)}function _(e,t,n){var r=e.node(n);return m(r,t.node(n)),r}function g(e,t){var n=t.length-1;n>=0&&e.isText&&e.sameMarkup(t[n])?t[n]=e.withText(t[n].text+e.text):t.push(e)}function y(e,t,n,r){var o=(t||e).node(n),i=0,a=t?t.index(n):o.childCount;e&&(i=e.index(n),e.depth>n?i++:e.textOffset&&(g(e.nodeAfter,r),i++));for(var s=i;s<a;s++)g(o.child(s),r);t&&t.depth==n&&t.textOffset&&g(t.nodeBefore,r)}function v(e,t){if(!e.type.validContent(t))throw new d("Invalid content for node "+e.type.name);return e.copy(t)}function M(e,t,n){var r=[];(y(null,e,n,r),e.depth>n)&&g(v(_(e,t,n+1),M(e,t,n+1)),r);return y(t,null,n,r),new i(r)}f.size.get=function(){return this.content.size-this.openStart-this.openEnd},h.prototype.insertAt=function(e,t){var n=function e(t,n,r,o){var i=t.findIndex(n),a=i.index,s=i.offset,u=t.maybeChild(a);if(s==n||u.isText)return o&&!o.canReplace(a,a,r)?null:t.cut(0,n).append(r).append(t.cut(n));var c=e(u.content,n-s-1,r);return c&&t.replaceChild(a,u.copy(c))}(this.content,e+this.openStart,t,null);return n&&new h(n,this.openStart,this.openEnd)},h.prototype.removeBetween=function(e,t){return new h(function e(t,n,r){var o=t.findIndex(n),i=o.index,a=o.offset,s=t.maybeChild(i),u=t.findIndex(r),c=u.index,l=u.offset;if(a==n||s.isText){if(l!=r&&!t.child(c).isText)throw new RangeError("Removing non-flat range");return t.cut(0,n).append(t.cut(r))}if(i!=c)throw new RangeError("Removing non-flat range");return t.replaceChild(i,s.copy(e(s.content,n-a-1,r-a-1)))}(this.content,e+this.openStart,t+this.openStart),this.openStart,this.openEnd)},h.prototype.eq=function(e){return this.content.eq(e.content)&&this.openStart==e.openStart&&this.openEnd==e.openEnd},h.prototype.toString=function(){return this.content+"("+this.openStart+","+this.openEnd+")"},h.prototype.toJSON=function(){if(!this.content.size)return null;var e={content:this.content.toJSON()};return this.openStart>0&&(e.openStart=this.openStart),this.openEnd>0&&(e.openEnd=this.openEnd),e},h.fromJSON=function(e,t){if(!t)return h.empty;var n=t.openStart||0,r=t.openEnd||0;if("number"!=typeof n||"number"!=typeof r)throw new RangeError("Invalid input for Slice.fromJSON");return new h(i.fromJSON(e,t.content),t.openStart||0,t.openEnd||0)},h.maxOpen=function(e,t){void 0===t&&(t=!0);for(var n=0,r=0,o=e.firstChild;o&&!o.isLeaf&&(t||!o.type.spec.isolating);o=o.firstChild)n++;for(var i=e.lastChild;i&&!i.isLeaf&&(t||!i.type.spec.isolating);i=i.lastChild)r++;return new h(e,n,r)},Object.defineProperties(h.prototype,f),h.empty=new h(i.empty,0,0);var k=function(e,t,n){this.pos=e,this.path=t,this.depth=t.length/3-1,this.parentOffset=n},b={parent:{configurable:!0},doc:{configurable:!0},textOffset:{configurable:!0},nodeAfter:{configurable:!0},nodeBefore:{configurable:!0}};k.prototype.resolveDepth=function(e){return null==e?this.depth:e<0?this.depth+e:e},b.parent.get=function(){return this.node(this.depth)},b.doc.get=function(){return this.node(0)},k.prototype.node=function(e){return this.path[3*this.resolveDepth(e)]},k.prototype.index=function(e){return this.path[3*this.resolveDepth(e)+1]},k.prototype.indexAfter=function(e){return e=this.resolveDepth(e),this.index(e)+(e!=this.depth||this.textOffset?1:0)},k.prototype.start=function(e){return 0==(e=this.resolveDepth(e))?0:this.path[3*e-1]+1},k.prototype.end=function(e){return e=this.resolveDepth(e),this.start(e)+this.node(e).content.size},k.prototype.before=function(e){if(!(e=this.resolveDepth(e)))throw new RangeError("There is no position before the top-level node");return e==this.depth+1?this.pos:this.path[3*e-1]},k.prototype.after=function(e){if(!(e=this.resolveDepth(e)))throw new RangeError("There is no position after the top-level node");return e==this.depth+1?this.pos:this.path[3*e-1]+this.path[3*e].nodeSize},b.textOffset.get=function(){return this.pos-this.path[this.path.length-1]},b.nodeAfter.get=function(){var e=this.parent,t=this.index(this.depth);if(t==e.childCount)return null;var n=this.pos-this.path[this.path.length-1],r=e.child(t);return n?e.child(t).cut(n):r},b.nodeBefore.get=function(){var e=this.index(this.depth),t=this.pos-this.path[this.path.length-1];return t?this.parent.child(e).cut(0,t):0==e?null:this.parent.child(e-1)},k.prototype.marks=function(){var e=this.parent,t=this.index();if(0==e.content.size)return l.none;if(this.textOffset)return e.child(t).marks;var n=e.maybeChild(t-1),r=e.maybeChild(t);if(!n){var o=n;n=r,r=o}for(var i=n.marks,a=0;a<i.length;a++)!1!==i[a].type.spec.inclusive||r&&i[a].isInSet(r.marks)||(i=i[a--].removeFromSet(i));return i},k.prototype.marksAcross=function(e){var t=this.parent.maybeChild(this.index());if(!t||!t.isInline)return null;for(var n=t.marks,r=e.parent.maybeChild(e.index()),o=0;o<n.length;o++)!1!==n[o].type.spec.inclusive||r&&n[o].isInSet(r.marks)||(n=n[o--].removeFromSet(n));return n},k.prototype.sharedDepth=function(e){for(var t=this.depth;t>0;t--)if(this.start(t)<=e&&this.end(t)>=e)return t;return 0},k.prototype.blockRange=function(e,t){if(void 0===e&&(e=this),e.pos<this.pos)return e.blockRange(this);for(var n=this.depth-(this.parent.inlineContent||this.pos==e.pos?1:0);n>=0;n--)if(e.pos<=this.end(n)&&(!t||t(this.node(n))))return new D(this,e,n)},k.prototype.sameParent=function(e){return this.pos-this.parentOffset==e.pos-e.parentOffset},k.prototype.max=function(e){return e.pos>this.pos?e:this},k.prototype.min=function(e){return e.pos<this.pos?e:this},k.prototype.toString=function(){for(var e="",t=1;t<=this.depth;t++)e+=(e?"/":"")+this.node(t).type.name+"_"+this.index(t-1);return e+":"+this.parentOffset},k.resolve=function(e,t){if(!(t>=0&&t<=e.content.size))throw new RangeError("Position "+t+" out of range");for(var n=[],r=0,o=t,i=e;;){var a=i.content.findIndex(o),s=a.index,u=a.offset,c=o-u;if(n.push(i,s,r+u),!c)break;if((i=i.child(s)).isText)break;o=c-1,r+=u+1}return new k(t,n,o)},k.resolveCached=function(e,t){for(var n=0;n<w.length;n++){var r=w[n];if(r.pos==t&&r.doc==e)return r}var o=w[L]=k.resolve(e,t);return L=(L+1)%T,o},Object.defineProperties(k.prototype,b);var w=[],L=0,T=12,D=function(e,t,n){this.$from=e,this.$to=t,this.depth=n},x={start:{configurable:!0},end:{configurable:!0},parent:{configurable:!0},startIndex:{configurable:!0},endIndex:{configurable:!0}};x.start.get=function(){return this.$from.before(this.depth+1)},x.end.get=function(){return this.$to.after(this.depth+1)},x.parent.get=function(){return this.$from.node(this.depth)},x.startIndex.get=function(){return this.$from.index(this.depth)},x.endIndex.get=function(){return this.$to.indexAfter(this.depth)},Object.defineProperties(D.prototype,x);var S=Object.create(null),Y=function(e,t,n,r){this.type=e,this.attrs=t,this.content=n||i.empty,this.marks=r||l.none},O={nodeSize:{configurable:!0},childCount:{configurable:!0},textContent:{configurable:!0},firstChild:{configurable:!0},lastChild:{configurable:!0},isBlock:{configurable:!0},isTextblock:{configurable:!0},inlineContent:{configurable:!0},isInline:{configurable:!0},isText:{configurable:!0},isLeaf:{configurable:!0},isAtom:{configurable:!0}};O.nodeSize.get=function(){return this.isLeaf?1:2+this.content.size},O.childCount.get=function(){return this.content.childCount},Y.prototype.child=function(e){return this.content.child(e)},Y.prototype.maybeChild=function(e){return this.content.maybeChild(e)},Y.prototype.forEach=function(e){this.content.forEach(e)},Y.prototype.nodesBetween=function(e,t,n,r){void 0===r&&(r=0),this.content.nodesBetween(e,t,n,r,this)},Y.prototype.descendants=function(e){this.nodesBetween(0,this.content.size,e)},O.textContent.get=function(){return this.textBetween(0,this.content.size,"")},Y.prototype.textBetween=function(e,t,n,r){return this.content.textBetween(e,t,n,r)},O.firstChild.get=function(){return this.content.firstChild},O.lastChild.get=function(){return this.content.lastChild},Y.prototype.eq=function(e){return this==e||this.sameMarkup(e)&&this.content.eq(e.content)},Y.prototype.sameMarkup=function(e){return this.hasMarkup(e.type,e.attrs,e.marks)},Y.prototype.hasMarkup=function(e,t,n){return this.type==e&&c(this.attrs,t||e.defaultAttrs||S)&&l.sameSet(this.marks,n||l.none)},Y.prototype.copy=function(e){return void 0===e&&(e=null),e==this.content?this:new this.constructor(this.type,this.attrs,e,this.marks)},Y.prototype.mark=function(e){return e==this.marks?this:new this.constructor(this.type,this.attrs,this.content,e)},Y.prototype.cut=function(e,t){return 0==e&&t==this.content.size?this:this.copy(this.content.cut(e,t))},Y.prototype.slice=function(e,t,n){if(void 0===t&&(t=this.content.size),void 0===n&&(n=!1),e==t)return h.empty;var r=this.resolve(e),o=this.resolve(t),i=n?0:r.sharedDepth(t),a=r.start(i),s=r.node(i).content.cut(r.pos-a,o.pos-a);return new h(s,r.depth-i,o.depth-i)},Y.prototype.replace=function(e,t,n){return p(this.resolve(e),this.resolve(t),n)},Y.prototype.nodeAt=function(e){for(var t=this;;){var n=t.content.findIndex(e),r=n.index,o=n.offset;if(!(t=t.maybeChild(r)))return null;if(o==e||t.isText)return t;e-=o+1}},Y.prototype.childAfter=function(e){var t=this.content.findIndex(e),n=t.index,r=t.offset;return{node:this.content.maybeChild(n),index:n,offset:r}},Y.prototype.childBefore=function(e){if(0==e)return{node:null,index:0,offset:0};var t=this.content.findIndex(e),n=t.index,r=t.offset;if(r<e)return{node:this.content.child(n),index:n,offset:r};var o=this.content.child(n-1);return{node:o,index:n-1,offset:r-o.nodeSize}},Y.prototype.resolve=function(e){return k.resolveCached(this,e)},Y.prototype.resolveNoCache=function(e){return k.resolve(this,e)},Y.prototype.rangeHasMark=function(e,t,n){var r=!1;return t>e&&this.nodesBetween(e,t,(function(e){return n.isInSet(e.marks)&&(r=!0),!r})),r},O.isBlock.get=function(){return this.type.isBlock},O.isTextblock.get=function(){return this.type.isTextblock},O.inlineContent.get=function(){return this.type.inlineContent},O.isInline.get=function(){return this.type.isInline},O.isText.get=function(){return this.type.isText},O.isLeaf.get=function(){return this.type.isLeaf},O.isAtom.get=function(){return this.type.isAtom},Y.prototype.toString=function(){if(this.type.spec.toDebugString)return this.type.spec.toDebugString(this);var e=this.type.name;return this.content.size&&(e+="("+this.content.toStringInner()+")"),E(this.marks,e)},Y.prototype.contentMatchAt=function(e){var t=this.type.contentMatch.matchFragment(this.content,0,e);if(!t)throw new Error("Called contentMatchAt on a node with invalid content");return t},Y.prototype.canReplace=function(e,t,n,r,o){void 0===n&&(n=i.empty),void 0===r&&(r=0),void 0===o&&(o=n.childCount);var a=this.contentMatchAt(e).matchFragment(n,r,o),s=a&&a.matchFragment(this.content,t);if(!s||!s.validEnd)return!1;for(var u=r;u<o;u++)if(!this.type.allowsMarks(n.child(u).marks))return!1;return!0},Y.prototype.canReplaceWith=function(e,t,n,r){if(r&&!this.type.allowsMarks(r))return!1;var o=this.contentMatchAt(e).matchType(n),i=o&&o.matchFragment(this.content,t);return!!i&&i.validEnd},Y.prototype.canAppend=function(e){return e.content.size?this.canReplace(this.childCount,this.childCount,e.content):this.type.compatibleContent(e.type)},Y.prototype.check=function(){if(!this.type.validContent(this.content))throw new RangeError("Invalid content for node "+this.type.name+": "+this.content.toString().slice(0,50));this.content.forEach((function(e){return e.check()}))},Y.prototype.toJSON=function(){var e={type:this.type.name};for(var t in this.attrs){e.attrs=this.attrs;break}return this.content.size&&(e.content=this.content.toJSON()),this.marks.length&&(e.marks=this.marks.map((function(e){return e.toJSON()}))),e},Y.fromJSON=function(e,t){if(!t)throw new RangeError("Invalid input for Node.fromJSON");var n=null;if(t.marks){if(!Array.isArray(t.marks))throw new RangeError("Invalid mark data for Node.fromJSON");n=t.marks.map(e.markFromJSON)}if("text"==t.type){if("string"!=typeof t.text)throw new RangeError("Invalid text node in JSON");return e.text(t.text,n)}var r=i.fromJSON(e,t.content);return e.nodeType(t.type).create(t.attrs,r,n)},Object.defineProperties(Y.prototype,O);var C=function(e){function t(t,n,r,o){if(e.call(this,t,n,null,o),!r)throw new RangeError("Empty text nodes are not allowed");this.text=r}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={textContent:{configurable:!0},nodeSize:{configurable:!0}};return t.prototype.toString=function(){return this.type.spec.toDebugString?this.type.spec.toDebugString(this):E(this.marks,JSON.stringify(this.text))},n.textContent.get=function(){return this.text},t.prototype.textBetween=function(e,t){return this.text.slice(e,t)},n.nodeSize.get=function(){return this.text.length},t.prototype.mark=function(e){return e==this.marks?this:new t(this.type,this.attrs,this.text,e)},t.prototype.withText=function(e){return e==this.text?this:new t(this.type,this.attrs,e,this.marks)},t.prototype.cut=function(e,t){return void 0===e&&(e=0),void 0===t&&(t=this.text.length),0==e&&t==this.text.length?this:this.withText(this.text.slice(e,t))},t.prototype.eq=function(e){return this.sameMarkup(e)&&this.text==e.text},t.prototype.toJSON=function(){var t=e.prototype.toJSON.call(this);return t.text=this.text,t},Object.defineProperties(t.prototype,n),t}(Y);function E(e,t){for(var n=e.length-1;n>=0;n--)t=e[n].type.name+"("+t+")";return t}var A=function(e){this.validEnd=e,this.next=[],this.wrapCache=[]},j={inlineContent:{configurable:!0},defaultType:{configurable:!0},edgeCount:{configurable:!0}};A.parse=function(e,t){var n=new H(e,t);if(null==n.next)return A.empty;var r=N(n);n.next&&n.err("Unexpected trailing text");var o=function(e){var t=Object.create(null);return function n(r){var o=[];r.forEach((function(t){e[t].forEach((function(t){var n=t.term,r=t.to;if(n){var i=o.indexOf(n),a=i>-1&&o[i+1];$(e,r).forEach((function(e){a||o.push(n,a=[]),-1==a.indexOf(e)&&a.push(e)}))}}))}));for(var i=t[r.join(",")]=new A(r.indexOf(e.length-1)>-1),a=0;a<o.length;a+=2){var s=o[a+1].sort(W);i.next.push(o[a],t[s.join(",")]||n(s))}return i}($(e,0))}(function(e){var t=[[]];return o(function e(t,i){if("choice"==t.type)return t.exprs.reduce((function(t,n){return t.concat(e(n,i))}),[]);if("seq"==t.type)for(var a=0;;a++){var s=e(t.exprs[a],i);if(a==t.exprs.length-1)return s;o(s,i=n())}else{if("star"==t.type){var u=n();return r(i,u),o(e(t.expr,u),u),[r(u)]}if("plus"==t.type){var c=n();return o(e(t.expr,i),c),o(e(t.expr,c),c),[r(c)]}if("opt"==t.type)return[r(i)].concat(e(t.expr,i));if("range"==t.type){for(var l=i,d=0;d<t.min;d++){var h=n();o(e(t.expr,l),h),l=h}if(-1==t.max)o(e(t.expr,l),l);else for(var f=t.min;f<t.max;f++){var p=n();r(l,p),o(e(t.expr,l),p),l=p}return[r(l)]}if("name"==t.type)return[r(i,null,t.value)]}}(e,0),n()),t;function n(){return t.push([])-1}function r(e,n,r){var o={term:r,to:n};return t[e].push(o),o}function o(e,t){e.forEach((function(e){return e.to=t}))}}(r));return function(e,t){for(var n=0,r=[e];n<r.length;n++){for(var o=r[n],i=!o.validEnd,a=[],s=0;s<o.next.length;s+=2){var u=o.next[s],c=o.next[s+1];a.push(u.name),!i||u.isText||u.hasRequiredAttrs()||(i=!1),-1==r.indexOf(c)&&r.push(c)}i&&t.err("Only non-generatable nodes ("+a.join(", ")+") in a required position (see https://prosemirror.net/docs/guide/#generatable)")}}(o,n),o},A.prototype.matchType=function(e){for(var t=0;t<this.next.length;t+=2)if(this.next[t]==e)return this.next[t+1];return null},A.prototype.matchFragment=function(e,t,n){void 0===t&&(t=0),void 0===n&&(n=e.childCount);for(var r=this,o=t;r&&o<n;o++)r=r.matchType(e.child(o).type);return r},j.inlineContent.get=function(){var e=this.next[0];return!!e&&e.isInline},j.defaultType.get=function(){for(var e=0;e<this.next.length;e+=2){var t=this.next[e];if(!t.isText&&!t.hasRequiredAttrs())return t}},A.prototype.compatible=function(e){for(var t=0;t<this.next.length;t+=2)for(var n=0;n<e.next.length;n+=2)if(this.next[t]==e.next[n])return!0;return!1},A.prototype.fillBefore=function(e,t,n){void 0===t&&(t=!1),void 0===n&&(n=0);var r=[this];return function o(a,s){var u=a.matchFragment(e,n);if(u&&(!t||u.validEnd))return i.from(s.map((function(e){return e.createAndFill()})));for(var c=0;c<a.next.length;c+=2){var l=a.next[c],d=a.next[c+1];if(!l.isText&&!l.hasRequiredAttrs()&&-1==r.indexOf(d)){r.push(d);var h=o(d,s.concat(l));if(h)return h}}}(this,[])},A.prototype.findWrapping=function(e){for(var t=0;t<this.wrapCache.length;t+=2)if(this.wrapCache[t]==e)return this.wrapCache[t+1];var n=this.computeWrapping(e);return this.wrapCache.push(e,n),n},A.prototype.computeWrapping=function(e){for(var t=Object.create(null),n=[{match:this,type:null,via:null}];n.length;){var r=n.shift(),o=r.match;if(o.matchType(e)){for(var i=[],a=r;a.type;a=a.via)i.push(a.type);return i.reverse()}for(var s=0;s<o.next.length;s+=2){var u=o.next[s];u.isLeaf||u.hasRequiredAttrs()||u.name in t||r.type&&!o.next[s+1].validEnd||(n.push({match:u.contentMatch,type:u,via:r}),t[u.name]=!0)}}},j.edgeCount.get=function(){return this.next.length>>1},A.prototype.edge=function(e){var t=e<<1;if(t>=this.next.length)throw new RangeError("There's no "+e+"th edge in this content match");return{type:this.next[t],next:this.next[t+1]}},A.prototype.toString=function(){var e=[];return function t(n){e.push(n);for(var r=1;r<n.next.length;r+=2)-1==e.indexOf(n.next[r])&&t(n.next[r])}(this),e.map((function(t,n){for(var r=n+(t.validEnd?"*":" ")+" ",o=0;o<t.next.length;o+=2)r+=(o?", ":"")+t.next[o].name+"->"+e.indexOf(t.next[o+1]);return r})).join("\n")},Object.defineProperties(A.prototype,j),A.empty=new A(!0);var H=function(e,t){this.string=e,this.nodeTypes=t,this.inline=null,this.pos=0,this.tokens=e.split(/\s*(?=\b|\W|$)/),""==this.tokens[this.tokens.length-1]&&this.tokens.pop(),""==this.tokens[0]&&this.tokens.unshift()},P={next:{configurable:!0}};function N(e){var t=[];do{t.push(R(e))}while(e.eat("|"));return 1==t.length?t[0]:{type:"choice",exprs:t}}function R(e){var t=[];do{t.push(F(e))}while(e.next&&")"!=e.next&&"|"!=e.next);return 1==t.length?t[0]:{type:"seq",exprs:t}}function F(e){for(var t=function(e){if(e.eat("(")){var t=N(e);return e.eat(")")||e.err("Missing closing paren"),t}if(!/\W/.test(e.next)){var n=function(e,t){var n=e.nodeTypes,r=n[t];if(r)return[r];var o=[];for(var i in n){var a=n[i];a.groups.indexOf(t)>-1&&o.push(a)}0==o.length&&e.err("No node type or group '"+t+"' found");return o}(e,e.next).map((function(t){return null==e.inline?e.inline=t.isInline:e.inline!=t.isInline&&e.err("Mixing inline and block content"),{type:"name",value:t}}));return e.pos++,1==n.length?n[0]:{type:"choice",exprs:n}}e.err("Unexpected token '"+e.next+"'")}(e);;)if(e.eat("+"))t={type:"plus",expr:t};else if(e.eat("*"))t={type:"star",expr:t};else if(e.eat("?"))t={type:"opt",expr:t};else{if(!e.eat("{"))break;t=z(e,t)}return t}function I(e){/\D/.test(e.next)&&e.err("Expected number, got '"+e.next+"'");var t=Number(e.next);return e.pos++,t}function z(e,t){var n=I(e),r=n;return e.eat(",")&&(r="}"!=e.next?I(e):-1),e.eat("}")||e.err("Unclosed braced range"),{type:"range",min:n,max:r,expr:t}}function W(e,t){return t-e}function $(e,t){var n=[];return function t(r){var o=e[r];if(1==o.length&&!o[0].term)return t(o[0].to);n.push(r);for(var i=0;i<o.length;i++){var a=o[i],s=a.term,u=a.to;s||-1!=n.indexOf(u)||t(u)}}(t),n.sort(W)}function B(e){var t=Object.create(null);for(var n in e){var r=e[n];if(!r.hasDefault)return null;t[n]=r.default}return t}function q(e,t){var n=Object.create(null);for(var r in e){var o=t&&t[r];if(void 0===o){var i=e[r];if(!i.hasDefault)throw new RangeError("No value supplied for attribute "+r);o=i.default}n[r]=o}return n}function U(e){var t=Object.create(null);if(e)for(var n in e)t[n]=new G(e[n]);return t}P.next.get=function(){return this.tokens[this.pos]},H.prototype.eat=function(e){return this.next==e&&(this.pos++||!0)},H.prototype.err=function(e){throw new SyntaxError(e+" (in content expression '"+this.string+"')")},Object.defineProperties(H.prototype,P);var V=function(e,t,n){this.name=e,this.schema=t,this.spec=n,this.groups=n.group?n.group.split(" "):[],this.attrs=U(n.attrs),this.defaultAttrs=B(this.attrs),this.contentMatch=null,this.markSet=null,this.inlineContent=null,this.isBlock=!(n.inline||"text"==e),this.isText="text"==e},J={isInline:{configurable:!0},isTextblock:{configurable:!0},isLeaf:{configurable:!0},isAtom:{configurable:!0}};J.isInline.get=function(){return!this.isBlock},J.isTextblock.get=function(){return this.isBlock&&this.inlineContent},J.isLeaf.get=function(){return this.contentMatch==A.empty},J.isAtom.get=function(){return this.isLeaf||this.spec.atom},V.prototype.hasRequiredAttrs=function(){for(var e in this.attrs)if(this.attrs[e].isRequired)return!0;return!1},V.prototype.compatibleContent=function(e){return this==e||this.contentMatch.compatible(e.contentMatch)},V.prototype.computeAttrs=function(e){return!e&&this.defaultAttrs?this.defaultAttrs:q(this.attrs,e)},V.prototype.create=function(e,t,n){if(this.isText)throw new Error("NodeType.create can't construct text nodes");return new Y(this,this.computeAttrs(e),i.from(t),l.setFrom(n))},V.prototype.createChecked=function(e,t,n){if(t=i.from(t),!this.validContent(t))throw new RangeError("Invalid content for node "+this.name);return new Y(this,this.computeAttrs(e),t,l.setFrom(n))},V.prototype.createAndFill=function(e,t,n){if(e=this.computeAttrs(e),(t=i.from(t)).size){var r=this.contentMatch.fillBefore(t);if(!r)return null;t=r.append(t)}var o=this.contentMatch.matchFragment(t).fillBefore(i.empty,!0);return o?new Y(this,e,t.append(o),l.setFrom(n)):null},V.prototype.validContent=function(e){var t=this.contentMatch.matchFragment(e);if(!t||!t.validEnd)return!1;for(var n=0;n<e.childCount;n++)if(!this.allowsMarks(e.child(n).marks))return!1;return!0},V.prototype.allowsMarkType=function(e){return null==this.markSet||this.markSet.indexOf(e)>-1},V.prototype.allowsMarks=function(e){if(null==this.markSet)return!0;for(var t=0;t<e.length;t++)if(!this.allowsMarkType(e[t].type))return!1;return!0},V.prototype.allowedMarks=function(e){if(null==this.markSet)return e;for(var t,n=0;n<e.length;n++)this.allowsMarkType(e[n].type)?t&&t.push(e[n]):t||(t=e.slice(0,n));return t?t.length?t:l.empty:e},V.compile=function(e,t){var n=Object.create(null);e.forEach((function(e,r){return n[e]=new V(e,t,r)}));var r=t.spec.topNode||"doc";if(!n[r])throw new RangeError("Schema is missing its top node type ('"+r+"')");if(!n.text)throw new RangeError("Every schema needs a 'text' type");for(var o in n.text.attrs)throw new RangeError("The text node type should not have attributes");return n},Object.defineProperties(V.prototype,J);var G=function(e){this.hasDefault=Object.prototype.hasOwnProperty.call(e,"default"),this.default=e.default},K={isRequired:{configurable:!0}};K.isRequired.get=function(){return!this.hasDefault},Object.defineProperties(G.prototype,K);var Z=function(e,t,n,r){this.name=e,this.schema=n,this.spec=r,this.attrs=U(r.attrs),this.rank=t,this.excluded=null;var o=B(this.attrs);this.instance=o&&new l(this,o)};Z.prototype.create=function(e){return!e&&this.instance?this.instance:new l(this,q(this.attrs,e))},Z.compile=function(e,t){var n=Object.create(null),r=0;return e.forEach((function(e,o){return n[e]=new Z(e,r++,t,o)})),n},Z.prototype.removeFromSet=function(e){for(var t=0;t<e.length;t++)if(e[t].type==this)return e.slice(0,t).concat(e.slice(t+1));return e},Z.prototype.isInSet=function(e){for(var t=0;t<e.length;t++)if(e[t].type==this)return e[t]},Z.prototype.excludes=function(e){return this.excluded.indexOf(e)>-1};var X=function(e){for(var t in this.spec={},e)this.spec[t]=e[t];this.spec.nodes=o.from(e.nodes),this.spec.marks=o.from(e.marks),this.nodes=V.compile(this.spec.nodes,this),this.marks=Z.compile(this.spec.marks,this);var n=Object.create(null);for(var r in this.nodes){if(r in this.marks)throw new RangeError(r+" can not be both a node and a mark");var i=this.nodes[r],a=i.spec.content||"",s=i.spec.marks;i.contentMatch=n[a]||(n[a]=A.parse(a,this.nodes)),i.inlineContent=i.contentMatch.inlineContent,i.markSet="_"==s?null:s?Q(this,s.split(" ")):""!=s&&i.inlineContent?null:[]}for(var u in this.marks){var c=this.marks[u],l=c.spec.excludes;c.excluded=null==l?[c]:""==l?[]:Q(this,l.split(" "))}this.nodeFromJSON=this.nodeFromJSON.bind(this),this.markFromJSON=this.markFromJSON.bind(this),this.topNodeType=this.nodes[this.spec.topNode||"doc"],this.cached=Object.create(null),this.cached.wrappings=Object.create(null)};function Q(e,t){for(var n=[],r=0;r<t.length;r++){var o=t[r],i=e.marks[o],a=i;if(i)n.push(i);else for(var s in e.marks){var u=e.marks[s];("_"==o||u.spec.group&&u.spec.group.split(" ").indexOf(o)>-1)&&n.push(a=u)}if(!a)throw new SyntaxError("Unknown mark type: '"+t[r]+"'")}return n}X.prototype.node=function(e,t,n,r){if("string"==typeof e)e=this.nodeType(e);else{if(!(e instanceof V))throw new RangeError("Invalid node type: "+e);if(e.schema!=this)throw new RangeError("Node type from different schema used ("+e.name+")")}return e.createChecked(t,n,r)},X.prototype.text=function(e,t){var n=this.nodes.text;return new C(n,n.defaultAttrs,e,l.setFrom(t))},X.prototype.mark=function(e,t){return"string"==typeof e&&(e=this.marks[e]),e.create(t)},X.prototype.nodeFromJSON=function(e){return Y.fromJSON(this,e)},X.prototype.markFromJSON=function(e){return l.fromJSON(this,e)},X.prototype.nodeType=function(e){var t=this.nodes[e];if(!t)throw new RangeError("Unknown node type: "+e);return t};var ee=function(e,t){var n=this;this.schema=e,this.rules=t,this.tags=[],this.styles=[],t.forEach((function(e){e.tag?n.tags.push(e):e.style&&n.styles.push(e)})),this.normalizeLists=!this.tags.some((function(t){if(!/^(ul|ol)\b/.test(t.tag)||!t.node)return!1;var n=e.nodes[t.node];return n.contentMatch.matchType(n)}))};ee.prototype.parse=function(e,t){void 0===t&&(t={});var n=new ae(this,t,!1);return n.addAll(e,null,t.from,t.to),n.finish()},ee.prototype.parseSlice=function(e,t){void 0===t&&(t={});var n=new ae(this,t,!0);return n.addAll(e,null,t.from,t.to),h.maxOpen(n.finish())},ee.prototype.matchTag=function(e,t){for(var n=0;n<this.tags.length;n++){var r=this.tags[n];if(ue(e,r.tag)&&(void 0===r.namespace||e.namespaceURI==r.namespace)&&(!r.context||t.matchesContext(r.context))){if(r.getAttrs){var o=r.getAttrs(e);if(!1===o)continue;r.attrs=o}return r}}},ee.prototype.matchStyle=function(e,t,n){for(var r=0;r<this.styles.length;r++){var o=this.styles[r];if(!(0!=o.style.indexOf(e)||o.context&&!n.matchesContext(o.context)||o.style.length>e.length&&(61!=o.style.charCodeAt(e.length)||o.style.slice(e.length+1)!=t))){if(o.getAttrs){var i=o.getAttrs(t);if(!1===i)continue;o.attrs=i}return o}}},ee.schemaRules=function(e){var t=[];function n(e){for(var n=null==e.priority?50:e.priority,r=0;r<t.length;r++){var o=t[r];if((null==o.priority?50:o.priority)<n)break}t.splice(r,0,e)}var r=function(t){var r=e.marks[t].spec.parseDOM;r&&r.forEach((function(e){n(e=ce(e)),e.mark=t}))};for(var o in e.marks)r(o);var i;for(var a in e.nodes)i=void 0,(i=e.nodes[a].spec.parseDOM)&&i.forEach((function(e){n(e=ce(e)),e.node=a}));return t},ee.fromSchema=function(e){return e.cached.domParser||(e.cached.domParser=new ee(e,ee.schemaRules(e)))};var te={address:!0,article:!0,aside:!0,blockquote:!0,canvas:!0,dd:!0,div:!0,dl:!0,fieldset:!0,figcaption:!0,figure:!0,footer:!0,form:!0,h1:!0,h2:!0,h3:!0,h4:!0,h5:!0,h6:!0,header:!0,hgroup:!0,hr:!0,li:!0,noscript:!0,ol:!0,output:!0,p:!0,pre:!0,section:!0,table:!0,tfoot:!0,ul:!0},ne={head:!0,noscript:!0,object:!0,script:!0,style:!0,title:!0},re={ol:!0,ul:!0};function oe(e){return(e?1:0)|("full"===e?2:0)}var ie=function(e,t,n,r,o,i,a){this.type=e,this.attrs=t,this.solid=o,this.match=i||(4&a?null:e.contentMatch),this.options=a,this.content=[],this.marks=n,this.activeMarks=l.none,this.pendingMarks=r};ie.prototype.findWrapping=function(e){if(!this.match){if(!this.type)return[];var t=this.type.contentMatch.fillBefore(i.from(e));if(!t){var n,r=this.type.contentMatch;return(n=r.findWrapping(e.type))?(this.match=r,n):null}this.match=this.type.contentMatch.matchFragment(t)}return this.match.findWrapping(e.type)},ie.prototype.finish=function(e){if(!(1&this.options)){var t,n=this.content[this.content.length-1];n&&n.isText&&(t=/[ \t\r\n\u000c]+$/.exec(n.text))&&(n.text.length==t[0].length?this.content.pop():this.content[this.content.length-1]=n.withText(n.text.slice(0,n.text.length-t[0].length)))}var r=i.from(this.content);return!e&&this.match&&(r=r.append(this.match.fillBefore(i.empty,!0))),this.type?this.type.create(this.attrs,r,this.marks):r},ie.prototype.applyPending=function(e){for(var t=0,n=this.pendingMarks;t<n.length;t++){var r=n[t];(this.type?this.type.allowsMarkType(r.type):le(r.type,e))&&!r.isInSet(this.activeMarks)&&(this.activeMarks=r.addToSet(this.activeMarks),this.pendingMarks=r.removeFromSet(this.pendingMarks))}};var ae=function(e,t,n){this.parser=e,this.options=t,this.isOpen=n;var r,o=t.topNode,i=oe(t.preserveWhitespace)|(n?4:0);r=o?new ie(o.type,o.attrs,l.none,l.none,!0,t.topMatch||o.type.contentMatch,i):new ie(n?null:e.schema.topNodeType,null,l.none,l.none,!0,null,i),this.nodes=[r],this.open=0,this.find=t.findPositions,this.needsBlock=!1},se={top:{configurable:!0},currentPos:{configurable:!0}};function ue(e,t){return(e.matches||e.msMatchesSelector||e.webkitMatchesSelector||e.mozMatchesSelector).call(e,t)}function ce(e){var t={};for(var n in e)t[n]=e[n];return t}function le(e,t){var n=t.schema.nodes,r=function(r){var o=n[r];if(o.allowsMarkType(e)){var i=[],a=function(e){i.push(e);for(var n=0;n<e.edgeCount;n++){var r=e.edge(n),o=r.type,s=r.next;if(o==t)return!0;if(i.indexOf(s)<0&&a(s))return!0}};return a(o.contentMatch)?{v:!0}:void 0}};for(var o in n){var i=r(o);if(i)return i.v}}se.top.get=function(){return this.nodes[this.open]},ae.prototype.addDOM=function(e){if(3==e.nodeType)this.addTextNode(e);else if(1==e.nodeType){var t=e.getAttribute("style"),n=t?this.readStyles(function(e){var t,n=/\s*([\w-]+)\s*:\s*([^;]+)/g,r=[];for(;t=n.exec(e);)r.push(t[1],t[2].trim());return r}(t)):null,r=this.top;if(null!=n)for(var o=0;o<n.length;o++)this.addPendingMark(n[o]);if(this.addElement(e),null!=n)for(var i=0;i<n.length;i++)this.removePendingMark(n[i],r)}},ae.prototype.addTextNode=function(e){var t=e.nodeValue,n=this.top;if((n.type?n.type.inlineContent:n.content.length&&n.content[0].isInline)||/[^ \t\r\n\u000c]/.test(t)){if(1&n.options)2&n.options||(t=t.replace(/\r?\n|\r/g," "));else if(t=t.replace(/[ \t\r\n\u000c]+/g," "),/^[ \t\r\n\u000c]/.test(t)&&this.open==this.nodes.length-1){var r=n.content[n.content.length-1],o=e.previousSibling;(!r||o&&"BR"==o.nodeName||r.isText&&/[ \t\r\n\u000c]$/.test(r.text))&&(t=t.slice(1))}t&&this.insertNode(this.parser.schema.text(t)),this.findInText(e)}else this.findInside(e)},ae.prototype.addElement=function(e){var t=e.nodeName.toLowerCase();re.hasOwnProperty(t)&&this.normalizeLists&&function(e){for(var t=e.firstChild,n=null;t;t=t.nextSibling){var r=1==t.nodeType?t.nodeName.toLowerCase():null;r&&re.hasOwnProperty(r)&&n?(n.appendChild(t),t=n):"li"==r?n=t:r&&(n=null)}}(e);var n=this.options.ruleFromNode&&this.options.ruleFromNode(e)||this.parser.matchTag(e,this);if(n?n.ignore:ne.hasOwnProperty(t))this.findInside(e);else if(!n||n.skip||n.closeParent){n&&n.closeParent?this.open=Math.max(0,this.open-1):n&&n.skip.nodeType&&(e=n.skip);var r,o=this.top,i=this.needsBlock;if(te.hasOwnProperty(t))r=!0,o.type||(this.needsBlock=!0);else if(!e.firstChild)return void this.leafFallback(e);this.addAll(e),r&&this.sync(o),this.needsBlock=i}else this.addElementByRule(e,n)},ae.prototype.leafFallback=function(e){"BR"==e.nodeName&&this.top.type&&this.top.type.inlineContent&&this.addTextNode(e.ownerDocument.createTextNode("\n"))},ae.prototype.readStyles=function(e){for(var t=l.none,n=0;n<e.length;n+=2){var r=this.parser.matchStyle(e[n],e[n+1],this);if(r){if(r.ignore)return null;t=this.parser.schema.marks[r.mark].create(r.attrs).addToSet(t)}}return t},ae.prototype.addElementByRule=function(e,t){var n,r,o,i=this;t.node?(r=this.parser.schema.nodes[t.node]).isLeaf?this.insertNode(r.create(t.attrs))||this.leafFallback(e):n=this.enter(r,t.attrs,t.preserveWhitespace):(o=this.parser.schema.marks[t.mark].create(t.attrs),this.addPendingMark(o));var a=this.top;if(r&&r.isLeaf)this.findInside(e);else if(t.getContent)this.findInside(e),t.getContent(e,this.parser.schema).forEach((function(e){return i.insertNode(e)}));else{var s=t.contentElement;"string"==typeof s?s=e.querySelector(s):"function"==typeof s&&(s=s(e)),s||(s=e),this.findAround(e,s,!0),this.addAll(s,n)}n&&(this.sync(a),this.open--),o&&this.removePendingMark(o,a)},ae.prototype.addAll=function(e,t,n,r){for(var o=n||0,i=n?e.childNodes[n]:e.firstChild,a=null==r?null:e.childNodes[r];i!=a;i=i.nextSibling,++o)this.findAtPoint(e,o),this.addDOM(i),t&&te.hasOwnProperty(i.nodeName.toLowerCase())&&this.sync(t);this.findAtPoint(e,o)},ae.prototype.findPlace=function(e){for(var t,n,r=this.open;r>=0;r--){var o=this.nodes[r],i=o.findWrapping(e);if(i&&(!t||t.length>i.length)&&(t=i,n=o,!i.length))break;if(o.solid)break}if(!t)return!1;this.sync(n);for(var a=0;a<t.length;a++)this.enterInner(t[a],null,!1);return!0},ae.prototype.insertNode=function(e){if(e.isInline&&this.needsBlock&&!this.top.type){var t=this.textblockFromContext();t&&this.enterInner(t)}if(this.findPlace(e)){this.closeExtra();var n=this.top;n.applyPending(e.type),n.match&&(n.match=n.match.matchType(e.type));for(var r=n.activeMarks,o=0;o<e.marks.length;o++)n.type&&!n.type.allowsMarkType(e.marks[o].type)||(r=e.marks[o].addToSet(r));return n.content.push(e.mark(r)),!0}return!1},ae.prototype.enter=function(e,t,n){var r=this.findPlace(e.create(t));return r&&this.enterInner(e,t,!0,n),r},ae.prototype.enterInner=function(e,t,n,r){this.closeExtra();var o=this.top;o.applyPending(e),o.match=o.match&&o.match.matchType(e,t);var i=null==r?-5&o.options:oe(r);4&o.options&&0==o.content.length&&(i|=4),this.nodes.push(new ie(e,t,o.activeMarks,o.pendingMarks,n,null,i)),this.open++},ae.prototype.closeExtra=function(e){var t=this.nodes.length-1;if(t>this.open){for(;t>this.open;t--)this.nodes[t-1].content.push(this.nodes[t].finish(e));this.nodes.length=this.open+1}},ae.prototype.finish=function(){return this.open=0,this.closeExtra(this.isOpen),this.nodes[0].finish(this.isOpen||this.options.topOpen)},ae.prototype.sync=function(e){for(var t=this.open;t>=0;t--)if(this.nodes[t]==e)return void(this.open=t)},se.currentPos.get=function(){this.closeExtra();for(var e=0,t=this.open;t>=0;t--){for(var n=this.nodes[t].content,r=n.length-1;r>=0;r--)e+=n[r].nodeSize;t&&e++}return e},ae.prototype.findAtPoint=function(e,t){if(this.find)for(var n=0;n<this.find.length;n++)this.find[n].node==e&&this.find[n].offset==t&&(this.find[n].pos=this.currentPos)},ae.prototype.findInside=function(e){if(this.find)for(var t=0;t<this.find.length;t++)null==this.find[t].pos&&1==e.nodeType&&e.contains(this.find[t].node)&&(this.find[t].pos=this.currentPos)},ae.prototype.findAround=function(e,t,n){if(e!=t&&this.find)for(var r=0;r<this.find.length;r++){if(null==this.find[r].pos&&1==e.nodeType&&e.contains(this.find[r].node))t.compareDocumentPosition(this.find[r].node)&(n?2:4)&&(this.find[r].pos=this.currentPos)}},ae.prototype.findInText=function(e){if(this.find)for(var t=0;t<this.find.length;t++)this.find[t].node==e&&(this.find[t].pos=this.currentPos-(e.nodeValue.length-this.find[t].offset))},ae.prototype.matchesContext=function(e){var t=this;if(e.indexOf("|")>-1)return e.split(/\s*\|\s*/).some(this.matchesContext,this);var n=e.split("/"),r=this.options.context,o=!(this.isOpen||r&&r.parent.type!=this.nodes[0].type),i=-(r?r.depth+1:0)+(o?0:1),a=function(e,s){for(;e>=0;e--){var u=n[e];if(""==u){if(e==n.length-1||0==e)continue;for(;s>=i;s--)if(a(e-1,s))return!0;return!1}var c=s>0||0==s&&o?t.nodes[s].type:r&&s>=i?r.node(s-i).type:null;if(!c||c.name!=u&&-1==c.groups.indexOf(u))return!1;s--}return!0};return a(n.length-1,this.open)},ae.prototype.textblockFromContext=function(){var e=this.options.context;if(e)for(var t=e.depth;t>=0;t--){var n=e.node(t).contentMatchAt(e.indexAfter(t)).defaultType;if(n&&n.isTextblock&&n.defaultAttrs)return n}for(var r in this.parser.schema.nodes){var o=this.parser.schema.nodes[r];if(o.isTextblock&&o.defaultAttrs)return o}},ae.prototype.addPendingMark=function(e){this.top.pendingMarks=e.addToSet(this.top.pendingMarks)},ae.prototype.removePendingMark=function(e,t){for(var n=this.open;n>=0;n--){var r=this.nodes[n];if(r.pendingMarks.lastIndexOf(e)>-1?r.pendingMarks=e.removeFromSet(r.pendingMarks):r.activeMarks=e.removeFromSet(r.activeMarks),r==t)break}},Object.defineProperties(ae.prototype,se);var de=function(e,t){this.nodes=e||{},this.marks=t||{}};function he(e){var t={};for(var n in e){var r=e[n].spec.toDOM;r&&(t[n]=r)}return t}function fe(e){return e.document||window.document}de.prototype.serializeFragment=function(e,t,n){var r=this;void 0===t&&(t={}),n||(n=fe(t).createDocumentFragment());var o=n,i=null;return e.forEach((function(e){if(i||e.marks.length){i||(i=[]);for(var n=0,a=0;n<i.length&&a<e.marks.length;){var s=e.marks[a];if(r.marks[s.type.name]){if(!s.eq(i[n])||!1===s.type.spec.spanning)break;n+=2,a++}else a++}for(;n<i.length;)o=i.pop(),i.pop();for(;a<e.marks.length;){var u=e.marks[a++],c=r.serializeMark(u,e.isInline,t);c&&(i.push(u,o),o.appendChild(c.dom),o=c.contentDOM||c.dom)}}o.appendChild(r.serializeNode(e,t))})),n},de.prototype.serializeNode=function(e,t){void 0===t&&(t={});var n=de.renderSpec(fe(t),this.nodes[e.type.name](e)),r=n.dom,o=n.contentDOM;if(o){if(e.isLeaf)throw new RangeError("Content hole not allowed in a leaf node spec");t.onContent?t.onContent(e,o,t):this.serializeFragment(e.content,t,o)}return r},de.prototype.serializeNodeAndMarks=function(e,t){void 0===t&&(t={});for(var n=this.serializeNode(e,t),r=e.marks.length-1;r>=0;r--){var o=this.serializeMark(e.marks[r],e.isInline,t);o&&((o.contentDOM||o.dom).appendChild(n),n=o.dom)}return n},de.prototype.serializeMark=function(e,t,n){void 0===n&&(n={});var r=this.marks[e.type.name];return r&&de.renderSpec(fe(n),r(e,t))},de.renderSpec=function(e,t,n){if(void 0===n&&(n=null),"string"==typeof t)return{dom:e.createTextNode(t)};if(null!=t.nodeType)return{dom:t};var r=t[0],o=r.indexOf(" ");o>0&&(n=r.slice(0,o),r=r.slice(o+1));var i=null,a=n?e.createElementNS(n,r):e.createElement(r),s=t[1],u=1;if(s&&"object"==typeof s&&null==s.nodeType&&!Array.isArray(s))for(var c in u=2,s)if(null!=s[c]){var l=c.indexOf(" ");l>0?a.setAttributeNS(c.slice(0,l),c.slice(l+1),s[c]):a.setAttribute(c,s[c])}for(var d=u;d<t.length;d++){var h=t[d];if(0===h){if(d<t.length-1||d>u)throw new RangeError("Content hole must be the only child of its parent node");return{dom:a,contentDOM:a}}var f=de.renderSpec(e,h,n),p=f.dom,m=f.contentDOM;if(a.appendChild(p),m){if(i)throw new RangeError("Multiple content holes");i=m}}return{dom:a,contentDOM:i}},de.fromSchema=function(e){return e.cached.domSerializer||(e.cached.domSerializer=new de(this.nodesFromSchema(e),this.marksFromSchema(e)))},de.nodesFromSchema=function(e){var t=he(e.nodes);return t.text||(t.text=function(e){return e.text}),t},de.marksFromSchema=function(e){return he(e.marks)}},function(e,t,n){"use strict";n.d(t,"h",(function(){return r.Plugin})),n.d(t,"i",(function(){return r.PluginKey})),n.d(t,"a",(function(){return K})),n.d(t,"b",(function(){return Z})),n.d(t,"c",(function(){return Q})),n.d(t,"d",(function(){return oe})),n.d(t,"e",(function(){return I})),n.d(t,"f",(function(){return $})),n.d(t,"g",(function(){return q})),n.d(t,"j",(function(){return J}));var r=n(181),o=n(190),i=n(182),a=n(184);var s=function(e,t){var n=this;this.editorView=e,this.width=t.width||1,this.color=t.color||"black",this.class=t.class,this.cursorPos=null,this.element=null,this.timeout=null,this.handlers=["dragover","dragend","drop","dragleave"].map((function(t){var r=function(e){return n[t](e)};return e.dom.addEventListener(t,r),{name:t,handler:r}}))};s.prototype.destroy=function(){var e=this;this.handlers.forEach((function(t){var n=t.name,r=t.handler;return e.editorView.dom.removeEventListener(n,r)}))},s.prototype.update=function(e,t){null!=this.cursorPos&&t.doc!=e.state.doc&&this.updateOverlay()},s.prototype.setCursor=function(e){e!=this.cursorPos&&(this.cursorPos=e,null==e?(this.element.parentNode.removeChild(this.element),this.element=null):this.updateOverlay())},s.prototype.updateOverlay=function(){var e,t=this.editorView.state.doc.resolve(this.cursorPos);if(!t.parent.inlineContent){var n=t.nodeBefore,r=t.nodeAfter;if(n||r){var o=this.editorView.nodeDOM(this.cursorPos-(n?n.nodeSize:0)).getBoundingClientRect(),i=n?o.bottom:o.top;n&&r&&(i=(i+this.editorView.nodeDOM(this.cursorPos).getBoundingClientRect().top)/2),e={left:o.left,right:o.right,top:i-this.width/2,bottom:i+this.width/2}}}if(!e){var a=this.editorView.coordsAtPos(this.cursorPos);e={left:a.left-this.width/2,right:a.left+this.width/2,top:a.top,bottom:a.bottom}}var s=this.editorView.dom.offsetParent;this.element||(this.element=s.appendChild(document.createElement("div")),this.class&&(this.element.className=this.class),this.element.style.cssText="position: absolute; z-index: 50; pointer-events: none; background-color: "+this.color);var u=!s||s==document.body&&"static"==getComputedStyle(s).position?{left:-pageXOffset,top:-pageYOffset}:s.getBoundingClientRect();this.element.style.left=e.left-u.left+"px",this.element.style.top=e.top-u.top+"px",this.element.style.width=e.right-e.left+"px",this.element.style.height=e.bottom-e.top+"px"},s.prototype.scheduleRemoval=function(e){var t=this;clearTimeout(this.timeout),this.timeout=setTimeout((function(){return t.setCursor(null)}),e)},s.prototype.dragover=function(e){if(this.editorView.editable){var t=this.editorView.posAtCoords({left:e.clientX,top:e.clientY});if(t){var n=t.pos;this.editorView.dragging&&this.editorView.dragging.slice&&null==(n=Object(a.h)(this.editorView.state.doc,n,this.editorView.dragging.slice))&&(n=t.pos),this.setCursor(n),this.scheduleRemoval(5e3)}}},s.prototype.dragend=function(){this.scheduleRemoval(20)},s.prototype.drop=function(){this.scheduleRemoval(20)},s.prototype.dragleave=function(e){e.target!=this.editorView.dom&&this.editorView.dom.contains(e.relatedTarget)||this.setCursor(null)};var u=n(222),c=function(e){function t(t){e.call(this,t,t)}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.map=function(n,r){var o=n.resolve(r.map(this.head));return t.valid(o)?new t(o):e.near(o)},t.prototype.content=function(){return i.Slice.empty},t.prototype.eq=function(e){return e instanceof t&&e.head==this.head},t.prototype.toJSON=function(){return{type:"gapcursor",pos:this.head}},t.fromJSON=function(e,n){if("number"!=typeof n.pos)throw new RangeError("Invalid input for GapCursor.fromJSON");return new t(e.resolve(n.pos))},t.prototype.getBookmark=function(){return new l(this.anchor)},t.valid=function(e){var t=e.parent;if(t.isTextblock||!function(e){for(var t=e.depth;t>=0;t--){var n=e.index(t);if(0!=n)for(var r=e.node(t).child(n-1);;r=r.lastChild){if(0==r.childCount&&!r.inlineContent||r.isAtom||r.type.spec.isolating)return!0;if(r.inlineContent)return!1}}return!0}(e)||!function(e){for(var t=e.depth;t>=0;t--){var n=e.indexAfter(t),r=e.node(t);if(n!=r.childCount)for(var o=r.child(n);;o=o.firstChild){if(0==o.childCount&&!o.inlineContent||o.isAtom||o.type.spec.isolating)return!0;if(o.inlineContent)return!1}}return!0}(e))return!1;var n=t.type.spec.allowGapCursor;if(null!=n)return n;var r=t.contentMatchAt(e.index()).defaultType;return r&&r.isTextblock},t.findFrom=function(e,n,o){e:for(;;){if(!o&&t.valid(e))return e;for(var i=e.pos,a=null,s=e.depth;;s--){var u=e.node(s);if(n>0?e.indexAfter(s)<u.childCount:e.index(s)>0){a=u.child(n>0?e.indexAfter(s):e.index(s)-1);break}if(0==s)return null;i+=n;var c=e.doc.resolve(i);if(t.valid(c))return c}for(;;){var l=n>0?a.firstChild:a.lastChild;if(!l){if(a.isAtom&&!a.isText&&!r.NodeSelection.isSelectable(a)){e=e.doc.resolve(i+a.nodeSize*n),o=!1;continue e}break}a=l,i+=n;var d=e.doc.resolve(i);if(t.valid(d))return d}return null}},t}(r.Selection);c.prototype.visible=!1,r.Selection.jsonID("gapcursor",c);var l=function(e){this.pos=e};l.prototype.map=function(e){return new l(e.map(this.pos))},l.prototype.resolve=function(e){var t=e.resolve(this.pos);return c.valid(t)?new c(t):r.Selection.near(t)};var d=Object(u.a)({ArrowLeft:h("horiz",-1),ArrowRight:h("horiz",1),ArrowUp:h("vert",-1),ArrowDown:h("vert",1)});function h(e,t){var n="vert"==e?t>0?"down":"up":t>0?"right":"left";return function(e,o,i){var a=e.selection,s=t>0?a.$to:a.$from,u=a.empty;if(a instanceof r.TextSelection){if(!i.endOfTextblock(n)||0==s.depth)return!1;u=!1,s=e.doc.resolve(t>0?s.after():s.before())}var l=c.findFrom(s,t,u);return!!l&&(o&&o(e.tr.setSelection(new c(l))),!0)}}function f(e,t,n){if(!e.editable)return!1;var o=e.state.doc.resolve(t);if(!c.valid(o))return!1;var i=e.posAtCoords({left:n.clientX,top:n.clientY}).inside;return!(i>-1&&r.NodeSelection.isSelectable(e.state.doc.nodeAt(i)))&&(e.dispatch(e.state.tr.setSelection(new c(o))),!0)}function p(e){if(!(e.selection instanceof c))return null;var t=document.createElement("div");return t.className="ProseMirror-gapcursor",o.b.create(e.doc,[o.a.widget(e.selection.head,t,{key:"gapcursor"})])}var m=n(180),_=n(185),g=n(191),y=n(45),v=n(196);
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[197],[function(e,t){e.exports=function(e){try{return!!e()}catch(e){return!0}}},function(e,t,n){var r=n(2),o=n(72),i=n(4),a=n(39),s=n(88),u=n(147),c=o("wks"),l=r.Symbol,d=u?l:l&&l.withoutSetter||a;e.exports=function(e){return i(c,e)||(s&&i(l,e)?c[e]=l[e]:c[e]=d("Symbol."+e)),c[e]}},function(e,t,n){(function(t){var n=function(e){return e&&e.Math==Math&&e};e.exports=n("object"==typeof globalThis&&globalThis)||n("object"==typeof window&&window)||n("object"==typeof self&&self)||n("object"==typeof t&&t)||Function("return this")()}).call(this,n(46))},function(e,t,n){"use strict";var r=n(77),o=Object.prototype.toString;function i(e){return"[object Array]"===o.call(e)}function a(e){return void 0===e}function s(e){return null!==e&&"object"==typeof e}function u(e){return"[object Function]"===o.call(e)}function c(e,t){if(null!=e)if("object"!=typeof e&&(e=[e]),i(e))for(var n=0,r=e.length;n<r;n++)t.call(null,e[n],n,e);else for(var o in e)Object.prototype.hasOwnProperty.call(e,o)&&t.call(null,e[o],o,e)}e.exports={isArray:i,isArrayBuffer:function(e){return"[object ArrayBuffer]"===o.call(e)},isBuffer:function(e){return null!==e&&!a(e)&&null!==e.constructor&&!a(e.constructor)&&"function"==typeof e.constructor.isBuffer&&e.constructor.isBuffer(e)},isFormData:function(e){return"undefined"!=typeof FormData&&e instanceof FormData},isArrayBufferView:function(e){return"undefined"!=typeof ArrayBuffer&&ArrayBuffer.isView?ArrayBuffer.isView(e):e&&e.buffer&&e.buffer instanceof ArrayBuffer},isString:function(e){return"string"==typeof e},isNumber:function(e){return"number"==typeof e},isObject:s,isUndefined:a,isDate:function(e){return"[object Date]"===o.call(e)},isFile:function(e){return"[object File]"===o.call(e)},isBlob:function(e){return"[object Blob]"===o.call(e)},isFunction:u,isStream:function(e){return s(e)&&u(e.pipe)},isURLSearchParams:function(e){return"undefined"!=typeof URLSearchParams&&e instanceof URLSearchParams},isStandardBrowserEnv:function(){return("undefined"==typeof navigator||"ReactNative"!==navigator.product&&"NativeScript"!==navigator.product&&"NS"!==navigator.product)&&("undefined"!=typeof window&&"undefined"!=typeof document)},forEach:c,merge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]=n}for(var r=0,o=arguments.length;r<o;r++)c(arguments[r],n);return t},deepMerge:function e(){var t={};function n(n,r){"object"==typeof t[r]&&"object"==typeof n?t[r]=e(t[r],n):t[r]="object"==typeof n?e({},n):n}for(var r=0,o=arguments.length;r<o;r++)c(arguments[r],n);return t},extend:function(e,t,n){return c(t,(function(t,o){e[o]=n&&"function"==typeof t?r(t,n):t})),e},trim:function(e){return e.replace(/^\s*/,"").replace(/\s*$/,"")}}},function(e,t){var n={}.hasOwnProperty;e.exports=function(e,t){return n.call(e,t)}},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e))throw TypeError(String(e)+" is not an object");return e}},function(e,t){e.exports=function(e){return"object"==typeof e?null!==e:"function"==typeof e}},function(e,t,n){var r=n(0);e.exports=!r((function(){return 7!=Object.defineProperty({},1,{get:function(){return 7}})[1]}))},function(e,t,n){var r=n(7),o=n(70),i=n(5),a=n(34),s=Object.defineProperty;t.f=r?s:function(e,t,n){if(i(e),t=a(t,!0),i(n),o)try{return s(e,t,n)}catch(e){}if("get"in n||"set"in n)throw TypeError("Accessors not supported");return"value"in n&&(e[t]=n.value),e}},function(e,t,n){var r=n(7),o=n(8),i=n(24);e.exports=r?function(e,t,n){return o.f(e,t,i(1,n))}:function(e,t,n){return e[t]=n,e}},function(e,t,n){var r=n(2),o=n(54).f,i=n(9),a=n(12),s=n(37),u=n(126),c=n(55);e.exports=function(e,t){var n,l,d,h,f,p=e.target,m=e.global,_=e.stat;if(n=m?r:_?r[p]||s(p,{}):(r[p]||{}).prototype)for(l in t){if(h=t[l],d=e.noTargetGet?(f=o(n,l))&&f.value:n[l],!c(m?l:p+(_?".":"#")+l,e.forced)&&void 0!==d){if(typeof h==typeof d)continue;u(h,d)}(e.sham||d&&d.sham)&&i(h,"sham",!0),a(n,l,h,e)}}},function(e,t,n){"use strict";n(103),n(58),n(51),n(53),n(99),n(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getRootUrl=t.generateFilePath=t.imagePath=t.generateUrl=t.generateOcsUrl=t.generateRemoteUrl=t.linkTo=void 0;t.linkTo=function(e,t){return r(e,"",t)};t.generateRemoteUrl=function(e){return window.location.protocol+"//"+window.location.host+function(e){return o()+"/remote.php/"+e}(e)};t.generateOcsUrl=function(e,t){return t=2!==t?1:2,window.location.protocol+"//"+window.location.host+o()+"/ocs/v"+t+".php/"+e+"/"};t.generateUrl=function(e,t,n){var r=Object.assign({escape:!0,noRewrite:!1},n||{}),i=function(e,t){return t=t||{},e.replace(/{([^{}]*)}/g,(function(e,n){var o=t[n];return r.escape?"string"==typeof o||"number"==typeof o?encodeURIComponent(o.toString()):encodeURIComponent(e):"string"==typeof o||"number"==typeof o?o.toString():e}))};return"/"!==e.charAt(0)&&(e="/"+e),!0!==OC.config.modRewriteWorking||r.noRewrite?o()+"/index.php"+i(e,t||{}):o()+i(e,t||{})};t.imagePath=function(e,t){return-1===t.indexOf(".")?r(e,"img",t+".svg"):r(e,"img",t)};var r=function(e,t,n){var r=-1!==OC.coreApps.indexOf(e),i=o();return"php"!==n.substring(n.length-3)||r?"php"===n.substring(n.length-3)||r?(i+="settings"!==e&&"core"!==e&&"search"!==e||"ajax"!==t?"/":"/index.php/",r||(i+="apps/"),""!==e&&(i+=e+="/"),t&&(i+=t+"/"),i+=n):(i=OC.appswebroots[e],t&&(i+="/"+t+"/"),"/"!==i.substring(i.length-1)&&(i+="/"),i+=n):(i+="/index.php/apps/"+e,"index.php"!==n&&(i+="/",t&&(i+=encodeURI(t+"/")),i+=n)),i};t.generateFilePath=r;var o=function(){return OC.webroot};t.getRootUrl=o},function(e,t,n){var r=n(2),o=n(9),i=n(4),a=n(37),s=n(62),u=n(20),c=u.get,l=u.enforce,d=String(String).split("String");(e.exports=function(e,t,n,s){var u=!!s&&!!s.unsafe,c=!!s&&!!s.enumerable,h=!!s&&!!s.noTargetGet;"function"==typeof n&&("string"!=typeof t||i(n,"name")||o(n,"name",t),l(n).source=d.join("string"==typeof t?t:"")),e!==r?(u?!h&&e[t]&&(c=!0):delete e[t],c?e[t]=n:o(e,t,n)):c?e[t]=n:a(t,n)})(Function.prototype,"toString",(function(){return"function"==typeof this&&c(this).source||s(this)}))},,function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.subscribe=function(e,t){i.subscribe(e,t)},t.unsubscribe=function(e,t){i.unsubscribe(e,t)},t.emit=function(e,t){i.emit(e,t)};var r=n(148),o=n(153);var i=(void 0!==window.OC&&window.OC._eventBus&&void 0===window._nc_event_bus&&(console.warn("found old event bus instance at OC._eventBus. Update your version!"),window._nc_event_bus=window.OC._eventBus),void 0!==window._nc_event_bus?new r.ProxyBus(window._nc_event_bus):window._nc_event_bus=new o.SimpleBus)},function(e,t,n){var r=n(23),o=Math.min;e.exports=function(e){return e>0?o(r(e),9007199254740991):0}},function(e,t,n){var r=n(21);e.exports=function(e){return Object(r(e))}},function(e,t){e.exports={}},function(e,t,n){"use strict";function r(e,t,n,r,o,i,a,s){var u,c="function"==typeof e?e.options:e;if(t&&(c.render=t,c.staticRenderFns=n,c._compiled=!0),r&&(c.functional=!0),i&&(c._scopeId="data-v-"+i),a?(u=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(a)},c._ssrRegister=u):o&&(u=s?function(){o.call(this,(c.functional?this.parent:this).$root.$options.shadowRoot)}:o),u)if(c.functional){c._injectStyles=u;var l=c.render;c.render=function(e,t){return u.call(t),l(e,t)}}else{var d=c.beforeCreate;c.beforeCreate=d?[].concat(d,u):[u]}return{exports:e,options:c}}n.d(t,"a",(function(){return r}))},function(e,t){var n={}.toString;e.exports=function(e){return n.call(e).slice(8,-1)}},function(e,t,n){var r,o,i,a=n(125),s=n(2),u=n(6),c=n(9),l=n(4),d=n(38),h=n(26),f=s.WeakMap;if(a){var p=new f,m=p.get,_=p.has,g=p.set;r=function(e,t){return g.call(p,e,t),t},o=function(e){return m.call(p,e)||{}},i=function(e){return _.call(p,e)}}else{var y=d("state");h[y]=!0,r=function(e,t){return c(e,y,t),t},o=function(e){return l(e,y)?e[y]:{}},i=function(e){return l(e,y)}}e.exports={set:r,get:o,has:i,enforce:function(e){return i(e)?o(e):r(e,{})},getterFor:function(e){return function(t){var n;if(!u(t)||(n=o(t)).type!==e)throw TypeError("Incompatible receiver, "+e+" required");return n}}}},function(e,t){e.exports=function(e){if(null==e)throw TypeError("Can't call method on "+e);return e}},function(e,t,n){var r=n(128),o=n(2),i=function(e){return"function"==typeof e?e:void 0};e.exports=function(e,t){return arguments.length<2?i(r[e])||i(o[e]):r[e]&&r[e][t]||o[e]&&o[e][t]}},function(e,t){var n=Math.ceil,r=Math.floor;e.exports=function(e){return isNaN(e=+e)?0:(e>0?r:n)(e)}},function(e,t){e.exports=function(e,t){return{enumerable:!(1&e),configurable:!(2&e),writable:!(4&e),value:t}}},function(e,t,n){var r=n(36),o=n(21);e.exports=function(e){return r(o(e))}},function(e,t){e.exports={}},,,function(e,t,n){"use strict";n(58),Object.defineProperty(t,"__esModule",{value:!0}),t.default=void 0;var r,o=(r=n(129))&&r.__esModule?r:{default:r},i=n(109);var a=o.default.create({headers:{requesttoken:(0,i.getRequestToken)()}}),s=Object.assign(a,{CancelToken:o.default.CancelToken,isCancel:o.default.isCancel});(0,i.onRequestTokenUpdate)((function(e){return a.defaults.headers.requesttoken=e}));var u=s;t.default=u},function(e,t,n){var r=n(104);e.exports=function(e,t,n){if(r(e),void 0===t)return e;switch(n){case 0:return function(){return e.call(t)};case 1:return function(n){return e.call(t,n)};case 2:return function(n,r){return e.call(t,n,r)};case 3:return function(n,r,o){return e.call(t,n,r,o)}}return function(){return e.apply(t,arguments)}}},function(e,t,n){var r=n(7),o=n(0),i=n(4),a=Object.defineProperty,s={},u=function(e){throw e};e.exports=function(e,t){if(i(s,e))return s[e];t||(t={});var n=[][e],c=!!i(t,"ACCESSORS")&&t.ACCESSORS,l=i(t,0)?t[0]:u,d=i(t,1)?t[1]:void 0;return s[e]=!!n&&!o((function(){if(c&&!r)return!0;var e={length:-1};c?a(e,1,{enumerable:!0,get:u}):e[1]=1,n.call(e,l,d)}))}},function(e,t,n){var r,o=n(5),i=n(115),a=n(40),s=n(26),u=n(116),c=n(61),l=n(38),d=l("IE_PROTO"),h=function(){},f=function(e){return"<script>"+e+"<\/script>"},p=function(){try{r=document.domain&&new ActiveXObject("htmlfile")}catch(e){}var e,t;p=r?function(e){e.write(f("")),e.close();var t=e.parentWindow.Object;return e=null,t}(r):((t=c("iframe")).style.display="none",u.appendChild(t),t.src=String("javascript:"),(e=t.contentWindow.document).open(),e.write(f("document.F=Object")),e.close(),e.F);for(var n=a.length;n--;)delete p.prototype[a[n]];return p()};s[d]=!0,e.exports=Object.create||function(e,t){var n;return null!==e?(h.prototype=o(e),n=new h,h.prototype=null,n[d]=e):n=p(),void 0===t?n:i(n,t)}},function(e,t,n){var r=n(8).f,o=n(4),i=n(1)("toStringTag");e.exports=function(e,t,n){e&&!o(e=n?e:e.prototype,i)&&r(e,i,{configurable:!0,value:t})}},function(e,t,n){var r=n(6);e.exports=function(e,t){if(!r(e))return e;var n,o;if(t&&"function"==typeof(n=e.toString)&&!r(o=n.call(e)))return o;if("function"==typeof(n=e.valueOf)&&!r(o=n.call(e)))return o;if(!t&&"function"==typeof(n=e.toString)&&!r(o=n.call(e)))return o;throw TypeError("Can't convert object to primitive value")}},function(e,t){e.exports=!1},function(e,t,n){var r=n(0),o=n(19),i="".split;e.exports=r((function(){return!Object("z").propertyIsEnumerable(0)}))?function(e){return"String"==o(e)?i.call(e,""):Object(e)}:Object},function(e,t,n){var r=n(2),o=n(9);e.exports=function(e,t){try{o(r,e,t)}catch(n){r[e]=t}return t}},function(e,t,n){var r=n(72),o=n(39),i=r("keys");e.exports=function(e){return i[e]||(i[e]=o(e))}},function(e,t){var n=0,r=Math.random();e.exports=function(e){return"Symbol("+String(void 0===e?"":e)+")_"+(++n+r).toString(36)}},function(e,t){e.exports=["constructor","hasOwnProperty","isPrototypeOf","propertyIsEnumerable","toLocaleString","toString","valueOf"]},function(e,t){const n=Number.MAX_SAFE_INTEGER||9007199254740991;e.exports={SEMVER_SPEC_VERSION:"2.0.0",MAX_LENGTH:256,MAX_SAFE_INTEGER:n,MAX_SAFE_COMPONENT_LENGTH:16}},function(e,t,n){"use strict";var r=n(10),o=n(117),i=n(93),a=n(94),s=n(33),u=n(9),c=n(12),l=n(1),d=n(35),h=n(17),f=n(92),p=f.IteratorPrototype,m=f.BUGGY_SAFARI_ITERATORS,_=l("iterator"),g=function(){return this};e.exports=function(e,t,n,l,f,y,v){o(n,t,l);var M,k,b,w=function(e){if(e===f&&S)return S;if(!m&&e in D)return D[e];switch(e){case"keys":case"values":case"entries":return function(){return new n(this,e)}}return function(){return new n(this)}},L=t+" Iterator",T=!1,D=e.prototype,x=D[_]||D["@@iterator"]||f&&D[f],S=!m&&x||w(f),Y="Array"==t&&D.entries||x;if(Y&&(M=i(Y.call(new e)),p!==Object.prototype&&M.next&&(d||i(M)===p||(a?a(M,p):"function"!=typeof M[_]&&u(M,_,g)),s(M,L,!0,!0),d&&(h[L]=g))),"values"==f&&x&&"values"!==x.name&&(T=!0,S=function(){return x.call(this)}),d&&!v||D[_]===S||u(D,_,S),h[t]=S,f)if(k={values:w("values"),keys:y?S:w("keys"),entries:w("entries")},v)for(b in k)(m||T||!(b in D))&&c(D,b,k[b]);else r({target:t,proto:!0,forced:m||T},k);return k}},function(e,t,n){var r={};r[n(1)("toStringTag")]="z",e.exports="[object z]"===String(r)},function(e,t,n){"use strict";var r,o,i=n(97),a=n(164),s=RegExp.prototype.exec,u=String.prototype.replace,c=s,l=(r=/a/,o=/b*/g,s.call(r,"a"),s.call(o,"a"),0!==r.lastIndex||0!==o.lastIndex),d=a.UNSUPPORTED_Y||a.BROKEN_CARET,h=void 0!==/()??/.exec("")[1];(l||h||d)&&(c=function(e){var t,n,r,o,a=this,c=d&&a.sticky,f=i.call(a),p=a.source,m=0,_=e;return c&&(-1===(f=f.replace("y","")).indexOf("g")&&(f+="g"),_=String(e).slice(a.lastIndex),a.lastIndex>0&&(!a.multiline||a.multiline&&"\n"!==e[a.lastIndex-1])&&(p="(?: "+p+")",_=" "+_,m++),n=new RegExp("^(?:"+p+")",f)),h&&(n=new RegExp("^"+p+"$(?!\\s)",f)),l&&(t=a.lastIndex),r=s.call(c?n:a,_),c?r?(r.input=r.input.slice(m),r[0]=r[0].slice(m),r.index=a.lastIndex,a.lastIndex+=r[0].length):a.lastIndex=0:l&&r&&(a.lastIndex=a.global?r.index+r[0].length:t),h&&r&&r.length>1&&u.call(r[0],n,(function(){for(o=1;o<arguments.length-2;o++)void 0===arguments[o]&&(r[o]=void 0)})),r}),e.exports=c},,function(e,t){var n;n=function(){return this}();try{n=n||new Function("return this")()}catch(e){"object"==typeof window&&(n=window)}e.exports=n},function(e,t){var n,r,o=e.exports={};function i(){throw new Error("setTimeout has not been defined")}function a(){throw new Error("clearTimeout has not been defined")}function s(e){if(n===setTimeout)return setTimeout(e,0);if((n===i||!n)&&setTimeout)return n=setTimeout,setTimeout(e,0);try{return n(e,0)}catch(t){try{return n.call(null,e,0)}catch(t){return n.call(this,e,0)}}}!function(){try{n="function"==typeof setTimeout?setTimeout:i}catch(e){n=i}try{r="function"==typeof clearTimeout?clearTimeout:a}catch(e){r=a}}();var u,c=[],l=!1,d=-1;function h(){l&&u&&(l=!1,u.length?c=u.concat(c):d=-1,c.length&&f())}function f(){if(!l){var e=s(h);l=!0;for(var t=c.length;t;){for(u=c,c=[];++d<t;)u&&u[d].run();d=-1,t=c.length}u=null,l=!1,function(e){if(r===clearTimeout)return clearTimeout(e);if((r===a||!r)&&clearTimeout)return r=clearTimeout,clearTimeout(e);try{r(e)}catch(t){try{return r.call(null,e)}catch(t){return r.call(this,e)}}}(e)}}function p(e,t){this.fun=e,this.array=t}function m(){}o.nextTick=function(e){var t=new Array(arguments.length-1);if(arguments.length>1)for(var n=1;n<arguments.length;n++)t[n-1]=arguments[n];c.push(new p(e,t)),1!==c.length||l||s(f)},p.prototype.run=function(){this.fun.apply(null,this.array)},o.title="browser",o.browser=!0,o.env={},o.argv=[],o.version="",o.versions={},o.on=m,o.addListener=m,o.once=m,o.off=m,o.removeListener=m,o.removeAllListeners=m,o.emit=m,o.prependListener=m,o.prependOnceListener=m,o.listeners=function(e){return[]},o.binding=function(e){throw new Error("process.binding is not supported")},o.cwd=function(){return"/"},o.chdir=function(e){throw new Error("process.chdir is not supported")},o.umask=function(){return 0}},,function(e,t,n){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var n=function(e,t){var n=e[1]||"",r=e[3];if(!r)return n;if(t&&"function"==typeof btoa){var o=(a=r,s=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),u="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(s),"/*# ".concat(u," */")),i=r.sources.map((function(e){return"/*# sourceURL=".concat(r.sourceRoot||"").concat(e," */")}));return[n].concat(i).concat([o]).join("\n")}var a,s,u;return[n].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(n,"}"):n})).join("")},t.i=function(e,n,r){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(r)for(var i=0;i<this.length;i++){var a=this[i][0];null!=a&&(o[a]=!0)}for(var s=0;s<e.length;s++){var u=[].concat(e[s]);r&&o[u[0]]||(n&&(u[2]?u[2]="".concat(n," and ").concat(u[2]):u[2]=n),t.push(u))}},t}},function(e,t,n){"use strict";function r(e,t){for(var n=[],r={},o=0;o<t.length;o++){var i=t[o],a=i[0],s={id:e+":"+o,css:i[1],media:i[2],sourceMap:i[3]};r[a]?r[a].parts.push(s):n.push(r[a]={id:a,parts:[s]})}return n}n.r(t),n.d(t,"default",(function(){return f}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var i={},a=o&&(document.head||document.getElementsByTagName("head")[0]),s=null,u=0,c=!1,l=function(){},d=null,h="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function f(e,t,n,o){c=n,d=o||{};var a=r(e,t);return p(a),function(t){for(var n=[],o=0;o<a.length;o++){var s=a[o];(u=i[s.id]).refs--,n.push(u)}t?p(a=r(e,t)):a=[];for(o=0;o<n.length;o++){var u;if(0===(u=n[o]).refs){for(var c=0;c<u.parts.length;c++)u.parts[c]();delete i[u.id]}}}}function p(e){for(var t=0;t<e.length;t++){var n=e[t],r=i[n.id];if(r){r.refs++;for(var o=0;o<r.parts.length;o++)r.parts[o](n.parts[o]);for(;o<n.parts.length;o++)r.parts.push(_(n.parts[o]));r.parts.length>n.parts.length&&(r.parts.length=n.parts.length)}else{var a=[];for(o=0;o<n.parts.length;o++)a.push(_(n.parts[o]));i[n.id]={id:n.id,refs:1,parts:a}}}}function m(){var e=document.createElement("style");return e.type="text/css",a.appendChild(e),e}function _(e){var t,n,r=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(r){if(c)return l;r.parentNode.removeChild(r)}if(h){var o=u++;r=s||(s=m()),t=v.bind(null,r,o,!1),n=v.bind(null,r,o,!0)}else r=m(),t=M.bind(null,r),n=function(){r.parentNode.removeChild(r)};return t(e),function(r){if(r){if(r.css===e.css&&r.media===e.media&&r.sourceMap===e.sourceMap)return;t(e=r)}else n()}}var g,y=(g=[],function(e,t){return g[e]=t,g.filter(Boolean).join("\n")});function v(e,t,n,r){var o=n?"":r.css;if(e.styleSheet)e.styleSheet.cssText=y(t,o);else{var i=document.createTextNode(o),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(i,a[t]):e.appendChild(i)}}function M(e,t){var n=t.css,r=t.media,o=t.sourceMap;if(r&&e.setAttribute("media",r),d.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(n+="\n/*# sourceURL="+o.sources[0]+" */",n+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=n;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(n))}}},function(e,t,n){var r=n(43),o=n(12),i=n(161);r||o(Object.prototype,"toString",i,{unsafe:!0})},function(e,t,n){"use strict";var r=n(25),o=n(154),i=n(17),a=n(20),s=n(42),u=a.set,c=a.getterFor("Array Iterator");e.exports=s(Array,"Array",(function(e,t){u(this,{type:"Array Iterator",target:r(e),index:0,kind:t})}),(function(){var e=c(this),t=e.target,n=e.kind,r=e.index++;return!t||r>=t.length?(e.target=void 0,{value:void 0,done:!0}):"keys"==n?{value:r,done:!1}:"values"==n?{value:t[r],done:!1}:{value:[r,t[r]],done:!1}}),"values"),i.Arguments=i.Array,o("keys"),o("values"),o("entries")},function(e,t,n){"use strict";var r=n(10),o=n(44);r({target:"RegExp",proto:!0,forced:/./.exec!==o},{exec:o})},function(e,t,n){var r=n(7),o=n(69),i=n(24),a=n(25),s=n(34),u=n(4),c=n(70),l=Object.getOwnPropertyDescriptor;t.f=r?l:function(e,t){if(e=a(e),t=s(t,!0),c)try{return l(e,t)}catch(e){}if(u(e,t))return i(!o.f.call(e,t),e[t])}},function(e,t,n){var r=n(0),o=/#|\.prototype\./,i=function(e,t){var n=s[a(e)];return n==c||n!=u&&("function"==typeof t?r(t):!!t)},a=i.normalize=function(e){return String(e).replace(o,".").toLowerCase()},s=i.data={},u=i.NATIVE="N",c=i.POLYFILL="P";e.exports=i},function(e,t,n){var r=n(0),o=n(1),i=n(65),a=o("species");e.exports=function(e){return i>=51||!r((function(){var t=[];return(t.constructor={})[a]=function(){return{foo:1}},1!==t[e](Boolean).foo}))}},function(e,t){e.exports=function(e,t,n){if(!(e instanceof t))throw TypeError("Incorrect "+(n?n+" ":"")+"invocation");return e}},function(e,t,n){var r=n(10),o=n(113);r({target:"Object",stat:!0,forced:Object.assign!==o},{assign:o})},function(e,t,n){var r=n(6),o=n(87),i=n(1)("species");e.exports=function(e,t){var n;return o(e)&&("function"!=typeof(n=e.constructor)||n!==Array&&!o(n.prototype)?r(n)&&null===(n=n[i])&&(n=void 0):n=void 0),new(void 0===n?Array:n)(0===t?0:t)}},function(e,t,n){"use strict";var r=n(10),o=n(0),i=n(87),a=n(6),s=n(16),u=n(15),c=n(105),l=n(59),d=n(56),h=n(1),f=n(65),p=h("isConcatSpreadable"),m=f>=51||!o((function(){var e=[];return e[p]=!1,e.concat()[0]!==e})),_=d("concat"),g=function(e){if(!a(e))return!1;var t=e[p];return void 0!==t?!!t:i(e)};r({target:"Array",proto:!0,forced:!m||!_},{concat:function(e){var t,n,r,o,i,a=s(this),d=l(a,0),h=0;for(t=-1,r=arguments.length;t<r;t++)if(g(i=-1===t?a:arguments[t])){if(h+(o=u(i.length))>9007199254740991)throw TypeError("Maximum allowed index exceeded");for(n=0;n<o;n++,h++)n in i&&c(d,h,i[n])}else{if(h>=9007199254740991)throw TypeError("Maximum allowed index exceeded");c(d,h++,i)}return d.length=h,d}})},function(e,t,n){var r=n(2),o=n(6),i=r.document,a=o(i)&&o(i.createElement);e.exports=function(e){return a?i.createElement(e):{}}},function(e,t,n){var r=n(71),o=Function.toString;"function"!=typeof r.inspectSource&&(r.inspectSource=function(e){return o.call(e)}),e.exports=r.inspectSource},function(e,t,n){var r=n(30),o=n(36),i=n(16),a=n(15),s=n(59),u=[].push,c=function(e){var t=1==e,n=2==e,c=3==e,l=4==e,d=6==e,h=5==e||d;return function(f,p,m,_){for(var g,y,v=i(f),M=o(v),k=r(p,m,3),b=a(M.length),w=0,L=_||s,T=t?L(f,b):n?L(f,0):void 0;b>w;w++)if((h||w in M)&&(y=k(g=M[w],w,v),e))if(t)T[w]=y;else if(y)switch(e){case 3:return!0;case 5:return g;case 6:return w;case 2:u.call(T,g)}else if(l)return!1;return d?-1:c||l?l:T}};e.exports={forEach:c(0),map:c(1),filter:c(2),some:c(3),every:c(4),find:c(5),findIndex:c(6)}},function(e,t,n){"use strict";var r=n(0);e.exports=function(e,t){var n=[][e];return!!n&&r((function(){n.call(null,t||function(){throw 1},1)}))}},function(e,t,n){var r,o,i=n(2),a=n(114),s=i.process,u=s&&s.versions,c=u&&u.v8;c?o=(r=c.split("."))[0]+r[1]:a&&(!(r=a.match(/Edge\/(\d+)/))||r[1]>=74)&&(r=a.match(/Chrome\/(\d+)/))&&(o=r[1]),e.exports=o&&+o},function(e,t,n){var r=n(5),o=n(118),i=n(15),a=n(30),s=n(106),u=n(119),c=function(e,t){this.stopped=e,this.result=t};(e.exports=function(e,t,n,l,d){var h,f,p,m,_,g,y,v=a(t,n,l?2:1);if(d)h=e;else{if("function"!=typeof(f=s(e)))throw TypeError("Target is not iterable");if(o(f)){for(p=0,m=i(e.length);m>p;p++)if((_=l?v(r(y=e[p])[0],y[1]):v(e[p]))&&_ instanceof c)return _;return new c(!1)}h=f.call(e)}for(g=h.next;!(y=g.call(h)).done;)if("object"==typeof(_=u(h,v,y.value,l))&&_&&_ instanceof c)return _;return new c(!1)}).stop=function(e){return new c(!0,e)}},function(e,t,n){var r=n(43),o=n(19),i=n(1)("toStringTag"),a="Arguments"==o(function(){return arguments}());e.exports=r?o:function(e){var t,n,r;return void 0===e?"Undefined":null===e?"Null":"string"==typeof(n=function(e,t){try{return e[t]}catch(e){}}(t=Object(e),i))?n:a?o(t):"Object"==(r=o(t))&&"function"==typeof t.callee?"Arguments":r}},function(e,t,n){var r=n(23),o=n(21),i=function(e){return function(t,n){var i,a,s=String(o(t)),u=r(n),c=s.length;return u<0||u>=c?e?"":void 0:(i=s.charCodeAt(u))<55296||i>56319||u+1===c||(a=s.charCodeAt(u+1))<56320||a>57343?e?s.charAt(u):i:e?s.slice(u,u+2):a-56320+(i-55296<<10)+65536}};e.exports={codeAt:i(!1),charAt:i(!0)}},function(e,t,n){"use strict";var r={}.propertyIsEnumerable,o=Object.getOwnPropertyDescriptor,i=o&&!r.call({1:2},1);t.f=i?function(e){var t=o(this,e);return!!t&&t.enumerable}:r},function(e,t,n){var r=n(7),o=n(0),i=n(61);e.exports=!r&&!o((function(){return 7!=Object.defineProperty(i("div"),"a",{get:function(){return 7}}).a}))},function(e,t,n){var r=n(2),o=n(37),i=r["__core-js_shared__"]||o("__core-js_shared__",{});e.exports=i},function(e,t,n){var r=n(35),o=n(71);(e.exports=function(e,t){return o[e]||(o[e]=void 0!==t?t:{})})("versions",[]).push({version:"3.6.5",mode:r?"pure":"global",copyright:"© 2020 Denis Pushkarev (zloirock.ru)"})},function(e,t,n){var r=n(4),o=n(25),i=n(74).indexOf,a=n(26);e.exports=function(e,t){var n,s=o(e),u=0,c=[];for(n in s)!r(a,n)&&r(s,n)&&c.push(n);for(;t.length>u;)r(s,n=t[u++])&&(~i(c,n)||c.push(n));return c}},function(e,t,n){var r=n(25),o=n(15),i=n(108),a=function(e){return function(t,n,a){var s,u=r(t),c=o(u.length),l=i(a,c);if(e&&n!=n){for(;c>l;)if((s=u[l++])!=s)return!0}else for(;c>l;l++)if((e||l in u)&&u[l]===n)return e||l||0;return!e&&-1}};e.exports={includes:a(!0),indexOf:a(!1)}},function(e,t){t.f=Object.getOwnPropertySymbols},function(e,t,n){var r=n(73),o=n(40);e.exports=Object.keys||function(e){return r(e,o)}},function(e,t,n){"use strict";e.exports=function(e,t){return function(){for(var n=new Array(arguments.length),r=0;r<n.length;r++)n[r]=arguments[r];return e.apply(t,n)}}},function(e,t,n){"use strict";var r=n(3);function o(e){return encodeURIComponent(e).replace(/%40/gi,"@").replace(/%3A/gi,":").replace(/%24/g,"$").replace(/%2C/gi,",").replace(/%20/g,"+").replace(/%5B/gi,"[").replace(/%5D/gi,"]")}e.exports=function(e,t,n){if(!t)return e;var i;if(n)i=n(t);else if(r.isURLSearchParams(t))i=t.toString();else{var a=[];r.forEach(t,(function(e,t){null!=e&&(r.isArray(e)?t+="[]":e=[e],r.forEach(e,(function(e){r.isDate(e)?e=e.toISOString():r.isObject(e)&&(e=JSON.stringify(e)),a.push(o(t)+"="+o(e))})))})),i=a.join("&")}if(i){var s=e.indexOf("#");-1!==s&&(e=e.slice(0,s)),e+=(-1===e.indexOf("?")?"?":"&")+i}return e}},function(e,t,n){"use strict";e.exports=function(e){return!(!e||!e.__CANCEL__)}},function(e,t,n){"use strict";(function(t){var r=n(3),o=n(135),i={"Content-Type":"application/x-www-form-urlencoded"};function a(e,t){!r.isUndefined(e)&&r.isUndefined(e["Content-Type"])&&(e["Content-Type"]=t)}var s,u={adapter:(("undefined"!=typeof XMLHttpRequest||void 0!==t&&"[object process]"===Object.prototype.toString.call(t))&&(s=n(81)),s),transformRequest:[function(e,t){return o(t,"Accept"),o(t,"Content-Type"),r.isFormData(e)||r.isArrayBuffer(e)||r.isBuffer(e)||r.isStream(e)||r.isFile(e)||r.isBlob(e)?e:r.isArrayBufferView(e)?e.buffer:r.isURLSearchParams(e)?(a(t,"application/x-www-form-urlencoded;charset=utf-8"),e.toString()):r.isObject(e)?(a(t,"application/json;charset=utf-8"),JSON.stringify(e)):e}],transformResponse:[function(e){if("string"==typeof e)try{e=JSON.parse(e)}catch(e){}return e}],timeout:0,xsrfCookieName:"XSRF-TOKEN",xsrfHeaderName:"X-XSRF-TOKEN",maxContentLength:-1,validateStatus:function(e){return e>=200&&e<300}};u.headers={common:{Accept:"application/json, text/plain, */*"}},r.forEach(["delete","get","head"],(function(e){u.headers[e]={}})),r.forEach(["post","put","patch"],(function(e){u.headers[e]=r.merge(i)})),e.exports=u}).call(this,n(47))},function(e,t,n){"use strict";var r=n(3),o=n(136),i=n(78),a=n(138),s=n(141),u=n(142),c=n(82);e.exports=function(e){return new Promise((function(t,l){var d=e.data,h=e.headers;r.isFormData(d)&&delete h["Content-Type"];var f=new XMLHttpRequest;if(e.auth){var p=e.auth.username||"",m=e.auth.password||"";h.Authorization="Basic "+btoa(p+":"+m)}var _=a(e.baseURL,e.url);if(f.open(e.method.toUpperCase(),i(_,e.params,e.paramsSerializer),!0),f.timeout=e.timeout,f.onreadystatechange=function(){if(f&&4===f.readyState&&(0!==f.status||f.responseURL&&0===f.responseURL.indexOf("file:"))){var n="getAllResponseHeaders"in f?s(f.getAllResponseHeaders()):null,r={data:e.responseType&&"text"!==e.responseType?f.response:f.responseText,status:f.status,statusText:f.statusText,headers:n,config:e,request:f};o(t,l,r),f=null}},f.onabort=function(){f&&(l(c("Request aborted",e,"ECONNABORTED",f)),f=null)},f.onerror=function(){l(c("Network Error",e,null,f)),f=null},f.ontimeout=function(){var t="timeout of "+e.timeout+"ms exceeded";e.timeoutErrorMessage&&(t=e.timeoutErrorMessage),l(c(t,e,"ECONNABORTED",f)),f=null},r.isStandardBrowserEnv()){var g=n(143),y=(e.withCredentials||u(_))&&e.xsrfCookieName?g.read(e.xsrfCookieName):void 0;y&&(h[e.xsrfHeaderName]=y)}if("setRequestHeader"in f&&r.forEach(h,(function(e,t){void 0===d&&"content-type"===t.toLowerCase()?delete h[t]:f.setRequestHeader(t,e)})),r.isUndefined(e.withCredentials)||(f.withCredentials=!!e.withCredentials),e.responseType)try{f.responseType=e.responseType}catch(t){if("json"!==e.responseType)throw t}"function"==typeof e.onDownloadProgress&&f.addEventListener("progress",e.onDownloadProgress),"function"==typeof e.onUploadProgress&&f.upload&&f.upload.addEventListener("progress",e.onUploadProgress),e.cancelToken&&e.cancelToken.promise.then((function(e){f&&(f.abort(),l(e),f=null)})),void 0===d&&(d=null),f.send(d)}))}},function(e,t,n){"use strict";var r=n(137);e.exports=function(e,t,n,o,i){var a=new Error(e);return r(a,t,n,o,i)}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){t=t||{};var n={},o=["url","method","params","data"],i=["headers","auth","proxy"],a=["baseURL","url","transformRequest","transformResponse","paramsSerializer","timeout","withCredentials","adapter","responseType","xsrfCookieName","xsrfHeaderName","onUploadProgress","onDownloadProgress","maxContentLength","validateStatus","maxRedirects","httpAgent","httpsAgent","cancelToken","socketPath"];r.forEach(o,(function(e){void 0!==t[e]&&(n[e]=t[e])})),r.forEach(i,(function(o){r.isObject(t[o])?n[o]=r.deepMerge(e[o],t[o]):void 0!==t[o]?n[o]=t[o]:r.isObject(e[o])?n[o]=r.deepMerge(e[o]):void 0!==e[o]&&(n[o]=e[o])})),r.forEach(a,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])}));var s=o.concat(i).concat(a),u=Object.keys(t).filter((function(e){return-1===s.indexOf(e)}));return r.forEach(u,(function(r){void 0!==t[r]?n[r]=t[r]:void 0!==e[r]&&(n[r]=e[r])})),n}},function(e,t,n){"use strict";function r(e){this.message=e}r.prototype.toString=function(){return"Cancel"+(this.message?": "+this.message:"")},r.prototype.__CANCEL__=!0,e.exports=r},function(e,t,n){"use strict";var r=n(10),o=n(86);r({target:"Array",proto:!0,forced:[].forEach!=o},{forEach:o})},function(e,t,n){"use strict";var r=n(63).forEach,o=n(64),i=n(31),a=o("forEach"),s=i("forEach");e.exports=a&&s?[].forEach:function(e){return r(this,e,arguments.length>1?arguments[1]:void 0)}},function(e,t,n){var r=n(19);e.exports=Array.isArray||function(e){return"Array"==r(e)}},function(e,t,n){var r=n(0);e.exports=!!Object.getOwnPropertySymbols&&!r((function(){return!String(Symbol())}))},function(e,t,n){const{MAX_SAFE_COMPONENT_LENGTH:r}=n(41),o=n(90),i=(t=e.exports={}).re=[],a=t.src=[],s=t.t={};let u=0;const c=(e,t,n)=>{const r=u++;o(r,t),s[e]=r,a[r]=t,i[r]=new RegExp(t,n?"g":void 0)};c("NUMERICIDENTIFIER","0|[1-9]\\d*"),c("NUMERICIDENTIFIERLOOSE","[0-9]+"),c("NONNUMERICIDENTIFIER","\\d*[a-zA-Z-][a-zA-Z0-9-]*"),c("MAINVERSION",`(${a[s.NUMERICIDENTIFIER]})\\.(${a[s.NUMERICIDENTIFIER]})\\.(${a[s.NUMERICIDENTIFIER]})`),c("MAINVERSIONLOOSE",`(${a[s.NUMERICIDENTIFIERLOOSE]})\\.(${a[s.NUMERICIDENTIFIERLOOSE]})\\.(${a[s.NUMERICIDENTIFIERLOOSE]})`),c("PRERELEASEIDENTIFIER",`(?:${a[s.NUMERICIDENTIFIER]}|${a[s.NONNUMERICIDENTIFIER]})`),c("PRERELEASEIDENTIFIERLOOSE",`(?:${a[s.NUMERICIDENTIFIERLOOSE]}|${a[s.NONNUMERICIDENTIFIER]})`),c("PRERELEASE",`(?:-(${a[s.PRERELEASEIDENTIFIER]}(?:\\.${a[s.PRERELEASEIDENTIFIER]})*))`),c("PRERELEASELOOSE",`(?:-?(${a[s.PRERELEASEIDENTIFIERLOOSE]}(?:\\.${a[s.PRERELEASEIDENTIFIERLOOSE]})*))`),c("BUILDIDENTIFIER","[0-9A-Za-z-]+"),c("BUILD",`(?:\\+(${a[s.BUILDIDENTIFIER]}(?:\\.${a[s.BUILDIDENTIFIER]})*))`),c("FULLPLAIN",`v?${a[s.MAINVERSION]}${a[s.PRERELEASE]}?${a[s.BUILD]}?`),c("FULL",`^${a[s.FULLPLAIN]}$`),c("LOOSEPLAIN",`[v=\\s]*${a[s.MAINVERSIONLOOSE]}${a[s.PRERELEASELOOSE]}?${a[s.BUILD]}?`),c("LOOSE",`^${a[s.LOOSEPLAIN]}$`),c("GTLT","((?:<|>)?=?)"),c("XRANGEIDENTIFIERLOOSE",a[s.NUMERICIDENTIFIERLOOSE]+"|x|X|\\*"),c("XRANGEIDENTIFIER",a[s.NUMERICIDENTIFIER]+"|x|X|\\*"),c("XRANGEPLAIN",`[v=\\s]*(${a[s.XRANGEIDENTIFIER]})(?:\\.(${a[s.XRANGEIDENTIFIER]})(?:\\.(${a[s.XRANGEIDENTIFIER]})(?:${a[s.PRERELEASE]})?${a[s.BUILD]}?)?)?`),c("XRANGEPLAINLOOSE",`[v=\\s]*(${a[s.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[s.XRANGEIDENTIFIERLOOSE]})(?:\\.(${a[s.XRANGEIDENTIFIERLOOSE]})(?:${a[s.PRERELEASELOOSE]})?${a[s.BUILD]}?)?)?`),c("XRANGE",`^${a[s.GTLT]}\\s*${a[s.XRANGEPLAIN]}$`),c("XRANGELOOSE",`^${a[s.GTLT]}\\s*${a[s.XRANGEPLAINLOOSE]}$`),c("COERCE",`(^|[^\\d])(\\d{1,${r}})(?:\\.(\\d{1,${r}}))?(?:\\.(\\d{1,${r}}))?(?:$|[^\\d])`),c("COERCERTL",a[s.COERCE],!0),c("LONETILDE","(?:~>?)"),c("TILDETRIM",`(\\s*)${a[s.LONETILDE]}\\s+`,!0),t.tildeTrimReplace="$1~",c("TILDE",`^${a[s.LONETILDE]}${a[s.XRANGEPLAIN]}$`),c("TILDELOOSE",`^${a[s.LONETILDE]}${a[s.XRANGEPLAINLOOSE]}$`),c("LONECARET","(?:\\^)"),c("CARETTRIM",`(\\s*)${a[s.LONECARET]}\\s+`,!0),t.caretTrimReplace="$1^",c("CARET",`^${a[s.LONECARET]}${a[s.XRANGEPLAIN]}$`),c("CARETLOOSE",`^${a[s.LONECARET]}${a[s.XRANGEPLAINLOOSE]}$`),c("COMPARATORLOOSE",`^${a[s.GTLT]}\\s*(${a[s.LOOSEPLAIN]})$|^$`),c("COMPARATOR",`^${a[s.GTLT]}\\s*(${a[s.FULLPLAIN]})$|^$`),c("COMPARATORTRIM",`(\\s*)${a[s.GTLT]}\\s*(${a[s.LOOSEPLAIN]}|${a[s.XRANGEPLAIN]})`,!0),t.comparatorTrimReplace="$1$2$3",c("HYPHENRANGE",`^\\s*(${a[s.XRANGEPLAIN]})\\s+-\\s+(${a[s.XRANGEPLAIN]})\\s*$`),c("HYPHENRANGELOOSE",`^\\s*(${a[s.XRANGEPLAINLOOSE]})\\s+-\\s+(${a[s.XRANGEPLAINLOOSE]})\\s*$`),c("STAR","(<|>)?=?\\s*\\*"),c("GTE0","^\\s*>=\\s*0.0.0\\s*$"),c("GTE0PRE","^\\s*>=\\s*0.0.0-0\\s*$")},function(e,t,n){(function(t){const n="object"==typeof t&&t.env&&t.env.NODE_DEBUG&&/\bsemver\b/i.test(t.env.NODE_DEBUG)?(...e)=>console.error("SEMVER",...e):()=>{};e.exports=n}).call(this,n(47))},function(e,t,n){const r=n(90),{MAX_LENGTH:o,MAX_SAFE_INTEGER:i}=n(41),{re:a,t:s}=n(89),{compareIdentifiers:u}=n(151);class c{constructor(e,t){if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof c){if(e.loose===!!t.loose&&e.includePrerelease===!!t.includePrerelease)return e;e=e.version}else if("string"!=typeof e)throw new TypeError("Invalid Version: "+e);if(e.length>o)throw new TypeError(`version is longer than ${o} characters`);r("SemVer",e,t),this.options=t,this.loose=!!t.loose,this.includePrerelease=!!t.includePrerelease;const n=e.trim().match(t.loose?a[s.LOOSE]:a[s.FULL]);if(!n)throw new TypeError("Invalid Version: "+e);if(this.raw=e,this.major=+n[1],this.minor=+n[2],this.patch=+n[3],this.major>i||this.major<0)throw new TypeError("Invalid major version");if(this.minor>i||this.minor<0)throw new TypeError("Invalid minor version");if(this.patch>i||this.patch<0)throw new TypeError("Invalid patch version");n[4]?this.prerelease=n[4].split(".").map(e=>{if(/^[0-9]+$/.test(e)){const t=+e;if(t>=0&&t<i)return t}return e}):this.prerelease=[],this.build=n[5]?n[5].split("."):[],this.format()}format(){return this.version=`${this.major}.${this.minor}.${this.patch}`,this.prerelease.length&&(this.version+="-"+this.prerelease.join(".")),this.version}toString(){return this.version}compare(e){if(r("SemVer.compare",this.version,this.options,e),!(e instanceof c)){if("string"==typeof e&&e===this.version)return 0;e=new c(e,this.options)}return e.version===this.version?0:this.compareMain(e)||this.comparePre(e)}compareMain(e){return e instanceof c||(e=new c(e,this.options)),u(this.major,e.major)||u(this.minor,e.minor)||u(this.patch,e.patch)}comparePre(e){if(e instanceof c||(e=new c(e,this.options)),this.prerelease.length&&!e.prerelease.length)return-1;if(!this.prerelease.length&&e.prerelease.length)return 1;if(!this.prerelease.length&&!e.prerelease.length)return 0;let t=0;do{const n=this.prerelease[t],o=e.prerelease[t];if(r("prerelease compare",t,n,o),void 0===n&&void 0===o)return 0;if(void 0===o)return 1;if(void 0===n)return-1;if(n!==o)return u(n,o)}while(++t)}compareBuild(e){e instanceof c||(e=new c(e,this.options));let t=0;do{const n=this.build[t],o=e.build[t];if(r("prerelease compare",t,n,o),void 0===n&&void 0===o)return 0;if(void 0===o)return 1;if(void 0===n)return-1;if(n!==o)return u(n,o)}while(++t)}inc(e,t){switch(e){case"premajor":this.prerelease.length=0,this.patch=0,this.minor=0,this.major++,this.inc("pre",t);break;case"preminor":this.prerelease.length=0,this.patch=0,this.minor++,this.inc("pre",t);break;case"prepatch":this.prerelease.length=0,this.inc("patch",t),this.inc("pre",t);break;case"prerelease":0===this.prerelease.length&&this.inc("patch",t),this.inc("pre",t);break;case"major":0===this.minor&&0===this.patch&&0!==this.prerelease.length||this.major++,this.minor=0,this.patch=0,this.prerelease=[];break;case"minor":0===this.patch&&0!==this.prerelease.length||this.minor++,this.patch=0,this.prerelease=[];break;case"patch":0===this.prerelease.length&&this.patch++,this.prerelease=[];break;case"pre":if(0===this.prerelease.length)this.prerelease=[0];else{let e=this.prerelease.length;for(;--e>=0;)"number"==typeof this.prerelease[e]&&(this.prerelease[e]++,e=-2);-1===e&&this.prerelease.push(0)}t&&(this.prerelease[0]===t?isNaN(this.prerelease[1])&&(this.prerelease=[t,0]):this.prerelease=[t,0]);break;default:throw new Error("invalid increment argument: "+e)}return this.format(),this.raw=this.version,this}}e.exports=c},function(e,t,n){"use strict";var r,o,i,a=n(93),s=n(9),u=n(4),c=n(1),l=n(35),d=c("iterator"),h=!1;[].keys&&("next"in(i=[].keys())?(o=a(a(i)))!==Object.prototype&&(r=o):h=!0),null==r&&(r={}),l||u(r,d)||s(r,d,(function(){return this})),e.exports={IteratorPrototype:r,BUGGY_SAFARI_ITERATORS:h}},function(e,t,n){var r=n(4),o=n(16),i=n(38),a=n(155),s=i("IE_PROTO"),u=Object.prototype;e.exports=a?Object.getPrototypeOf:function(e){return e=o(e),r(e,s)?e[s]:"function"==typeof e.constructor&&e instanceof e.constructor?e.constructor.prototype:e instanceof Object?u:null}},function(e,t,n){var r=n(5),o=n(156);e.exports=Object.setPrototypeOf||("__proto__"in{}?function(){var e,t=!1,n={};try{(e=Object.getOwnPropertyDescriptor(Object.prototype,"__proto__").set).call(n,[]),t=n instanceof Array}catch(e){}return function(n,i){return r(n),o(i),t?e.call(n,i):n.__proto__=i,n}}():void 0)},function(e,t,n){var r=n(26),o=n(6),i=n(4),a=n(8).f,s=n(39),u=n(159),c=s("meta"),l=0,d=Object.isExtensible||function(){return!0},h=function(e){a(e,c,{value:{objectID:"O"+ ++l,weakData:{}}})},f=e.exports={REQUIRED:!1,fastKey:function(e,t){if(!o(e))return"symbol"==typeof e?e:("string"==typeof e?"S":"P")+e;if(!i(e,c)){if(!d(e))return"F";if(!t)return"E";h(e)}return e[c].objectID},getWeakData:function(e,t){if(!i(e,c)){if(!d(e))return!0;if(!t)return!1;h(e)}return e[c].weakData},onFreeze:function(e){return u&&f.REQUIRED&&d(e)&&!i(e,c)&&h(e),e}};r[c]=!0},function(e,t){e.exports={CSSRuleList:0,CSSStyleDeclaration:0,CSSValueList:0,ClientRectList:0,DOMRectList:0,DOMStringList:0,DOMTokenList:1,DataTransferItemList:0,FileList:0,HTMLAllCollection:0,HTMLCollection:0,HTMLFormElement:0,HTMLSelectElement:0,MediaList:0,MimeTypeArray:0,NamedNodeMap:0,NodeList:1,PaintRequestList:0,Plugin:0,PluginArray:0,SVGLengthList:0,SVGNumberList:0,SVGPathSegList:0,SVGPointList:0,SVGStringList:0,SVGTransformList:0,SourceBufferList:0,StyleSheetList:0,TextTrackCueList:0,TextTrackList:0,TouchList:0}},function(e,t,n){"use strict";var r=n(5);e.exports=function(){var e=r(this),t="";return e.global&&(t+="g"),e.ignoreCase&&(t+="i"),e.multiline&&(t+="m"),e.dotAll&&(t+="s"),e.unicode&&(t+="u"),e.sticky&&(t+="y"),t}},,function(e,t,n){"use strict";var r=n(12),o=n(5),i=n(0),a=n(97),s=RegExp.prototype,u=s.toString,c=i((function(){return"/a/b"!=u.call({source:"a",flags:"b"})})),l="toString"!=u.name;(c||l)&&r(RegExp.prototype,"toString",(function(){var e=o(this),t=String(e.source),n=e.flags;return"/"+t+"/"+String(void 0===n&&e instanceof RegExp&&!("flags"in s)?a.call(e):n)}),{unsafe:!0})},function(e,t,n){"use strict";var r=n(68).charAt,o=n(20),i=n(42),a=o.set,s=o.getterFor("String Iterator");i(String,"String",(function(e){a(this,{type:"String Iterator",string:String(e),index:0})}),(function(){var e,t=s(this),n=t.string,o=t.index;return o>=n.length?{value:void 0,done:!0}:(e=r(n,o),t.index+=e.length,{value:e,done:!1})}))},function(e,t,n){"use strict";var r=n(122),o=n(5),i=n(16),a=n(15),s=n(23),u=n(21),c=n(123),l=n(124),d=Math.max,h=Math.min,f=Math.floor,p=/\$([$&'`]|\d\d?|<[^>]*>)/g,m=/\$([$&'`]|\d\d?)/g;r("replace",2,(function(e,t,n,r){var _=r.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE,g=r.REPLACE_KEEPS_$0,y=_?"$":"$0";return[function(n,r){var o=u(this),i=null==n?void 0:n[e];return void 0!==i?i.call(n,o,r):t.call(String(o),n,r)},function(e,r){if(!_&&g||"string"==typeof r&&-1===r.indexOf(y)){var i=n(t,e,this,r);if(i.done)return i.value}var u=o(e),f=String(this),p="function"==typeof r;p||(r=String(r));var m=u.global;if(m){var M=u.unicode;u.lastIndex=0}for(var k=[];;){var b=l(u,f);if(null===b)break;if(k.push(b),!m)break;""===String(b[0])&&(u.lastIndex=c(f,a(u.lastIndex),M))}for(var w,L="",T=0,D=0;D<k.length;D++){b=k[D];for(var x=String(b[0]),S=d(h(s(b.index),f.length),0),Y=[],O=1;O<b.length;O++)Y.push(void 0===(w=b[O])?w:String(w));var C=b.groups;if(p){var E=[x].concat(Y,S,f);void 0!==C&&E.push(C);var A=String(r.apply(void 0,E))}else A=v(x,f,S,Y,C,r);S>=T&&(L+=f.slice(T,S)+A,T=S+x.length)}return L+f.slice(T)}];function v(e,n,r,o,a,s){var u=r+e.length,c=o.length,l=m;return void 0!==a&&(a=i(a),l=p),t.call(s,l,(function(t,i){var s;switch(i.charAt(0)){case"$":return"$";case"&":return e;case"`":return n.slice(0,r);case"'":return n.slice(u);case"<":s=a[i.slice(1,-1)];break;default:var l=+i;if(0===l)return t;if(l>c){var d=f(l/10);return 0===d?t:d<=c?void 0===o[d-1]?i.charAt(1):o[d-1]+i.charAt(1):t}s=o[l-1]}return void 0===s?"":s}))}}))},function(e,t,n){var r=n(2),o=n(96),i=n(52),a=n(9),s=n(1),u=s("iterator"),c=s("toStringTag"),l=i.values;for(var d in o){var h=r[d],f=h&&h.prototype;if(f){if(f[u]!==l)try{a(f,u,l)}catch(e){f[u]=l}if(f[c]||a(f,c,d),o[d])for(var p in i)if(f[p]!==i[p])try{a(f,p,i[p])}catch(e){f[p]=i[p]}}}},function(e,t,n){"use strict";var r=n(10),o=n(74).indexOf,i=n(64),a=n(31),s=[].indexOf,u=!!s&&1/[1].indexOf(1,-0)<0,c=i("indexOf"),l=a("indexOf",{ACCESSORS:!0,1:0});r({target:"Array",proto:!0,forced:u||!c||!l},{indexOf:function(e){return u?s.apply(this,arguments)||0:o(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t){e.exports=function(e){if("function"!=typeof e)throw TypeError(String(e)+" is not a function");return e}},function(e,t,n){"use strict";var r=n(34),o=n(8),i=n(24);e.exports=function(e,t,n){var a=r(t);a in e?o.f(e,a,i(0,n)):e[a]=n}},function(e,t,n){var r=n(67),o=n(17),i=n(1)("iterator");e.exports=function(e){if(null!=e)return e[i]||e["@@iterator"]||o[r(e)]}},function(e,t,n){var r=n(73),o=n(40).concat("length","prototype");t.f=Object.getOwnPropertyNames||function(e){return r(e,o)}},function(e,t,n){var r=n(23),o=Math.max,i=Math.min;e.exports=function(e,t){var n=r(e);return n<0?o(n+t,0):i(n,t)}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),Object.defineProperty(t,"getRequestToken",{enumerable:!0,get:function(){return r.getRequestToken}}),Object.defineProperty(t,"onRequestTokenUpdate",{enumerable:!0,get:function(){return r.onRequestTokenUpdate}}),Object.defineProperty(t,"getCurrentUser",{enumerable:!0,get:function(){return o.getCurrentUser}});var r=n(146),o=n(163)},function(e,t,n){"use strict";var r=n(10),o=n(63).filter,i=n(56),a=n(31),s=i("filter"),u=a("filter");r({target:"Array",proto:!0,forced:!s||!u},{filter:function(e){return o(this,e,arguments.length>1?arguments[1]:void 0)}})},function(e,t,n){var r=n(6),o=n(94);e.exports=function(e,t,n){var i,a;return o&&"function"==typeof(i=t.constructor)&&i!==n&&r(a=i.prototype)&&a!==n.prototype&&o(e,a),e}},function(e,t,n){var r=n(12);e.exports=function(e,t,n){for(var o in t)r(e,o,t[o],n);return e}},function(e,t,n){"use strict";var r=n(7),o=n(0),i=n(76),a=n(75),s=n(69),u=n(16),c=n(36),l=Object.assign,d=Object.defineProperty;e.exports=!l||o((function(){if(r&&1!==l({b:1},l(d({},"a",{enumerable:!0,get:function(){d(this,"b",{value:3,enumerable:!1})}}),{b:2})).b)return!0;var e={},t={},n=Symbol();return e[n]=7,"abcdefghijklmnopqrst".split("").forEach((function(e){t[e]=e})),7!=l({},e)[n]||"abcdefghijklmnopqrst"!=i(l({},t)).join("")}))?function(e,t){for(var n=u(e),o=arguments.length,l=1,d=a.f,h=s.f;o>l;)for(var f,p=c(arguments[l++]),m=d?i(p).concat(d(p)):i(p),_=m.length,g=0;_>g;)f=m[g++],r&&!h.call(p,f)||(n[f]=p[f]);return n}:l},function(e,t,n){var r=n(22);e.exports=r("navigator","userAgent")||""},function(e,t,n){var r=n(7),o=n(8),i=n(5),a=n(76);e.exports=r?Object.defineProperties:function(e,t){i(e);for(var n,r=a(t),s=r.length,u=0;s>u;)o.f(e,n=r[u++],t[n]);return e}},function(e,t,n){var r=n(22);e.exports=r("document","documentElement")},function(e,t,n){"use strict";var r=n(92).IteratorPrototype,o=n(32),i=n(24),a=n(33),s=n(17),u=function(){return this};e.exports=function(e,t,n){var c=t+" Iterator";return e.prototype=o(r,{next:i(1,n)}),a(e,c,!1,!0),s[c]=u,e}},function(e,t,n){var r=n(1),o=n(17),i=r("iterator"),a=Array.prototype;e.exports=function(e){return void 0!==e&&(o.Array===e||a[i]===e)}},function(e,t,n){var r=n(5);e.exports=function(e,t,n,o){try{return o?t(r(n)[0],n[1]):t(n)}catch(t){var i=e.return;throw void 0!==i&&r(i.call(e)),t}}},function(e,t,n){var r=n(1)("iterator"),o=!1;try{var i=0,a={next:function(){return{done:!!i++}},return:function(){o=!0}};a[r]=function(){return this},Array.from(a,(function(){throw 2}))}catch(e){}e.exports=function(e,t){if(!t&&!o)return!1;var n=!1;try{var i={};i[r]=function(){return{next:function(){return{done:n=!0}}}},e(i)}catch(e){}return n}},function(e,t,n){"use strict";var r=n(22),o=n(8),i=n(1),a=n(7),s=i("species");e.exports=function(e){var t=r(e),n=o.f;a&&t&&!t[s]&&n(t,s,{configurable:!0,get:function(){return this}})}},function(e,t,n){"use strict";n(53);var r=n(12),o=n(0),i=n(1),a=n(44),s=n(9),u=i("species"),c=!o((function(){var e=/./;return e.exec=function(){var e=[];return e.groups={a:"7"},e},"7"!=="".replace(e,"$<a>")})),l="$0"==="a".replace(/./,"$0"),d=i("replace"),h=!!/./[d]&&""===/./[d]("a","$0"),f=!o((function(){var e=/(?:)/,t=e.exec;e.exec=function(){return t.apply(this,arguments)};var n="ab".split(e);return 2!==n.length||"a"!==n[0]||"b"!==n[1]}));e.exports=function(e,t,n,d){var p=i(e),m=!o((function(){var t={};return t[p]=function(){return 7},7!=""[e](t)})),_=m&&!o((function(){var t=!1,n=/a/;return"split"===e&&((n={}).constructor={},n.constructor[u]=function(){return n},n.flags="",n[p]=/./[p]),n.exec=function(){return t=!0,null},n[p](""),!t}));if(!m||!_||"replace"===e&&(!c||!l||h)||"split"===e&&!f){var g=/./[p],y=n(p,""[e],(function(e,t,n,r,o){return t.exec===a?m&&!o?{done:!0,value:g.call(t,n,r)}:{done:!0,value:e.call(n,t,r)}:{done:!1}}),{REPLACE_KEEPS_$0:l,REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE:h}),v=y[0],M=y[1];r(String.prototype,e,v),r(RegExp.prototype,p,2==t?function(e,t){return M.call(e,this,t)}:function(e){return M.call(e,this)})}d&&s(RegExp.prototype[p],"sham",!0)}},function(e,t,n){"use strict";var r=n(68).charAt;e.exports=function(e,t,n){return t+(n?r(e,t).length:1)}},function(e,t,n){var r=n(19),o=n(44);e.exports=function(e,t){var n=e.exec;if("function"==typeof n){var i=n.call(e,t);if("object"!=typeof i)throw TypeError("RegExp exec method returned something other than an Object or null");return i}if("RegExp"!==r(e))throw TypeError("RegExp#exec called on incompatible receiver");return o.call(e,t)}},function(e,t,n){var r=n(2),o=n(62),i=r.WeakMap;e.exports="function"==typeof i&&/native code/.test(o(i))},function(e,t,n){var r=n(4),o=n(127),i=n(54),a=n(8);e.exports=function(e,t){for(var n=o(t),s=a.f,u=i.f,c=0;c<n.length;c++){var l=n[c];r(e,l)||s(e,l,u(t,l))}}},function(e,t,n){var r=n(22),o=n(107),i=n(75),a=n(5);e.exports=r("Reflect","ownKeys")||function(e){var t=o.f(a(e)),n=i.f;return n?t.concat(n(e)):t}},function(e,t,n){var r=n(2);e.exports=r},function(e,t,n){e.exports=n(130)},function(e,t,n){"use strict";var r=n(3),o=n(77),i=n(131),a=n(83);function s(e){var t=new i(e),n=o(i.prototype.request,t);return r.extend(n,i.prototype,t),r.extend(n,t),n}var u=s(n(80));u.Axios=i,u.create=function(e){return s(a(u.defaults,e))},u.Cancel=n(84),u.CancelToken=n(144),u.isCancel=n(79),u.all=function(e){return Promise.all(e)},u.spread=n(145),e.exports=u,e.exports.default=u},function(e,t,n){"use strict";var r=n(3),o=n(78),i=n(132),a=n(133),s=n(83);function u(e){this.defaults=e,this.interceptors={request:new i,response:new i}}u.prototype.request=function(e){"string"==typeof e?(e=arguments[1]||{}).url=arguments[0]:e=e||{},(e=s(this.defaults,e)).method?e.method=e.method.toLowerCase():this.defaults.method?e.method=this.defaults.method.toLowerCase():e.method="get";var t=[a,void 0],n=Promise.resolve(e);for(this.interceptors.request.forEach((function(e){t.unshift(e.fulfilled,e.rejected)})),this.interceptors.response.forEach((function(e){t.push(e.fulfilled,e.rejected)}));t.length;)n=n.then(t.shift(),t.shift());return n},u.prototype.getUri=function(e){return e=s(this.defaults,e),o(e.url,e.params,e.paramsSerializer).replace(/^\?/,"")},r.forEach(["delete","get","head","options"],(function(e){u.prototype[e]=function(t,n){return this.request(r.merge(n||{},{method:e,url:t}))}})),r.forEach(["post","put","patch"],(function(e){u.prototype[e]=function(t,n,o){return this.request(r.merge(o||{},{method:e,url:t,data:n}))}})),e.exports=u},function(e,t,n){"use strict";var r=n(3);function o(){this.handlers=[]}o.prototype.use=function(e,t){return this.handlers.push({fulfilled:e,rejected:t}),this.handlers.length-1},o.prototype.eject=function(e){this.handlers[e]&&(this.handlers[e]=null)},o.prototype.forEach=function(e){r.forEach(this.handlers,(function(t){null!==t&&e(t)}))},e.exports=o},function(e,t,n){"use strict";var r=n(3),o=n(134),i=n(79),a=n(80);function s(e){e.cancelToken&&e.cancelToken.throwIfRequested()}e.exports=function(e){return s(e),e.headers=e.headers||{},e.data=o(e.data,e.headers,e.transformRequest),e.headers=r.merge(e.headers.common||{},e.headers[e.method]||{},e.headers),r.forEach(["delete","get","head","post","put","patch","common"],(function(t){delete e.headers[t]})),(e.adapter||a.adapter)(e).then((function(t){return s(e),t.data=o(t.data,t.headers,e.transformResponse),t}),(function(t){return i(t)||(s(e),t&&t.response&&(t.response.data=o(t.response.data,t.response.headers,e.transformResponse))),Promise.reject(t)}))}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t,n){return r.forEach(n,(function(n){e=n(e,t)})),e}},function(e,t,n){"use strict";var r=n(3);e.exports=function(e,t){r.forEach(e,(function(n,r){r!==t&&r.toUpperCase()===t.toUpperCase()&&(e[t]=n,delete e[r])}))}},function(e,t,n){"use strict";var r=n(82);e.exports=function(e,t,n){var o=n.config.validateStatus;!o||o(n.status)?e(n):t(r("Request failed with status code "+n.status,n.config,null,n.request,n))}},function(e,t,n){"use strict";e.exports=function(e,t,n,r,o){return e.config=t,n&&(e.code=n),e.request=r,e.response=o,e.isAxiosError=!0,e.toJSON=function(){return{message:this.message,name:this.name,description:this.description,number:this.number,fileName:this.fileName,lineNumber:this.lineNumber,columnNumber:this.columnNumber,stack:this.stack,config:this.config,code:this.code}},e}},function(e,t,n){"use strict";var r=n(139),o=n(140);e.exports=function(e,t){return e&&!r(t)?o(e,t):t}},function(e,t,n){"use strict";e.exports=function(e){return/^([a-z][a-z\d\+\-\.]*:)?\/\//i.test(e)}},function(e,t,n){"use strict";e.exports=function(e,t){return t?e.replace(/\/+$/,"")+"/"+t.replace(/^\/+/,""):e}},function(e,t,n){"use strict";var r=n(3),o=["age","authorization","content-length","content-type","etag","expires","from","host","if-modified-since","if-unmodified-since","last-modified","location","max-forwards","proxy-authorization","referer","retry-after","user-agent"];e.exports=function(e){var t,n,i,a={};return e?(r.forEach(e.split("\n"),(function(e){if(i=e.indexOf(":"),t=r.trim(e.substr(0,i)).toLowerCase(),n=r.trim(e.substr(i+1)),t){if(a[t]&&o.indexOf(t)>=0)return;a[t]="set-cookie"===t?(a[t]?a[t]:[]).concat([n]):a[t]?a[t]+", "+n:n}})),a):a}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?function(){var e,t=/(msie|trident)/i.test(navigator.userAgent),n=document.createElement("a");function o(e){var r=e;return t&&(n.setAttribute("href",r),r=n.href),n.setAttribute("href",r),{href:n.href,protocol:n.protocol?n.protocol.replace(/:$/,""):"",host:n.host,search:n.search?n.search.replace(/^\?/,""):"",hash:n.hash?n.hash.replace(/^#/,""):"",hostname:n.hostname,port:n.port,pathname:"/"===n.pathname.charAt(0)?n.pathname:"/"+n.pathname}}return e=o(window.location.href),function(t){var n=r.isString(t)?o(t):t;return n.protocol===e.protocol&&n.host===e.host}}():function(){return!0}},function(e,t,n){"use strict";var r=n(3);e.exports=r.isStandardBrowserEnv()?{write:function(e,t,n,o,i,a){var s=[];s.push(e+"="+encodeURIComponent(t)),r.isNumber(n)&&s.push("expires="+new Date(n).toGMTString()),r.isString(o)&&s.push("path="+o),r.isString(i)&&s.push("domain="+i),!0===a&&s.push("secure"),document.cookie=s.join("; ")},read:function(e){var t=document.cookie.match(new RegExp("(^|;\\s*)("+e+")=([^;]*)"));return t?decodeURIComponent(t[3]):null},remove:function(e){this.write(e,"",Date.now()-864e5)}}:{write:function(){},read:function(){return null},remove:function(){}}},function(e,t,n){"use strict";var r=n(84);function o(e){if("function"!=typeof e)throw new TypeError("executor must be a function.");var t;this.promise=new Promise((function(e){t=e}));var n=this;e((function(e){n.reason||(n.reason=new r(e),t(n.reason))}))}o.prototype.throwIfRequested=function(){if(this.reason)throw this.reason},o.source=function(){var e;return{token:new o((function(t){e=t})),cancel:e}},e.exports=o},function(e,t,n){"use strict";e.exports=function(e){return function(t){return e.apply(null,t)}}},function(e,t,n){"use strict";n(85),Object.defineProperty(t,"__esModule",{value:!0}),t.getRequestToken=function(){return i},t.onRequestTokenUpdate=function(e){a.push(e)};var r=n(14),o=document.getElementsByTagName("head")[0],i=o?o.getAttribute("data-requesttoken"):null,a=[];(0,r.subscribe)("csrf-token-update",(function(e){i=e.token,a.forEach((function(t){try{t(e.token)}catch(e){console.error("error updating CSRF token observer",e)}}))}))},function(e,t,n){var r=n(88);e.exports=r&&!Symbol.sham&&"symbol"==typeof Symbol.iterator},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.ProxyBus=void 0;var r=i(n(149)),o=i(n(152));function i(e){return e&&e.__esModule?e:{default:e}}function a(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}var s="1.2.0",u=function(){function e(t){var n,i,a;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),a=void 0,(i="bus")in(n=this)?Object.defineProperty(n,i,{value:a,enumerable:!0,configurable:!0,writable:!0}):n[i]=a,"function"==typeof t.getVersion&&(0,r.default)(t.getVersion())?(0,o.default)(t.getVersion())!==(0,o.default)(this.getVersion())&&console.warn("Proxying an event bus of version "+t.getVersion()+" with "+this.getVersion()):console.warn("Proxying an event bus with an unknown or invalid version"),this.bus=t}var t,n,i;return t=e,(n=[{key:"getVersion",value:function(){return s}},{key:"subscribe",value:function(e,t){this.bus.subscribe(e,t)}},{key:"unsubscribe",value:function(e,t){this.bus.unsubscribe(e,t)}},{key:"emit",value:function(e,t){this.bus.emit(e,t)}}])&&a(t.prototype,n),i&&a(t,i),e}();t.ProxyBus=u},function(e,t,n){const r=n(150);e.exports=(e,t)=>{const n=r(e,t);return n?n.version:null}},function(e,t,n){const{MAX_LENGTH:r}=n(41),{re:o,t:i}=n(89),a=n(91);e.exports=(e,t)=>{if(t&&"object"==typeof t||(t={loose:!!t,includePrerelease:!1}),e instanceof a)return e;if("string"!=typeof e)return null;if(e.length>r)return null;if(!(t.loose?o[i.LOOSE]:o[i.FULL]).test(e))return null;try{return new a(e,t)}catch(e){return null}}},function(e,t){const n=/^[0-9]+$/,r=(e,t)=>{const r=n.test(e),o=n.test(t);return r&&o&&(e=+e,t=+t),e===t?0:r&&!o?-1:o&&!r?1:e<t?-1:1};e.exports={compareIdentifiers:r,rcompareIdentifiers:(e,t)=>r(t,e)}},function(e,t,n){const r=n(91);e.exports=(e,t)=>new r(e,t).major},function(e,t,n){"use strict";function r(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}n(60),n(110),n(85),n(52),n(157),n(51),n(100),n(162),n(102),Object.defineProperty(t,"__esModule",{value:!0}),t.SimpleBus=void 0;var o="1.2.0",i=function(){function e(){var t,n,r;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),t=this,n="handlers",r=new Map,n in t?Object.defineProperty(t,n,{value:r,enumerable:!0,configurable:!0,writable:!0}):t[n]=r}var t,n,i;return t=e,(n=[{key:"getVersion",value:function(){return o}},{key:"subscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).concat(t))}},{key:"unsubscribe",value:function(e,t){this.handlers.set(e,(this.handlers.get(e)||[]).filter((function(e){return e!=t})))}},{key:"emit",value:function(e,t){(this.handlers.get(e)||[]).forEach((function(e){try{e(t)}catch(e){console.error("could not invoke event listener",e)}}))}}])&&r(t.prototype,n),i&&r(t,i),e}();t.SimpleBus=i},function(e,t,n){var r=n(1),o=n(32),i=n(8),a=r("unscopables"),s=Array.prototype;null==s[a]&&i.f(s,a,{configurable:!0,value:o(null)}),e.exports=function(e){s[a][e]=!0}},function(e,t,n){var r=n(0);e.exports=!r((function(){function e(){}return e.prototype.constructor=null,Object.getPrototypeOf(new e)!==e.prototype}))},function(e,t,n){var r=n(6);e.exports=function(e){if(!r(e)&&null!==e)throw TypeError("Can't set "+String(e)+" as a prototype");return e}},function(e,t,n){"use strict";var r=n(158),o=n(160);e.exports=r("Map",(function(e){return function(){return e(this,arguments.length?arguments[0]:void 0)}}),o)},function(e,t,n){"use strict";var r=n(10),o=n(2),i=n(55),a=n(12),s=n(95),u=n(66),c=n(57),l=n(6),d=n(0),h=n(120),f=n(33),p=n(111);e.exports=function(e,t,n){var m=-1!==e.indexOf("Map"),_=-1!==e.indexOf("Weak"),g=m?"set":"add",y=o[e],v=y&&y.prototype,M=y,k={},b=function(e){var t=v[e];a(v,e,"add"==e?function(e){return t.call(this,0===e?0:e),this}:"delete"==e?function(e){return!(_&&!l(e))&&t.call(this,0===e?0:e)}:"get"==e?function(e){return _&&!l(e)?void 0:t.call(this,0===e?0:e)}:"has"==e?function(e){return!(_&&!l(e))&&t.call(this,0===e?0:e)}:function(e,n){return t.call(this,0===e?0:e,n),this})};if(i(e,"function"!=typeof y||!(_||v.forEach&&!d((function(){(new y).entries().next()})))))M=n.getConstructor(t,e,m,g),s.REQUIRED=!0;else if(i(e,!0)){var w=new M,L=w[g](_?{}:-0,1)!=w,T=d((function(){w.has(1)})),D=h((function(e){new y(e)})),x=!_&&d((function(){for(var e=new y,t=5;t--;)e[g](t,t);return!e.has(-0)}));D||((M=t((function(t,n){c(t,M,e);var r=p(new y,t,M);return null!=n&&u(n,r[g],r,m),r}))).prototype=v,v.constructor=M),(T||x)&&(b("delete"),b("has"),m&&b("get")),(x||L)&&b(g),_&&v.clear&&delete v.clear}return k[e]=M,r({global:!0,forced:M!=y},k),f(M,e),_||n.setStrong(M,e,m),M}},function(e,t,n){var r=n(0);e.exports=!r((function(){return Object.isExtensible(Object.preventExtensions({}))}))},function(e,t,n){"use strict";var r=n(8).f,o=n(32),i=n(112),a=n(30),s=n(57),u=n(66),c=n(42),l=n(121),d=n(7),h=n(95).fastKey,f=n(20),p=f.set,m=f.getterFor;e.exports={getConstructor:function(e,t,n,c){var l=e((function(e,r){s(e,l,t),p(e,{type:t,index:o(null),first:void 0,last:void 0,size:0}),d||(e.size=0),null!=r&&u(r,e[c],e,n)})),f=m(t),_=function(e,t,n){var r,o,i=f(e),a=g(e,t);return a?a.value=n:(i.last=a={index:o=h(t,!0),key:t,value:n,previous:r=i.last,next:void 0,removed:!1},i.first||(i.first=a),r&&(r.next=a),d?i.size++:e.size++,"F"!==o&&(i.index[o]=a)),e},g=function(e,t){var n,r=f(e),o=h(t);if("F"!==o)return r.index[o];for(n=r.first;n;n=n.next)if(n.key==t)return n};return i(l.prototype,{clear:function(){for(var e=f(this),t=e.index,n=e.first;n;)n.removed=!0,n.previous&&(n.previous=n.previous.next=void 0),delete t[n.index],n=n.next;e.first=e.last=void 0,d?e.size=0:this.size=0},delete:function(e){var t=f(this),n=g(this,e);if(n){var r=n.next,o=n.previous;delete t.index[n.index],n.removed=!0,o&&(o.next=r),r&&(r.previous=o),t.first==n&&(t.first=r),t.last==n&&(t.last=o),d?t.size--:this.size--}return!!n},forEach:function(e){for(var t,n=f(this),r=a(e,arguments.length>1?arguments[1]:void 0,3);t=t?t.next:n.first;)for(r(t.value,t.key,this);t&&t.removed;)t=t.previous},has:function(e){return!!g(this,e)}}),i(l.prototype,n?{get:function(e){var t=g(this,e);return t&&t.value},set:function(e,t){return _(this,0===e?0:e,t)}}:{add:function(e){return _(this,e=0===e?0:e,e)}}),d&&r(l.prototype,"size",{get:function(){return f(this).size}}),l},setStrong:function(e,t,n){var r=t+" Iterator",o=m(t),i=m(r);c(e,t,(function(e,t){p(this,{type:r,target:e,state:o(e),kind:t,last:void 0})}),(function(){for(var e=i(this),t=e.kind,n=e.last;n&&n.removed;)n=n.previous;return e.target&&(e.last=n=n?n.next:e.state.first)?"keys"==t?{value:n.key,done:!1}:"values"==t?{value:n.value,done:!1}:{value:[n.key,n.value],done:!1}:(e.target=void 0,{value:void 0,done:!0})}),n?"entries":"values",!n,!0),l(t)}}},function(e,t,n){"use strict";var r=n(43),o=n(67);e.exports=r?{}.toString:function(){return"[object "+o(this)+"]"}},function(e,t,n){var r=n(2),o=n(96),i=n(86),a=n(9);for(var s in o){var u=r[s],c=u&&u.prototype;if(c&&c.forEach!==i)try{a(c,"forEach",i)}catch(e){c.forEach=i}}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0}),t.getCurrentUser=function(){if(null===o)return null;return{uid:o,displayName:a,isAdmin:s}};var r=document.getElementsByTagName("head")[0],o=r?r.getAttribute("data-user"):null,i=document.getElementsByTagName("head")[0],a=i?i.getAttribute("data-user-displayname"):null,s="undefined"!=typeof OC&&OC.isUserAdmin()},function(e,t,n){"use strict";var r=n(0);function o(e,t){return RegExp(e,t)}t.UNSUPPORTED_Y=r((function(){var e=o("a","y");return e.lastIndex=2,null!=e.exec("abcd")})),t.BROKEN_CARET=r((function(){var e=o("^r","gy");return e.lastIndex=2,null!=e.exec("str")}))},,,,,,,,,,,,,,function(e,t,n){(function(e){e.exports=function(){"use strict";var t,r;function o(){return t.apply(null,arguments)}function i(e){return e instanceof Array||"[object Array]"===Object.prototype.toString.call(e)}function a(e){return null!=e&&"[object Object]"===Object.prototype.toString.call(e)}function s(e){return void 0===e}function u(e){return"number"==typeof e||"[object Number]"===Object.prototype.toString.call(e)}function c(e){return e instanceof Date||"[object Date]"===Object.prototype.toString.call(e)}function l(e,t){var n,r=[];for(n=0;n<e.length;++n)r.push(t(e[n],n));return r}function d(e,t){return Object.prototype.hasOwnProperty.call(e,t)}function h(e,t){for(var n in t)d(t,n)&&(e[n]=t[n]);return d(t,"toString")&&(e.toString=t.toString),d(t,"valueOf")&&(e.valueOf=t.valueOf),e}function f(e,t,n,r){return Lt(e,t,n,r,!0).utc()}function p(e){return null==e._pf&&(e._pf={empty:!1,unusedTokens:[],unusedInput:[],overflow:-2,charsLeftOver:0,nullInput:!1,invalidMonth:null,invalidFormat:!1,userInvalidated:!1,iso:!1,parsedDateParts:[],meridiem:null,rfc2822:!1,weekdayMismatch:!1}),e._pf}function m(e){if(null==e._isValid){var t=p(e),n=r.call(t.parsedDateParts,(function(e){return null!=e})),o=!isNaN(e._d.getTime())&&t.overflow<0&&!t.empty&&!t.invalidMonth&&!t.invalidWeekday&&!t.weekdayMismatch&&!t.nullInput&&!t.invalidFormat&&!t.userInvalidated&&(!t.meridiem||t.meridiem&&n);if(e._strict&&(o=o&&0===t.charsLeftOver&&0===t.unusedTokens.length&&void 0===t.bigHour),null!=Object.isFrozen&&Object.isFrozen(e))return o;e._isValid=o}return e._isValid}function _(e){var t=f(NaN);return null!=e?h(p(t),e):p(t).userInvalidated=!0,t}r=Array.prototype.some?Array.prototype.some:function(e){for(var t=Object(this),n=t.length>>>0,r=0;r<n;r++)if(r in t&&e.call(this,t[r],r,t))return!0;return!1};var g=o.momentProperties=[];function y(e,t){var n,r,o;if(s(t._isAMomentObject)||(e._isAMomentObject=t._isAMomentObject),s(t._i)||(e._i=t._i),s(t._f)||(e._f=t._f),s(t._l)||(e._l=t._l),s(t._strict)||(e._strict=t._strict),s(t._tzm)||(e._tzm=t._tzm),s(t._isUTC)||(e._isUTC=t._isUTC),s(t._offset)||(e._offset=t._offset),s(t._pf)||(e._pf=p(t)),s(t._locale)||(e._locale=t._locale),g.length>0)for(n=0;n<g.length;n++)s(o=t[r=g[n]])||(e[r]=o);return e}var v=!1;function M(e){y(this,e),this._d=new Date(null!=e._d?e._d.getTime():NaN),this.isValid()||(this._d=new Date(NaN)),!1===v&&(v=!0,o.updateOffset(this),v=!1)}function k(e){return e instanceof M||null!=e&&null!=e._isAMomentObject}function b(e){return e<0?Math.ceil(e)||0:Math.floor(e)}function w(e){var t=+e,n=0;return 0!==t&&isFinite(t)&&(n=b(t)),n}function L(e,t,n){var r,o=Math.min(e.length,t.length),i=Math.abs(e.length-t.length),a=0;for(r=0;r<o;r++)(n&&e[r]!==t[r]||!n&&w(e[r])!==w(t[r]))&&a++;return a+i}function T(e){!1===o.suppressDeprecationWarnings&&"undefined"!=typeof console&&console.warn&&console.warn("Deprecation warning: "+e)}function D(e,t){var n=!0;return h((function(){if(null!=o.deprecationHandler&&o.deprecationHandler(null,e),n){for(var r,i=[],a=0;a<arguments.length;a++){if(r="","object"==typeof arguments[a]){for(var s in r+="\n["+a+"] ",arguments[0])r+=s+": "+arguments[0][s]+", ";r=r.slice(0,-2)}else r=arguments[a];i.push(r)}T(e+"\nArguments: "+Array.prototype.slice.call(i).join("")+"\n"+(new Error).stack),n=!1}return t.apply(this,arguments)}),t)}var x,S={};function Y(e,t){null!=o.deprecationHandler&&o.deprecationHandler(e,t),S[e]||(T(t),S[e]=!0)}function O(e){return e instanceof Function||"[object Function]"===Object.prototype.toString.call(e)}function C(e,t){var n,r=h({},e);for(n in t)d(t,n)&&(a(e[n])&&a(t[n])?(r[n]={},h(r[n],e[n]),h(r[n],t[n])):null!=t[n]?r[n]=t[n]:delete r[n]);for(n in e)d(e,n)&&!d(t,n)&&a(e[n])&&(r[n]=h({},r[n]));return r}function E(e){null!=e&&this.set(e)}o.suppressDeprecationWarnings=!1,o.deprecationHandler=null,x=Object.keys?Object.keys:function(e){var t,n=[];for(t in e)d(e,t)&&n.push(t);return n};var A={};function j(e,t){var n=e.toLowerCase();A[n]=A[n+"s"]=A[t]=e}function H(e){return"string"==typeof e?A[e]||A[e.toLowerCase()]:void 0}function P(e){var t,n,r={};for(n in e)d(e,n)&&(t=H(n))&&(r[t]=e[n]);return r}var N={};function R(e,t){N[e]=t}function F(e,t,n){var r=""+Math.abs(e),o=t-r.length;return(e>=0?n?"+":"":"-")+Math.pow(10,Math.max(0,o)).toString().substr(1)+r}var I=/(\[[^\[]*\])|(\\)?([Hh]mm(ss)?|Mo|MM?M?M?|Do|DDDo|DD?D?D?|ddd?d?|do?|w[o|w]?|W[o|W]?|Qo?|YYYYYY|YYYYY|YYYY|YY|gg(ggg?)?|GG(GGG?)?|e|E|a|A|hh?|HH?|kk?|mm?|ss?|S{1,9}|x|X|zz?|ZZ?|.)/g,z=/(\[[^\[]*\])|(\\)?(LTS|LT|LL?L?L?|l{1,4})/g,W={},$={};function B(e,t,n,r){var o=r;"string"==typeof r&&(o=function(){return this[r]()}),e&&($[e]=o),t&&($[t[0]]=function(){return F(o.apply(this,arguments),t[1],t[2])}),n&&($[n]=function(){return this.localeData().ordinal(o.apply(this,arguments),e)})}function q(e,t){return e.isValid()?(t=U(t,e.localeData()),W[t]=W[t]||function(e){var t,n,r,o=e.match(I);for(t=0,n=o.length;t<n;t++)$[o[t]]?o[t]=$[o[t]]:o[t]=(r=o[t]).match(/\[[\s\S]/)?r.replace(/^\[|\]$/g,""):r.replace(/\\/g,"");return function(t){var r,i="";for(r=0;r<n;r++)i+=O(o[r])?o[r].call(t,e):o[r];return i}}(t),W[t](e)):e.localeData().invalidDate()}function U(e,t){var n=5;function r(e){return t.longDateFormat(e)||e}for(z.lastIndex=0;n>=0&&z.test(e);)e=e.replace(z,r),z.lastIndex=0,n-=1;return e}var V=/\d/,J=/\d\d/,G=/\d{3}/,K=/\d{4}/,Z=/[+-]?\d{6}/,X=/\d\d?/,Q=/\d\d\d\d?/,ee=/\d\d\d\d\d\d?/,te=/\d{1,3}/,ne=/\d{1,4}/,re=/[+-]?\d{1,6}/,oe=/\d+/,ie=/[+-]?\d+/,ae=/Z|[+-]\d\d:?\d\d/gi,se=/Z|[+-]\d\d(?::?\d\d)?/gi,ue=/[0-9]{0,256}['a-z\u00A0-\u05FF\u0700-\uD7FF\uF900-\uFDCF\uFDF0-\uFF07\uFF10-\uFFEF]{1,256}|[\u0600-\u06FF\/]{1,256}(\s*?[\u0600-\u06FF]{1,256}){1,2}/i,ce={};function le(e,t,n){ce[e]=O(t)?t:function(e,r){return e&&n?n:t}}function de(e,t){return d(ce,e)?ce[e](t._strict,t._locale):new RegExp(he(e.replace("\\","").replace(/\\(\[)|\\(\])|\[([^\]\[]*)\]|\\(.)/g,(function(e,t,n,r,o){return t||n||r||o}))))}function he(e){return e.replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&")}var fe={};function pe(e,t){var n,r=t;for("string"==typeof e&&(e=[e]),u(t)&&(r=function(e,n){n[t]=w(e)}),n=0;n<e.length;n++)fe[e[n]]=r}function me(e,t){pe(e,(function(e,n,r,o){r._w=r._w||{},t(e,r._w,r,o)}))}function _e(e,t,n){null!=t&&d(fe,e)&&fe[e](t,n._a,n,e)}function ge(e){return ye(e)?366:365}function ye(e){return e%4==0&&e%100!=0||e%400==0}B("Y",0,0,(function(){var e=this.year();return e<=9999?""+e:"+"+e})),B(0,["YY",2],0,(function(){return this.year()%100})),B(0,["YYYY",4],0,"year"),B(0,["YYYYY",5],0,"year"),B(0,["YYYYYY",6,!0],0,"year"),j("year","y"),R("year",1),le("Y",ie),le("YY",X,J),le("YYYY",ne,K),le("YYYYY",re,Z),le("YYYYYY",re,Z),pe(["YYYYY","YYYYYY"],0),pe("YYYY",(function(e,t){t[0]=2===e.length?o.parseTwoDigitYear(e):w(e)})),pe("YY",(function(e,t){t[0]=o.parseTwoDigitYear(e)})),pe("Y",(function(e,t){t[0]=parseInt(e,10)})),o.parseTwoDigitYear=function(e){return w(e)+(w(e)>68?1900:2e3)};var ve,Me=ke("FullYear",!0);function ke(e,t){return function(n){return null!=n?(we(this,e,n),o.updateOffset(this,t),this):be(this,e)}}function be(e,t){return e.isValid()?e._d["get"+(e._isUTC?"UTC":"")+t]():NaN}function we(e,t,n){e.isValid()&&!isNaN(n)&&("FullYear"===t&&ye(e.year())&&1===e.month()&&29===e.date()?e._d["set"+(e._isUTC?"UTC":"")+t](n,e.month(),Le(n,e.month())):e._d["set"+(e._isUTC?"UTC":"")+t](n))}function Le(e,t){if(isNaN(e)||isNaN(t))return NaN;var n,r=(t%(n=12)+n)%n;return e+=(t-r)/12,1===r?ye(e)?29:28:31-r%7%2}ve=Array.prototype.indexOf?Array.prototype.indexOf:function(e){var t;for(t=0;t<this.length;++t)if(this[t]===e)return t;return-1},B("M",["MM",2],"Mo",(function(){return this.month()+1})),B("MMM",0,0,(function(e){return this.localeData().monthsShort(this,e)})),B("MMMM",0,0,(function(e){return this.localeData().months(this,e)})),j("month","M"),R("month",8),le("M",X),le("MM",X,J),le("MMM",(function(e,t){return t.monthsShortRegex(e)})),le("MMMM",(function(e,t){return t.monthsRegex(e)})),pe(["M","MM"],(function(e,t){t[1]=w(e)-1})),pe(["MMM","MMMM"],(function(e,t,n,r){var o=n._locale.monthsParse(e,r,n._strict);null!=o?t[1]=o:p(n).invalidMonth=e}));var Te=/D[oD]?(\[[^\[\]]*\]|\s)+MMMM?/,De="January_February_March_April_May_June_July_August_September_October_November_December".split("_"),xe="Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_");function Se(e,t,n){var r,o,i,a=e.toLocaleLowerCase();if(!this._monthsParse)for(this._monthsParse=[],this._longMonthsParse=[],this._shortMonthsParse=[],r=0;r<12;++r)i=f([2e3,r]),this._shortMonthsParse[r]=this.monthsShort(i,"").toLocaleLowerCase(),this._longMonthsParse[r]=this.months(i,"").toLocaleLowerCase();return n?"MMM"===t?-1!==(o=ve.call(this._shortMonthsParse,a))?o:null:-1!==(o=ve.call(this._longMonthsParse,a))?o:null:"MMM"===t?-1!==(o=ve.call(this._shortMonthsParse,a))||-1!==(o=ve.call(this._longMonthsParse,a))?o:null:-1!==(o=ve.call(this._longMonthsParse,a))||-1!==(o=ve.call(this._shortMonthsParse,a))?o:null}function Ye(e,t){var n;if(!e.isValid())return e;if("string"==typeof t)if(/^\d+$/.test(t))t=w(t);else if(!u(t=e.localeData().monthsParse(t)))return e;return n=Math.min(e.date(),Le(e.year(),t)),e._d["set"+(e._isUTC?"UTC":"")+"Month"](t,n),e}function Oe(e){return null!=e?(Ye(this,e),o.updateOffset(this,!0),this):be(this,"Month")}var Ce=ue,Ee=ue;function Ae(){function e(e,t){return t.length-e.length}var t,n,r=[],o=[],i=[];for(t=0;t<12;t++)n=f([2e3,t]),r.push(this.monthsShort(n,"")),o.push(this.months(n,"")),i.push(this.months(n,"")),i.push(this.monthsShort(n,""));for(r.sort(e),o.sort(e),i.sort(e),t=0;t<12;t++)r[t]=he(r[t]),o[t]=he(o[t]);for(t=0;t<24;t++)i[t]=he(i[t]);this._monthsRegex=new RegExp("^("+i.join("|")+")","i"),this._monthsShortRegex=this._monthsRegex,this._monthsStrictRegex=new RegExp("^("+o.join("|")+")","i"),this._monthsShortStrictRegex=new RegExp("^("+r.join("|")+")","i")}function je(e,t,n,r,o,i,a){var s;return e<100&&e>=0?(s=new Date(e+400,t,n,r,o,i,a),isFinite(s.getFullYear())&&s.setFullYear(e)):s=new Date(e,t,n,r,o,i,a),s}function He(e){var t;if(e<100&&e>=0){var n=Array.prototype.slice.call(arguments);n[0]=e+400,t=new Date(Date.UTC.apply(null,n)),isFinite(t.getUTCFullYear())&&t.setUTCFullYear(e)}else t=new Date(Date.UTC.apply(null,arguments));return t}function Pe(e,t,n){var r=7+t-n;return-(7+He(e,0,r).getUTCDay()-t)%7+r-1}function Ne(e,t,n,r,o){var i,a,s=1+7*(t-1)+(7+n-r)%7+Pe(e,r,o);return s<=0?a=ge(i=e-1)+s:s>ge(e)?(i=e+1,a=s-ge(e)):(i=e,a=s),{year:i,dayOfYear:a}}function Re(e,t,n){var r,o,i=Pe(e.year(),t,n),a=Math.floor((e.dayOfYear()-i-1)/7)+1;return a<1?r=a+Fe(o=e.year()-1,t,n):a>Fe(e.year(),t,n)?(r=a-Fe(e.year(),t,n),o=e.year()+1):(o=e.year(),r=a),{week:r,year:o}}function Fe(e,t,n){var r=Pe(e,t,n),o=Pe(e+1,t,n);return(ge(e)-r+o)/7}function Ie(e,t){return e.slice(t,7).concat(e.slice(0,t))}B("w",["ww",2],"wo","week"),B("W",["WW",2],"Wo","isoWeek"),j("week","w"),j("isoWeek","W"),R("week",5),R("isoWeek",5),le("w",X),le("ww",X,J),le("W",X),le("WW",X,J),me(["w","ww","W","WW"],(function(e,t,n,r){t[r.substr(0,1)]=w(e)})),B("d",0,"do","day"),B("dd",0,0,(function(e){return this.localeData().weekdaysMin(this,e)})),B("ddd",0,0,(function(e){return this.localeData().weekdaysShort(this,e)})),B("dddd",0,0,(function(e){return this.localeData().weekdays(this,e)})),B("e",0,0,"weekday"),B("E",0,0,"isoWeekday"),j("day","d"),j("weekday","e"),j("isoWeekday","E"),R("day",11),R("weekday",11),R("isoWeekday",11),le("d",X),le("e",X),le("E",X),le("dd",(function(e,t){return t.weekdaysMinRegex(e)})),le("ddd",(function(e,t){return t.weekdaysShortRegex(e)})),le("dddd",(function(e,t){return t.weekdaysRegex(e)})),me(["dd","ddd","dddd"],(function(e,t,n,r){var o=n._locale.weekdaysParse(e,r,n._strict);null!=o?t.d=o:p(n).invalidWeekday=e})),me(["d","e","E"],(function(e,t,n,r){t[r]=w(e)}));var ze="Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),We="Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),$e="Su_Mo_Tu_We_Th_Fr_Sa".split("_");function Be(e,t,n){var r,o,i,a=e.toLocaleLowerCase();if(!this._weekdaysParse)for(this._weekdaysParse=[],this._shortWeekdaysParse=[],this._minWeekdaysParse=[],r=0;r<7;++r)i=f([2e3,1]).day(r),this._minWeekdaysParse[r]=this.weekdaysMin(i,"").toLocaleLowerCase(),this._shortWeekdaysParse[r]=this.weekdaysShort(i,"").toLocaleLowerCase(),this._weekdaysParse[r]=this.weekdays(i,"").toLocaleLowerCase();return n?"dddd"===t?-1!==(o=ve.call(this._weekdaysParse,a))?o:null:"ddd"===t?-1!==(o=ve.call(this._shortWeekdaysParse,a))?o:null:-1!==(o=ve.call(this._minWeekdaysParse,a))?o:null:"dddd"===t?-1!==(o=ve.call(this._weekdaysParse,a))||-1!==(o=ve.call(this._shortWeekdaysParse,a))||-1!==(o=ve.call(this._minWeekdaysParse,a))?o:null:"ddd"===t?-1!==(o=ve.call(this._shortWeekdaysParse,a))||-1!==(o=ve.call(this._weekdaysParse,a))||-1!==(o=ve.call(this._minWeekdaysParse,a))?o:null:-1!==(o=ve.call(this._minWeekdaysParse,a))||-1!==(o=ve.call(this._weekdaysParse,a))||-1!==(o=ve.call(this._shortWeekdaysParse,a))?o:null}var qe=ue,Ue=ue,Ve=ue;function Je(){function e(e,t){return t.length-e.length}var t,n,r,o,i,a=[],s=[],u=[],c=[];for(t=0;t<7;t++)n=f([2e3,1]).day(t),r=this.weekdaysMin(n,""),o=this.weekdaysShort(n,""),i=this.weekdays(n,""),a.push(r),s.push(o),u.push(i),c.push(r),c.push(o),c.push(i);for(a.sort(e),s.sort(e),u.sort(e),c.sort(e),t=0;t<7;t++)s[t]=he(s[t]),u[t]=he(u[t]),c[t]=he(c[t]);this._weekdaysRegex=new RegExp("^("+c.join("|")+")","i"),this._weekdaysShortRegex=this._weekdaysRegex,this._weekdaysMinRegex=this._weekdaysRegex,this._weekdaysStrictRegex=new RegExp("^("+u.join("|")+")","i"),this._weekdaysShortStrictRegex=new RegExp("^("+s.join("|")+")","i"),this._weekdaysMinStrictRegex=new RegExp("^("+a.join("|")+")","i")}function Ge(){return this.hours()%12||12}function Ke(e,t){B(e,0,0,(function(){return this.localeData().meridiem(this.hours(),this.minutes(),t)}))}function Ze(e,t){return t._meridiemParse}B("H",["HH",2],0,"hour"),B("h",["hh",2],0,Ge),B("k",["kk",2],0,(function(){return this.hours()||24})),B("hmm",0,0,(function(){return""+Ge.apply(this)+F(this.minutes(),2)})),B("hmmss",0,0,(function(){return""+Ge.apply(this)+F(this.minutes(),2)+F(this.seconds(),2)})),B("Hmm",0,0,(function(){return""+this.hours()+F(this.minutes(),2)})),B("Hmmss",0,0,(function(){return""+this.hours()+F(this.minutes(),2)+F(this.seconds(),2)})),Ke("a",!0),Ke("A",!1),j("hour","h"),R("hour",13),le("a",Ze),le("A",Ze),le("H",X),le("h",X),le("k",X),le("HH",X,J),le("hh",X,J),le("kk",X,J),le("hmm",Q),le("hmmss",ee),le("Hmm",Q),le("Hmmss",ee),pe(["H","HH"],3),pe(["k","kk"],(function(e,t,n){var r=w(e);t[3]=24===r?0:r})),pe(["a","A"],(function(e,t,n){n._isPm=n._locale.isPM(e),n._meridiem=e})),pe(["h","hh"],(function(e,t,n){t[3]=w(e),p(n).bigHour=!0})),pe("hmm",(function(e,t,n){var r=e.length-2;t[3]=w(e.substr(0,r)),t[4]=w(e.substr(r)),p(n).bigHour=!0})),pe("hmmss",(function(e,t,n){var r=e.length-4,o=e.length-2;t[3]=w(e.substr(0,r)),t[4]=w(e.substr(r,2)),t[5]=w(e.substr(o)),p(n).bigHour=!0})),pe("Hmm",(function(e,t,n){var r=e.length-2;t[3]=w(e.substr(0,r)),t[4]=w(e.substr(r))})),pe("Hmmss",(function(e,t,n){var r=e.length-4,o=e.length-2;t[3]=w(e.substr(0,r)),t[4]=w(e.substr(r,2)),t[5]=w(e.substr(o))}));var Xe,Qe=ke("Hours",!0),et={calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},longDateFormat:{LTS:"h:mm:ss A",LT:"h:mm A",L:"MM/DD/YYYY",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY h:mm A",LLLL:"dddd, MMMM D, YYYY h:mm A"},invalidDate:"Invalid date",ordinal:"%d",dayOfMonthOrdinalParse:/\d{1,2}/,relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},months:De,monthsShort:xe,week:{dow:0,doy:6},weekdays:ze,weekdaysMin:$e,weekdaysShort:We,meridiemParse:/[ap]\.?m?\.?/i},tt={},nt={};function rt(e){return e?e.toLowerCase().replace("_","-"):e}function ot(t){var r=null;if(!tt[t]&&void 0!==e&&e&&e.exports)try{r=Xe._abbr,n(556)("./"+t),it(r)}catch(e){}return tt[t]}function it(e,t){var n;return e&&((n=s(t)?st(e):at(e,t))?Xe=n:"undefined"!=typeof console&&console.warn&&console.warn("Locale "+e+" not found. Did you forget to load it?")),Xe._abbr}function at(e,t){if(null!==t){var n,r=et;if(t.abbr=e,null!=tt[e])Y("defineLocaleOverride","use moment.updateLocale(localeName, config) to change an existing locale. moment.defineLocale(localeName, config) should only be used for creating a new locale See http://momentjs.com/guides/#/warnings/define-locale/ for more info."),r=tt[e]._config;else if(null!=t.parentLocale)if(null!=tt[t.parentLocale])r=tt[t.parentLocale]._config;else{if(null==(n=ot(t.parentLocale)))return nt[t.parentLocale]||(nt[t.parentLocale]=[]),nt[t.parentLocale].push({name:e,config:t}),null;r=n._config}return tt[e]=new E(C(r,t)),nt[e]&&nt[e].forEach((function(e){at(e.name,e.config)})),it(e),tt[e]}return delete tt[e],null}function st(e){var t;if(e&&e._locale&&e._locale._abbr&&(e=e._locale._abbr),!e)return Xe;if(!i(e)){if(t=ot(e))return t;e=[e]}return function(e){for(var t,n,r,o,i=0;i<e.length;){for(t=(o=rt(e[i]).split("-")).length,n=(n=rt(e[i+1]))?n.split("-"):null;t>0;){if(r=ot(o.slice(0,t).join("-")))return r;if(n&&n.length>=t&&L(o,n,!0)>=t-1)break;t--}i++}return Xe}(e)}function ut(e){var t,n=e._a;return n&&-2===p(e).overflow&&(t=n[1]<0||n[1]>11?1:n[2]<1||n[2]>Le(n[0],n[1])?2:n[3]<0||n[3]>24||24===n[3]&&(0!==n[4]||0!==n[5]||0!==n[6])?3:n[4]<0||n[4]>59?4:n[5]<0||n[5]>59?5:n[6]<0||n[6]>999?6:-1,p(e)._overflowDayOfYear&&(t<0||t>2)&&(t=2),p(e)._overflowWeeks&&-1===t&&(t=7),p(e)._overflowWeekday&&-1===t&&(t=8),p(e).overflow=t),e}function ct(e,t,n){return null!=e?e:null!=t?t:n}function lt(e){var t,n,r,i,a,s=[];if(!e._d){for(r=function(e){var t=new Date(o.now());return e._useUTC?[t.getUTCFullYear(),t.getUTCMonth(),t.getUTCDate()]:[t.getFullYear(),t.getMonth(),t.getDate()]}(e),e._w&&null==e._a[2]&&null==e._a[1]&&function(e){var t,n,r,o,i,a,s,u;if(null!=(t=e._w).GG||null!=t.W||null!=t.E)i=1,a=4,n=ct(t.GG,e._a[0],Re(Tt(),1,4).year),r=ct(t.W,1),((o=ct(t.E,1))<1||o>7)&&(u=!0);else{i=e._locale._week.dow,a=e._locale._week.doy;var c=Re(Tt(),i,a);n=ct(t.gg,e._a[0],c.year),r=ct(t.w,c.week),null!=t.d?((o=t.d)<0||o>6)&&(u=!0):null!=t.e?(o=t.e+i,(t.e<0||t.e>6)&&(u=!0)):o=i}r<1||r>Fe(n,i,a)?p(e)._overflowWeeks=!0:null!=u?p(e)._overflowWeekday=!0:(s=Ne(n,r,o,i,a),e._a[0]=s.year,e._dayOfYear=s.dayOfYear)}(e),null!=e._dayOfYear&&(a=ct(e._a[0],r[0]),(e._dayOfYear>ge(a)||0===e._dayOfYear)&&(p(e)._overflowDayOfYear=!0),n=He(a,0,e._dayOfYear),e._a[1]=n.getUTCMonth(),e._a[2]=n.getUTCDate()),t=0;t<3&&null==e._a[t];++t)e._a[t]=s[t]=r[t];for(;t<7;t++)e._a[t]=s[t]=null==e._a[t]?2===t?1:0:e._a[t];24===e._a[3]&&0===e._a[4]&&0===e._a[5]&&0===e._a[6]&&(e._nextDay=!0,e._a[3]=0),e._d=(e._useUTC?He:je).apply(null,s),i=e._useUTC?e._d.getUTCDay():e._d.getDay(),null!=e._tzm&&e._d.setUTCMinutes(e._d.getUTCMinutes()-e._tzm),e._nextDay&&(e._a[3]=24),e._w&&void 0!==e._w.d&&e._w.d!==i&&(p(e).weekdayMismatch=!0)}}var dt=/^\s*((?:[+-]\d{6}|\d{4})-(?:\d\d-\d\d|W\d\d-\d|W\d\d|\d\d\d|\d\d))(?:(T| )(\d\d(?::\d\d(?::\d\d(?:[.,]\d+)?)?)?)([\+\-]\d\d(?::?\d\d)?|\s*Z)?)?$/,ht=/^\s*((?:[+-]\d{6}|\d{4})(?:\d\d\d\d|W\d\d\d|W\d\d|\d\d\d|\d\d))(?:(T| )(\d\d(?:\d\d(?:\d\d(?:[.,]\d+)?)?)?)([\+\-]\d\d(?::?\d\d)?|\s*Z)?)?$/,ft=/Z|[+-]\d\d(?::?\d\d)?/,pt=[["YYYYYY-MM-DD",/[+-]\d{6}-\d\d-\d\d/],["YYYY-MM-DD",/\d{4}-\d\d-\d\d/],["GGGG-[W]WW-E",/\d{4}-W\d\d-\d/],["GGGG-[W]WW",/\d{4}-W\d\d/,!1],["YYYY-DDD",/\d{4}-\d{3}/],["YYYY-MM",/\d{4}-\d\d/,!1],["YYYYYYMMDD",/[+-]\d{10}/],["YYYYMMDD",/\d{8}/],["GGGG[W]WWE",/\d{4}W\d{3}/],["GGGG[W]WW",/\d{4}W\d{2}/,!1],["YYYYDDD",/\d{7}/]],mt=[["HH:mm:ss.SSSS",/\d\d:\d\d:\d\d\.\d+/],["HH:mm:ss,SSSS",/\d\d:\d\d:\d\d,\d+/],["HH:mm:ss",/\d\d:\d\d:\d\d/],["HH:mm",/\d\d:\d\d/],["HHmmss.SSSS",/\d\d\d\d\d\d\.\d+/],["HHmmss,SSSS",/\d\d\d\d\d\d,\d+/],["HHmmss",/\d\d\d\d\d\d/],["HHmm",/\d\d\d\d/],["HH",/\d\d/]],_t=/^\/?Date\((\-?\d+)/i;function gt(e){var t,n,r,o,i,a,s=e._i,u=dt.exec(s)||ht.exec(s);if(u){for(p(e).iso=!0,t=0,n=pt.length;t<n;t++)if(pt[t][1].exec(u[1])){o=pt[t][0],r=!1!==pt[t][2];break}if(null==o)return void(e._isValid=!1);if(u[3]){for(t=0,n=mt.length;t<n;t++)if(mt[t][1].exec(u[3])){i=(u[2]||" ")+mt[t][0];break}if(null==i)return void(e._isValid=!1)}if(!r&&null!=i)return void(e._isValid=!1);if(u[4]){if(!ft.exec(u[4]))return void(e._isValid=!1);a="Z"}e._f=o+(i||"")+(a||""),bt(e)}else e._isValid=!1}var yt=/^(?:(Mon|Tue|Wed|Thu|Fri|Sat|Sun),?\s)?(\d{1,2})\s(Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec)\s(\d{2,4})\s(\d\d):(\d\d)(?::(\d\d))?\s(?:(UT|GMT|[ECMP][SD]T)|([Zz])|([+-]\d{4}))$/;function vt(e){var t=parseInt(e,10);return t<=49?2e3+t:t<=999?1900+t:t}var Mt={UT:0,GMT:0,EDT:-240,EST:-300,CDT:-300,CST:-360,MDT:-360,MST:-420,PDT:-420,PST:-480};function kt(e){var t,n,r,o,i,a,s,u=yt.exec(e._i.replace(/\([^)]*\)|[\n\t]/g," ").replace(/(\s\s+)/g," ").replace(/^\s\s*/,"").replace(/\s\s*$/,""));if(u){var c=(t=u[4],n=u[3],r=u[2],o=u[5],i=u[6],a=u[7],s=[vt(t),xe.indexOf(n),parseInt(r,10),parseInt(o,10),parseInt(i,10)],a&&s.push(parseInt(a,10)),s);if(!function(e,t,n){return!e||We.indexOf(e)===new Date(t[0],t[1],t[2]).getDay()||(p(n).weekdayMismatch=!0,n._isValid=!1,!1)}(u[1],c,e))return;e._a=c,e._tzm=function(e,t,n){if(e)return Mt[e];if(t)return 0;var r=parseInt(n,10),o=r%100;return(r-o)/100*60+o}(u[8],u[9],u[10]),e._d=He.apply(null,e._a),e._d.setUTCMinutes(e._d.getUTCMinutes()-e._tzm),p(e).rfc2822=!0}else e._isValid=!1}function bt(e){if(e._f!==o.ISO_8601)if(e._f!==o.RFC_2822){e._a=[],p(e).empty=!0;var t,n,r,i,a,s=""+e._i,u=s.length,c=0;for(r=U(e._f,e._locale).match(I)||[],t=0;t<r.length;t++)i=r[t],(n=(s.match(de(i,e))||[])[0])&&((a=s.substr(0,s.indexOf(n))).length>0&&p(e).unusedInput.push(a),s=s.slice(s.indexOf(n)+n.length),c+=n.length),$[i]?(n?p(e).empty=!1:p(e).unusedTokens.push(i),_e(i,n,e)):e._strict&&!n&&p(e).unusedTokens.push(i);p(e).charsLeftOver=u-c,s.length>0&&p(e).unusedInput.push(s),e._a[3]<=12&&!0===p(e).bigHour&&e._a[3]>0&&(p(e).bigHour=void 0),p(e).parsedDateParts=e._a.slice(0),p(e).meridiem=e._meridiem,e._a[3]=function(e,t,n){var r;return null==n?t:null!=e.meridiemHour?e.meridiemHour(t,n):null!=e.isPM?((r=e.isPM(n))&&t<12&&(t+=12),r||12!==t||(t=0),t):t}(e._locale,e._a[3],e._meridiem),lt(e),ut(e)}else kt(e);else gt(e)}function wt(e){var t=e._i,n=e._f;return e._locale=e._locale||st(e._l),null===t||void 0===n&&""===t?_({nullInput:!0}):("string"==typeof t&&(e._i=t=e._locale.preparse(t)),k(t)?new M(ut(t)):(c(t)?e._d=t:i(n)?function(e){var t,n,r,o,i;if(0===e._f.length)return p(e).invalidFormat=!0,void(e._d=new Date(NaN));for(o=0;o<e._f.length;o++)i=0,t=y({},e),null!=e._useUTC&&(t._useUTC=e._useUTC),t._f=e._f[o],bt(t),m(t)&&(i+=p(t).charsLeftOver,i+=10*p(t).unusedTokens.length,p(t).score=i,(null==r||i<r)&&(r=i,n=t));h(e,n||t)}(e):n?bt(e):function(e){var t=e._i;s(t)?e._d=new Date(o.now()):c(t)?e._d=new Date(t.valueOf()):"string"==typeof t?function(e){var t=_t.exec(e._i);null===t?(gt(e),!1===e._isValid&&(delete e._isValid,kt(e),!1===e._isValid&&(delete e._isValid,o.createFromInputFallback(e)))):e._d=new Date(+t[1])}(e):i(t)?(e._a=l(t.slice(0),(function(e){return parseInt(e,10)})),lt(e)):a(t)?function(e){if(!e._d){var t=P(e._i);e._a=l([t.year,t.month,t.day||t.date,t.hour,t.minute,t.second,t.millisecond],(function(e){return e&&parseInt(e,10)})),lt(e)}}(e):u(t)?e._d=new Date(t):o.createFromInputFallback(e)}(e),m(e)||(e._d=null),e))}function Lt(e,t,n,r,o){var s,u={};return!0!==n&&!1!==n||(r=n,n=void 0),(a(e)&&function(e){if(Object.getOwnPropertyNames)return 0===Object.getOwnPropertyNames(e).length;var t;for(t in e)if(e.hasOwnProperty(t))return!1;return!0}(e)||i(e)&&0===e.length)&&(e=void 0),u._isAMomentObject=!0,u._useUTC=u._isUTC=o,u._l=n,u._i=e,u._f=t,u._strict=r,(s=new M(ut(wt(u))))._nextDay&&(s.add(1,"d"),s._nextDay=void 0),s}function Tt(e,t,n,r){return Lt(e,t,n,r,!1)}o.createFromInputFallback=D("value provided is not in a recognized RFC2822 or ISO format. moment construction falls back to js Date(), which is not reliable across all browsers and versions. Non RFC2822/ISO date formats are discouraged and will be removed in an upcoming major release. Please refer to http://momentjs.com/guides/#/warnings/js-date/ for more info.",(function(e){e._d=new Date(e._i+(e._useUTC?" UTC":""))})),o.ISO_8601=function(){},o.RFC_2822=function(){};var Dt=D("moment().min is deprecated, use moment.max instead. http://momentjs.com/guides/#/warnings/min-max/",(function(){var e=Tt.apply(null,arguments);return this.isValid()&&e.isValid()?e<this?this:e:_()})),xt=D("moment().max is deprecated, use moment.min instead. http://momentjs.com/guides/#/warnings/min-max/",(function(){var e=Tt.apply(null,arguments);return this.isValid()&&e.isValid()?e>this?this:e:_()}));function St(e,t){var n,r;if(1===t.length&&i(t[0])&&(t=t[0]),!t.length)return Tt();for(n=t[0],r=1;r<t.length;++r)t[r].isValid()&&!t[r][e](n)||(n=t[r]);return n}var Yt=["year","quarter","month","week","day","hour","minute","second","millisecond"];function Ot(e){var t=P(e),n=t.year||0,r=t.quarter||0,o=t.month||0,i=t.week||t.isoWeek||0,a=t.day||0,s=t.hour||0,u=t.minute||0,c=t.second||0,l=t.millisecond||0;this._isValid=function(e){for(var t in e)if(-1===ve.call(Yt,t)||null!=e[t]&&isNaN(e[t]))return!1;for(var n=!1,r=0;r<Yt.length;++r)if(e[Yt[r]]){if(n)return!1;parseFloat(e[Yt[r]])!==w(e[Yt[r]])&&(n=!0)}return!0}(t),this._milliseconds=+l+1e3*c+6e4*u+1e3*s*60*60,this._days=+a+7*i,this._months=+o+3*r+12*n,this._data={},this._locale=st(),this._bubble()}function Ct(e){return e instanceof Ot}function Et(e){return e<0?-1*Math.round(-1*e):Math.round(e)}function At(e,t){B(e,0,0,(function(){var e=this.utcOffset(),n="+";return e<0&&(e=-e,n="-"),n+F(~~(e/60),2)+t+F(~~e%60,2)}))}At("Z",":"),At("ZZ",""),le("Z",se),le("ZZ",se),pe(["Z","ZZ"],(function(e,t,n){n._useUTC=!0,n._tzm=Ht(se,e)}));var jt=/([\+\-]|\d\d)/gi;function Ht(e,t){var n=(t||"").match(e);if(null===n)return null;var r=((n[n.length-1]||[])+"").match(jt)||["-",0,0],o=60*r[1]+w(r[2]);return 0===o?0:"+"===r[0]?o:-o}function Pt(e,t){var n,r;return t._isUTC?(n=t.clone(),r=(k(e)||c(e)?e.valueOf():Tt(e).valueOf())-n.valueOf(),n._d.setTime(n._d.valueOf()+r),o.updateOffset(n,!1),n):Tt(e).local()}function Nt(e){return 15*-Math.round(e._d.getTimezoneOffset()/15)}function Rt(){return!!this.isValid()&&this._isUTC&&0===this._offset}o.updateOffset=function(){};var Ft=/^(\-|\+)?(?:(\d*)[. ])?(\d+)\:(\d+)(?:\:(\d+)(\.\d*)?)?$/,It=/^(-|\+)?P(?:([-+]?[0-9,.]*)Y)?(?:([-+]?[0-9,.]*)M)?(?:([-+]?[0-9,.]*)W)?(?:([-+]?[0-9,.]*)D)?(?:T(?:([-+]?[0-9,.]*)H)?(?:([-+]?[0-9,.]*)M)?(?:([-+]?[0-9,.]*)S)?)?$/;function zt(e,t){var n,r,o,i,a,s,c=e,l=null;return Ct(e)?c={ms:e._milliseconds,d:e._days,M:e._months}:u(e)?(c={},t?c[t]=e:c.milliseconds=e):(l=Ft.exec(e))?(n="-"===l[1]?-1:1,c={y:0,d:w(l[2])*n,h:w(l[3])*n,m:w(l[4])*n,s:w(l[5])*n,ms:w(Et(1e3*l[6]))*n}):(l=It.exec(e))?(n="-"===l[1]?-1:1,c={y:Wt(l[2],n),M:Wt(l[3],n),w:Wt(l[4],n),d:Wt(l[5],n),h:Wt(l[6],n),m:Wt(l[7],n),s:Wt(l[8],n)}):null==c?c={}:"object"==typeof c&&("from"in c||"to"in c)&&(i=Tt(c.from),a=Tt(c.to),o=i.isValid()&&a.isValid()?(a=Pt(a,i),i.isBefore(a)?s=$t(i,a):((s=$t(a,i)).milliseconds=-s.milliseconds,s.months=-s.months),s):{milliseconds:0,months:0},(c={}).ms=o.milliseconds,c.M=o.months),r=new Ot(c),Ct(e)&&d(e,"_locale")&&(r._locale=e._locale),r}function Wt(e,t){var n=e&&parseFloat(e.replace(",","."));return(isNaN(n)?0:n)*t}function $t(e,t){var n={};return n.months=t.month()-e.month()+12*(t.year()-e.year()),e.clone().add(n.months,"M").isAfter(t)&&--n.months,n.milliseconds=+t-+e.clone().add(n.months,"M"),n}function Bt(e,t){return function(n,r){var o;return null===r||isNaN(+r)||(Y(t,"moment()."+t+"(period, number) is deprecated. Please use moment()."+t+"(number, period). See http://momentjs.com/guides/#/warnings/add-inverted-param/ for more info."),o=n,n=r,r=o),qt(this,zt(n="string"==typeof n?+n:n,r),e),this}}function qt(e,t,n,r){var i=t._milliseconds,a=Et(t._days),s=Et(t._months);e.isValid()&&(r=null==r||r,s&&Ye(e,be(e,"Month")+s*n),a&&we(e,"Date",be(e,"Date")+a*n),i&&e._d.setTime(e._d.valueOf()+i*n),r&&o.updateOffset(e,a||s))}zt.fn=Ot.prototype,zt.invalid=function(){return zt(NaN)};var Ut=Bt(1,"add"),Vt=Bt(-1,"subtract");function Jt(e,t){var n=12*(t.year()-e.year())+(t.month()-e.month()),r=e.clone().add(n,"months");return-(n+(t-r<0?(t-r)/(r-e.clone().add(n-1,"months")):(t-r)/(e.clone().add(n+1,"months")-r)))||0}function Gt(e){var t;return void 0===e?this._locale._abbr:(null!=(t=st(e))&&(this._locale=t),this)}o.defaultFormat="YYYY-MM-DDTHH:mm:ssZ",o.defaultFormatUtc="YYYY-MM-DDTHH:mm:ss[Z]";var Kt=D("moment().lang() is deprecated. Instead, use moment().localeData() to get the language configuration. Use moment().locale() to change languages.",(function(e){return void 0===e?this.localeData():this.locale(e)}));function Zt(){return this._locale}function Xt(e,t){return(e%t+t)%t}function Qt(e,t,n){return e<100&&e>=0?new Date(e+400,t,n)-126227808e5:new Date(e,t,n).valueOf()}function en(e,t,n){return e<100&&e>=0?Date.UTC(e+400,t,n)-126227808e5:Date.UTC(e,t,n)}function tn(e,t){B(0,[e,e.length],0,t)}function nn(e,t,n,r,o){var i;return null==e?Re(this,r,o).year:(t>(i=Fe(e,r,o))&&(t=i),rn.call(this,e,t,n,r,o))}function rn(e,t,n,r,o){var i=Ne(e,t,n,r,o),a=He(i.year,0,i.dayOfYear);return this.year(a.getUTCFullYear()),this.month(a.getUTCMonth()),this.date(a.getUTCDate()),this}B(0,["gg",2],0,(function(){return this.weekYear()%100})),B(0,["GG",2],0,(function(){return this.isoWeekYear()%100})),tn("gggg","weekYear"),tn("ggggg","weekYear"),tn("GGGG","isoWeekYear"),tn("GGGGG","isoWeekYear"),j("weekYear","gg"),j("isoWeekYear","GG"),R("weekYear",1),R("isoWeekYear",1),le("G",ie),le("g",ie),le("GG",X,J),le("gg",X,J),le("GGGG",ne,K),le("gggg",ne,K),le("GGGGG",re,Z),le("ggggg",re,Z),me(["gggg","ggggg","GGGG","GGGGG"],(function(e,t,n,r){t[r.substr(0,2)]=w(e)})),me(["gg","GG"],(function(e,t,n,r){t[r]=o.parseTwoDigitYear(e)})),B("Q",0,"Qo","quarter"),j("quarter","Q"),R("quarter",7),le("Q",V),pe("Q",(function(e,t){t[1]=3*(w(e)-1)})),B("D",["DD",2],"Do","date"),j("date","D"),R("date",9),le("D",X),le("DD",X,J),le("Do",(function(e,t){return e?t._dayOfMonthOrdinalParse||t._ordinalParse:t._dayOfMonthOrdinalParseLenient})),pe(["D","DD"],2),pe("Do",(function(e,t){t[2]=w(e.match(X)[0])}));var on=ke("Date",!0);B("DDD",["DDDD",3],"DDDo","dayOfYear"),j("dayOfYear","DDD"),R("dayOfYear",4),le("DDD",te),le("DDDD",G),pe(["DDD","DDDD"],(function(e,t,n){n._dayOfYear=w(e)})),B("m",["mm",2],0,"minute"),j("minute","m"),R("minute",14),le("m",X),le("mm",X,J),pe(["m","mm"],4);var an=ke("Minutes",!1);B("s",["ss",2],0,"second"),j("second","s"),R("second",15),le("s",X),le("ss",X,J),pe(["s","ss"],5);var sn,un=ke("Seconds",!1);for(B("S",0,0,(function(){return~~(this.millisecond()/100)})),B(0,["SS",2],0,(function(){return~~(this.millisecond()/10)})),B(0,["SSS",3],0,"millisecond"),B(0,["SSSS",4],0,(function(){return 10*this.millisecond()})),B(0,["SSSSS",5],0,(function(){return 100*this.millisecond()})),B(0,["SSSSSS",6],0,(function(){return 1e3*this.millisecond()})),B(0,["SSSSSSS",7],0,(function(){return 1e4*this.millisecond()})),B(0,["SSSSSSSS",8],0,(function(){return 1e5*this.millisecond()})),B(0,["SSSSSSSSS",9],0,(function(){return 1e6*this.millisecond()})),j("millisecond","ms"),R("millisecond",16),le("S",te,V),le("SS",te,J),le("SSS",te,G),sn="SSSS";sn.length<=9;sn+="S")le(sn,oe);function cn(e,t){t[6]=w(1e3*("0."+e))}for(sn="S";sn.length<=9;sn+="S")pe(sn,cn);var ln=ke("Milliseconds",!1);B("z",0,0,"zoneAbbr"),B("zz",0,0,"zoneName");var dn=M.prototype;function hn(e){return e}dn.add=Ut,dn.calendar=function(e,t){var n=e||Tt(),r=Pt(n,this).startOf("day"),i=o.calendarFormat(this,r)||"sameElse",a=t&&(O(t[i])?t[i].call(this,n):t[i]);return this.format(a||this.localeData().calendar(i,this,Tt(n)))},dn.clone=function(){return new M(this)},dn.diff=function(e,t,n){var r,o,i;if(!this.isValid())return NaN;if(!(r=Pt(e,this)).isValid())return NaN;switch(o=6e4*(r.utcOffset()-this.utcOffset()),t=H(t)){case"year":i=Jt(this,r)/12;break;case"month":i=Jt(this,r);break;case"quarter":i=Jt(this,r)/3;break;case"second":i=(this-r)/1e3;break;case"minute":i=(this-r)/6e4;break;case"hour":i=(this-r)/36e5;break;case"day":i=(this-r-o)/864e5;break;case"week":i=(this-r-o)/6048e5;break;default:i=this-r}return n?i:b(i)},dn.endOf=function(e){var t;if(void 0===(e=H(e))||"millisecond"===e||!this.isValid())return this;var n=this._isUTC?en:Qt;switch(e){case"year":t=n(this.year()+1,0,1)-1;break;case"quarter":t=n(this.year(),this.month()-this.month()%3+3,1)-1;break;case"month":t=n(this.year(),this.month()+1,1)-1;break;case"week":t=n(this.year(),this.month(),this.date()-this.weekday()+7)-1;break;case"isoWeek":t=n(this.year(),this.month(),this.date()-(this.isoWeekday()-1)+7)-1;break;case"day":case"date":t=n(this.year(),this.month(),this.date()+1)-1;break;case"hour":t=this._d.valueOf(),t+=36e5-Xt(t+(this._isUTC?0:6e4*this.utcOffset()),36e5)-1;break;case"minute":t=this._d.valueOf(),t+=6e4-Xt(t,6e4)-1;break;case"second":t=this._d.valueOf(),t+=1e3-Xt(t,1e3)-1}return this._d.setTime(t),o.updateOffset(this,!0),this},dn.format=function(e){e||(e=this.isUtc()?o.defaultFormatUtc:o.defaultFormat);var t=q(this,e);return this.localeData().postformat(t)},dn.from=function(e,t){return this.isValid()&&(k(e)&&e.isValid()||Tt(e).isValid())?zt({to:this,from:e}).locale(this.locale()).humanize(!t):this.localeData().invalidDate()},dn.fromNow=function(e){return this.from(Tt(),e)},dn.to=function(e,t){return this.isValid()&&(k(e)&&e.isValid()||Tt(e).isValid())?zt({from:this,to:e}).locale(this.locale()).humanize(!t):this.localeData().invalidDate()},dn.toNow=function(e){return this.to(Tt(),e)},dn.get=function(e){return O(this[e=H(e)])?this[e]():this},dn.invalidAt=function(){return p(this).overflow},dn.isAfter=function(e,t){var n=k(e)?e:Tt(e);return!(!this.isValid()||!n.isValid())&&("millisecond"===(t=H(t)||"millisecond")?this.valueOf()>n.valueOf():n.valueOf()<this.clone().startOf(t).valueOf())},dn.isBefore=function(e,t){var n=k(e)?e:Tt(e);return!(!this.isValid()||!n.isValid())&&("millisecond"===(t=H(t)||"millisecond")?this.valueOf()<n.valueOf():this.clone().endOf(t).valueOf()<n.valueOf())},dn.isBetween=function(e,t,n,r){var o=k(e)?e:Tt(e),i=k(t)?t:Tt(t);return!!(this.isValid()&&o.isValid()&&i.isValid())&&("("===(r=r||"()")[0]?this.isAfter(o,n):!this.isBefore(o,n))&&(")"===r[1]?this.isBefore(i,n):!this.isAfter(i,n))},dn.isSame=function(e,t){var n,r=k(e)?e:Tt(e);return!(!this.isValid()||!r.isValid())&&("millisecond"===(t=H(t)||"millisecond")?this.valueOf()===r.valueOf():(n=r.valueOf(),this.clone().startOf(t).valueOf()<=n&&n<=this.clone().endOf(t).valueOf()))},dn.isSameOrAfter=function(e,t){return this.isSame(e,t)||this.isAfter(e,t)},dn.isSameOrBefore=function(e,t){return this.isSame(e,t)||this.isBefore(e,t)},dn.isValid=function(){return m(this)},dn.lang=Kt,dn.locale=Gt,dn.localeData=Zt,dn.max=xt,dn.min=Dt,dn.parsingFlags=function(){return h({},p(this))},dn.set=function(e,t){if("object"==typeof e)for(var n=function(e){var t=[];for(var n in e)t.push({unit:n,priority:N[n]});return t.sort((function(e,t){return e.priority-t.priority})),t}(e=P(e)),r=0;r<n.length;r++)this[n[r].unit](e[n[r].unit]);else if(O(this[e=H(e)]))return this[e](t);return this},dn.startOf=function(e){var t;if(void 0===(e=H(e))||"millisecond"===e||!this.isValid())return this;var n=this._isUTC?en:Qt;switch(e){case"year":t=n(this.year(),0,1);break;case"quarter":t=n(this.year(),this.month()-this.month()%3,1);break;case"month":t=n(this.year(),this.month(),1);break;case"week":t=n(this.year(),this.month(),this.date()-this.weekday());break;case"isoWeek":t=n(this.year(),this.month(),this.date()-(this.isoWeekday()-1));break;case"day":case"date":t=n(this.year(),this.month(),this.date());break;case"hour":t=this._d.valueOf(),t-=Xt(t+(this._isUTC?0:6e4*this.utcOffset()),36e5);break;case"minute":t=this._d.valueOf(),t-=Xt(t,6e4);break;case"second":t=this._d.valueOf(),t-=Xt(t,1e3)}return this._d.setTime(t),o.updateOffset(this,!0),this},dn.subtract=Vt,dn.toArray=function(){var e=this;return[e.year(),e.month(),e.date(),e.hour(),e.minute(),e.second(),e.millisecond()]},dn.toObject=function(){var e=this;return{years:e.year(),months:e.month(),date:e.date(),hours:e.hours(),minutes:e.minutes(),seconds:e.seconds(),milliseconds:e.milliseconds()}},dn.toDate=function(){return new Date(this.valueOf())},dn.toISOString=function(e){if(!this.isValid())return null;var t=!0!==e,n=t?this.clone().utc():this;return n.year()<0||n.year()>9999?q(n,t?"YYYYYY-MM-DD[T]HH:mm:ss.SSS[Z]":"YYYYYY-MM-DD[T]HH:mm:ss.SSSZ"):O(Date.prototype.toISOString)?t?this.toDate().toISOString():new Date(this.valueOf()+60*this.utcOffset()*1e3).toISOString().replace("Z",q(n,"Z")):q(n,t?"YYYY-MM-DD[T]HH:mm:ss.SSS[Z]":"YYYY-MM-DD[T]HH:mm:ss.SSSZ")},dn.inspect=function(){if(!this.isValid())return"moment.invalid(/* "+this._i+" */)";var e="moment",t="";this.isLocal()||(e=0===this.utcOffset()?"moment.utc":"moment.parseZone",t="Z");var n="["+e+'("]',r=0<=this.year()&&this.year()<=9999?"YYYY":"YYYYYY",o=t+'[")]';return this.format(n+r+"-MM-DD[T]HH:mm:ss.SSS"+o)},dn.toJSON=function(){return this.isValid()?this.toISOString():null},dn.toString=function(){return this.clone().locale("en").format("ddd MMM DD YYYY HH:mm:ss [GMT]ZZ")},dn.unix=function(){return Math.floor(this.valueOf()/1e3)},dn.valueOf=function(){return this._d.valueOf()-6e4*(this._offset||0)},dn.creationData=function(){return{input:this._i,format:this._f,locale:this._locale,isUTC:this._isUTC,strict:this._strict}},dn.year=Me,dn.isLeapYear=function(){return ye(this.year())},dn.weekYear=function(e){return nn.call(this,e,this.week(),this.weekday(),this.localeData()._week.dow,this.localeData()._week.doy)},dn.isoWeekYear=function(e){return nn.call(this,e,this.isoWeek(),this.isoWeekday(),1,4)},dn.quarter=dn.quarters=function(e){return null==e?Math.ceil((this.month()+1)/3):this.month(3*(e-1)+this.month()%3)},dn.month=Oe,dn.daysInMonth=function(){return Le(this.year(),this.month())},dn.week=dn.weeks=function(e){var t=this.localeData().week(this);return null==e?t:this.add(7*(e-t),"d")},dn.isoWeek=dn.isoWeeks=function(e){var t=Re(this,1,4).week;return null==e?t:this.add(7*(e-t),"d")},dn.weeksInYear=function(){var e=this.localeData()._week;return Fe(this.year(),e.dow,e.doy)},dn.isoWeeksInYear=function(){return Fe(this.year(),1,4)},dn.date=on,dn.day=dn.days=function(e){if(!this.isValid())return null!=e?this:NaN;var t=this._isUTC?this._d.getUTCDay():this._d.getDay();return null!=e?(e=function(e,t){return"string"!=typeof e?e:isNaN(e)?"number"==typeof(e=t.weekdaysParse(e))?e:null:parseInt(e,10)}(e,this.localeData()),this.add(e-t,"d")):t},dn.weekday=function(e){if(!this.isValid())return null!=e?this:NaN;var t=(this.day()+7-this.localeData()._week.dow)%7;return null==e?t:this.add(e-t,"d")},dn.isoWeekday=function(e){if(!this.isValid())return null!=e?this:NaN;if(null!=e){var t=function(e,t){return"string"==typeof e?t.weekdaysParse(e)%7||7:isNaN(e)?null:e}(e,this.localeData());return this.day(this.day()%7?t:t-7)}return this.day()||7},dn.dayOfYear=function(e){var t=Math.round((this.clone().startOf("day")-this.clone().startOf("year"))/864e5)+1;return null==e?t:this.add(e-t,"d")},dn.hour=dn.hours=Qe,dn.minute=dn.minutes=an,dn.second=dn.seconds=un,dn.millisecond=dn.milliseconds=ln,dn.utcOffset=function(e,t,n){var r,i=this._offset||0;if(!this.isValid())return null!=e?this:NaN;if(null!=e){if("string"==typeof e){if(null===(e=Ht(se,e)))return this}else Math.abs(e)<16&&!n&&(e*=60);return!this._isUTC&&t&&(r=Nt(this)),this._offset=e,this._isUTC=!0,null!=r&&this.add(r,"m"),i!==e&&(!t||this._changeInProgress?qt(this,zt(e-i,"m"),1,!1):this._changeInProgress||(this._changeInProgress=!0,o.updateOffset(this,!0),this._changeInProgress=null)),this}return this._isUTC?i:Nt(this)},dn.utc=function(e){return this.utcOffset(0,e)},dn.local=function(e){return this._isUTC&&(this.utcOffset(0,e),this._isUTC=!1,e&&this.subtract(Nt(this),"m")),this},dn.parseZone=function(){if(null!=this._tzm)this.utcOffset(this._tzm,!1,!0);else if("string"==typeof this._i){var e=Ht(ae,this._i);null!=e?this.utcOffset(e):this.utcOffset(0,!0)}return this},dn.hasAlignedHourOffset=function(e){return!!this.isValid()&&(e=e?Tt(e).utcOffset():0,(this.utcOffset()-e)%60==0)},dn.isDST=function(){return this.utcOffset()>this.clone().month(0).utcOffset()||this.utcOffset()>this.clone().month(5).utcOffset()},dn.isLocal=function(){return!!this.isValid()&&!this._isUTC},dn.isUtcOffset=function(){return!!this.isValid()&&this._isUTC},dn.isUtc=Rt,dn.isUTC=Rt,dn.zoneAbbr=function(){return this._isUTC?"UTC":""},dn.zoneName=function(){return this._isUTC?"Coordinated Universal Time":""},dn.dates=D("dates accessor is deprecated. Use date instead.",on),dn.months=D("months accessor is deprecated. Use month instead",Oe),dn.years=D("years accessor is deprecated. Use year instead",Me),dn.zone=D("moment().zone is deprecated, use moment().utcOffset instead. http://momentjs.com/guides/#/warnings/zone/",(function(e,t){return null!=e?("string"!=typeof e&&(e=-e),this.utcOffset(e,t),this):-this.utcOffset()})),dn.isDSTShifted=D("isDSTShifted is deprecated. See http://momentjs.com/guides/#/warnings/dst-shifted/ for more information",(function(){if(!s(this._isDSTShifted))return this._isDSTShifted;var e={};if(y(e,this),(e=wt(e))._a){var t=e._isUTC?f(e._a):Tt(e._a);this._isDSTShifted=this.isValid()&&L(e._a,t.toArray())>0}else this._isDSTShifted=!1;return this._isDSTShifted}));var fn=E.prototype;function pn(e,t,n,r){var o=st(),i=f().set(r,t);return o[n](i,e)}function mn(e,t,n){if(u(e)&&(t=e,e=void 0),e=e||"",null!=t)return pn(e,t,n,"month");var r,o=[];for(r=0;r<12;r++)o[r]=pn(e,r,n,"month");return o}function _n(e,t,n,r){"boolean"==typeof e?(u(t)&&(n=t,t=void 0),t=t||""):(n=t=e,e=!1,u(t)&&(n=t,t=void 0),t=t||"");var o,i=st(),a=e?i._week.dow:0;if(null!=n)return pn(t,(n+a)%7,r,"day");var s=[];for(o=0;o<7;o++)s[o]=pn(t,(o+a)%7,r,"day");return s}fn.calendar=function(e,t,n){var r=this._calendar[e]||this._calendar.sameElse;return O(r)?r.call(t,n):r},fn.longDateFormat=function(e){var t=this._longDateFormat[e],n=this._longDateFormat[e.toUpperCase()];return t||!n?t:(this._longDateFormat[e]=n.replace(/MMMM|MM|DD|dddd/g,(function(e){return e.slice(1)})),this._longDateFormat[e])},fn.invalidDate=function(){return this._invalidDate},fn.ordinal=function(e){return this._ordinal.replace("%d",e)},fn.preparse=hn,fn.postformat=hn,fn.relativeTime=function(e,t,n,r){var o=this._relativeTime[n];return O(o)?o(e,t,n,r):o.replace(/%d/i,e)},fn.pastFuture=function(e,t){var n=this._relativeTime[e>0?"future":"past"];return O(n)?n(t):n.replace(/%s/i,t)},fn.set=function(e){var t,n;for(n in e)O(t=e[n])?this[n]=t:this["_"+n]=t;this._config=e,this._dayOfMonthOrdinalParseLenient=new RegExp((this._dayOfMonthOrdinalParse.source||this._ordinalParse.source)+"|"+/\d{1,2}/.source)},fn.months=function(e,t){return e?i(this._months)?this._months[e.month()]:this._months[(this._months.isFormat||Te).test(t)?"format":"standalone"][e.month()]:i(this._months)?this._months:this._months.standalone},fn.monthsShort=function(e,t){return e?i(this._monthsShort)?this._monthsShort[e.month()]:this._monthsShort[Te.test(t)?"format":"standalone"][e.month()]:i(this._monthsShort)?this._monthsShort:this._monthsShort.standalone},fn.monthsParse=function(e,t,n){var r,o,i;if(this._monthsParseExact)return Se.call(this,e,t,n);for(this._monthsParse||(this._monthsParse=[],this._longMonthsParse=[],this._shortMonthsParse=[]),r=0;r<12;r++){if(o=f([2e3,r]),n&&!this._longMonthsParse[r]&&(this._longMonthsParse[r]=new RegExp("^"+this.months(o,"").replace(".","")+"$","i"),this._shortMonthsParse[r]=new RegExp("^"+this.monthsShort(o,"").replace(".","")+"$","i")),n||this._monthsParse[r]||(i="^"+this.months(o,"")+"|^"+this.monthsShort(o,""),this._monthsParse[r]=new RegExp(i.replace(".",""),"i")),n&&"MMMM"===t&&this._longMonthsParse[r].test(e))return r;if(n&&"MMM"===t&&this._shortMonthsParse[r].test(e))return r;if(!n&&this._monthsParse[r].test(e))return r}},fn.monthsRegex=function(e){return this._monthsParseExact?(d(this,"_monthsRegex")||Ae.call(this),e?this._monthsStrictRegex:this._monthsRegex):(d(this,"_monthsRegex")||(this._monthsRegex=Ee),this._monthsStrictRegex&&e?this._monthsStrictRegex:this._monthsRegex)},fn.monthsShortRegex=function(e){return this._monthsParseExact?(d(this,"_monthsRegex")||Ae.call(this),e?this._monthsShortStrictRegex:this._monthsShortRegex):(d(this,"_monthsShortRegex")||(this._monthsShortRegex=Ce),this._monthsShortStrictRegex&&e?this._monthsShortStrictRegex:this._monthsShortRegex)},fn.week=function(e){return Re(e,this._week.dow,this._week.doy).week},fn.firstDayOfYear=function(){return this._week.doy},fn.firstDayOfWeek=function(){return this._week.dow},fn.weekdays=function(e,t){var n=i(this._weekdays)?this._weekdays:this._weekdays[e&&!0!==e&&this._weekdays.isFormat.test(t)?"format":"standalone"];return!0===e?Ie(n,this._week.dow):e?n[e.day()]:n},fn.weekdaysMin=function(e){return!0===e?Ie(this._weekdaysMin,this._week.dow):e?this._weekdaysMin[e.day()]:this._weekdaysMin},fn.weekdaysShort=function(e){return!0===e?Ie(this._weekdaysShort,this._week.dow):e?this._weekdaysShort[e.day()]:this._weekdaysShort},fn.weekdaysParse=function(e,t,n){var r,o,i;if(this._weekdaysParseExact)return Be.call(this,e,t,n);for(this._weekdaysParse||(this._weekdaysParse=[],this._minWeekdaysParse=[],this._shortWeekdaysParse=[],this._fullWeekdaysParse=[]),r=0;r<7;r++){if(o=f([2e3,1]).day(r),n&&!this._fullWeekdaysParse[r]&&(this._fullWeekdaysParse[r]=new RegExp("^"+this.weekdays(o,"").replace(".","\\.?")+"$","i"),this._shortWeekdaysParse[r]=new RegExp("^"+this.weekdaysShort(o,"").replace(".","\\.?")+"$","i"),this._minWeekdaysParse[r]=new RegExp("^"+this.weekdaysMin(o,"").replace(".","\\.?")+"$","i")),this._weekdaysParse[r]||(i="^"+this.weekdays(o,"")+"|^"+this.weekdaysShort(o,"")+"|^"+this.weekdaysMin(o,""),this._weekdaysParse[r]=new RegExp(i.replace(".",""),"i")),n&&"dddd"===t&&this._fullWeekdaysParse[r].test(e))return r;if(n&&"ddd"===t&&this._shortWeekdaysParse[r].test(e))return r;if(n&&"dd"===t&&this._minWeekdaysParse[r].test(e))return r;if(!n&&this._weekdaysParse[r].test(e))return r}},fn.weekdaysRegex=function(e){return this._weekdaysParseExact?(d(this,"_weekdaysRegex")||Je.call(this),e?this._weekdaysStrictRegex:this._weekdaysRegex):(d(this,"_weekdaysRegex")||(this._weekdaysRegex=qe),this._weekdaysStrictRegex&&e?this._weekdaysStrictRegex:this._weekdaysRegex)},fn.weekdaysShortRegex=function(e){return this._weekdaysParseExact?(d(this,"_weekdaysRegex")||Je.call(this),e?this._weekdaysShortStrictRegex:this._weekdaysShortRegex):(d(this,"_weekdaysShortRegex")||(this._weekdaysShortRegex=Ue),this._weekdaysShortStrictRegex&&e?this._weekdaysShortStrictRegex:this._weekdaysShortRegex)},fn.weekdaysMinRegex=function(e){return this._weekdaysParseExact?(d(this,"_weekdaysRegex")||Je.call(this),e?this._weekdaysMinStrictRegex:this._weekdaysMinRegex):(d(this,"_weekdaysMinRegex")||(this._weekdaysMinRegex=Ve),this._weekdaysMinStrictRegex&&e?this._weekdaysMinStrictRegex:this._weekdaysMinRegex)},fn.isPM=function(e){return"p"===(e+"").toLowerCase().charAt(0)},fn.meridiem=function(e,t,n){return e>11?n?"pm":"PM":n?"am":"AM"},it("en",{dayOfMonthOrdinalParse:/\d{1,2}(th|st|nd|rd)/,ordinal:function(e){var t=e%10;return e+(1===w(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")}}),o.lang=D("moment.lang is deprecated. Use moment.locale instead.",it),o.langData=D("moment.langData is deprecated. Use moment.localeData instead.",st);var gn=Math.abs;function yn(e,t,n,r){var o=zt(t,n);return e._milliseconds+=r*o._milliseconds,e._days+=r*o._days,e._months+=r*o._months,e._bubble()}function vn(e){return e<0?Math.floor(e):Math.ceil(e)}function Mn(e){return 4800*e/146097}function kn(e){return 146097*e/4800}function bn(e){return function(){return this.as(e)}}var wn=bn("ms"),Ln=bn("s"),Tn=bn("m"),Dn=bn("h"),xn=bn("d"),Sn=bn("w"),Yn=bn("M"),On=bn("Q"),Cn=bn("y");function En(e){return function(){return this.isValid()?this._data[e]:NaN}}var An=En("milliseconds"),jn=En("seconds"),Hn=En("minutes"),Pn=En("hours"),Nn=En("days"),Rn=En("months"),Fn=En("years"),In=Math.round,zn={ss:44,s:45,m:45,h:22,d:26,M:11};function Wn(e,t,n,r,o){return o.relativeTime(t||1,!!n,e,r)}var $n=Math.abs;function Bn(e){return(e>0)-(e<0)||+e}function qn(){if(!this.isValid())return this.localeData().invalidDate();var e,t,n=$n(this._milliseconds)/1e3,r=$n(this._days),o=$n(this._months);e=b(n/60),t=b(e/60),n%=60,e%=60;var i=b(o/12),a=o%=12,s=r,u=t,c=e,l=n?n.toFixed(3).replace(/\.?0+$/,""):"",d=this.asSeconds();if(!d)return"P0D";var h=d<0?"-":"",f=Bn(this._months)!==Bn(d)?"-":"",p=Bn(this._days)!==Bn(d)?"-":"",m=Bn(this._milliseconds)!==Bn(d)?"-":"";return h+"P"+(i?f+i+"Y":"")+(a?f+a+"M":"")+(s?p+s+"D":"")+(u||c||l?"T":"")+(u?m+u+"H":"")+(c?m+c+"M":"")+(l?m+l+"S":"")}var Un=Ot.prototype;return Un.isValid=function(){return this._isValid},Un.abs=function(){var e=this._data;return this._milliseconds=gn(this._milliseconds),this._days=gn(this._days),this._months=gn(this._months),e.milliseconds=gn(e.milliseconds),e.seconds=gn(e.seconds),e.minutes=gn(e.minutes),e.hours=gn(e.hours),e.months=gn(e.months),e.years=gn(e.years),this},Un.add=function(e,t){return yn(this,e,t,1)},Un.subtract=function(e,t){return yn(this,e,t,-1)},Un.as=function(e){if(!this.isValid())return NaN;var t,n,r=this._milliseconds;if("month"===(e=H(e))||"quarter"===e||"year"===e)switch(t=this._days+r/864e5,n=this._months+Mn(t),e){case"month":return n;case"quarter":return n/3;case"year":return n/12}else switch(t=this._days+Math.round(kn(this._months)),e){case"week":return t/7+r/6048e5;case"day":return t+r/864e5;case"hour":return 24*t+r/36e5;case"minute":return 1440*t+r/6e4;case"second":return 86400*t+r/1e3;case"millisecond":return Math.floor(864e5*t)+r;default:throw new Error("Unknown unit "+e)}},Un.asMilliseconds=wn,Un.asSeconds=Ln,Un.asMinutes=Tn,Un.asHours=Dn,Un.asDays=xn,Un.asWeeks=Sn,Un.asMonths=Yn,Un.asQuarters=On,Un.asYears=Cn,Un.valueOf=function(){return this.isValid()?this._milliseconds+864e5*this._days+this._months%12*2592e6+31536e6*w(this._months/12):NaN},Un._bubble=function(){var e,t,n,r,o,i=this._milliseconds,a=this._days,s=this._months,u=this._data;return i>=0&&a>=0&&s>=0||i<=0&&a<=0&&s<=0||(i+=864e5*vn(kn(s)+a),a=0,s=0),u.milliseconds=i%1e3,e=b(i/1e3),u.seconds=e%60,t=b(e/60),u.minutes=t%60,n=b(t/60),u.hours=n%24,a+=b(n/24),o=b(Mn(a)),s+=o,a-=vn(kn(o)),r=b(s/12),s%=12,u.days=a,u.months=s,u.years=r,this},Un.clone=function(){return zt(this)},Un.get=function(e){return e=H(e),this.isValid()?this[e+"s"]():NaN},Un.milliseconds=An,Un.seconds=jn,Un.minutes=Hn,Un.hours=Pn,Un.days=Nn,Un.weeks=function(){return b(this.days()/7)},Un.months=Rn,Un.years=Fn,Un.humanize=function(e){if(!this.isValid())return this.localeData().invalidDate();var t=this.localeData(),n=function(e,t,n){var r=zt(e).abs(),o=In(r.as("s")),i=In(r.as("m")),a=In(r.as("h")),s=In(r.as("d")),u=In(r.as("M")),c=In(r.as("y")),l=o<=zn.ss&&["s",o]||o<zn.s&&["ss",o]||i<=1&&["m"]||i<zn.m&&["mm",i]||a<=1&&["h"]||a<zn.h&&["hh",a]||s<=1&&["d"]||s<zn.d&&["dd",s]||u<=1&&["M"]||u<zn.M&&["MM",u]||c<=1&&["y"]||["yy",c];return l[2]=t,l[3]=+e>0,l[4]=n,Wn.apply(null,l)}(this,!e,t);return e&&(n=t.pastFuture(+this,n)),t.postformat(n)},Un.toISOString=qn,Un.toString=qn,Un.toJSON=qn,Un.locale=Gt,Un.localeData=Zt,Un.toIsoString=D("toIsoString() is deprecated. Please use toISOString() instead (notice the capitals)",qn),Un.lang=Kt,B("X",0,0,"unix"),B("x",0,0,"valueOf"),le("x",ie),le("X",/[+-]?\d+(\.\d{1,3})?/),pe("X",(function(e,t,n){n._d=new Date(1e3*parseFloat(e,10))})),pe("x",(function(e,t,n){n._d=new Date(w(e))})),o.version="2.24.0",t=Tt,o.fn=dn,o.min=function(){var e=[].slice.call(arguments,0);return St("isBefore",e)},o.max=function(){var e=[].slice.call(arguments,0);return St("isAfter",e)},o.now=function(){return Date.now?Date.now():+new Date},o.utc=f,o.unix=function(e){return Tt(1e3*e)},o.months=function(e,t){return mn(e,t,"months")},o.isDate=c,o.locale=it,o.invalid=_,o.duration=zt,o.isMoment=k,o.weekdays=function(e,t,n){return _n(e,t,n,"weekdays")},o.parseZone=function(){return Tt.apply(null,arguments).parseZone()},o.localeData=st,o.isDuration=Ct,o.monthsShort=function(e,t){return mn(e,t,"monthsShort")},o.weekdaysMin=function(e,t,n){return _n(e,t,n,"weekdaysMin")},o.defineLocale=at,o.updateLocale=function(e,t){if(null!=t){var n,r,o=et;null!=(r=ot(e))&&(o=r._config),t=C(o,t),(n=new E(t)).parentLocale=tt[e],tt[e]=n,it(e)}else null!=tt[e]&&(null!=tt[e].parentLocale?tt[e]=tt[e].parentLocale:null!=tt[e]&&delete tt[e]);return tt[e]},o.locales=function(){return x(tt)},o.weekdaysShort=function(e,t,n){return _n(e,t,n,"weekdaysShort")},o.normalizeUnits=H,o.relativeTimeRounding=function(e){return void 0===e?In:"function"==typeof e&&(In=e,!0)},o.relativeTimeThreshold=function(e,t){return void 0!==zn[e]&&(void 0===t?zn[e]:(zn[e]=t,"s"===e&&(zn.ss=t-1),!0))},o.calendarFormat=function(e,t){var n=e.diff(t,"days",!0);return n<-6?"sameElse":n<-1?"lastWeek":n<0?"lastDay":n<1?"sameDay":n<2?"nextDay":n<7?"nextWeek":"sameElse"},o.prototype=dn,o.HTML5_FMT={DATETIME_LOCAL:"YYYY-MM-DDTHH:mm",DATETIME_LOCAL_SECONDS:"YYYY-MM-DDTHH:mm:ss",DATETIME_LOCAL_MS:"YYYY-MM-DDTHH:mm:ss.SSS",DATE:"YYYY-MM-DD",TIME:"HH:mm",TIME_SECONDS:"HH:mm:ss",TIME_MS:"HH:mm:ss.SSS",WEEK:"GGGG-[W]WW",MONTH:"YYYY-MM"},o}()}).call(this,n(224)(e))},function(e,t,n){"use strict";var r=Object.prototype.hasOwnProperty;function o(e,t){return r.call(e,t)}function i(e){return!(e>=55296&&e<=57343)&&(!(e>=64976&&e<=65007)&&(65535!=(65535&e)&&65534!=(65535&e)&&(!(e>=0&&e<=8)&&(11!==e&&(!(e>=14&&e<=31)&&(!(e>=127&&e<=159)&&!(e>1114111)))))))}function a(e){if(e>65535){var t=55296+((e-=65536)>>10),n=56320+(1023&e);return String.fromCharCode(t,n)}return String.fromCharCode(e)}var s=/\\([!"#$%&'()*+,\-.\/:;<=>?@[\\\]^_`{|}~])/g,u=new RegExp(s.source+"|"+/&([a-z#][a-z0-9]{1,31});/gi.source,"gi"),c=/^#((?:x[a-f0-9]{1,8}|[0-9]{1,8}))/i,l=n(355);var d=/[&<>"]/,h=/[&<>"]/g,f={"&":"&amp;","<":"&lt;",">":"&gt;",'"':"&quot;"};function p(e){return f[e]}var m=/[.?*+^$[\]\\(){}|-]/g;var _=n(200);t.lib={},t.lib.mdurl=n(356),t.lib.ucmicro=n(572),t.assign=function(e){var t=Array.prototype.slice.call(arguments,1);return t.forEach((function(t){if(t){if("object"!=typeof t)throw new TypeError(t+"must be object");Object.keys(t).forEach((function(n){e[n]=t[n]}))}})),e},t.isString=function(e){return"[object String]"===function(e){return Object.prototype.toString.call(e)}(e)},t.has=o,t.unescapeMd=function(e){return e.indexOf("\\")<0?e:e.replace(s,"$1")},t.unescapeAll=function(e){return e.indexOf("\\")<0&&e.indexOf("&")<0?e:e.replace(u,(function(e,t,n){return t||function(e,t){var n=0;return o(l,t)?l[t]:35===t.charCodeAt(0)&&c.test(t)&&i(n="x"===t[1].toLowerCase()?parseInt(t.slice(2),16):parseInt(t.slice(1),10))?a(n):e}(e,n)}))},t.isValidEntityCode=i,t.fromCodePoint=a,t.escapeHtml=function(e){return d.test(e)?e.replace(h,p):e},t.arrayReplaceAt=function(e,t,n){return[].concat(e.slice(0,t),n,e.slice(t+1))},t.isSpace=function(e){switch(e){case 9:case 32:return!0}return!1},t.isWhiteSpace=function(e){if(e>=8192&&e<=8202)return!0;switch(e){case 9:case 10:case 11:case 12:case 13:case 32:case 160:case 5760:case 8239:case 8287:case 12288:return!0}return!1},t.isMdAsciiPunct=function(e){switch(e){case 33:case 34:case 35:case 36:case 37:case 38:case 39:case 40:case 41:case 42:case 43:case 44:case 45:case 46:case 47:case 58:case 59:case 60:case 61:case 62:case 63:case 64:case 91:case 92:case 93:case 94:case 95:case 96:case 123:case 124:case 125:case 126:return!0;default:return!1}},t.isPunctChar=function(e){return _.test(e)},t.escapeRE=function(e){return e.replace(m,"\\$&")},t.normalizeReference=function(e){return e=e.trim().replace(/\s+/g," "),"Ṿ"==="ẞ".toLowerCase()&&(e=e.replace(/ẞ/g,"ß")),e.toLowerCase().toUpperCase()}},function(e,t,n){"use strict";n.d(t,"a",(function(){return L})),n.d(t,"b",(function(){return y})),n.d(t,"c",(function(){return h})),n.d(t,"d",(function(){return l})),n.d(t,"e",(function(){return _})),n.d(t,"f",(function(){return g})),n.d(t,"g",(function(){return m}));var r=n(184),o=n(182),i=n(181);function a(e,t){return!e.selection.empty&&(t&&t(e.tr.deleteSelection().scrollIntoView()),!0)}function s(e,t){for(;e;e="start"==t?e.firstChild:e.lastChild)if(e.isTextblock)return!0;return!1}function u(e){if(!e.parent.type.spec.isolating)for(var t=e.depth-1;t>=0;t--){if(e.index(t)>0)return e.doc.resolve(e.before(t+1));if(e.node(t).type.spec.isolating)break}return null}function c(e){if(!e.parent.type.spec.isolating)for(var t=e.depth-1;t>=0;t--){var n=e.node(t);if(e.index(t)+1<n.childCount)return e.doc.resolve(e.after(t+1));if(n.type.spec.isolating)break}return null}function l(e,t){var n=e.selection,o=n.$from,i=n.$to,a=o.blockRange(i),s=a&&Object(r.k)(a);return null!=s&&(t&&t(e.tr.lift(a,s).scrollIntoView()),!0)}function d(e){for(var t=0;t<e.edgeCount;t++){var n=e.edge(t).type;if(n.isTextblock&&!n.hasRequiredAttrs())return n}return null}function h(e,t){var n=e.selection,r=n.$head,o=n.$anchor;if(!r.parent.type.spec.code||!r.sameParent(o))return!1;var a=r.node(-1),s=r.indexAfter(-1),u=d(a.contentMatchAt(s));if(!a.canReplaceWith(s,s,u))return!1;if(t){var c=r.after(),l=e.tr.replaceWith(c,c,u.createAndFill());l.setSelection(i.Selection.near(l.doc.resolve(c),1)),t(l.scrollIntoView())}return!0}function f(e,t){var n=e.selection,a=n.$from,s=n.$to;if(e.selection instanceof i.NodeSelection&&e.selection.node.isBlock)return!(!a.parentOffset||!Object(r.g)(e.doc,a.pos))&&(t&&t(e.tr.split(a.pos).scrollIntoView()),!0);if(!a.parent.isBlock)return!1;if(t){var u=s.parentOffset==s.parent.content.size,c=e.tr;e.selection instanceof i.TextSelection&&c.deleteSelection();var l=0==a.depth?null:d(a.node(-1).contentMatchAt(a.indexAfter(-1))),h=u&&l?[{type:l}]:null,f=Object(r.g)(c.doc,c.mapping.map(a.pos),1,h);h||f||!Object(r.g)(c.doc,c.mapping.map(a.pos),1,l&&[{type:l}])||(h=[{type:l}],f=!0),f&&(c.split(c.mapping.map(a.pos),1,h),u||a.parentOffset||a.parent.type==l||!a.node(-1).canReplace(a.index(-1),a.indexAfter(-1),o.Fragment.from(l.create(),a.parent))||c.setNodeMarkup(c.mapping.map(a.before()),l)),t(c.scrollIntoView())}return!0}function p(e,t,n){var a,s,u=t.nodeBefore,c=t.nodeAfter;if(u.type.spec.isolating||c.type.spec.isolating)return!1;if(function(e,t,n){var o=t.nodeBefore,i=t.nodeAfter,a=t.index();return!!(o&&i&&o.type.compatibleContent(i.type))&&(!o.content.size&&t.parent.canReplace(a-1,a)?(n&&n(e.tr.delete(t.pos-o.nodeSize,t.pos).scrollIntoView()),!0):!(!t.parent.canReplace(a,a+1)||!i.isTextblock&&!Object(r.f)(e.doc,t.pos))&&(n&&n(e.tr.clearIncompatible(t.pos,o.type,o.contentMatchAt(o.childCount)).join(t.pos).scrollIntoView()),!0))}(e,t,n))return!0;if(t.parent.canReplace(t.index(),t.index()+1)&&(a=(s=u.contentMatchAt(u.childCount)).findWrapping(c.type))&&s.matchType(a[0]||c.type).validEnd){if(n){for(var l=t.pos+c.nodeSize,d=o.Fragment.empty,h=a.length-1;h>=0;h--)d=o.Fragment.from(a[h].create(null,d));d=o.Fragment.from(u.copy(d));var f=e.tr.step(new r.b(t.pos-1,l,t.pos,l,new o.Slice(d,1,0),a.length,!0)),p=l+2*a.length;Object(r.f)(f.doc,p)&&f.join(p),n(f.scrollIntoView())}return!0}var m=i.Selection.findFrom(t,1),_=m&&m.$from.blockRange(m.$to),g=_&&Object(r.k)(_);return null!=g&&g>=t.depth&&(n&&n(e.tr.lift(_,g).scrollIntoView()),!0)}function m(e,t){return function(n,o){var i=n.selection,a=i.$from,s=i.$to,u=a.blockRange(s),c=u&&Object(r.i)(u,e,t);return!!c&&(o&&o(n.tr.wrap(u,c).scrollIntoView()),!0)}}function _(e,t){return function(n,r){var o=n.selection,i=o.from,a=o.to,s=!1;return n.doc.nodesBetween(i,a,(function(r,o){if(s)return!1;if(r.isTextblock&&!r.hasMarkup(e,t))if(r.type==e)s=!0;else{var i=n.doc.resolve(o),a=i.index();s=i.parent.canReplaceWith(a,a+1,e)}})),!!s&&(r&&r(n.tr.setBlockType(i,a,e,t).scrollIntoView()),!0)}}function g(e,t){return function(n,r){var o=n.selection,i=o.empty,a=o.$cursor,s=o.ranges;if(i&&!a||!function(e,t,n){for(var r=function(r){var o=t[r],i=o.$from,a=o.$to,s=0==i.depth&&e.type.allowsMarkType(n);if(e.nodesBetween(i.pos,a.pos,(function(e){if(s)return!1;s=e.inlineContent&&e.type.allowsMarkType(n)})),s)return{v:!0}},o=0;o<t.length;o++){var i=r(o);if(i)return i.v}return!1}(n.doc,s,e))return!1;if(r)if(a)e.isInSet(n.storedMarks||a.marks())?r(n.tr.removeStoredMark(e)):r(n.tr.addStoredMark(e.create(t)));else{for(var u=!1,c=n.tr,l=0;!u&&l<s.length;l++){var d=s[l],h=d.$from,f=d.$to;u=n.doc.rangeHasMark(h.pos,f.pos,e)}for(var p=0;p<s.length;p++){var m=s[p],_=m.$from,g=m.$to;u?c.removeMark(_.pos,g.pos,e):c.addMark(_.pos,g.pos,e.create(t))}r(c.scrollIntoView())}return!0}}function y(){for(var e=[],t=arguments.length;t--;)e[t]=arguments[t];return function(t,n,r){for(var o=0;o<e.length;o++)if(e[o](t,n,r))return!0;return!1}}var v=y(a,(function(e,t,n){var o=e.selection.$cursor;if(!o||(n?!n.endOfTextblock("backward",e):o.parentOffset>0))return!1;var a=u(o);if(!a){var c=o.blockRange(),l=c&&Object(r.k)(c);return null!=l&&(t&&t(e.tr.lift(c,l).scrollIntoView()),!0)}var d=a.nodeBefore;if(!d.type.spec.isolating&&p(e,a,t))return!0;if(0==o.parent.content.size&&(s(d,"end")||i.NodeSelection.isSelectable(d))){if(t){var h=e.tr.deleteRange(o.before(),o.after());h.setSelection(s(d,"end")?i.Selection.findFrom(h.doc.resolve(h.mapping.map(a.pos,-1)),-1):i.NodeSelection.create(h.doc,a.pos-d.nodeSize)),t(h.scrollIntoView())}return!0}return!(!d.isAtom||a.depth!=o.depth-1)&&(t&&t(e.tr.delete(a.pos-d.nodeSize,a.pos).scrollIntoView()),!0)}),(function(e,t,n){var r=e.selection,o=r.$head,a=o;if(!r.empty)return!1;if(o.parent.isTextblock){if(n?!n.endOfTextblock("backward",e):o.parentOffset>0)return!1;a=u(o)}var s=a&&a.nodeBefore;return!(!s||!i.NodeSelection.isSelectable(s))&&(t&&t(e.tr.setSelection(i.NodeSelection.create(e.doc,a.pos-s.nodeSize)).scrollIntoView()),!0)})),M=y(a,(function(e,t,n){var r=e.selection.$cursor;if(!r||(n?!n.endOfTextblock("forward",e):r.parentOffset<r.parent.content.size))return!1;var o=c(r);if(!o)return!1;var a=o.nodeAfter;if(p(e,o,t))return!0;if(0==r.parent.content.size&&(s(a,"start")||i.NodeSelection.isSelectable(a))){if(t){var u=e.tr.deleteRange(r.before(),r.after());u.setSelection(s(a,"start")?i.Selection.findFrom(u.doc.resolve(u.mapping.map(o.pos)),1):i.NodeSelection.create(u.doc,u.mapping.map(o.pos))),t(u.scrollIntoView())}return!0}return!(!a.isAtom||o.depth!=r.depth-1)&&(t&&t(e.tr.delete(o.pos,o.pos+a.nodeSize).scrollIntoView()),!0)}),(function(e,t,n){var r=e.selection,o=r.$head,a=o;if(!r.empty)return!1;if(o.parent.isTextblock){if(n?!n.endOfTextblock("forward",e):o.parentOffset<o.parent.content.size)return!1;a=c(o)}var s=a&&a.nodeAfter;return!(!s||!i.NodeSelection.isSelectable(s))&&(t&&t(e.tr.setSelection(i.NodeSelection.create(e.doc,a.pos)).scrollIntoView()),!0)})),k={Enter:y((function(e,t){var n=e.selection,r=n.$head,o=n.$anchor;return!(!r.parent.type.spec.code||!r.sameParent(o))&&(t&&t(e.tr.insertText("\n").scrollIntoView()),!0)}),(function(e,t){var n=e.selection,r=n.$from,o=n.$to;if(r.parent.inlineContent||o.parent.inlineContent)return!1;var a=d(r.parent.contentMatchAt(o.indexAfter()));if(!a||!a.isTextblock)return!1;if(t){var s=(!r.parentOffset&&o.index()<o.parent.childCount?r:o).pos,u=e.tr.insert(s,a.createAndFill());u.setSelection(i.TextSelection.create(u.doc,s+1)),t(u.scrollIntoView())}return!0}),(function(e,t){var n=e.selection.$cursor;if(!n||n.parent.content.size)return!1;if(n.depth>1&&n.after()!=n.end(-1)){var o=n.before();if(Object(r.g)(e.doc,o))return t&&t(e.tr.split(o).scrollIntoView()),!0}var i=n.blockRange(),a=i&&Object(r.k)(i);return null!=a&&(t&&t(e.tr.lift(i,a).scrollIntoView()),!0)}),f),"Mod-Enter":h,Backspace:v,"Mod-Backspace":v,Delete:M,"Mod-Delete":M,"Mod-a":function(e,t){return t&&t(e.tr.setSelection(new i.AllSelection(e.doc))),!0}},b={"Ctrl-h":k.Backspace,"Alt-Backspace":k["Mod-Backspace"],"Ctrl-d":k.Delete,"Ctrl-Alt-Backspace":k["Mod-Delete"],"Alt-Delete":k["Mod-Delete"],"Alt-d":k["Mod-Delete"]};for(var w in k)b[w]=k[w];var L=("undefined"!=typeof navigator?/Mac/.test(navigator.platform):"undefined"!=typeof os&&"darwin"==os.platform())?b:k},function(e,t,n){"use strict";n.r(t),n.d(t,"AllSelection",(function(){return f})),n.d(t,"EditorState",(function(){return b})),n.d(t,"NodeSelection",(function(){return d})),n.d(t,"Plugin",(function(){return T})),n.d(t,"PluginKey",(function(){return S})),n.d(t,"Selection",(function(){return a})),n.d(t,"SelectionRange",(function(){return u})),n.d(t,"TextSelection",(function(){return c})),n.d(t,"Transaction",(function(){return g}));var r=n(182),o=n(184),i=Object.create(null),a=function(e,t,n){this.ranges=n||[new u(e.min(t),e.max(t))],this.$anchor=e,this.$head=t},s={anchor:{configurable:!0},head:{configurable:!0},from:{configurable:!0},to:{configurable:!0},$from:{configurable:!0},$to:{configurable:!0},empty:{configurable:!0}};s.anchor.get=function(){return this.$anchor.pos},s.head.get=function(){return this.$head.pos},s.from.get=function(){return this.$from.pos},s.to.get=function(){return this.$to.pos},s.$from.get=function(){return this.ranges[0].$from},s.$to.get=function(){return this.ranges[0].$to},s.empty.get=function(){for(var e=this.ranges,t=0;t<e.length;t++)if(e[t].$from.pos!=e[t].$to.pos)return!1;return!0},a.prototype.content=function(){return this.$from.node(0).slice(this.from,this.to,!0)},a.prototype.replace=function(e,t){void 0===t&&(t=r.Slice.empty);for(var n=t.content.lastChild,o=null,i=0;i<t.openEnd;i++)o=n,n=n.lastChild;for(var a=e.steps.length,s=this.ranges,u=0;u<s.length;u++){var c=s[u],l=c.$from,d=c.$to,h=e.mapping.slice(a);e.replaceRange(h.map(l.pos),h.map(d.pos),u?r.Slice.empty:t),0==u&&_(e,a,(n?n.isInline:o&&o.isTextblock)?-1:1)}},a.prototype.replaceWith=function(e,t){for(var n=e.steps.length,r=this.ranges,o=0;o<r.length;o++){var i=r[o],a=i.$from,s=i.$to,u=e.mapping.slice(n),c=u.map(a.pos),l=u.map(s.pos);o?e.deleteRange(c,l):(e.replaceRangeWith(c,l,t),_(e,n,t.isInline?-1:1))}},a.findFrom=function(e,t,n){var r=e.parent.inlineContent?new c(e):m(e.node(0),e.parent,e.pos,e.index(),t,n);if(r)return r;for(var o=e.depth-1;o>=0;o--){var i=t<0?m(e.node(0),e.node(o),e.before(o+1),e.index(o),t,n):m(e.node(0),e.node(o),e.after(o+1),e.index(o)+1,t,n);if(i)return i}},a.near=function(e,t){return void 0===t&&(t=1),this.findFrom(e,t)||this.findFrom(e,-t)||new f(e.node(0))},a.atStart=function(e){return m(e,e,0,0,1)||new f(e)},a.atEnd=function(e){return m(e,e,e.content.size,e.childCount,-1)||new f(e)},a.fromJSON=function(e,t){if(!t||!t.type)throw new RangeError("Invalid input for Selection.fromJSON");var n=i[t.type];if(!n)throw new RangeError("No selection type "+t.type+" defined");return n.fromJSON(e,t)},a.jsonID=function(e,t){if(e in i)throw new RangeError("Duplicate use of selection JSON ID "+e);return i[e]=t,t.prototype.jsonID=e,t},a.prototype.getBookmark=function(){return c.between(this.$anchor,this.$head).getBookmark()},Object.defineProperties(a.prototype,s),a.prototype.visible=!0;var u=function(e,t){this.$from=e,this.$to=t},c=function(e){function t(t,n){void 0===n&&(n=t),e.call(this,t,n)}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={$cursor:{configurable:!0}};return n.$cursor.get=function(){return this.$anchor.pos==this.$head.pos?this.$head:null},t.prototype.map=function(n,r){var o=n.resolve(r.map(this.head));if(!o.parent.inlineContent)return e.near(o);var i=n.resolve(r.map(this.anchor));return new t(i.parent.inlineContent?i:o,o)},t.prototype.replace=function(t,n){if(void 0===n&&(n=r.Slice.empty),e.prototype.replace.call(this,t,n),n==r.Slice.empty){var o=this.$from.marksAcross(this.$to);o&&t.ensureMarks(o)}},t.prototype.eq=function(e){return e instanceof t&&e.anchor==this.anchor&&e.head==this.head},t.prototype.getBookmark=function(){return new l(this.anchor,this.head)},t.prototype.toJSON=function(){return{type:"text",anchor:this.anchor,head:this.head}},t.fromJSON=function(e,n){if("number"!=typeof n.anchor||"number"!=typeof n.head)throw new RangeError("Invalid input for TextSelection.fromJSON");return new t(e.resolve(n.anchor),e.resolve(n.head))},t.create=function(e,t,n){void 0===n&&(n=t);var r=e.resolve(t);return new this(r,n==t?r:e.resolve(n))},t.between=function(n,r,o){var i=n.pos-r.pos;if(o&&!i||(o=i>=0?1:-1),!r.parent.inlineContent){var a=e.findFrom(r,o,!0)||e.findFrom(r,-o,!0);if(!a)return e.near(r,o);r=a.$head}return n.parent.inlineContent||(0==i||(n=(e.findFrom(n,-o,!0)||e.findFrom(n,o,!0)).$anchor).pos<r.pos!=i<0)&&(n=r),new t(n,r)},Object.defineProperties(t.prototype,n),t}(a);a.jsonID("text",c);var l=function(e,t){this.anchor=e,this.head=t};l.prototype.map=function(e){return new l(e.map(this.anchor),e.map(this.head))},l.prototype.resolve=function(e){return c.between(e.resolve(this.anchor),e.resolve(this.head))};var d=function(e){function t(t){var n=t.nodeAfter,r=t.node(0).resolve(t.pos+n.nodeSize);e.call(this,t,r),this.node=n}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.map=function(n,r){var o=r.mapResult(this.anchor),i=o.deleted,a=o.pos,s=n.resolve(a);return i?e.near(s):new t(s)},t.prototype.content=function(){return new r.Slice(r.Fragment.from(this.node),0,0)},t.prototype.eq=function(e){return e instanceof t&&e.anchor==this.anchor},t.prototype.toJSON=function(){return{type:"node",anchor:this.anchor}},t.prototype.getBookmark=function(){return new h(this.anchor)},t.fromJSON=function(e,n){if("number"!=typeof n.anchor)throw new RangeError("Invalid input for NodeSelection.fromJSON");return new t(e.resolve(n.anchor))},t.create=function(e,t){return new this(e.resolve(t))},t.isSelectable=function(e){return!e.isText&&!1!==e.type.spec.selectable},t}(a);d.prototype.visible=!1,a.jsonID("node",d);var h=function(e){this.anchor=e};h.prototype.map=function(e){var t=e.mapResult(this.anchor),n=t.deleted,r=t.pos;return n?new l(r,r):new h(r)},h.prototype.resolve=function(e){var t=e.resolve(this.anchor),n=t.nodeAfter;return n&&d.isSelectable(n)?new d(t):a.near(t)};var f=function(e){function t(t){e.call(this,t.resolve(0),t.resolve(t.content.size))}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.replace=function(t,n){if(void 0===n&&(n=r.Slice.empty),n==r.Slice.empty){t.delete(0,t.doc.content.size);var o=e.atStart(t.doc);o.eq(t.selection)||t.setSelection(o)}else e.prototype.replace.call(this,t,n)},t.prototype.toJSON=function(){return{type:"all"}},t.fromJSON=function(e){return new t(e)},t.prototype.map=function(e){return new t(e)},t.prototype.eq=function(e){return e instanceof t},t.prototype.getBookmark=function(){return p},t}(a);a.jsonID("all",f);var p={map:function(){return this},resolve:function(e){return new f(e)}};function m(e,t,n,r,o,i){if(t.inlineContent)return c.create(e,n);for(var a=r-(o>0?0:1);o>0?a<t.childCount:a>=0;a+=o){var s=t.child(a);if(s.isAtom){if(!i&&d.isSelectable(s))return d.create(e,n-(o<0?s.nodeSize:0))}else{var u=m(e,s,n+o,o<0?s.childCount:0,o,i);if(u)return u}n+=s.nodeSize*o}}function _(e,t,n){var r=e.steps.length-1;if(!(r<t)){var i,s=e.steps[r];if(s instanceof o.c||s instanceof o.b)e.mapping.maps[r].forEach((function(e,t,n,r){null==i&&(i=r)})),e.setSelection(a.near(e.doc.resolve(i),n))}}var g=function(e){function t(t){e.call(this,t.doc),this.time=Date.now(),this.curSelection=t.selection,this.curSelectionFor=0,this.storedMarks=t.storedMarks,this.updated=0,this.meta=Object.create(null)}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={selection:{configurable:!0},selectionSet:{configurable:!0},storedMarksSet:{configurable:!0},isGeneric:{configurable:!0},scrolledIntoView:{configurable:!0}};return n.selection.get=function(){return this.curSelectionFor<this.steps.length&&(this.curSelection=this.curSelection.map(this.doc,this.mapping.slice(this.curSelectionFor)),this.curSelectionFor=this.steps.length),this.curSelection},t.prototype.setSelection=function(e){if(e.$from.doc!=this.doc)throw new RangeError("Selection passed to setSelection must point at the current document");return this.curSelection=e,this.curSelectionFor=this.steps.length,this.updated=-3&(1|this.updated),this.storedMarks=null,this},n.selectionSet.get=function(){return(1&this.updated)>0},t.prototype.setStoredMarks=function(e){return this.storedMarks=e,this.updated|=2,this},t.prototype.ensureMarks=function(e){return r.Mark.sameSet(this.storedMarks||this.selection.$from.marks(),e)||this.setStoredMarks(e),this},t.prototype.addStoredMark=function(e){return this.ensureMarks(e.addToSet(this.storedMarks||this.selection.$head.marks()))},t.prototype.removeStoredMark=function(e){return this.ensureMarks(e.removeFromSet(this.storedMarks||this.selection.$head.marks()))},n.storedMarksSet.get=function(){return(2&this.updated)>0},t.prototype.addStep=function(t,n){e.prototype.addStep.call(this,t,n),this.updated=-3&this.updated,this.storedMarks=null},t.prototype.setTime=function(e){return this.time=e,this},t.prototype.replaceSelection=function(e){return this.selection.replace(this,e),this},t.prototype.replaceSelectionWith=function(e,t){var n=this.selection;return!1!==t&&(e=e.mark(this.storedMarks||(n.empty?n.$from.marks():n.$from.marksAcross(n.$to)||r.Mark.none))),n.replaceWith(this,e),this},t.prototype.deleteSelection=function(){return this.selection.replace(this),this},t.prototype.insertText=function(e,t,n){void 0===n&&(n=t);var r=this.doc.type.schema;if(null==t)return e?this.replaceSelectionWith(r.text(e),!0):this.deleteSelection();if(!e)return this.deleteRange(t,n);var o=this.storedMarks;if(!o){var i=this.doc.resolve(t);o=n==t?i.marks():i.marksAcross(this.doc.resolve(n))}return this.replaceRangeWith(t,n,r.text(e,o)),this.selection.empty||this.setSelection(a.near(this.selection.$to)),this},t.prototype.setMeta=function(e,t){return this.meta["string"==typeof e?e:e.key]=t,this},t.prototype.getMeta=function(e){return this.meta["string"==typeof e?e:e.key]},n.isGeneric.get=function(){for(var e in this.meta)return!1;return!0},t.prototype.scrollIntoView=function(){return this.updated|=4,this},n.scrolledIntoView.get=function(){return(4&this.updated)>0},Object.defineProperties(t.prototype,n),t}(o.e);function y(e,t){return t&&e?e.bind(t):e}var v=function(e,t,n){this.name=e,this.init=y(t.init,n),this.apply=y(t.apply,n)},M=[new v("doc",{init:function(e){return e.doc||e.schema.topNodeType.createAndFill()},apply:function(e){return e.doc}}),new v("selection",{init:function(e,t){return e.selection||a.atStart(t.doc)},apply:function(e){return e.selection}}),new v("storedMarks",{init:function(e){return e.storedMarks||null},apply:function(e,t,n,r){return r.selection.$cursor?e.storedMarks:null}}),new v("scrollToSelection",{init:function(){return 0},apply:function(e,t){return e.scrolledIntoView?t+1:t}})],k=function(e,t){var n=this;this.schema=e,this.fields=M.concat(),this.plugins=[],this.pluginsByKey=Object.create(null),t&&t.forEach((function(e){if(n.pluginsByKey[e.key])throw new RangeError("Adding different instances of a keyed plugin ("+e.key+")");n.plugins.push(e),n.pluginsByKey[e.key]=e,e.spec.state&&n.fields.push(new v(e.key,e.spec.state,e))}))},b=function(e){this.config=e},w={schema:{configurable:!0},plugins:{configurable:!0},tr:{configurable:!0}};w.schema.get=function(){return this.config.schema},w.plugins.get=function(){return this.config.plugins},b.prototype.apply=function(e){return this.applyTransaction(e).state},b.prototype.filterTransaction=function(e,t){void 0===t&&(t=-1);for(var n=0;n<this.config.plugins.length;n++)if(n!=t){var r=this.config.plugins[n];if(r.spec.filterTransaction&&!r.spec.filterTransaction.call(r,e,this))return!1}return!0},b.prototype.applyTransaction=function(e){if(!this.filterTransaction(e))return{state:this,transactions:[]};for(var t=[e],n=this.applyInner(e),r=null;;){for(var o=!1,i=0;i<this.config.plugins.length;i++){var a=this.config.plugins[i];if(a.spec.appendTransaction){var s=r?r[i].n:0,u=r?r[i].state:this,c=s<t.length&&a.spec.appendTransaction.call(a,s?t.slice(s):t,u,n);if(c&&n.filterTransaction(c,i)){if(c.setMeta("appendedTransaction",e),!r){r=[];for(var l=0;l<this.config.plugins.length;l++)r.push(l<i?{state:n,n:t.length}:{state:this,n:0})}t.push(c),n=n.applyInner(c),o=!0}r&&(r[i]={state:n,n:t.length})}}if(!o)return{state:n,transactions:t}}},b.prototype.applyInner=function(e){if(!e.before.eq(this.doc))throw new RangeError("Applying a mismatched transaction");for(var t=new b(this.config),n=this.config.fields,r=0;r<n.length;r++){var o=n[r];t[o.name]=o.apply(e,this[o.name],this,t)}for(var i=0;i<L.length;i++)L[i](this,e,t);return t},w.tr.get=function(){return new g(this)},b.create=function(e){for(var t=new k(e.schema||e.doc.type.schema,e.plugins),n=new b(t),r=0;r<t.fields.length;r++)n[t.fields[r].name]=t.fields[r].init(e,n);return n},b.prototype.reconfigure=function(e){for(var t=new k(e.schema||this.schema,e.plugins),n=t.fields,r=new b(t),o=0;o<n.length;o++){var i=n[o].name;r[i]=this.hasOwnProperty(i)?this[i]:n[o].init(e,r)}return r},b.prototype.toJSON=function(e){var t={doc:this.doc.toJSON(),selection:this.selection.toJSON()};if(this.storedMarks&&(t.storedMarks=this.storedMarks.map((function(e){return e.toJSON()}))),e&&"object"==typeof e)for(var n in e){if("doc"==n||"selection"==n)throw new RangeError("The JSON fields `doc` and `selection` are reserved");var r=e[n],o=r.spec.state;o&&o.toJSON&&(t[n]=o.toJSON.call(r,this[r.key]))}return t},b.fromJSON=function(e,t,n){if(!t)throw new RangeError("Invalid input for EditorState.fromJSON");if(!e.schema)throw new RangeError("Required config field 'schema' missing");var o=new k(e.schema,e.plugins),i=new b(o);return o.fields.forEach((function(o){if("doc"==o.name)i.doc=r.Node.fromJSON(e.schema,t.doc);else if("selection"==o.name)i.selection=a.fromJSON(i.doc,t.selection);else if("storedMarks"==o.name)t.storedMarks&&(i.storedMarks=t.storedMarks.map(e.schema.markFromJSON));else{if(n)for(var s in n){var u=n[s],c=u.spec.state;if(u.key==o.name&&c&&c.fromJSON&&Object.prototype.hasOwnProperty.call(t,s))return void(i[o.name]=c.fromJSON.call(u,e,t[s],i))}i[o.name]=o.init(e,i)}})),i},b.addApplyListener=function(e){L.push(e)},b.removeApplyListener=function(e){var t=L.indexOf(e);t>-1&&L.splice(t,1)},Object.defineProperties(b.prototype,w);var L=[];var T=function(e){this.props={},e.props&&function e(t,n,r){for(var o in t){var i=t[o];i instanceof Function?i=i.bind(n):"handleDOMEvents"==o&&(i=e(i,n,{})),r[o]=i}return r}(e.props,this,this.props),this.spec=e,this.key=e.key?e.key.key:x("plugin")};T.prototype.getState=function(e){return e[this.key]};var D=Object.create(null);function x(e){return e in D?e+"$"+ ++D[e]:(D[e]=0,e+"$")}var S=function(e){void 0===e&&(e="key"),this.key=x(e)};S.prototype.get=function(e){return e.config.pluginsByKey[this.key]},S.prototype.getState=function(e){return e[this.key]}},function(e,t,n){"use strict";function r(e){this.content=e}n.r(t),n.d(t,"ContentMatch",(function(){return A})),n.d(t,"DOMParser",(function(){return ee})),n.d(t,"DOMSerializer",(function(){return de})),n.d(t,"Fragment",(function(){return i})),n.d(t,"Mark",(function(){return l})),n.d(t,"MarkType",(function(){return Z})),n.d(t,"Node",(function(){return Y})),n.d(t,"NodeRange",(function(){return D})),n.d(t,"NodeType",(function(){return V})),n.d(t,"ReplaceError",(function(){return d})),n.d(t,"ResolvedPos",(function(){return k})),n.d(t,"Schema",(function(){return X})),n.d(t,"Slice",(function(){return h})),r.prototype={constructor:r,find:function(e){for(var t=0;t<this.content.length;t+=2)if(this.content[t]===e)return t;return-1},get:function(e){var t=this.find(e);return-1==t?void 0:this.content[t+1]},update:function(e,t,n){var o=n&&n!=e?this.remove(n):this,i=o.find(e),a=o.content.slice();return-1==i?a.push(n||e,t):(a[i+1]=t,n&&(a[i]=n)),new r(a)},remove:function(e){var t=this.find(e);if(-1==t)return this;var n=this.content.slice();return n.splice(t,2),new r(n)},addToStart:function(e,t){return new r([e,t].concat(this.remove(e).content))},addToEnd:function(e,t){var n=this.remove(e).content.slice();return n.push(e,t),new r(n)},addBefore:function(e,t,n){var o=this.remove(t),i=o.content.slice(),a=o.find(e);return i.splice(-1==a?i.length:a,0,t,n),new r(i)},forEach:function(e){for(var t=0;t<this.content.length;t+=2)e(this.content[t],this.content[t+1])},prepend:function(e){return(e=r.from(e)).size?new r(e.content.concat(this.subtract(e).content)):this},append:function(e){return(e=r.from(e)).size?new r(this.subtract(e).content.concat(e.content)):this},subtract:function(e){var t=this;e=r.from(e);for(var n=0;n<e.content.length;n+=2)t=t.remove(e.content[n]);return t},get size(){return this.content.length>>1}},r.from=function(e){if(e instanceof r)return e;var t=[];if(e)for(var n in e)t.push(n,e[n]);return new r(t)};var o=r;var i=function(e,t){if(this.content=e,this.size=t||0,null==t)for(var n=0;n<e.length;n++)this.size+=e[n].nodeSize},a={firstChild:{configurable:!0},lastChild:{configurable:!0},childCount:{configurable:!0}};i.prototype.nodesBetween=function(e,t,n,r,o){void 0===r&&(r=0);for(var i=0,a=0;a<t;i++){var s=this.content[i],u=a+s.nodeSize;if(u>e&&!1!==n(s,r+a,o,i)&&s.content.size){var c=a+1;s.nodesBetween(Math.max(0,e-c),Math.min(s.content.size,t-c),n,r+c)}a=u}},i.prototype.descendants=function(e){this.nodesBetween(0,this.size,e)},i.prototype.textBetween=function(e,t,n,r){var o="",i=!0;return this.nodesBetween(e,t,(function(a,s){a.isText?(o+=a.text.slice(Math.max(e,s)-s,t-s),i=!n):a.isLeaf&&r?(o+=r,i=!n):!i&&a.isBlock&&(o+=n,i=!0)}),0),o},i.prototype.append=function(e){if(!e.size)return this;if(!this.size)return e;var t=this.lastChild,n=e.firstChild,r=this.content.slice(),o=0;for(t.isText&&t.sameMarkup(n)&&(r[r.length-1]=t.withText(t.text+n.text),o=1);o<e.content.length;o++)r.push(e.content[o]);return new i(r,this.size+e.size)},i.prototype.cut=function(e,t){if(null==t&&(t=this.size),0==e&&t==this.size)return this;var n=[],r=0;if(t>e)for(var o=0,a=0;a<t;o++){var s=this.content[o],u=a+s.nodeSize;u>e&&((a<e||u>t)&&(s=s.isText?s.cut(Math.max(0,e-a),Math.min(s.text.length,t-a)):s.cut(Math.max(0,e-a-1),Math.min(s.content.size,t-a-1))),n.push(s),r+=s.nodeSize),a=u}return new i(n,r)},i.prototype.cutByIndex=function(e,t){return e==t?i.empty:0==e&&t==this.content.length?this:new i(this.content.slice(e,t))},i.prototype.replaceChild=function(e,t){var n=this.content[e];if(n==t)return this;var r=this.content.slice(),o=this.size+t.nodeSize-n.nodeSize;return r[e]=t,new i(r,o)},i.prototype.addToStart=function(e){return new i([e].concat(this.content),this.size+e.nodeSize)},i.prototype.addToEnd=function(e){return new i(this.content.concat(e),this.size+e.nodeSize)},i.prototype.eq=function(e){if(this.content.length!=e.content.length)return!1;for(var t=0;t<this.content.length;t++)if(!this.content[t].eq(e.content[t]))return!1;return!0},a.firstChild.get=function(){return this.content.length?this.content[0]:null},a.lastChild.get=function(){return this.content.length?this.content[this.content.length-1]:null},a.childCount.get=function(){return this.content.length},i.prototype.child=function(e){var t=this.content[e];if(!t)throw new RangeError("Index "+e+" out of range for "+this);return t},i.prototype.maybeChild=function(e){return this.content[e]},i.prototype.forEach=function(e){for(var t=0,n=0;t<this.content.length;t++){var r=this.content[t];e(r,n,t),n+=r.nodeSize}},i.prototype.findDiffStart=function(e,t){return void 0===t&&(t=0),function e(t,n,r){for(var o=0;;o++){if(o==t.childCount||o==n.childCount)return t.childCount==n.childCount?null:r;var i=t.child(o),a=n.child(o);if(i!=a){if(!i.sameMarkup(a))return r;if(i.isText&&i.text!=a.text){for(var s=0;i.text[s]==a.text[s];s++)r++;return r}if(i.content.size||a.content.size){var u=e(i.content,a.content,r+1);if(null!=u)return u}r+=i.nodeSize}else r+=i.nodeSize}}(this,e,t)},i.prototype.findDiffEnd=function(e,t,n){return void 0===t&&(t=this.size),void 0===n&&(n=e.size),function e(t,n,r,o){for(var i=t.childCount,a=n.childCount;;){if(0==i||0==a)return i==a?null:{a:r,b:o};var s=t.child(--i),u=n.child(--a),c=s.nodeSize;if(s!=u){if(!s.sameMarkup(u))return{a:r,b:o};if(s.isText&&s.text!=u.text){for(var l=0,d=Math.min(s.text.length,u.text.length);l<d&&s.text[s.text.length-l-1]==u.text[u.text.length-l-1];)l++,r--,o--;return{a:r,b:o}}if(s.content.size||u.content.size){var h=e(s.content,u.content,r-1,o-1);if(h)return h}r-=c,o-=c}else r-=c,o-=c}}(this,e,t,n)},i.prototype.findIndex=function(e,t){if(void 0===t&&(t=-1),0==e)return u(0,e);if(e==this.size)return u(this.content.length,e);if(e>this.size||e<0)throw new RangeError("Position "+e+" outside of fragment ("+this+")");for(var n=0,r=0;;n++){var o=r+this.child(n).nodeSize;if(o>=e)return o==e||t>0?u(n+1,o):u(n,r);r=o}},i.prototype.toString=function(){return"<"+this.toStringInner()+">"},i.prototype.toStringInner=function(){return this.content.join(", ")},i.prototype.toJSON=function(){return this.content.length?this.content.map((function(e){return e.toJSON()})):null},i.fromJSON=function(e,t){if(!t)return i.empty;if(!Array.isArray(t))throw new RangeError("Invalid input for Fragment.fromJSON");return new i(t.map(e.nodeFromJSON))},i.fromArray=function(e){if(!e.length)return i.empty;for(var t,n=0,r=0;r<e.length;r++){var o=e[r];n+=o.nodeSize,r&&o.isText&&e[r-1].sameMarkup(o)?(t||(t=e.slice(0,r)),t[t.length-1]=o.withText(t[t.length-1].text+o.text)):t&&t.push(o)}return new i(t||e,n)},i.from=function(e){if(!e)return i.empty;if(e instanceof i)return e;if(Array.isArray(e))return this.fromArray(e);if(e.attrs)return new i([e],e.nodeSize);throw new RangeError("Can not convert "+e+" to a Fragment"+(e.nodesBetween?" (looks like multiple versions of prosemirror-model were loaded)":""))},Object.defineProperties(i.prototype,a);var s={index:0,offset:0};function u(e,t){return s.index=e,s.offset=t,s}function c(e,t){if(e===t)return!0;if(!e||"object"!=typeof e||!t||"object"!=typeof t)return!1;var n=Array.isArray(e);if(Array.isArray(t)!=n)return!1;if(n){if(e.length!=t.length)return!1;for(var r=0;r<e.length;r++)if(!c(e[r],t[r]))return!1}else{for(var o in e)if(!(o in t)||!c(e[o],t[o]))return!1;for(var i in t)if(!(i in e))return!1}return!0}i.empty=new i([],0);var l=function(e,t){this.type=e,this.attrs=t};function d(e){var t=Error.call(this,e);return t.__proto__=d.prototype,t}l.prototype.addToSet=function(e){for(var t,n=!1,r=0;r<e.length;r++){var o=e[r];if(this.eq(o))return e;if(this.type.excludes(o.type))t||(t=e.slice(0,r));else{if(o.type.excludes(this.type))return e;!n&&o.type.rank>this.type.rank&&(t||(t=e.slice(0,r)),t.push(this),n=!0),t&&t.push(o)}}return t||(t=e.slice()),n||t.push(this),t},l.prototype.removeFromSet=function(e){for(var t=0;t<e.length;t++)if(this.eq(e[t]))return e.slice(0,t).concat(e.slice(t+1));return e},l.prototype.isInSet=function(e){for(var t=0;t<e.length;t++)if(this.eq(e[t]))return!0;return!1},l.prototype.eq=function(e){return this==e||this.type==e.type&&c(this.attrs,e.attrs)},l.prototype.toJSON=function(){var e={type:this.type.name};for(var t in this.attrs){e.attrs=this.attrs;break}return e},l.fromJSON=function(e,t){if(!t)throw new RangeError("Invalid input for Mark.fromJSON");var n=e.marks[t.type];if(!n)throw new RangeError("There is no mark type "+t.type+" in this schema");return n.create(t.attrs)},l.sameSet=function(e,t){if(e==t)return!0;if(e.length!=t.length)return!1;for(var n=0;n<e.length;n++)if(!e[n].eq(t[n]))return!1;return!0},l.setFrom=function(e){if(!e||0==e.length)return l.none;if(e instanceof l)return[e];var t=e.slice();return t.sort((function(e,t){return e.type.rank-t.type.rank})),t},l.none=[],d.prototype=Object.create(Error.prototype),d.prototype.constructor=d,d.prototype.name="ReplaceError";var h=function(e,t,n){this.content=e,this.openStart=t,this.openEnd=n},f={size:{configurable:!0}};function p(e,t,n){if(n.openStart>e.depth)throw new d("Inserted content deeper than insertion position");if(e.depth-n.openStart!=t.depth-n.openEnd)throw new d("Inconsistent open depths");return function e(t,n,r,o){var a=t.index(o),s=t.node(o);if(a==n.index(o)&&o<t.depth-r.openStart){var u=e(t,n,r,o+1);return s.copy(s.content.replaceChild(a,u))}if(r.content.size){if(r.openStart||r.openEnd||t.depth!=o||n.depth!=o){var c=function(e,t){for(var n=t.depth-e.openStart,r=t.node(n).copy(e.content),o=n-1;o>=0;o--)r=t.node(o).copy(i.from(r));return{start:r.resolveNoCache(e.openStart+n),end:r.resolveNoCache(r.content.size-e.openEnd-n)}}(r,t),l=c.start,d=c.end;return v(s,function e(t,n,r,o,a){var s=t.depth>a&&_(t,n,a+1),u=o.depth>a&&_(r,o,a+1),c=[];y(null,t,a,c),s&&u&&n.index(a)==r.index(a)?(m(s,u),g(v(s,e(t,n,r,o,a+1)),c)):(s&&g(v(s,M(t,n,a+1)),c),y(n,r,a,c),u&&g(v(u,M(r,o,a+1)),c));return y(o,null,a,c),new i(c)}(t,l,d,n,o))}var h=t.parent,f=h.content;return v(h,f.cut(0,t.parentOffset).append(r.content).append(f.cut(n.parentOffset)))}return v(s,M(t,n,o))}(e,t,n,0)}function m(e,t){if(!t.type.compatibleContent(e.type))throw new d("Cannot join "+t.type.name+" onto "+e.type.name)}function _(e,t,n){var r=e.node(n);return m(r,t.node(n)),r}function g(e,t){var n=t.length-1;n>=0&&e.isText&&e.sameMarkup(t[n])?t[n]=e.withText(t[n].text+e.text):t.push(e)}function y(e,t,n,r){var o=(t||e).node(n),i=0,a=t?t.index(n):o.childCount;e&&(i=e.index(n),e.depth>n?i++:e.textOffset&&(g(e.nodeAfter,r),i++));for(var s=i;s<a;s++)g(o.child(s),r);t&&t.depth==n&&t.textOffset&&g(t.nodeBefore,r)}function v(e,t){if(!e.type.validContent(t))throw new d("Invalid content for node "+e.type.name);return e.copy(t)}function M(e,t,n){var r=[];(y(null,e,n,r),e.depth>n)&&g(v(_(e,t,n+1),M(e,t,n+1)),r);return y(t,null,n,r),new i(r)}f.size.get=function(){return this.content.size-this.openStart-this.openEnd},h.prototype.insertAt=function(e,t){var n=function e(t,n,r,o){var i=t.findIndex(n),a=i.index,s=i.offset,u=t.maybeChild(a);if(s==n||u.isText)return o&&!o.canReplace(a,a,r)?null:t.cut(0,n).append(r).append(t.cut(n));var c=e(u.content,n-s-1,r);return c&&t.replaceChild(a,u.copy(c))}(this.content,e+this.openStart,t,null);return n&&new h(n,this.openStart,this.openEnd)},h.prototype.removeBetween=function(e,t){return new h(function e(t,n,r){var o=t.findIndex(n),i=o.index,a=o.offset,s=t.maybeChild(i),u=t.findIndex(r),c=u.index,l=u.offset;if(a==n||s.isText){if(l!=r&&!t.child(c).isText)throw new RangeError("Removing non-flat range");return t.cut(0,n).append(t.cut(r))}if(i!=c)throw new RangeError("Removing non-flat range");return t.replaceChild(i,s.copy(e(s.content,n-a-1,r-a-1)))}(this.content,e+this.openStart,t+this.openStart),this.openStart,this.openEnd)},h.prototype.eq=function(e){return this.content.eq(e.content)&&this.openStart==e.openStart&&this.openEnd==e.openEnd},h.prototype.toString=function(){return this.content+"("+this.openStart+","+this.openEnd+")"},h.prototype.toJSON=function(){if(!this.content.size)return null;var e={content:this.content.toJSON()};return this.openStart>0&&(e.openStart=this.openStart),this.openEnd>0&&(e.openEnd=this.openEnd),e},h.fromJSON=function(e,t){if(!t)return h.empty;var n=t.openStart||0,r=t.openEnd||0;if("number"!=typeof n||"number"!=typeof r)throw new RangeError("Invalid input for Slice.fromJSON");return new h(i.fromJSON(e,t.content),n,r)},h.maxOpen=function(e,t){void 0===t&&(t=!0);for(var n=0,r=0,o=e.firstChild;o&&!o.isLeaf&&(t||!o.type.spec.isolating);o=o.firstChild)n++;for(var i=e.lastChild;i&&!i.isLeaf&&(t||!i.type.spec.isolating);i=i.lastChild)r++;return new h(e,n,r)},Object.defineProperties(h.prototype,f),h.empty=new h(i.empty,0,0);var k=function(e,t,n){this.pos=e,this.path=t,this.depth=t.length/3-1,this.parentOffset=n},b={parent:{configurable:!0},doc:{configurable:!0},textOffset:{configurable:!0},nodeAfter:{configurable:!0},nodeBefore:{configurable:!0}};k.prototype.resolveDepth=function(e){return null==e?this.depth:e<0?this.depth+e:e},b.parent.get=function(){return this.node(this.depth)},b.doc.get=function(){return this.node(0)},k.prototype.node=function(e){return this.path[3*this.resolveDepth(e)]},k.prototype.index=function(e){return this.path[3*this.resolveDepth(e)+1]},k.prototype.indexAfter=function(e){return e=this.resolveDepth(e),this.index(e)+(e!=this.depth||this.textOffset?1:0)},k.prototype.start=function(e){return 0==(e=this.resolveDepth(e))?0:this.path[3*e-1]+1},k.prototype.end=function(e){return e=this.resolveDepth(e),this.start(e)+this.node(e).content.size},k.prototype.before=function(e){if(!(e=this.resolveDepth(e)))throw new RangeError("There is no position before the top-level node");return e==this.depth+1?this.pos:this.path[3*e-1]},k.prototype.after=function(e){if(!(e=this.resolveDepth(e)))throw new RangeError("There is no position after the top-level node");return e==this.depth+1?this.pos:this.path[3*e-1]+this.path[3*e].nodeSize},b.textOffset.get=function(){return this.pos-this.path[this.path.length-1]},b.nodeAfter.get=function(){var e=this.parent,t=this.index(this.depth);if(t==e.childCount)return null;var n=this.pos-this.path[this.path.length-1],r=e.child(t);return n?e.child(t).cut(n):r},b.nodeBefore.get=function(){var e=this.index(this.depth),t=this.pos-this.path[this.path.length-1];return t?this.parent.child(e).cut(0,t):0==e?null:this.parent.child(e-1)},k.prototype.posAtIndex=function(e,t){t=this.resolveDepth(t);for(var n=this.path[3*t],r=0==t?0:this.path[3*t-1]+1,o=0;o<e;o++)r+=n.child(o).nodeSize;return r},k.prototype.marks=function(){var e=this.parent,t=this.index();if(0==e.content.size)return l.none;if(this.textOffset)return e.child(t).marks;var n=e.maybeChild(t-1),r=e.maybeChild(t);if(!n){var o=n;n=r,r=o}for(var i=n.marks,a=0;a<i.length;a++)!1!==i[a].type.spec.inclusive||r&&i[a].isInSet(r.marks)||(i=i[a--].removeFromSet(i));return i},k.prototype.marksAcross=function(e){var t=this.parent.maybeChild(this.index());if(!t||!t.isInline)return null;for(var n=t.marks,r=e.parent.maybeChild(e.index()),o=0;o<n.length;o++)!1!==n[o].type.spec.inclusive||r&&n[o].isInSet(r.marks)||(n=n[o--].removeFromSet(n));return n},k.prototype.sharedDepth=function(e){for(var t=this.depth;t>0;t--)if(this.start(t)<=e&&this.end(t)>=e)return t;return 0},k.prototype.blockRange=function(e,t){if(void 0===e&&(e=this),e.pos<this.pos)return e.blockRange(this);for(var n=this.depth-(this.parent.inlineContent||this.pos==e.pos?1:0);n>=0;n--)if(e.pos<=this.end(n)&&(!t||t(this.node(n))))return new D(this,e,n)},k.prototype.sameParent=function(e){return this.pos-this.parentOffset==e.pos-e.parentOffset},k.prototype.max=function(e){return e.pos>this.pos?e:this},k.prototype.min=function(e){return e.pos<this.pos?e:this},k.prototype.toString=function(){for(var e="",t=1;t<=this.depth;t++)e+=(e?"/":"")+this.node(t).type.name+"_"+this.index(t-1);return e+":"+this.parentOffset},k.resolve=function(e,t){if(!(t>=0&&t<=e.content.size))throw new RangeError("Position "+t+" out of range");for(var n=[],r=0,o=t,i=e;;){var a=i.content.findIndex(o),s=a.index,u=a.offset,c=o-u;if(n.push(i,s,r+u),!c)break;if((i=i.child(s)).isText)break;o=c-1,r+=u+1}return new k(t,n,o)},k.resolveCached=function(e,t){for(var n=0;n<w.length;n++){var r=w[n];if(r.pos==t&&r.doc==e)return r}var o=w[L]=k.resolve(e,t);return L=(L+1)%T,o},Object.defineProperties(k.prototype,b);var w=[],L=0,T=12,D=function(e,t,n){this.$from=e,this.$to=t,this.depth=n},x={start:{configurable:!0},end:{configurable:!0},parent:{configurable:!0},startIndex:{configurable:!0},endIndex:{configurable:!0}};x.start.get=function(){return this.$from.before(this.depth+1)},x.end.get=function(){return this.$to.after(this.depth+1)},x.parent.get=function(){return this.$from.node(this.depth)},x.startIndex.get=function(){return this.$from.index(this.depth)},x.endIndex.get=function(){return this.$to.indexAfter(this.depth)},Object.defineProperties(D.prototype,x);var S=Object.create(null),Y=function(e,t,n,r){this.type=e,this.attrs=t,this.content=n||i.empty,this.marks=r||l.none},O={nodeSize:{configurable:!0},childCount:{configurable:!0},textContent:{configurable:!0},firstChild:{configurable:!0},lastChild:{configurable:!0},isBlock:{configurable:!0},isTextblock:{configurable:!0},inlineContent:{configurable:!0},isInline:{configurable:!0},isText:{configurable:!0},isLeaf:{configurable:!0},isAtom:{configurable:!0}};O.nodeSize.get=function(){return this.isLeaf?1:2+this.content.size},O.childCount.get=function(){return this.content.childCount},Y.prototype.child=function(e){return this.content.child(e)},Y.prototype.maybeChild=function(e){return this.content.maybeChild(e)},Y.prototype.forEach=function(e){this.content.forEach(e)},Y.prototype.nodesBetween=function(e,t,n,r){void 0===r&&(r=0),this.content.nodesBetween(e,t,n,r,this)},Y.prototype.descendants=function(e){this.nodesBetween(0,this.content.size,e)},O.textContent.get=function(){return this.textBetween(0,this.content.size,"")},Y.prototype.textBetween=function(e,t,n,r){return this.content.textBetween(e,t,n,r)},O.firstChild.get=function(){return this.content.firstChild},O.lastChild.get=function(){return this.content.lastChild},Y.prototype.eq=function(e){return this==e||this.sameMarkup(e)&&this.content.eq(e.content)},Y.prototype.sameMarkup=function(e){return this.hasMarkup(e.type,e.attrs,e.marks)},Y.prototype.hasMarkup=function(e,t,n){return this.type==e&&c(this.attrs,t||e.defaultAttrs||S)&&l.sameSet(this.marks,n||l.none)},Y.prototype.copy=function(e){return void 0===e&&(e=null),e==this.content?this:new this.constructor(this.type,this.attrs,e,this.marks)},Y.prototype.mark=function(e){return e==this.marks?this:new this.constructor(this.type,this.attrs,this.content,e)},Y.prototype.cut=function(e,t){return 0==e&&t==this.content.size?this:this.copy(this.content.cut(e,t))},Y.prototype.slice=function(e,t,n){if(void 0===t&&(t=this.content.size),void 0===n&&(n=!1),e==t)return h.empty;var r=this.resolve(e),o=this.resolve(t),i=n?0:r.sharedDepth(t),a=r.start(i),s=r.node(i).content.cut(r.pos-a,o.pos-a);return new h(s,r.depth-i,o.depth-i)},Y.prototype.replace=function(e,t,n){return p(this.resolve(e),this.resolve(t),n)},Y.prototype.nodeAt=function(e){for(var t=this;;){var n=t.content.findIndex(e),r=n.index,o=n.offset;if(!(t=t.maybeChild(r)))return null;if(o==e||t.isText)return t;e-=o+1}},Y.prototype.childAfter=function(e){var t=this.content.findIndex(e),n=t.index,r=t.offset;return{node:this.content.maybeChild(n),index:n,offset:r}},Y.prototype.childBefore=function(e){if(0==e)return{node:null,index:0,offset:0};var t=this.content.findIndex(e),n=t.index,r=t.offset;if(r<e)return{node:this.content.child(n),index:n,offset:r};var o=this.content.child(n-1);return{node:o,index:n-1,offset:r-o.nodeSize}},Y.prototype.resolve=function(e){return k.resolveCached(this,e)},Y.prototype.resolveNoCache=function(e){return k.resolve(this,e)},Y.prototype.rangeHasMark=function(e,t,n){var r=!1;return t>e&&this.nodesBetween(e,t,(function(e){return n.isInSet(e.marks)&&(r=!0),!r})),r},O.isBlock.get=function(){return this.type.isBlock},O.isTextblock.get=function(){return this.type.isTextblock},O.inlineContent.get=function(){return this.type.inlineContent},O.isInline.get=function(){return this.type.isInline},O.isText.get=function(){return this.type.isText},O.isLeaf.get=function(){return this.type.isLeaf},O.isAtom.get=function(){return this.type.isAtom},Y.prototype.toString=function(){if(this.type.spec.toDebugString)return this.type.spec.toDebugString(this);var e=this.type.name;return this.content.size&&(e+="("+this.content.toStringInner()+")"),E(this.marks,e)},Y.prototype.contentMatchAt=function(e){var t=this.type.contentMatch.matchFragment(this.content,0,e);if(!t)throw new Error("Called contentMatchAt on a node with invalid content");return t},Y.prototype.canReplace=function(e,t,n,r,o){void 0===n&&(n=i.empty),void 0===r&&(r=0),void 0===o&&(o=n.childCount);var a=this.contentMatchAt(e).matchFragment(n,r,o),s=a&&a.matchFragment(this.content,t);if(!s||!s.validEnd)return!1;for(var u=r;u<o;u++)if(!this.type.allowsMarks(n.child(u).marks))return!1;return!0},Y.prototype.canReplaceWith=function(e,t,n,r){if(r&&!this.type.allowsMarks(r))return!1;var o=this.contentMatchAt(e).matchType(n),i=o&&o.matchFragment(this.content,t);return!!i&&i.validEnd},Y.prototype.canAppend=function(e){return e.content.size?this.canReplace(this.childCount,this.childCount,e.content):this.type.compatibleContent(e.type)},Y.prototype.check=function(){if(!this.type.validContent(this.content))throw new RangeError("Invalid content for node "+this.type.name+": "+this.content.toString().slice(0,50));this.content.forEach((function(e){return e.check()}))},Y.prototype.toJSON=function(){var e={type:this.type.name};for(var t in this.attrs){e.attrs=this.attrs;break}return this.content.size&&(e.content=this.content.toJSON()),this.marks.length&&(e.marks=this.marks.map((function(e){return e.toJSON()}))),e},Y.fromJSON=function(e,t){if(!t)throw new RangeError("Invalid input for Node.fromJSON");var n=null;if(t.marks){if(!Array.isArray(t.marks))throw new RangeError("Invalid mark data for Node.fromJSON");n=t.marks.map(e.markFromJSON)}if("text"==t.type){if("string"!=typeof t.text)throw new RangeError("Invalid text node in JSON");return e.text(t.text,n)}var r=i.fromJSON(e,t.content);return e.nodeType(t.type).create(t.attrs,r,n)},Object.defineProperties(Y.prototype,O);var C=function(e){function t(t,n,r,o){if(e.call(this,t,n,null,o),!r)throw new RangeError("Empty text nodes are not allowed");this.text=r}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={textContent:{configurable:!0},nodeSize:{configurable:!0}};return t.prototype.toString=function(){return this.type.spec.toDebugString?this.type.spec.toDebugString(this):E(this.marks,JSON.stringify(this.text))},n.textContent.get=function(){return this.text},t.prototype.textBetween=function(e,t){return this.text.slice(e,t)},n.nodeSize.get=function(){return this.text.length},t.prototype.mark=function(e){return e==this.marks?this:new t(this.type,this.attrs,this.text,e)},t.prototype.withText=function(e){return e==this.text?this:new t(this.type,this.attrs,e,this.marks)},t.prototype.cut=function(e,t){return void 0===e&&(e=0),void 0===t&&(t=this.text.length),0==e&&t==this.text.length?this:this.withText(this.text.slice(e,t))},t.prototype.eq=function(e){return this.sameMarkup(e)&&this.text==e.text},t.prototype.toJSON=function(){var t=e.prototype.toJSON.call(this);return t.text=this.text,t},Object.defineProperties(t.prototype,n),t}(Y);function E(e,t){for(var n=e.length-1;n>=0;n--)t=e[n].type.name+"("+t+")";return t}var A=function(e){this.validEnd=e,this.next=[],this.wrapCache=[]},j={inlineContent:{configurable:!0},defaultType:{configurable:!0},edgeCount:{configurable:!0}};A.parse=function(e,t){var n=new H(e,t);if(null==n.next)return A.empty;var r=N(n);n.next&&n.err("Unexpected trailing text");var o=function(e){var t=Object.create(null);return function n(r){var o=[];r.forEach((function(t){e[t].forEach((function(t){var n=t.term,r=t.to;if(n){var i=o.indexOf(n),a=i>-1&&o[i+1];$(e,r).forEach((function(e){a||o.push(n,a=[]),-1==a.indexOf(e)&&a.push(e)}))}}))}));for(var i=t[r.join(",")]=new A(r.indexOf(e.length-1)>-1),a=0;a<o.length;a+=2){var s=o[a+1].sort(W);i.next.push(o[a],t[s.join(",")]||n(s))}return i}($(e,0))}(function(e){var t=[[]];return o(function e(t,i){if("choice"==t.type)return t.exprs.reduce((function(t,n){return t.concat(e(n,i))}),[]);if("seq"==t.type)for(var a=0;;a++){var s=e(t.exprs[a],i);if(a==t.exprs.length-1)return s;o(s,i=n())}else{if("star"==t.type){var u=n();return r(i,u),o(e(t.expr,u),u),[r(u)]}if("plus"==t.type){var c=n();return o(e(t.expr,i),c),o(e(t.expr,c),c),[r(c)]}if("opt"==t.type)return[r(i)].concat(e(t.expr,i));if("range"==t.type){for(var l=i,d=0;d<t.min;d++){var h=n();o(e(t.expr,l),h),l=h}if(-1==t.max)o(e(t.expr,l),l);else for(var f=t.min;f<t.max;f++){var p=n();r(l,p),o(e(t.expr,l),p),l=p}return[r(l)]}if("name"==t.type)return[r(i,null,t.value)]}}(e,0),n()),t;function n(){return t.push([])-1}function r(e,n,r){var o={term:r,to:n};return t[e].push(o),o}function o(e,t){e.forEach((function(e){return e.to=t}))}}(r));return function(e,t){for(var n=0,r=[e];n<r.length;n++){for(var o=r[n],i=!o.validEnd,a=[],s=0;s<o.next.length;s+=2){var u=o.next[s],c=o.next[s+1];a.push(u.name),!i||u.isText||u.hasRequiredAttrs()||(i=!1),-1==r.indexOf(c)&&r.push(c)}i&&t.err("Only non-generatable nodes ("+a.join(", ")+") in a required position (see https://prosemirror.net/docs/guide/#generatable)")}}(o,n),o},A.prototype.matchType=function(e){for(var t=0;t<this.next.length;t+=2)if(this.next[t]==e)return this.next[t+1];return null},A.prototype.matchFragment=function(e,t,n){void 0===t&&(t=0),void 0===n&&(n=e.childCount);for(var r=this,o=t;r&&o<n;o++)r=r.matchType(e.child(o).type);return r},j.inlineContent.get=function(){var e=this.next[0];return!!e&&e.isInline},j.defaultType.get=function(){for(var e=0;e<this.next.length;e+=2){var t=this.next[e];if(!t.isText&&!t.hasRequiredAttrs())return t}},A.prototype.compatible=function(e){for(var t=0;t<this.next.length;t+=2)for(var n=0;n<e.next.length;n+=2)if(this.next[t]==e.next[n])return!0;return!1},A.prototype.fillBefore=function(e,t,n){void 0===t&&(t=!1),void 0===n&&(n=0);var r=[this];return function o(a,s){var u=a.matchFragment(e,n);if(u&&(!t||u.validEnd))return i.from(s.map((function(e){return e.createAndFill()})));for(var c=0;c<a.next.length;c+=2){var l=a.next[c],d=a.next[c+1];if(!l.isText&&!l.hasRequiredAttrs()&&-1==r.indexOf(d)){r.push(d);var h=o(d,s.concat(l));if(h)return h}}}(this,[])},A.prototype.findWrapping=function(e){for(var t=0;t<this.wrapCache.length;t+=2)if(this.wrapCache[t]==e)return this.wrapCache[t+1];var n=this.computeWrapping(e);return this.wrapCache.push(e,n),n},A.prototype.computeWrapping=function(e){for(var t=Object.create(null),n=[{match:this,type:null,via:null}];n.length;){var r=n.shift(),o=r.match;if(o.matchType(e)){for(var i=[],a=r;a.type;a=a.via)i.push(a.type);return i.reverse()}for(var s=0;s<o.next.length;s+=2){var u=o.next[s];u.isLeaf||u.hasRequiredAttrs()||u.name in t||r.type&&!o.next[s+1].validEnd||(n.push({match:u.contentMatch,type:u,via:r}),t[u.name]=!0)}}},j.edgeCount.get=function(){return this.next.length>>1},A.prototype.edge=function(e){var t=e<<1;if(t>=this.next.length)throw new RangeError("There's no "+e+"th edge in this content match");return{type:this.next[t],next:this.next[t+1]}},A.prototype.toString=function(){var e=[];return function t(n){e.push(n);for(var r=1;r<n.next.length;r+=2)-1==e.indexOf(n.next[r])&&t(n.next[r])}(this),e.map((function(t,n){for(var r=n+(t.validEnd?"*":" ")+" ",o=0;o<t.next.length;o+=2)r+=(o?", ":"")+t.next[o].name+"->"+e.indexOf(t.next[o+1]);return r})).join("\n")},Object.defineProperties(A.prototype,j),A.empty=new A(!0);var H=function(e,t){this.string=e,this.nodeTypes=t,this.inline=null,this.pos=0,this.tokens=e.split(/\s*(?=\b|\W|$)/),""==this.tokens[this.tokens.length-1]&&this.tokens.pop(),""==this.tokens[0]&&this.tokens.unshift()},P={next:{configurable:!0}};function N(e){var t=[];do{t.push(R(e))}while(e.eat("|"));return 1==t.length?t[0]:{type:"choice",exprs:t}}function R(e){var t=[];do{t.push(F(e))}while(e.next&&")"!=e.next&&"|"!=e.next);return 1==t.length?t[0]:{type:"seq",exprs:t}}function F(e){for(var t=function(e){if(e.eat("(")){var t=N(e);return e.eat(")")||e.err("Missing closing paren"),t}if(!/\W/.test(e.next)){var n=function(e,t){var n=e.nodeTypes,r=n[t];if(r)return[r];var o=[];for(var i in n){var a=n[i];a.groups.indexOf(t)>-1&&o.push(a)}0==o.length&&e.err("No node type or group '"+t+"' found");return o}(e,e.next).map((function(t){return null==e.inline?e.inline=t.isInline:e.inline!=t.isInline&&e.err("Mixing inline and block content"),{type:"name",value:t}}));return e.pos++,1==n.length?n[0]:{type:"choice",exprs:n}}e.err("Unexpected token '"+e.next+"'")}(e);;)if(e.eat("+"))t={type:"plus",expr:t};else if(e.eat("*"))t={type:"star",expr:t};else if(e.eat("?"))t={type:"opt",expr:t};else{if(!e.eat("{"))break;t=z(e,t)}return t}function I(e){/\D/.test(e.next)&&e.err("Expected number, got '"+e.next+"'");var t=Number(e.next);return e.pos++,t}function z(e,t){var n=I(e),r=n;return e.eat(",")&&(r="}"!=e.next?I(e):-1),e.eat("}")||e.err("Unclosed braced range"),{type:"range",min:n,max:r,expr:t}}function W(e,t){return t-e}function $(e,t){var n=[];return function t(r){var o=e[r];if(1==o.length&&!o[0].term)return t(o[0].to);n.push(r);for(var i=0;i<o.length;i++){var a=o[i],s=a.term,u=a.to;s||-1!=n.indexOf(u)||t(u)}}(t),n.sort(W)}function B(e){var t=Object.create(null);for(var n in e){var r=e[n];if(!r.hasDefault)return null;t[n]=r.default}return t}function q(e,t){var n=Object.create(null);for(var r in e){var o=t&&t[r];if(void 0===o){var i=e[r];if(!i.hasDefault)throw new RangeError("No value supplied for attribute "+r);o=i.default}n[r]=o}return n}function U(e){var t=Object.create(null);if(e)for(var n in e)t[n]=new G(e[n]);return t}P.next.get=function(){return this.tokens[this.pos]},H.prototype.eat=function(e){return this.next==e&&(this.pos++||!0)},H.prototype.err=function(e){throw new SyntaxError(e+" (in content expression '"+this.string+"')")},Object.defineProperties(H.prototype,P);var V=function(e,t,n){this.name=e,this.schema=t,this.spec=n,this.groups=n.group?n.group.split(" "):[],this.attrs=U(n.attrs),this.defaultAttrs=B(this.attrs),this.contentMatch=null,this.markSet=null,this.inlineContent=null,this.isBlock=!(n.inline||"text"==e),this.isText="text"==e},J={isInline:{configurable:!0},isTextblock:{configurable:!0},isLeaf:{configurable:!0},isAtom:{configurable:!0}};J.isInline.get=function(){return!this.isBlock},J.isTextblock.get=function(){return this.isBlock&&this.inlineContent},J.isLeaf.get=function(){return this.contentMatch==A.empty},J.isAtom.get=function(){return this.isLeaf||this.spec.atom},V.prototype.hasRequiredAttrs=function(){for(var e in this.attrs)if(this.attrs[e].isRequired)return!0;return!1},V.prototype.compatibleContent=function(e){return this==e||this.contentMatch.compatible(e.contentMatch)},V.prototype.computeAttrs=function(e){return!e&&this.defaultAttrs?this.defaultAttrs:q(this.attrs,e)},V.prototype.create=function(e,t,n){if(this.isText)throw new Error("NodeType.create can't construct text nodes");return new Y(this,this.computeAttrs(e),i.from(t),l.setFrom(n))},V.prototype.createChecked=function(e,t,n){if(t=i.from(t),!this.validContent(t))throw new RangeError("Invalid content for node "+this.name);return new Y(this,this.computeAttrs(e),t,l.setFrom(n))},V.prototype.createAndFill=function(e,t,n){if(e=this.computeAttrs(e),(t=i.from(t)).size){var r=this.contentMatch.fillBefore(t);if(!r)return null;t=r.append(t)}var o=this.contentMatch.matchFragment(t).fillBefore(i.empty,!0);return o?new Y(this,e,t.append(o),l.setFrom(n)):null},V.prototype.validContent=function(e){var t=this.contentMatch.matchFragment(e);if(!t||!t.validEnd)return!1;for(var n=0;n<e.childCount;n++)if(!this.allowsMarks(e.child(n).marks))return!1;return!0},V.prototype.allowsMarkType=function(e){return null==this.markSet||this.markSet.indexOf(e)>-1},V.prototype.allowsMarks=function(e){if(null==this.markSet)return!0;for(var t=0;t<e.length;t++)if(!this.allowsMarkType(e[t].type))return!1;return!0},V.prototype.allowedMarks=function(e){if(null==this.markSet)return e;for(var t,n=0;n<e.length;n++)this.allowsMarkType(e[n].type)?t&&t.push(e[n]):t||(t=e.slice(0,n));return t?t.length?t:l.empty:e},V.compile=function(e,t){var n=Object.create(null);e.forEach((function(e,r){return n[e]=new V(e,t,r)}));var r=t.spec.topNode||"doc";if(!n[r])throw new RangeError("Schema is missing its top node type ('"+r+"')");if(!n.text)throw new RangeError("Every schema needs a 'text' type");for(var o in n.text.attrs)throw new RangeError("The text node type should not have attributes");return n},Object.defineProperties(V.prototype,J);var G=function(e){this.hasDefault=Object.prototype.hasOwnProperty.call(e,"default"),this.default=e.default},K={isRequired:{configurable:!0}};K.isRequired.get=function(){return!this.hasDefault},Object.defineProperties(G.prototype,K);var Z=function(e,t,n,r){this.name=e,this.schema=n,this.spec=r,this.attrs=U(r.attrs),this.rank=t,this.excluded=null;var o=B(this.attrs);this.instance=o&&new l(this,o)};Z.prototype.create=function(e){return!e&&this.instance?this.instance:new l(this,q(this.attrs,e))},Z.compile=function(e,t){var n=Object.create(null),r=0;return e.forEach((function(e,o){return n[e]=new Z(e,r++,t,o)})),n},Z.prototype.removeFromSet=function(e){for(var t=0;t<e.length;t++)if(e[t].type==this)return e.slice(0,t).concat(e.slice(t+1));return e},Z.prototype.isInSet=function(e){for(var t=0;t<e.length;t++)if(e[t].type==this)return e[t]},Z.prototype.excludes=function(e){return this.excluded.indexOf(e)>-1};var X=function(e){for(var t in this.spec={},e)this.spec[t]=e[t];this.spec.nodes=o.from(e.nodes),this.spec.marks=o.from(e.marks),this.nodes=V.compile(this.spec.nodes,this),this.marks=Z.compile(this.spec.marks,this);var n=Object.create(null);for(var r in this.nodes){if(r in this.marks)throw new RangeError(r+" can not be both a node and a mark");var i=this.nodes[r],a=i.spec.content||"",s=i.spec.marks;i.contentMatch=n[a]||(n[a]=A.parse(a,this.nodes)),i.inlineContent=i.contentMatch.inlineContent,i.markSet="_"==s?null:s?Q(this,s.split(" ")):""!=s&&i.inlineContent?null:[]}for(var u in this.marks){var c=this.marks[u],l=c.spec.excludes;c.excluded=null==l?[c]:""==l?[]:Q(this,l.split(" "))}this.nodeFromJSON=this.nodeFromJSON.bind(this),this.markFromJSON=this.markFromJSON.bind(this),this.topNodeType=this.nodes[this.spec.topNode||"doc"],this.cached=Object.create(null),this.cached.wrappings=Object.create(null)};function Q(e,t){for(var n=[],r=0;r<t.length;r++){var o=t[r],i=e.marks[o],a=i;if(i)n.push(i);else for(var s in e.marks){var u=e.marks[s];("_"==o||u.spec.group&&u.spec.group.split(" ").indexOf(o)>-1)&&n.push(a=u)}if(!a)throw new SyntaxError("Unknown mark type: '"+t[r]+"'")}return n}X.prototype.node=function(e,t,n,r){if("string"==typeof e)e=this.nodeType(e);else{if(!(e instanceof V))throw new RangeError("Invalid node type: "+e);if(e.schema!=this)throw new RangeError("Node type from different schema used ("+e.name+")")}return e.createChecked(t,n,r)},X.prototype.text=function(e,t){var n=this.nodes.text;return new C(n,n.defaultAttrs,e,l.setFrom(t))},X.prototype.mark=function(e,t){return"string"==typeof e&&(e=this.marks[e]),e.create(t)},X.prototype.nodeFromJSON=function(e){return Y.fromJSON(this,e)},X.prototype.markFromJSON=function(e){return l.fromJSON(this,e)},X.prototype.nodeType=function(e){var t=this.nodes[e];if(!t)throw new RangeError("Unknown node type: "+e);return t};var ee=function(e,t){var n=this;this.schema=e,this.rules=t,this.tags=[],this.styles=[],t.forEach((function(e){e.tag?n.tags.push(e):e.style&&n.styles.push(e)})),this.normalizeLists=!this.tags.some((function(t){if(!/^(ul|ol)\b/.test(t.tag)||!t.node)return!1;var n=e.nodes[t.node];return n.contentMatch.matchType(n)}))};ee.prototype.parse=function(e,t){void 0===t&&(t={});var n=new ae(this,t,!1);return n.addAll(e,null,t.from,t.to),n.finish()},ee.prototype.parseSlice=function(e,t){void 0===t&&(t={});var n=new ae(this,t,!0);return n.addAll(e,null,t.from,t.to),h.maxOpen(n.finish())},ee.prototype.matchTag=function(e,t){for(var n=0;n<this.tags.length;n++){var r=this.tags[n];if(ue(e,r.tag)&&(void 0===r.namespace||e.namespaceURI==r.namespace)&&(!r.context||t.matchesContext(r.context))){if(r.getAttrs){var o=r.getAttrs(e);if(!1===o)continue;r.attrs=o}return r}}},ee.prototype.matchStyle=function(e,t,n){for(var r=0;r<this.styles.length;r++){var o=this.styles[r];if(!(0!=o.style.indexOf(e)||o.context&&!n.matchesContext(o.context)||o.style.length>e.length&&(61!=o.style.charCodeAt(e.length)||o.style.slice(e.length+1)!=t))){if(o.getAttrs){var i=o.getAttrs(t);if(!1===i)continue;o.attrs=i}return o}}},ee.schemaRules=function(e){var t=[];function n(e){for(var n=null==e.priority?50:e.priority,r=0;r<t.length;r++){var o=t[r];if((null==o.priority?50:o.priority)<n)break}t.splice(r,0,e)}var r=function(t){var r=e.marks[t].spec.parseDOM;r&&r.forEach((function(e){n(e=ce(e)),e.mark=t}))};for(var o in e.marks)r(o);var i;for(var a in e.nodes)i=void 0,(i=e.nodes[a].spec.parseDOM)&&i.forEach((function(e){n(e=ce(e)),e.node=a}));return t},ee.fromSchema=function(e){return e.cached.domParser||(e.cached.domParser=new ee(e,ee.schemaRules(e)))};var te={address:!0,article:!0,aside:!0,blockquote:!0,canvas:!0,dd:!0,div:!0,dl:!0,fieldset:!0,figcaption:!0,figure:!0,footer:!0,form:!0,h1:!0,h2:!0,h3:!0,h4:!0,h5:!0,h6:!0,header:!0,hgroup:!0,hr:!0,li:!0,noscript:!0,ol:!0,output:!0,p:!0,pre:!0,section:!0,table:!0,tfoot:!0,ul:!0},ne={head:!0,noscript:!0,object:!0,script:!0,style:!0,title:!0},re={ol:!0,ul:!0};function oe(e){return(e?1:0)|("full"===e?2:0)}var ie=function(e,t,n,r,o,i,a){this.type=e,this.attrs=t,this.solid=o,this.match=i||(4&a?null:e.contentMatch),this.options=a,this.content=[],this.marks=n,this.activeMarks=l.none,this.pendingMarks=r};ie.prototype.findWrapping=function(e){if(!this.match){if(!this.type)return[];var t=this.type.contentMatch.fillBefore(i.from(e));if(!t){var n,r=this.type.contentMatch;return(n=r.findWrapping(e.type))?(this.match=r,n):null}this.match=this.type.contentMatch.matchFragment(t)}return this.match.findWrapping(e.type)},ie.prototype.finish=function(e){if(!(1&this.options)){var t,n=this.content[this.content.length-1];n&&n.isText&&(t=/[ \t\r\n\u000c]+$/.exec(n.text))&&(n.text.length==t[0].length?this.content.pop():this.content[this.content.length-1]=n.withText(n.text.slice(0,n.text.length-t[0].length)))}var r=i.from(this.content);return!e&&this.match&&(r=r.append(this.match.fillBefore(i.empty,!0))),this.type?this.type.create(this.attrs,r,this.marks):r},ie.prototype.applyPending=function(e){for(var t=0,n=this.pendingMarks;t<n.length;t++){var r=n[t];(this.type?this.type.allowsMarkType(r.type):le(r.type,e))&&!r.isInSet(this.activeMarks)&&(this.activeMarks=r.addToSet(this.activeMarks),this.pendingMarks=r.removeFromSet(this.pendingMarks))}};var ae=function(e,t,n){this.parser=e,this.options=t,this.isOpen=n;var r,o=t.topNode,i=oe(t.preserveWhitespace)|(n?4:0);r=o?new ie(o.type,o.attrs,l.none,l.none,!0,t.topMatch||o.type.contentMatch,i):new ie(n?null:e.schema.topNodeType,null,l.none,l.none,!0,null,i),this.nodes=[r],this.open=0,this.find=t.findPositions,this.needsBlock=!1},se={top:{configurable:!0},currentPos:{configurable:!0}};function ue(e,t){return(e.matches||e.msMatchesSelector||e.webkitMatchesSelector||e.mozMatchesSelector).call(e,t)}function ce(e){var t={};for(var n in e)t[n]=e[n];return t}function le(e,t){var n=t.schema.nodes,r=function(r){var o=n[r];if(o.allowsMarkType(e)){var i=[],a=function(e){i.push(e);for(var n=0;n<e.edgeCount;n++){var r=e.edge(n),o=r.type,s=r.next;if(o==t)return!0;if(i.indexOf(s)<0&&a(s))return!0}};return a(o.contentMatch)?{v:!0}:void 0}};for(var o in n){var i=r(o);if(i)return i.v}}se.top.get=function(){return this.nodes[this.open]},ae.prototype.addDOM=function(e){if(3==e.nodeType)this.addTextNode(e);else if(1==e.nodeType){var t=e.getAttribute("style"),n=t?this.readStyles(function(e){var t,n=/\s*([\w-]+)\s*:\s*([^;]+)/g,r=[];for(;t=n.exec(e);)r.push(t[1],t[2].trim());return r}(t)):null,r=this.top;if(null!=n)for(var o=0;o<n.length;o++)this.addPendingMark(n[o]);if(this.addElement(e),null!=n)for(var i=0;i<n.length;i++)this.removePendingMark(n[i],r)}},ae.prototype.addTextNode=function(e){var t=e.nodeValue,n=this.top;if((n.type?n.type.inlineContent:n.content.length&&n.content[0].isInline)||/[^ \t\r\n\u000c]/.test(t)){if(1&n.options)2&n.options||(t=t.replace(/\r?\n|\r/g," "));else if(t=t.replace(/[ \t\r\n\u000c]+/g," "),/^[ \t\r\n\u000c]/.test(t)&&this.open==this.nodes.length-1){var r=n.content[n.content.length-1],o=e.previousSibling;(!r||o&&"BR"==o.nodeName||r.isText&&/[ \t\r\n\u000c]$/.test(r.text))&&(t=t.slice(1))}t&&this.insertNode(this.parser.schema.text(t)),this.findInText(e)}else this.findInside(e)},ae.prototype.addElement=function(e){var t=e.nodeName.toLowerCase();re.hasOwnProperty(t)&&this.parser.normalizeLists&&function(e){for(var t=e.firstChild,n=null;t;t=t.nextSibling){var r=1==t.nodeType?t.nodeName.toLowerCase():null;r&&re.hasOwnProperty(r)&&n?(n.appendChild(t),t=n):"li"==r?n=t:r&&(n=null)}}(e);var n=this.options.ruleFromNode&&this.options.ruleFromNode(e)||this.parser.matchTag(e,this);if(n?n.ignore:ne.hasOwnProperty(t))this.findInside(e);else if(!n||n.skip||n.closeParent){n&&n.closeParent?this.open=Math.max(0,this.open-1):n&&n.skip.nodeType&&(e=n.skip);var r,o=this.top,i=this.needsBlock;if(te.hasOwnProperty(t))r=!0,o.type||(this.needsBlock=!0);else if(!e.firstChild)return void this.leafFallback(e);this.addAll(e),r&&this.sync(o),this.needsBlock=i}else this.addElementByRule(e,n)},ae.prototype.leafFallback=function(e){"BR"==e.nodeName&&this.top.type&&this.top.type.inlineContent&&this.addTextNode(e.ownerDocument.createTextNode("\n"))},ae.prototype.readStyles=function(e){for(var t=l.none,n=0;n<e.length;n+=2){var r=this.parser.matchStyle(e[n],e[n+1],this);if(r){if(r.ignore)return null;t=this.parser.schema.marks[r.mark].create(r.attrs).addToSet(t)}}return t},ae.prototype.addElementByRule=function(e,t){var n,r,o,i=this;t.node?(r=this.parser.schema.nodes[t.node]).isLeaf?this.insertNode(r.create(t.attrs))||this.leafFallback(e):n=this.enter(r,t.attrs,t.preserveWhitespace):(o=this.parser.schema.marks[t.mark].create(t.attrs),this.addPendingMark(o));var a=this.top;if(r&&r.isLeaf)this.findInside(e);else if(t.getContent)this.findInside(e),t.getContent(e,this.parser.schema).forEach((function(e){return i.insertNode(e)}));else{var s=t.contentElement;"string"==typeof s?s=e.querySelector(s):"function"==typeof s&&(s=s(e)),s||(s=e),this.findAround(e,s,!0),this.addAll(s,n)}n&&(this.sync(a),this.open--),o&&this.removePendingMark(o,a)},ae.prototype.addAll=function(e,t,n,r){for(var o=n||0,i=n?e.childNodes[n]:e.firstChild,a=null==r?null:e.childNodes[r];i!=a;i=i.nextSibling,++o)this.findAtPoint(e,o),this.addDOM(i),t&&te.hasOwnProperty(i.nodeName.toLowerCase())&&this.sync(t);this.findAtPoint(e,o)},ae.prototype.findPlace=function(e){for(var t,n,r=this.open;r>=0;r--){var o=this.nodes[r],i=o.findWrapping(e);if(i&&(!t||t.length>i.length)&&(t=i,n=o,!i.length))break;if(o.solid)break}if(!t)return!1;this.sync(n);for(var a=0;a<t.length;a++)this.enterInner(t[a],null,!1);return!0},ae.prototype.insertNode=function(e){if(e.isInline&&this.needsBlock&&!this.top.type){var t=this.textblockFromContext();t&&this.enterInner(t)}if(this.findPlace(e)){this.closeExtra();var n=this.top;n.applyPending(e.type),n.match&&(n.match=n.match.matchType(e.type));for(var r=n.activeMarks,o=0;o<e.marks.length;o++)n.type&&!n.type.allowsMarkType(e.marks[o].type)||(r=e.marks[o].addToSet(r));return n.content.push(e.mark(r)),!0}return!1},ae.prototype.enter=function(e,t,n){var r=this.findPlace(e.create(t));return r&&this.enterInner(e,t,!0,n),r},ae.prototype.enterInner=function(e,t,n,r){this.closeExtra();var o=this.top;o.applyPending(e),o.match=o.match&&o.match.matchType(e,t);var i=null==r?-5&o.options:oe(r);4&o.options&&0==o.content.length&&(i|=4),this.nodes.push(new ie(e,t,o.activeMarks,o.pendingMarks,n,null,i)),this.open++},ae.prototype.closeExtra=function(e){var t=this.nodes.length-1;if(t>this.open){for(;t>this.open;t--)this.nodes[t-1].content.push(this.nodes[t].finish(e));this.nodes.length=this.open+1}},ae.prototype.finish=function(){return this.open=0,this.closeExtra(this.isOpen),this.nodes[0].finish(this.isOpen||this.options.topOpen)},ae.prototype.sync=function(e){for(var t=this.open;t>=0;t--)if(this.nodes[t]==e)return void(this.open=t)},se.currentPos.get=function(){this.closeExtra();for(var e=0,t=this.open;t>=0;t--){for(var n=this.nodes[t].content,r=n.length-1;r>=0;r--)e+=n[r].nodeSize;t&&e++}return e},ae.prototype.findAtPoint=function(e,t){if(this.find)for(var n=0;n<this.find.length;n++)this.find[n].node==e&&this.find[n].offset==t&&(this.find[n].pos=this.currentPos)},ae.prototype.findInside=function(e){if(this.find)for(var t=0;t<this.find.length;t++)null==this.find[t].pos&&1==e.nodeType&&e.contains(this.find[t].node)&&(this.find[t].pos=this.currentPos)},ae.prototype.findAround=function(e,t,n){if(e!=t&&this.find)for(var r=0;r<this.find.length;r++){if(null==this.find[r].pos&&1==e.nodeType&&e.contains(this.find[r].node))t.compareDocumentPosition(this.find[r].node)&(n?2:4)&&(this.find[r].pos=this.currentPos)}},ae.prototype.findInText=function(e){if(this.find)for(var t=0;t<this.find.length;t++)this.find[t].node==e&&(this.find[t].pos=this.currentPos-(e.nodeValue.length-this.find[t].offset))},ae.prototype.matchesContext=function(e){var t=this;if(e.indexOf("|")>-1)return e.split(/\s*\|\s*/).some(this.matchesContext,this);var n=e.split("/"),r=this.options.context,o=!(this.isOpen||r&&r.parent.type!=this.nodes[0].type),i=-(r?r.depth+1:0)+(o?0:1),a=function(e,s){for(;e>=0;e--){var u=n[e];if(""==u){if(e==n.length-1||0==e)continue;for(;s>=i;s--)if(a(e-1,s))return!0;return!1}var c=s>0||0==s&&o?t.nodes[s].type:r&&s>=i?r.node(s-i).type:null;if(!c||c.name!=u&&-1==c.groups.indexOf(u))return!1;s--}return!0};return a(n.length-1,this.open)},ae.prototype.textblockFromContext=function(){var e=this.options.context;if(e)for(var t=e.depth;t>=0;t--){var n=e.node(t).contentMatchAt(e.indexAfter(t)).defaultType;if(n&&n.isTextblock&&n.defaultAttrs)return n}for(var r in this.parser.schema.nodes){var o=this.parser.schema.nodes[r];if(o.isTextblock&&o.defaultAttrs)return o}},ae.prototype.addPendingMark=function(e){this.top.pendingMarks=e.addToSet(this.top.pendingMarks)},ae.prototype.removePendingMark=function(e,t){for(var n=this.open;n>=0;n--){var r=this.nodes[n];if(r.pendingMarks.lastIndexOf(e)>-1?r.pendingMarks=e.removeFromSet(r.pendingMarks):r.activeMarks=e.removeFromSet(r.activeMarks),r==t)break}},Object.defineProperties(ae.prototype,se);var de=function(e,t){this.nodes=e||{},this.marks=t||{}};function he(e){var t={};for(var n in e){var r=e[n].spec.toDOM;r&&(t[n]=r)}return t}function fe(e){return e.document||window.document}de.prototype.serializeFragment=function(e,t,n){var r=this;void 0===t&&(t={}),n||(n=fe(t).createDocumentFragment());var o=n,i=null;return e.forEach((function(e){if(i||e.marks.length){i||(i=[]);for(var n=0,a=0;n<i.length&&a<e.marks.length;){var s=e.marks[a];if(r.marks[s.type.name]){if(!s.eq(i[n])||!1===s.type.spec.spanning)break;n+=2,a++}else a++}for(;n<i.length;)o=i.pop(),i.pop();for(;a<e.marks.length;){var u=e.marks[a++],c=r.serializeMark(u,e.isInline,t);c&&(i.push(u,o),o.appendChild(c.dom),o=c.contentDOM||c.dom)}}o.appendChild(r.serializeNode(e,t))})),n},de.prototype.serializeNode=function(e,t){void 0===t&&(t={});var n=de.renderSpec(fe(t),this.nodes[e.type.name](e)),r=n.dom,o=n.contentDOM;if(o){if(e.isLeaf)throw new RangeError("Content hole not allowed in a leaf node spec");t.onContent?t.onContent(e,o,t):this.serializeFragment(e.content,t,o)}return r},de.prototype.serializeNodeAndMarks=function(e,t){void 0===t&&(t={});for(var n=this.serializeNode(e,t),r=e.marks.length-1;r>=0;r--){var o=this.serializeMark(e.marks[r],e.isInline,t);o&&((o.contentDOM||o.dom).appendChild(n),n=o.dom)}return n},de.prototype.serializeMark=function(e,t,n){void 0===n&&(n={});var r=this.marks[e.type.name];return r&&de.renderSpec(fe(n),r(e,t))},de.renderSpec=function(e,t,n){if(void 0===n&&(n=null),"string"==typeof t)return{dom:e.createTextNode(t)};if(null!=t.nodeType)return{dom:t};var r=t[0],o=r.indexOf(" ");o>0&&(n=r.slice(0,o),r=r.slice(o+1));var i=null,a=n?e.createElementNS(n,r):e.createElement(r),s=t[1],u=1;if(s&&"object"==typeof s&&null==s.nodeType&&!Array.isArray(s))for(var c in u=2,s)if(null!=s[c]){var l=c.indexOf(" ");l>0?a.setAttributeNS(c.slice(0,l),c.slice(l+1),s[c]):a.setAttribute(c,s[c])}for(var d=u;d<t.length;d++){var h=t[d];if(0===h){if(d<t.length-1||d>u)throw new RangeError("Content hole must be the only child of its parent node");return{dom:a,contentDOM:a}}var f=de.renderSpec(e,h,n),p=f.dom,m=f.contentDOM;if(a.appendChild(p),m){if(i)throw new RangeError("Multiple content holes");i=m}}return{dom:a,contentDOM:i}},de.fromSchema=function(e){return e.cached.domSerializer||(e.cached.domSerializer=new de(this.nodesFromSchema(e),this.marksFromSchema(e)))},de.nodesFromSchema=function(e){var t=he(e.nodes);return t.text||(t.text=function(e){return e.text}),t},de.marksFromSchema=function(e){return he(e.marks)}},function(e,t,n){"use strict";n.d(t,"h",(function(){return r.Plugin})),n.d(t,"i",(function(){return r.PluginKey})),n.d(t,"a",(function(){return G})),n.d(t,"b",(function(){return K})),n.d(t,"c",(function(){return X})),n.d(t,"d",(function(){return re})),n.d(t,"e",(function(){return F})),n.d(t,"f",(function(){return W})),n.d(t,"g",(function(){return B})),n.d(t,"j",(function(){return V}));var r=n(181),o=n(190),i=n(182),a=n(184);var s=function(e,t){var n=this;this.editorView=e,this.width=t.width||1,this.color=t.color||"black",this.class=t.class,this.cursorPos=null,this.element=null,this.timeout=null,this.handlers=["dragover","dragend","drop","dragleave"].map((function(t){var r=function(e){return n[t](e)};return e.dom.addEventListener(t,r),{name:t,handler:r}}))};s.prototype.destroy=function(){var e=this;this.handlers.forEach((function(t){var n=t.name,r=t.handler;return e.editorView.dom.removeEventListener(n,r)}))},s.prototype.update=function(e,t){null!=this.cursorPos&&t.doc!=e.state.doc&&this.updateOverlay()},s.prototype.setCursor=function(e){e!=this.cursorPos&&(this.cursorPos=e,null==e?(this.element.parentNode.removeChild(this.element),this.element=null):this.updateOverlay())},s.prototype.updateOverlay=function(){var e,t=this.editorView.state.doc.resolve(this.cursorPos);if(!t.parent.inlineContent){var n=t.nodeBefore,r=t.nodeAfter;if(n||r){var o=this.editorView.nodeDOM(this.cursorPos-(n?n.nodeSize:0)).getBoundingClientRect(),i=n?o.bottom:o.top;n&&r&&(i=(i+this.editorView.nodeDOM(this.cursorPos).getBoundingClientRect().top)/2),e={left:o.left,right:o.right,top:i-this.width/2,bottom:i+this.width/2}}}if(!e){var a=this.editorView.coordsAtPos(this.cursorPos);e={left:a.left-this.width/2,right:a.left+this.width/2,top:a.top,bottom:a.bottom}}var s=this.editorView.dom.offsetParent;this.element||(this.element=s.appendChild(document.createElement("div")),this.class&&(this.element.className=this.class),this.element.style.cssText="position: absolute; z-index: 50; pointer-events: none; background-color: "+this.color);var u=!s||s==document.body&&"static"==getComputedStyle(s).position?{left:-pageXOffset,top:-pageYOffset}:s.getBoundingClientRect();this.element.style.left=e.left-u.left+"px",this.element.style.top=e.top-u.top+"px",this.element.style.width=e.right-e.left+"px",this.element.style.height=e.bottom-e.top+"px"},s.prototype.scheduleRemoval=function(e){var t=this;clearTimeout(this.timeout),this.timeout=setTimeout((function(){return t.setCursor(null)}),e)},s.prototype.dragover=function(e){if(this.editorView.editable){var t=this.editorView.posAtCoords({left:e.clientX,top:e.clientY});if(t){var n=t.pos;this.editorView.dragging&&this.editorView.dragging.slice&&null==(n=Object(a.h)(this.editorView.state.doc,n,this.editorView.dragging.slice))&&(n=t.pos),this.setCursor(n),this.scheduleRemoval(5e3)}}},s.prototype.dragend=function(){this.scheduleRemoval(20)},s.prototype.drop=function(){this.scheduleRemoval(20)},s.prototype.dragleave=function(e){e.target!=this.editorView.dom&&this.editorView.dom.contains(e.relatedTarget)||this.setCursor(null)};var u=n(222),c=function(e){function t(t){e.call(this,t,t)}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.map=function(n,r){var o=n.resolve(r.map(this.head));return t.valid(o)?new t(o):e.near(o)},t.prototype.content=function(){return i.Slice.empty},t.prototype.eq=function(e){return e instanceof t&&e.head==this.head},t.prototype.toJSON=function(){return{type:"gapcursor",pos:this.head}},t.fromJSON=function(e,n){if("number"!=typeof n.pos)throw new RangeError("Invalid input for GapCursor.fromJSON");return new t(e.resolve(n.pos))},t.prototype.getBookmark=function(){return new l(this.anchor)},t.valid=function(e){var t=e.parent;if(t.isTextblock||!function(e){for(var t=e.depth;t>=0;t--){var n=e.index(t);if(0!=n)for(var r=e.node(t).child(n-1);;r=r.lastChild){if(0==r.childCount&&!r.inlineContent||r.isAtom||r.type.spec.isolating)return!0;if(r.inlineContent)return!1}}return!0}(e)||!function(e){for(var t=e.depth;t>=0;t--){var n=e.indexAfter(t),r=e.node(t);if(n!=r.childCount)for(var o=r.child(n);;o=o.firstChild){if(0==o.childCount&&!o.inlineContent||o.isAtom||o.type.spec.isolating)return!0;if(o.inlineContent)return!1}}return!0}(e))return!1;var n=t.type.spec.allowGapCursor;if(null!=n)return n;var r=t.contentMatchAt(e.index()).defaultType;return r&&r.isTextblock},t.findFrom=function(e,n,o){e:for(;;){if(!o&&t.valid(e))return e;for(var i=e.pos,a=null,s=e.depth;;s--){var u=e.node(s);if(n>0?e.indexAfter(s)<u.childCount:e.index(s)>0){a=u.child(n>0?e.indexAfter(s):e.index(s)-1);break}if(0==s)return null;i+=n;var c=e.doc.resolve(i);if(t.valid(c))return c}for(;;){var l=n>0?a.firstChild:a.lastChild;if(!l){if(a.isAtom&&!a.isText&&!r.NodeSelection.isSelectable(a)){e=e.doc.resolve(i+a.nodeSize*n),o=!1;continue e}break}a=l,i+=n;var d=e.doc.resolve(i);if(t.valid(d))return d}return null}},t}(r.Selection);c.prototype.visible=!1,r.Selection.jsonID("gapcursor",c);var l=function(e){this.pos=e};l.prototype.map=function(e){return new l(e.map(this.pos))},l.prototype.resolve=function(e){var t=e.resolve(this.pos);return c.valid(t)?new c(t):r.Selection.near(t)};var d=Object(u.a)({ArrowLeft:h("horiz",-1),ArrowRight:h("horiz",1),ArrowUp:h("vert",-1),ArrowDown:h("vert",1)});function h(e,t){var n="vert"==e?t>0?"down":"up":t>0?"right":"left";return function(e,o,i){var a=e.selection,s=t>0?a.$to:a.$from,u=a.empty;if(a instanceof r.TextSelection){if(!i.endOfTextblock(n)||0==s.depth)return!1;u=!1,s=e.doc.resolve(t>0?s.after():s.before())}var l=c.findFrom(s,t,u);return!!l&&(o&&o(e.tr.setSelection(new c(l))),!0)}}function f(e,t,n){if(!e.editable)return!1;var o=e.state.doc.resolve(t);if(!c.valid(o))return!1;var i=e.posAtCoords({left:n.clientX,top:n.clientY}).inside;return!(i>-1&&r.NodeSelection.isSelectable(e.state.doc.nodeAt(i)))&&(e.dispatch(e.state.tr.setSelection(new c(o))),!0)}function p(e){if(!(e.selection instanceof c))return null;var t=document.createElement("div");return t.className="ProseMirror-gapcursor",o.b.create(e.doc,[o.a.widget(e.selection.head,t,{key:"gapcursor"})])}var m=n(180),_=n(185),g=n(191),y=n(45),v=n(196);
/*!
- * tiptap v1.27.0
+ * tiptap v1.29.0
* (c) 2020 Scrumpy UG (limited liability)
* @license MIT
*/
-function M(e){return(M="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e})(e)}function k(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function b(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function w(e,t,n){return t&&b(e.prototype,t),n&&b(e,n),e}function L(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function T(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function D(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?T(Object(n),!0).forEach((function(t){L(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):T(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function x(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&Y(e,t)}function S(e){return(S=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)})(e)}function Y(e,t){return(Y=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e})(e,t)}function O(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}function C(e,t){return!t||"object"!=typeof t&&"function"!=typeof t?function(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}(e):t}function E(e){return function(){var t,n=S(e);if(O()){var r=S(this).constructor;t=Reflect.construct(n,arguments,r)}else t=n.apply(this,arguments);return C(this,t)}}function A(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){if("undefined"==typeof Symbol||!(Symbol.iterator in Object(e)))return;var n=[],r=!0,o=!1,i=void 0;try{for(var a,s=e[Symbol.iterator]();!(r=(a=s.next()).done)&&(n.push(a.value),!t||n.length!==t);r=!0);}catch(e){o=!0,i=e}finally{try{r||null==s.return||s.return()}finally{if(o)throw i}}return n}(e,t)||H(e,t)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function j(e){return function(e){if(Array.isArray(e))return P(e)}(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||H(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function H(e,t){if(e){if("string"==typeof e)return P(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(n):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?P(e,t):void 0}}function P(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}function N(e){return e.replace(/(?:^\w|[A-Z]|\b\w)/g,(function(e,t){return 0===t?e.toLowerCase():e.toUpperCase()})).replace(/\s+/g,"")}var R=function(){function e(t,n){var r=n.editor,o=n.extension,i=n.parent,a=n.node,s=n.view,u=n.decorations,c=n.getPos;k(this,e),this.component=t,this.editor=r,this.extension=o,this.parent=i,this.node=a,this.view=s,this.decorations=u,this.isNode=!!this.node.marks,this.isMark=!this.isNode,this.getPos=this.isMark?this.getMarkPos:c,this.captureEvents=!0,this.dom=this.createDOM(),this.contentDOM=this.vm.$refs.content}return w(e,[{key:"createDOM",value:function(){var e=this,t=y.default.extend(this.component),n={editor:this.editor,node:this.node,view:this.view,getPos:function(){return e.getPos()},decorations:this.decorations,selected:!1,options:this.extension.options,updateAttrs:function(t){return e.updateAttrs(t)}};return"function"==typeof this.extension.setSelection&&(this.setSelection=this.extension.setSelection),"function"==typeof this.extension.update&&(this.update=this.extension.update),this.vm=new t({parent:this.parent,propsData:n}).$mount(),this.vm.$el}},{key:"update",value:function(e,t){return e.type===this.node.type&&(e===this.node&&this.decorations===t||(this.node=e,this.decorations=t,this.updateComponentProps({node:e,decorations:t})),!0)}},{key:"updateComponentProps",value:function(e){var t=this;if(this.vm._props){var n=y.default.config.silent;y.default.config.silent=!0,Object.entries(e).forEach((function(e){var n=A(e,2),r=n[0],o=n[1];t.vm._props[r]=o})),y.default.config.silent=n}}},{key:"updateAttrs",value:function(e){if(this.view.editable){var t=this.view.state,n=this.node.type,r=this.getPos(),o=D({},this.node.attrs,{},e),i=this.isMark?t.tr.removeMark(r.from,r.to,n).addMark(r.from,r.to,n.create(o)):t.tr.setNodeMarkup(r,null,o);this.view.dispatch(i)}}},{key:"ignoreMutation",value:function(e){return"selection"!==e.type&&(!this.contentDOM||!this.contentDOM.contains(e.target))}},{key:"stopEvent",value:function(e){var t=this;if("function"==typeof this.extension.stopEvent)return this.extension.stopEvent(e);var n=!!this.extension.schema.draggable;if(n&&"mousedown"===e.type){var r=e.target.closest&&e.target.closest("[data-drag-handle]");r&&(this.dom===r||this.dom.contains(r))&&(this.captureEvents=!1,document.addEventListener("dragend",(function(){t.captureEvents=!0}),{once:!0}))}var o="copy"===e.type,i="paste"===e.type,a="cut"===e.type,s=e.type.startsWith("drag")||"drop"===e.type;return!(n&&s||o||i||a)&&this.captureEvents}},{key:"selectNode",value:function(){this.updateComponentProps({selected:!0})}},{key:"deselectNode",value:function(){this.updateComponentProps({selected:!1})}},{key:"getMarkPos",value:function(){var e=this.view.posAtDOM(this.dom),t=this.view.state.doc.resolve(e);return Object(g.b)(t,this.node.type)}},{key:"destroy",value:function(){this.vm.$destroy()}}]),e}(),F=function(){function e(){k(this,e)}return w(e,[{key:"on",value:function(e,t){return this._callbacks=this._callbacks||{},this._callbacks[e]||(this._callbacks[e]=[]),this._callbacks[e].push(t),this}},{key:"emit",value:function(e){for(var t=this,n=arguments.length,r=new Array(n>1?n-1:0),o=1;o<n;o++)r[o-1]=arguments[o];this._callbacks=this._callbacks||{};var i=this._callbacks[e];return i&&i.forEach((function(e){return e.apply(t,r)})),this}},{key:"off",value:function(e,t){if(arguments.length){var n=this._callbacks?this._callbacks[e]:null;n&&(t?this._callbacks[e]=n.filter((function(e){return e!==t})):delete this._callbacks[e])}else this._callbacks={};return this}}]),e}(),I=function(){function e(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};k(this,e),this.options=D({},this.defaultOptions,{},t)}return w(e,[{key:"init",value:function(){return null}},{key:"bindEditor",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;this.editor=e}},{key:"inputRules",value:function(){return[]}},{key:"pasteRules",value:function(){return[]}},{key:"keys",value:function(){return{}}},{key:"name",get:function(){return null}},{key:"type",get:function(){return"extension"}},{key:"defaultOptions",get:function(){return{}}},{key:"plugins",get:function(){return[]}}]),e}(),z=function(){function e(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:[],n=arguments.length>1?arguments[1]:void 0;k(this,e),t.forEach((function(e){e.bindEditor(n),e.init()})),this.extensions=t}return w(e,[{key:"keymaps",value:function(e){var t=e.schema,n=this.extensions.filter((function(e){return["extension"].includes(e.type)})).filter((function(e){return e.keys})).map((function(e){return e.keys({schema:t})})),r=this.extensions.filter((function(e){return["node","mark"].includes(e.type)})).filter((function(e){return e.keys})).map((function(e){return e.keys({type:t["".concat(e.type,"s")][e.name],schema:t})}));return[].concat(j(n),j(r)).map((function(e){return Object(u.b)(e)}))}},{key:"inputRules",value:function(e){var t=e.schema,n=e.excludedExtensions;if(!(n instanceof Array)&&n)return[];var r=n instanceof Array?this.extensions.filter((function(e){return!n.includes(e.name)})):this.extensions,o=r.filter((function(e){return["extension"].includes(e.type)})).filter((function(e){return e.inputRules})).map((function(e){return e.inputRules({schema:t})})),i=r.filter((function(e){return["node","mark"].includes(e.type)})).filter((function(e){return e.inputRules})).map((function(e){return e.inputRules({type:t["".concat(e.type,"s")][e.name],schema:t})}));return[].concat(j(o),j(i)).reduce((function(e,t){return[].concat(j(e),j(t))}),[])}},{key:"pasteRules",value:function(e){var t=e.schema,n=e.excludedExtensions;if(!(n instanceof Array)&&n)return[];var r=n instanceof Array?this.extensions.filter((function(e){return!n.includes(e.name)})):this.extensions,o=r.filter((function(e){return["extension"].includes(e.type)})).filter((function(e){return e.pasteRules})).map((function(e){return e.pasteRules({schema:t})})),i=r.filter((function(e){return["node","mark"].includes(e.type)})).filter((function(e){return e.pasteRules})).map((function(e){return e.pasteRules({type:t["".concat(e.type,"s")][e.name],schema:t})}));return[].concat(j(o),j(i)).reduce((function(e,t){return[].concat(j(e),j(t))}),[])}},{key:"commands",value:function(e){var t=e.schema,n=e.view;return this.extensions.filter((function(e){return e.commands})).reduce((function(e,r){var o=r.name,i=r.type,a={},s=r.commands(D({schema:t},["node","mark"].includes(i)?{type:t["".concat(i,"s")][o]}:{})),u=function(e,t){return!!n.editable&&(n.focus(),e(t)(n.state,n.dispatch,n))},c=function(e,t){Array.isArray(t)?a[e]=function(e){return t.forEach((function(t){return u(t,e)}))}:"function"==typeof t&&(a[e]=function(e){return u(t,e)})};return"object"===M(s)?Object.entries(s).forEach((function(e){var t=A(e,2),n=t[0],r=t[1];c(n,r)})):c(o,s),D({},e,{},a)}),{})}},{key:"nodes",get:function(){return this.extensions.filter((function(e){return"node"===e.type})).reduce((function(e,t){return D({},e,L({},t.name,t.schema))}),{})}},{key:"options",get:function(){var e=this.view;return this.extensions.reduce((function(t,n){return D({},t,L({},n.name,new Proxy(n.options,{set:function(t,n,r){var o=t[n]!==r;return Object.assign(t,L({},n,r)),o&&e.updateState(e.state),!0}})))}),{})}},{key:"marks",get:function(){return this.extensions.filter((function(e){return"mark"===e.type})).reduce((function(e,t){return D({},e,L({},t.name,t.schema))}),{})}},{key:"plugins",get:function(){return this.extensions.filter((function(e){return e.plugins})).reduce((function(e,t){var n=t.plugins;return[].concat(j(e),j(n))}),[])}}]),e}();function W(e){var t=document.createElement("style");t.type="text/css",t.textContent=e;var n=document.head,r=n.firstChild;r?n.insertBefore(t,r):n.appendChild(t)}var $=function(e){x(n,e);var t=E(n);function n(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return k(this,n),t.call(this,e)}return w(n,[{key:"command",value:function(){return function(){}}},{key:"type",get:function(){return"mark"}},{key:"view",get:function(){return null}},{key:"schema",get:function(){return null}}]),n}(I);function B(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:0,t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:0,n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:0;return Math.min(Math.max(parseInt(e,10),t),n)}var q=function(e){x(n,e);var t=E(n);function n(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return k(this,n),t.call(this,e)}return w(n,[{key:"command",value:function(){return function(){}}},{key:"type",get:function(){return"node"}},{key:"view",get:function(){return null}},{key:"schema",get:function(){return null}}]),n}(I),U=function(e){x(n,e);var t=E(n);function n(){return k(this,n),t.apply(this,arguments)}return w(n,[{key:"name",get:function(){return"doc"}},{key:"schema",get:function(){return{content:"block+"}}}]),n}(q),V=function(e){x(n,e);var t=E(n);function n(){return k(this,n),t.apply(this,arguments)}return w(n,[{key:"commands",value:function(e){var t=e.type;return function(){return Object(v.k)(t)}}},{key:"name",get:function(){return"paragraph"}},{key:"schema",get:function(){return{content:"inline*",group:"block",draggable:!1,parseDOM:[{tag:"p"}],toDOM:function(){return["p",0]}}}}]),n}(q),J=function(e){x(n,e);var t=E(n);function n(){return k(this,n),t.apply(this,arguments)}return w(n,[{key:"name",get:function(){return"text"}},{key:"schema",get:function(){return{group:"inline"}}}]),n}(q),G='.ProseMirror {\n position: relative;\n}\n\n.ProseMirror {\n word-wrap: break-word;\n white-space: pre-wrap;\n -webkit-font-variant-ligatures: none;\n font-variant-ligatures: none;\n}\n\n.ProseMirror pre {\n white-space: pre-wrap;\n}\n\n.ProseMirror-gapcursor {\n display: none;\n pointer-events: none;\n position: absolute;\n}\n\n.ProseMirror-gapcursor:after {\n content: "";\n display: block;\n position: absolute;\n top: -2px;\n width: 20px;\n border-top: 1px solid black;\n animation: ProseMirror-cursor-blink 1.1s steps(2, start) infinite;\n}\n\n@keyframes ProseMirror-cursor-blink {\n to {\n visibility: hidden;\n }\n}\n\n.ProseMirror-hideselection *::selection {\n background: transparent;\n}\n\n.ProseMirror-hideselection *::-moz-selection {\n background: transparent;\n}\n\n.ProseMirror-hideselection * {\n caret-color: transparent;\n}\n\n.ProseMirror-focused .ProseMirror-gapcursor {\n display: block;\n}\n',K=function(e){x(n,e);var t=E(n);function n(){var e,r=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return k(this,n),(e=t.call(this)).defaultOptions={editorProps:{},editable:!0,autoFocus:null,extensions:[],content:"",topNode:"doc",emptyDocument:{type:"doc",content:[{type:"paragraph"}]},useBuiltInExtensions:!0,disableInputRules:!1,disablePasteRules:!1,dropCursor:{},parseOptions:{},injectCSS:!0,onInit:function(){},onTransaction:function(){},onUpdate:function(){},onFocus:function(){},onBlur:function(){},onPaste:function(){},onDrop:function(){}},e.events=["init","transaction","update","focus","blur","paste","drop"],e.init(r),e}return w(n,[{key:"init",value:function(){var e=this,t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};this.setOptions(D({},this.defaultOptions,{},t)),this.focused=!1,this.selection={from:0,to:0},this.element=document.createElement("div"),this.extensions=this.createExtensions(),this.nodes=this.createNodes(),this.marks=this.createMarks(),this.schema=this.createSchema(),this.plugins=this.createPlugins(),this.keymaps=this.createKeymaps(),this.inputRules=this.createInputRules(),this.pasteRules=this.createPasteRules(),this.view=this.createView(),this.commands=this.createCommands(),this.setActiveNodesAndMarks(),this.options.injectCSS&&W(G),null!==this.options.autoFocus&&this.focus(this.options.autoFocus),this.events.forEach((function(t){e.on(t,e.options[N("on ".concat(t))]||function(){})})),this.emit("init",{view:this.view,state:this.state}),this.extensions.view=this.view}},{key:"setOptions",value:function(e){this.options=D({},this.options,{},e),this.view&&this.state&&this.view.updateState(this.state)}},{key:"createExtensions",value:function(){return new z([].concat(j(this.builtInExtensions),j(this.options.extensions)),this)}},{key:"createPlugins",value:function(){return this.extensions.plugins}},{key:"createKeymaps",value:function(){return this.extensions.keymaps({schema:this.schema})}},{key:"createInputRules",value:function(){return this.extensions.inputRules({schema:this.schema,excludedExtensions:this.options.disableInputRules})}},{key:"createPasteRules",value:function(){return this.extensions.pasteRules({schema:this.schema,excludedExtensions:this.options.disablePasteRules})}},{key:"createCommands",value:function(){return this.extensions.commands({schema:this.schema,view:this.view})}},{key:"createNodes",value:function(){return this.extensions.nodes}},{key:"createMarks",value:function(){return this.extensions.marks}},{key:"createSchema",value:function(){return new i.Schema({topNode:this.options.topNode,nodes:this.nodes,marks:this.marks})}},{key:"createState",value:function(){var e,t=this;return r.EditorState.create({schema:this.schema,doc:this.createDocument(this.options.content),plugins:[].concat(j(this.plugins),[Object(_.b)({rules:this.inputRules})],j(this.pasteRules),j(this.keymaps),[Object(u.b)({Backspace:_.d}),Object(u.b)(m.a),(e=this.options.dropCursor,void 0===e&&(e={}),new r.Plugin({view:function(t){return new s(t,e)}})),new r.Plugin({props:{decorations:p,createSelectionBetween:function(e,t,n){if(t.pos==n.pos&&c.valid(n))return new c(n)},handleClick:f,handleKeyDown:d}}),new r.Plugin({key:new r.PluginKey("editable"),props:{editable:function(){return t.options.editable}}}),new r.Plugin({props:{attributes:{tabindex:0},handleDOMEvents:{focus:function(e,n){t.focused=!0,t.emit("focus",{event:n,state:e.state,view:e});var r=t.state.tr.setMeta("focused",!0);t.view.dispatch(r)},blur:function(e,n){t.focused=!1,t.emit("blur",{event:n,state:e.state,view:e});var r=t.state.tr.setMeta("focused",!1);t.view.dispatch(r)}}}}),new r.Plugin({props:this.options.editorProps})])})}},{key:"createDocument",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:this.options.parseOptions;if(null===e)return this.schema.nodeFromJSON(this.options.emptyDocument);if("object"===M(e))try{return this.schema.nodeFromJSON(e)}catch(t){return console.warn("[tiptap warn]: Invalid content.","Passed value:",e,"Error:",t),this.schema.nodeFromJSON(this.options.emptyDocument)}if("string"==typeof e){var n=document.createElement("div");return n.innerHTML=e.trim(),i.DOMParser.fromSchema(this.schema).parse(n,t)}return!1}},{key:"createView",value:function(){var e=this;return new o.c(this.element,{state:this.createState(),handlePaste:function(){for(var t=arguments.length,n=new Array(t),r=0;r<t;r++)n[r]=arguments[r];e.emit.apply(e,["paste"].concat(n))},handleDrop:function(){for(var t=arguments.length,n=new Array(t),r=0;r<t;r++)n[r]=arguments[r];e.emit.apply(e,["drop"].concat(n))},dispatchTransaction:this.dispatchTransaction.bind(this)})}},{key:"setParentComponent",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;e&&this.view.setProps({nodeViews:this.initNodeViews({parent:e,extensions:[].concat(j(this.builtInExtensions),j(this.options.extensions))})})}},{key:"initNodeViews",value:function(e){var t=this,n=e.parent;return e.extensions.filter((function(e){return["node","mark"].includes(e.type)})).filter((function(e){return e.view})).reduce((function(e,r){return D({},e,L({},r.name,(function(e,o,i,a){var s=r.view;return new R(s,{editor:t,extension:r,parent:n,node:e,view:o,getPos:i,decorations:a})})))}),{})}},{key:"dispatchTransaction",value:function(e){var t=this.state.apply(e);this.view.updateState(t),this.selection={from:this.state.selection.from,to:this.state.selection.to},this.setActiveNodesAndMarks(),this.emit("transaction",{getHTML:this.getHTML.bind(this),getJSON:this.getJSON.bind(this),state:this.state,transaction:e}),e.docChanged&&!e.getMeta("preventUpdate")&&this.emitUpdate(e)}},{key:"emitUpdate",value:function(e){this.emit("update",{getHTML:this.getHTML.bind(this),getJSON:this.getJSON.bind(this),state:this.state,transaction:e})}},{key:"resolveSelection",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;if(this.selection&&null===e)return this.selection;if("start"===e||!0===e)return{from:0,to:0};if("end"===e){var t=this.state.doc;return{from:t.content.size,to:t.content.size}}return{from:e,to:e}}},{key:"focus",value:function(){var e=this,t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;if(!(this.view.focused&&null===t||!1===t)){var n=this.resolveSelection(t),r=n.from,o=n.to;this.setSelection(r,o),setTimeout((function(){return e.view.focus()}),10)}}},{key:"setSelection",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:0,t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:0,n=this.state,o=n.doc,i=n.tr,a=B(e,0,o.content.size),s=B(t,0,o.content.size),u=r.TextSelection.create(o,a,s),c=i.setSelection(u);this.view.dispatch(c)}},{key:"blur",value:function(){this.view.dom.blur()}},{key:"getSchemaJSON",value:function(){return JSON.parse(JSON.stringify({nodes:this.extensions.nodes,marks:this.extensions.marks}))}},{key:"getHTML",value:function(){var e=document.createElement("div"),t=i.DOMSerializer.fromSchema(this.schema).serializeFragment(this.state.doc.content);return e.appendChild(t),e.innerHTML}},{key:"getJSON",value:function(){return this.state.doc.toJSON()}},{key:"setContent",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},t=arguments.length>1&&void 0!==arguments[1]&&arguments[1],n=arguments.length>2?arguments[2]:void 0,o=this.state,i=o.doc,a=o.tr,s=this.createDocument(e,n),u=r.TextSelection.create(i,0,i.content.size),c=a.setSelection(u).replaceSelectionWith(s,!1).setMeta("preventUpdate",!t);this.view.dispatch(c)}},{key:"clearContent",value:function(){var e=arguments.length>0&&void 0!==arguments[0]&&arguments[0];this.setContent(this.options.emptyDocument,e)}},{key:"setActiveNodesAndMarks",value:function(){var e=this;this.activeMarks=Object.entries(this.schema.marks).reduce((function(t,n){var r=A(n,2),o=r[0],i=r[1];return D({},t,L({},o,(function(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return Object(g.d)(e.state,i,t)})))}),{}),this.activeMarkAttrs=Object.entries(this.schema.marks).reduce((function(t,n){var r=A(n,2),o=r[0],i=r[1];return D({},t,L({},o,Object(g.a)(e.state,i)))}),{}),this.activeNodes=Object.entries(this.schema.nodes).reduce((function(t,n){var r=A(n,2),o=r[0],i=r[1];return D({},t,L({},o,(function(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return Object(g.f)(e.state,i,t)})))}),{})}},{key:"getMarkAttrs",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;return this.activeMarkAttrs[e]}},{key:"getNodeAttrs",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;return D({},Object(g.c)(this.state,this.schema.nodes[e]))}},{key:"registerPlugin",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null,t=arguments.length>1?arguments[1]:void 0,n="function"==typeof t?t(e,this.state.plugins):[e].concat(j(this.state.plugins)),r=this.state.reconfigure({plugins:n});this.view.updateState(r)}},{key:"unregisterPlugin",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;if(e&&this.view.docView){var t=this.state.reconfigure({plugins:this.state.plugins.filter((function(t){return!t.key.startsWith("".concat(e,"$"))}))});this.view.updateState(t)}}},{key:"destroy",value:function(){this.view&&this.view.destroy()}},{key:"builtInExtensions",get:function(){return this.options.useBuiltInExtensions?[new U,new J,new V]:[]}},{key:"state",get:function(){return this.view?this.view.state:null}},{key:"isActive",get:function(){return Object.entries(D({},this.activeMarks,{},this.activeNodes)).reduce((function(e,t){var n=A(t,2),r=n[0],o=n[1];return D({},e,L({},r,(function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return o(e)})))}),{})}}]),n}(F),Z={props:{editor:{default:null,type:Object}},watch:{editor:{immediate:!0,handler:function(e){var t=this;e&&e.element&&this.$nextTick((function(){t.$el.appendChild(e.element.firstChild),e.setParentComponent(t)}))}}},render:function(e){return e("div")},beforeDestroy:function(){this.editor.element=this.$el}},X=function(){function e(t){var n=this,r=t.options;k(this,e),this.options=r,this.preventHide=!1,this.mousedownHandler=this.handleClick.bind(this),this.options.element.addEventListener("mousedown",this.mousedownHandler,{capture:!0}),this.blurHandler=function(){n.preventHide?n.preventHide=!1:n.options.editor.emit("menubar:focusUpdate",!1)},this.options.editor.on("blur",this.blurHandler)}return w(e,[{key:"handleClick",value:function(){this.preventHide=!0}},{key:"destroy",value:function(){this.options.element.removeEventListener("mousedown",this.mousedownHandler),this.options.editor.off("blur",this.blurHandler)}}]),e}();var Q={props:{editor:{default:null,type:Object}},data:function(){return{focused:!1}},watch:{editor:{immediate:!0,handler:function(e){var t=this;e&&this.$nextTick((function(){var n;e.registerPlugin((n={editor:e,element:t.$el},new r.Plugin({key:new r.PluginKey("menu_bar"),view:function(e){return new X({editorView:e,options:n})}}))),t.focused=e.focused,e.on("focus",(function(){t.focused=!0})),e.on("menubar:focusUpdate",(function(e){t.focused=e}))}))}}},render:function(){return this.editor?this.$scopedSlots.default({focused:this.focused,focus:this.editor.focus,commands:this.editor.commands,isActive:this.editor.isActive,getMarkAttrs:this.editor.getMarkAttrs.bind(this.editor),getNodeAttrs:this.editor.getNodeAttrs.bind(this.editor)}):null}};function ee(e,t,n){var r=document.createRange();return r.setEnd(e,null==n?e.nodeValue.length:n),r.setStart(e,t||0),r}function te(e,t){var n=e.getClientRects();return n.length?n[t<0?0:n.length-1]:e.getBoundingClientRect()}function ne(e,t){var n,r,o=arguments.length>2&&void 0!==arguments[2]&&arguments[2],i=e.docView.domFromPos(t),a=i.node,s=i.offset;if(3===a.nodeType)o&&s<a.nodeValue.length?(r=te(ee(a,s-1,s),-1),n="right"):s<a.nodeValue.length&&(r=te(ee(a,s,s+1),-1),n="left");else if(a.firstChild){if(s<a.childNodes.length){var u=a.childNodes[s];r=te(3===u.nodeType?ee(u):u,-1),n="left"}if((!r||r.top===r.bottom)&&s){var c=a.childNodes[s-1];r=te(3===c.nodeType?ee(c):c,1),n="right"}}else r=a.getBoundingClientRect(),n="left";var l=r[n];return{top:r.top,bottom:r.bottom,left:l,right:l}}var re=function(){function e(t){var n=this,r=t.options,o=t.editorView;k(this,e),this.options=D({},{element:null,keepInBounds:!0,onUpdate:function(){return!1}},{},r),this.editorView=o,this.isActive=!1,this.left=0,this.bottom=0,this.top=0,this.preventHide=!1,this.mousedownHandler=this.handleClick.bind(this),this.options.element.addEventListener("mousedown",this.mousedownHandler,{capture:!0}),this.focusHandler=function(e){var t=e.view;n.update(t)},this.options.editor.on("focus",this.focusHandler),this.blurHandler=function(e){var t=e.event;n.preventHide?n.preventHide=!1:n.hide(t)},this.options.editor.on("blur",this.blurHandler)}return w(e,[{key:"handleClick",value:function(){this.preventHide=!0}},{key:"update",value:function(e,t){var n=e.state;if(!(e.composing||t&&t.doc.eq(n.doc)&&t.selection.eq(n.selection)))if(n.selection.empty)this.hide();else{var r=n.selection,o=r.from,i=r.to,a=ne(e,o),s=ne(e,i,!0),u=this.options.element.offsetParent;if(u){var c=u.getBoundingClientRect(),l=this.options.element.getBoundingClientRect(),d=(a.left+s.left)/2-c.left;this.left=Math.round(this.options.keepInBounds?Math.min(c.width-l.width/2,Math.max(d,l.width/2)):d),this.bottom=Math.round(c.bottom-a.top),this.top=Math.round(s.bottom-c.top),this.isActive=!0,this.sendUpdate()}else this.hide()}}},{key:"sendUpdate",value:function(){this.options.onUpdate({isActive:this.isActive,left:this.left,bottom:this.bottom,top:this.top})}},{key:"hide",value:function(e){e&&e.relatedTarget&&this.options.element.parentNode&&this.options.element.parentNode.contains(e.relatedTarget)||(this.isActive=!1,this.sendUpdate())}},{key:"destroy",value:function(){this.options.element.removeEventListener("mousedown",this.mousedownHandler),this.options.editor.off("focus",this.focusHandler),this.options.editor.off("blur",this.blurHandler)}}]),e}();var oe={props:{editor:{default:null,type:Object},keepInBounds:{default:!0,type:Boolean}},data:function(){return{menu:{isActive:!1,left:0,bottom:0}}},watch:{editor:{immediate:!0,handler:function(e){var t=this;e&&this.$nextTick((function(){var n;e.registerPlugin((n={editor:e,element:t.$el,keepInBounds:t.keepInBounds,onUpdate:function(e){e.isActive&&!1===t.menu.isActive?t.$emit("show",e):e.isActive||!0!==t.menu.isActive||t.$emit("hide",e),t.menu=e}},new r.Plugin({key:new r.PluginKey("menu_bubble"),view:function(e){return new re({editorView:e,options:n})}})))}))}}},render:function(){return this.editor?this.$scopedSlots.default({focused:this.editor.view.focused,focus:this.editor.focus,commands:this.editor.commands,isActive:this.editor.isActive,getMarkAttrs:this.editor.getMarkAttrs.bind(this.editor),getNodeAttrs:this.editor.getNodeAttrs.bind(this.editor),menu:this.menu}):null},beforeDestroy:function(){this.editor.unregisterPlugin("menu_bubble")}}},function(e,t,n){"use strict";n.d(t,"a",(function(){return u})),n.d(t,"b",(function(){return g})),n.d(t,"c",(function(){return _})),n.d(t,"d",(function(){return p})),n.d(t,"e",(function(){return l})),n.d(t,"f",(function(){return L})),n.d(t,"g",(function(){return w})),n.d(t,"h",(function(){return x})),n.d(t,"i",(function(){return k})),n.d(t,"j",(function(){return D})),n.d(t,"k",(function(){return M}));var r=n(182),o=Math.pow(2,16);function i(e){return 65535&e}var a=function(e,t,n){void 0===t&&(t=!1),void 0===n&&(n=null),this.pos=e,this.deleted=t,this.recover=n},s=function(e,t){void 0===t&&(t=!1),this.ranges=e,this.inverted=t};s.prototype.recover=function(e){var t=0,n=i(e);if(!this.inverted)for(var r=0;r<n;r++)t+=this.ranges[3*r+2]-this.ranges[3*r+1];return this.ranges[3*n]+t+function(e){return(e-(65535&e))/o}(e)},s.prototype.mapResult=function(e,t){return void 0===t&&(t=1),this._map(e,t,!1)},s.prototype.map=function(e,t){return void 0===t&&(t=1),this._map(e,t,!0)},s.prototype._map=function(e,t,n){for(var r=0,i=this.inverted?2:1,s=this.inverted?1:2,u=0;u<this.ranges.length;u+=3){var c=this.ranges[u]-(this.inverted?r:0);if(c>e)break;var l=this.ranges[u+i],d=this.ranges[u+s],h=c+l;if(e<=h){var f=c+r+((l?e==c?-1:e==h?1:t:t)<0?0:d);if(n)return f;var p=e==(t<0?c:h)?null:u/3+(e-c)*o;return new a(f,t<0?e!=c:e!=h,p)}r+=d-l}return n?e+r:new a(e+r)},s.prototype.touches=function(e,t){for(var n=0,r=i(t),o=this.inverted?2:1,a=this.inverted?1:2,s=0;s<this.ranges.length;s+=3){var u=this.ranges[s]-(this.inverted?n:0);if(u>e)break;var c=this.ranges[s+o];if(e<=u+c&&s==3*r)return!0;n+=this.ranges[s+a]-c}return!1},s.prototype.forEach=function(e){for(var t=this.inverted?2:1,n=this.inverted?1:2,r=0,o=0;r<this.ranges.length;r+=3){var i=this.ranges[r],a=i-(this.inverted?o:0),s=i+(this.inverted?0:o),u=this.ranges[r+t],c=this.ranges[r+n];e(a,a+u,s,s+c),o+=c-u}},s.prototype.invert=function(){return new s(this.ranges,!this.inverted)},s.prototype.toString=function(){return(this.inverted?"-":"")+JSON.stringify(this.ranges)},s.offset=function(e){return 0==e?s.empty:new s(e<0?[0,-e,0]:[0,0,e])},s.empty=new s([]);var u=function(e,t,n,r){this.maps=e||[],this.from=n||0,this.to=null==r?this.maps.length:r,this.mirror=t};function c(e){var t=Error.call(this,e);return t.__proto__=c.prototype,t}u.prototype.slice=function(e,t){return void 0===e&&(e=0),void 0===t&&(t=this.maps.length),new u(this.maps,this.mirror,e,t)},u.prototype.copy=function(){return new u(this.maps.slice(),this.mirror&&this.mirror.slice(),this.from,this.to)},u.prototype.appendMap=function(e,t){this.to=this.maps.push(e),null!=t&&this.setMirror(this.maps.length-1,t)},u.prototype.appendMapping=function(e){for(var t=0,n=this.maps.length;t<e.maps.length;t++){var r=e.getMirror(t);this.appendMap(e.maps[t],null!=r&&r<t?n+r:null)}},u.prototype.getMirror=function(e){if(this.mirror)for(var t=0;t<this.mirror.length;t++)if(this.mirror[t]==e)return this.mirror[t+(t%2?-1:1)]},u.prototype.setMirror=function(e,t){this.mirror||(this.mirror=[]),this.mirror.push(e,t)},u.prototype.appendMappingInverted=function(e){for(var t=e.maps.length-1,n=this.maps.length+e.maps.length;t>=0;t--){var r=e.getMirror(t);this.appendMap(e.maps[t].invert(),null!=r&&r>t?n-r-1:null)}},u.prototype.invert=function(){var e=new u;return e.appendMappingInverted(this),e},u.prototype.map=function(e,t){if(void 0===t&&(t=1),this.mirror)return this._map(e,t,!0);for(var n=this.from;n<this.to;n++)e=this.maps[n].map(e,t);return e},u.prototype.mapResult=function(e,t){return void 0===t&&(t=1),this._map(e,t,!1)},u.prototype._map=function(e,t,n){for(var r=!1,o=this.from;o<this.to;o++){var i=this.maps[o].mapResult(e,t);if(null!=i.recover){var s=this.getMirror(o);if(null!=s&&s>o&&s<this.to){o=s,e=this.maps[s].recover(i.recover);continue}}i.deleted&&(r=!0),e=i.pos}return n?e:new a(e,r)},c.prototype=Object.create(Error.prototype),c.prototype.constructor=c,c.prototype.name="TransformError";var l=function(e){this.doc=e,this.steps=[],this.docs=[],this.mapping=new u},d={before:{configurable:!0},docChanged:{configurable:!0}};function h(){throw new Error("Override me")}d.before.get=function(){return this.docs.length?this.docs[0]:this.doc},l.prototype.step=function(e){var t=this.maybeStep(e);if(t.failed)throw new c(t.failed);return this},l.prototype.maybeStep=function(e){var t=e.apply(this.doc);return t.failed||this.addStep(e,t.doc),t},d.docChanged.get=function(){return this.steps.length>0},l.prototype.addStep=function(e,t){this.docs.push(this.doc),this.steps.push(e),this.mapping.appendMap(e.getMap()),this.doc=t},Object.defineProperties(l.prototype,d);var f=Object.create(null),p=function(){};p.prototype.apply=function(e){return h()},p.prototype.getMap=function(){return s.empty},p.prototype.invert=function(e){return h()},p.prototype.map=function(e){return h()},p.prototype.merge=function(e){return null},p.prototype.toJSON=function(){return h()},p.fromJSON=function(e,t){if(!t||!t.stepType)throw new RangeError("Invalid input for Step.fromJSON");var n=f[t.stepType];if(!n)throw new RangeError("No step type "+t.stepType+" defined");return n.fromJSON(e,t)},p.jsonID=function(e,t){if(e in f)throw new RangeError("Duplicate use of step JSON ID "+e);return f[e]=t,t.prototype.jsonID=e,t};var m=function(e,t){this.doc=e,this.failed=t};m.ok=function(e){return new m(e,null)},m.fail=function(e){return new m(null,e)},m.fromReplace=function(e,t,n,o){try{return m.ok(e.replace(t,n,o))}catch(e){if(e instanceof r.ReplaceError)return m.fail(e.message);throw e}};var _=function(e){function t(t,n,r,o){e.call(this),this.from=t,this.to=n,this.slice=r,this.structure=!!o}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.apply=function(e){return this.structure&&y(e,this.from,this.to)?m.fail("Structure replace would overwrite content"):m.fromReplace(e,this.from,this.to,this.slice)},t.prototype.getMap=function(){return new s([this.from,this.to-this.from,this.slice.size])},t.prototype.invert=function(e){return new t(this.from,this.from+this.slice.size,e.slice(this.from,this.to))},t.prototype.map=function(e){var n=e.mapResult(this.from,1),r=e.mapResult(this.to,-1);return n.deleted&&r.deleted?null:new t(n.pos,Math.max(n.pos,r.pos),this.slice)},t.prototype.merge=function(e){if(!(e instanceof t)||e.structure!=this.structure)return null;if(this.from+this.slice.size!=e.from||this.slice.openEnd||e.slice.openStart){if(e.to!=this.from||this.slice.openStart||e.slice.openEnd)return null;var n=this.slice.size+e.slice.size==0?r.Slice.empty:new r.Slice(e.slice.content.append(this.slice.content),e.slice.openStart,this.slice.openEnd);return new t(e.from,this.to,n,this.structure)}var o=this.slice.size+e.slice.size==0?r.Slice.empty:new r.Slice(this.slice.content.append(e.slice.content),this.slice.openStart,e.slice.openEnd);return new t(this.from,this.to+(e.to-e.from),o,this.structure)},t.prototype.toJSON=function(){var e={stepType:"replace",from:this.from,to:this.to};return this.slice.size&&(e.slice=this.slice.toJSON()),this.structure&&(e.structure=!0),e},t.fromJSON=function(e,n){if("number"!=typeof n.from||"number"!=typeof n.to)throw new RangeError("Invalid input for ReplaceStep.fromJSON");return new t(n.from,n.to,r.Slice.fromJSON(e,n.slice),!!n.structure)},t}(p);p.jsonID("replace",_);var g=function(e){function t(t,n,r,o,i,a,s){e.call(this),this.from=t,this.to=n,this.gapFrom=r,this.gapTo=o,this.slice=i,this.insert=a,this.structure=!!s}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.apply=function(e){if(this.structure&&(y(e,this.from,this.gapFrom)||y(e,this.gapTo,this.to)))return m.fail("Structure gap-replace would overwrite content");var t=e.slice(this.gapFrom,this.gapTo);if(t.openStart||t.openEnd)return m.fail("Gap is not a flat range");var n=this.slice.insertAt(this.insert,t.content);return n?m.fromReplace(e,this.from,this.to,n):m.fail("Content does not fit in gap")},t.prototype.getMap=function(){return new s([this.from,this.gapFrom-this.from,this.insert,this.gapTo,this.to-this.gapTo,this.slice.size-this.insert])},t.prototype.invert=function(e){var n=this.gapTo-this.gapFrom;return new t(this.from,this.from+this.slice.size+n,this.from+this.insert,this.from+this.insert+n,e.slice(this.from,this.to).removeBetween(this.gapFrom-this.from,this.gapTo-this.from),this.gapFrom-this.from,this.structure)},t.prototype.map=function(e){var n=e.mapResult(this.from,1),r=e.mapResult(this.to,-1),o=e.map(this.gapFrom,-1),i=e.map(this.gapTo,1);return n.deleted&&r.deleted||o<n.pos||i>r.pos?null:new t(n.pos,r.pos,o,i,this.slice,this.insert,this.structure)},t.prototype.toJSON=function(){var e={stepType:"replaceAround",from:this.from,to:this.to,gapFrom:this.gapFrom,gapTo:this.gapTo,insert:this.insert};return this.slice.size&&(e.slice=this.slice.toJSON()),this.structure&&(e.structure=!0),e},t.fromJSON=function(e,n){if("number"!=typeof n.from||"number"!=typeof n.to||"number"!=typeof n.gapFrom||"number"!=typeof n.gapTo||"number"!=typeof n.insert)throw new RangeError("Invalid input for ReplaceAroundStep.fromJSON");return new t(n.from,n.to,n.gapFrom,n.gapTo,r.Slice.fromJSON(e,n.slice),n.insert,!!n.structure)},t}(p);function y(e,t,n){for(var r=e.resolve(t),o=n-t,i=r.depth;o>0&&i>0&&r.indexAfter(i)==r.node(i).childCount;)i--,o--;if(o>0)for(var a=r.node(i).maybeChild(r.indexAfter(i));o>0;){if(!a||a.isLeaf)return!0;a=a.firstChild,o--}return!1}function v(e,t,n){return(0==t||e.canReplace(t,e.childCount))&&(n==e.childCount||e.canReplace(0,n))}function M(e){for(var t=e.parent.content.cutByIndex(e.startIndex,e.endIndex),n=e.depth;;--n){var r=e.$from.node(n),o=e.$from.index(n),i=e.$to.indexAfter(n);if(n<e.depth&&r.canReplace(o,i,t))return n;if(0==n||r.type.spec.isolating||!v(r,o,i))break}}function k(e,t,n,r){void 0===r&&(r=e);var o=function(e,t){var n=e.parent,r=e.startIndex,o=e.endIndex,i=n.contentMatchAt(r).findWrapping(t);if(!i)return null;var a=i.length?i[0]:t;return n.canReplaceWith(r,o,a)?i:null}(e,t),i=o&&function(e,t){var n=e.parent,r=e.startIndex,o=e.endIndex,i=n.child(r),a=t.contentMatch.findWrapping(i.type);if(!a)return null;for(var s=(a.length?a[a.length-1]:t).contentMatch,u=r;s&&u<o;u++)s=s.matchType(n.child(u).type);if(!s||!s.validEnd)return null;return a}(r,t);return i?o.map(b).concat({type:t,attrs:n}).concat(i.map(b)):null}function b(e){return{type:e,attrs:null}}function w(e,t,n,r){void 0===n&&(n=1);var o=e.resolve(t),i=o.depth-n,a=r&&r[r.length-1]||o.parent;if(i<0||o.parent.type.spec.isolating||!o.parent.canReplace(o.index(),o.parent.childCount)||!a.type.validContent(o.parent.content.cutByIndex(o.index(),o.parent.childCount)))return!1;for(var s=o.depth-1,u=n-2;s>i;s--,u--){var c=o.node(s),l=o.index(s);if(c.type.spec.isolating)return!1;var d=c.content.cutByIndex(l,c.childCount),h=r&&r[u]||c;if(h!=c&&(d=d.replaceChild(0,h.type.create(h.attrs))),!c.canReplace(l+1,c.childCount)||!h.type.validContent(d))return!1}var f=o.indexAfter(i),p=r&&r[0];return o.node(i).canReplaceWith(f,f,p?p.type:o.node(i+1).type)}function L(e,t){var n=e.resolve(t),r=n.index();return T(n.nodeBefore,n.nodeAfter)&&n.parent.canReplace(r,r+1)}function T(e,t){return e&&t&&!e.isLeaf&&e.canAppend(t)}function D(e,t,n){void 0===n&&(n=-1);for(var r=e.resolve(t),o=r.depth;;o--){var i=void 0,a=void 0,s=r.index(o);if(o==r.depth?(i=r.nodeBefore,a=r.nodeAfter):n>0?(i=r.node(o+1),s++,a=r.node(o).maybeChild(s)):(i=r.node(o).maybeChild(s-1),a=r.node(o+1)),i&&!i.isTextblock&&T(i,a)&&r.node(o).canReplace(s,s+1))return t;if(0==o)break;t=n<0?r.before(o):r.after(o)}}function x(e,t,n){var r=e.resolve(t);if(!n.content.size)return t;for(var o=n.content,i=0;i<n.openStart;i++)o=o.firstChild.content;for(var a=1;a<=(0==n.openStart&&n.size?2:1);a++)for(var s=r.depth;s>=0;s--){var u=s==r.depth?0:r.pos<=(r.start(s+1)+r.end(s+1))/2?-1:1,c=r.index(s)+(u>0?1:0);if(1==a?r.node(s).canReplace(c,c,o):r.node(s).contentMatchAt(c).findWrapping(o.firstChild.type))return 0==u?r.pos:u<0?r.before(s+1):r.after(s+1)}return null}function S(e,t,n){for(var o=[],i=0;i<e.childCount;i++){var a=e.child(i);a.content.size&&(a=a.copy(S(a.content,t,a))),a.isInline&&(a=t(a,n,i)),o.push(a)}return r.Fragment.fromArray(o)}p.jsonID("replaceAround",g),l.prototype.lift=function(e,t){for(var n=e.$from,o=e.$to,i=e.depth,a=n.before(i+1),s=o.after(i+1),u=a,c=s,l=r.Fragment.empty,d=0,h=i,f=!1;h>t;h--)f||n.index(h)>0?(f=!0,l=r.Fragment.from(n.node(h).copy(l)),d++):u--;for(var p=r.Fragment.empty,m=0,_=i,y=!1;_>t;_--)y||o.after(_+1)<o.end(_)?(y=!0,p=r.Fragment.from(o.node(_).copy(p)),m++):c++;return this.step(new g(u,c,a,s,new r.Slice(l.append(p),d,m),l.size-d,!0))},l.prototype.wrap=function(e,t){for(var n=r.Fragment.empty,o=t.length-1;o>=0;o--)n=r.Fragment.from(t[o].type.create(t[o].attrs,n));var i=e.start,a=e.end;return this.step(new g(i,a,i,a,new r.Slice(n,0,0),t.length,!0))},l.prototype.setBlockType=function(e,t,n,o){var i=this;if(void 0===t&&(t=e),!n.isTextblock)throw new RangeError("Type given to setBlockType should be a textblock");var a=this.steps.length;return this.doc.nodesBetween(e,t,(function(e,t){if(e.isTextblock&&!e.hasMarkup(n,o)&&function(e,t,n){var r=e.resolve(t),o=r.index();return r.parent.canReplaceWith(o,o+1,n)}(i.doc,i.mapping.slice(a).map(t),n)){i.clearIncompatible(i.mapping.slice(a).map(t,1),n);var s=i.mapping.slice(a),u=s.map(t,1),c=s.map(t+e.nodeSize,1);return i.step(new g(u,c,u+1,c-1,new r.Slice(r.Fragment.from(n.create(o,null,e.marks)),0,0),1,!0)),!1}})),this},l.prototype.setNodeMarkup=function(e,t,n,o){var i=this.doc.nodeAt(e);if(!i)throw new RangeError("No node at given position");t||(t=i.type);var a=t.create(n,null,o||i.marks);if(i.isLeaf)return this.replaceWith(e,e+i.nodeSize,a);if(!t.validContent(i.content))throw new RangeError("Invalid content for node type "+t.name);return this.step(new g(e,e+i.nodeSize,e+1,e+i.nodeSize-1,new r.Slice(r.Fragment.from(a),0,0),1,!0))},l.prototype.split=function(e,t,n){void 0===t&&(t=1);for(var o=this.doc.resolve(e),i=r.Fragment.empty,a=r.Fragment.empty,s=o.depth,u=o.depth-t,c=t-1;s>u;s--,c--){i=r.Fragment.from(o.node(s).copy(i));var l=n&&n[c];a=r.Fragment.from(l?l.type.create(l.attrs,a):o.node(s).copy(a))}return this.step(new _(e,e,new r.Slice(i.append(a),t,t),!0))},l.prototype.join=function(e,t){void 0===t&&(t=1);var n=new _(e-t,e+t,r.Slice.empty,!0);return this.step(n)};var Y=function(e){function t(t,n,r){e.call(this),this.from=t,this.to=n,this.mark=r}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.apply=function(e){var t=this,n=e.slice(this.from,this.to),o=e.resolve(this.from),i=o.node(o.sharedDepth(this.to)),a=new r.Slice(S(n.content,(function(e,n){return n.type.allowsMarkType(t.mark.type)?e.mark(t.mark.addToSet(e.marks)):e}),i),n.openStart,n.openEnd);return m.fromReplace(e,this.from,this.to,a)},t.prototype.invert=function(){return new O(this.from,this.to,this.mark)},t.prototype.map=function(e){var n=e.mapResult(this.from,1),r=e.mapResult(this.to,-1);return n.deleted&&r.deleted||n.pos>=r.pos?null:new t(n.pos,r.pos,this.mark)},t.prototype.merge=function(e){if(e instanceof t&&e.mark.eq(this.mark)&&this.from<=e.to&&this.to>=e.from)return new t(Math.min(this.from,e.from),Math.max(this.to,e.to),this.mark)},t.prototype.toJSON=function(){return{stepType:"addMark",mark:this.mark.toJSON(),from:this.from,to:this.to}},t.fromJSON=function(e,n){if("number"!=typeof n.from||"number"!=typeof n.to)throw new RangeError("Invalid input for AddMarkStep.fromJSON");return new t(n.from,n.to,e.markFromJSON(n.mark))},t}(p);p.jsonID("addMark",Y);var O=function(e){function t(t,n,r){e.call(this),this.from=t,this.to=n,this.mark=r}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.apply=function(e){var t=this,n=e.slice(this.from,this.to),o=new r.Slice(S(n.content,(function(e){return e.mark(t.mark.removeFromSet(e.marks))})),n.openStart,n.openEnd);return m.fromReplace(e,this.from,this.to,o)},t.prototype.invert=function(){return new Y(this.from,this.to,this.mark)},t.prototype.map=function(e){var n=e.mapResult(this.from,1),r=e.mapResult(this.to,-1);return n.deleted&&r.deleted||n.pos>=r.pos?null:new t(n.pos,r.pos,this.mark)},t.prototype.merge=function(e){if(e instanceof t&&e.mark.eq(this.mark)&&this.from<=e.to&&this.to>=e.from)return new t(Math.min(this.from,e.from),Math.max(this.to,e.to),this.mark)},t.prototype.toJSON=function(){return{stepType:"removeMark",mark:this.mark.toJSON(),from:this.from,to:this.to}},t.fromJSON=function(e,n){if("number"!=typeof n.from||"number"!=typeof n.to)throw new RangeError("Invalid input for RemoveMarkStep.fromJSON");return new t(n.from,n.to,e.markFromJSON(n.mark))},t}(p);function C(e,t,n){return!n.openStart&&!n.openEnd&&e.start()==t.start()&&e.parent.canReplace(e.index(),t.index(),n.content)}p.jsonID("removeMark",O),l.prototype.addMark=function(e,t,n){var r=this,o=[],i=[],a=null,s=null;return this.doc.nodesBetween(e,t,(function(r,u,c){if(r.isInline){var l=r.marks;if(!n.isInSet(l)&&c.type.allowsMarkType(n.type)){for(var d=Math.max(u,e),h=Math.min(u+r.nodeSize,t),f=n.addToSet(l),p=0;p<l.length;p++)l[p].isInSet(f)||(a&&a.to==d&&a.mark.eq(l[p])?a.to=h:o.push(a=new O(d,h,l[p])));s&&s.to==d?s.to=h:i.push(s=new Y(d,h,n))}}})),o.forEach((function(e){return r.step(e)})),i.forEach((function(e){return r.step(e)})),this},l.prototype.removeMark=function(e,t,n){var o=this;void 0===n&&(n=null);var i=[],a=0;return this.doc.nodesBetween(e,t,(function(o,s){if(o.isInline){a++;var u=null;if(n instanceof r.MarkType){var c=n.isInSet(o.marks);c&&(u=[c])}else n?n.isInSet(o.marks)&&(u=[n]):u=o.marks;if(u&&u.length)for(var l=Math.min(s+o.nodeSize,t),d=0;d<u.length;d++){for(var h=u[d],f=void 0,p=0;p<i.length;p++){var m=i[p];m.step==a-1&&h.eq(i[p].style)&&(f=m)}f?(f.to=l,f.step=a):i.push({style:h,from:Math.max(s,e),to:l,step:a})}}})),i.forEach((function(e){return o.step(new O(e.from,e.to,e.style))})),this},l.prototype.clearIncompatible=function(e,t,n){void 0===n&&(n=t.contentMatch);for(var o=this.doc.nodeAt(e),i=[],a=e+1,s=0;s<o.childCount;s++){var u=o.child(s),c=a+u.nodeSize,l=n.matchType(u.type,u.attrs);if(l){n=l;for(var d=0;d<u.marks.length;d++)t.allowsMarkType(u.marks[d].type)||this.step(new O(a,c,u.marks[d]))}else i.push(new _(a,c,r.Slice.empty));a=c}if(!n.validEnd){var h=n.fillBefore(r.Fragment.empty,!0);this.replace(a,a,new r.Slice(h,0,0))}for(var f=i.length-1;f>=0;f--)this.step(i[f]);return this},l.prototype.replace=function(e,t,n){void 0===t&&(t=e),void 0===n&&(n=r.Slice.empty);var o=function(e,t,n,o){if(void 0===n&&(n=t),void 0===o&&(o=r.Slice.empty),t==n&&!o.size)return null;var i=e.resolve(t),a=e.resolve(n);return C(i,a,o)?new _(t,n,o):new E(i,a,o).fit()}(this.doc,e,t,n);return o&&this.step(o),this},l.prototype.replaceWith=function(e,t,n){return this.replace(e,t,new r.Slice(r.Fragment.from(n),0,0))},l.prototype.delete=function(e,t){return this.replace(e,t,r.Slice.empty)},l.prototype.insert=function(e,t){return this.replaceWith(e,e,t)};var E=function(e,t,n){this.$to=t,this.$from=e,this.unplaced=n,this.frontier=[];for(var o=0;o<=e.depth;o++){var i=e.node(o);this.frontier.push({type:i.type,match:i.contentMatchAt(e.indexAfter(o))})}this.placed=r.Fragment.empty;for(var a=e.depth;a>0;a--)this.placed=r.Fragment.from(e.node(a).copy(this.placed))},A={depth:{configurable:!0}};function j(e,t,n){return 0==t?e.cutByIndex(n):e.replaceChild(0,e.firstChild.copy(j(e.firstChild.content,t-1,n)))}function H(e,t,n){return 0==t?e.append(n):e.replaceChild(e.childCount-1,e.lastChild.copy(H(e.lastChild.content,t-1,n)))}function P(e,t){for(var n=0;n<t;n++)e=e.firstChild.content;return e}function N(e,t,n){if(t<=0)return e;var o=e.content;return t>1&&(o=o.replaceChild(0,N(o.firstChild,t-1,1==o.childCount?n-1:0))),t>0&&(o=e.type.contentMatch.fillBefore(o).append(o),n<=0&&(o=o.append(e.type.contentMatch.matchFragment(o).fillBefore(r.Fragment.empty,!0)))),e.copy(o)}function R(e,t,n,r,o){var i=e.node(t),a=o?e.indexAfter(t):e.index(t);if(a==i.childCount&&!n.compatibleContent(i.type))return null;var s=r.fillBefore(i.content,!0,a);return s&&!function(e,t,n){for(var r=n;r<t.childCount;r++)if(!e.allowsMarks(t.child(r).marks))return!0;return!1}(n,i.content,a)?s:null}function F(e,t,n,o,i){if(t<n){var a=e.firstChild;e=e.replaceChild(0,a.copy(F(a.content,t+1,n,o,a)))}if(t>o){var s=i.contentMatchAt(0),u=s.fillBefore(e).append(e);e=u.append(s.matchFragment(u).fillBefore(r.Fragment.empty,!0))}return e}function I(e,t){for(var n=[],r=Math.min(e.depth,t.depth);r>=0;r--){var o=e.start(r);if(o<e.pos-(e.depth-r)||t.end(r)>t.pos+(t.depth-r)||e.node(r).type.spec.isolating||t.node(r).type.spec.isolating)break;o==t.start(r)&&n.push(r)}return n}A.depth.get=function(){return this.frontier.length-1},E.prototype.fit=function(){for(;this.unplaced.size;){var e=this.findFittable();e?this.placeNodes(e):this.openMore()||this.dropNode()}var t=this.mustMoveInline(),n=this.placed.size-this.depth-this.$from.depth,o=this.$from,i=this.close(t<0?this.$to:o.doc.resolve(t));if(!i)return null;for(var a=this.placed,s=o.depth,u=i.depth;s&&u&&1==a.childCount;)a=a.firstChild.content,s--,u--;var c=new r.Slice(a,s,u);return t>-1?new g(o.pos,t,this.$to.pos,this.$to.end(),c,n):c.size||o.pos!=this.$to.pos?new _(o.pos,i.pos,c):void 0},E.prototype.findFittable=function(){for(var e=1;e<=2;e++)for(var t=this.unplaced.openStart;t>=0;t--)for(var n=void 0,o=(t?(n=P(this.unplaced.content,t-1).firstChild).content:this.unplaced.content).firstChild,i=this.depth;i>=0;i--){var a=this.frontier[i],s=a.type,u=a.match,c=void 0,l=void 0;if(1==e&&(o?u.matchType(o.type)||(l=u.fillBefore(r.Fragment.from(o),!1)):s.compatibleContent(n.type)))return{sliceDepth:t,frontierDepth:i,parent:n,inject:l};if(2==e&&o&&(c=u.findWrapping(o.type)))return{sliceDepth:t,frontierDepth:i,parent:n,wrap:c};if(n&&u.matchType(n.type))break}},E.prototype.openMore=function(){var e=this.unplaced,t=e.content,n=e.openStart,o=e.openEnd,i=P(t,n);return!(!i.childCount||i.firstChild.isLeaf)&&(this.unplaced=new r.Slice(t,n+1,Math.max(o,i.size+n>=t.size-o?n+1:0)),!0)},E.prototype.dropNode=function(){var e=this.unplaced,t=e.content,n=e.openStart,o=e.openEnd,i=P(t,n);if(i.childCount<=1&&n>0){var a=t.size-n<=n+i.size;this.unplaced=new r.Slice(j(t,n-1,1),n-1,a?n-1:o)}else this.unplaced=new r.Slice(j(t,n,1),n,o)},E.prototype.placeNodes=function(e){for(var t=e.sliceDepth,n=e.frontierDepth,o=e.parent,i=e.inject,a=e.wrap;this.depth>n;)this.closeFrontierNode();if(a)for(var s=0;s<a.length;s++)this.openFrontierNode(a[s]);var u=this.unplaced,c=o?o.content:u.content,l=u.openStart-t,d=0,h=[],f=this.frontier[n],p=f.match,m=f.type;if(i){for(var _=0;_<i.childCount;_++)h.push(i.child(_));p=p.matchFragment(i)}for(var g=c.size+t-(u.content.size-u.openEnd);d<c.childCount;){var y=c.child(d),v=p.matchType(y.type);if(!v)break;(++d>1||0==l||y.content.size)&&(p=v,h.push(N(y.mark(m.allowedMarks(y.marks)),1==d?l:0,d==c.childCount?g:-1)))}var M=d==c.childCount;M||(g=-1),M&&g<0&&o&&o.type==this.frontier[this.depth].type?this.closeFrontierNode():this.frontier[n].match=p;for(var k=0,b=c;k<g;k++){var w=b.lastChild;this.frontier.push({type:w.type,match:w.contentMatchAt(w.childCount)}),b=w.content}this.unplaced=M?0==t?r.Slice.empty:new r.Slice(j(u.content,t-1,1),t-1,g<0?u.openEnd:t-1):new r.Slice(j(u.content,t,d),u.openStart,u.openEnd),this.placed=H(this.placed,n,r.Fragment.from(h))},E.prototype.mustMoveInline=function(){if(!this.$to.parent.isTextblock||this.$to.end()==this.$to.pos)return-1;var e,t=this.frontier[this.depth];if(!t.type.isTextblock||!R(this.$to,this.$to.depth,t.type,t.match,!1)||this.$to.depth==this.depth&&(e=this.findCloseLevel(this.$to))&&e.depth==this.depth)return-1;for(var n=this.$to.depth,r=this.$to.after(n);n>1&&r==this.$to.end(--n);)++r;return r},E.prototype.findCloseLevel=function(e){e:for(var t=Math.min(this.depth,e.depth);t>=0;t--){var n=this.frontier[t],r=n.match,o=n.type,i=t<e.depth&&e.end(t+1)==e.pos+(e.depth-(t+1)),a=R(e,t,o,r,i);if(a){for(var s=t-1;s>=0;s--){var u=this.frontier[s],c=u.match,l=R(e,s,u.type,c,!0);if(!l||l.childCount)continue e}return{depth:t,fit:a,move:i?e.doc.resolve(e.after(t+1)):e}}}},E.prototype.close=function(e){var t=this.findCloseLevel(e);if(!t)return null;for(;this.depth>t.depth;)this.closeFrontierNode();t.fit.childCount&&(this.placed=H(this.placed,t.depth,t.fit)),e=t.move;for(var n=t.depth+1;n<=e.depth;n++){var r=e.node(n),o=r.type.contentMatch.fillBefore(r.content,!0,e.index(n));this.openFrontierNode(r.type,r.attrs,o)}return e},E.prototype.openFrontierNode=function(e,t,n){var o=this.frontier[this.depth];o.match=o.match.matchType(e),this.placed=H(this.placed,this.depth,r.Fragment.from(e.create(t,n))),this.frontier.push({type:e,match:e.contentMatch})},E.prototype.closeFrontierNode=function(){var e=this.frontier.pop().match.fillBefore(r.Fragment.empty,!0);e.childCount&&(this.placed=H(this.placed,this.frontier.length,e))},Object.defineProperties(E.prototype,A),l.prototype.replaceRange=function(e,t,n){if(!n.size)return this.deleteRange(e,t);var o=this.doc.resolve(e),i=this.doc.resolve(t);if(C(o,i,n))return this.step(new _(e,t,n));var a=I(o,this.doc.resolve(t));0==a[a.length-1]&&a.pop();var s=-(o.depth+1);a.unshift(s);for(var u=o.depth,c=o.pos-1;u>0;u--,c--){var l=o.node(u).type.spec;if(l.defining||l.isolating)break;a.indexOf(u)>-1?s=u:o.before(u)==c&&a.splice(1,0,-u)}for(var d=a.indexOf(s),h=[],f=n.openStart,p=n.content,m=0;;m++){var g=p.firstChild;if(h.push(g),m==n.openStart)break;p=g.content}f>0&&h[f-1].type.spec.defining&&o.node(d).type!=h[f-1].type?f-=1:f>=2&&h[f-1].isTextblock&&h[f-2].type.spec.defining&&o.node(d).type!=h[f-2].type&&(f-=2);for(var y=n.openStart;y>=0;y--){var v=(y+f+1)%(n.openStart+1),M=h[v];if(M)for(var k=0;k<a.length;k++){var b=a[(k+d)%a.length],w=!0;b<0&&(w=!1,b=-b);var L=o.node(b-1),T=o.index(b-1);if(L.canReplaceWith(T,T,M.type,M.marks))return this.replace(o.before(b),w?i.after(b):t,new r.Slice(F(n.content,0,n.openStart,v),v,n.openEnd))}}for(var D=this.steps.length,x=a.length-1;x>=0&&(this.replace(e,t,n),!(this.steps.length>D));x--){var S=a[x];x<0||(e=o.before(S),t=i.after(S))}return this},l.prototype.replaceRangeWith=function(e,t,n){if(!n.isInline&&e==t&&this.doc.resolve(e).parent.content.size){var o=function(e,t,n){var r=e.resolve(t);if(r.parent.canReplaceWith(r.index(),r.index(),n))return t;if(0==r.parentOffset)for(var o=r.depth-1;o>=0;o--){var i=r.index(o);if(r.node(o).canReplaceWith(i,i,n))return r.before(o+1);if(i>0)return null}if(r.parentOffset==r.parent.content.size)for(var a=r.depth-1;a>=0;a--){var s=r.indexAfter(a);if(r.node(a).canReplaceWith(s,s,n))return r.after(a+1);if(s<r.node(a).childCount)return null}}(this.doc,e,n.type);null!=o&&(e=t=o)}return this.replaceRange(e,t,new r.Slice(r.Fragment.from(n),0,0))},l.prototype.deleteRange=function(e,t){for(var n=this.doc.resolve(e),r=this.doc.resolve(t),o=I(n,r),i=0;i<o.length;i++){var a=o[i],s=i==o.length-1;if(s&&0==a||n.node(a).type.contentMatch.validEnd)return this.delete(n.start(a),r.end(a));if(a>0&&(s||n.node(a-1).canReplace(n.index(a-1),r.indexAfter(a-1))))return this.delete(n.before(a),r.after(a))}for(var u=1;u<=n.depth&&u<=r.depth;u++)if(e-n.start(u)==n.depth-u&&t>n.end(u)&&r.end(u)-t!=r.depth-u)return this.delete(n.before(u),t);return this.delete(e,t)}},function(e,t,n){"use strict";n.d(t,"a",(function(){return i})),n.d(t,"b",(function(){return a})),n.d(t,"c",(function(){return l})),n.d(t,"d",(function(){return u})),n.d(t,"e",(function(){return c}));var r=n(181),o=n(184),i=function(e,t){var n;this.match=e,this.handler="string"==typeof t?(n=t,function(e,t,r,o){var i=n;if(t[1]){var a=t[0].lastIndexOf(t[1]);i+=t[0].slice(a+t[1].length);var s=(r+=a)-o;s>0&&(i=t[0].slice(a-s,a)+i,r=o)}return e.tr.insertText(i,r,o)}):t};function a(e){var t=e.rules,n=new r.Plugin({state:{init:function(){return null},apply:function(e,t){var n=e.getMeta(this);return n||(e.selectionSet||e.docChanged?null:t)}},props:{handleTextInput:function(e,r,o,i){return s(e,r,o,i,t,n)},handleDOMEvents:{compositionend:function(e){setTimeout((function(){var r=e.state.selection.$cursor;r&&s(e,r.pos,r.pos,"",t,n)}))}}},isInputRules:!0});return n}function s(e,t,n,r,o,i){if(e.composing)return!1;var a=e.state,s=a.doc.resolve(t);if(s.parent.type.spec.code)return!1;for(var u=s.parent.textBetween(Math.max(0,s.parentOffset-500),s.parentOffset,null,"")+r,c=0;c<o.length;c++){var l=o[c].match.exec(u),d=l&&o[c].handler(a,l,t-(l[0].length-r.length),n);if(d)return e.dispatch(d.setMeta(i,{transform:d,from:t,to:n,text:r})),!0}return!1}function u(e,t){for(var n=e.plugins,r=0;r<n.length;r++){var o=n[r],i=void 0;if(o.spec.isInputRules&&(i=o.getState(e))){if(t){for(var a=e.tr,s=i.transform,u=s.steps.length-1;u>=0;u--)a.step(s.steps[u].invert(s.docs[u]));var c=a.doc.resolve(i.from).marks();t(a.replaceWith(i.from,i.to,e.schema.text(i.text,c)))}return!0}}return!1}new i(/--$/,"—"),new i(/\.\.\.$/,"…"),new i(/(?:^|[\s\{\[\(\<'"\u2018\u201C])(")$/,"“"),new i(/"$/,"”"),new i(/(?:^|[\s\{\[\(\<'"\u2018\u201C])(')$/,"‘"),new i(/'$/,"’");function c(e,t,n,r){return new i(e,(function(e,i,a,s){var u=n instanceof Function?n(i):n,c=e.tr.delete(a,s),l=c.doc.resolve(a).blockRange(),d=l&&Object(o.i)(l,t,u);if(!d)return null;c.wrap(l,d);var h=c.doc.resolve(a-1).nodeBefore;return h&&h.type==t&&Object(o.f)(c.doc,a-1)&&(!r||r(i,h))&&c.join(a-1),c}))}function l(e,t,n){return new i(e,(function(e,r,o,i){var a=e.doc.resolve(o),s=n instanceof Function?n(r):n;return a.node(-1).canReplaceWith(a.index(-1),a.indexAfter(-1),t)?e.tr.delete(o,i).setBlockType(o,o,t,s):null}))}},function(e,t,n){window,e.exports=function(e){var t={};function n(r){if(t[r])return t[r].exports;var o=t[r]={i:r,l:!1,exports:{}};return e[r].call(o.exports,o,o.exports,n),o.l=!0,o.exports}return n.m=e,n.c=t,n.d=function(e,t,r){n.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:r})},n.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},n.t=function(e,t){if(1&t&&(e=n(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var r=Object.create(null);if(n.r(r),Object.defineProperty(r,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)n.d(r,o,function(t){return e[t]}.bind(null,o));return r},n.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return n.d(t,"a",t),t},n.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},n.p="/dist/",n(n.s=13)}({1:function(e,t,n){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var n=function(e,t){var n,r,o,i=e[1]||"",a=e[3];if(!a)return i;if(t&&"function"==typeof btoa){var s=(n=a,r=btoa(unescape(encodeURIComponent(JSON.stringify(n)))),o="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(r),"/*# ".concat(o," */")),u=a.sources.map((function(e){return"/*# sourceURL=".concat(a.sourceRoot||"").concat(e," */")}));return[i].concat(u).concat([s]).join("\n")}return[i].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(n,"}"):n})).join("")},t.i=function(e,n,r){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(r)for(var i=0;i<this.length;i++){var a=this[i][0];null!=a&&(o[a]=!0)}for(var s=0;s<e.length;s++){var u=[].concat(e[s]);r&&o[u[0]]||(n&&(u[2]?u[2]="".concat(n," and ").concat(u[2]):u[2]=n),t.push(u))}},t}},13:function(e,t,n){"use strict";n.r(t);var r=n(4);n(42),
+function M(e){return(M="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e})(e)}function k(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function b(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function w(e,t,n){return t&&b(e.prototype,t),n&&b(e,n),e}function L(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function T(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function D(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?T(Object(n),!0).forEach((function(t){L(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):T(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function x(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&Y(e,t)}function S(e){return(S=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)})(e)}function Y(e,t){return(Y=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e})(e,t)}function O(e,t){return!t||"object"!=typeof t&&"function"!=typeof t?function(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}(e):t}function C(e){var t=function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}();return function(){var n,r=S(e);if(t){var o=S(this).constructor;n=Reflect.construct(r,arguments,o)}else n=r.apply(this,arguments);return O(this,n)}}function E(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){if("undefined"==typeof Symbol||!(Symbol.iterator in Object(e)))return;var n=[],r=!0,o=!1,i=void 0;try{for(var a,s=e[Symbol.iterator]();!(r=(a=s.next()).done)&&(n.push(a.value),!t||n.length!==t);r=!0);}catch(e){o=!0,i=e}finally{try{r||null==s.return||s.return()}finally{if(o)throw i}}return n}(e,t)||j(e,t)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function A(e){return function(e){if(Array.isArray(e))return H(e)}(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||j(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function j(e,t){if(e){if("string"==typeof e)return H(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(e):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?H(e,t):void 0}}function H(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}function P(e){return e.replace(/(?:^\w|[A-Z]|\b\w)/g,(function(e,t){return 0===t?e.toLowerCase():e.toUpperCase()})).replace(/\s+/g,"")}var N=function(){function e(t,n){var r=n.editor,o=n.extension,i=n.parent,a=n.node,s=n.view,u=n.decorations,c=n.getPos;k(this,e),this.component=t,this.editor=r,this.extension=o,this.parent=i,this.node=a,this.view=s,this.decorations=u,this.isNode=!!this.node.marks,this.isMark=!this.isNode,this.getPos=this.isMark?this.getMarkPos:c,this.captureEvents=!0,this.dom=this.createDOM(),this.contentDOM=this.vm.$refs.content}return w(e,[{key:"createDOM",value:function(){var e=this,t=y.default.extend(this.component),n={editor:this.editor,node:this.node,view:this.view,getPos:function(){return e.getPos()},decorations:this.decorations,selected:!1,options:this.extension.options,updateAttrs:function(t){return e.updateAttrs(t)}};return"function"==typeof this.extension.setSelection&&(this.setSelection=this.extension.setSelection),"function"==typeof this.extension.update&&(this.update=this.extension.update),this.vm=new t({parent:this.parent,propsData:n}).$mount(),this.vm.$el}},{key:"update",value:function(e,t){return e.type===this.node.type&&(e===this.node&&this.decorations===t||(this.node=e,this.decorations=t,this.updateComponentProps({node:e,decorations:t})),!0)}},{key:"updateComponentProps",value:function(e){var t=this;if(this.vm._props){var n=y.default.config.silent;y.default.config.silent=!0,Object.entries(e).forEach((function(e){var n=E(e,2),r=n[0],o=n[1];t.vm._props[r]=o})),y.default.config.silent=n}}},{key:"updateAttrs",value:function(e){if(this.view.editable){var t=this.view.state,n=this.node.type,r=this.getPos(),o=D(D({},this.node.attrs),e),i=this.isMark?t.tr.removeMark(r.from,r.to,n).addMark(r.from,r.to,n.create(o)):t.tr.setNodeMarkup(r,null,o);this.view.dispatch(i)}}},{key:"ignoreMutation",value:function(e){return"selection"!==e.type&&(!this.contentDOM||!this.contentDOM.contains(e.target))}},{key:"stopEvent",value:function(e){var t=this;if("function"==typeof this.extension.stopEvent)return this.extension.stopEvent(e);var n=!!this.extension.schema.draggable;if(n&&"mousedown"===e.type){var r=e.target.closest&&e.target.closest("[data-drag-handle]");r&&(this.dom===r||this.dom.contains(r))&&(this.captureEvents=!1,document.addEventListener("dragend",(function(){t.captureEvents=!0}),{once:!0}))}var o="copy"===e.type,i="paste"===e.type,a="cut"===e.type,s=e.type.startsWith("drag")||"drop"===e.type;return!(n&&s||o||i||a)&&this.captureEvents}},{key:"selectNode",value:function(){this.updateComponentProps({selected:!0})}},{key:"deselectNode",value:function(){this.updateComponentProps({selected:!1})}},{key:"getMarkPos",value:function(){var e=this.view.posAtDOM(this.dom),t=this.view.state.doc.resolve(e);return Object(g.b)(t,this.node.type)}},{key:"destroy",value:function(){this.vm.$destroy()}}]),e}(),R=function(){function e(){k(this,e)}return w(e,[{key:"on",value:function(e,t){return this._callbacks=this._callbacks||{},this._callbacks[e]||(this._callbacks[e]=[]),this._callbacks[e].push(t),this}},{key:"emit",value:function(e){for(var t=this,n=arguments.length,r=new Array(n>1?n-1:0),o=1;o<n;o++)r[o-1]=arguments[o];this._callbacks=this._callbacks||{};var i=this._callbacks[e];return i&&i.forEach((function(e){return e.apply(t,r)})),this}},{key:"off",value:function(e,t){if(arguments.length){var n=this._callbacks?this._callbacks[e]:null;n&&(t?this._callbacks[e]=n.filter((function(e){return e!==t})):delete this._callbacks[e])}else this._callbacks={};return this}}]),e}(),F=function(){function e(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};k(this,e),this.options=D(D({},this.defaultOptions),t)}return w(e,[{key:"init",value:function(){return null}},{key:"bindEditor",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;this.editor=e}},{key:"inputRules",value:function(){return[]}},{key:"pasteRules",value:function(){return[]}},{key:"keys",value:function(){return{}}},{key:"name",get:function(){return null}},{key:"type",get:function(){return"extension"}},{key:"defaultOptions",get:function(){return{}}},{key:"plugins",get:function(){return[]}}]),e}(),I=function(){function e(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:[],n=arguments.length>1?arguments[1]:void 0;k(this,e),t.forEach((function(e){e.bindEditor(n),e.init()})),this.extensions=t}return w(e,[{key:"keymaps",value:function(e){var t=e.schema,n=this.extensions.filter((function(e){return["extension"].includes(e.type)})).filter((function(e){return e.keys})).map((function(e){return e.keys({schema:t})})),r=this.extensions.filter((function(e){return["node","mark"].includes(e.type)})).filter((function(e){return e.keys})).map((function(e){return e.keys({type:t["".concat(e.type,"s")][e.name],schema:t})}));return[].concat(A(n),A(r)).map((function(e){return Object(u.b)(e)}))}},{key:"inputRules",value:function(e){var t=e.schema,n=e.excludedExtensions;if(!(n instanceof Array)&&n)return[];var r=n instanceof Array?this.extensions.filter((function(e){return!n.includes(e.name)})):this.extensions,o=r.filter((function(e){return["extension"].includes(e.type)})).filter((function(e){return e.inputRules})).map((function(e){return e.inputRules({schema:t})})),i=r.filter((function(e){return["node","mark"].includes(e.type)})).filter((function(e){return e.inputRules})).map((function(e){return e.inputRules({type:t["".concat(e.type,"s")][e.name],schema:t})}));return[].concat(A(o),A(i)).reduce((function(e,t){return[].concat(A(e),A(t))}),[])}},{key:"pasteRules",value:function(e){var t=e.schema,n=e.excludedExtensions;if(!(n instanceof Array)&&n)return[];var r=n instanceof Array?this.extensions.filter((function(e){return!n.includes(e.name)})):this.extensions,o=r.filter((function(e){return["extension"].includes(e.type)})).filter((function(e){return e.pasteRules})).map((function(e){return e.pasteRules({schema:t})})),i=r.filter((function(e){return["node","mark"].includes(e.type)})).filter((function(e){return e.pasteRules})).map((function(e){return e.pasteRules({type:t["".concat(e.type,"s")][e.name],schema:t})}));return[].concat(A(o),A(i)).reduce((function(e,t){return[].concat(A(e),A(t))}),[])}},{key:"commands",value:function(e){var t=e.schema,n=e.view;return this.extensions.filter((function(e){return e.commands})).reduce((function(e,r){var o=r.name,i=r.type,a={},s=r.commands(D({schema:t},["node","mark"].includes(i)?{type:t["".concat(i,"s")][o]}:{})),u=function(e,t){return!!n.editable&&(n.focus(),e(t)(n.state,n.dispatch,n))},c=function(e,t){Array.isArray(t)?a[e]=function(e){return t.forEach((function(t){return u(t,e)}))}:"function"==typeof t&&(a[e]=function(e){return u(t,e)})};return"object"===M(s)?Object.entries(s).forEach((function(e){var t=E(e,2),n=t[0],r=t[1];c(n,r)})):c(o,s),D(D({},e),a)}),{})}},{key:"nodes",get:function(){return this.extensions.filter((function(e){return"node"===e.type})).reduce((function(e,t){var n=t.name,r=t.schema;return D(D({},e),{},L({},n,r))}),{})}},{key:"options",get:function(){var e=this.view;return this.extensions.reduce((function(t,n){return D(D({},t),{},L({},n.name,new Proxy(n.options,{set:function(t,n,r){var o=t[n]!==r;return Object.assign(t,L({},n,r)),o&&e.updateState(e.state),!0}})))}),{})}},{key:"marks",get:function(){return this.extensions.filter((function(e){return"mark"===e.type})).reduce((function(e,t){var n=t.name,r=t.schema;return D(D({},e),{},L({},n,r))}),{})}},{key:"plugins",get:function(){return this.extensions.filter((function(e){return e.plugins})).reduce((function(e,t){var n=t.plugins;return[].concat(A(e),A(n))}),[])}}]),e}();function z(e){var t=document.createElement("style");t.type="text/css",t.textContent=e;var n=document.head,r=n.firstChild;r?n.insertBefore(t,r):n.appendChild(t)}var W=function(e){x(n,e);var t=C(n);function n(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return k(this,n),t.call(this,e)}return w(n,[{key:"command",value:function(){return function(){}}},{key:"type",get:function(){return"mark"}},{key:"view",get:function(){return null}},{key:"schema",get:function(){return null}}]),n}(F);function $(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:0,t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:0,n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:0;return Math.min(Math.max(parseInt(e,10),t),n)}var B=function(e){x(n,e);var t=C(n);function n(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return k(this,n),t.call(this,e)}return w(n,[{key:"command",value:function(){return function(){}}},{key:"type",get:function(){return"node"}},{key:"view",get:function(){return null}},{key:"schema",get:function(){return null}}]),n}(F),q=function(e){x(n,e);var t=C(n);function n(){return k(this,n),t.apply(this,arguments)}return w(n,[{key:"name",get:function(){return"doc"}},{key:"schema",get:function(){return{content:"block+"}}}]),n}(B),U=function(e){x(n,e);var t=C(n);function n(){return k(this,n),t.apply(this,arguments)}return w(n,[{key:"commands",value:function(e){var t=e.type;return function(){return Object(v.k)(t)}}},{key:"name",get:function(){return"paragraph"}},{key:"schema",get:function(){return{content:"inline*",group:"block",draggable:!1,parseDOM:[{tag:"p"}],toDOM:function(){return["p",0]}}}}]),n}(B),V=function(e){x(n,e);var t=C(n);function n(){return k(this,n),t.apply(this,arguments)}return w(n,[{key:"name",get:function(){return"text"}},{key:"schema",get:function(){return{group:"inline"}}}]),n}(B),J='.ProseMirror {\n position: relative;\n}\n\n.ProseMirror {\n word-wrap: break-word;\n white-space: pre-wrap;\n -webkit-font-variant-ligatures: none;\n font-variant-ligatures: none;\n}\n\n.ProseMirror pre {\n white-space: pre-wrap;\n}\n\n.ProseMirror-gapcursor {\n display: none;\n pointer-events: none;\n position: absolute;\n}\n\n.ProseMirror-gapcursor:after {\n content: "";\n display: block;\n position: absolute;\n top: -2px;\n width: 20px;\n border-top: 1px solid black;\n animation: ProseMirror-cursor-blink 1.1s steps(2, start) infinite;\n}\n\n@keyframes ProseMirror-cursor-blink {\n to {\n visibility: hidden;\n }\n}\n\n.ProseMirror-hideselection *::selection {\n background: transparent;\n}\n\n.ProseMirror-hideselection *::-moz-selection {\n background: transparent;\n}\n\n.ProseMirror-hideselection * {\n caret-color: transparent;\n}\n\n.ProseMirror-focused .ProseMirror-gapcursor {\n display: block;\n}\n',G=function(e){x(n,e);var t=C(n);function n(){var e,r=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return k(this,n),(e=t.call(this)).defaultOptions={editorProps:{},editable:!0,autoFocus:null,extensions:[],content:"",topNode:"doc",emptyDocument:{type:"doc",content:[{type:"paragraph"}]},useBuiltInExtensions:!0,disableInputRules:!1,disablePasteRules:!1,dropCursor:{},parseOptions:{},injectCSS:!0,onInit:function(){},onTransaction:function(){},onUpdate:function(){},onFocus:function(){},onBlur:function(){},onPaste:function(){},onDrop:function(){}},e.events=["init","transaction","update","focus","blur","paste","drop"],e.init(r),e}return w(n,[{key:"init",value:function(){var e=this,t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};this.setOptions(D(D({},this.defaultOptions),t)),this.focused=!1,this.selection={from:0,to:0},this.element=document.createElement("div"),this.extensions=this.createExtensions(),this.nodes=this.createNodes(),this.marks=this.createMarks(),this.schema=this.createSchema(),this.plugins=this.createPlugins(),this.keymaps=this.createKeymaps(),this.inputRules=this.createInputRules(),this.pasteRules=this.createPasteRules(),this.view=this.createView(),this.commands=this.createCommands(),this.setActiveNodesAndMarks(),this.options.injectCSS&&z(J),null!==this.options.autoFocus&&this.focus(this.options.autoFocus),this.events.forEach((function(t){e.on(t,e.options[P("on ".concat(t))]||function(){})})),this.emit("init",{view:this.view,state:this.state}),this.extensions.view=this.view}},{key:"setOptions",value:function(e){this.options=D(D({},this.options),e),this.view&&this.state&&this.view.updateState(this.state)}},{key:"createExtensions",value:function(){return new I([].concat(A(this.builtInExtensions),A(this.options.extensions)),this)}},{key:"createPlugins",value:function(){return this.extensions.plugins}},{key:"createKeymaps",value:function(){return this.extensions.keymaps({schema:this.schema})}},{key:"createInputRules",value:function(){return this.extensions.inputRules({schema:this.schema,excludedExtensions:this.options.disableInputRules})}},{key:"createPasteRules",value:function(){return this.extensions.pasteRules({schema:this.schema,excludedExtensions:this.options.disablePasteRules})}},{key:"createCommands",value:function(){return this.extensions.commands({schema:this.schema,view:this.view})}},{key:"createNodes",value:function(){return this.extensions.nodes}},{key:"createMarks",value:function(){return this.extensions.marks}},{key:"createSchema",value:function(){return new i.Schema({topNode:this.options.topNode,nodes:this.nodes,marks:this.marks})}},{key:"createState",value:function(){var e,t=this;return r.EditorState.create({schema:this.schema,doc:this.createDocument(this.options.content),plugins:[].concat(A(this.plugins),[Object(_.b)({rules:this.inputRules})],A(this.pasteRules),A(this.keymaps),[Object(u.b)({Backspace:_.d}),Object(u.b)(m.a),(e=this.options.dropCursor,void 0===e&&(e={}),new r.Plugin({view:function(t){return new s(t,e)}})),new r.Plugin({props:{decorations:p,createSelectionBetween:function(e,t,n){if(t.pos==n.pos&&c.valid(n))return new c(n)},handleClick:f,handleKeyDown:d}}),new r.Plugin({key:new r.PluginKey("editable"),props:{editable:function(){return t.options.editable}}}),new r.Plugin({props:{attributes:{tabindex:0},handleDOMEvents:{focus:function(e,n){t.focused=!0,t.emit("focus",{event:n,state:e.state,view:e});var r=t.state.tr.setMeta("focused",!0);t.view.dispatch(r)},blur:function(e,n){t.focused=!1,t.emit("blur",{event:n,state:e.state,view:e});var r=t.state.tr.setMeta("focused",!1);t.view.dispatch(r)}}}}),new r.Plugin({props:this.options.editorProps})])})}},{key:"createDocument",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:this.options.parseOptions;if(null===e)return this.schema.nodeFromJSON(this.options.emptyDocument);if("object"===M(e))try{return this.schema.nodeFromJSON(e)}catch(t){return console.warn("[tiptap warn]: Invalid content.","Passed value:",e,"Error:",t),this.schema.nodeFromJSON(this.options.emptyDocument)}if("string"==typeof e){var n="<div>".concat(e,"</div>"),r=new window.DOMParser,o=r.parseFromString(n,"text/html").body;return i.DOMParser.fromSchema(this.schema).parse(o,t)}return!1}},{key:"createView",value:function(){var e=this;return new o.c(this.element,{state:this.createState(),handlePaste:function(){for(var t=arguments.length,n=new Array(t),r=0;r<t;r++)n[r]=arguments[r];e.emit.apply(e,["paste"].concat(n))},handleDrop:function(){for(var t=arguments.length,n=new Array(t),r=0;r<t;r++)n[r]=arguments[r];e.emit.apply(e,["drop"].concat(n))},dispatchTransaction:this.dispatchTransaction.bind(this)})}},{key:"setParentComponent",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;e&&this.view.setProps({nodeViews:this.initNodeViews({parent:e,extensions:[].concat(A(this.builtInExtensions),A(this.options.extensions))})})}},{key:"initNodeViews",value:function(e){var t=this,n=e.parent;return e.extensions.filter((function(e){return["node","mark"].includes(e.type)})).filter((function(e){return e.view})).reduce((function(e,r){return D(D({},e),{},L({},r.name,(function(e,o,i,a){var s=r.view;return new N(s,{editor:t,extension:r,parent:n,node:e,view:o,getPos:i,decorations:a})})))}),{})}},{key:"dispatchTransaction",value:function(e){var t=this.state.apply(e);this.view.updateState(t),this.selection={from:this.state.selection.from,to:this.state.selection.to},this.setActiveNodesAndMarks(),this.emit("transaction",{getHTML:this.getHTML.bind(this),getJSON:this.getJSON.bind(this),state:this.state,transaction:e}),e.docChanged&&!e.getMeta("preventUpdate")&&this.emitUpdate(e)}},{key:"emitUpdate",value:function(e){this.emit("update",{getHTML:this.getHTML.bind(this),getJSON:this.getJSON.bind(this),state:this.state,transaction:e})}},{key:"resolveSelection",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;if(this.selection&&null===e)return this.selection;if("start"===e||!0===e)return{from:0,to:0};if("end"===e){var t=this.state.doc;return{from:t.content.size,to:t.content.size}}return{from:e,to:e}}},{key:"focus",value:function(){var e=this,t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;if(!(this.view.focused&&null===t||!1===t)){var n=this.resolveSelection(t),r=n.from,o=n.to;this.setSelection(r,o),setTimeout((function(){return e.view.focus()}),10)}}},{key:"setSelection",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:0,t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:0,n=this.state,o=n.doc,i=n.tr,a=$(e,0,o.content.size),s=$(t,0,o.content.size),u=r.TextSelection.create(o,a,s),c=i.setSelection(u);this.view.dispatch(c)}},{key:"blur",value:function(){this.view.dom.blur()}},{key:"getSchemaJSON",value:function(){return JSON.parse(JSON.stringify({nodes:this.extensions.nodes,marks:this.extensions.marks}))}},{key:"getHTML",value:function(){var e=document.createElement("div"),t=i.DOMSerializer.fromSchema(this.schema).serializeFragment(this.state.doc.content);return e.appendChild(t),e.innerHTML}},{key:"getJSON",value:function(){return this.state.doc.toJSON()}},{key:"setContent",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},t=arguments.length>1&&void 0!==arguments[1]&&arguments[1],n=arguments.length>2?arguments[2]:void 0,o=this.state,i=o.doc,a=o.tr,s=this.createDocument(e,n),u=r.TextSelection.create(i,0,i.content.size),c=a.setSelection(u).replaceSelectionWith(s,!1).setMeta("preventUpdate",!t);this.view.dispatch(c)}},{key:"clearContent",value:function(){var e=arguments.length>0&&void 0!==arguments[0]&&arguments[0];this.setContent(this.options.emptyDocument,e)}},{key:"setActiveNodesAndMarks",value:function(){var e=this;this.activeMarks=Object.entries(this.schema.marks).reduce((function(t,n){var r=E(n,2),o=r[0],i=r[1];return D(D({},t),{},L({},o,(function(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return Object(g.d)(e.state,i,t)})))}),{}),this.activeMarkAttrs=Object.entries(this.schema.marks).reduce((function(t,n){var r=E(n,2),o=r[0],i=r[1];return D(D({},t),{},L({},o,Object(g.a)(e.state,i)))}),{}),this.activeNodes=Object.entries(this.schema.nodes).reduce((function(t,n){var r=E(n,2),o=r[0],i=r[1];return D(D({},t),{},L({},o,(function(){var t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return Object(g.f)(e.state,i,t)})))}),{})}},{key:"getMarkAttrs",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;return this.activeMarkAttrs[e]}},{key:"getNodeAttrs",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;return D({},Object(g.c)(this.state,this.schema.nodes[e]))}},{key:"registerPlugin",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null,t=arguments.length>1?arguments[1]:void 0,n="function"==typeof t?t(e,this.state.plugins):[e].concat(A(this.state.plugins)),r=this.state.reconfigure({plugins:n});this.view.updateState(r)}},{key:"unregisterPlugin",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null;if(e&&this.view.docView){var t=this.state.reconfigure({plugins:this.state.plugins.filter((function(t){return!t.key.startsWith("".concat(e,"$"))}))});this.view.updateState(t)}}},{key:"destroy",value:function(){this.view&&this.view.destroy()}},{key:"builtInExtensions",get:function(){return this.options.useBuiltInExtensions?[new q,new V,new U]:[]}},{key:"state",get:function(){return this.view?this.view.state:null}},{key:"isActive",get:function(){return Object.entries(D(D({},this.activeMarks),this.activeNodes)).reduce((function(e,t){var n=E(t,2),r=n[0],o=n[1];return D(D({},e),{},L({},r,(function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};return o(e)})))}),{})}}]),n}(R),K={props:{editor:{default:null,type:Object}},watch:{editor:{immediate:!0,handler:function(e){var t=this;e&&e.element&&this.$nextTick((function(){t.$el.appendChild(e.element.firstChild),e.setParentComponent(t)}))}}},render:function(e){return e("div")},beforeDestroy:function(){this.editor.element=this.$el}},Z=function(){function e(t){var n=this,r=t.options;k(this,e),this.options=r,this.preventHide=!1,this.mousedownHandler=this.handleClick.bind(this),this.options.element.addEventListener("mousedown",this.mousedownHandler,{capture:!0}),this.blurHandler=function(){n.preventHide?n.preventHide=!1:n.options.editor.emit("menubar:focusUpdate",!1)},this.options.editor.on("blur",this.blurHandler)}return w(e,[{key:"handleClick",value:function(){this.preventHide=!0}},{key:"destroy",value:function(){this.options.element.removeEventListener("mousedown",this.mousedownHandler),this.options.editor.off("blur",this.blurHandler)}}]),e}();var X={props:{editor:{default:null,type:Object}},data:function(){return{focused:!1}},watch:{editor:{immediate:!0,handler:function(e){var t=this;e&&this.$nextTick((function(){var n;e.registerPlugin((n={editor:e,element:t.$el},new r.Plugin({key:new r.PluginKey("menu_bar"),view:function(e){return new Z({editorView:e,options:n})}}))),t.focused=e.focused,e.on("focus",(function(){t.focused=!0})),e.on("menubar:focusUpdate",(function(e){t.focused=e}))}))}}},render:function(){return this.editor?this.$scopedSlots.default({focused:this.focused,focus:this.editor.focus,commands:this.editor.commands,isActive:this.editor.isActive,getMarkAttrs:this.editor.getMarkAttrs.bind(this.editor),getNodeAttrs:this.editor.getNodeAttrs.bind(this.editor)}):null}};function Q(e,t,n){var r=document.createRange();return r.setEnd(e,null==n?e.nodeValue.length:n),r.setStart(e,t||0),r}function ee(e,t){var n=e.getClientRects();return n.length?n[t<0?0:n.length-1]:e.getBoundingClientRect()}function te(e,t){var n,r,o=arguments.length>2&&void 0!==arguments[2]&&arguments[2],i=e.docView.domFromPos(t),a=i.node,s=i.offset;if(3===a.nodeType)o&&s<a.nodeValue.length?(r=ee(Q(a,s-1,s),-1),n="right"):s<a.nodeValue.length&&(r=ee(Q(a,s,s+1),-1),n="left");else if(a.firstChild){if(s<a.childNodes.length){var u=a.childNodes[s];r=ee(3===u.nodeType?Q(u):u,-1),n="left"}if((!r||r.top===r.bottom)&&s){var c=a.childNodes[s-1];r=ee(3===c.nodeType?Q(c):c,1),n="right"}}else r=a.getBoundingClientRect(),n="left";var l=r[n];return{top:r.top,bottom:r.bottom,left:l,right:l}}var ne=function(){function e(t){var n=this,r=t.options,o=t.editorView;k(this,e),this.options=D(D({},{element:null,keepInBounds:!0,onUpdate:function(){return!1}}),r),this.editorView=o,this.isActive=!1,this.left=0,this.bottom=0,this.top=0,this.preventHide=!1,this.mousedownHandler=this.handleClick.bind(this),this.options.element.addEventListener("mousedown",this.mousedownHandler,{capture:!0}),this.focusHandler=function(e){var t=e.view;n.update(t)},this.options.editor.on("focus",this.focusHandler),this.blurHandler=function(e){var t=e.event;n.preventHide?n.preventHide=!1:n.hide(t)},this.options.editor.on("blur",this.blurHandler)}return w(e,[{key:"handleClick",value:function(){this.preventHide=!0}},{key:"update",value:function(e,t){var n=e.state;if(!(e.composing||t&&t.doc.eq(n.doc)&&t.selection.eq(n.selection)))if(n.selection.empty)this.hide();else{var r=n.selection,o=r.from,i=r.to,a=te(e,o),s=te(e,i,!0),u=this.options.element.offsetParent;if(u){var c=u.getBoundingClientRect(),l=this.options.element.getBoundingClientRect(),d=(a.left+s.left)/2-c.left;this.left=Math.round(this.options.keepInBounds?Math.min(c.width-l.width/2,Math.max(d,l.width/2)):d),this.bottom=Math.round(c.bottom-a.top),this.top=Math.round(s.bottom-c.top),this.isActive=!0,this.sendUpdate()}else this.hide()}}},{key:"sendUpdate",value:function(){this.options.onUpdate({isActive:this.isActive,left:this.left,bottom:this.bottom,top:this.top})}},{key:"hide",value:function(e){e&&e.relatedTarget&&this.options.element.parentNode&&this.options.element.parentNode.contains(e.relatedTarget)||(this.isActive=!1,this.sendUpdate())}},{key:"destroy",value:function(){this.options.element.removeEventListener("mousedown",this.mousedownHandler),this.options.editor.off("focus",this.focusHandler),this.options.editor.off("blur",this.blurHandler)}}]),e}();var re={props:{editor:{default:null,type:Object},keepInBounds:{default:!0,type:Boolean}},data:function(){return{menu:{isActive:!1,left:0,bottom:0}}},watch:{editor:{immediate:!0,handler:function(e){var t=this;e&&this.$nextTick((function(){var n;e.registerPlugin((n={editor:e,element:t.$el,keepInBounds:t.keepInBounds,onUpdate:function(e){e.isActive&&!1===t.menu.isActive?t.$emit("show",e):e.isActive||!0!==t.menu.isActive||t.$emit("hide",e),t.menu=e}},new r.Plugin({key:new r.PluginKey("menu_bubble"),view:function(e){return new ne({editorView:e,options:n})}})))}))}}},render:function(){return this.editor?this.$scopedSlots.default({focused:this.editor.view.focused,focus:this.editor.focus,commands:this.editor.commands,isActive:this.editor.isActive,getMarkAttrs:this.editor.getMarkAttrs.bind(this.editor),getNodeAttrs:this.editor.getNodeAttrs.bind(this.editor),menu:this.menu}):null},beforeDestroy:function(){this.editor.unregisterPlugin("menu_bubble")}}},function(e,t,n){"use strict";n.d(t,"a",(function(){return u})),n.d(t,"b",(function(){return g})),n.d(t,"c",(function(){return _})),n.d(t,"d",(function(){return p})),n.d(t,"e",(function(){return l})),n.d(t,"f",(function(){return L})),n.d(t,"g",(function(){return w})),n.d(t,"h",(function(){return x})),n.d(t,"i",(function(){return k})),n.d(t,"j",(function(){return D})),n.d(t,"k",(function(){return M}));var r=n(182),o=Math.pow(2,16);function i(e){return 65535&e}var a=function(e,t,n){void 0===t&&(t=!1),void 0===n&&(n=null),this.pos=e,this.deleted=t,this.recover=n},s=function(e,t){void 0===t&&(t=!1),this.ranges=e,this.inverted=t};s.prototype.recover=function(e){var t=0,n=i(e);if(!this.inverted)for(var r=0;r<n;r++)t+=this.ranges[3*r+2]-this.ranges[3*r+1];return this.ranges[3*n]+t+function(e){return(e-(65535&e))/o}(e)},s.prototype.mapResult=function(e,t){return void 0===t&&(t=1),this._map(e,t,!1)},s.prototype.map=function(e,t){return void 0===t&&(t=1),this._map(e,t,!0)},s.prototype._map=function(e,t,n){for(var r=0,i=this.inverted?2:1,s=this.inverted?1:2,u=0;u<this.ranges.length;u+=3){var c=this.ranges[u]-(this.inverted?r:0);if(c>e)break;var l=this.ranges[u+i],d=this.ranges[u+s],h=c+l;if(e<=h){var f=c+r+((l?e==c?-1:e==h?1:t:t)<0?0:d);if(n)return f;var p=e==(t<0?c:h)?null:u/3+(e-c)*o;return new a(f,t<0?e!=c:e!=h,p)}r+=d-l}return n?e+r:new a(e+r)},s.prototype.touches=function(e,t){for(var n=0,r=i(t),o=this.inverted?2:1,a=this.inverted?1:2,s=0;s<this.ranges.length;s+=3){var u=this.ranges[s]-(this.inverted?n:0);if(u>e)break;var c=this.ranges[s+o];if(e<=u+c&&s==3*r)return!0;n+=this.ranges[s+a]-c}return!1},s.prototype.forEach=function(e){for(var t=this.inverted?2:1,n=this.inverted?1:2,r=0,o=0;r<this.ranges.length;r+=3){var i=this.ranges[r],a=i-(this.inverted?o:0),s=i+(this.inverted?0:o),u=this.ranges[r+t],c=this.ranges[r+n];e(a,a+u,s,s+c),o+=c-u}},s.prototype.invert=function(){return new s(this.ranges,!this.inverted)},s.prototype.toString=function(){return(this.inverted?"-":"")+JSON.stringify(this.ranges)},s.offset=function(e){return 0==e?s.empty:new s(e<0?[0,-e,0]:[0,0,e])},s.empty=new s([]);var u=function(e,t,n,r){this.maps=e||[],this.from=n||0,this.to=null==r?this.maps.length:r,this.mirror=t};function c(e){var t=Error.call(this,e);return t.__proto__=c.prototype,t}u.prototype.slice=function(e,t){return void 0===e&&(e=0),void 0===t&&(t=this.maps.length),new u(this.maps,this.mirror,e,t)},u.prototype.copy=function(){return new u(this.maps.slice(),this.mirror&&this.mirror.slice(),this.from,this.to)},u.prototype.appendMap=function(e,t){this.to=this.maps.push(e),null!=t&&this.setMirror(this.maps.length-1,t)},u.prototype.appendMapping=function(e){for(var t=0,n=this.maps.length;t<e.maps.length;t++){var r=e.getMirror(t);this.appendMap(e.maps[t],null!=r&&r<t?n+r:null)}},u.prototype.getMirror=function(e){if(this.mirror)for(var t=0;t<this.mirror.length;t++)if(this.mirror[t]==e)return this.mirror[t+(t%2?-1:1)]},u.prototype.setMirror=function(e,t){this.mirror||(this.mirror=[]),this.mirror.push(e,t)},u.prototype.appendMappingInverted=function(e){for(var t=e.maps.length-1,n=this.maps.length+e.maps.length;t>=0;t--){var r=e.getMirror(t);this.appendMap(e.maps[t].invert(),null!=r&&r>t?n-r-1:null)}},u.prototype.invert=function(){var e=new u;return e.appendMappingInverted(this),e},u.prototype.map=function(e,t){if(void 0===t&&(t=1),this.mirror)return this._map(e,t,!0);for(var n=this.from;n<this.to;n++)e=this.maps[n].map(e,t);return e},u.prototype.mapResult=function(e,t){return void 0===t&&(t=1),this._map(e,t,!1)},u.prototype._map=function(e,t,n){for(var r=!1,o=this.from;o<this.to;o++){var i=this.maps[o].mapResult(e,t);if(null!=i.recover){var s=this.getMirror(o);if(null!=s&&s>o&&s<this.to){o=s,e=this.maps[s].recover(i.recover);continue}}i.deleted&&(r=!0),e=i.pos}return n?e:new a(e,r)},c.prototype=Object.create(Error.prototype),c.prototype.constructor=c,c.prototype.name="TransformError";var l=function(e){this.doc=e,this.steps=[],this.docs=[],this.mapping=new u},d={before:{configurable:!0},docChanged:{configurable:!0}};function h(){throw new Error("Override me")}d.before.get=function(){return this.docs.length?this.docs[0]:this.doc},l.prototype.step=function(e){var t=this.maybeStep(e);if(t.failed)throw new c(t.failed);return this},l.prototype.maybeStep=function(e){var t=e.apply(this.doc);return t.failed||this.addStep(e,t.doc),t},d.docChanged.get=function(){return this.steps.length>0},l.prototype.addStep=function(e,t){this.docs.push(this.doc),this.steps.push(e),this.mapping.appendMap(e.getMap()),this.doc=t},Object.defineProperties(l.prototype,d);var f=Object.create(null),p=function(){};p.prototype.apply=function(e){return h()},p.prototype.getMap=function(){return s.empty},p.prototype.invert=function(e){return h()},p.prototype.map=function(e){return h()},p.prototype.merge=function(e){return null},p.prototype.toJSON=function(){return h()},p.fromJSON=function(e,t){if(!t||!t.stepType)throw new RangeError("Invalid input for Step.fromJSON");var n=f[t.stepType];if(!n)throw new RangeError("No step type "+t.stepType+" defined");return n.fromJSON(e,t)},p.jsonID=function(e,t){if(e in f)throw new RangeError("Duplicate use of step JSON ID "+e);return f[e]=t,t.prototype.jsonID=e,t};var m=function(e,t){this.doc=e,this.failed=t};m.ok=function(e){return new m(e,null)},m.fail=function(e){return new m(null,e)},m.fromReplace=function(e,t,n,o){try{return m.ok(e.replace(t,n,o))}catch(e){if(e instanceof r.ReplaceError)return m.fail(e.message);throw e}};var _=function(e){function t(t,n,r,o){e.call(this),this.from=t,this.to=n,this.slice=r,this.structure=!!o}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.apply=function(e){return this.structure&&y(e,this.from,this.to)?m.fail("Structure replace would overwrite content"):m.fromReplace(e,this.from,this.to,this.slice)},t.prototype.getMap=function(){return new s([this.from,this.to-this.from,this.slice.size])},t.prototype.invert=function(e){return new t(this.from,this.from+this.slice.size,e.slice(this.from,this.to))},t.prototype.map=function(e){var n=e.mapResult(this.from,1),r=e.mapResult(this.to,-1);return n.deleted&&r.deleted?null:new t(n.pos,Math.max(n.pos,r.pos),this.slice)},t.prototype.merge=function(e){if(!(e instanceof t)||e.structure!=this.structure)return null;if(this.from+this.slice.size!=e.from||this.slice.openEnd||e.slice.openStart){if(e.to!=this.from||this.slice.openStart||e.slice.openEnd)return null;var n=this.slice.size+e.slice.size==0?r.Slice.empty:new r.Slice(e.slice.content.append(this.slice.content),e.slice.openStart,this.slice.openEnd);return new t(e.from,this.to,n,this.structure)}var o=this.slice.size+e.slice.size==0?r.Slice.empty:new r.Slice(this.slice.content.append(e.slice.content),this.slice.openStart,e.slice.openEnd);return new t(this.from,this.to+(e.to-e.from),o,this.structure)},t.prototype.toJSON=function(){var e={stepType:"replace",from:this.from,to:this.to};return this.slice.size&&(e.slice=this.slice.toJSON()),this.structure&&(e.structure=!0),e},t.fromJSON=function(e,n){if("number"!=typeof n.from||"number"!=typeof n.to)throw new RangeError("Invalid input for ReplaceStep.fromJSON");return new t(n.from,n.to,r.Slice.fromJSON(e,n.slice),!!n.structure)},t}(p);p.jsonID("replace",_);var g=function(e){function t(t,n,r,o,i,a,s){e.call(this),this.from=t,this.to=n,this.gapFrom=r,this.gapTo=o,this.slice=i,this.insert=a,this.structure=!!s}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.apply=function(e){if(this.structure&&(y(e,this.from,this.gapFrom)||y(e,this.gapTo,this.to)))return m.fail("Structure gap-replace would overwrite content");var t=e.slice(this.gapFrom,this.gapTo);if(t.openStart||t.openEnd)return m.fail("Gap is not a flat range");var n=this.slice.insertAt(this.insert,t.content);return n?m.fromReplace(e,this.from,this.to,n):m.fail("Content does not fit in gap")},t.prototype.getMap=function(){return new s([this.from,this.gapFrom-this.from,this.insert,this.gapTo,this.to-this.gapTo,this.slice.size-this.insert])},t.prototype.invert=function(e){var n=this.gapTo-this.gapFrom;return new t(this.from,this.from+this.slice.size+n,this.from+this.insert,this.from+this.insert+n,e.slice(this.from,this.to).removeBetween(this.gapFrom-this.from,this.gapTo-this.from),this.gapFrom-this.from,this.structure)},t.prototype.map=function(e){var n=e.mapResult(this.from,1),r=e.mapResult(this.to,-1),o=e.map(this.gapFrom,-1),i=e.map(this.gapTo,1);return n.deleted&&r.deleted||o<n.pos||i>r.pos?null:new t(n.pos,r.pos,o,i,this.slice,this.insert,this.structure)},t.prototype.toJSON=function(){var e={stepType:"replaceAround",from:this.from,to:this.to,gapFrom:this.gapFrom,gapTo:this.gapTo,insert:this.insert};return this.slice.size&&(e.slice=this.slice.toJSON()),this.structure&&(e.structure=!0),e},t.fromJSON=function(e,n){if("number"!=typeof n.from||"number"!=typeof n.to||"number"!=typeof n.gapFrom||"number"!=typeof n.gapTo||"number"!=typeof n.insert)throw new RangeError("Invalid input for ReplaceAroundStep.fromJSON");return new t(n.from,n.to,n.gapFrom,n.gapTo,r.Slice.fromJSON(e,n.slice),n.insert,!!n.structure)},t}(p);function y(e,t,n){for(var r=e.resolve(t),o=n-t,i=r.depth;o>0&&i>0&&r.indexAfter(i)==r.node(i).childCount;)i--,o--;if(o>0)for(var a=r.node(i).maybeChild(r.indexAfter(i));o>0;){if(!a||a.isLeaf)return!0;a=a.firstChild,o--}return!1}function v(e,t,n){return(0==t||e.canReplace(t,e.childCount))&&(n==e.childCount||e.canReplace(0,n))}function M(e){for(var t=e.parent.content.cutByIndex(e.startIndex,e.endIndex),n=e.depth;;--n){var r=e.$from.node(n),o=e.$from.index(n),i=e.$to.indexAfter(n);if(n<e.depth&&r.canReplace(o,i,t))return n;if(0==n||r.type.spec.isolating||!v(r,o,i))break}}function k(e,t,n,r){void 0===r&&(r=e);var o=function(e,t){var n=e.parent,r=e.startIndex,o=e.endIndex,i=n.contentMatchAt(r).findWrapping(t);if(!i)return null;var a=i.length?i[0]:t;return n.canReplaceWith(r,o,a)?i:null}(e,t),i=o&&function(e,t){var n=e.parent,r=e.startIndex,o=e.endIndex,i=n.child(r),a=t.contentMatch.findWrapping(i.type);if(!a)return null;for(var s=(a.length?a[a.length-1]:t).contentMatch,u=r;s&&u<o;u++)s=s.matchType(n.child(u).type);if(!s||!s.validEnd)return null;return a}(r,t);return i?o.map(b).concat({type:t,attrs:n}).concat(i.map(b)):null}function b(e){return{type:e,attrs:null}}function w(e,t,n,r){void 0===n&&(n=1);var o=e.resolve(t),i=o.depth-n,a=r&&r[r.length-1]||o.parent;if(i<0||o.parent.type.spec.isolating||!o.parent.canReplace(o.index(),o.parent.childCount)||!a.type.validContent(o.parent.content.cutByIndex(o.index(),o.parent.childCount)))return!1;for(var s=o.depth-1,u=n-2;s>i;s--,u--){var c=o.node(s),l=o.index(s);if(c.type.spec.isolating)return!1;var d=c.content.cutByIndex(l,c.childCount),h=r&&r[u]||c;if(h!=c&&(d=d.replaceChild(0,h.type.create(h.attrs))),!c.canReplace(l+1,c.childCount)||!h.type.validContent(d))return!1}var f=o.indexAfter(i),p=r&&r[0];return o.node(i).canReplaceWith(f,f,p?p.type:o.node(i+1).type)}function L(e,t){var n=e.resolve(t),r=n.index();return T(n.nodeBefore,n.nodeAfter)&&n.parent.canReplace(r,r+1)}function T(e,t){return e&&t&&!e.isLeaf&&e.canAppend(t)}function D(e,t,n){void 0===n&&(n=-1);for(var r=e.resolve(t),o=r.depth;;o--){var i=void 0,a=void 0,s=r.index(o);if(o==r.depth?(i=r.nodeBefore,a=r.nodeAfter):n>0?(i=r.node(o+1),s++,a=r.node(o).maybeChild(s)):(i=r.node(o).maybeChild(s-1),a=r.node(o+1)),i&&!i.isTextblock&&T(i,a)&&r.node(o).canReplace(s,s+1))return t;if(0==o)break;t=n<0?r.before(o):r.after(o)}}function x(e,t,n){var r=e.resolve(t);if(!n.content.size)return t;for(var o=n.content,i=0;i<n.openStart;i++)o=o.firstChild.content;for(var a=1;a<=(0==n.openStart&&n.size?2:1);a++)for(var s=r.depth;s>=0;s--){var u=s==r.depth?0:r.pos<=(r.start(s+1)+r.end(s+1))/2?-1:1,c=r.index(s)+(u>0?1:0);if(1==a?r.node(s).canReplace(c,c,o):r.node(s).contentMatchAt(c).findWrapping(o.firstChild.type))return 0==u?r.pos:u<0?r.before(s+1):r.after(s+1)}return null}function S(e,t,n){for(var o=[],i=0;i<e.childCount;i++){var a=e.child(i);a.content.size&&(a=a.copy(S(a.content,t,a))),a.isInline&&(a=t(a,n,i)),o.push(a)}return r.Fragment.fromArray(o)}p.jsonID("replaceAround",g),l.prototype.lift=function(e,t){for(var n=e.$from,o=e.$to,i=e.depth,a=n.before(i+1),s=o.after(i+1),u=a,c=s,l=r.Fragment.empty,d=0,h=i,f=!1;h>t;h--)f||n.index(h)>0?(f=!0,l=r.Fragment.from(n.node(h).copy(l)),d++):u--;for(var p=r.Fragment.empty,m=0,_=i,y=!1;_>t;_--)y||o.after(_+1)<o.end(_)?(y=!0,p=r.Fragment.from(o.node(_).copy(p)),m++):c++;return this.step(new g(u,c,a,s,new r.Slice(l.append(p),d,m),l.size-d,!0))},l.prototype.wrap=function(e,t){for(var n=r.Fragment.empty,o=t.length-1;o>=0;o--)n=r.Fragment.from(t[o].type.create(t[o].attrs,n));var i=e.start,a=e.end;return this.step(new g(i,a,i,a,new r.Slice(n,0,0),t.length,!0))},l.prototype.setBlockType=function(e,t,n,o){var i=this;if(void 0===t&&(t=e),!n.isTextblock)throw new RangeError("Type given to setBlockType should be a textblock");var a=this.steps.length;return this.doc.nodesBetween(e,t,(function(e,t){if(e.isTextblock&&!e.hasMarkup(n,o)&&function(e,t,n){var r=e.resolve(t),o=r.index();return r.parent.canReplaceWith(o,o+1,n)}(i.doc,i.mapping.slice(a).map(t),n)){i.clearIncompatible(i.mapping.slice(a).map(t,1),n);var s=i.mapping.slice(a),u=s.map(t,1),c=s.map(t+e.nodeSize,1);return i.step(new g(u,c,u+1,c-1,new r.Slice(r.Fragment.from(n.create(o,null,e.marks)),0,0),1,!0)),!1}})),this},l.prototype.setNodeMarkup=function(e,t,n,o){var i=this.doc.nodeAt(e);if(!i)throw new RangeError("No node at given position");t||(t=i.type);var a=t.create(n,null,o||i.marks);if(i.isLeaf)return this.replaceWith(e,e+i.nodeSize,a);if(!t.validContent(i.content))throw new RangeError("Invalid content for node type "+t.name);return this.step(new g(e,e+i.nodeSize,e+1,e+i.nodeSize-1,new r.Slice(r.Fragment.from(a),0,0),1,!0))},l.prototype.split=function(e,t,n){void 0===t&&(t=1);for(var o=this.doc.resolve(e),i=r.Fragment.empty,a=r.Fragment.empty,s=o.depth,u=o.depth-t,c=t-1;s>u;s--,c--){i=r.Fragment.from(o.node(s).copy(i));var l=n&&n[c];a=r.Fragment.from(l?l.type.create(l.attrs,a):o.node(s).copy(a))}return this.step(new _(e,e,new r.Slice(i.append(a),t,t),!0))},l.prototype.join=function(e,t){void 0===t&&(t=1);var n=new _(e-t,e+t,r.Slice.empty,!0);return this.step(n)};var Y=function(e){function t(t,n,r){e.call(this),this.from=t,this.to=n,this.mark=r}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.apply=function(e){var t=this,n=e.slice(this.from,this.to),o=e.resolve(this.from),i=o.node(o.sharedDepth(this.to)),a=new r.Slice(S(n.content,(function(e,n){return n.type.allowsMarkType(t.mark.type)?e.mark(t.mark.addToSet(e.marks)):e}),i),n.openStart,n.openEnd);return m.fromReplace(e,this.from,this.to,a)},t.prototype.invert=function(){return new O(this.from,this.to,this.mark)},t.prototype.map=function(e){var n=e.mapResult(this.from,1),r=e.mapResult(this.to,-1);return n.deleted&&r.deleted||n.pos>=r.pos?null:new t(n.pos,r.pos,this.mark)},t.prototype.merge=function(e){if(e instanceof t&&e.mark.eq(this.mark)&&this.from<=e.to&&this.to>=e.from)return new t(Math.min(this.from,e.from),Math.max(this.to,e.to),this.mark)},t.prototype.toJSON=function(){return{stepType:"addMark",mark:this.mark.toJSON(),from:this.from,to:this.to}},t.fromJSON=function(e,n){if("number"!=typeof n.from||"number"!=typeof n.to)throw new RangeError("Invalid input for AddMarkStep.fromJSON");return new t(n.from,n.to,e.markFromJSON(n.mark))},t}(p);p.jsonID("addMark",Y);var O=function(e){function t(t,n,r){e.call(this),this.from=t,this.to=n,this.mark=r}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.apply=function(e){var t=this,n=e.slice(this.from,this.to),o=new r.Slice(S(n.content,(function(e){return e.mark(t.mark.removeFromSet(e.marks))})),n.openStart,n.openEnd);return m.fromReplace(e,this.from,this.to,o)},t.prototype.invert=function(){return new Y(this.from,this.to,this.mark)},t.prototype.map=function(e){var n=e.mapResult(this.from,1),r=e.mapResult(this.to,-1);return n.deleted&&r.deleted||n.pos>=r.pos?null:new t(n.pos,r.pos,this.mark)},t.prototype.merge=function(e){if(e instanceof t&&e.mark.eq(this.mark)&&this.from<=e.to&&this.to>=e.from)return new t(Math.min(this.from,e.from),Math.max(this.to,e.to),this.mark)},t.prototype.toJSON=function(){return{stepType:"removeMark",mark:this.mark.toJSON(),from:this.from,to:this.to}},t.fromJSON=function(e,n){if("number"!=typeof n.from||"number"!=typeof n.to)throw new RangeError("Invalid input for RemoveMarkStep.fromJSON");return new t(n.from,n.to,e.markFromJSON(n.mark))},t}(p);function C(e,t,n){return!n.openStart&&!n.openEnd&&e.start()==t.start()&&e.parent.canReplace(e.index(),t.index(),n.content)}p.jsonID("removeMark",O),l.prototype.addMark=function(e,t,n){var r=this,o=[],i=[],a=null,s=null;return this.doc.nodesBetween(e,t,(function(r,u,c){if(r.isInline){var l=r.marks;if(!n.isInSet(l)&&c.type.allowsMarkType(n.type)){for(var d=Math.max(u,e),h=Math.min(u+r.nodeSize,t),f=n.addToSet(l),p=0;p<l.length;p++)l[p].isInSet(f)||(a&&a.to==d&&a.mark.eq(l[p])?a.to=h:o.push(a=new O(d,h,l[p])));s&&s.to==d?s.to=h:i.push(s=new Y(d,h,n))}}})),o.forEach((function(e){return r.step(e)})),i.forEach((function(e){return r.step(e)})),this},l.prototype.removeMark=function(e,t,n){var o=this;void 0===n&&(n=null);var i=[],a=0;return this.doc.nodesBetween(e,t,(function(o,s){if(o.isInline){a++;var u=null;if(n instanceof r.MarkType){var c=n.isInSet(o.marks);c&&(u=[c])}else n?n.isInSet(o.marks)&&(u=[n]):u=o.marks;if(u&&u.length)for(var l=Math.min(s+o.nodeSize,t),d=0;d<u.length;d++){for(var h=u[d],f=void 0,p=0;p<i.length;p++){var m=i[p];m.step==a-1&&h.eq(i[p].style)&&(f=m)}f?(f.to=l,f.step=a):i.push({style:h,from:Math.max(s,e),to:l,step:a})}}})),i.forEach((function(e){return o.step(new O(e.from,e.to,e.style))})),this},l.prototype.clearIncompatible=function(e,t,n){void 0===n&&(n=t.contentMatch);for(var o=this.doc.nodeAt(e),i=[],a=e+1,s=0;s<o.childCount;s++){var u=o.child(s),c=a+u.nodeSize,l=n.matchType(u.type,u.attrs);if(l){n=l;for(var d=0;d<u.marks.length;d++)t.allowsMarkType(u.marks[d].type)||this.step(new O(a,c,u.marks[d]))}else i.push(new _(a,c,r.Slice.empty));a=c}if(!n.validEnd){var h=n.fillBefore(r.Fragment.empty,!0);this.replace(a,a,new r.Slice(h,0,0))}for(var f=i.length-1;f>=0;f--)this.step(i[f]);return this},l.prototype.replace=function(e,t,n){void 0===t&&(t=e),void 0===n&&(n=r.Slice.empty);var o=function(e,t,n,o){if(void 0===n&&(n=t),void 0===o&&(o=r.Slice.empty),t==n&&!o.size)return null;var i=e.resolve(t),a=e.resolve(n);return C(i,a,o)?new _(t,n,o):new E(i,a,o).fit()}(this.doc,e,t,n);return o&&this.step(o),this},l.prototype.replaceWith=function(e,t,n){return this.replace(e,t,new r.Slice(r.Fragment.from(n),0,0))},l.prototype.delete=function(e,t){return this.replace(e,t,r.Slice.empty)},l.prototype.insert=function(e,t){return this.replaceWith(e,e,t)};var E=function(e,t,n){this.$to=t,this.$from=e,this.unplaced=n,this.frontier=[];for(var o=0;o<=e.depth;o++){var i=e.node(o);this.frontier.push({type:i.type,match:i.contentMatchAt(e.indexAfter(o))})}this.placed=r.Fragment.empty;for(var a=e.depth;a>0;a--)this.placed=r.Fragment.from(e.node(a).copy(this.placed))},A={depth:{configurable:!0}};function j(e,t,n){return 0==t?e.cutByIndex(n):e.replaceChild(0,e.firstChild.copy(j(e.firstChild.content,t-1,n)))}function H(e,t,n){return 0==t?e.append(n):e.replaceChild(e.childCount-1,e.lastChild.copy(H(e.lastChild.content,t-1,n)))}function P(e,t){for(var n=0;n<t;n++)e=e.firstChild.content;return e}function N(e,t,n){if(t<=0)return e;var o=e.content;return t>1&&(o=o.replaceChild(0,N(o.firstChild,t-1,1==o.childCount?n-1:0))),t>0&&(o=e.type.contentMatch.fillBefore(o).append(o),n<=0&&(o=o.append(e.type.contentMatch.matchFragment(o).fillBefore(r.Fragment.empty,!0)))),e.copy(o)}function R(e,t,n,r,o){var i=e.node(t),a=o?e.indexAfter(t):e.index(t);if(a==i.childCount&&!n.compatibleContent(i.type))return null;var s=r.fillBefore(i.content,!0,a);return s&&!function(e,t,n){for(var r=n;r<t.childCount;r++)if(!e.allowsMarks(t.child(r).marks))return!0;return!1}(n,i.content,a)?s:null}function F(e,t,n,o,i){if(t<n){var a=e.firstChild;e=e.replaceChild(0,a.copy(F(a.content,t+1,n,o,a)))}if(t>o){var s=i.contentMatchAt(0),u=s.fillBefore(e).append(e);e=u.append(s.matchFragment(u).fillBefore(r.Fragment.empty,!0))}return e}function I(e,t){for(var n=[],r=Math.min(e.depth,t.depth);r>=0;r--){var o=e.start(r);if(o<e.pos-(e.depth-r)||t.end(r)>t.pos+(t.depth-r)||e.node(r).type.spec.isolating||t.node(r).type.spec.isolating)break;o==t.start(r)&&n.push(r)}return n}A.depth.get=function(){return this.frontier.length-1},E.prototype.fit=function(){for(;this.unplaced.size;){var e=this.findFittable();e?this.placeNodes(e):this.openMore()||this.dropNode()}var t=this.mustMoveInline(),n=this.placed.size-this.depth-this.$from.depth,o=this.$from,i=this.close(t<0?this.$to:o.doc.resolve(t));if(!i)return null;for(var a=this.placed,s=o.depth,u=i.depth;s&&u&&1==a.childCount;)a=a.firstChild.content,s--,u--;var c=new r.Slice(a,s,u);return t>-1?new g(o.pos,t,this.$to.pos,this.$to.end(),c,n):c.size||o.pos!=this.$to.pos?new _(o.pos,i.pos,c):void 0},E.prototype.findFittable=function(){for(var e=1;e<=2;e++)for(var t=this.unplaced.openStart;t>=0;t--)for(var n=void 0,o=(t?(n=P(this.unplaced.content,t-1).firstChild).content:this.unplaced.content).firstChild,i=this.depth;i>=0;i--){var a=this.frontier[i],s=a.type,u=a.match,c=void 0,l=void 0;if(1==e&&(o?u.matchType(o.type)||(l=u.fillBefore(r.Fragment.from(o),!1)):s.compatibleContent(n.type)))return{sliceDepth:t,frontierDepth:i,parent:n,inject:l};if(2==e&&o&&(c=u.findWrapping(o.type)))return{sliceDepth:t,frontierDepth:i,parent:n,wrap:c};if(n&&u.matchType(n.type))break}},E.prototype.openMore=function(){var e=this.unplaced,t=e.content,n=e.openStart,o=e.openEnd,i=P(t,n);return!(!i.childCount||i.firstChild.isLeaf)&&(this.unplaced=new r.Slice(t,n+1,Math.max(o,i.size+n>=t.size-o?n+1:0)),!0)},E.prototype.dropNode=function(){var e=this.unplaced,t=e.content,n=e.openStart,o=e.openEnd,i=P(t,n);if(i.childCount<=1&&n>0){var a=t.size-n<=n+i.size;this.unplaced=new r.Slice(j(t,n-1,1),n-1,a?n-1:o)}else this.unplaced=new r.Slice(j(t,n,1),n,o)},E.prototype.placeNodes=function(e){for(var t=e.sliceDepth,n=e.frontierDepth,o=e.parent,i=e.inject,a=e.wrap;this.depth>n;)this.closeFrontierNode();if(a)for(var s=0;s<a.length;s++)this.openFrontierNode(a[s]);var u=this.unplaced,c=o?o.content:u.content,l=u.openStart-t,d=0,h=[],f=this.frontier[n],p=f.match,m=f.type;if(i){for(var _=0;_<i.childCount;_++)h.push(i.child(_));p=p.matchFragment(i)}for(var g=c.size+t-(u.content.size-u.openEnd);d<c.childCount;){var y=c.child(d),v=p.matchType(y.type);if(!v)break;(++d>1||0==l||y.content.size)&&(p=v,h.push(N(y.mark(m.allowedMarks(y.marks)),1==d?l:0,d==c.childCount?g:-1)))}var M=d==c.childCount;M||(g=-1),this.placed=H(this.placed,n,r.Fragment.from(h)),this.frontier[n].match=p,M&&g<0&&o&&o.type==this.frontier[this.depth].type&&this.frontier.length>1&&this.closeFrontierNode();for(var k=0,b=c;k<g;k++){var w=b.lastChild;this.frontier.push({type:w.type,match:w.contentMatchAt(w.childCount)}),b=w.content}this.unplaced=M?0==t?r.Slice.empty:new r.Slice(j(u.content,t-1,1),t-1,g<0?u.openEnd:t-1):new r.Slice(j(u.content,t,d),u.openStart,u.openEnd)},E.prototype.mustMoveInline=function(){if(!this.$to.parent.isTextblock||this.$to.end()==this.$to.pos)return-1;var e,t=this.frontier[this.depth];if(!t.type.isTextblock||!R(this.$to,this.$to.depth,t.type,t.match,!1)||this.$to.depth==this.depth&&(e=this.findCloseLevel(this.$to))&&e.depth==this.depth)return-1;for(var n=this.$to.depth,r=this.$to.after(n);n>1&&r==this.$to.end(--n);)++r;return r},E.prototype.findCloseLevel=function(e){e:for(var t=Math.min(this.depth,e.depth);t>=0;t--){var n=this.frontier[t],r=n.match,o=n.type,i=t<e.depth&&e.end(t+1)==e.pos+(e.depth-(t+1)),a=R(e,t,o,r,i);if(a){for(var s=t-1;s>=0;s--){var u=this.frontier[s],c=u.match,l=R(e,s,u.type,c,!0);if(!l||l.childCount)continue e}return{depth:t,fit:a,move:i?e.doc.resolve(e.after(t+1)):e}}}},E.prototype.close=function(e){var t=this.findCloseLevel(e);if(!t)return null;for(;this.depth>t.depth;)this.closeFrontierNode();t.fit.childCount&&(this.placed=H(this.placed,t.depth,t.fit)),e=t.move;for(var n=t.depth+1;n<=e.depth;n++){var r=e.node(n),o=r.type.contentMatch.fillBefore(r.content,!0,e.index(n));this.openFrontierNode(r.type,r.attrs,o)}return e},E.prototype.openFrontierNode=function(e,t,n){var o=this.frontier[this.depth];o.match=o.match.matchType(e),this.placed=H(this.placed,this.depth,r.Fragment.from(e.create(t,n))),this.frontier.push({type:e,match:e.contentMatch})},E.prototype.closeFrontierNode=function(){var e=this.frontier.pop().match.fillBefore(r.Fragment.empty,!0);e.childCount&&(this.placed=H(this.placed,this.frontier.length,e))},Object.defineProperties(E.prototype,A),l.prototype.replaceRange=function(e,t,n){if(!n.size)return this.deleteRange(e,t);var o=this.doc.resolve(e),i=this.doc.resolve(t);if(C(o,i,n))return this.step(new _(e,t,n));var a=I(o,this.doc.resolve(t));0==a[a.length-1]&&a.pop();var s=-(o.depth+1);a.unshift(s);for(var u=o.depth,c=o.pos-1;u>0;u--,c--){var l=o.node(u).type.spec;if(l.defining||l.isolating)break;a.indexOf(u)>-1?s=u:o.before(u)==c&&a.splice(1,0,-u)}for(var d=a.indexOf(s),h=[],f=n.openStart,p=n.content,m=0;;m++){var g=p.firstChild;if(h.push(g),m==n.openStart)break;p=g.content}f>0&&h[f-1].type.spec.defining&&o.node(d).type!=h[f-1].type?f-=1:f>=2&&h[f-1].isTextblock&&h[f-2].type.spec.defining&&o.node(d).type!=h[f-2].type&&(f-=2);for(var y=n.openStart;y>=0;y--){var v=(y+f+1)%(n.openStart+1),M=h[v];if(M)for(var k=0;k<a.length;k++){var b=a[(k+d)%a.length],w=!0;b<0&&(w=!1,b=-b);var L=o.node(b-1),T=o.index(b-1);if(L.canReplaceWith(T,T,M.type,M.marks))return this.replace(o.before(b),w?i.after(b):t,new r.Slice(F(n.content,0,n.openStart,v),v,n.openEnd))}}for(var D=this.steps.length,x=a.length-1;x>=0&&(this.replace(e,t,n),!(this.steps.length>D));x--){var S=a[x];x<0||(e=o.before(S),t=i.after(S))}return this},l.prototype.replaceRangeWith=function(e,t,n){if(!n.isInline&&e==t&&this.doc.resolve(e).parent.content.size){var o=function(e,t,n){var r=e.resolve(t);if(r.parent.canReplaceWith(r.index(),r.index(),n))return t;if(0==r.parentOffset)for(var o=r.depth-1;o>=0;o--){var i=r.index(o);if(r.node(o).canReplaceWith(i,i,n))return r.before(o+1);if(i>0)return null}if(r.parentOffset==r.parent.content.size)for(var a=r.depth-1;a>=0;a--){var s=r.indexAfter(a);if(r.node(a).canReplaceWith(s,s,n))return r.after(a+1);if(s<r.node(a).childCount)return null}}(this.doc,e,n.type);null!=o&&(e=t=o)}return this.replaceRange(e,t,new r.Slice(r.Fragment.from(n),0,0))},l.prototype.deleteRange=function(e,t){for(var n=this.doc.resolve(e),r=this.doc.resolve(t),o=I(n,r),i=0;i<o.length;i++){var a=o[i],s=i==o.length-1;if(s&&0==a||n.node(a).type.contentMatch.validEnd)return this.delete(n.start(a),r.end(a));if(a>0&&(s||n.node(a-1).canReplace(n.index(a-1),r.indexAfter(a-1))))return this.delete(n.before(a),r.after(a))}for(var u=1;u<=n.depth&&u<=r.depth;u++)if(e-n.start(u)==n.depth-u&&t>n.end(u)&&r.end(u)-t!=r.depth-u)return this.delete(n.before(u),t);return this.delete(e,t)}},function(e,t,n){"use strict";n.d(t,"a",(function(){return i})),n.d(t,"b",(function(){return a})),n.d(t,"c",(function(){return l})),n.d(t,"d",(function(){return u})),n.d(t,"e",(function(){return c}));var r=n(181),o=n(184),i=function(e,t){var n;this.match=e,this.handler="string"==typeof t?(n=t,function(e,t,r,o){var i=n;if(t[1]){var a=t[0].lastIndexOf(t[1]);i+=t[0].slice(a+t[1].length);var s=(r+=a)-o;s>0&&(i=t[0].slice(a-s,a)+i,r=o)}return e.tr.insertText(i,r,o)}):t};function a(e){var t=e.rules,n=new r.Plugin({state:{init:function(){return null},apply:function(e,t){var n=e.getMeta(this);return n||(e.selectionSet||e.docChanged?null:t)}},props:{handleTextInput:function(e,r,o,i){return s(e,r,o,i,t,n)},handleDOMEvents:{compositionend:function(e){setTimeout((function(){var r=e.state.selection.$cursor;r&&s(e,r.pos,r.pos,"",t,n)}))}}},isInputRules:!0});return n}function s(e,t,n,r,o,i){if(e.composing)return!1;var a=e.state,s=a.doc.resolve(t);if(s.parent.type.spec.code)return!1;for(var u=s.parent.textBetween(Math.max(0,s.parentOffset-500),s.parentOffset,null,"")+r,c=0;c<o.length;c++){var l=o[c].match.exec(u),d=l&&o[c].handler(a,l,t-(l[0].length-r.length),n);if(d)return e.dispatch(d.setMeta(i,{transform:d,from:t,to:n,text:r})),!0}return!1}function u(e,t){for(var n=e.plugins,r=0;r<n.length;r++){var o=n[r],i=void 0;if(o.spec.isInputRules&&(i=o.getState(e))){if(t){for(var a=e.tr,s=i.transform,u=s.steps.length-1;u>=0;u--)a.step(s.steps[u].invert(s.docs[u]));var c=a.doc.resolve(i.from).marks();t(a.replaceWith(i.from,i.to,e.schema.text(i.text,c)))}return!0}}return!1}new i(/--$/,"—"),new i(/\.\.\.$/,"…"),new i(/(?:^|[\s\{\[\(\<'"\u2018\u201C])(")$/,"“"),new i(/"$/,"”"),new i(/(?:^|[\s\{\[\(\<'"\u2018\u201C])(')$/,"‘"),new i(/'$/,"’");function c(e,t,n,r){return new i(e,(function(e,i,a,s){var u=n instanceof Function?n(i):n,c=e.tr.delete(a,s),l=c.doc.resolve(a).blockRange(),d=l&&Object(o.i)(l,t,u);if(!d)return null;c.wrap(l,d);var h=c.doc.resolve(a-1).nodeBefore;return h&&h.type==t&&Object(o.f)(c.doc,a-1)&&(!r||r(i,h))&&c.join(a-1),c}))}function l(e,t,n){return new i(e,(function(e,r,o,i){var a=e.doc.resolve(o),s=n instanceof Function?n(r):n;return a.node(-1).canReplaceWith(a.index(-1),a.indexAfter(-1),t)?e.tr.delete(o,i).setBlockType(o,o,t,s):null}))}},function(e,t,n){window,e.exports=function(e){var t={};function n(r){if(t[r])return t[r].exports;var o=t[r]={i:r,l:!1,exports:{}};return e[r].call(o.exports,o,o.exports,n),o.l=!0,o.exports}return n.m=e,n.c=t,n.d=function(e,t,r){n.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:r})},n.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},n.t=function(e,t){if(1&t&&(e=n(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var r=Object.create(null);if(n.r(r),Object.defineProperty(r,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)n.d(r,o,function(t){return e[t]}.bind(null,o));return r},n.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return n.d(t,"a",t),t},n.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},n.p="/dist/",n(n.s=13)}({1:function(e,t,n){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var n=function(e,t){var n,r,o,i=e[1]||"",a=e[3];if(!a)return i;if(t&&"function"==typeof btoa){var s=(n=a,r=btoa(unescape(encodeURIComponent(JSON.stringify(n)))),o="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(r),"/*# ".concat(o," */")),u=a.sources.map((function(e){return"/*# sourceURL=".concat(a.sourceRoot||"").concat(e," */")}));return[i].concat(u).concat([s]).join("\n")}return[i].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(n,"}"):n})).join("")},t.i=function(e,n,r){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(r)for(var i=0;i<this.length;i++){var a=this[i][0];null!=a&&(o[a]=!0)}for(var s=0;s<e.length;s++){var u=[].concat(e[s]);r&&o[u[0]]||(n&&(u[2]?u[2]="".concat(n," and ").concat(u[2]):u[2]=n),t.push(u))}},t}},13:function(e,t,n){"use strict";n.r(t);var r=n(4);n(43),
/**
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
@@ -27,29 +27,29 @@ function M(e){return(M="function"==typeof Symbol&&"symbol"==typeof Symbol.iterat
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
*/
-r.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data-v-'.concat("6f41c0d",'><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>'),r.VTooltip.options.defaultHtml=!1,t.default=r.VTooltip},2:function(e,t,n){"use strict";function r(e,t){for(var n=[],r={},o=0;o<t.length;o++){var i=t[o],a=i[0],s={id:e+":"+o,css:i[1],media:i[2],sourceMap:i[3]};r[a]?r[a].parts.push(s):n.push(r[a]={id:a,parts:[s]})}return n}n.r(t),n.d(t,"default",(function(){return f}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var i={},a=o&&(document.head||document.getElementsByTagName("head")[0]),s=null,u=0,c=!1,l=function(){},d=null,h="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function f(e,t,n,o){c=n,d=o||{};var a=r(e,t);return p(a),function(t){for(var n=[],o=0;o<a.length;o++){var s=a[o];(u=i[s.id]).refs--,n.push(u)}for(t?p(a=r(e,t)):a=[],o=0;o<n.length;o++){var u;if(0===(u=n[o]).refs){for(var c=0;c<u.parts.length;c++)u.parts[c]();delete i[u.id]}}}}function p(e){for(var t=0;t<e.length;t++){var n=e[t],r=i[n.id];if(r){r.refs++;for(var o=0;o<r.parts.length;o++)r.parts[o](n.parts[o]);for(;o<n.parts.length;o++)r.parts.push(_(n.parts[o]));r.parts.length>n.parts.length&&(r.parts.length=n.parts.length)}else{var a=[];for(o=0;o<n.parts.length;o++)a.push(_(n.parts[o]));i[n.id]={id:n.id,refs:1,parts:a}}}}function m(){var e=document.createElement("style");return e.type="text/css",a.appendChild(e),e}function _(e){var t,n,r=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(r){if(c)return l;r.parentNode.removeChild(r)}if(h){var o=u++;r=s||(s=m()),t=v.bind(null,r,o,!1),n=v.bind(null,r,o,!0)}else r=m(),t=M.bind(null,r),n=function(){r.parentNode.removeChild(r)};return t(e),function(r){if(r){if(r.css===e.css&&r.media===e.media&&r.sourceMap===e.sourceMap)return;t(e=r)}else n()}}var g,y=(g=[],function(e,t){return g[e]=t,g.filter(Boolean).join("\n")});function v(e,t,n,r){var o=n?"":r.css;if(e.styleSheet)e.styleSheet.cssText=y(t,o);else{var i=document.createTextNode(o),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(i,a[t]):e.appendChild(i)}}function M(e,t){var n=t.css,r=t.media,o=t.sourceMap;if(r&&e.setAttribute("media",r),d.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(n+="\n/*# sourceURL="+o.sources[0]+" */",n+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=n;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(n))}}},4:function(e,t){e.exports=n(193)},42:function(e,t,n){var r=n(43);"string"==typeof r&&(r=[[e.i,r,""]]),r.locals&&(e.exports=r.locals),(0,n(2).default)("941c791e",r,!0,{})},43:function(e,t,n){(t=n(1)(!1)).push([e.i,".vue-tooltip[data-v-6f41c0d]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;white-space:normal;text-decoration:none;letter-spacing:normal;word-spacing:normal;text-transform:none;word-wrap:normal;word-break:normal;opacity:0;text-shadow:none;font-family:'Nunito', 'Open Sans', Frutiger, Calibri, 'Myriad Pro', Myriad, sans-serif;font-size:12px;font-weight:normal;font-style:normal;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-6f41c0d][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-6f41c0d][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-6f41c0d][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-6f41c0d] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-6f41c0d] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\n",""]),e.exports=t}})},,function(e,t,n){"use strict";n.d(t,"a",(function(){return Z})),n.d(t,"b",(function(){return de})),n.d(t,"c",(function(){return X})),n.d(t,"d",(function(){return he})),n.d(t,"e",(function(){return Q})),n.d(t,"f",(function(){return te})),n.d(t,"g",(function(){return _e})),n.d(t,"h",(function(){return ne})),n.d(t,"i",(function(){return re})),n.d(t,"j",(function(){return ge})),n.d(t,"k",(function(){return oe})),n.d(t,"l",(function(){return ae})),n.d(t,"m",(function(){return fe})),n.d(t,"n",(function(){return pe})),n.d(t,"o",(function(){return se})),n.d(t,"p",(function(){return ce})),n.d(t,"q",(function(){return ye})),n.d(t,"r",(function(){return me}));var r=n(183),o=n(196),i=n(558),a=n.n(i),s=n(190),u=n(192),c=n(181),l=n(352),d=n(191),h=n(184),f=n(198),p=function(){};p.prototype.append=function(e){return e.length?(e=p.from(e),!this.length&&e||e.length<200&&this.leafAppend(e)||this.length<200&&e.leafPrepend(this)||this.appendInner(e)):this},p.prototype.prepend=function(e){return e.length?p.from(e).append(this):this},p.prototype.appendInner=function(e){return new _(this,e)},p.prototype.slice=function(e,t){return void 0===e&&(e=0),void 0===t&&(t=this.length),e>=t?p.empty:this.sliceInner(Math.max(0,e),Math.min(this.length,t))},p.prototype.get=function(e){if(!(e<0||e>=this.length))return this.getInner(e)},p.prototype.forEach=function(e,t,n){void 0===t&&(t=0),void 0===n&&(n=this.length),t<=n?this.forEachInner(e,t,n,0):this.forEachInvertedInner(e,t,n,0)},p.prototype.map=function(e,t,n){void 0===t&&(t=0),void 0===n&&(n=this.length);var r=[];return this.forEach((function(t,n){return r.push(e(t,n))}),t,n),r},p.from=function(e){return e instanceof p?e:e&&e.length?new m(e):p.empty};var m=function(e){function t(t){e.call(this),this.values=t}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={length:{configurable:!0},depth:{configurable:!0}};return t.prototype.flatten=function(){return this.values},t.prototype.sliceInner=function(e,n){return 0==e&&n==this.length?this:new t(this.values.slice(e,n))},t.prototype.getInner=function(e){return this.values[e]},t.prototype.forEachInner=function(e,t,n,r){for(var o=t;o<n;o++)if(!1===e(this.values[o],r+o))return!1},t.prototype.forEachInvertedInner=function(e,t,n,r){for(var o=t-1;o>=n;o--)if(!1===e(this.values[o],r+o))return!1},t.prototype.leafAppend=function(e){if(this.length+e.length<=200)return new t(this.values.concat(e.flatten()))},t.prototype.leafPrepend=function(e){if(this.length+e.length<=200)return new t(e.flatten().concat(this.values))},n.length.get=function(){return this.values.length},n.depth.get=function(){return 0},Object.defineProperties(t.prototype,n),t}(p);p.empty=new m([]);var _=function(e){function t(t,n){e.call(this),this.left=t,this.right=n,this.length=t.length+n.length,this.depth=Math.max(t.depth,n.depth)+1}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.flatten=function(){return this.left.flatten().concat(this.right.flatten())},t.prototype.getInner=function(e){return e<this.left.length?this.left.get(e):this.right.get(e-this.left.length)},t.prototype.forEachInner=function(e,t,n,r){var o=this.left.length;return!(t<o&&!1===this.left.forEachInner(e,t,Math.min(n,o),r))&&(!(n>o&&!1===this.right.forEachInner(e,Math.max(t-o,0),Math.min(this.length,n)-o,r+o))&&void 0)},t.prototype.forEachInvertedInner=function(e,t,n,r){var o=this.left.length;return!(t>o&&!1===this.right.forEachInvertedInner(e,t-o,Math.max(n,o)-o,r+o))&&(!(n<o&&!1===this.left.forEachInvertedInner(e,Math.min(t,o),n,r))&&void 0)},t.prototype.sliceInner=function(e,t){if(0==e&&t==this.length)return this;var n=this.left.length;return t<=n?this.left.slice(e,t):e>=n?this.right.slice(e-n,t-n):this.left.slice(e,n).append(this.right.slice(0,t-n))},t.prototype.leafAppend=function(e){var n=this.right.leafAppend(e);if(n)return new t(this.left,n)},t.prototype.leafPrepend=function(e){var n=this.left.leafPrepend(e);if(n)return new t(n,this.right)},t.prototype.appendInner=function(e){return this.left.depth>=Math.max(this.right.depth,e.depth)+1?new t(this.left,new t(this.right,e)):new t(this,e)},t}(p),g=p,y=function(e,t){this.items=e,this.eventCount=t};y.prototype.popEvent=function(e,t){var n=this;if(0==this.eventCount)return null;for(var r,o,i=this.items.length;;i--){if(this.items.get(i-1).selection){--i;break}}t&&(r=this.remapping(i,this.items.length),o=r.maps.length);var a,s,u=e.tr,c=[],l=[];return this.items.forEach((function(e,t){if(!e.step)return r||(r=n.remapping(i,t+1),o=r.maps.length),o--,void l.push(e);if(r){l.push(new v(e.map));var d,h=e.step.map(r.slice(o));h&&u.maybeStep(h).doc&&(d=u.mapping.maps[u.mapping.maps.length-1],c.push(new v(d,null,null,c.length+l.length))),o--,d&&r.appendMap(d,o)}else u.maybeStep(e.step);return e.selection?(a=r?e.selection.map(r.slice(o)):e.selection,s=new y(n.items.slice(0,i).append(l.reverse().concat(c)),n.eventCount-1),!1):void 0}),this.items.length,0),{remaining:s,transform:u,selection:a}},y.prototype.addTransform=function(e,t,n,r){for(var o=[],i=this.eventCount,a=this.items,s=!r&&a.length?a.get(a.length-1):null,u=0;u<e.steps.length;u++){var c,l=e.steps[u].invert(e.docs[u]),d=new v(e.mapping.maps[u],l,t);(c=s&&s.merge(d))&&(d=c,u?o.pop():a=a.slice(0,a.length-1)),o.push(d),t&&(i++,t=null),r||(s=d)}var h,f,p,m=i-n.depth;return m>k&&(f=m,(h=a).forEach((function(e,t){if(e.selection&&0==f--)return p=t,!1})),a=h.slice(p),i-=m),new y(a.append(o),i)},y.prototype.remapping=function(e,t){var n=new h.a;return this.items.forEach((function(t,r){var o=null!=t.mirrorOffset&&r-t.mirrorOffset>=e?n.maps.length-t.mirrorOffset:null;n.appendMap(t.map,o)}),e,t),n},y.prototype.addMaps=function(e){return 0==this.eventCount?this:new y(this.items.append(e.map((function(e){return new v(e)}))),this.eventCount)},y.prototype.rebased=function(e,t){if(!this.eventCount)return this;var n=[],r=Math.max(0,this.items.length-t),o=e.mapping,i=e.steps.length,a=this.eventCount;this.items.forEach((function(e){e.selection&&a--}),r);var s=t;this.items.forEach((function(t){var r=o.getMirror(--s);if(null!=r){i=Math.min(i,r);var u=o.maps[r];if(t.step){var c=e.steps[r].invert(e.docs[r]),l=t.selection&&t.selection.map(o.slice(s+1,r));l&&a++,n.push(new v(u,c,l))}else n.push(new v(u))}}),r);for(var u=[],c=t;c<i;c++)u.push(new v(o.maps[c]));var l=this.items.slice(0,r).append(u).append(n),d=new y(l,a);return d.emptyItemCount()>500&&(d=d.compress(this.items.length-n.length)),d},y.prototype.emptyItemCount=function(){var e=0;return this.items.forEach((function(t){t.step||e++})),e},y.prototype.compress=function(e){void 0===e&&(e=this.items.length);var t=this.remapping(0,e),n=t.maps.length,r=[],o=0;return this.items.forEach((function(i,a){if(a>=e)r.push(i),i.selection&&o++;else if(i.step){var s=i.step.map(t.slice(n)),u=s&&s.getMap();if(n--,u&&t.appendMap(u,n),s){var c=i.selection&&i.selection.map(t.slice(n));c&&o++;var l,d=new v(u.invert(),s,c),h=r.length-1;(l=r.length&&r[h].merge(d))?r[h]=l:r.push(d)}}else i.map&&n--}),this.items.length,0),new y(g.from(r.reverse()),o)},y.empty=new y(g.empty,0);var v=function(e,t,n,r){this.map=e,this.step=t,this.selection=n,this.mirrorOffset=r};v.prototype.merge=function(e){if(this.step&&e.step&&!e.selection){var t=e.step.merge(this.step);if(t)return new v(t.getMap().invert(),t,this.selection)}};var M=function(e,t,n,r){this.done=e,this.undone=t,this.prevRanges=n,this.prevTime=r},k=20;function b(e){var t=[];return e.forEach((function(e,n,r,o){return t.push(r,o)})),t}function w(e,t){if(!e)return null;for(var n=[],r=0;r<e.length;r+=2){var o=t.map(e[r],1),i=t.map(e[r+1],-1);o<=i&&n.push(o,i)}return n}function L(e,t,n,r){var o=x(t),i=S.get(t).spec.config,a=(r?e.undone:e.done).popEvent(t,o);if(a){var s=a.selection.resolve(a.transform.doc),u=(r?e.done:e.undone).addTransform(a.transform,t.selection.getBookmark(),i,o),c=new M(r?u:a.remaining,r?a.remaining:u,null,0);n(a.transform.setSelection(s).setMeta(S,{redo:r,historyState:c}).scrollIntoView())}}var T=!1,D=null;function x(e){var t=e.plugins;if(D!=t){T=!1,D=t;for(var n=0;n<t.length;n++)if(t[n].spec.historyPreserveItems){T=!0;break}}return T}var S=new c.PluginKey("history"),Y=new c.PluginKey("closeHistory");function O(e){return e={depth:e&&e.depth||100,newGroupDelay:e&&e.newGroupDelay||500},new c.Plugin({key:S,state:{init:function(){return new M(y.empty,y.empty,null,0)},apply:function(t,n,r){return function(e,t,n,r){var o,i=n.getMeta(S);if(i)return i.historyState;n.getMeta(Y)&&(e=new M(e.done,e.undone,null,0));var a=n.getMeta("appendedTransaction");if(0==n.steps.length)return e;if(a&&a.getMeta(S))return a.getMeta(S).redo?new M(e.done.addTransform(n,null,r,x(t)),e.undone,b(n.mapping.maps[n.steps.length-1]),e.prevTime):new M(e.done,e.undone.addTransform(n,null,r,x(t)),null,e.prevTime);if(!1===n.getMeta("addToHistory")||a&&!1===a.getMeta("addToHistory"))return(o=n.getMeta("rebased"))?new M(e.done.rebased(n,o),e.undone.rebased(n,o),w(e.prevRanges,n.mapping),e.prevTime):new M(e.done.addMaps(n.mapping.maps),e.undone.addMaps(n.mapping.maps),w(e.prevRanges,n.mapping),e.prevTime);var s=0==e.prevTime||!a&&(e.prevTime<(n.time||0)-r.newGroupDelay||!function(e,t){if(!t)return!1;if(!e.docChanged)return!0;var n=!1;return e.mapping.maps[0].forEach((function(e,r){for(var o=0;o<t.length;o+=2)e<=t[o+1]&&r>=t[o]&&(n=!0)})),n}(n,e.prevRanges)),u=a?w(e.prevRanges,n.mapping):b(n.mapping.maps[n.steps.length-1]);return new M(e.done.addTransform(n,s?t.selection.getBookmark():null,r,x(t)),y.empty,u,n.time)}(n,r,t,e)}},config:e})}function C(e,t){var n=S.getState(e);return!(!n||0==n.done.eventCount)&&(t&&L(n,e,t,!1),!0)}function E(e,t){var n=S.getState(e);return!(!n||0==n.undone.eventCount)&&(t&&L(n,e,t,!0),!0)}function A(e){var t=S.getState(e);return t?t.done.eventCount:0}function j(e){var t=S.getState(e);return t?t.undone.eventCount:0}
+r.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data-v-'.concat("91c9b6f",'><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>'),r.VTooltip.options.defaultHtml=!1,t.default=r.VTooltip},2:function(e,t,n){"use strict";function r(e,t){for(var n=[],r={},o=0;o<t.length;o++){var i=t[o],a=i[0],s={id:e+":"+o,css:i[1],media:i[2],sourceMap:i[3]};r[a]?r[a].parts.push(s):n.push(r[a]={id:a,parts:[s]})}return n}n.r(t),n.d(t,"default",(function(){return f}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var i={},a=o&&(document.head||document.getElementsByTagName("head")[0]),s=null,u=0,c=!1,l=function(){},d=null,h="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function f(e,t,n,o){c=n,d=o||{};var a=r(e,t);return p(a),function(t){for(var n=[],o=0;o<a.length;o++){var s=a[o];(u=i[s.id]).refs--,n.push(u)}for(t?p(a=r(e,t)):a=[],o=0;o<n.length;o++){var u;if(0===(u=n[o]).refs){for(var c=0;c<u.parts.length;c++)u.parts[c]();delete i[u.id]}}}}function p(e){for(var t=0;t<e.length;t++){var n=e[t],r=i[n.id];if(r){r.refs++;for(var o=0;o<r.parts.length;o++)r.parts[o](n.parts[o]);for(;o<n.parts.length;o++)r.parts.push(_(n.parts[o]));r.parts.length>n.parts.length&&(r.parts.length=n.parts.length)}else{var a=[];for(o=0;o<n.parts.length;o++)a.push(_(n.parts[o]));i[n.id]={id:n.id,refs:1,parts:a}}}}function m(){var e=document.createElement("style");return e.type="text/css",a.appendChild(e),e}function _(e){var t,n,r=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(r){if(c)return l;r.parentNode.removeChild(r)}if(h){var o=u++;r=s||(s=m()),t=v.bind(null,r,o,!1),n=v.bind(null,r,o,!0)}else r=m(),t=M.bind(null,r),n=function(){r.parentNode.removeChild(r)};return t(e),function(r){if(r){if(r.css===e.css&&r.media===e.media&&r.sourceMap===e.sourceMap)return;t(e=r)}else n()}}var g,y=(g=[],function(e,t){return g[e]=t,g.filter(Boolean).join("\n")});function v(e,t,n,r){var o=n?"":r.css;if(e.styleSheet)e.styleSheet.cssText=y(t,o);else{var i=document.createTextNode(o),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(i,a[t]):e.appendChild(i)}}function M(e,t){var n=t.css,r=t.media,o=t.sourceMap;if(r&&e.setAttribute("media",r),d.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(n+="\n/*# sourceURL="+o.sources[0]+" */",n+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=n;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(n))}}},4:function(e,t){e.exports=n(193)},43:function(e,t,n){var r=n(44);"string"==typeof r&&(r=[[e.i,r,""]]),r.locals&&(e.exports=r.locals),(0,n(2).default)("941c791e",r,!0,{})},44:function(e,t,n){(t=n(1)(!1)).push([e.i,".vue-tooltip[data-v-91c9b6f]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;opacity:0;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-91c9b6f][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-91c9b6f][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-91c9b6f][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-91c9b6f] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-91c9b6f] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\n",""]),e.exports=t}})},,function(e,t,n){"use strict";n.d(t,"a",(function(){return Z})),n.d(t,"b",(function(){return de})),n.d(t,"c",(function(){return X})),n.d(t,"d",(function(){return he})),n.d(t,"e",(function(){return Q})),n.d(t,"f",(function(){return te})),n.d(t,"g",(function(){return _e})),n.d(t,"h",(function(){return ne})),n.d(t,"i",(function(){return re})),n.d(t,"j",(function(){return ge})),n.d(t,"k",(function(){return oe})),n.d(t,"l",(function(){return ae})),n.d(t,"m",(function(){return fe})),n.d(t,"n",(function(){return pe})),n.d(t,"o",(function(){return se})),n.d(t,"p",(function(){return ce})),n.d(t,"q",(function(){return ye})),n.d(t,"r",(function(){return me}));var r=n(183),o=n(196),i=n(559),a=n.n(i),s=n(190),u=n(192),c=n(181),l=(n(353),n(352)),d=n(191),h=n(184),f=n(198),p=function(){};p.prototype.append=function(e){return e.length?(e=p.from(e),!this.length&&e||e.length<200&&this.leafAppend(e)||this.length<200&&e.leafPrepend(this)||this.appendInner(e)):this},p.prototype.prepend=function(e){return e.length?p.from(e).append(this):this},p.prototype.appendInner=function(e){return new _(this,e)},p.prototype.slice=function(e,t){return void 0===e&&(e=0),void 0===t&&(t=this.length),e>=t?p.empty:this.sliceInner(Math.max(0,e),Math.min(this.length,t))},p.prototype.get=function(e){if(!(e<0||e>=this.length))return this.getInner(e)},p.prototype.forEach=function(e,t,n){void 0===t&&(t=0),void 0===n&&(n=this.length),t<=n?this.forEachInner(e,t,n,0):this.forEachInvertedInner(e,t,n,0)},p.prototype.map=function(e,t,n){void 0===t&&(t=0),void 0===n&&(n=this.length);var r=[];return this.forEach((function(t,n){return r.push(e(t,n))}),t,n),r},p.from=function(e){return e instanceof p?e:e&&e.length?new m(e):p.empty};var m=function(e){function t(t){e.call(this),this.values=t}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={length:{configurable:!0},depth:{configurable:!0}};return t.prototype.flatten=function(){return this.values},t.prototype.sliceInner=function(e,n){return 0==e&&n==this.length?this:new t(this.values.slice(e,n))},t.prototype.getInner=function(e){return this.values[e]},t.prototype.forEachInner=function(e,t,n,r){for(var o=t;o<n;o++)if(!1===e(this.values[o],r+o))return!1},t.prototype.forEachInvertedInner=function(e,t,n,r){for(var o=t-1;o>=n;o--)if(!1===e(this.values[o],r+o))return!1},t.prototype.leafAppend=function(e){if(this.length+e.length<=200)return new t(this.values.concat(e.flatten()))},t.prototype.leafPrepend=function(e){if(this.length+e.length<=200)return new t(e.flatten().concat(this.values))},n.length.get=function(){return this.values.length},n.depth.get=function(){return 0},Object.defineProperties(t.prototype,n),t}(p);p.empty=new m([]);var _=function(e){function t(t,n){e.call(this),this.left=t,this.right=n,this.length=t.length+n.length,this.depth=Math.max(t.depth,n.depth)+1}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.flatten=function(){return this.left.flatten().concat(this.right.flatten())},t.prototype.getInner=function(e){return e<this.left.length?this.left.get(e):this.right.get(e-this.left.length)},t.prototype.forEachInner=function(e,t,n,r){var o=this.left.length;return!(t<o&&!1===this.left.forEachInner(e,t,Math.min(n,o),r))&&(!(n>o&&!1===this.right.forEachInner(e,Math.max(t-o,0),Math.min(this.length,n)-o,r+o))&&void 0)},t.prototype.forEachInvertedInner=function(e,t,n,r){var o=this.left.length;return!(t>o&&!1===this.right.forEachInvertedInner(e,t-o,Math.max(n,o)-o,r+o))&&(!(n<o&&!1===this.left.forEachInvertedInner(e,Math.min(t,o),n,r))&&void 0)},t.prototype.sliceInner=function(e,t){if(0==e&&t==this.length)return this;var n=this.left.length;return t<=n?this.left.slice(e,t):e>=n?this.right.slice(e-n,t-n):this.left.slice(e,n).append(this.right.slice(0,t-n))},t.prototype.leafAppend=function(e){var n=this.right.leafAppend(e);if(n)return new t(this.left,n)},t.prototype.leafPrepend=function(e){var n=this.left.leafPrepend(e);if(n)return new t(n,this.right)},t.prototype.appendInner=function(e){return this.left.depth>=Math.max(this.right.depth,e.depth)+1?new t(this.left,new t(this.right,e)):new t(this,e)},t}(p),g=p,y=function(e,t){this.items=e,this.eventCount=t};y.prototype.popEvent=function(e,t){var n=this;if(0==this.eventCount)return null;for(var r,o,i=this.items.length;;i--){if(this.items.get(i-1).selection){--i;break}}t&&(r=this.remapping(i,this.items.length),o=r.maps.length);var a,s,u=e.tr,c=[],l=[];return this.items.forEach((function(e,t){if(!e.step)return r||(r=n.remapping(i,t+1),o=r.maps.length),o--,void l.push(e);if(r){l.push(new v(e.map));var d,h=e.step.map(r.slice(o));h&&u.maybeStep(h).doc&&(d=u.mapping.maps[u.mapping.maps.length-1],c.push(new v(d,null,null,c.length+l.length))),o--,d&&r.appendMap(d,o)}else u.maybeStep(e.step);return e.selection?(a=r?e.selection.map(r.slice(o)):e.selection,s=new y(n.items.slice(0,i).append(l.reverse().concat(c)),n.eventCount-1),!1):void 0}),this.items.length,0),{remaining:s,transform:u,selection:a}},y.prototype.addTransform=function(e,t,n,r){for(var o=[],i=this.eventCount,a=this.items,s=!r&&a.length?a.get(a.length-1):null,u=0;u<e.steps.length;u++){var c,l=e.steps[u].invert(e.docs[u]),d=new v(e.mapping.maps[u],l,t);(c=s&&s.merge(d))&&(d=c,u?o.pop():a=a.slice(0,a.length-1)),o.push(d),t&&(i++,t=null),r||(s=d)}var h,f,p,m=i-n.depth;return m>k&&(f=m,(h=a).forEach((function(e,t){if(e.selection&&0==f--)return p=t,!1})),a=h.slice(p),i-=m),new y(a.append(o),i)},y.prototype.remapping=function(e,t){var n=new h.a;return this.items.forEach((function(t,r){var o=null!=t.mirrorOffset&&r-t.mirrorOffset>=e?n.maps.length-t.mirrorOffset:null;n.appendMap(t.map,o)}),e,t),n},y.prototype.addMaps=function(e){return 0==this.eventCount?this:new y(this.items.append(e.map((function(e){return new v(e)}))),this.eventCount)},y.prototype.rebased=function(e,t){if(!this.eventCount)return this;var n=[],r=Math.max(0,this.items.length-t),o=e.mapping,i=e.steps.length,a=this.eventCount;this.items.forEach((function(e){e.selection&&a--}),r);var s=t;this.items.forEach((function(t){var r=o.getMirror(--s);if(null!=r){i=Math.min(i,r);var u=o.maps[r];if(t.step){var c=e.steps[r].invert(e.docs[r]),l=t.selection&&t.selection.map(o.slice(s+1,r));l&&a++,n.push(new v(u,c,l))}else n.push(new v(u))}}),r);for(var u=[],c=t;c<i;c++)u.push(new v(o.maps[c]));var l=this.items.slice(0,r).append(u).append(n),d=new y(l,a);return d.emptyItemCount()>500&&(d=d.compress(this.items.length-n.length)),d},y.prototype.emptyItemCount=function(){var e=0;return this.items.forEach((function(t){t.step||e++})),e},y.prototype.compress=function(e){void 0===e&&(e=this.items.length);var t=this.remapping(0,e),n=t.maps.length,r=[],o=0;return this.items.forEach((function(i,a){if(a>=e)r.push(i),i.selection&&o++;else if(i.step){var s=i.step.map(t.slice(n)),u=s&&s.getMap();if(n--,u&&t.appendMap(u,n),s){var c=i.selection&&i.selection.map(t.slice(n));c&&o++;var l,d=new v(u.invert(),s,c),h=r.length-1;(l=r.length&&r[h].merge(d))?r[h]=l:r.push(d)}}else i.map&&n--}),this.items.length,0),new y(g.from(r.reverse()),o)},y.empty=new y(g.empty,0);var v=function(e,t,n,r){this.map=e,this.step=t,this.selection=n,this.mirrorOffset=r};v.prototype.merge=function(e){if(this.step&&e.step&&!e.selection){var t=e.step.merge(this.step);if(t)return new v(t.getMap().invert(),t,this.selection)}};var M=function(e,t,n,r){this.done=e,this.undone=t,this.prevRanges=n,this.prevTime=r},k=20;function b(e){var t=[];return e.forEach((function(e,n,r,o){return t.push(r,o)})),t}function w(e,t){if(!e)return null;for(var n=[],r=0;r<e.length;r+=2){var o=t.map(e[r],1),i=t.map(e[r+1],-1);o<=i&&n.push(o,i)}return n}function L(e,t,n,r){var o=x(t),i=S.get(t).spec.config,a=(r?e.undone:e.done).popEvent(t,o);if(a){var s=a.selection.resolve(a.transform.doc),u=(r?e.done:e.undone).addTransform(a.transform,t.selection.getBookmark(),i,o),c=new M(r?u:a.remaining,r?a.remaining:u,null,0);n(a.transform.setSelection(s).setMeta(S,{redo:r,historyState:c}).scrollIntoView())}}var T=!1,D=null;function x(e){var t=e.plugins;if(D!=t){T=!1,D=t;for(var n=0;n<t.length;n++)if(t[n].spec.historyPreserveItems){T=!0;break}}return T}var S=new c.PluginKey("history"),Y=new c.PluginKey("closeHistory");function O(e){return e={depth:e&&e.depth||100,newGroupDelay:e&&e.newGroupDelay||500},new c.Plugin({key:S,state:{init:function(){return new M(y.empty,y.empty,null,0)},apply:function(t,n,r){return function(e,t,n,r){var o,i=n.getMeta(S);if(i)return i.historyState;n.getMeta(Y)&&(e=new M(e.done,e.undone,null,0));var a=n.getMeta("appendedTransaction");if(0==n.steps.length)return e;if(a&&a.getMeta(S))return a.getMeta(S).redo?new M(e.done.addTransform(n,null,r,x(t)),e.undone,b(n.mapping.maps[n.steps.length-1]),e.prevTime):new M(e.done,e.undone.addTransform(n,null,r,x(t)),null,e.prevTime);if(!1===n.getMeta("addToHistory")||a&&!1===a.getMeta("addToHistory"))return(o=n.getMeta("rebased"))?new M(e.done.rebased(n,o),e.undone.rebased(n,o),w(e.prevRanges,n.mapping),e.prevTime):new M(e.done.addMaps(n.mapping.maps),e.undone.addMaps(n.mapping.maps),w(e.prevRanges,n.mapping),e.prevTime);var s=0==e.prevTime||!a&&(e.prevTime<(n.time||0)-r.newGroupDelay||!function(e,t){if(!t)return!1;if(!e.docChanged)return!0;var n=!1;return e.mapping.maps[0].forEach((function(e,r){for(var o=0;o<t.length;o+=2)e<=t[o+1]&&r>=t[o]&&(n=!0)})),n}(n,e.prevRanges)),u=a?w(e.prevRanges,n.mapping):b(n.mapping.maps[n.steps.length-1]);return new M(e.done.addTransform(n,s?t.selection.getBookmark():null,r,x(t)),y.empty,u,n.time)}(n,r,t,e)}},config:e})}function C(e,t){var n=S.getState(e);return!(!n||0==n.done.eventCount)&&(t&&L(n,e,t,!1),!0)}function E(e,t){var n=S.getState(e);return!(!n||0==n.undone.eventCount)&&(t&&L(n,e,t,!0),!0)}function A(e){var t=S.getState(e);return t?t.done.eventCount:0}function j(e){var t=S.getState(e);return t?t.undone.eventCount:0}
/*!
- * tiptap-extensions v1.29.0
- * (c) 2020 Scrumpy UG (limited liability)
+ * tiptap-extensions v1.32.1
+ * (c) 2020 überdosis GbR (limited liability)
* @license MIT
- */function H(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function P(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function N(e,t,n){return t&&P(e.prototype,t),n&&P(e,n),e}function R(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function F(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function I(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?F(Object(n),!0).forEach((function(t){R(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):F(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function z(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&$(e,t)}function W(e){return(W=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)})(e)}function $(e,t){return($=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e})(e,t)}function B(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}function q(e,t){return!t||"object"!=typeof t&&"function"!=typeof t?function(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}(e):t}function U(e){return function(){var t,n=W(e);if(B()){var r=W(this).constructor;t=Reflect.construct(n,arguments,r)}else t=n.apply(this,arguments);return q(this,t)}}function V(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){if("undefined"==typeof Symbol||!(Symbol.iterator in Object(e)))return;var n=[],r=!0,o=!1,i=void 0;try{for(var a,s=e[Symbol.iterator]();!(r=(a=s.next()).done)&&(n.push(a.value),!t||n.length!==t);r=!0);}catch(e){o=!0,i=e}finally{try{r||null==s.return||s.return()}finally{if(o)throw i}}return n}(e,t)||G(e,t)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function J(e){return function(e){if(Array.isArray(e))return K(e)}(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||G(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function G(e,t){if(e){if("string"==typeof e)return K(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(n):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?K(e,t):void 0}}function K(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}var Z=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(){return Object(o.s)(t,n.nodes.paragraph)}}},{key:"keys",value:function(e){var t=e.type;return{"Ctrl->":Object(o.s)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.u)(/^\s*>\s$/,t)]}},{key:"name",get:function(){return"blockquote"}},{key:"schema",get:function(){return{content:"block*",group:"block",defining:!0,draggable:!1,parseDOM:[{tag:"blockquote"}],toDOM:function(){return["blockquote",0]}}}}]),n}(r.g),X=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(){return Object(o.q)(t,n.nodes.list_item)}}},{key:"keys",value:function(e){var t=e.type,n=e.schema;return{"Shift-Ctrl-8":Object(o.q)(t,n.nodes.list_item)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.u)(/^\s*([-+*])\s$/,t)]}},{key:"name",get:function(){return"bullet_list"}},{key:"schema",get:function(){return{content:"list_item+",group:"block",parseDOM:[{tag:"ul"}],toDOM:function(){return["ul",0]}}}}]),n}(r.g),Q=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(){return Object(o.p)(t,n.nodes.paragraph)}}},{key:"keys",value:function(e){var t=e.type;return{"Shift-Ctrl-\\":Object(o.k)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.o)(/^```$/,t)]}},{key:"name",get:function(){return"code_block"}},{key:"schema",get:function(){return{content:"text*",marks:"",group:"block",code:!0,defining:!0,draggable:!1,parseDOM:[{tag:"pre",preserveWhitespace:"full"}],toDOM:function(){return["pre",["code",0]]}}}}]),n}(r.g);function ee(e){var t=e.doc,n=e.name,r=[],o=Object(u.findBlockNodes)(t).filter((function(e){return e.node.type.name===n}));return o.forEach((function(e){var t=e.pos+1;(function e(t){return t.reduce((function(t,n){return t.concat(Array.isArray(n)?e(n):n)}),[])})(function e(t){var n=arguments.length>1&&void 0!==arguments[1]?arguments[1]:[];return t.map((function(t){var r=[].concat(J(n),J(t.properties?t.properties.className:[]));return t.children?e(t.children,r):{text:t.value,classes:r}}))}(a.a.highlightAuto(e.node.textContent).value)).map((function(e){var n=t,r=n+e.text.length;return t=r,I({},e,{from:n,to:r})})).forEach((function(e){var t=s.a.inline(e.from,e.to,{class:e.classes.join(" ")});r.push(t)}))})),s.b.create(t,r)}var te=function(e){z(n,e);var t=U(n);function n(){var e,r=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};H(this,n),e=t.call(this,r);try{Object.entries(e.options.languages).forEach((function(e){var t=V(e,2),n=t[0],r=t[1];a.a.registerLanguage(n,r)}))}catch(e){throw new Error("Invalid syntax highlight definitions: define at least one highlight.js language mapping")}return e}return N(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(){return Object(o.p)(t,n.nodes.paragraph)}}},{key:"keys",value:function(e){var t=e.type;return{"Shift-Ctrl-\\":Object(o.k)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.o)(/^```$/,t)]}},{key:"name",get:function(){return"code_block"}},{key:"defaultOptions",get:function(){return{languages:{}}}},{key:"schema",get:function(){return{content:"text*",marks:"",group:"block",code:!0,defining:!0,draggable:!1,parseDOM:[{tag:"pre",preserveWhitespace:"full"}],toDOM:function(){return["pre",["code",0]]}}}},{key:"plugins",get:function(){return[(e={name:this.name},t=e.name,new r.h({name:new r.i("highlight"),state:{init:function(e,n){return ee({doc:n.doc,name:t})},apply:function(e,n,r,o){var i=r.selection.$head.parent.type.name,a=o.selection.$head.parent.type.name,s=Object(u.findBlockNodes)(r.doc).filter((function(e){return e.node.type.name===t})),c=Object(u.findBlockNodes)(o.doc).filter((function(e){return e.node.type.name===t}));return e.docChanged&&([i,a].includes(t)||c.length!==s.length)?ee({doc:e.doc,name:t}):n.map(e.mapping,e.doc)}},props:{decorations:function(e){return this.getState(e)}}}))];var e,t}}]),n}(r.g),ne=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"keys",value:function(e){var t=e.type,n=Object(o.a)(o.b,(function(e,n){return n(e.tr.replaceSelectionWith(t.create()).scrollIntoView()),!0}));return{"Mod-Enter":n,"Shift-Enter":n}}},{key:"name",get:function(){return"hard_break"}},{key:"schema",get:function(){return{inline:!0,group:"inline",selectable:!1,parseDOM:[{tag:"br"}],toDOM:function(){return["br"]}}}}]),n}(r.g),re=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(e){return Object(o.p)(t,n.nodes.paragraph,e)}}},{key:"keys",value:function(e){var t=e.type;return this.options.levels.reduce((function(e,n){return I({},e,{},R({},"Shift-Ctrl-".concat(n),Object(o.k)(t,{level:n})))}),{})}},{key:"inputRules",value:function(e){var t=e.type;return this.options.levels.map((function(e){return Object(o.o)(new RegExp("^(#{1,".concat(e,"})\\s$")),t,(function(){return{level:e}}))}))}},{key:"name",get:function(){return"heading"}},{key:"defaultOptions",get:function(){return{levels:[1,2,3,4,5,6]}}},{key:"schema",get:function(){return{attrs:{level:{default:1}},content:"inline*",group:"block",defining:!0,draggable:!1,parseDOM:this.options.levels.map((function(e){return{tag:"h".concat(e),attrs:{level:e}}})),toDOM:function(e){return["h".concat(e.attrs.level),0]}}}}]),n}(r.g),oe=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"commands",value:function(e){var t=e.type;return function(){return function(e,n){return n(e.tr.replaceSelectionWith(t.create()))}}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.g)(/^(?:---|___\s|\*\*\*\s)$/,t)]}},{key:"name",get:function(){return"horizontal_rule"}},{key:"schema",get:function(){return{group:"block",parseDOM:[{tag:"hr"}],toDOM:function(){return["hr"]}}}}]),n}(r.g),ie=/!\[(.+|:?)]\((\S+)(?:(?:\s+)["'](\S+)["'])?\)/,ae=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"commands",value:function(e){var t=e.type;return function(e){return function(n,r){var o=n.selection,i=o.$cursor?o.$cursor.pos:o.$to.pos,a=t.create(e);r(n.tr.insert(i,a))}}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.g)(ie,t,(function(e){var t=V(e,4),n=t[1];return{src:t[2],alt:n,title:t[3]}}))]}},{key:"name",get:function(){return"image"}},{key:"schema",get:function(){return{inline:!0,attrs:{src:{},alt:{default:null},title:{default:null}},group:"inline",draggable:!0,parseDOM:[{tag:"img[src]",getAttrs:function(e){return{src:e.getAttribute("src"),title:e.getAttribute("title"),alt:e.getAttribute("alt")}}}],toDOM:function(e){return["img",e.attrs]}}}},{key:"plugins",get:function(){return[new r.h({props:{handleDOMEvents:{drop:function(e,t){if(t.dataTransfer&&t.dataTransfer.files&&t.dataTransfer.files.length){var n=Array.from(t.dataTransfer.files).filter((function(e){return/image/i.test(e.type)}));if(0!==n.length){t.preventDefault();var r=e.state.schema,o=e.posAtCoords({left:t.clientX,top:t.clientY});n.forEach((function(t){var n=new FileReader;n.onload=function(t){var n=r.nodes.image.create({src:t.target.result}),i=e.state.tr.insert(o.pos,n);e.dispatch(i)},n.readAsDataURL(t)}))}}}}}})]}}]),n}(r.g),se=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"keys",value:function(e){var t=e.type;return{Enter:Object(o.m)(t),Tab:Object(o.l)(t),"Shift-Tab":Object(o.d)(t)}}},{key:"name",get:function(){return"list_item"}},{key:"schema",get:function(){return{content:"paragraph block*",defining:!0,draggable:!1,parseDOM:[{tag:"li"}],toDOM:function(){return["li",0]}}}}]),n}(r.g);function ue(e){var t=e.matcher,n=void 0===t?{char:"@",allowSpaces:!1,startOfLine:!1}:t,r=e.appendText,i=void 0===r?null:r,a=e.suggestionClass,u=void 0===a?"suggestion":a,l=e.command,d=void 0===l?function(){return!1}:l,h=e.items,f=void 0===h?[]:h,p=e.onEnter,m=void 0===p?function(){return!1}:p,_=e.onChange,g=void 0===_?function(){return!1}:_,y=e.onExit,v=void 0===y?function(){return!1}:y,M=e.onKeyDown,k=void 0===M?function(){return!1}:M,b=e.onFilter,w=void 0===b?function(e,t){return t?e.filter((function(e){return JSON.stringify(e).toLowerCase().includes(t.toLowerCase())})):e}:b;return new c.Plugin({key:new c.PluginKey("suggestions"),view:function(){var e=this;return{update:function(t,n){var r=e.key.getState(n),a=e.key.getState(t.state),s=r.active&&a.active&&r.range.from!==a.range.from,u=!r.active&&a.active,c=r.active&&!a.active,l=u||s,h=!u&&!c&&r.query!==a.query&&!s,p=c||s;if(l||h||p){var _=p?r:a,y=document.querySelector('[data-decoration-id="'.concat(_.decorationId,'"]')),M=y?{getBoundingClientRect:function(){return y.getBoundingClientRect()},clientWidth:y.clientWidth,clientHeight:y.clientHeight}:null,k={view:t,range:_.range,query:_.query,text:_.text,decorationNode:y,virtualNode:M,items:w(Array.isArray(f)?f:f(),_.query),command:function(e){var n=e.range,r=e.attrs;d({range:n,attrs:r,schema:t.state.schema})(t.state,t.dispatch,t),i&&Object(o.c)(i)(t.state,t.dispatch,t)}};p&&v(k),h&&g(k),l&&m(k)}}}},state:{init:function(){return{active:!1,range:{},query:null,text:null}},apply:function(e,t){var r,o,i,a,s,u,c,l=e.selection,d=I({},t);if(l.from===l.to){(l.from<t.range.from||l.from>t.range.to)&&(d.active=!1);var h=l.$from,f=(o=(r=n).char,i=void 0===o?"@":o,a=r.allowSpaces,s=void 0!==a&&a,u=r.startOfLine,c=void 0!==u&&u,function(e){if(e.depth<=0)return!1;for(var t,n="\\".concat(i),r=new RegExp("\\s".concat(n,"$")),o=c?"^":"",a=s?new RegExp("".concat(o).concat(n,".*?(?=\\s").concat(n,"|$)"),"gm"):new RegExp("".concat(o,"(?:^)?").concat(n,"[^\\s").concat(n,"]*"),"gm"),u=e.before(),l=e.end(),d=e.doc.textBetween(u,l,"\0","\0"),h=a.exec(d);null!==h;){var f=h.input.slice(Math.max(0,h.index-1),h.index);if(/^[\s\0]?$/.test(f)){var p=h.index+e.start(),m=p+h[0].length;s&&r.test(d.slice(m-1,m+1))&&(h[0]+=" ",m+=1),p<e.pos&&m>=e.pos&&(t={range:{from:p,to:m},query:h[0].slice(i.length),text:h[0]})}h=a.exec(d)}return t})(h),p=(Math.random()+1).toString(36).substr(2,5);f?(d.active=!0,d.decorationId=t.decorationId?t.decorationId:p,d.range=f.range,d.query=f.query,d.text=f.text):d.active=!1}else d.active=!1;return d.active||(d.decorationId=null,d.range={},d.query=null,d.text=null),d}},props:{handleKeyDown:function(e,t){var n=this.getState(e.state),r=n.active,o=n.range;return!!r&&k({view:e,event:t,range:o})},decorations:function(e){var t=this.getState(e),n=t.active,r=t.range,o=t.decorationId;return n?s.b.create(e.doc,[s.a.inline(r.from,r.to,{nodeName:"span",class:u,"data-decoration-id":o})]):null}}})}r.g;var ce=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(){return Object(o.q)(t,n.nodes.list_item)}}},{key:"keys",value:function(e){var t=e.type,n=e.schema;return{"Shift-Ctrl-9":Object(o.q)(t,n.nodes.list_item)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.u)(/^(\d+)\.\s$/,t,(function(e){return{order:+e[1]}}),(function(e,t){return t.childCount+t.attrs.order===+e[1]}))]}},{key:"name",get:function(){return"ordered_list"}},{key:"schema",get:function(){return{attrs:{order:{default:1}},content:"list_item+",group:"block",parseDOM:[{tag:"ol",getAttrs:function(e){return{order:e.hasAttribute("start")?+e.getAttribute("start"):1}}}],toDOM:function(e){return 1===e.attrs.order?["ol",0]:["ol",{start:e.attrs.order},0]}}}}]),n}(r.g),le=Object(l.tableNodes)({tableGroup:"block",cellContent:"block+",cellAttributes:{background:{default:null,getFromDOM:function(e){return e.style.backgroundColor||null},setDOMAttr:function(e,t){if(e){var n={style:"".concat(t.style||"","background-color: ").concat(e,";")};Object.assign(t,n)}}}}}),de=(r.g,r.g,r.g,r.g,r.g,r.g,function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"keys",value:function(e){var t=e.type;return{"Mod-b":Object(o.r)(t)}}},{key:"commands",value:function(e){var t=e.type;return function(){return Object(o.r)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.e)(/(?:\*\*|__)([^*_]+)(?:\*\*|__)$/,t)]}},{key:"pasteRules",value:function(e){var t=e.type;return[Object(o.f)(/(?:\*\*|__)([^*_]+)(?:\*\*|__)/g,t)]}},{key:"name",get:function(){return"bold"}},{key:"schema",get:function(){return{parseDOM:[{tag:"strong"},{tag:"b",getAttrs:function(e){return"normal"!==e.style.fontWeight&&null}},{style:"font-weight",getAttrs:function(e){return/^(bold(er)?|[5-9]\d{2,})$/.test(e)&&null}}],toDOM:function(){return["strong",0]}}}}]),n}(r.f)),he=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"keys",value:function(e){var t=e.type;return{"Mod-`":Object(o.r)(t)}}},{key:"commands",value:function(e){var t=e.type;return function(){return Object(o.r)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.e)(/(?:`)([^`]+)(?:`)$/,t)]}},{key:"pasteRules",value:function(e){var t=e.type;return[Object(o.f)(/(?:`)([^`]+)(?:`)/g,t)]}},{key:"name",get:function(){return"code"}},{key:"schema",get:function(){return{excludes:"_",parseDOM:[{tag:"code"}],toDOM:function(){return["code",0]}}}}]),n}(r.f),fe=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"keys",value:function(e){var t=e.type;return{"Mod-i":Object(o.r)(t)}}},{key:"commands",value:function(e){var t=e.type;return function(){return Object(o.r)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.e)(/(?:^|[^_])(_([^_]+)_)$/,t),Object(o.e)(/(?:^|[^*])(\*([^*]+)\*)$/,t)]}},{key:"pasteRules",value:function(e){var t=e.type;return[Object(o.f)(/_([^_]+)_/g,t),Object(o.f)(/\*([^*]+)\*/g,t)]}},{key:"name",get:function(){return"italic"}},{key:"schema",get:function(){return{parseDOM:[{tag:"i"},{tag:"em"},{style:"font-style=italic"}],toDOM:function(){return["em",0]}}}}]),n}(r.f),pe=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"commands",value:function(e){var t=e.type;return function(e){return e.href?Object(o.t)(t,e):Object(o.i)(t)}}},{key:"pasteRules",value:function(e){var t=e.type;return[Object(o.h)(/https?:\/\/(www\.)?[-a-zA-Z0-9@:%._+~#=]{2,256}\.[a-zA-Z]{2,}\b([-a-zA-Z0-9@:%_+.~#?&//=]*)/g,t,(function(e){return{href:e}}))]}},{key:"name",get:function(){return"link"}},{key:"defaultOptions",get:function(){return{openOnClick:!0}}},{key:"schema",get:function(){return{attrs:{href:{default:null}},inclusive:!1,parseDOM:[{tag:"a[href]",getAttrs:function(e){return{href:e.getAttribute("href")}}}],toDOM:function(e){return["a",I({},e.attrs,{rel:"noopener noreferrer nofollow"}),0]}}}},{key:"plugins",get:function(){return this.options.openOnClick?[new r.h({props:{handleClick:function(e,t,n){var r=e.state.schema,o=Object(d.a)(e.state,r.marks.link);o.href&&n.target instanceof HTMLAnchorElement&&(n.stopPropagation(),window.open(o.href))}}})]:[]}}]),n}(r.f),me=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"keys",value:function(e){var t=e.type;return{"Mod-d":Object(o.r)(t)}}},{key:"commands",value:function(e){var t=e.type;return function(){return Object(o.r)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.e)(/~([^~]+)~$/,t)]}},{key:"pasteRules",value:function(e){var t=e.type;return[Object(o.f)(/~([^~]+)~/g,t)]}},{key:"name",get:function(){return"strike"}},{key:"schema",get:function(){return{parseDOM:[{tag:"s"},{tag:"del"},{tag:"strike"},{style:"text-decoration",getAttrs:function(e){return"line-through"===e}}],toDOM:function(){return["s",0]}}}}]),n}(r.f),_e=(r.f,function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"init",value:function(){var e=this;this.getSendableSteps=this.debounce((function(t){var n=Object(f.d)(t);n&&e.options.onSendable({editor:e.editor,sendable:{version:n.version,steps:n.steps.map((function(e){return e.toJSON()})),clientID:n.clientID}})}),this.options.debounce),this.editor.on("transaction",(function(t){var n=t.state;e.getSendableSteps(n)}))}},{key:"debounce",value:function(e,t){var n;return function(){for(var r=arguments.length,o=new Array(r),i=0;i<r;i++)o[i]=arguments[i];n&&clearTimeout(n),n=setTimeout((function(){e.apply(void 0,o),n=null}),t)}}},{key:"name",get:function(){return"collaboration"}},{key:"defaultOptions",get:function(){var e=this;return{version:0,clientID:Math.floor(4294967295*Math.random()),debounce:250,onSendable:function(){},update:function(t){var n=t.steps,r=t.version,o=e.editor,i=o.state,a=o.view,s=o.schema;Object(f.b)(i)>r||a.dispatch(Object(f.c)(i,n.map((function(e){return h.d.fromJSON(s,e.step)})),n.map((function(e){return e.clientID}))))}}}},{key:"plugins",get:function(){return[Object(f.a)({version:this.options.version,clientID:this.options.clientID})]}}]),n}(r.e)),ge=(r.e,function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"keys",value:function(){return{"Mod-z":C,"Mod-y":E,"Shift-Mod-z":E}}},{key:"commands",value:function(){return{undo:function(){return C},redo:function(){return E},undoDepth:function(){return A},redoDepth:function(){return j}}}},{key:"name",get:function(){return"history"}},{key:"defaultOptions",get:function(){return{depth:"",newGroupDelay:""}}},{key:"plugins",get:function(){return[O({depth:this.options.depth,newGroupDelay:this.options.newGroupDelay})]}}]),n}(r.e)),ye=function(e){z(n,e);var t=U(n);function n(){return H(this,n),t.apply(this,arguments)}return N(n,[{key:"name",get:function(){return"placeholder"}},{key:"defaultOptions",get:function(){return{emptyEditorClass:"is-editor-empty",emptyNodeClass:"is-empty",emptyNodeText:"Write something …",showOnlyWhenEditable:!0,showOnlyCurrent:!0}}},{key:"plugins",get:function(){var e=this;return[new r.h({props:{decorations:function(t){var n=t.doc,r=t.plugins,o=t.selection,i=r.find((function(e){return e.key.startsWith("editable$")})).props.editable()||!e.options.showOnlyWhenEditable,a=o.anchor,u=[],c=0===n.textContent.length;return!!i&&(n.descendants((function(t,n){var r=a>=n&&a<=n+t.nodeSize,o=0===t.content.size;if((r||!e.options.showOnlyCurrent)&&o){var i=[e.options.emptyNodeClass];c&&i.push(e.options.emptyEditorClass);var l=s.a.node(n,n+t.nodeSize,{class:i.join(" "),"data-empty-text":"function"==typeof e.options.emptyNodeText?e.options.emptyNodeText(t):e.options.emptyNodeText});u.push(l)}return!1})),s.b.create(n,u))}}})]}}]),n}(r.e);r.e,r.e},,function(e,t,n){"use strict";n.d(t,"a",(function(){return kt})),n.d(t,"b",(function(){return Tt})),n.d(t,"c",(function(){return Pt}));var r=n(181),o=n(182),i=n(184),a={};if("undefined"!=typeof navigator&&"undefined"!=typeof document){var s=/Edge\/(\d+)/.exec(navigator.userAgent),u=/MSIE \d/.test(navigator.userAgent),c=/Trident\/(?:[7-9]|\d{2,})\..*rv:(\d+)/.exec(navigator.userAgent);a.mac=/Mac/.test(navigator.platform);var l=a.ie=!!(u||c||s);a.ie_version=u?document.documentMode||6:c?+c[1]:s?+s[1]:null,a.gecko=!l&&/gecko\/(\d+)/i.test(navigator.userAgent),a.gecko_version=a.gecko&&+(/Firefox\/(\d+)/.exec(navigator.userAgent)||[0,0])[1];var d=!l&&/Chrome\/(\d+)/.exec(navigator.userAgent);a.chrome=!!d,a.chrome_version=d&&+d[1],a.ios=!l&&/AppleWebKit/.test(navigator.userAgent)&&/Mobile\/\w+/.test(navigator.userAgent),a.android=/Android \d/.test(navigator.userAgent),a.webkit="webkitFontSmoothing"in document.documentElement.style,a.safari=/Apple Computer/.test(navigator.vendor),a.webkit_version=a.webkit&&+(/\bAppleWebKit\/(\d+)/.exec(navigator.userAgent)||[0,0])[1]}var h=function(e){for(var t=0;;t++)if(!(e=e.previousSibling))return t},f=function(e){var t=e.parentNode;return t&&11==t.nodeType?t.host:t},p=function(e,t,n){var r=document.createRange();return r.setEnd(e,null==n?e.nodeValue.length:n),r.setStart(e,t||0),r},m=function(e,t,n,r){return n&&(g(e,t,n,r,-1)||g(e,t,n,r,1))},_=/^(img|br|input|textarea|hr)$/i;function g(e,t,n,r,o){for(;;){if(e==n&&t==r)return!0;if(t==(o<0?0:y(e))){var i=e.parentNode;if(1!=i.nodeType||v(e)||_.test(e.nodeName)||"false"==e.contentEditable)return!1;t=h(e)+(o<0?0:1),e=i}else{if(1!=e.nodeType)return!1;if("false"==(e=e.childNodes[t+(o<0?-1:0)]).contentEditable)return!1;t=o<0?y(e):0}}}function y(e){return 3==e.nodeType?e.nodeValue.length:e.childNodes.length}function v(e){for(var t,n=e;n&&!(t=n.pmViewDesc);n=n.parentNode);return t&&t.node&&t.node.isBlock&&(t.dom==e||t.contentDOM==e)}var M=function(e){var t=e.isCollapsed;return t&&a.chrome&&e.rangeCount&&!e.getRangeAt(0).collapsed&&(t=!1),t};function k(e,t){var n=document.createEvent("Event");return n.initEvent("keydown",!0,!0),n.keyCode=e,n.key=n.code=t,n}function b(e){return{left:0,right:e.documentElement.clientWidth,top:0,bottom:e.documentElement.clientHeight}}function w(e,t){return"number"==typeof e?e:e[t]}function L(e){var t=e.getBoundingClientRect();return{left:t.left,right:t.left+e.clientWidth,top:t.top,bottom:t.top+e.clientHeight}}function T(e,t,n){for(var r=e.someProp("scrollThreshold")||0,o=e.someProp("scrollMargin")||5,i=e.dom.ownerDocument,a=n||e.dom;a;a=f(a))if(1==a.nodeType){var s=a==i.body||1!=a.nodeType,u=s?b(i):L(a),c=0,l=0;if(t.top<u.top+w(r,"top")?l=-(u.top-t.top+w(o,"top")):t.bottom>u.bottom-w(r,"bottom")&&(l=t.bottom-u.bottom+w(o,"bottom")),t.left<u.left+w(r,"left")?c=-(u.left-t.left+w(o,"left")):t.right>u.right-w(r,"right")&&(c=t.right-u.right+w(o,"right")),c||l)if(s)i.defaultView.scrollBy(c,l);else{var d=a.scrollLeft,h=a.scrollTop;l&&(a.scrollTop+=l),c&&(a.scrollLeft+=c);var p=a.scrollLeft-d,m=a.scrollTop-h;t={left:t.left-p,top:t.top-m,right:t.right-p,bottom:t.bottom-m}}if(s)break}}function D(e){for(var t=[],n=e.ownerDocument;e&&(t.push({dom:e,top:e.scrollTop,left:e.scrollLeft}),e!=n);e=f(e));return t}function x(e,t){for(var n=0;n<e.length;n++){var r=e[n],o=r.dom,i=r.top,a=r.left;o.scrollTop!=i+t&&(o.scrollTop=i+t),o.scrollLeft!=a&&(o.scrollLeft=a)}}var S=null;function Y(e,t){for(var n,r,o=2e8,i=0,a=t.top,s=t.top,u=e.firstChild,c=0;u;u=u.nextSibling,c++){var l=void 0;if(1==u.nodeType)l=u.getClientRects();else{if(3!=u.nodeType)continue;l=p(u).getClientRects()}for(var d=0;d<l.length;d++){var h=l[d];if(h.top<=a&&h.bottom>=s){a=Math.max(h.bottom,a),s=Math.min(h.top,s);var f=h.left>t.left?h.left-t.left:h.right<t.left?t.left-h.right:0;if(f<o){n=u,o=f,r=f&&3==n.nodeType?{left:h.right<t.left?h.right:h.left,top:t.top}:t,1==u.nodeType&&f&&(i=c+(t.left>=(h.left+h.right)/2?1:0));continue}}!n&&(t.left>=h.right&&t.top>=h.top||t.left>=h.left&&t.top>=h.bottom)&&(i=c+1)}}return n&&3==n.nodeType?function(e,t){for(var n=e.nodeValue.length,r=document.createRange(),o=0;o<n;o++){r.setEnd(e,o+1),r.setStart(e,o);var i=E(r,1);if(i.top!=i.bottom&&O(t,i))return{node:e,offset:o+(t.left>=(i.left+i.right)/2?1:0)}}return{node:e,offset:0}}(n,r):!n||o&&1==n.nodeType?{node:e,offset:i}:Y(n,r)}function O(e,t){return e.left>=t.left-1&&e.left<=t.right+1&&e.top>=t.top-1&&e.top<=t.bottom+1}function C(e,t){var n,r,o,i,s=e.root;if(s.caretPositionFromPoint)try{var u=s.caretPositionFromPoint(t.left,t.top);u&&(o=(n=u).offsetNode,i=n.offset)}catch(e){}if(!o&&s.caretRangeFromPoint){var c=s.caretRangeFromPoint(t.left,t.top);c&&(o=(r=c).startContainer,i=r.startOffset)}var l,d=s.elementFromPoint(t.left,t.top+1);if(!d||!e.dom.contains(1!=d.nodeType?d.parentNode:d)){var h=e.dom.getBoundingClientRect();if(!O(t,h))return null;if(!(d=function e(t,n,r){var o=t.childNodes.length;if(o&&r.top<r.bottom)for(var i=Math.max(0,Math.min(o-1,Math.floor(o*(n.top-r.top)/(r.bottom-r.top))-2)),a=i;;){var s=t.childNodes[a];if(1==s.nodeType)for(var u=s.getClientRects(),c=0;c<u.length;c++){var l=u[c];if(O(n,l))return e(s,n,l)}if((a=(a+1)%o)==i)break}return t}(e.dom,t,h)))return null}if(a.safari&&d.draggable&&(o=i=null),d=function(e,t){var n=e.parentNode;return n&&/^li$/i.test(n.nodeName)&&t.left<e.getBoundingClientRect().left?n:e}(d,t),o){if(a.gecko&&1==o.nodeType&&(i=Math.min(i,o.childNodes.length))<o.childNodes.length){var f,p=o.childNodes[i];"IMG"==p.nodeName&&(f=p.getBoundingClientRect()).right<=t.left&&f.bottom>t.top&&i++}o==e.dom&&i==o.childNodes.length-1&&1==o.lastChild.nodeType&&t.top>o.lastChild.getBoundingClientRect().bottom?l=e.state.doc.content.size:0!=i&&1==o.nodeType&&"BR"==o.childNodes[i-1].nodeName||(l=function(e,t,n,r){for(var o=-1,i=t;i!=e.dom;){var a=e.docView.nearestDesc(i,!0);if(!a)return null;if(a.node.isBlock&&a.parent){var s=a.dom.getBoundingClientRect();if(s.left>r.left||s.top>r.top)o=a.posBefore;else{if(!(s.right<r.left||s.bottom<r.top))break;o=a.posAfter}}i=a.dom.parentNode}return o>-1?o:e.docView.posFromDOM(t,n)}(e,o,i,t))}null==l&&(l=function(e,t,n){var r=Y(t,n),o=r.node,i=r.offset,a=-1;if(1==o.nodeType&&!o.firstChild){var s=o.getBoundingClientRect();a=s.left!=s.right&&n.left>(s.left+s.right)/2?1:-1}return e.docView.posFromDOM(o,i,a)}(e,d,t));var m=e.docView.nearestDesc(d,!0);return{pos:l,inside:m?m.posAtStart-m.border:-1}}function E(e,t){var n=e.getClientRects();return n.length?n[t<0?0:n.length-1]:e.getBoundingClientRect()}function A(e,t){var n=e.docView.domFromPos(t),r=n.node,o=n.offset;if(3==r.nodeType&&(a.webkit||a.gecko)){var i=E(p(r,o,o),0);if(a.gecko&&o&&/\s/.test(r.nodeValue[o-1])&&o<r.nodeValue.length){var s=E(p(r,o-1,o-1),-1);if(s.top==i.top){var u=E(p(r,o,o+1),-1);if(u.top!=i.top)return j(u,u.left<s.left)}}return i}if(1==r.nodeType&&!e.state.doc.resolve(t).parent.inlineContent){var c,l=!0;if(o<r.childNodes.length){var d=r.childNodes[o];1==d.nodeType&&(c=d.getBoundingClientRect())}if(!c&&o){var h=r.childNodes[o-1];1==h.nodeType&&(c=h.getBoundingClientRect(),l=!1)}return function(e,t){if(0==e.height)return e;var n=t?e.top:e.bottom;return{top:n,bottom:n,left:e.left,right:e.right}}(c||r.getBoundingClientRect(),l)}for(var f=-1;f<2;f+=2)if(f<0&&o){var m=void 0,_=3==r.nodeType?p(r,o-1,o):3==(m=r.childNodes[o-1]).nodeType?p(m):1==m.nodeType&&"BR"!=m.nodeName?m:null;if(_){var g=E(_,1);if(g.top<g.bottom)return j(g,!1)}}else if(f>0&&o<y(r)){var v=void 0,M=3==r.nodeType?p(r,o,o+1):3==(v=r.childNodes[o]).nodeType?p(v):1==v.nodeType?v:null;if(M){var k=E(M,-1);if(k.top<k.bottom)return j(k,!0)}}return j(E(3==r.nodeType?p(r):r,0),!1)}function j(e,t){if(0==e.width)return e;var n=t?e.left:e.right;return{top:e.top,bottom:e.bottom,left:n,right:n}}function H(e,t,n){var r=e.state,o=e.root.activeElement;r!=t&&e.updateState(t),o!=e.dom&&e.focus();try{return n()}finally{r!=t&&e.updateState(r),o!=e.dom&&o&&o.focus()}}var P=/[\u0590-\u08ac]/;var N=null,R=null,F=!1;function I(e,t,n){return N==t&&R==n?F:(N=t,R=n,F="up"==n||"down"==n?function(e,t,n){var r=t.selection,o="up"==n?r.$anchor.min(r.$head):r.$anchor.max(r.$head);return H(e,t,(function(){for(var t=e.docView.domFromPos(o.pos).node;;){var r=e.docView.nearestDesc(t,!0);if(!r)break;if(r.node.isBlock){t=r.dom;break}t=r.dom.parentNode}for(var i=A(e,o.pos),a=t.firstChild;a;a=a.nextSibling){var s=void 0;if(1==a.nodeType)s=a.getClientRects();else{if(3!=a.nodeType)continue;s=p(a,0,a.nodeValue.length).getClientRects()}for(var u=0;u<s.length;u++){var c=s[u];if(c.bottom>c.top&&("up"==n?c.bottom<i.top+1:c.top>i.bottom-1))return!1}}return!0}))}(e,t,n):function(e,t,n){var r=t.selection.$head;if(!r.parent.isTextblock)return!1;var o=r.parentOffset,i=!o,a=o==r.parent.content.size,s=getSelection();return P.test(r.parent.textContent)&&s.modify?H(e,t,(function(){var t=s.getRangeAt(0),o=s.focusNode,i=s.focusOffset,a=s.caretBidiLevel;s.modify("move",n,"character");var u=!(r.depth?e.docView.domAfterPos(r.before()):e.dom).contains(1==s.focusNode.nodeType?s.focusNode:s.focusNode.parentNode)||o==s.focusNode&&i==s.focusOffset;return s.removeAllRanges(),s.addRange(t),null!=a&&(s.caretBidiLevel=a),u})):"left"==n||"backward"==n?i:a}(e,t,n))}var z=function(e,t,n,r){this.parent=e,this.children=t,this.dom=n,n.pmViewDesc=this,this.contentDOM=r,this.dirty=0},W={beforePosition:{configurable:!0},size:{configurable:!0},border:{configurable:!0},posBefore:{configurable:!0},posAtStart:{configurable:!0},posAfter:{configurable:!0},posAtEnd:{configurable:!0},contentLost:{configurable:!0}};z.prototype.matchesWidget=function(){return!1},z.prototype.matchesMark=function(){return!1},z.prototype.matchesNode=function(){return!1},z.prototype.matchesHack=function(){return!1},W.beforePosition.get=function(){return!1},z.prototype.parseRule=function(){return null},z.prototype.stopEvent=function(){return!1},W.size.get=function(){for(var e=0,t=0;t<this.children.length;t++)e+=this.children[t].size;return e},W.border.get=function(){return 0},z.prototype.destroy=function(){this.parent=null,this.dom.pmViewDesc==this&&(this.dom.pmViewDesc=null);for(var e=0;e<this.children.length;e++)this.children[e].destroy()},z.prototype.posBeforeChild=function(e){for(var t=0,n=this.posAtStart;t<this.children.length;t++){var r=this.children[t];if(r==e)return n;n+=r.size}},W.posBefore.get=function(){return this.parent.posBeforeChild(this)},W.posAtStart.get=function(){return this.parent?this.parent.posBeforeChild(this)+this.border:0},W.posAfter.get=function(){return this.posBefore+this.size},W.posAtEnd.get=function(){return this.posAtStart+this.size-2*this.border},z.prototype.localPosFromDOM=function(e,t,n){if(this.contentDOM&&this.contentDOM.contains(1==e.nodeType?e:e.parentNode)){if(n<0){var r,o;if(e==this.contentDOM)r=e.childNodes[t-1];else{for(;e.parentNode!=this.contentDOM;)e=e.parentNode;r=e.previousSibling}for(;r&&(!(o=r.pmViewDesc)||o.parent!=this);)r=r.previousSibling;return r?this.posBeforeChild(o)+o.size:this.posAtStart}var i,a;if(e==this.contentDOM)i=e.childNodes[t];else{for(;e.parentNode!=this.contentDOM;)e=e.parentNode;i=e.nextSibling}for(;i&&(!(a=i.pmViewDesc)||a.parent!=this);)i=i.nextSibling;return i?this.posBeforeChild(a):this.posAtEnd}var s;if(this.contentDOM&&this.contentDOM!=this.dom&&this.dom.contains(this.contentDOM))s=2&e.compareDocumentPosition(this.contentDOM);else if(this.dom.firstChild){if(0==t)for(var u=e;;u=u.parentNode){if(u==this.dom){s=!1;break}if(u.parentNode.firstChild!=u)break}if(null==s&&t==e.childNodes.length)for(var c=e;;c=c.parentNode){if(c==this.dom){s=!0;break}if(c.parentNode.lastChild!=c)break}}return(null==s?n>0:s)?this.posAtEnd:this.posAtStart},z.prototype.nearestDesc=function(e,t){for(var n=!0,r=e;r;r=r.parentNode){var o=this.getDesc(r);if(o&&(!t||o.node)){if(!n||!o.nodeDOM||(1==o.nodeDOM.nodeType?o.nodeDOM.contains(1==e.nodeType?e:e.parentNode):o.nodeDOM==e))return o;n=!1}}},z.prototype.getDesc=function(e){for(var t=e.pmViewDesc,n=t;n;n=n.parent)if(n==this)return t},z.prototype.posFromDOM=function(e,t,n){for(var r=e;r;r=r.parentNode){var o=this.getDesc(r);if(o)return o.localPosFromDOM(e,t,n)}return-1},z.prototype.descAt=function(e){for(var t=0,n=0;t<this.children.length;t++){var r=this.children[t],o=n+r.size;if(n==e&&o!=n){for(;!r.border&&r.children.length;)r=r.children[0];return r}if(e<o)return r.descAt(e-n-r.border);n=o}},z.prototype.domFromPos=function(e){if(!this.contentDOM)return{node:this.dom,offset:0};for(var t=0,n=0;;n++){if(t==e){for(;n<this.children.length&&(this.children[n].beforePosition||this.children[n].dom.parentNode!=this.contentDOM);)n++;return{node:this.contentDOM,offset:n==this.children.length?this.contentDOM.childNodes.length:h(this.children[n].dom)}}if(n==this.children.length)throw new Error("Invalid position "+e);var r=this.children[n],o=t+r.size;if(e<o)return r.domFromPos(e-t-r.border);t=o}},z.prototype.parseRange=function(e,t,n){if(void 0===n&&(n=0),0==this.children.length)return{node:this.contentDOM,from:e,to:t,fromOffset:0,toOffset:this.contentDOM.childNodes.length};for(var r=-1,o=-1,i=n,a=0;;a++){var s=this.children[a],u=i+s.size;if(-1==r&&e<=u){var c=i+s.border;if(e>=c&&t<=u-s.border&&s.node&&s.contentDOM&&this.contentDOM.contains(s.contentDOM))return s.parseRange(e,t,c);e=i;for(var l=a;l>0;l--){var d=this.children[l-1];if(d.size&&d.dom.parentNode==this.contentDOM&&!d.emptyChildAt(1)){r=h(d.dom)+1;break}e-=d.size}-1==r&&(r=0)}if(r>-1&&t<=u){t=u;for(var f=a+1;f<this.children.length;f++){var p=this.children[f];if(p.size&&p.dom.parentNode==this.contentDOM&&!p.emptyChildAt(-1)){o=h(p.dom);break}t+=p.size}-1==o&&(o=this.contentDOM.childNodes.length);break}i=u}return{node:this.contentDOM,from:e,to:t,fromOffset:r,toOffset:o}},z.prototype.emptyChildAt=function(e){if(this.border||!this.contentDOM||!this.children.length)return!1;var t=this.children[e<0?0:this.children.length-1];return 0==t.size||t.emptyChildAt(e)},z.prototype.domAfterPos=function(e){var t=this.domFromPos(e),n=t.node,r=t.offset;if(1!=n.nodeType||r==n.childNodes.length)throw new RangeError("No node after pos "+e);return n.childNodes[r]},z.prototype.setSelection=function(e,t,n,r){for(var o=Math.min(e,t),i=Math.max(e,t),a=0,s=0;a<this.children.length;a++){var u=this.children[a],c=s+u.size;if(o>s&&i<c)return u.setSelection(e-s-u.border,t-s-u.border,n,r);s=c}var l=this.domFromPos(e),d=this.domFromPos(t),h=n.getSelection();if(r||!m(l.node,l.offset,h.anchorNode,h.anchorOffset)||!m(d.node,d.offset,h.focusNode,h.focusOffset)){var f=!1;if(h.extend||e==t){h.collapse(l.node,l.offset);try{e!=t&&h.extend(d.node,d.offset),f=!0}catch(e){if(!(e instanceof DOMException))throw e}}if(!f){if(e>t){var p=l;l=d,d=p}var _=document.createRange();_.setEnd(d.node,d.offset),_.setStart(l.node,l.offset),h.removeAllRanges(),h.addRange(_)}}},z.prototype.ignoreMutation=function(e){return!this.contentDOM&&"selection"!=e.type},W.contentLost.get=function(){return this.contentDOM&&this.contentDOM!=this.dom&&!this.dom.contains(this.contentDOM)},z.prototype.markDirty=function(e,t){for(var n=0,r=0;r<this.children.length;r++){var o=this.children[r],i=n+o.size;if(n==i?e<=i&&t>=n:e<i&&t>n){var a=n+o.border,s=i-o.border;if(e>=a&&t<=s)return this.dirty=e==n||t==i?2:1,void(e!=a||t!=s||!o.contentLost&&o.dom.parentNode==this.contentDOM?o.markDirty(e-a,t-a):o.dirty=3);o.dirty=3}n=i}this.dirty=2},z.prototype.markParentsDirty=function(){for(var e=1,t=this.parent;t;t=t.parent,e++){var n=1==e?2:1;t.dirty<n&&(t.dirty=n)}},Object.defineProperties(z.prototype,W);var $=[],B=function(e){function t(t,n,r,o){var i,a=n.type.toDOM;if("function"==typeof a&&(a=a(r,(function(){return i?i.parent?i.parent.posBeforeChild(i):void 0:o}))),!n.type.spec.raw){if(1!=a.nodeType){var s=document.createElement("span");s.appendChild(a),a=s}a.contentEditable=!1,a.classList.add("ProseMirror-widget")}e.call(this,t,$,a,null),this.widget=n,i=this}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={beforePosition:{configurable:!0}};return n.beforePosition.get=function(){return this.widget.type.side<0},t.prototype.matchesWidget=function(e){return 0==this.dirty&&e.type.eq(this.widget.type)},t.prototype.parseRule=function(){return{ignore:!0}},t.prototype.stopEvent=function(e){var t=this.widget.spec.stopEvent;return!!t&&t(e)},t.prototype.ignoreMutation=function(e){return"selection"!=e.type||this.widget.spec.ignoreSelection},Object.defineProperties(t.prototype,n),t}(z),q=function(e){function t(t,n,r,o){e.call(this,t,$,n,null),this.textDOM=r,this.text=o}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={size:{configurable:!0}};return n.size.get=function(){return this.text.length},t.prototype.localPosFromDOM=function(e,t){return e!=this.textDOM?this.posAtStart+(t?this.size:0):this.posAtStart+t},t.prototype.domFromPos=function(e){return{node:this.textDOM,offset:e}},t.prototype.ignoreMutation=function(e){return"characterData"===e.type&&e.target.nodeValue==e.oldValue},Object.defineProperties(t.prototype,n),t}(z),U=function(e){function t(t,n,r,o){e.call(this,t,[],r,o),this.mark=n}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.create=function(e,n,r,i){var a=i.nodeViews[n.type.name],s=a&&a(n,i,r);return s&&s.dom||(s=o.DOMSerializer.renderSpec(document,n.type.spec.toDOM(n,r))),new t(e,n,s.dom,s.contentDOM||s.dom)},t.prototype.parseRule=function(){return{mark:this.mark.type.name,attrs:this.mark.attrs,contentElement:this.contentDOM}},t.prototype.matchesMark=function(e){return 3!=this.dirty&&this.mark.eq(e)},t.prototype.markDirty=function(t,n){if(e.prototype.markDirty.call(this,t,n),0!=this.dirty){for(var r=this.parent;!r.node;)r=r.parent;r.dirty<this.dirty&&(r.dirty=this.dirty),this.dirty=0}},t.prototype.slice=function(e,n,r){var o=t.create(this.parent,this.mark,!0,r),i=this.children,a=this.size;n<a&&(i=ue(i,n,a,r)),e>0&&(i=ue(i,0,e,r));for(var s=0;s<i.length;s++)i[s].parent=o;return o.children=i,o},t}(z),V=function(e){function t(t,n,r,o,i,a,s,u,c){e.call(this,t,n.isLeaf?$:[],i,a),this.nodeDOM=s,this.node=n,this.outerDeco=r,this.innerDeco=o,a&&this.updateChildren(u,c)}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={size:{configurable:!0},border:{configurable:!0}};return t.create=function(e,n,r,i,a,s){var u,c,l=a.nodeViews[n.type.name],d=l&&l(n,a,(function(){return c?c.parent?c.parent.posBeforeChild(c):void 0:s}),r),h=d&&d.dom,f=d&&d.contentDOM;if(n.isText)if(h){if(3!=h.nodeType)throw new RangeError("Text must be rendered as a DOM text node")}else h=document.createTextNode(n.text);else h||(h=(u=o.DOMSerializer.renderSpec(document,n.type.spec.toDOM(n))).dom,f=u.contentDOM);f||n.isText||"BR"==h.nodeName||(h.hasAttribute("contenteditable")||(h.contentEditable=!1),n.type.spec.draggable&&(h.draggable=!0));var p=h;return h=re(h,r,n),d?c=new Z(e,n,r,i,h,f,p,d,a,s+1):n.isText?new G(e,n,r,i,h,p,a):new t(e,n,r,i,h,f,p,a,s+1)},t.prototype.parseRule=function(){var e=this;if(this.node.type.spec.reparseInView)return null;var t={node:this.node.type.name,attrs:this.node.attrs};return this.node.type.spec.code&&(t.preserveWhitespace="full"),this.contentDOM&&!this.contentLost?t.contentElement=this.contentDOM:t.getContent=function(){return e.contentDOM?o.Fragment.empty:e.node.content},t},t.prototype.matchesNode=function(e,t,n){return 0==this.dirty&&e.eq(this.node)&&oe(t,this.outerDeco)&&n.eq(this.innerDeco)},n.size.get=function(){return this.node.nodeSize},n.border.get=function(){return this.node.isLeaf?0:1},t.prototype.updateChildren=function(e,t){var n=this,r=this.node.inlineContent,i=t,a=r&&e.composing&&this.localCompositionNode(e,t),s=new ae(this,a&&a.node);!function(e,t,n,r){var o=t.locals(e),i=0;if(0==o.length){for(var a=0;a<e.childCount;a++){var s=e.child(a);r(s,o,t.forChild(i,s),a),i+=s.nodeSize}return}for(var u=0,c=[],l=null,d=0;;){if(u<o.length&&o[u].to==i){for(var h=o[u++],f=void 0;u<o.length&&o[u].to==i;)(f||(f=[h])).push(o[u++]);if(f){f.sort(se);for(var p=0;p<f.length;p++)n(f[p],d,!!l)}else n(h,d,!!l)}var m=void 0,_=void 0;if(l)_=-1,m=l,l=null;else{if(!(d<e.childCount))break;_=d,m=e.child(d++)}for(var g=0;g<c.length;g++)c[g].to<=i&&c.splice(g--,1);for(;u<o.length&&o[u].from<=i&&o[u].to>i;)c.push(o[u++]);var y=i+m.nodeSize;if(m.isText){var v=y;u<o.length&&o[u].from<v&&(v=o[u].from);for(var M=0;M<c.length;M++)c[M].to<v&&(v=c[M].to);v<y&&(l=m.cut(v-i),m=m.cut(0,v-i),y=v,_=-1)}r(m,c.length?c.slice():$,t.forChild(i,m),_),i=y}}(this.node,this.innerDeco,(function(t,a,u){t.spec.marks?s.syncToMarks(t.spec.marks,r,e):t.type.side>=0&&!u&&s.syncToMarks(a==n.node.childCount?o.Mark.none:n.node.child(a).marks,r,e),s.placeWidget(t,e,i)}),(function(t,n,o,a){s.syncToMarks(t.marks,r,e),s.findNodeMatch(t,n,o,a)||s.updateNextNode(t,n,o,e,a)||s.addNode(t,n,o,e,i),i+=t.nodeSize})),s.syncToMarks($,r,e),this.node.isTextblock&&s.addTextblockHacks(),s.destroyRest(),(s.changed||2==this.dirty)&&(a&&this.protectLocalComposition(e,a),this.renderChildren())},t.prototype.renderChildren=function(){!function e(t,n){for(var r=t.firstChild,o=0;o<n.length;o++){var i=n[o],a=i.dom;if(a.parentNode==t){for(;a!=r;)r=ie(r);r=r.nextSibling}else t.insertBefore(a,r);if(i instanceof U){var s=r?r.previousSibling:t.lastChild;e(i.contentDOM,i.children),r=s?s.nextSibling:t.firstChild}}for(;r;)r=ie(r)}(this.contentDOM,this.children),a.ios&&function(e){if("UL"==e.nodeName||"OL"==e.nodeName){var t=e.style.cssText;e.style.cssText=t+"; list-style: square !important",window.getComputedStyle(e).listStyle,e.style.cssText=t}}(this.dom)},t.prototype.localCompositionNode=function(e,t){var n=e.state.selection,o=n.from,i=n.to;if(!(!(e.state.selection instanceof r.TextSelection)||o<t||i>t+this.node.content.size)){var a=e.root.getSelection(),s=function(e,t){for(;;){if(3==e.nodeType)return e;if(1==e.nodeType&&t>0){if(e.childNodes.length>t&&3==e.childNodes[t].nodeType)return e.childNodes[t];e=e.childNodes[t-1],t=y(e)}else{if(!(1==e.nodeType&&t<e.childNodes.length))return null;e=e.childNodes[t],t=0}}}(a.focusNode,a.focusOffset);if(s&&this.dom.contains(s.parentNode)){var u=s.nodeValue,c=function(e,t,n,r){for(var o=0,i=0;o<e.childCount&&i<=r;){var a=e.child(o++),s=i;if(i+=a.nodeSize,a.isText){for(var u=a.text;o<e.childCount;){var c=e.child(o++);if(i+=c.nodeSize,!c.isText)break;u+=c.text}if(i>=n){var l=u.lastIndexOf(t,r-s);if(l>=0&&l+t.length+s>=n)return s+l}}}return-1}(this.node.content,u,o-t,i-t);return c<0?null:{node:s,pos:c,text:u}}}},t.prototype.protectLocalComposition=function(e,t){var n=t.node,r=t.pos,o=t.text;if(!this.getDesc(n)){for(var i=n;i.parentNode!=this.contentDOM;i=i.parentNode){for(;i.previousSibling;)i.parentNode.removeChild(i.previousSibling);for(;i.nextSibling;)i.parentNode.removeChild(i.nextSibling);i.pmViewDesc&&(i.pmViewDesc=null)}var a=new q(this,i,n,o);e.compositionNodes.push(a),this.children=ue(this.children,r,r+o.length,e,a)}},t.prototype.update=function(e,t,n,r){return!(3==this.dirty||!e.sameMarkup(this.node))&&(this.updateInner(e,t,n,r),!0)},t.prototype.updateInner=function(e,t,n,r){this.updateOuterDeco(t),this.node=e,this.innerDeco=n,this.contentDOM&&this.updateChildren(r,this.posAtStart),this.dirty=0},t.prototype.updateOuterDeco=function(e){if(!oe(e,this.outerDeco)){var t=1!=this.nodeDOM.nodeType,n=this.dom;this.dom=te(this.dom,this.nodeDOM,ee(this.outerDeco,this.node,t),ee(e,this.node,t)),this.dom!=n&&(n.pmViewDesc=null,this.dom.pmViewDesc=this),this.outerDeco=e}},t.prototype.selectNode=function(){this.nodeDOM.classList.add("ProseMirror-selectednode"),!this.contentDOM&&this.node.type.spec.draggable||(this.dom.draggable=!0)},t.prototype.deselectNode=function(){this.nodeDOM.classList.remove("ProseMirror-selectednode"),!this.contentDOM&&this.node.type.spec.draggable||this.dom.removeAttribute("draggable")},Object.defineProperties(t.prototype,n),t}(z);function J(e,t,n,r,o){return re(r,t,e),new V(null,e,t,n,r,r,r,o,0)}var G=function(e){function t(t,n,r,o,i,a,s){e.call(this,t,n,r,o,i,null,a,s)}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.parseRule=function(){for(var e=this.nodeDOM.parentNode;e&&e!=this.dom&&!e.pmIsDeco;)e=e.parentNode;return{skip:e||!0}},t.prototype.update=function(e,t){return!(3==this.dirty||0!=this.dirty&&!this.inParent()||!e.sameMarkup(this.node))&&(this.updateOuterDeco(t),0==this.dirty&&e.text==this.node.text||e.text==this.nodeDOM.nodeValue||(this.nodeDOM.nodeValue=e.text),this.node=e,this.dirty=0,!0)},t.prototype.inParent=function(){for(var e=this.parent.contentDOM,t=this.nodeDOM;t;t=t.parentNode)if(t==e)return!0;return!1},t.prototype.domFromPos=function(e){return{node:this.nodeDOM,offset:e}},t.prototype.localPosFromDOM=function(t,n,r){return t==this.nodeDOM?this.posAtStart+Math.min(n,this.node.text.length):e.prototype.localPosFromDOM.call(this,t,n,r)},t.prototype.ignoreMutation=function(e){return"characterData"!=e.type&&"selection"!=e.type},t.prototype.slice=function(e,n,r){var o=this.node.cut(e,n),i=document.createTextNode(o.text);return new t(this.parent,o,this.outerDeco,this.innerDeco,i,i,r)},t}(V),K=function(e){function t(){e.apply(this,arguments)}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.parseRule=function(){return{ignore:!0}},t.prototype.matchesHack=function(){return 0==this.dirty},t}(z),Z=function(e){function t(t,n,r,o,i,a,s,u,c,l){e.call(this,t,n,r,o,i,a,s,c,l),this.spec=u}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.update=function(t,n,r,o){if(3==this.dirty)return!1;if(this.spec.update){var i=this.spec.update(t,n);return i&&this.updateInner(t,n,r,o),i}return!(!this.contentDOM&&!t.isLeaf)&&e.prototype.update.call(this,t,n,r,o)},t.prototype.selectNode=function(){this.spec.selectNode?this.spec.selectNode():e.prototype.selectNode.call(this)},t.prototype.deselectNode=function(){this.spec.deselectNode?this.spec.deselectNode():e.prototype.deselectNode.call(this)},t.prototype.setSelection=function(t,n,r,o){this.spec.setSelection?this.spec.setSelection(t,n,r):e.prototype.setSelection.call(this,t,n,r,o)},t.prototype.destroy=function(){this.spec.destroy&&this.spec.destroy(),e.prototype.destroy.call(this)},t.prototype.stopEvent=function(e){return!!this.spec.stopEvent&&this.spec.stopEvent(e)},t.prototype.ignoreMutation=function(t){return this.spec.ignoreMutation?this.spec.ignoreMutation(t):e.prototype.ignoreMutation.call(this,t)},t}(V);function X(e){e&&(this.nodeName=e)}X.prototype=Object.create(null);var Q=[new X];function ee(e,t,n){if(0==e.length)return Q;for(var r=n?Q[0]:new X,o=[r],i=0;i<e.length;i++){var a=e[i].type.attrs,s=r;if(a)for(var u in a.nodeName&&o.push(s=new X(a.nodeName)),a){var c=a[u];null!=c&&(n&&1==o.length&&o.push(s=r=new X(t.isInline?"span":"div")),"class"==u?s.class=(s.class?s.class+" ":"")+c:"style"==u?s.style=(s.style?s.style+";":"")+c:"nodeName"!=u&&(s[u]=c))}}return o}function te(e,t,n,r){if(n==Q&&r==Q)return t;for(var o=t,i=0;i<r.length;i++){var a=r[i],s=n[i];if(i){var u=void 0;s&&s.nodeName==a.nodeName&&o!=e&&(u=o.parentNode)&&u.tagName.toLowerCase()==a.nodeName||((u=document.createElement(a.nodeName)).pmIsDeco=!0,u.appendChild(o),s=Q[0]),o=u}ne(o,s||Q[0],a)}return o}function ne(e,t,n){for(var r in t)"class"==r||"style"==r||"nodeName"==r||r in n||e.removeAttribute(r);for(var o in n)"class"!=o&&"style"!=o&&"nodeName"!=o&&n[o]!=t[o]&&e.setAttribute(o,n[o]);if(t.class!=n.class){for(var i=t.class?t.class.split(" "):$,a=n.class?n.class.split(" "):$,s=0;s<i.length;s++)-1==a.indexOf(i[s])&&e.classList.remove(i[s]);for(var u=0;u<a.length;u++)-1==i.indexOf(a[u])&&e.classList.add(a[u])}if(t.style!=n.style){if(t.style)for(var c,l=/\s*([\w\-\xa1-\uffff]+)\s*:(?:"(?:\\.|[^"])*"|'(?:\\.|[^'])*'|\(.*?\)|[^;])*/g;c=l.exec(t.style);)e.style.removeProperty(c[1]);n.style&&(e.style.cssText+=n.style)}}function re(e,t,n){return te(e,e,Q,ee(t,n,1!=e.nodeType))}function oe(e,t){if(e.length!=t.length)return!1;for(var n=0;n<e.length;n++)if(!e[n].type.eq(t[n].type))return!1;return!0}function ie(e){var t=e.nextSibling;return e.parentNode.removeChild(e),t}var ae=function(e,t){this.top=e,this.lock=t,this.index=0,this.stack=[],this.changed=!1;var n=function(e,t){for(var n=[],r=e.childCount,o=t.length-1;r>0&&o>=0;o--){var i=t[o],a=i.node;if(a){if(a!=e.child(r-1))break;n.push(i),--r}}return{nodes:n.reverse(),offset:r}}(e.node.content,e.children);this.preMatched=n.nodes,this.preMatchOffset=n.offset};function se(e,t){return e.type.side-t.type.side}function ue(e,t,n,r,o){for(var i=[],a=0,s=0;a<e.length;a++){var u=e[a],c=s,l=s+=u.size;c>=n||l<=t?i.push(u):(c<t&&i.push(u.slice(0,t-c,r)),o&&(i.push(o),o=null),l>n&&i.push(u.slice(n-c,u.size,r)))}return i}function ce(e,t){var n=e.selection,o=n.$anchor,i=n.$head,a=t>0?o.max(i):o.min(i),s=a.parent.inlineContent?a.depth?e.doc.resolve(t>0?a.after():a.before()):null:a;return s&&r.Selection.findFrom(s,t)}function le(e,t){return e.dispatch(e.state.tr.setSelection(t).scrollIntoView()),!0}function de(e,t,n){var o=e.state.selection;if(!(o instanceof r.TextSelection)){if(o instanceof r.NodeSelection&&o.node.isInline)return le(e,new r.TextSelection(t>0?o.$to:o.$from));var i=ce(e.state,t);return!!i&&le(e,i)}if(!o.empty||n.indexOf("s")>-1)return!1;if(e.endOfTextblock(t>0?"right":"left")){var s=ce(e.state,t);return!!(s&&s instanceof r.NodeSelection)&&le(e,s)}if(!(a.mac&&n.indexOf("m")>-1)){var u,c=o.$head,l=c.textOffset?null:t<0?c.nodeBefore:c.nodeAfter;if(!l||l.isText)return!1;var d=t<0?c.pos-l.nodeSize:c.pos;return!!(l.isAtom||(u=e.docView.descAt(d))&&!u.contentDOM)&&(r.NodeSelection.isSelectable(l)?le(e,new r.NodeSelection(t<0?e.state.doc.resolve(c.pos-l.nodeSize):c)):!!a.webkit&&le(e,new r.TextSelection(e.state.doc.resolve(t<0?d:d+l.nodeSize))))}}function he(e){return 3==e.nodeType?e.nodeValue.length:e.childNodes.length}function fe(e){var t=e.pmViewDesc;return t&&0==t.size&&(e.nextSibling||"BR"!=e.nodeName)}function pe(e){var t=e.root.getSelection(),n=t.focusNode,r=t.focusOffset;if(n){var o,i,s=!1;for(a.gecko&&1==n.nodeType&&r<he(n)&&fe(n.childNodes[r])&&(s=!0);;)if(r>0){if(1!=n.nodeType)break;var u=n.childNodes[r-1];if(fe(u))o=n,i=--r;else{if(3!=u.nodeType)break;r=(n=u).nodeValue.length}}else{if(_e(n))break;for(var c=n.previousSibling;c&&fe(c);)o=n.parentNode,i=h(c),c=c.previousSibling;if(c)r=he(n=c);else{if((n=n.parentNode)==e.dom)break;r=0}}s?ge(e,t,n,r):o&&ge(e,t,o,i)}}function me(e){var t=e.root.getSelection(),n=t.focusNode,r=t.focusOffset;if(n){for(var o,i,a=he(n);;)if(r<a){if(1!=n.nodeType)break;if(!fe(n.childNodes[r]))break;o=n,i=++r}else{if(_e(n))break;for(var s=n.nextSibling;s&&fe(s);)o=s.parentNode,i=h(s)+1,s=s.nextSibling;if(s)r=0,a=he(n=s);else{if((n=n.parentNode)==e.dom)break;r=a=0}}o&&ge(e,t,o,i)}}function _e(e){var t=e.pmViewDesc;return t&&t.node&&t.node.isBlock}function ge(e,t,n,r){if(M(t)){var o=document.createRange();o.setEnd(n,r),o.setStart(n,r),t.removeAllRanges(),t.addRange(o)}else t.extend&&t.extend(n,r);e.domObserver.setCurSelection()}function ye(e,t,n){var o=e.state.selection;if(o instanceof r.TextSelection&&!o.empty||n.indexOf("s")>-1)return!1;if(a.mac&&n.indexOf("m")>-1)return!1;var i=o.$from,s=o.$to;if(!i.parent.inlineContent||e.endOfTextblock(t<0?"up":"down")){var u=ce(e.state,t);if(u&&u instanceof r.NodeSelection)return le(e,u)}if(!i.parent.inlineContent){var c=r.Selection.findFrom(t<0?i:s,t);return!c||le(e,c)}return!1}function ve(e,t){if(!(e.state.selection instanceof r.TextSelection))return!0;var n=e.state.selection,o=n.$head,i=n.$anchor,a=n.empty;if(!o.sameParent(i))return!0;if(!a)return!1;if(e.endOfTextblock(t>0?"forward":"backward"))return!0;var s=!o.textOffset&&(t<0?o.nodeBefore:o.nodeAfter);if(s&&!s.isText){var u=e.state.tr;return t<0?u.delete(o.pos-s.nodeSize,o.pos):u.delete(o.pos,o.pos+s.nodeSize),e.dispatch(u),!0}return!1}function Me(e,t,n){e.domObserver.stop(),t.contentEditable=n,e.domObserver.start()}function ke(e,t){var n=t.keyCode,r=function(e){var t="";return e.ctrlKey&&(t+="c"),e.metaKey&&(t+="m"),e.altKey&&(t+="a"),e.shiftKey&&(t+="s"),t}(t);return 8==n||a.mac&&72==n&&"c"==r?ve(e,-1)||pe(e):46==n||a.mac&&68==n&&"c"==r?ve(e,1)||me(e):13==n||27==n||(37==n?de(e,-1,r)||pe(e):39==n?de(e,1,r)||me(e):38==n?ye(e,-1,r)||pe(e):40==n?function(e){if(a.chrome&&!(e.state.selection.$head.parentOffset>0)){var t=e.root.getSelection(),n=t.focusNode,r=t.focusOffset;if(n&&1==n.nodeType&&0==r&&n.firstChild&&"false"==n.firstChild.contentEditable){var o=n.firstChild;Me(e,o,!0),setTimeout((function(){return Me(e,o,!1)}),20)}}}(e)||ye(e,1,r)||me(e):r==(a.mac?"m":"c")&&(66==n||73==n||89==n||90==n))}function be(e,t){var n=e.root.getSelection(),o=e.state.doc;if(!n.focusNode)return null;var i=e.docView.nearestDesc(n.focusNode),a=i&&0==i.size,s=e.docView.posFromDOM(n.focusNode,n.focusOffset);if(s<0)return null;var u,c,l=o.resolve(s);if(M(n)){for(u=l;i&&!i.node;)i=i.parent;if(i&&i.node.isAtom&&r.NodeSelection.isSelectable(i.node)&&i.parent&&(!i.node.isInline||!function(e,t,n){for(var r=0==t,o=t==y(e);r||o;){if(e==n)return!0;var i=h(e);if(!(e=e.parentNode))return!1;r=r&&0==i,o=o&&i==y(e)}}(n.focusNode,n.focusOffset,i.dom))){var d=i.posBefore;c=new r.NodeSelection(s==d?l:o.resolve(d))}}else{var f=e.docView.posFromDOM(n.anchorNode,n.anchorOffset);if(f<0)return null;u=o.resolve(f)}c||(c=Oe(e,u,l,"pointer"==t||e.state.selection.head<l.pos&&!a?1:-1));return c}function we(e,t){var n=e.state.selection;if(Se(e,n),e.editable?e.hasFocus():Ce(e)&&document.activeElement&&document.activeElement.contains(e.dom)){if(e.domObserver.disconnectSelection(),e.cursorWrapper)!function(e){var t=e.root.getSelection(),n=document.createRange(),r=e.cursorWrapper.dom,o="IMG"==r.nodeName;o?n.setEnd(r.parentNode,h(r)+1):n.setEnd(r,0);n.collapse(!1),t.removeAllRanges(),t.addRange(n),!o&&!e.state.selection.visible&&a.ie&&a.ie_version<=11&&(r.disabled=!0,r.disabled=!1)}(e);else{var o,i,s=n.anchor,u=n.head;!Le||n instanceof r.TextSelection||(n.$from.parent.inlineContent||(o=Te(e,n.from)),n.empty||n.$from.parent.inlineContent||(i=Te(e,n.to))),e.docView.setSelection(s,u,e.root,t),Le&&(o&&xe(o),i&&xe(i)),n.visible?e.dom.classList.remove("ProseMirror-hideselection"):(e.dom.classList.add("ProseMirror-hideselection"),"onselectionchange"in document&&function(e){var t=e.dom.ownerDocument;t.removeEventListener("selectionchange",e.hideSelectionGuard);var n=e.root.getSelection(),r=n.anchorNode,o=n.anchorOffset;t.addEventListener("selectionchange",e.hideSelectionGuard=function(){n.anchorNode==r&&n.anchorOffset==o||(t.removeEventListener("selectionchange",e.hideSelectionGuard),e.dom.classList.remove("ProseMirror-hideselection"))})}(e))}e.domObserver.setCurSelection(),e.domObserver.connectSelection()}}ae.prototype.getPreMatch=function(e){return e>=this.preMatchOffset?this.preMatched[e-this.preMatchOffset]:null},ae.prototype.destroyBetween=function(e,t){if(e!=t){for(var n=e;n<t;n++)this.top.children[n].destroy();this.top.children.splice(e,t-e),this.changed=!0}},ae.prototype.destroyRest=function(){this.destroyBetween(this.index,this.top.children.length)},ae.prototype.syncToMarks=function(e,t,n){for(var r=0,o=this.stack.length>>1,i=Math.min(o,e.length);r<i&&(r==o-1?this.top:this.stack[r+1<<1]).matchesMark(e[r])&&!1!==e[r].type.spec.spanning;)r++;for(;r<o;)this.destroyRest(),this.top.dirty=0,this.index=this.stack.pop(),this.top=this.stack.pop(),o--;for(;o<e.length;){this.stack.push(this.top,this.index+1);for(var a=-1,s=this.index;s<Math.min(this.index+3,this.top.children.length);s++)if(this.top.children[s].matchesMark(e[o])){a=s;break}if(a>-1)a>this.index&&(this.changed=!0,this.destroyBetween(this.index,a)),this.top=this.top.children[this.index];else{var u=U.create(this.top,e[o],t,n);this.top.children.splice(this.index,0,u),this.top=u,this.changed=!0}this.index=0,o++}},ae.prototype.findNodeMatch=function(e,t,n,r){var o=-1,i=r<0?void 0:this.getPreMatch(r),a=this.top.children;if(i&&i.matchesNode(e,t,n))o=a.indexOf(i);else for(var s=this.index,u=Math.min(a.length,s+5);s<u;s++){var c=a[s];if(c.matchesNode(e,t,n)&&this.preMatched.indexOf(c)<0){o=s;break}}return!(o<0)&&(this.destroyBetween(this.index,o),this.index++,!0)},ae.prototype.updateNextNode=function(e,t,n,r,o){for(var i=this.index;i<this.top.children.length;i++){var a=this.top.children[i];if(a instanceof V){var s=this.preMatched.indexOf(a);if(s>-1&&s+this.preMatchOffset!=o)return!1;var u=a.dom;if(!(this.lock&&(u==this.lock||1==u.nodeType&&u.contains(this.lock.parentNode))&&!(e.isText&&a.node&&a.node.isText&&a.nodeDOM.nodeValue==e.text&&3!=a.dirty&&oe(t,a.outerDeco)))&&a.update(e,t,n,r))return this.destroyBetween(this.index,i),a.dom!=u&&(this.changed=!0),this.index++,!0;break}}return!1},ae.prototype.addNode=function(e,t,n,r,o){this.top.children.splice(this.index++,0,V.create(this.top,e,t,n,r,o)),this.changed=!0},ae.prototype.placeWidget=function(e,t,n){var r=this.index<this.top.children.length?this.top.children[this.index]:null;if(!r||!r.matchesWidget(e)||e!=r.widget&&r.widget.type.toDOM.parentNode){var o=new B(this.top,e,t,n);this.top.children.splice(this.index++,0,o),this.changed=!0}else this.index++},ae.prototype.addTextblockHacks=function(){for(var e=this.top.children[this.index-1];e instanceof U;)e=e.children[e.children.length-1];if(!e||!(e instanceof G)||/\n$/.test(e.node.text))if(this.index<this.top.children.length&&this.top.children[this.index].matchesHack())this.index++;else{var t=document.createElement("br");this.top.children.splice(this.index++,0,new K(this.top,$,t,null)),this.changed=!0}};var Le=a.safari||a.chrome&&a.chrome_version<63;function Te(e,t){var n=e.docView.domFromPos(t),r=n.node,o=n.offset,i=o<r.childNodes.length?r.childNodes[o]:null,s=o?r.childNodes[o-1]:null;if(a.safari&&i&&"false"==i.contentEditable)return De(i);if(!(i&&"false"!=i.contentEditable||s&&"false"!=s.contentEditable)){if(i)return De(i);if(s)return De(s)}}function De(e){return e.contentEditable="true",a.safari&&e.draggable&&(e.draggable=!1,e.wasDraggable=!0),e}function xe(e){e.contentEditable="false",e.wasDraggable&&(e.draggable=!0,e.wasDraggable=null)}function Se(e,t){if(t instanceof r.NodeSelection){var n=e.docView.descAt(t.from);n!=e.lastSelectedViewDesc&&(Ye(e),n&&n.selectNode(),e.lastSelectedViewDesc=n)}else Ye(e)}function Ye(e){e.lastSelectedViewDesc&&(e.lastSelectedViewDesc.parent&&e.lastSelectedViewDesc.deselectNode(),e.lastSelectedViewDesc=null)}function Oe(e,t,n,o){return e.someProp("createSelectionBetween",(function(r){return r(e,t,n)}))||r.TextSelection.between(t,n,o)}function Ce(e){var t=e.root.getSelection();if(!t.anchorNode)return!1;try{return e.dom.contains(3==t.anchorNode.nodeType?t.anchorNode.parentNode:t.anchorNode)&&(e.editable||e.dom.contains(3==t.focusNode.nodeType?t.focusNode.parentNode:t.focusNode))}catch(e){return!1}}function Ee(e){var t=e.pmViewDesc;if(t)return t.parseRule();if("BR"==e.nodeName&&e.parentNode){if(a.safari&&/^(ul|ol)$/i.test(e.parentNode.nodeName)){var n=document.createElement("div");return n.appendChild(document.createElement("li")),{skip:n}}if(e.parentNode.lastChild==e||a.safari&&/^(tr|table)$/i.test(e.parentNode.nodeName))return{ignore:!0}}else if("IMG"==e.nodeName&&e.getAttribute("mark-placeholder"))return{ignore:!0}}function Ae(e,t,n,i,s){if(t<0){var u=e.lastSelectionTime>Date.now()-50?e.lastSelectionOrigin:null,c=be(e,u);if(c&&!e.state.selection.eq(c)){var l=e.state.tr.setSelection(c);"pointer"==u?l.setMeta("pointer",!0):"key"==u&&l.scrollIntoView(),e.dispatch(l)}}else{var d=e.state.doc.resolve(t),h=d.sharedDepth(n);t=d.before(h+1),n=e.state.doc.resolve(n).after(h+1);var f,p,m=e.state.selection,_=function(e,t,n){var r=e.docView.parseRange(t,n),i=r.node,s=r.fromOffset,u=r.toOffset,c=r.from,l=r.to,d=e.root.getSelection(),h=null,f=d.anchorNode;if(f&&e.dom.contains(1==f.nodeType?f:f.parentNode)&&(h=[{node:f,offset:d.anchorOffset}],M(d)||h.push({node:d.focusNode,offset:d.focusOffset})),a.chrome&&8===e.lastKeyCode)for(var p=u;p>s;p--){var m=i.childNodes[p-1],_=m.pmViewDesc;if("BR"==m.nodeType&&!_){u=p;break}if(!_||_.size)break}var g=e.state.doc,y=e.someProp("domParser")||o.DOMParser.fromSchema(e.state.schema),v=g.resolve(c),k=null,b=y.parse(i,{topNode:v.parent,topMatch:v.parent.contentMatchAt(v.index()),topOpen:!0,from:s,to:u,preserveWhitespace:!v.parent.type.spec.code||"full",editableContent:!0,findPositions:h,ruleFromNode:Ee,context:v});if(h&&null!=h[0].pos){var w=h[0].pos,L=h[1]&&h[1].pos;null==L&&(L=w),k={anchor:w+c,head:L+c}}return{doc:b,sel:k,from:c,to:l}}(e,t,n),g=e.state.doc,y=g.slice(_.from,_.to);8===e.lastKeyCode&&Date.now()-100<e.lastKeyCodeTime?(f=e.state.selection.to,p="end"):(f=e.state.selection.from,p="start"),e.lastKeyCode=null;var v=function(e,t,n,r,o){var i=e.findDiffStart(t,n);if(null==i)return null;var a=e.findDiffEnd(t,n+e.size,n+t.size),s=a.a,u=a.b;if("end"==o){var c=Math.max(0,i-Math.min(s,u));r-=s+c-i}if(s<i&&e.size<t.size){u=(i-=r<=i&&r>=s?i-r:0)+(u-s),s=i}else if(u<i){s=(i-=r<=i&&r>=u?i-r:0)+(s-u),u=i}return{start:i,endA:s,endB:u}}(y.content,_.doc.content,_.from,f,p);if(!v){if(!(i&&m instanceof r.TextSelection&&!m.empty&&m.$head.sameParent(m.$anchor))||e.composing||_.sel&&_.sel.anchor!=_.sel.head){if(_.sel){var b=je(e,e.state.doc,_.sel);b&&!b.eq(e.state.selection)&&e.dispatch(e.state.tr.setSelection(b))}return}v={start:m.from,endA:m.to,endB:m.to}}e.domChangeCount++,e.state.selection.from<e.state.selection.to&&v.start==v.endB&&e.state.selection instanceof r.TextSelection&&(v.start>e.state.selection.from&&v.start<=e.state.selection.from+2?v.start=e.state.selection.from:v.endA<e.state.selection.to&&v.endA>=e.state.selection.to-2&&(v.endB+=e.state.selection.to-v.endA,v.endA=e.state.selection.to)),a.ie&&a.ie_version<=11&&v.endB==v.start+1&&v.endA==v.start&&v.start>_.from&&"  "==_.doc.textBetween(v.start-_.from-1,v.start-_.from+1)&&(v.start--,v.endA--,v.endB--);var w,L=_.doc.resolveNoCache(v.start-_.from),T=_.doc.resolveNoCache(v.endB-_.from),D=L.sameParent(T)&&L.parent.inlineContent;if((a.ios&&e.lastIOSEnter>Date.now()-100&&(!D||s.some((function(e){return"DIV"==e.nodeName||"P"==e.nodeName})))||!D&&L.pos<_.doc.content.size&&(w=r.Selection.findFrom(_.doc.resolve(L.pos+1),1,!0))&&w.head==T.pos)&&e.someProp("handleKeyDown",(function(t){return t(e,k(13,"Enter"))})))e.lastIOSEnter=0;else if(e.state.selection.anchor>v.start&&function(e,t,n,r,o){if(!r.parent.isTextblock||n-t<=o.pos-r.pos||He(r,!0,!1)<o.pos)return!1;var i=e.resolve(t);if(i.parentOffset<i.parent.content.size||!i.parent.isTextblock)return!1;var a=e.resolve(He(i,!0,!0));if(!a.parent.isTextblock||a.pos>n||He(a,!0,!1)<n)return!1;return r.parent.content.cut(r.parentOffset).eq(a.parent.content)}(g,v.start,v.endA,L,T)&&e.someProp("handleKeyDown",(function(t){return t(e,k(8,"Backspace"))})))a.android&&a.chrome&&e.domObserver.suppressSelectionUpdates();else{a.android&&!D&&L.start()!=T.start()&&0==T.parentOffset&&L.depth==T.depth&&_.sel&&_.sel.anchor==_.sel.head&&_.sel.head==v.endA&&(v.endB-=2,T=_.doc.resolveNoCache(v.endB-_.from),setTimeout((function(){e.someProp("handleKeyDown",(function(t){return t(e,k(13,"Enter"))}))}),20));var x,S,Y,O,C=v.start,E=v.endA;if(D)if(L.pos==T.pos)a.ie&&a.ie_version<=11&&0==L.parentOffset&&(e.domObserver.suppressSelectionUpdates(),setTimeout((function(){return we(e)}),20)),x=e.state.tr.delete(C,E),S=g.resolve(v.start).marksAcross(g.resolve(v.endA));else if(v.endA==v.endB&&(O=g.resolve(v.start))&&(Y=function(e,t){for(var n,r,i,a=e.firstChild.marks,s=t.firstChild.marks,u=a,c=s,l=0;l<s.length;l++)u=s[l].removeFromSet(u);for(var d=0;d<a.length;d++)c=a[d].removeFromSet(c);if(1==u.length&&0==c.length)r=u[0],n="add",i=function(e){return e.mark(r.addToSet(e.marks))};else{if(0!=u.length||1!=c.length)return null;r=c[0],n="remove",i=function(e){return e.mark(r.removeFromSet(e.marks))}}for(var h=[],f=0;f<t.childCount;f++)h.push(i(t.child(f)));if(o.Fragment.from(h).eq(e))return{mark:r,type:n}}(L.parent.content.cut(L.parentOffset,T.parentOffset),O.parent.content.cut(O.parentOffset,v.endA-O.start()))))x=e.state.tr,"add"==Y.type?x.addMark(C,E,Y.mark):x.removeMark(C,E,Y.mark);else if(L.parent.child(L.index()).isText&&L.index()==T.index()-(T.textOffset?0:1)){var A=L.parent.textBetween(L.parentOffset,T.parentOffset);if(e.someProp("handleTextInput",(function(t){return t(e,C,E,A)})))return;x=e.state.tr.insertText(A,C,E)}if(x||(x=e.state.tr.replace(C,E,_.doc.slice(v.start-_.from,v.endB-_.from))),_.sel){var j=je(e,x.doc,_.sel);j&&!(a.chrome&&a.android&&e.composing&&j.empty&&(j.head==C||j.head==x.mapping.map(E)-1)||a.ie&&j.empty&&j.head==C)&&x.setSelection(j)}S&&x.ensureMarks(S),e.dispatch(x.scrollIntoView())}}}function je(e,t,n){return Math.max(n.anchor,n.head)>t.content.size?null:Oe(e,t.resolve(n.anchor),t.resolve(n.head))}function He(e,t,n){for(var r=e.depth,o=t?e.end():e.pos;r>0&&(t||e.indexAfter(r)==e.node(r).childCount);)r--,o++,t=!1;if(n)for(var i=e.node(r).maybeChild(e.indexAfter(r));i&&!i.isLeaf;)i=i.firstChild,o++;return o}function Pe(e,t){for(var n=[],r=t.content,i=t.openStart,a=t.openEnd;i>1&&a>1&&1==r.childCount&&1==r.firstChild.childCount;){i--,a--;var s=r.firstChild;n.push(s.type.name,s.type.hasRequiredAttrs()?s.attrs:null),r=s.content}var u=e.someProp("clipboardSerializer")||o.DOMSerializer.fromSchema(e.state.schema),c=We(),l=c.createElement("div");l.appendChild(u.serializeFragment(r,{document:c}));for(var d,h=l.firstChild;h&&1==h.nodeType&&(d=Ie[h.nodeName.toLowerCase()]);){for(var f=d.length-1;f>=0;f--){for(var p=c.createElement(d[f]);l.firstChild;)p.appendChild(l.firstChild);l.appendChild(p)}h=l.firstChild}return h&&1==h.nodeType&&h.setAttribute("data-pm-slice",i+" "+a+" "+JSON.stringify(n)),{dom:l,text:e.someProp("clipboardTextSerializer",(function(e){return e(t)}))||t.content.textBetween(0,t.content.size,"\n\n")}}function Ne(e,t,n,r,i){var a,s,u=i.parent.type.spec.code;if(!n&&!t)return null;var c=t&&(r||u||!n);if(c){if(e.someProp("transformPastedText",(function(e){t=e(t)})),u)return new o.Slice(o.Fragment.from(e.state.schema.text(t)),0,0);var l=e.someProp("clipboardTextParser",(function(e){return e(t,i)}));l?s=l:(a=document.createElement("div"),t.trim().split(/(?:\r\n?|\n)+/).forEach((function(e){a.appendChild(document.createElement("p")).textContent=e})))}else e.someProp("transformPastedHTML",(function(e){n=e(n)})),a=function(e){var t=/(\s*<meta [^>]*>)*/.exec(e);t&&(e=e.slice(t[0].length));var n,r=We().createElement("div"),o=/(?:<meta [^>]*>)*<([a-z][^>\s]+)/i.exec(e),i=0;(n=o&&Ie[o[1].toLowerCase()])&&(e=n.map((function(e){return"<"+e+">"})).join("")+e+n.map((function(e){return"</"+e+">"})).reverse().join(""),i=n.length);r.innerHTML=e;for(var a=0;a<i;a++)r=r.firstChild;return r}(n);var d=a&&a.querySelector("[data-pm-slice]"),h=d&&/^(\d+) (\d+) (.*)/.exec(d.getAttribute("data-pm-slice"));if(!s){var f=e.someProp("clipboardParser")||e.someProp("domParser")||o.DOMParser.fromSchema(e.state.schema);s=f.parseSlice(a,{preserveWhitespace:!(!c&&!h),context:i})}return s=h?function(e,t){if(!e.size)return e;var n,r=e.content.firstChild.type.schema;try{n=JSON.parse(t)}catch(t){return e}for(var i=e.content,a=e.openStart,s=e.openEnd,u=n.length-2;u>=0;u-=2){var c=r.nodes[n[u]];if(!c||c.hasRequiredAttrs())break;i=o.Fragment.from(c.create(n[u+1],i)),a++,s++}return new o.Slice(i,a,s)}(function(e,t,n){t<e.openStart&&(e=new o.Slice(Fe(e.content,-1,t,e.openStart,0,e.openEnd),t,e.openEnd));n<e.openEnd&&(e=new o.Slice(Fe(e.content,1,n,e.openEnd,0,0),e.openStart,n));return e}(s,+h[1],+h[2]),h[3]):o.Slice.maxOpen(function(e,t){if(e.childCount<2)return e;for(var n=function(n){var r=t.node(n).contentMatchAt(t.index(n)),i=void 0,a=[];if(e.forEach((function(e){if(a){var t,n=r.findWrapping(e.type);if(!n)return a=null;if(t=a.length&&i.length&&function e(t,n,r,i,a){if(a<t.length&&a<n.length&&t[a]==n[a]){var s=e(t,n,r,i.lastChild,a+1);if(s)return i.copy(i.content.replaceChild(i.childCount-1,s));if(i.contentMatchAt(i.childCount).matchType(a==t.length-1?r.type:t[a+1]))return i.copy(i.content.append(o.Fragment.from(Re(r,t,a+1))))}}(n,i,e,a[a.length-1],0))a[a.length-1]=t;else{a.length&&(a[a.length-1]=function e(t,n){if(0==n)return t;var r=t.content.replaceChild(t.childCount-1,e(t.lastChild,n-1)),i=t.contentMatchAt(t.childCount).fillBefore(o.Fragment.empty,!0);return t.copy(r.append(i))}(a[a.length-1],i.length));var s=Re(e,n);a.push(s),r=r.matchType(s.type,s.attrs),i=n}}})),a)return{v:o.Fragment.from(a)}},r=t.depth;r>=0;r--){var i=n(r);if(i)return i.v}return e}(s.content,i),!1),e.someProp("transformPasted",(function(e){s=e(s)})),s}function Re(e,t,n){void 0===n&&(n=0);for(var r=t.length-1;r>=n;r--)e=t[r].create(null,o.Fragment.from(e));return e}function Fe(e,t,n,r,i,a){var s=t<0?e.firstChild:e.lastChild,u=s.content;return i<r-1&&(u=Fe(u,t,n,r,i+1,a)),i>=n&&(u=t<0?s.contentMatchAt(0).fillBefore(u,e.childCount>1||a<=i).append(u):u.append(s.contentMatchAt(s.childCount).fillBefore(o.Fragment.empty,!0))),e.replaceChild(t<0?0:e.childCount-1,s.copy(u))}var Ie={thead:["table"],tbody:["table"],tfoot:["table"],caption:["table"],colgroup:["table"],col:["table","colgroup"],tr:["table","tbody"],td:["table","tbody","tr"],th:["table","tbody","tr"]},ze=null;function We(){return ze||(ze=document.implementation.createHTMLDocument("title"))}var $e={childList:!0,characterData:!0,characterDataOldValue:!0,attributes:!0,attributeOldValue:!0,subtree:!0},Be=a.ie&&a.ie_version<=11,qe=function(){this.anchorNode=this.anchorOffset=this.focusNode=this.focusOffset=null};qe.prototype.set=function(e){this.anchorNode=e.anchorNode,this.anchorOffset=e.anchorOffset,this.focusNode=e.focusNode,this.focusOffset=e.focusOffset},qe.prototype.eq=function(e){return e.anchorNode==this.anchorNode&&e.anchorOffset==this.anchorOffset&&e.focusNode==this.focusNode&&e.focusOffset==this.focusOffset};var Ue=function(e,t){var n=this;this.view=e,this.handleDOMChange=t,this.queue=[],this.flushingSoon=-1,this.observer=window.MutationObserver&&new window.MutationObserver((function(e){for(var t=0;t<e.length;t++)n.queue.push(e[t]);a.ie&&a.ie_version<=11&&e.some((function(e){return"childList"==e.type&&e.removedNodes.length||"characterData"==e.type&&e.oldValue.length>e.target.nodeValue.length}))?n.flushSoon():n.flush()})),this.currentSelection=new qe,Be&&(this.onCharData=function(e){n.queue.push({target:e.target,type:"characterData",oldValue:e.prevValue}),n.flushSoon()}),this.onSelectionChange=this.onSelectionChange.bind(this),this.suppressingSelectionUpdates=!1};Ue.prototype.flushSoon=function(){var e=this;this.flushingSoon<0&&(this.flushingSoon=window.setTimeout((function(){e.flushingSoon=-1,e.flush()}),20))},Ue.prototype.forceFlush=function(){this.flushingSoon>-1&&(window.clearTimeout(this.flushingSoon),this.flushingSoon=-1,this.flush())},Ue.prototype.start=function(){this.observer&&this.observer.observe(this.view.dom,$e),Be&&this.view.dom.addEventListener("DOMCharacterDataModified",this.onCharData),this.connectSelection()},Ue.prototype.stop=function(){var e=this;if(this.observer){var t=this.observer.takeRecords();if(t.length){for(var n=0;n<t.length;n++)this.queue.push(t[n]);window.setTimeout((function(){return e.flush()}),20)}this.observer.disconnect()}Be&&this.view.dom.removeEventListener("DOMCharacterDataModified",this.onCharData),this.disconnectSelection()},Ue.prototype.connectSelection=function(){this.view.dom.ownerDocument.addEventListener("selectionchange",this.onSelectionChange)},Ue.prototype.disconnectSelection=function(){this.view.dom.ownerDocument.removeEventListener("selectionchange",this.onSelectionChange)},Ue.prototype.suppressSelectionUpdates=function(){var e=this;this.suppressingSelectionUpdates=!0,setTimeout((function(){return e.suppressingSelectionUpdates=!1}),50)},Ue.prototype.onSelectionChange=function(){if((!(e=this.view).editable||e.root.activeElement==e.dom)&&Ce(e)){var e;if(this.suppressingSelectionUpdates)return we(this.view);if(a.ie&&a.ie_version<=11&&!this.view.state.selection.empty){var t=this.view.root.getSelection();if(t.focusNode&&m(t.focusNode,t.focusOffset,t.anchorNode,t.anchorOffset))return this.flushSoon()}this.flush()}},Ue.prototype.setCurSelection=function(){this.currentSelection.set(this.view.root.getSelection())},Ue.prototype.ignoreSelectionChange=function(e){if(0==e.rangeCount)return!0;var t=e.getRangeAt(0).commonAncestorContainer,n=this.view.docView.nearestDesc(t);return n&&n.ignoreMutation({type:"selection",target:3==t.nodeType?t.parentNode:t})?(this.setCurSelection(),!0):void 0},Ue.prototype.flush=function(){if(this.view.docView&&!(this.flushingSoon>-1)){var e=this.observer?this.observer.takeRecords():[];this.queue.length&&(e=this.queue.concat(e),this.queue.length=0);var t=this.view.root.getSelection(),n=!this.suppressingSelectionUpdates&&!this.currentSelection.eq(t)&&Ce(this.view)&&!this.ignoreSelectionChange(t),r=-1,o=-1,i=!1,s=[];if(this.view.editable)for(var u=0;u<e.length;u++){var c=this.registerMutation(e[u],s);c&&(r=r<0?c.from:Math.min(c.from,r),o=o<0?c.to:Math.max(c.to,o),c.typeOver&&(i=!0))}if(a.gecko&&s.length>1){var l=s.filter((function(e){return"BR"==e.nodeName}));if(2==l.length){var d=l[0],h=l[1];d.parentNode&&d.parentNode.parentNode==h.parentNode?h.remove():d.remove()}}(r>-1||n)&&(r>-1&&(this.view.docView.markDirty(r,o),function(e){if(Ve)return;Ve=!0,"normal"==getComputedStyle(e.dom).whiteSpace&&console.warn("ProseMirror expects the CSS white-space property to be set, preferably to 'pre-wrap'. It is recommended to load style/prosemirror.css from the prosemirror-view package.")}(this.view)),this.handleDOMChange(r,o,i,s),this.view.docView.dirty?this.view.updateState(this.view.state):this.currentSelection.eq(t)||we(this.view))}},Ue.prototype.registerMutation=function(e,t){if(t.indexOf(e.target)>-1)return null;var n=this.view.docView.nearestDesc(e.target);if("attributes"==e.type&&(n==this.view.docView||"contenteditable"==e.attributeName||"style"==e.attributeName&&!e.oldValue&&!e.target.getAttribute("style")))return null;if(!n||n.ignoreMutation(e))return null;if("childList"==e.type){var r=e.previousSibling,o=e.nextSibling;if(a.ie&&a.ie_version<=11&&e.addedNodes.length)for(var i=0;i<e.addedNodes.length;i++){var s=e.addedNodes[i],u=s.previousSibling,c=s.nextSibling;(!u||Array.prototype.indexOf.call(e.addedNodes,u)<0)&&(r=u),(!c||Array.prototype.indexOf.call(e.addedNodes,c)<0)&&(o=c)}for(var l=r&&r.parentNode==e.target?h(r)+1:0,d=n.localPosFromDOM(e.target,l,-1),f=o&&o.parentNode==e.target?h(o):e.target.childNodes.length,p=0;p<e.addedNodes.length;p++)t.push(e.addedNodes[p]);return{from:d,to:n.localPosFromDOM(e.target,f,1)}}return"attributes"==e.type?{from:n.posAtStart-n.border,to:n.posAtEnd+n.border}:{from:n.posAtStart,to:n.posAtEnd,typeOver:e.target.nodeValue==e.oldValue}};var Ve=!1;var Je={},Ge={};function Ke(e,t){e.lastSelectionOrigin=t,e.lastSelectionTime=Date.now()}function Ze(e){e.someProp("handleDOMEvents",(function(t){for(var n in t)e.eventHandlers[n]||e.dom.addEventListener(n,e.eventHandlers[n]=function(t){return Xe(e,t)})}))}function Xe(e,t){return e.someProp("handleDOMEvents",(function(n){var r=n[t.type];return!!r&&(r(e,t)||t.defaultPrevented)}))}function Qe(e){return{left:e.clientX,top:e.clientY}}function et(e,t,n,r,o){if(-1==r)return!1;for(var i=e.state.doc.resolve(r),a=function(r){if(e.someProp(t,(function(t){return r>i.depth?t(e,n,i.nodeAfter,i.before(r),o,!0):t(e,n,i.node(r),i.before(r),o,!1)})))return{v:!0}},s=i.depth+1;s>0;s--){var u=a(s);if(u)return u.v}return!1}function tt(e,t,n){e.focused||e.focus();var r=e.state.tr.setSelection(t);"pointer"==n&&r.setMeta("pointer",!0),e.dispatch(r)}function nt(e,t,n,o,i){return et(e,"handleClickOn",t,n,o)||e.someProp("handleClick",(function(n){return n(e,t,o)}))||(i?function(e,t){if(-1==t)return!1;var n,o,i=e.state.selection;i instanceof r.NodeSelection&&(n=i.node);for(var a=e.state.doc.resolve(t),s=a.depth+1;s>0;s--){var u=s>a.depth?a.nodeAfter:a.node(s);if(r.NodeSelection.isSelectable(u)){o=n&&i.$from.depth>0&&s>=i.$from.depth&&a.before(i.$from.depth+1)==i.$from.pos?a.before(i.$from.depth):a.before(s);break}}return null!=o&&(tt(e,r.NodeSelection.create(e.state.doc,o),"pointer"),!0)}(e,n):function(e,t){if(-1==t)return!1;var n=e.state.doc.resolve(t),o=n.nodeAfter;return!!(o&&o.isAtom&&r.NodeSelection.isSelectable(o))&&(tt(e,new r.NodeSelection(n),"pointer"),!0)}(e,n))}function rt(e,t,n,r){return et(e,"handleDoubleClickOn",t,n,r)||e.someProp("handleDoubleClick",(function(n){return n(e,t,r)}))}function ot(e,t,n,o){return et(e,"handleTripleClickOn",t,n,o)||e.someProp("handleTripleClick",(function(n){return n(e,t,o)}))||function(e,t){var n=e.state.doc;if(-1==t)return!!n.inlineContent&&(tt(e,r.TextSelection.create(n,0,n.content.size),"pointer"),!0);for(var o=n.resolve(t),i=o.depth+1;i>0;i--){var a=i>o.depth?o.nodeAfter:o.node(i),s=o.before(i);if(a.inlineContent)tt(e,r.TextSelection.create(n,s+1,s+1+a.content.size),"pointer");else{if(!r.NodeSelection.isSelectable(a))continue;tt(e,r.NodeSelection.create(n,s),"pointer")}return!0}}(e,n)}function it(e){return dt(e)}Ge.keydown=function(e,t){if(e.shiftKey=16==t.keyCode||t.shiftKey,!ut(e,t))if(e.domObserver.forceFlush(),e.lastKeyCode=t.keyCode,e.lastKeyCodeTime=Date.now(),!a.ios||13!=t.keyCode||t.ctrlKey||t.altKey||t.metaKey)e.someProp("handleKeyDown",(function(n){return n(e,t)}))||ke(e,t)?t.preventDefault():Ke(e,"key");else{var n=Date.now();e.lastIOSEnter=n,setTimeout((function(){e.lastIOSEnter==n&&(e.someProp("handleKeyDown",(function(t){return t(e,k(13,"Enter"))})),e.lastIOSEnter=0)}),50)}},Ge.keyup=function(e,t){16==t.keyCode&&(e.shiftKey=!1)},Ge.keypress=function(e,t){if(!(ut(e,t)||!t.charCode||t.ctrlKey&&!t.altKey||a.mac&&t.metaKey))if(e.someProp("handleKeyPress",(function(n){return n(e,t)})))t.preventDefault();else{var n=e.state.selection;if(!(n instanceof r.TextSelection&&n.$from.sameParent(n.$to))){var o=String.fromCharCode(t.charCode);e.someProp("handleTextInput",(function(t){return t(e,n.$from.pos,n.$to.pos,o)}))||e.dispatch(e.state.tr.insertText(o).scrollIntoView()),t.preventDefault()}}};var at=a.mac?"metaKey":"ctrlKey";Je.mousedown=function(e,t){e.shiftKey=t.shiftKey;var n=it(e),r=Date.now(),o="singleClick";r-e.lastClick.time<500&&function(e,t){var n=t.x-e.clientX,r=t.y-e.clientY;return n*n+r*r<100}(t,e.lastClick)&&!t[at]&&("singleClick"==e.lastClick.type?o="doubleClick":"doubleClick"==e.lastClick.type&&(o="tripleClick")),e.lastClick={time:r,x:t.clientX,y:t.clientY,type:o};var i=e.posAtCoords(Qe(t));i&&("singleClick"==o?e.mouseDown=new st(e,i,t,n):("doubleClick"==o?rt:ot)(e,i.pos,i.inside,t)?t.preventDefault():Ke(e,"pointer"))};var st=function(e,t,n,o){var i,s,u=this;if(this.view=e,this.startDoc=e.state.doc,this.pos=t,this.event=n,this.flushed=o,this.selectNode=n[at],this.allowDefault=n.shiftKey,t.inside>-1)i=e.state.doc.nodeAt(t.inside),s=t.inside;else{var c=e.state.doc.resolve(t.pos);i=c.parent,s=c.depth?c.before():0}this.mightDrag=null;var l=o?null:n.target,d=l?e.docView.nearestDesc(l,!0):null;this.target=d?d.dom:null,(i.type.spec.draggable&&!1!==i.type.spec.selectable||e.state.selection instanceof r.NodeSelection&&s==e.state.selection.from)&&(this.mightDrag={node:i,pos:s,addAttr:this.target&&!this.target.draggable,setUneditable:this.target&&a.gecko&&!this.target.hasAttribute("contentEditable")}),this.target&&this.mightDrag&&(this.mightDrag.addAttr||this.mightDrag.setUneditable)&&(this.view.domObserver.stop(),this.mightDrag.addAttr&&(this.target.draggable=!0),this.mightDrag.setUneditable&&setTimeout((function(){return u.target.setAttribute("contentEditable","false")}),20),this.view.domObserver.start()),e.root.addEventListener("mouseup",this.up=this.up.bind(this)),e.root.addEventListener("mousemove",this.move=this.move.bind(this)),Ke(e,"pointer")};function ut(e,t){return!!e.composing||!!(a.safari&&Math.abs(t.timeStamp-e.compositionEndedAt)<500)&&(e.compositionEndedAt=-2e8,!0)}st.prototype.done=function(){this.view.root.removeEventListener("mouseup",this.up),this.view.root.removeEventListener("mousemove",this.move),this.mightDrag&&this.target&&(this.view.domObserver.stop(),this.mightDrag.addAttr&&this.target.removeAttribute("draggable"),this.mightDrag.setUneditable&&this.target.removeAttribute("contentEditable"),this.view.domObserver.start()),this.view.mouseDown=null},st.prototype.up=function(e){if(this.done(),this.view.dom.contains(3==e.target.nodeType?e.target.parentNode:e.target)){var t=this.pos;this.view.state.doc!=this.startDoc&&(t=this.view.posAtCoords(Qe(e))),this.allowDefault||!t?Ke(this.view,"pointer"):nt(this.view,t.pos,t.inside,e,this.selectNode)?e.preventDefault():this.flushed||a.safari&&this.mightDrag&&!this.mightDrag.node.isAtom||a.chrome&&!(this.view.state.selection instanceof r.TextSelection)&&(t.pos==this.view.state.selection.from||t.pos==this.view.state.selection.to)?(tt(this.view,r.Selection.near(this.view.state.doc.resolve(t.pos)),"pointer"),e.preventDefault()):Ke(this.view,"pointer")}},st.prototype.move=function(e){!this.allowDefault&&(Math.abs(this.event.x-e.clientX)>4||Math.abs(this.event.y-e.clientY)>4)&&(this.allowDefault=!0),Ke(this.view,"pointer")},Je.touchdown=function(e){it(e),Ke(e,"pointer")},Je.contextmenu=function(e){return it(e)};var ct=a.android?5e3:-1;function lt(e,t){clearTimeout(e.composingTimeout),t>-1&&(e.composingTimeout=setTimeout((function(){return dt(e)}),t))}function dt(e,t){for(e.domObserver.forceFlush(),e.composing=!1;e.compositionNodes.length>0;)e.compositionNodes.pop().markParentsDirty();if(t||e.docView.dirty){var n=be(e);return n&&!n.eq(e.state.selection)?e.dispatch(e.state.tr.setSelection(n)):e.updateState(e.state),!0}return!1}Ge.compositionstart=Ge.compositionupdate=function(e){if(!e.composing){e.domObserver.flush();var t=e.state,n=t.selection.$from;if(t.selection.empty&&(t.storedMarks||!n.textOffset&&n.parentOffset&&n.nodeBefore.marks.some((function(e){return!1===e.type.spec.inclusive}))))e.markCursor=e.state.storedMarks||n.marks(),dt(e,!0),e.markCursor=null;else if(dt(e),a.gecko&&t.selection.empty&&n.parentOffset&&!n.textOffset&&n.nodeBefore.marks.length)for(var r=e.root.getSelection(),o=r.focusNode,i=r.focusOffset;o&&1==o.nodeType&&0!=i;){var s=i<0?o.lastChild:o.childNodes[i-1];if(!s)break;if(3==s.nodeType){r.collapse(s,s.nodeValue.length);break}o=s,i=-1}e.composing=!0}lt(e,ct)},Ge.compositionend=function(e,t){e.composing&&(e.composing=!1,e.compositionEndedAt=t.timeStamp,lt(e,20))};var ht=a.ie&&a.ie_version<15||a.ios&&a.webkit_version<604;function ft(e,t,n,r){var i=Ne(e,t,n,e.shiftKey,e.state.selection.$from);if(!e.someProp("handlePaste",(function(t){return t(e,r,i||o.Slice.empty)}))&&i){var a=function(e){return 0==e.openStart&&0==e.openEnd&&1==e.content.childCount?e.content.firstChild:null}(i),s=a?e.state.tr.replaceSelectionWith(a,e.shiftKey):e.state.tr.replaceSelection(i);e.dispatch(s.scrollIntoView().setMeta("paste",!0).setMeta("uiEvent","paste"))}}Je.copy=Ge.cut=function(e,t){var n=e.state.selection,r="cut"==t.type;if(!n.empty){var o=ht?null:t.clipboardData,i=Pe(e,n.content()),a=i.dom,s=i.text;o?(t.preventDefault(),o.clearData(),o.setData("text/html",a.innerHTML),o.setData("text/plain",s)):function(e,t){if(e.dom.parentNode){var n=e.dom.parentNode.appendChild(document.createElement("div"));n.appendChild(t),n.style.cssText="position: fixed; left: -10000px; top: 10px";var r=getSelection(),o=document.createRange();o.selectNodeContents(t),e.dom.blur(),r.removeAllRanges(),r.addRange(o),setTimeout((function(){n.parentNode&&n.parentNode.removeChild(n),e.focus()}),50)}}(e,a),r&&e.dispatch(e.state.tr.deleteSelection().scrollIntoView().setMeta("uiEvent","cut"))}},Ge.paste=function(e,t){var n=ht?null:t.clipboardData,r=n&&n.getData("text/html"),o=n&&n.getData("text/plain");n&&(r||o||n.files.length)?(ft(e,o,r,t),t.preventDefault()):function(e,t){if(e.dom.parentNode){var n=e.shiftKey||e.state.selection.$from.parent.type.spec.code,r=e.dom.parentNode.appendChild(document.createElement(n?"textarea":"div"));n||(r.contentEditable="true"),r.style.cssText="position: fixed; left: -10000px; top: 10px",r.focus(),setTimeout((function(){e.focus(),r.parentNode&&r.parentNode.removeChild(r),n?ft(e,r.value,null,t):ft(e,r.textContent,r.innerHTML,t)}),50)}}(e,t)};var pt=function(e,t){this.slice=e,this.move=t},mt=a.mac?"altKey":"ctrlKey";for(var _t in Je.dragstart=function(e,t){var n=e.mouseDown;if(n&&n.done(),t.dataTransfer){var o=e.state.selection,i=o.empty?null:e.posAtCoords(Qe(t));if(i&&i.pos>=o.from&&i.pos<=(o instanceof r.NodeSelection?o.to-1:o.to));else if(n&&n.mightDrag)e.dispatch(e.state.tr.setSelection(r.NodeSelection.create(e.state.doc,n.mightDrag.pos)));else if(t.target&&1==t.target.nodeType){var a=e.docView.nearestDesc(t.target,!0);if(!a||!a.node.type.spec.draggable||a==e.docView)return;e.dispatch(e.state.tr.setSelection(r.NodeSelection.create(e.state.doc,a.posBefore)))}var s=e.state.selection.content(),u=Pe(e,s),c=u.dom,l=u.text;t.dataTransfer.clearData(),t.dataTransfer.setData(ht?"Text":"text/html",c.innerHTML),ht||t.dataTransfer.setData("text/plain",l),e.dragging=new pt(s,!t[mt])}},Je.dragend=function(e){window.setTimeout((function(){return e.dragging=null}),50)},Ge.dragover=Ge.dragenter=function(e,t){return t.preventDefault()},Ge.drop=function(e,t){var n=e.dragging;if(e.dragging=null,t.dataTransfer){var a=e.posAtCoords(Qe(t));if(a){var s=e.state.doc.resolve(a.pos);if(s){var u=n&&n.slice||Ne(e,t.dataTransfer.getData(ht?"Text":"text/plain"),ht?null:t.dataTransfer.getData("text/html"),!1,s);if(e.someProp("handleDrop",(function(r){return r(e,t,u||o.Slice.empty,n&&n.move)})))t.preventDefault();else if(u){t.preventDefault();var c=u?Object(i.h)(e.state.doc,s.pos,u):s.pos;null==c&&(c=s.pos);var l=e.state.tr;n&&n.move&&l.deleteSelection();var d=l.mapping.map(c),h=0==u.openStart&&0==u.openEnd&&1==u.content.childCount,f=l.doc;if(h?l.replaceRangeWith(d,d,u.content.firstChild):l.replaceRange(d,d,u),!l.doc.eq(f)){var p=l.doc.resolve(d);h&&r.NodeSelection.isSelectable(u.content.firstChild)&&p.nodeAfter&&p.nodeAfter.sameMarkup(u.content.firstChild)?l.setSelection(new r.NodeSelection(p)):l.setSelection(Oe(e,p,l.doc.resolve(l.mapping.map(c)))),e.focus(),e.dispatch(l.setMeta("uiEvent","drop"))}}}}}},Je.focus=function(e){e.focused||(e.domObserver.stop(),e.dom.classList.add("ProseMirror-focused"),e.domObserver.start(),e.focused=!0,setTimeout((function(){e.docView&&e.hasFocus()&&!e.domObserver.currentSelection.eq(e.root.getSelection())&&we(e)}),20))},Je.blur=function(e){e.focused&&(e.domObserver.stop(),e.dom.classList.remove("ProseMirror-focused"),e.domObserver.start(),e.domObserver.currentSelection.set({}),e.focused=!1)},Je.beforeinput=function(e,t){if(a.chrome&&a.android&&"deleteContentBackward"==t.inputType){var n=e.domChangeCount;setTimeout((function(){if(e.domChangeCount==n&&(e.dom.blur(),e.focus(),!e.someProp("handleKeyDown",(function(t){return t(e,k(8,"Backspace"))})))){var t=e.state.selection.$cursor;t&&t.pos>0&&e.dispatch(e.state.tr.delete(t.pos-1,t.pos).scrollIntoView())}}),50)}},Ge)Je[_t]=Ge[_t];function gt(e,t){if(e==t)return!0;for(var n in e)if(e[n]!==t[n])return!1;for(var r in t)if(!(r in e))return!1;return!0}var yt=function(e,t){this.spec=t||Lt,this.side=this.spec.side||0,this.toDOM=e};yt.prototype.map=function(e,t,n,r){var o=e.mapResult(t.from+r,this.side<0?-1:1),i=o.pos;return o.deleted?null:new kt(i-n,i-n,this)},yt.prototype.valid=function(){return!0},yt.prototype.eq=function(e){return this==e||e instanceof yt&&(this.spec.key&&this.spec.key==e.spec.key||this.toDOM==e.toDOM&&gt(this.spec,e.spec))};var vt=function(e,t){this.spec=t||Lt,this.attrs=e};vt.prototype.map=function(e,t,n,r){var o=e.map(t.from+r,this.spec.inclusiveStart?-1:1)-n,i=e.map(t.to+r,this.spec.inclusiveEnd?1:-1)-n;return o>=i?null:new kt(o,i,this)},vt.prototype.valid=function(e,t){return t.from<t.to},vt.prototype.eq=function(e){return this==e||e instanceof vt&&gt(this.attrs,e.attrs)&&gt(this.spec,e.spec)},vt.is=function(e){return e.type instanceof vt};var Mt=function(e,t){this.spec=t||Lt,this.attrs=e};Mt.prototype.map=function(e,t,n,r){var o=e.mapResult(t.from+r,1);if(o.deleted)return null;var i=e.mapResult(t.to+r,-1);return i.deleted||i.pos<=o.pos?null:new kt(o.pos-n,i.pos-n,this)},Mt.prototype.valid=function(e,t){var n=e.content.findIndex(t.from),r=n.index,o=n.offset;return o==t.from&&o+e.child(r).nodeSize==t.to},Mt.prototype.eq=function(e){return this==e||e instanceof Mt&&gt(this.attrs,e.attrs)&&gt(this.spec,e.spec)};var kt=function(e,t,n){this.from=e,this.to=t,this.type=n},bt={spec:{configurable:!0}};kt.prototype.copy=function(e,t){return new kt(e,t,this.type)},kt.prototype.eq=function(e,t){return void 0===t&&(t=0),this.type.eq(e.type)&&this.from+t==e.from&&this.to+t==e.to},kt.prototype.map=function(e,t,n){return this.type.map(e,this,t,n)},kt.widget=function(e,t,n){return new kt(e,e,new yt(t,n))},kt.inline=function(e,t,n,r){return new kt(e,t,new vt(n,r))},kt.node=function(e,t,n,r){return new kt(e,t,new Mt(n,r))},bt.spec.get=function(){return this.type.spec},Object.defineProperties(kt.prototype,bt);var wt=[],Lt={},Tt=function(e,t){this.local=e&&e.length?e:wt,this.children=t&&t.length?t:wt};Tt.create=function(e,t){return t.length?Ct(t,e,0,Lt):Dt},Tt.prototype.find=function(e,t,n){var r=[];return this.findInner(null==e?0:e,null==t?1e9:t,r,0,n),r},Tt.prototype.findInner=function(e,t,n,r,o){for(var i=0;i<this.local.length;i++){var a=this.local[i];a.from<=t&&a.to>=e&&(!o||o(a.spec))&&n.push(a.copy(a.from+r,a.to+r))}for(var s=0;s<this.children.length;s+=3)if(this.children[s]<t&&this.children[s+1]>e){var u=this.children[s]+1;this.children[s+2].findInner(e-u,t-u,n,r+u,o)}},Tt.prototype.map=function(e,t,n){return this==Dt||0==e.maps.length?this:this.mapInner(e,t,0,0,n||Lt)},Tt.prototype.mapInner=function(e,t,n,r,o){for(var i,a=0;a<this.local.length;a++){var s=this.local[a].map(e,n,r);s&&s.type.valid(t,s)?(i||(i=[])).push(s):o.onRemove&&o.onRemove(this.local[a].spec)}return this.children.length?function(e,t,n,r,o,i,a){for(var s=e.slice(),u=function(e,t,n,r){for(var a=0;a<s.length;a+=3){var u=s[a+1],c=void 0;-1==u||e>u+i||(t>=s[a]+i?s[a+1]=-1:n>=o&&(c=r-n-(t-e))&&(s[a]+=c,s[a+1]+=c))}},c=0;c<n.maps.length;c++)n.maps[c].forEach(u);for(var l=!1,d=0;d<s.length;d+=3)if(-1==s[d+1]){var h=n.map(s[d]+i),f=h-o;if(f<0||f>=r.content.size){l=!0;continue}var p=n.map(e[d+1]+i,-1)-o,m=r.content.findIndex(f),_=m.index,g=m.offset,y=r.maybeChild(_);if(y&&g==f&&g+y.nodeSize==p){var v=s[d+2].mapInner(n,y,h+1,s[d]+i+1,a);v!=Dt?(s[d]=f,s[d+1]=p,s[d+2]=v):(s[d+1]=-2,l=!0)}else l=!0}if(l){var M=Ct(function(e,t,n,r,o,i,a){function s(e,t){for(var i=0;i<e.local.length;i++){var u=e.local[i].map(r,o,t);u?n.push(u):a.onRemove&&a.onRemove(e.local[i].spec)}for(var c=0;c<e.children.length;c+=3)s(e.children[c+2],e.children[c]+t+1)}for(var u=0;u<e.length;u+=3)-1==e[u+1]&&s(e[u+2],t[u]+i+1);return n}(s,e,t||[],n,o,i,a),r,0,a);t=M.local;for(var k=0;k<s.length;k+=3)s[k+1]<0&&(s.splice(k,3),k-=3);for(var b=0,w=0;b<M.children.length;b+=3){for(var L=M.children[b];w<s.length&&s[w]<L;)w+=3;s.splice(w,0,M.children[b],M.children[b+1],M.children[b+2])}}return new Tt(t&&t.sort(Et),s)}(this.children,i,e,t,n,r,o):i?new Tt(i.sort(Et)):Dt},Tt.prototype.add=function(e,t){return t.length?this==Dt?Tt.create(e,t):this.addInner(e,t,0):this},Tt.prototype.addInner=function(e,t,n){var r,o=this,i=0;e.forEach((function(e,a){var s,u=a+n;if(s=Yt(t,e,u)){for(r||(r=o.children.slice());i<r.length&&r[i]<a;)i+=3;r[i]==a?r[i+2]=r[i+2].addInner(e,s,u+1):r.splice(i,0,a,a+e.nodeSize,Ct(s,e,u+1,Lt)),i+=3}}));var a=St(i?Ot(t):t,-n);return new Tt(a.length?this.local.concat(a).sort(Et):this.local,r||this.children)},Tt.prototype.remove=function(e){return 0==e.length||this==Dt?this:this.removeInner(e,0)},Tt.prototype.removeInner=function(e,t){for(var n=this.children,r=this.local,o=0;o<n.length;o+=3){for(var i=void 0,a=n[o]+t,s=n[o+1]+t,u=0,c=void 0;u<e.length;u++)(c=e[u])&&c.from>a&&c.to<s&&(e[u]=null,(i||(i=[])).push(c));if(i){n==this.children&&(n=this.children.slice());var l=n[o+2].removeInner(i,a+1);l!=Dt?n[o+2]=l:(n.splice(o,3),o-=3)}}if(r.length)for(var d=0,h=void 0;d<e.length;d++)if(h=e[d])for(var f=0;f<r.length;f++)r[f].eq(h,t)&&(r==this.local&&(r=this.local.slice()),r.splice(f--,1));return n==this.children&&r==this.local?this:r.length||n.length?new Tt(r,n):Dt},Tt.prototype.forChild=function(e,t){if(this==Dt)return this;if(t.isLeaf)return Tt.empty;for(var n,r,o=0;o<this.children.length;o+=3)if(this.children[o]>=e){this.children[o]==e&&(n=this.children[o+2]);break}for(var i=e+1,a=i+t.content.size,s=0;s<this.local.length;s++){var u=this.local[s];if(u.from<a&&u.to>i&&u.type instanceof vt){var c=Math.max(i,u.from)-i,l=Math.min(a,u.to)-i;c<l&&(r||(r=[])).push(u.copy(c,l))}}if(r){var d=new Tt(r.sort(Et));return n?new xt([d,n]):d}return n||Dt},Tt.prototype.eq=function(e){if(this==e)return!0;if(!(e instanceof Tt)||this.local.length!=e.local.length||this.children.length!=e.children.length)return!1;for(var t=0;t<this.local.length;t++)if(!this.local[t].eq(e.local[t]))return!1;for(var n=0;n<this.children.length;n+=3)if(this.children[n]!=e.children[n]||this.children[n+1]!=e.children[n+1]||!this.children[n+2].eq(e.children[n+2]))return!1;return!0},Tt.prototype.locals=function(e){return At(this.localsInner(e))},Tt.prototype.localsInner=function(e){if(this==Dt)return wt;if(e.inlineContent||!this.local.some(vt.is))return this.local;for(var t=[],n=0;n<this.local.length;n++)this.local[n].type instanceof vt||t.push(this.local[n]);return t};var Dt=new Tt;Tt.empty=Dt,Tt.removeOverlap=At;var xt=function(e){this.members=e};function St(e,t){if(!t||!e.length)return e;for(var n=[],r=0;r<e.length;r++){var o=e[r];n.push(new kt(o.from+t,o.to+t,o.type))}return n}function Yt(e,t,n){if(t.isLeaf)return null;for(var r=n+t.nodeSize,o=null,i=0,a=void 0;i<e.length;i++)(a=e[i])&&a.from>n&&a.to<r&&((o||(o=[])).push(a),e[i]=null);return o}function Ot(e){for(var t=[],n=0;n<e.length;n++)null!=e[n]&&t.push(e[n]);return t}function Ct(e,t,n,r){var o=[],i=!1;t.forEach((function(t,a){var s=Yt(e,t,a+n);if(s){i=!0;var u=Ct(s,t,n+a+1,r);u!=Dt&&o.push(a,a+t.nodeSize,u)}}));for(var a=St(i?Ot(e):e,-n).sort(Et),s=0;s<a.length;s++)a[s].type.valid(t,a[s])||(r.onRemove&&r.onRemove(a[s].spec),a.splice(s--,1));return a.length||o.length?new Tt(a,o):Dt}function Et(e,t){return e.from-t.from||e.to-t.to}function At(e){for(var t=e,n=0;n<t.length-1;n++){var r=t[n];if(r.from!=r.to)for(var o=n+1;o<t.length;o++){var i=t[o];if(i.from!=r.from){i.from<r.to&&(t==e&&(t=e.slice()),t[n]=r.copy(r.from,i.from),jt(t,o,r.copy(i.from,r.to)));break}i.to!=r.to&&(t==e&&(t=e.slice()),t[o]=i.copy(i.from,r.to),jt(t,o+1,i.copy(r.to,i.to)))}}return t}function jt(e,t,n){for(;t<e.length&&Et(n,e[t])>0;)t++;e.splice(t,0,n)}function Ht(e){var t=[];return e.someProp("decorations",(function(n){var r=n(e.state);r&&r!=Dt&&t.push(r)})),e.cursorWrapper&&t.push(Tt.create(e.state.doc,[e.cursorWrapper.deco])),xt.from(t)}xt.prototype.forChild=function(e,t){if(t.isLeaf)return Tt.empty;for(var n=[],r=0;r<this.members.length;r++){var o=this.members[r].forChild(e,t);o!=Dt&&(o instanceof xt?n=n.concat(o.members):n.push(o))}return xt.from(n)},xt.prototype.eq=function(e){if(!(e instanceof xt)||e.members.length!=this.members.length)return!1;for(var t=0;t<this.members.length;t++)if(!this.members[t].eq(e.members[t]))return!1;return!0},xt.prototype.locals=function(e){for(var t,n=!0,r=0;r<this.members.length;r++){var o=this.members[r].localsInner(e);if(o.length)if(t){n&&(t=t.slice(),n=!1);for(var i=0;i<o.length;i++)t.push(o[i])}else t=o}return t?At(n?t:t.sort(Et)):wt},xt.from=function(e){switch(e.length){case 0:return Dt;case 1:return e[0];default:return new xt(e)}};var Pt=function(e,t){this._props=t,this.state=t.state,this.dispatch=this.dispatch.bind(this),this._root=null,this.focused=!1,this.dom=e&&e.mount||document.createElement("div"),e&&(e.appendChild?e.appendChild(this.dom):e.apply?e(this.dom):e.mount&&(this.mounted=!0)),this.editable=It(this),this.markCursor=null,this.cursorWrapper=null,Ft(this),this.nodeViews=zt(this),this.docView=J(this.state.doc,Rt(this),Ht(this),this.dom,this),this.lastSelectedViewDesc=null,this.dragging=null,function(e){e.shiftKey=!1,e.mouseDown=null,e.lastKeyCode=null,e.lastKeyCodeTime=0,e.lastClick={time:0,x:0,y:0,type:""},e.lastSelectionOrigin=null,e.lastSelectionTime=0,e.lastIOSEnter=0,e.composing=!1,e.composingTimeout=null,e.compositionNodes=[],e.compositionEndedAt=-2e8,e.domObserver=new Ue(e,(function(t,n,r,o){return Ae(e,t,n,r,o)})),e.domObserver.start(),e.domChangeCount=0,e.eventHandlers=Object.create(null);var t=function(t){var n=Je[t];e.dom.addEventListener(t,e.eventHandlers[t]=function(t){!function(e,t){if(!t.bubbles)return!0;if(t.defaultPrevented)return!1;for(var n=t.target;n!=e.dom;n=n.parentNode)if(!n||11==n.nodeType||n.pmViewDesc&&n.pmViewDesc.stopEvent(t))return!1;return!0}(e,t)||Xe(e,t)||!e.editable&&t.type in Ge||n(e,t)})};for(var n in Je)t(n);a.safari&&e.dom.addEventListener("input",(function(){return null})),Ze(e)}(this),this.pluginViews=[],this.updatePluginViews()},Nt={props:{configurable:!0},root:{configurable:!0}};function Rt(e){var t=Object.create(null);return t.class="ProseMirror",t.contenteditable=String(e.editable),e.someProp("attributes",(function(n){if("function"==typeof n&&(n=n(e.state)),n)for(var r in n)"class"==r?t.class+=" "+n[r]:t[r]||"contenteditable"==r||"nodeName"==r||(t[r]=String(n[r]))})),[kt.node(0,e.state.doc.content.size,t)]}function Ft(e){if(e.markCursor){var t=document.createElement("img");t.setAttribute("mark-placeholder","true"),e.cursorWrapper={dom:t,deco:kt.widget(e.state.selection.head,t,{raw:!0,marks:e.markCursor})}}else e.cursorWrapper=null}function It(e){return!e.someProp("editable",(function(t){return!1===t(e.state)}))}function zt(e){var t={};return e.someProp("nodeViews",(function(e){for(var n in e)Object.prototype.hasOwnProperty.call(t,n)||(t[n]=e[n])})),t}function Wt(e){var t=e.getSelection(),n=t.focusOffset,r=t.focusNode;return r&&1==r.nodeType?[r,n,r.childNodes[n-1],r.childNodes[n]]:null}Nt.props.get=function(){if(this._props.state!=this.state){var e=this._props;for(var t in this._props={},e)this._props[t]=e[t];this._props.state=this.state}return this._props},Pt.prototype.update=function(e){e.handleDOMEvents!=this._props.handleDOMEvents&&Ze(this),this._props=e,this.updateStateInner(e.state,!0)},Pt.prototype.setProps=function(e){var t={};for(var n in this._props)t[n]=this._props[n];for(var r in t.state=this.state,e)t[r]=e[r];this.update(t)},Pt.prototype.updateState=function(e){this.updateStateInner(e,this.state.plugins!=e.plugins)},Pt.prototype.updateStateInner=function(e,t){var n=this,o=this.state,i=!1;if(this.state=e,t){var s=zt(this);(function(e,t){var n=0,r=0;for(var o in e){if(e[o]!=t[o])return!0;n++}for(var i in t)r++;return n!=r})(s,this.nodeViews)&&(this.nodeViews=s,i=!0),Ze(this)}this.editable=It(this),Ft(this);var u,c,l,d,h,f,p,_,g,y,v,M=Ht(this),k=Rt(this),b=t?"reset":e.scrollToSelection>o.scrollToSelection?"to selection":"preserve",w=i||!this.docView.matchesNode(e.doc,k,M),L=w||!e.selection.eq(o.selection),S="preserve"==b&&L&&null==this.dom.style.overflowAnchor&&function(e){for(var t,n,r=e.dom.getBoundingClientRect(),o=Math.max(0,r.top),i=(r.left+r.right)/2,a=o+1;a<Math.min(innerHeight,r.bottom);a+=5){var s=e.root.elementFromPoint(i,a);if(s!=e.dom&&e.dom.contains(s)){var u=s.getBoundingClientRect();if(u.top>=o-20){t=s,n=u.top;break}}}return{refDOM:t,refTop:n,stack:D(e.dom)}}(this);if(L){this.domObserver.stop();var Y=w&&(a.ie||a.chrome)&&!this.composing&&!o.selection.empty&&!e.selection.empty&&(d=o.selection,h=e.selection,f=Math.min(d.$anchor.sharedDepth(d.head),h.$anchor.sharedDepth(h.head)),d.$anchor.start(f)!=h.$anchor.start(f));if(w){var O=a.chrome&&Wt(this.root);!i&&this.docView.update(e.doc,k,M,this)||(this.docView.updateOuterDeco([]),this.docView.destroy(),this.docView=J(e.doc,k,M,this.dom,this)),O&&function(e,t){var n=Wt(t);if(!n||3==n[0].nodeType)return!1;for(var r=0;r<e.length;r++)if(n[r]!=e[r])return!0;return!1}(O,this.root)&&(Y=!0)}Y||!(this.mouseDown&&this.domObserver.currentSelection.eq(this.root.getSelection())&&(u=this,c=u.docView.domFromPos(u.state.selection.anchor),l=u.root.getSelection(),m(c.node,c.offset,l.anchorNode,l.anchorOffset)))?we(this,Y):(Se(this,e.selection),this.domObserver.setCurSelection()),this.domObserver.start()}if(this.updatePluginViews(o),"reset"==b)this.dom.scrollTop=0;else if("to selection"==b){var C=this.root.getSelection().focusNode;this.someProp("handleScrollToSelection",(function(e){return e(n)}))||(e.selection instanceof r.NodeSelection?T(this,this.docView.domAfterPos(e.selection.from).getBoundingClientRect(),C):T(this,this.coordsAtPos(e.selection.head),C))}else S&&(_=(p=S).refDOM,g=p.refTop,y=p.stack,v=_?_.getBoundingClientRect().top:0,x(y,0==v?0:v-g))},Pt.prototype.destroyPluginViews=function(){for(var e;e=this.pluginViews.pop();)e.destroy&&e.destroy()},Pt.prototype.updatePluginViews=function(e){if(e&&e.plugins==this.state.plugins)for(var t=0;t<this.pluginViews.length;t++){var n=this.pluginViews[t];n.update&&n.update(this,e)}else{this.destroyPluginViews();for(var r=0;r<this.state.plugins.length;r++){var o=this.state.plugins[r];o.spec.view&&this.pluginViews.push(o.spec.view(this))}}},Pt.prototype.someProp=function(e,t){var n,r=this._props&&this._props[e];if(null!=r&&(n=t?t(r):r))return n;var o=this.state.plugins;if(o)for(var i=0;i<o.length;i++){var a=o[i].props[e];if(null!=a&&(n=t?t(a):a))return n}},Pt.prototype.hasFocus=function(){return this.root.activeElement==this.dom},Pt.prototype.focus=function(){this.domObserver.stop(),this.editable&&function(e){if(e.setActive)return e.setActive();if(S)return e.focus(S);var t=D(e);e.focus(null==S?{get preventScroll(){return S={preventScroll:!0},!0}}:void 0),S||(S=!1,x(t,0))}(this.dom),we(this),this.domObserver.start()},Nt.root.get=function(){var e=this._root;if(null==e)for(var t=this.dom.parentNode;t;t=t.parentNode)if(9==t.nodeType||11==t.nodeType&&t.host)return t.getSelection||(Object.getPrototypeOf(t).getSelection=function(){return document.getSelection()}),this._root=t;return e||document},Pt.prototype.posAtCoords=function(e){return C(this,e)},Pt.prototype.coordsAtPos=function(e){return A(this,e)},Pt.prototype.domAtPos=function(e){return this.docView.domFromPos(e)},Pt.prototype.nodeDOM=function(e){var t=this.docView.descAt(e);return t?t.nodeDOM:null},Pt.prototype.posAtDOM=function(e,t,n){void 0===n&&(n=-1);var r=this.docView.posFromDOM(e,t,n);if(null==r)throw new RangeError("DOM position not inside the editor");return r},Pt.prototype.endOfTextblock=function(e,t){return I(this,t||this.state,e)},Pt.prototype.destroy=function(){this.docView&&(!function(e){for(var t in e.domObserver.stop(),e.eventHandlers)e.dom.removeEventListener(t,e.eventHandlers[t]);clearTimeout(e.composingTimeout)}(this),this.destroyPluginViews(),this.mounted?(this.docView.update(this.state.doc,[],Ht(this),this),this.dom.textContent=""):this.dom.parentNode&&this.dom.parentNode.removeChild(this.dom),this.docView.destroy(),this.docView=null)},Pt.prototype.dispatchEvent=function(e){return function(e,t){Xe(e,t)||!Je[t.type]||!e.editable&&t.type in Ge||Je[t.type](e,t)}(this,e)},Pt.prototype.dispatch=function(e){var t=this._props.dispatchTransaction;t?t.call(this,e):this.updateState(this.state.apply(e))},Object.defineProperties(Pt.prototype,Nt)},function(e,t,n){"use strict";n.d(t,"a",(function(){return c})),n.d(t,"b",(function(){return d})),n.d(t,"c",(function(){return l})),n.d(t,"d",(function(){return h})),n.d(t,"e",(function(){return f})),n.d(t,"f",(function(){return p}));var r=n(192);
+ */function H(e,t,n,r,o,i,a){try{var s=e[i](a),u=s.value}catch(e){return void n(e)}s.done?t(u):Promise.resolve(u).then(r,o)}function P(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function N(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function R(e,t,n){return t&&N(e.prototype,t),n&&N(e,n),e}function F(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function I(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function z(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?I(Object(n),!0).forEach((function(t){F(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):I(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function W(e,t){if("function"!=typeof t&&null!==t)throw new TypeError("Super expression must either be null or a function");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&B(e,t)}function $(e){return($=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)})(e)}function B(e,t){return(B=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e})(e,t)}function q(e,t){return!t||"object"!=typeof t&&"function"!=typeof t?function(e){if(void 0===e)throw new ReferenceError("this hasn't been initialised - super() hasn't been called");return e}(e):t}function U(e){var t=function(){if("undefined"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if("function"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}();return function(){var n,r=$(e);if(t){var o=$(this).constructor;n=Reflect.construct(r,arguments,o)}else n=r.apply(this,arguments);return q(this,n)}}function V(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){if("undefined"==typeof Symbol||!(Symbol.iterator in Object(e)))return;var n=[],r=!0,o=!1,i=void 0;try{for(var a,s=e[Symbol.iterator]();!(r=(a=s.next()).done)&&(n.push(a.value),!t||n.length!==t);r=!0);}catch(e){o=!0,i=e}finally{try{r||null==s.return||s.return()}finally{if(o)throw i}}return n}(e,t)||G(e,t)||function(){throw new TypeError("Invalid attempt to destructure non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function J(e){return function(e){if(Array.isArray(e))return K(e)}(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||G(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function G(e,t){if(e){if("string"==typeof e)return K(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);return"Object"===n&&e.constructor&&(n=e.constructor.name),"Map"===n||"Set"===n?Array.from(e):"Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)?K(e,t):void 0}}function K(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}var Z=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(){return Object(o.s)(t,n.nodes.paragraph)}}},{key:"keys",value:function(e){var t=e.type;return{"Ctrl->":Object(o.s)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.u)(/^\s*>\s$/,t)]}},{key:"name",get:function(){return"blockquote"}},{key:"schema",get:function(){return{content:"block*",group:"block",defining:!0,draggable:!1,parseDOM:[{tag:"blockquote"}],toDOM:function(){return["blockquote",0]}}}}]),n}(r.g),X=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(){return Object(o.q)(t,n.nodes.list_item)}}},{key:"keys",value:function(e){var t=e.type,n=e.schema;return{"Shift-Ctrl-8":Object(o.q)(t,n.nodes.list_item)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.u)(/^\s*([-+*])\s$/,t)]}},{key:"name",get:function(){return"bullet_list"}},{key:"schema",get:function(){return{content:"list_item+",group:"block",parseDOM:[{tag:"ul"}],toDOM:function(){return["ul",0]}}}}]),n}(r.g),Q=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(){return Object(o.p)(t,n.nodes.paragraph)}}},{key:"keys",value:function(e){var t=e.type;return{"Shift-Ctrl-\\":Object(o.k)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.o)(/^```$/,t)]}},{key:"name",get:function(){return"code_block"}},{key:"schema",get:function(){return{content:"text*",marks:"",group:"block",code:!0,defining:!0,draggable:!1,parseDOM:[{tag:"pre",preserveWhitespace:"full"}],toDOM:function(){return["pre",["code",0]]}}}}]),n}(r.g);function ee(e){var t=e.doc,n=e.name,r=[],o=Object(u.findBlockNodes)(t).filter((function(e){return e.node.type.name===n}));return o.forEach((function(e){var t=e.pos+1;(function e(t){return t.reduce((function(t,n){return t.concat(Array.isArray(n)?e(n):n)}),[])})(function e(t){var n=arguments.length>1&&void 0!==arguments[1]?arguments[1]:[];return t.map((function(t){var r=[].concat(J(n),J(t.properties?t.properties.className:[]));return t.children?e(t.children,r):{text:t.value,classes:r}}))}(a.a.highlightAuto(e.node.textContent).value)).map((function(e){var n=t,r=n+e.text.length;return t=r,z(z({},e),{},{from:n,to:r})})).forEach((function(e){var t=s.a.inline(e.from,e.to,{class:e.classes.join(" ")});r.push(t)}))})),s.b.create(t,r)}var te=function(e){W(n,e);var t=U(n);function n(){var e,r=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{};P(this,n),e=t.call(this,r);try{Object.entries(e.options.languages).forEach((function(e){var t=V(e,2),n=t[0],r=t[1];a.a.registerLanguage(n,r)}))}catch(e){throw new Error("Invalid syntax highlight definitions: define at least one highlight.js language mapping")}return e}return R(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(){return Object(o.p)(t,n.nodes.paragraph)}}},{key:"keys",value:function(e){var t=e.type;return{"Shift-Ctrl-\\":Object(o.k)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.o)(/^```$/,t)]}},{key:"name",get:function(){return"code_block"}},{key:"defaultOptions",get:function(){return{languages:{}}}},{key:"schema",get:function(){return{content:"text*",marks:"",group:"block",code:!0,defining:!0,draggable:!1,parseDOM:[{tag:"pre",preserveWhitespace:"full"}],toDOM:function(){return["pre",["code",0]]}}}},{key:"plugins",get:function(){return[(e={name:this.name},t=e.name,new r.h({name:new r.i("highlight"),state:{init:function(e,n){return ee({doc:n.doc,name:t})},apply:function(e,n,r,o){var i=r.selection.$head.parent.type.name,a=o.selection.$head.parent.type.name,s=Object(u.findBlockNodes)(r.doc).filter((function(e){return e.node.type.name===t})),c=Object(u.findBlockNodes)(o.doc).filter((function(e){return e.node.type.name===t}));return e.docChanged&&([i,a].includes(t)||c.length!==s.length)?ee({doc:e.doc,name:t}):n.map(e.mapping,e.doc)}},props:{decorations:function(e){return this.getState(e)}}}))];var e,t}}]),n}(r.g),ne=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"keys",value:function(e){var t=e.type,n=Object(o.a)(o.b,(function(e,n){return n(e.tr.replaceSelectionWith(t.create()).scrollIntoView()),!0}));return{"Mod-Enter":n,"Shift-Enter":n}}},{key:"name",get:function(){return"hard_break"}},{key:"schema",get:function(){return{inline:!0,group:"inline",selectable:!1,parseDOM:[{tag:"br"}],toDOM:function(){return["br"]}}}}]),n}(r.g),re=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(e){return Object(o.p)(t,n.nodes.paragraph,e)}}},{key:"keys",value:function(e){var t=e.type;return this.options.levels.reduce((function(e,n){return z(z({},e),F({},"Shift-Ctrl-".concat(n),Object(o.k)(t,{level:n})))}),{})}},{key:"inputRules",value:function(e){var t=e.type;return this.options.levels.map((function(e){return Object(o.o)(new RegExp("^(#{1,".concat(e,"})\\s$")),t,(function(){return{level:e}}))}))}},{key:"name",get:function(){return"heading"}},{key:"defaultOptions",get:function(){return{levels:[1,2,3,4,5,6]}}},{key:"schema",get:function(){return{attrs:{level:{default:1}},content:"inline*",group:"block",defining:!0,draggable:!1,parseDOM:this.options.levels.map((function(e){return{tag:"h".concat(e),attrs:{level:e}}})),toDOM:function(e){return["h".concat(e.attrs.level),0]}}}}]),n}(r.g),oe=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"commands",value:function(e){var t=e.type;return function(){return function(e,n){return n(e.tr.replaceSelectionWith(t.create()))}}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.g)(/^(?:---|___\s|\*\*\*\s)$/,t)]}},{key:"name",get:function(){return"horizontal_rule"}},{key:"schema",get:function(){return{group:"block",parseDOM:[{tag:"hr"}],toDOM:function(){return["hr"]}}}}]),n}(r.g),ie=/!\[(.+|:?)]\((\S+)(?:(?:\s+)["'](\S+)["'])?\)/,ae=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"commands",value:function(e){var t=e.type;return function(e){return function(n,r){var o=n.selection,i=o.$cursor?o.$cursor.pos:o.$to.pos,a=t.create(e);r(n.tr.insert(i,a))}}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.g)(ie,t,(function(e){var t=V(e,4),n=t[1];return{src:t[2],alt:n,title:t[3]}}))]}},{key:"name",get:function(){return"image"}},{key:"schema",get:function(){return{inline:!0,attrs:{src:{},alt:{default:null},title:{default:null}},group:"inline",draggable:!0,parseDOM:[{tag:"img[src]",getAttrs:function(e){return{src:e.getAttribute("src"),title:e.getAttribute("title"),alt:e.getAttribute("alt")}}}],toDOM:function(e){return["img",e.attrs]}}}},{key:"plugins",get:function(){return[new r.h({props:{handleDOMEvents:{drop:function(e,t){if(t.dataTransfer&&t.dataTransfer.files&&t.dataTransfer.files.length){var n=Array.from(t.dataTransfer.files).filter((function(e){return/image/i.test(e.type)}));if(0!==n.length){t.preventDefault();var r=e.state.schema,o=e.posAtCoords({left:t.clientX,top:t.clientY});n.forEach((function(t){var n=new FileReader;n.onload=function(t){var n=r.nodes.image.create({src:t.target.result}),i=e.state.tr.insert(o.pos,n);e.dispatch(i)},n.readAsDataURL(t)}))}}}}}})]}}]),n}(r.g),se=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"keys",value:function(e){var t=e.type;return{Enter:Object(o.m)(t),Tab:Object(o.l)(t),"Shift-Tab":Object(o.d)(t)}}},{key:"name",get:function(){return"list_item"}},{key:"schema",get:function(){return{content:"paragraph block*",defining:!0,draggable:!1,parseDOM:[{tag:"li"}],toDOM:function(){return["li",0]}}}}]),n}(r.g);function ue(e){var t=e.matcher,n=void 0===t?{char:"@",allowSpaces:!1,startOfLine:!1}:t,r=e.appendText,i=void 0===r?null:r,a=e.suggestionClass,u=void 0===a?"suggestion":a,l=e.command,d=void 0===l?function(){return!1}:l,h=e.items,f=void 0===h?[]:h,p=e.onEnter,m=void 0===p?function(){return!1}:p,_=e.onChange,g=void 0===_?function(){return!1}:_,y=e.onExit,v=void 0===y?function(){return!1}:y,M=e.onKeyDown,k=void 0===M?function(){return!1}:M,b=e.onFilter,w=void 0===b?function(e,t){return t?e.filter((function(e){return JSON.stringify(e).toLowerCase().includes(t.toLowerCase())})):e}:b;return new c.Plugin({key:new c.PluginKey("suggestions"),view:function(){var e,t,n=this;return{update:(e=regeneratorRuntime.mark((function e(t,r){var a,s,u,c,l,h,p,_,y,M,k,b,L;return regeneratorRuntime.wrap((function(e){for(;;)switch(e.prev=e.next){case 0:if(a=n.key.getState(r),s=n.key.getState(t.state),u=a.active&&s.active&&a.range.from!==s.range.from,c=!a.active&&s.active,l=a.active&&!s.active,h=!c&&!l&&a.query!==s.query,_=h&&!u,y=l||u,(p=c||u)||_||y){e.next=11;break}return e.abrupt("return");case 11:if(M=y?a:s,k=document.querySelector('[data-decoration-id="'.concat(M.decorationId,'"]')),b=k?{getBoundingClientRect:function(){return k.getBoundingClientRect()},clientWidth:k.clientWidth,clientHeight:k.clientHeight}:null,e.t0=t,e.t1=M.range,e.t2=M.query,e.t3=M.text,e.t4=k,e.t5=b,!_&&!p){e.next=36;break}if(e.t7=w,!Array.isArray(f)){e.next=26;break}e.t8=f,e.next=29;break;case 26:return e.next=28,f();case 28:e.t8=e.sent;case 29:return e.t9=e.t8,e.t10=M.query,e.next=33,(0,e.t7)(e.t9,e.t10);case 33:e.t6=e.sent,e.next=37;break;case 36:e.t6=[];case 37:e.t11=e.t6,e.t12=function(e){var n=e.range,r=e.attrs;d({range:n,attrs:r,schema:t.state.schema})(t.state,t.dispatch,t),i&&Object(o.c)(i)(t.state,t.dispatch,t)},L={view:e.t0,range:e.t1,query:e.t2,text:e.t3,decorationNode:e.t4,virtualNode:e.t5,items:e.t11,command:e.t12},y&&v(L),_&&g(L),p&&m(L);case 43:case"end":return e.stop()}}),e)})),t=function(){var t=this,n=arguments;return new Promise((function(r,o){var i=e.apply(t,n);function a(e){H(i,r,o,a,s,"next",e)}function s(e){H(i,r,o,a,s,"throw",e)}a(void 0)}))},function(e,n){return t.apply(this,arguments)})}},state:{init:function(){return{active:!1,range:{},query:null,text:null}},apply:function(e,t){var r,o,i,a,s,u,c,l=e.selection,d=z({},t);if(l.from===l.to){(l.from<t.range.from||l.from>t.range.to)&&(d.active=!1);var h=l.$from,f=(o=(r=n).char,i=void 0===o?"@":o,a=r.allowSpaces,s=void 0!==a&&a,u=r.startOfLine,c=void 0!==u&&u,function(e){if(e.depth<=0)return!1;for(var t,n="\\".concat(i),r=new RegExp("\\s".concat(n,"$")),o=c?"^":"",a=s?new RegExp("".concat(o).concat(n,".*?(?=\\s").concat(n,"|$)"),"gm"):new RegExp("".concat(o,"(?:^)?").concat(n,"[^\\s").concat(n,"]*"),"gm"),u=e.before(),l=e.end(),d=e.doc.textBetween(u,l,"\0","\0"),h=a.exec(d);null!==h;){var f=h.input.slice(Math.max(0,h.index-1),h.index);if(/^[\s\0]?$/.test(f)){var p=h.index+e.start(),m=p+h[0].length;s&&r.test(d.slice(m-1,m+1))&&(h[0]+=" ",m+=1),p<e.pos&&m>=e.pos&&(t={range:{from:p,to:m},query:h[0].slice(i.length),text:h[0]})}h=a.exec(d)}return t})(h),p=(Math.random()+1).toString(36).substr(2,5);f?(d.active=!0,d.decorationId=t.decorationId?t.decorationId:p,d.range=f.range,d.query=f.query,d.text=f.text):d.active=!1}else d.active=!1;return d.active||(d.decorationId=null,d.range={},d.query=null,d.text=null),d}},props:{handleKeyDown:function(e,t){var n=this.getState(e.state),r=n.active,o=n.range;return!!r&&k({view:e,event:t,range:o})},decorations:function(e){var t=this.getState(e),n=t.active,r=t.range,o=t.decorationId;return n?s.b.create(e.doc,[s.a.inline(r.from,r.to,{nodeName:"span",class:u,"data-decoration-id":o})]):null}}})}r.g;var ce=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"commands",value:function(e){var t=e.type,n=e.schema;return function(){return Object(o.q)(t,n.nodes.list_item)}}},{key:"keys",value:function(e){var t=e.type,n=e.schema;return{"Shift-Ctrl-9":Object(o.q)(t,n.nodes.list_item)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.u)(/^(\d+)\.\s$/,t,(function(e){return{order:+e[1]}}),(function(e,t){return t.childCount+t.attrs.order===+e[1]}))]}},{key:"name",get:function(){return"ordered_list"}},{key:"schema",get:function(){return{attrs:{order:{default:1}},content:"list_item+",group:"block",parseDOM:[{tag:"ol",getAttrs:function(e){return{order:e.hasAttribute("start")?+e.getAttribute("start"):1}}}],toDOM:function(e){return 1===e.attrs.order?["ol",0]:["ol",{start:e.attrs.order},0]}}}}]),n}(r.g),le=Object(l.tableNodes)({tableGroup:"block",cellContent:"block+",cellAttributes:{background:{default:null,getFromDOM:function(e){return e.style.backgroundColor||null},setDOMAttr:function(e,t){if(e){var n={style:"".concat(t.style||"","background-color: ").concat(e,";")};Object.assign(t,n)}}}}}),de=(r.g,r.g,r.g,r.g,r.g,r.g,function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"keys",value:function(e){var t=e.type;return{"Mod-b":Object(o.r)(t)}}},{key:"commands",value:function(e){var t=e.type;return function(){return Object(o.r)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.e)(/(?:\*\*|__)([^*_]+)(?:\*\*|__)$/,t)]}},{key:"pasteRules",value:function(e){var t=e.type;return[Object(o.f)(/(?:\*\*|__)([^*_]+)(?:\*\*|__)/g,t)]}},{key:"name",get:function(){return"bold"}},{key:"schema",get:function(){return{parseDOM:[{tag:"strong"},{tag:"b",getAttrs:function(e){return"normal"!==e.style.fontWeight&&null}},{style:"font-weight",getAttrs:function(e){return/^(bold(er)?|[5-9]\d{2,})$/.test(e)&&null}}],toDOM:function(){return["strong",0]}}}}]),n}(r.f)),he=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"keys",value:function(e){var t=e.type;return{"Mod-`":Object(o.r)(t)}}},{key:"commands",value:function(e){var t=e.type;return function(){return Object(o.r)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.e)(/(?:`)([^`]+)(?:`)$/,t)]}},{key:"pasteRules",value:function(e){var t=e.type;return[Object(o.f)(/(?:`)([^`]+)(?:`)/g,t)]}},{key:"name",get:function(){return"code"}},{key:"schema",get:function(){return{excludes:"_",parseDOM:[{tag:"code"}],toDOM:function(){return["code",0]}}}}]),n}(r.f),fe=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"keys",value:function(e){var t=e.type;return{"Mod-i":Object(o.r)(t)}}},{key:"commands",value:function(e){var t=e.type;return function(){return Object(o.r)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.e)(/(?:^|[^_])(_([^_]+)_)$/,t),Object(o.e)(/(?:^|[^*])(\*([^*]+)\*)$/,t)]}},{key:"pasteRules",value:function(e){var t=e.type;return[Object(o.f)(/_([^_]+)_/g,t),Object(o.f)(/\*([^*]+)\*/g,t)]}},{key:"name",get:function(){return"italic"}},{key:"schema",get:function(){return{parseDOM:[{tag:"i"},{tag:"em"},{style:"font-style=italic"}],toDOM:function(){return["em",0]}}}}]),n}(r.f),pe=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"commands",value:function(e){var t=e.type;return function(e){return e.href?Object(o.t)(t,e):Object(o.i)(t)}}},{key:"pasteRules",value:function(e){var t=e.type;return[Object(o.h)(/https?:\/\/(www\.)?[-a-zA-Z0-9@:%._+~#=]{1,256}\.[a-zA-Z]{2,}\b([-a-zA-Z0-9@:%_+.~#?&//=]*)/gi,t,(function(e){return{href:e}}))]}},{key:"name",get:function(){return"link"}},{key:"defaultOptions",get:function(){return{openOnClick:!0,target:null}}},{key:"schema",get:function(){var e=this;return{attrs:{href:{default:null},target:{default:null}},inclusive:!1,parseDOM:[{tag:"a[href]",getAttrs:function(e){return{href:e.getAttribute("href"),target:e.getAttribute("target")}}}],toDOM:function(t){return["a",z(z({},t.attrs),{},{rel:"noopener noreferrer nofollow",target:e.options.target}),0]}}}},{key:"plugins",get:function(){return this.options.openOnClick?[new r.h({props:{handleClick:function(e,t,n){var r=e.state.schema,o=Object(d.a)(e.state,r.marks.link);o.href&&n.target instanceof HTMLAnchorElement&&(n.stopPropagation(),window.open(o.href,o.target))}}})]:[]}}]),n}(r.f),me=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"keys",value:function(e){var t=e.type;return{"Mod-d":Object(o.r)(t)}}},{key:"commands",value:function(e){var t=e.type;return function(){return Object(o.r)(t)}}},{key:"inputRules",value:function(e){var t=e.type;return[Object(o.e)(/~([^~]+)~$/,t)]}},{key:"pasteRules",value:function(e){var t=e.type;return[Object(o.f)(/~([^~]+)~/g,t)]}},{key:"name",get:function(){return"strike"}},{key:"schema",get:function(){return{parseDOM:[{tag:"s"},{tag:"del"},{tag:"strike"},{style:"text-decoration",getAttrs:function(e){return"line-through"===e}}],toDOM:function(){return["s",0]}}}}]),n}(r.f),_e=(r.f,function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"init",value:function(){var e=this;this.getSendableSteps=this.debounce((function(t){var n=Object(f.d)(t);n&&e.options.onSendable({editor:e.editor,sendable:{version:n.version,steps:n.steps.map((function(e){return e.toJSON()})),clientID:n.clientID}})}),this.options.debounce),this.editor.on("transaction",(function(t){var n=t.state;e.getSendableSteps(n)}))}},{key:"debounce",value:function(e,t){var n;return function(){for(var r=arguments.length,o=new Array(r),i=0;i<r;i++)o[i]=arguments[i];n&&clearTimeout(n),n=setTimeout((function(){e.apply(void 0,o),n=null}),t)}}},{key:"name",get:function(){return"collaboration"}},{key:"defaultOptions",get:function(){var e=this;return{version:0,clientID:Math.floor(4294967295*Math.random()),debounce:250,onSendable:function(){},update:function(t){var n=t.steps,r=t.version,o=e.editor,i=o.state,a=o.view,s=o.schema;Object(f.b)(i)>r||a.dispatch(Object(f.c)(i,n.map((function(e){return h.d.fromJSON(s,e.step)})),n.map((function(e){return e.clientID}))))}}}},{key:"plugins",get:function(){return[Object(f.a)({version:this.options.version,clientID:this.options.clientID})]}}]),n}(r.e)),ge=(r.e,function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"keys",value:function(){return{"Mod-z":C,"Mod-y":E,"Shift-Mod-z":E,"Mod-я":C,"Shift-Mod-я":E}}},{key:"commands",value:function(){return{undo:function(){return C},redo:function(){return E},undoDepth:function(){return A},redoDepth:function(){return j}}}},{key:"name",get:function(){return"history"}},{key:"defaultOptions",get:function(){return{depth:"",newGroupDelay:""}}},{key:"plugins",get:function(){return[O({depth:this.options.depth,newGroupDelay:this.options.newGroupDelay})]}}]),n}(r.e)),ye=function(e){W(n,e);var t=U(n);function n(){return P(this,n),t.apply(this,arguments)}return R(n,[{key:"name",get:function(){return"placeholder"}},{key:"defaultOptions",get:function(){return{emptyEditorClass:"is-editor-empty",emptyNodeClass:"is-empty",emptyNodeText:"Write something …",showOnlyWhenEditable:!0,showOnlyCurrent:!0}}},{key:"plugins",get:function(){var e=this;return[new r.h({props:{decorations:function(t){var n=t.doc,r=t.plugins,o=t.selection,i=r.find((function(e){return e.key.startsWith("editable$")})).props.editable()||!e.options.showOnlyWhenEditable,a=o.anchor,u=[],c=0===n.textContent.length;return!!i&&(n.descendants((function(t,n){var r=a>=n&&a<=n+t.nodeSize,o=0===t.content.size;if((r||!e.options.showOnlyCurrent)&&o){var i=[e.options.emptyNodeClass];c&&i.push(e.options.emptyEditorClass);var l=s.a.node(n,n+t.nodeSize,{class:i.join(" "),"data-empty-text":"function"==typeof e.options.emptyNodeText?e.options.emptyNodeText(t):e.options.emptyNodeText});u.push(l)}return!1})),s.b.create(n,u))}}})]}}]),n}(r.e);r.e,r.e},,function(e,t,n){"use strict";n.d(t,"a",(function(){return kt})),n.d(t,"b",(function(){return Tt})),n.d(t,"c",(function(){return Pt}));var r=n(181),o=n(182),i=n(184),a={};if("undefined"!=typeof navigator&&"undefined"!=typeof document){var s=/Edge\/(\d+)/.exec(navigator.userAgent),u=/MSIE \d/.test(navigator.userAgent),c=/Trident\/(?:[7-9]|\d{2,})\..*rv:(\d+)/.exec(navigator.userAgent);a.mac=/Mac/.test(navigator.platform);var l=a.ie=!!(u||c||s);a.ie_version=u?document.documentMode||6:c?+c[1]:s?+s[1]:null,a.gecko=!l&&/gecko\/(\d+)/i.test(navigator.userAgent),a.gecko_version=a.gecko&&+(/Firefox\/(\d+)/.exec(navigator.userAgent)||[0,0])[1];var d=!l&&/Chrome\/(\d+)/.exec(navigator.userAgent);a.chrome=!!d,a.chrome_version=d&&+d[1],a.ios=!l&&/AppleWebKit/.test(navigator.userAgent)&&/Mobile\/\w+/.test(navigator.userAgent),a.android=/Android \d/.test(navigator.userAgent),a.webkit="webkitFontSmoothing"in document.documentElement.style,a.safari=/Apple Computer/.test(navigator.vendor),a.webkit_version=a.webkit&&+(/\bAppleWebKit\/(\d+)/.exec(navigator.userAgent)||[0,0])[1]}var h=function(e){for(var t=0;;t++)if(!(e=e.previousSibling))return t},f=function(e){var t=e.parentNode;return t&&11==t.nodeType?t.host:t},p=function(e,t,n){var r=document.createRange();return r.setEnd(e,null==n?e.nodeValue.length:n),r.setStart(e,t||0),r},m=function(e,t,n,r){return n&&(g(e,t,n,r,-1)||g(e,t,n,r,1))},_=/^(img|br|input|textarea|hr)$/i;function g(e,t,n,r,o){for(;;){if(e==n&&t==r)return!0;if(t==(o<0?0:y(e))){var i=e.parentNode;if(1!=i.nodeType||v(e)||_.test(e.nodeName)||"false"==e.contentEditable)return!1;t=h(e)+(o<0?0:1),e=i}else{if(1!=e.nodeType)return!1;if("false"==(e=e.childNodes[t+(o<0?-1:0)]).contentEditable)return!1;t=o<0?y(e):0}}}function y(e){return 3==e.nodeType?e.nodeValue.length:e.childNodes.length}function v(e){for(var t,n=e;n&&!(t=n.pmViewDesc);n=n.parentNode);return t&&t.node&&t.node.isBlock&&(t.dom==e||t.contentDOM==e)}var M=function(e){var t=e.isCollapsed;return t&&a.chrome&&e.rangeCount&&!e.getRangeAt(0).collapsed&&(t=!1),t};function k(e,t){var n=document.createEvent("Event");return n.initEvent("keydown",!0,!0),n.keyCode=e,n.key=n.code=t,n}function b(e){return{left:0,right:e.documentElement.clientWidth,top:0,bottom:e.documentElement.clientHeight}}function w(e,t){return"number"==typeof e?e:e[t]}function L(e){var t=e.getBoundingClientRect();return{left:t.left,right:t.left+e.clientWidth,top:t.top,bottom:t.top+e.clientHeight}}function T(e,t,n){for(var r=e.someProp("scrollThreshold")||0,o=e.someProp("scrollMargin")||5,i=e.dom.ownerDocument,a=n||e.dom;a;a=f(a))if(1==a.nodeType){var s=a==i.body||1!=a.nodeType,u=s?b(i):L(a),c=0,l=0;if(t.top<u.top+w(r,"top")?l=-(u.top-t.top+w(o,"top")):t.bottom>u.bottom-w(r,"bottom")&&(l=t.bottom-u.bottom+w(o,"bottom")),t.left<u.left+w(r,"left")?c=-(u.left-t.left+w(o,"left")):t.right>u.right-w(r,"right")&&(c=t.right-u.right+w(o,"right")),c||l)if(s)i.defaultView.scrollBy(c,l);else{var d=a.scrollLeft,h=a.scrollTop;l&&(a.scrollTop+=l),c&&(a.scrollLeft+=c);var p=a.scrollLeft-d,m=a.scrollTop-h;t={left:t.left-p,top:t.top-m,right:t.right-p,bottom:t.bottom-m}}if(s)break}}function D(e){for(var t=[],n=e.ownerDocument;e&&(t.push({dom:e,top:e.scrollTop,left:e.scrollLeft}),e!=n);e=f(e));return t}function x(e,t){for(var n=0;n<e.length;n++){var r=e[n],o=r.dom,i=r.top,a=r.left;o.scrollTop!=i+t&&(o.scrollTop=i+t),o.scrollLeft!=a&&(o.scrollLeft=a)}}var S=null;function Y(e,t){for(var n,r,o=2e8,i=0,a=t.top,s=t.top,u=e.firstChild,c=0;u;u=u.nextSibling,c++){var l=void 0;if(1==u.nodeType)l=u.getClientRects();else{if(3!=u.nodeType)continue;l=p(u).getClientRects()}for(var d=0;d<l.length;d++){var h=l[d];if(h.top<=a&&h.bottom>=s){a=Math.max(h.bottom,a),s=Math.min(h.top,s);var f=h.left>t.left?h.left-t.left:h.right<t.left?t.left-h.right:0;if(f<o){n=u,o=f,r=f&&3==n.nodeType?{left:h.right<t.left?h.right:h.left,top:t.top}:t,1==u.nodeType&&f&&(i=c+(t.left>=(h.left+h.right)/2?1:0));continue}}!n&&(t.left>=h.right&&t.top>=h.top||t.left>=h.left&&t.top>=h.bottom)&&(i=c+1)}}return n&&3==n.nodeType?function(e,t){for(var n=e.nodeValue.length,r=document.createRange(),o=0;o<n;o++){r.setEnd(e,o+1),r.setStart(e,o);var i=E(r,1);if(i.top!=i.bottom&&O(t,i))return{node:e,offset:o+(t.left>=(i.left+i.right)/2?1:0)}}return{node:e,offset:0}}(n,r):!n||o&&1==n.nodeType?{node:e,offset:i}:Y(n,r)}function O(e,t){return e.left>=t.left-1&&e.left<=t.right+1&&e.top>=t.top-1&&e.top<=t.bottom+1}function C(e,t){var n,r,o,i,s=e.root;if(s.caretPositionFromPoint)try{var u=s.caretPositionFromPoint(t.left,t.top);u&&(o=(n=u).offsetNode,i=n.offset)}catch(e){}if(!o&&s.caretRangeFromPoint){var c=s.caretRangeFromPoint(t.left,t.top);c&&(o=(r=c).startContainer,i=r.startOffset)}var l,d=s.elementFromPoint(t.left,t.top+1);if(!d||!e.dom.contains(1!=d.nodeType?d.parentNode:d)){var h=e.dom.getBoundingClientRect();if(!O(t,h))return null;if(!(d=function e(t,n,r){var o=t.childNodes.length;if(o&&r.top<r.bottom)for(var i=Math.max(0,Math.min(o-1,Math.floor(o*(n.top-r.top)/(r.bottom-r.top))-2)),a=i;;){var s=t.childNodes[a];if(1==s.nodeType)for(var u=s.getClientRects(),c=0;c<u.length;c++){var l=u[c];if(O(n,l))return e(s,n,l)}if((a=(a+1)%o)==i)break}return t}(e.dom,t,h)))return null}if(a.safari&&d.draggable&&(o=i=null),d=function(e,t){var n=e.parentNode;return n&&/^li$/i.test(n.nodeName)&&t.left<e.getBoundingClientRect().left?n:e}(d,t),o){if(a.gecko&&1==o.nodeType&&(i=Math.min(i,o.childNodes.length))<o.childNodes.length){var f,p=o.childNodes[i];"IMG"==p.nodeName&&(f=p.getBoundingClientRect()).right<=t.left&&f.bottom>t.top&&i++}o==e.dom&&i==o.childNodes.length-1&&1==o.lastChild.nodeType&&t.top>o.lastChild.getBoundingClientRect().bottom?l=e.state.doc.content.size:0!=i&&1==o.nodeType&&"BR"==o.childNodes[i-1].nodeName||(l=function(e,t,n,r){for(var o=-1,i=t;i!=e.dom;){var a=e.docView.nearestDesc(i,!0);if(!a)return null;if(a.node.isBlock&&a.parent){var s=a.dom.getBoundingClientRect();if(s.left>r.left||s.top>r.top)o=a.posBefore;else{if(!(s.right<r.left||s.bottom<r.top))break;o=a.posAfter}}i=a.dom.parentNode}return o>-1?o:e.docView.posFromDOM(t,n)}(e,o,i,t))}null==l&&(l=function(e,t,n){var r=Y(t,n),o=r.node,i=r.offset,a=-1;if(1==o.nodeType&&!o.firstChild){var s=o.getBoundingClientRect();a=s.left!=s.right&&n.left>(s.left+s.right)/2?1:-1}return e.docView.posFromDOM(o,i,a)}(e,d,t));var m=e.docView.nearestDesc(d,!0);return{pos:l,inside:m?m.posAtStart-m.border:-1}}function E(e,t){var n=e.getClientRects();return n.length?n[t<0?0:n.length-1]:e.getBoundingClientRect()}function A(e,t){var n=e.docView.domFromPos(t),r=n.node,o=n.offset;if(3==r.nodeType&&(a.webkit||a.gecko)){var i=E(p(r,o,o),0);if(a.gecko&&o&&/\s/.test(r.nodeValue[o-1])&&o<r.nodeValue.length){var s=E(p(r,o-1,o-1),-1);if(s.top==i.top){var u=E(p(r,o,o+1),-1);if(u.top!=i.top)return j(u,u.left<s.left)}}return i}if(1==r.nodeType&&!e.state.doc.resolve(t).parent.inlineContent){var c,l=!0;if(o<r.childNodes.length){var d=r.childNodes[o];1==d.nodeType&&(c=d.getBoundingClientRect())}if(!c&&o){var h=r.childNodes[o-1];1==h.nodeType&&(c=h.getBoundingClientRect(),l=!1)}return function(e,t){if(0==e.height)return e;var n=t?e.top:e.bottom;return{top:n,bottom:n,left:e.left,right:e.right}}(c||r.getBoundingClientRect(),l)}for(var f=-1;f<2;f+=2)if(f<0&&o){var m=void 0,_=3==r.nodeType?p(r,o-1,o):3==(m=r.childNodes[o-1]).nodeType?p(m):1==m.nodeType&&"BR"!=m.nodeName?m:null;if(_){var g=E(_,1);if(g.top<g.bottom)return j(g,!1)}}else if(f>0&&o<y(r)){var v=void 0,M=3==r.nodeType?p(r,o,o+1):3==(v=r.childNodes[o]).nodeType?p(v):1==v.nodeType?v:null;if(M){var k=E(M,-1);if(k.top<k.bottom)return j(k,!0)}}return j(E(3==r.nodeType?p(r):r,0),!1)}function j(e,t){if(0==e.width)return e;var n=t?e.left:e.right;return{top:e.top,bottom:e.bottom,left:n,right:n}}function H(e,t,n){var r=e.state,o=e.root.activeElement;r!=t&&e.updateState(t),o!=e.dom&&e.focus();try{return n()}finally{r!=t&&e.updateState(r),o!=e.dom&&o&&o.focus()}}var P=/[\u0590-\u08ac]/;var N=null,R=null,F=!1;function I(e,t,n){return N==t&&R==n?F:(N=t,R=n,F="up"==n||"down"==n?function(e,t,n){var r=t.selection,o="up"==n?r.$anchor.min(r.$head):r.$anchor.max(r.$head);return H(e,t,(function(){for(var t=e.docView.domFromPos(o.pos).node;;){var r=e.docView.nearestDesc(t,!0);if(!r)break;if(r.node.isBlock){t=r.dom;break}t=r.dom.parentNode}for(var i=A(e,o.pos),a=t.firstChild;a;a=a.nextSibling){var s=void 0;if(1==a.nodeType)s=a.getClientRects();else{if(3!=a.nodeType)continue;s=p(a,0,a.nodeValue.length).getClientRects()}for(var u=0;u<s.length;u++){var c=s[u];if(c.bottom>c.top&&("up"==n?c.bottom<i.top+1:c.top>i.bottom-1))return!1}}return!0}))}(e,t,n):function(e,t,n){var r=t.selection.$head;if(!r.parent.isTextblock)return!1;var o=r.parentOffset,i=!o,a=o==r.parent.content.size,s=getSelection();return P.test(r.parent.textContent)&&s.modify?H(e,t,(function(){var t=s.getRangeAt(0),o=s.focusNode,i=s.focusOffset,a=s.caretBidiLevel;s.modify("move",n,"character");var u=!(r.depth?e.docView.domAfterPos(r.before()):e.dom).contains(1==s.focusNode.nodeType?s.focusNode:s.focusNode.parentNode)||o==s.focusNode&&i==s.focusOffset;return s.removeAllRanges(),s.addRange(t),null!=a&&(s.caretBidiLevel=a),u})):"left"==n||"backward"==n?i:a}(e,t,n))}var z=function(e,t,n,r){this.parent=e,this.children=t,this.dom=n,n.pmViewDesc=this,this.contentDOM=r,this.dirty=0},W={beforePosition:{configurable:!0},size:{configurable:!0},border:{configurable:!0},posBefore:{configurable:!0},posAtStart:{configurable:!0},posAfter:{configurable:!0},posAtEnd:{configurable:!0},contentLost:{configurable:!0}};z.prototype.matchesWidget=function(){return!1},z.prototype.matchesMark=function(){return!1},z.prototype.matchesNode=function(){return!1},z.prototype.matchesHack=function(){return!1},W.beforePosition.get=function(){return!1},z.prototype.parseRule=function(){return null},z.prototype.stopEvent=function(){return!1},W.size.get=function(){for(var e=0,t=0;t<this.children.length;t++)e+=this.children[t].size;return e},W.border.get=function(){return 0},z.prototype.destroy=function(){this.parent=null,this.dom.pmViewDesc==this&&(this.dom.pmViewDesc=null);for(var e=0;e<this.children.length;e++)this.children[e].destroy()},z.prototype.posBeforeChild=function(e){for(var t=0,n=this.posAtStart;t<this.children.length;t++){var r=this.children[t];if(r==e)return n;n+=r.size}},W.posBefore.get=function(){return this.parent.posBeforeChild(this)},W.posAtStart.get=function(){return this.parent?this.parent.posBeforeChild(this)+this.border:0},W.posAfter.get=function(){return this.posBefore+this.size},W.posAtEnd.get=function(){return this.posAtStart+this.size-2*this.border},z.prototype.localPosFromDOM=function(e,t,n){if(this.contentDOM&&this.contentDOM.contains(1==e.nodeType?e:e.parentNode)){if(n<0){var r,o;if(e==this.contentDOM)r=e.childNodes[t-1];else{for(;e.parentNode!=this.contentDOM;)e=e.parentNode;r=e.previousSibling}for(;r&&(!(o=r.pmViewDesc)||o.parent!=this);)r=r.previousSibling;return r?this.posBeforeChild(o)+o.size:this.posAtStart}var i,a;if(e==this.contentDOM)i=e.childNodes[t];else{for(;e.parentNode!=this.contentDOM;)e=e.parentNode;i=e.nextSibling}for(;i&&(!(a=i.pmViewDesc)||a.parent!=this);)i=i.nextSibling;return i?this.posBeforeChild(a):this.posAtEnd}var s;if(this.contentDOM&&this.contentDOM!=this.dom&&this.dom.contains(this.contentDOM))s=2&e.compareDocumentPosition(this.contentDOM);else if(this.dom.firstChild){if(0==t)for(var u=e;;u=u.parentNode){if(u==this.dom){s=!1;break}if(u.parentNode.firstChild!=u)break}if(null==s&&t==e.childNodes.length)for(var c=e;;c=c.parentNode){if(c==this.dom){s=!0;break}if(c.parentNode.lastChild!=c)break}}return(null==s?n>0:s)?this.posAtEnd:this.posAtStart},z.prototype.nearestDesc=function(e,t){for(var n=!0,r=e;r;r=r.parentNode){var o=this.getDesc(r);if(o&&(!t||o.node)){if(!n||!o.nodeDOM||(1==o.nodeDOM.nodeType?o.nodeDOM.contains(1==e.nodeType?e:e.parentNode):o.nodeDOM==e))return o;n=!1}}},z.prototype.getDesc=function(e){for(var t=e.pmViewDesc,n=t;n;n=n.parent)if(n==this)return t},z.prototype.posFromDOM=function(e,t,n){for(var r=e;r;r=r.parentNode){var o=this.getDesc(r);if(o)return o.localPosFromDOM(e,t,n)}return-1},z.prototype.descAt=function(e){for(var t=0,n=0;t<this.children.length;t++){var r=this.children[t],o=n+r.size;if(n==e&&o!=n){for(;!r.border&&r.children.length;)r=r.children[0];return r}if(e<o)return r.descAt(e-n-r.border);n=o}},z.prototype.domFromPos=function(e){if(!this.contentDOM)return{node:this.dom,offset:0};for(var t=0,n=0;;n++){if(t==e){for(;n<this.children.length&&(this.children[n].beforePosition||this.children[n].dom.parentNode!=this.contentDOM);)n++;return{node:this.contentDOM,offset:n==this.children.length?this.contentDOM.childNodes.length:h(this.children[n].dom)}}if(n==this.children.length)throw new Error("Invalid position "+e);var r=this.children[n],o=t+r.size;if(e<o)return r.domFromPos(e-t-r.border);t=o}},z.prototype.parseRange=function(e,t,n){if(void 0===n&&(n=0),0==this.children.length)return{node:this.contentDOM,from:e,to:t,fromOffset:0,toOffset:this.contentDOM.childNodes.length};for(var r=-1,o=-1,i=n,a=0;;a++){var s=this.children[a],u=i+s.size;if(-1==r&&e<=u){var c=i+s.border;if(e>=c&&t<=u-s.border&&s.node&&s.contentDOM&&this.contentDOM.contains(s.contentDOM))return s.parseRange(e,t,c);e=i;for(var l=a;l>0;l--){var d=this.children[l-1];if(d.size&&d.dom.parentNode==this.contentDOM&&!d.emptyChildAt(1)){r=h(d.dom)+1;break}e-=d.size}-1==r&&(r=0)}if(r>-1&&(u>t||a==this.children.length-1)){t=u;for(var f=a+1;f<this.children.length;f++){var p=this.children[f];if(p.size&&p.dom.parentNode==this.contentDOM&&!p.emptyChildAt(-1)){o=h(p.dom);break}t+=p.size}-1==o&&(o=this.contentDOM.childNodes.length);break}i=u}return{node:this.contentDOM,from:e,to:t,fromOffset:r,toOffset:o}},z.prototype.emptyChildAt=function(e){if(this.border||!this.contentDOM||!this.children.length)return!1;var t=this.children[e<0?0:this.children.length-1];return 0==t.size||t.emptyChildAt(e)},z.prototype.domAfterPos=function(e){var t=this.domFromPos(e),n=t.node,r=t.offset;if(1!=n.nodeType||r==n.childNodes.length)throw new RangeError("No node after pos "+e);return n.childNodes[r]},z.prototype.setSelection=function(e,t,n,r){for(var o=Math.min(e,t),i=Math.max(e,t),a=0,s=0;a<this.children.length;a++){var u=this.children[a],c=s+u.size;if(o>s&&i<c)return u.setSelection(e-s-u.border,t-s-u.border,n,r);s=c}var l=this.domFromPos(e),d=this.domFromPos(t),h=n.getSelection();if(r||!m(l.node,l.offset,h.anchorNode,h.anchorOffset)||!m(d.node,d.offset,h.focusNode,h.focusOffset)){var f=!1;if(h.extend||e==t){h.collapse(l.node,l.offset);try{e!=t&&h.extend(d.node,d.offset),f=!0}catch(e){if(!(e instanceof DOMException))throw e}}if(!f){if(e>t){var p=l;l=d,d=p}var _=document.createRange();_.setEnd(d.node,d.offset),_.setStart(l.node,l.offset),h.removeAllRanges(),h.addRange(_)}}},z.prototype.ignoreMutation=function(e){return!this.contentDOM&&"selection"!=e.type},W.contentLost.get=function(){return this.contentDOM&&this.contentDOM!=this.dom&&!this.dom.contains(this.contentDOM)},z.prototype.markDirty=function(e,t){for(var n=0,r=0;r<this.children.length;r++){var o=this.children[r],i=n+o.size;if(n==i?e<=i&&t>=n:e<i&&t>n){var a=n+o.border,s=i-o.border;if(e>=a&&t<=s)return this.dirty=e==n||t==i?2:1,void(e!=a||t!=s||!o.contentLost&&o.dom.parentNode==this.contentDOM?o.markDirty(e-a,t-a):o.dirty=3);o.dirty=3}n=i}this.dirty=2},z.prototype.markParentsDirty=function(){for(var e=1,t=this.parent;t;t=t.parent,e++){var n=1==e?2:1;t.dirty<n&&(t.dirty=n)}},Object.defineProperties(z.prototype,W);var $=[],B=function(e){function t(t,n,r,o){var i,a=n.type.toDOM;if("function"==typeof a&&(a=a(r,(function(){return i?i.parent?i.parent.posBeforeChild(i):void 0:o}))),!n.type.spec.raw){if(1!=a.nodeType){var s=document.createElement("span");s.appendChild(a),a=s}a.contentEditable=!1,a.classList.add("ProseMirror-widget")}e.call(this,t,$,a,null),this.widget=n,i=this}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={beforePosition:{configurable:!0}};return n.beforePosition.get=function(){return this.widget.type.side<0},t.prototype.matchesWidget=function(e){return 0==this.dirty&&e.type.eq(this.widget.type)},t.prototype.parseRule=function(){return{ignore:!0}},t.prototype.stopEvent=function(e){var t=this.widget.spec.stopEvent;return!!t&&t(e)},t.prototype.ignoreMutation=function(e){return"selection"!=e.type||this.widget.spec.ignoreSelection},Object.defineProperties(t.prototype,n),t}(z),q=function(e){function t(t,n,r,o){e.call(this,t,$,n,null),this.textDOM=r,this.text=o}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={size:{configurable:!0}};return n.size.get=function(){return this.text.length},t.prototype.localPosFromDOM=function(e,t){return e!=this.textDOM?this.posAtStart+(t?this.size:0):this.posAtStart+t},t.prototype.domFromPos=function(e){return{node:this.textDOM,offset:e}},t.prototype.ignoreMutation=function(e){return"characterData"===e.type&&e.target.nodeValue==e.oldValue},Object.defineProperties(t.prototype,n),t}(z),U=function(e){function t(t,n,r,o){e.call(this,t,[],r,o),this.mark=n}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.create=function(e,n,r,i){var a=i.nodeViews[n.type.name],s=a&&a(n,i,r);return s&&s.dom||(s=o.DOMSerializer.renderSpec(document,n.type.spec.toDOM(n,r))),new t(e,n,s.dom,s.contentDOM||s.dom)},t.prototype.parseRule=function(){return{mark:this.mark.type.name,attrs:this.mark.attrs,contentElement:this.contentDOM}},t.prototype.matchesMark=function(e){return 3!=this.dirty&&this.mark.eq(e)},t.prototype.markDirty=function(t,n){if(e.prototype.markDirty.call(this,t,n),0!=this.dirty){for(var r=this.parent;!r.node;)r=r.parent;r.dirty<this.dirty&&(r.dirty=this.dirty),this.dirty=0}},t.prototype.slice=function(e,n,r){var o=t.create(this.parent,this.mark,!0,r),i=this.children,a=this.size;n<a&&(i=ue(i,n,a,r)),e>0&&(i=ue(i,0,e,r));for(var s=0;s<i.length;s++)i[s].parent=o;return o.children=i,o},t}(z),V=function(e){function t(t,n,r,o,i,a,s,u,c){e.call(this,t,n.isLeaf?$:[],i,a),this.nodeDOM=s,this.node=n,this.outerDeco=r,this.innerDeco=o,a&&this.updateChildren(u,c)}e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t;var n={size:{configurable:!0},border:{configurable:!0}};return t.create=function(e,n,r,i,a,s){var u,c,l=a.nodeViews[n.type.name],d=l&&l(n,a,(function(){return c?c.parent?c.parent.posBeforeChild(c):void 0:s}),r),h=d&&d.dom,f=d&&d.contentDOM;if(n.isText)if(h){if(3!=h.nodeType)throw new RangeError("Text must be rendered as a DOM text node")}else h=document.createTextNode(n.text);else h||(h=(u=o.DOMSerializer.renderSpec(document,n.type.spec.toDOM(n))).dom,f=u.contentDOM);f||n.isText||"BR"==h.nodeName||(h.hasAttribute("contenteditable")||(h.contentEditable=!1),n.type.spec.draggable&&(h.draggable=!0));var p=h;return h=re(h,r,n),d?c=new Z(e,n,r,i,h,f,p,d,a,s+1):n.isText?new G(e,n,r,i,h,p,a):new t(e,n,r,i,h,f,p,a,s+1)},t.prototype.parseRule=function(){var e=this;if(this.node.type.spec.reparseInView)return null;var t={node:this.node.type.name,attrs:this.node.attrs};return this.node.type.spec.code&&(t.preserveWhitespace="full"),this.contentDOM&&!this.contentLost?t.contentElement=this.contentDOM:t.getContent=function(){return e.contentDOM?o.Fragment.empty:e.node.content},t},t.prototype.matchesNode=function(e,t,n){return 0==this.dirty&&e.eq(this.node)&&oe(t,this.outerDeco)&&n.eq(this.innerDeco)},n.size.get=function(){return this.node.nodeSize},n.border.get=function(){return this.node.isLeaf?0:1},t.prototype.updateChildren=function(e,t){var n=this,r=this.node.inlineContent,i=t,s=r&&e.composing&&this.localCompositionNode(e,t),u=new ae(this,s&&s.node);!function(e,t,n,r){var o=t.locals(e),i=0;if(0==o.length){for(var a=0;a<e.childCount;a++){var s=e.child(a);r(s,o,t.forChild(i,s),a),i+=s.nodeSize}return}for(var u=0,c=[],l=null,d=0;;){if(u<o.length&&o[u].to==i){for(var h=o[u++],f=void 0;u<o.length&&o[u].to==i;)(f||(f=[h])).push(o[u++]);if(f){f.sort(se);for(var p=0;p<f.length;p++)n(f[p],d,!!l)}else n(h,d,!!l)}var m=void 0,_=void 0;if(l)_=-1,m=l,l=null;else{if(!(d<e.childCount))break;_=d,m=e.child(d++)}for(var g=0;g<c.length;g++)c[g].to<=i&&c.splice(g--,1);for(;u<o.length&&o[u].from<=i&&o[u].to>i;)c.push(o[u++]);var y=i+m.nodeSize;if(m.isText){var v=y;u<o.length&&o[u].from<v&&(v=o[u].from);for(var M=0;M<c.length;M++)c[M].to<v&&(v=c[M].to);v<y&&(l=m.cut(v-i),m=m.cut(0,v-i),y=v,_=-1)}r(m,c.length?c.slice():$,t.forChild(i,m),_),i=y}}(this.node,this.innerDeco,(function(t,a,s){t.spec.marks?u.syncToMarks(t.spec.marks,r,e):t.type.side>=0&&!s&&u.syncToMarks(a==n.node.childCount?o.Mark.none:n.node.child(a).marks,r,e),u.placeWidget(t,e,i)}),(function(t,n,o,a){u.syncToMarks(t.marks,r,e),u.findNodeMatch(t,n,o,a)||u.updateNextNode(t,n,o,e,a)||u.addNode(t,n,o,e,i),i+=t.nodeSize})),u.syncToMarks($,r,e),this.node.isTextblock&&u.addTextblockHacks(),u.destroyRest(),(u.changed||2==this.dirty)&&(s&&this.protectLocalComposition(e,s),function e(t,n,r){for(var o=t.firstChild,i=!1,a=0;a<n.length;a++){var s=n[a],u=s.dom;if(u.parentNode==t){for(;u!=o;)o=ie(o),i=!0;o=o.nextSibling}else i=!0,t.insertBefore(u,o);if(s instanceof U){var c=o?o.previousSibling:t.lastChild;e(s.contentDOM,s.children,r),o=c?c.nextSibling:t.firstChild}}for(;o;)o=ie(o),i=!0;i&&r.trackWrites==t&&(r.trackWrites=null)}(this.contentDOM,this.children,e),a.ios&&function(e){if("UL"==e.nodeName||"OL"==e.nodeName){var t=e.style.cssText;e.style.cssText=t+"; list-style: square !important",window.getComputedStyle(e).listStyle,e.style.cssText=t}}(this.dom))},t.prototype.localCompositionNode=function(e,t){var n=e.state.selection,o=n.from,i=n.to;if(!(!(e.state.selection instanceof r.TextSelection)||o<t||i>t+this.node.content.size)){var a=e.root.getSelection(),s=function(e,t){for(;;){if(3==e.nodeType)return e;if(1==e.nodeType&&t>0){if(e.childNodes.length>t&&3==e.childNodes[t].nodeType)return e.childNodes[t];e=e.childNodes[t-1],t=y(e)}else{if(!(1==e.nodeType&&t<e.childNodes.length))return null;e=e.childNodes[t],t=0}}}(a.focusNode,a.focusOffset);if(s&&this.dom.contains(s.parentNode)){var u=s.nodeValue,c=function(e,t,n,r){for(var o=0,i=0;o<e.childCount&&i<=r;){var a=e.child(o++),s=i;if(i+=a.nodeSize,a.isText){for(var u=a.text;o<e.childCount;){var c=e.child(o++);if(i+=c.nodeSize,!c.isText)break;u+=c.text}if(i>=n){var l=u.lastIndexOf(t,r-s);if(l>=0&&l+t.length+s>=n)return s+l}}}return-1}(this.node.content,u,o-t,i-t);return c<0?null:{node:s,pos:c,text:u}}}},t.prototype.protectLocalComposition=function(e,t){var n=t.node,r=t.pos,o=t.text;if(!this.getDesc(n)){for(var i=n;i.parentNode!=this.contentDOM;i=i.parentNode){for(;i.previousSibling;)i.parentNode.removeChild(i.previousSibling);for(;i.nextSibling;)i.parentNode.removeChild(i.nextSibling);i.pmViewDesc&&(i.pmViewDesc=null)}var a=new q(this,i,n,o);e.compositionNodes.push(a),this.children=ue(this.children,r,r+o.length,e,a)}},t.prototype.update=function(e,t,n,r){return!(3==this.dirty||!e.sameMarkup(this.node))&&(this.updateInner(e,t,n,r),!0)},t.prototype.updateInner=function(e,t,n,r){this.updateOuterDeco(t),this.node=e,this.innerDeco=n,this.contentDOM&&this.updateChildren(r,this.posAtStart),this.dirty=0},t.prototype.updateOuterDeco=function(e){if(!oe(e,this.outerDeco)){var t=1!=this.nodeDOM.nodeType,n=this.dom;this.dom=te(this.dom,this.nodeDOM,ee(this.outerDeco,this.node,t),ee(e,this.node,t)),this.dom!=n&&(n.pmViewDesc=null,this.dom.pmViewDesc=this),this.outerDeco=e}},t.prototype.selectNode=function(){this.nodeDOM.classList.add("ProseMirror-selectednode"),!this.contentDOM&&this.node.type.spec.draggable||(this.dom.draggable=!0)},t.prototype.deselectNode=function(){this.nodeDOM.classList.remove("ProseMirror-selectednode"),!this.contentDOM&&this.node.type.spec.draggable||this.dom.removeAttribute("draggable")},Object.defineProperties(t.prototype,n),t}(z);function J(e,t,n,r,o){return re(r,t,e),new V(null,e,t,n,r,r,r,o,0)}var G=function(e){function t(t,n,r,o,i,a,s){e.call(this,t,n,r,o,i,null,a,s)}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.parseRule=function(){for(var e=this.nodeDOM.parentNode;e&&e!=this.dom&&!e.pmIsDeco;)e=e.parentNode;return{skip:e||!0}},t.prototype.update=function(e,t,n,r){return!(3==this.dirty||0!=this.dirty&&!this.inParent()||!e.sameMarkup(this.node))&&(this.updateOuterDeco(t),0==this.dirty&&e.text==this.node.text||e.text==this.nodeDOM.nodeValue||(this.nodeDOM.nodeValue=e.text,r.trackWrites==this.nodeDOM&&(r.trackWrites=null)),this.node=e,this.dirty=0,!0)},t.prototype.inParent=function(){for(var e=this.parent.contentDOM,t=this.nodeDOM;t;t=t.parentNode)if(t==e)return!0;return!1},t.prototype.domFromPos=function(e){return{node:this.nodeDOM,offset:e}},t.prototype.localPosFromDOM=function(t,n,r){return t==this.nodeDOM?this.posAtStart+Math.min(n,this.node.text.length):e.prototype.localPosFromDOM.call(this,t,n,r)},t.prototype.ignoreMutation=function(e){return"characterData"!=e.type&&"selection"!=e.type},t.prototype.slice=function(e,n,r){var o=this.node.cut(e,n),i=document.createTextNode(o.text);return new t(this.parent,o,this.outerDeco,this.innerDeco,i,i,r)},t}(V),K=function(e){function t(){e.apply(this,arguments)}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.parseRule=function(){return{ignore:!0}},t.prototype.matchesHack=function(){return 0==this.dirty},t}(z),Z=function(e){function t(t,n,r,o,i,a,s,u,c,l){e.call(this,t,n,r,o,i,a,s,c,l),this.spec=u}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.update=function(t,n,r,o){if(3==this.dirty)return!1;if(this.spec.update){var i=this.spec.update(t,n);return i&&this.updateInner(t,n,r,o),i}return!(!this.contentDOM&&!t.isLeaf)&&e.prototype.update.call(this,t,n,r,o)},t.prototype.selectNode=function(){this.spec.selectNode?this.spec.selectNode():e.prototype.selectNode.call(this)},t.prototype.deselectNode=function(){this.spec.deselectNode?this.spec.deselectNode():e.prototype.deselectNode.call(this)},t.prototype.setSelection=function(t,n,r,o){this.spec.setSelection?this.spec.setSelection(t,n,r):e.prototype.setSelection.call(this,t,n,r,o)},t.prototype.destroy=function(){this.spec.destroy&&this.spec.destroy(),e.prototype.destroy.call(this)},t.prototype.stopEvent=function(e){return!!this.spec.stopEvent&&this.spec.stopEvent(e)},t.prototype.ignoreMutation=function(t){return this.spec.ignoreMutation?this.spec.ignoreMutation(t):e.prototype.ignoreMutation.call(this,t)},t}(V);function X(e){e&&(this.nodeName=e)}X.prototype=Object.create(null);var Q=[new X];function ee(e,t,n){if(0==e.length)return Q;for(var r=n?Q[0]:new X,o=[r],i=0;i<e.length;i++){var a=e[i].type.attrs,s=r;if(a)for(var u in a.nodeName&&o.push(s=new X(a.nodeName)),a){var c=a[u];null!=c&&(n&&1==o.length&&o.push(s=r=new X(t.isInline?"span":"div")),"class"==u?s.class=(s.class?s.class+" ":"")+c:"style"==u?s.style=(s.style?s.style+";":"")+c:"nodeName"!=u&&(s[u]=c))}}return o}function te(e,t,n,r){if(n==Q&&r==Q)return t;for(var o=t,i=0;i<r.length;i++){var a=r[i],s=n[i];if(i){var u=void 0;s&&s.nodeName==a.nodeName&&o!=e&&(u=o.parentNode)&&u.tagName.toLowerCase()==a.nodeName||((u=document.createElement(a.nodeName)).pmIsDeco=!0,u.appendChild(o),s=Q[0]),o=u}ne(o,s||Q[0],a)}return o}function ne(e,t,n){for(var r in t)"class"==r||"style"==r||"nodeName"==r||r in n||e.removeAttribute(r);for(var o in n)"class"!=o&&"style"!=o&&"nodeName"!=o&&n[o]!=t[o]&&e.setAttribute(o,n[o]);if(t.class!=n.class){for(var i=t.class?t.class.split(" "):$,a=n.class?n.class.split(" "):$,s=0;s<i.length;s++)-1==a.indexOf(i[s])&&e.classList.remove(i[s]);for(var u=0;u<a.length;u++)-1==i.indexOf(a[u])&&e.classList.add(a[u])}if(t.style!=n.style){if(t.style)for(var c,l=/\s*([\w\-\xa1-\uffff]+)\s*:(?:"(?:\\.|[^"])*"|'(?:\\.|[^'])*'|\(.*?\)|[^;])*/g;c=l.exec(t.style);)e.style.removeProperty(c[1]);n.style&&(e.style.cssText+=n.style)}}function re(e,t,n){return te(e,e,Q,ee(t,n,1!=e.nodeType))}function oe(e,t){if(e.length!=t.length)return!1;for(var n=0;n<e.length;n++)if(!e[n].type.eq(t[n].type))return!1;return!0}function ie(e){var t=e.nextSibling;return e.parentNode.removeChild(e),t}var ae=function(e,t){this.top=e,this.lock=t,this.index=0,this.stack=[],this.changed=!1;var n=function(e,t){for(var n=[],r=e.childCount,o=t.length-1;r>0&&o>=0;o--){var i=t[o],a=i.node;if(a){if(a!=e.child(r-1))break;n.push(i),--r}}return{nodes:n.reverse(),offset:r}}(e.node.content,e.children);this.preMatched=n.nodes,this.preMatchOffset=n.offset};function se(e,t){return e.type.side-t.type.side}function ue(e,t,n,r,o){for(var i=[],a=0,s=0;a<e.length;a++){var u=e[a],c=s,l=s+=u.size;c>=n||l<=t?i.push(u):(c<t&&i.push(u.slice(0,t-c,r)),o&&(i.push(o),o=null),l>n&&i.push(u.slice(n-c,u.size,r)))}return i}function ce(e,t){var n=e.selection,o=n.$anchor,i=n.$head,a=t>0?o.max(i):o.min(i),s=a.parent.inlineContent?a.depth?e.doc.resolve(t>0?a.after():a.before()):null:a;return s&&r.Selection.findFrom(s,t)}function le(e,t){return e.dispatch(e.state.tr.setSelection(t).scrollIntoView()),!0}function de(e,t,n){var o=e.state.selection;if(!(o instanceof r.TextSelection)){if(o instanceof r.NodeSelection&&o.node.isInline)return le(e,new r.TextSelection(t>0?o.$to:o.$from));var i=ce(e.state,t);return!!i&&le(e,i)}if(!o.empty||n.indexOf("s")>-1)return!1;if(e.endOfTextblock(t>0?"right":"left")){var s=ce(e.state,t);return!!(s&&s instanceof r.NodeSelection)&&le(e,s)}if(!(a.mac&&n.indexOf("m")>-1)){var u,c=o.$head,l=c.textOffset?null:t<0?c.nodeBefore:c.nodeAfter;if(!l||l.isText)return!1;var d=t<0?c.pos-l.nodeSize:c.pos;return!!(l.isAtom||(u=e.docView.descAt(d))&&!u.contentDOM)&&(r.NodeSelection.isSelectable(l)?le(e,new r.NodeSelection(t<0?e.state.doc.resolve(c.pos-l.nodeSize):c)):!!a.webkit&&le(e,new r.TextSelection(e.state.doc.resolve(t<0?d:d+l.nodeSize))))}}function he(e){return 3==e.nodeType?e.nodeValue.length:e.childNodes.length}function fe(e){var t=e.pmViewDesc;return t&&0==t.size&&(e.nextSibling||"BR"!=e.nodeName)}function pe(e){var t=e.root.getSelection(),n=t.focusNode,r=t.focusOffset;if(n){var o,i,s=!1;for(a.gecko&&1==n.nodeType&&r<he(n)&&fe(n.childNodes[r])&&(s=!0);;)if(r>0){if(1!=n.nodeType)break;var u=n.childNodes[r-1];if(fe(u))o=n,i=--r;else{if(3!=u.nodeType)break;r=(n=u).nodeValue.length}}else{if(_e(n))break;for(var c=n.previousSibling;c&&fe(c);)o=n.parentNode,i=h(c),c=c.previousSibling;if(c)r=he(n=c);else{if((n=n.parentNode)==e.dom)break;r=0}}s?ge(e,t,n,r):o&&ge(e,t,o,i)}}function me(e){var t=e.root.getSelection(),n=t.focusNode,r=t.focusOffset;if(n){for(var o,i,a=he(n);;)if(r<a){if(1!=n.nodeType)break;if(!fe(n.childNodes[r]))break;o=n,i=++r}else{if(_e(n))break;for(var s=n.nextSibling;s&&fe(s);)o=s.parentNode,i=h(s)+1,s=s.nextSibling;if(s)r=0,a=he(n=s);else{if((n=n.parentNode)==e.dom)break;r=a=0}}o&&ge(e,t,o,i)}}function _e(e){var t=e.pmViewDesc;return t&&t.node&&t.node.isBlock}function ge(e,t,n,r){if(M(t)){var o=document.createRange();o.setEnd(n,r),o.setStart(n,r),t.removeAllRanges(),t.addRange(o)}else t.extend&&t.extend(n,r);e.domObserver.setCurSelection()}function ye(e,t,n){var o=e.state.selection;if(o instanceof r.TextSelection&&!o.empty||n.indexOf("s")>-1)return!1;if(a.mac&&n.indexOf("m")>-1)return!1;var i=o.$from,s=o.$to;if(!i.parent.inlineContent||e.endOfTextblock(t<0?"up":"down")){var u=ce(e.state,t);if(u&&u instanceof r.NodeSelection)return le(e,u)}if(!i.parent.inlineContent){var c=r.Selection.findFrom(t<0?i:s,t);return!c||le(e,c)}return!1}function ve(e,t){if(!(e.state.selection instanceof r.TextSelection))return!0;var n=e.state.selection,o=n.$head,i=n.$anchor,a=n.empty;if(!o.sameParent(i))return!0;if(!a)return!1;if(e.endOfTextblock(t>0?"forward":"backward"))return!0;var s=!o.textOffset&&(t<0?o.nodeBefore:o.nodeAfter);if(s&&!s.isText){var u=e.state.tr;return t<0?u.delete(o.pos-s.nodeSize,o.pos):u.delete(o.pos,o.pos+s.nodeSize),e.dispatch(u),!0}return!1}function Me(e,t,n){e.domObserver.stop(),t.contentEditable=n,e.domObserver.start()}function ke(e,t){var n=t.keyCode,r=function(e){var t="";return e.ctrlKey&&(t+="c"),e.metaKey&&(t+="m"),e.altKey&&(t+="a"),e.shiftKey&&(t+="s"),t}(t);return 8==n||a.mac&&72==n&&"c"==r?ve(e,-1)||pe(e):46==n||a.mac&&68==n&&"c"==r?ve(e,1)||me(e):13==n||27==n||(37==n?de(e,-1,r)||pe(e):39==n?de(e,1,r)||me(e):38==n?ye(e,-1,r)||pe(e):40==n?function(e){if(a.chrome&&!(e.state.selection.$head.parentOffset>0)){var t=e.root.getSelection(),n=t.focusNode,r=t.focusOffset;if(n&&1==n.nodeType&&0==r&&n.firstChild&&"false"==n.firstChild.contentEditable){var o=n.firstChild;Me(e,o,!0),setTimeout((function(){return Me(e,o,!1)}),20)}}}(e)||ye(e,1,r)||me(e):r==(a.mac?"m":"c")&&(66==n||73==n||89==n||90==n))}function be(e,t){var n=e.root.getSelection(),o=e.state.doc;if(!n.focusNode)return null;var i=e.docView.nearestDesc(n.focusNode),a=i&&0==i.size,s=e.docView.posFromDOM(n.focusNode,n.focusOffset);if(s<0)return null;var u,c,l=o.resolve(s);if(M(n)){for(u=l;i&&!i.node;)i=i.parent;if(i&&i.node.isAtom&&r.NodeSelection.isSelectable(i.node)&&i.parent&&(!i.node.isInline||!function(e,t,n){for(var r=0==t,o=t==y(e);r||o;){if(e==n)return!0;var i=h(e);if(!(e=e.parentNode))return!1;r=r&&0==i,o=o&&i==y(e)}}(n.focusNode,n.focusOffset,i.dom))){var d=i.posBefore;c=new r.NodeSelection(s==d?l:o.resolve(d))}}else{var f=e.docView.posFromDOM(n.anchorNode,n.anchorOffset);if(f<0)return null;u=o.resolve(f)}c||(c=Oe(e,u,l,"pointer"==t||e.state.selection.head<l.pos&&!a?1:-1));return c}function we(e,t){var n=e.state.selection;if(Se(e,n),e.editable?e.hasFocus():Ce(e)&&document.activeElement&&document.activeElement.contains(e.dom)){if(e.domObserver.disconnectSelection(),e.cursorWrapper)!function(e){var t=e.root.getSelection(),n=document.createRange(),r=e.cursorWrapper.dom,o="IMG"==r.nodeName;o?n.setEnd(r.parentNode,h(r)+1):n.setEnd(r,0);n.collapse(!1),t.removeAllRanges(),t.addRange(n),!o&&!e.state.selection.visible&&a.ie&&a.ie_version<=11&&(r.disabled=!0,r.disabled=!1)}(e);else{var o,i,s=n.anchor,u=n.head;!Le||n instanceof r.TextSelection||(n.$from.parent.inlineContent||(o=Te(e,n.from)),n.empty||n.$from.parent.inlineContent||(i=Te(e,n.to))),e.docView.setSelection(s,u,e.root,t),Le&&(o&&xe(o),i&&xe(i)),n.visible?e.dom.classList.remove("ProseMirror-hideselection"):(e.dom.classList.add("ProseMirror-hideselection"),"onselectionchange"in document&&function(e){var t=e.dom.ownerDocument;t.removeEventListener("selectionchange",e.hideSelectionGuard);var n=e.root.getSelection(),r=n.anchorNode,o=n.anchorOffset;t.addEventListener("selectionchange",e.hideSelectionGuard=function(){n.anchorNode==r&&n.anchorOffset==o||(t.removeEventListener("selectionchange",e.hideSelectionGuard),e.dom.classList.remove("ProseMirror-hideselection"))})}(e))}e.domObserver.setCurSelection(),e.domObserver.connectSelection()}}ae.prototype.getPreMatch=function(e){return e>=this.preMatchOffset?this.preMatched[e-this.preMatchOffset]:null},ae.prototype.destroyBetween=function(e,t){if(e!=t){for(var n=e;n<t;n++)this.top.children[n].destroy();this.top.children.splice(e,t-e),this.changed=!0}},ae.prototype.destroyRest=function(){this.destroyBetween(this.index,this.top.children.length)},ae.prototype.syncToMarks=function(e,t,n){for(var r=0,o=this.stack.length>>1,i=Math.min(o,e.length);r<i&&(r==o-1?this.top:this.stack[r+1<<1]).matchesMark(e[r])&&!1!==e[r].type.spec.spanning;)r++;for(;r<o;)this.destroyRest(),this.top.dirty=0,this.index=this.stack.pop(),this.top=this.stack.pop(),o--;for(;o<e.length;){this.stack.push(this.top,this.index+1);for(var a=-1,s=this.index;s<Math.min(this.index+3,this.top.children.length);s++)if(this.top.children[s].matchesMark(e[o])){a=s;break}if(a>-1)a>this.index&&(this.changed=!0,this.destroyBetween(this.index,a)),this.top=this.top.children[this.index];else{var u=U.create(this.top,e[o],t,n);this.top.children.splice(this.index,0,u),this.top=u,this.changed=!0}this.index=0,o++}},ae.prototype.findNodeMatch=function(e,t,n,r){var o=-1,i=r<0?void 0:this.getPreMatch(r),a=this.top.children;if(i&&i.matchesNode(e,t,n))o=a.indexOf(i);else for(var s=this.index,u=Math.min(a.length,s+5);s<u;s++){var c=a[s];if(c.matchesNode(e,t,n)&&this.preMatched.indexOf(c)<0){o=s;break}}return!(o<0)&&(this.destroyBetween(this.index,o),this.index++,!0)},ae.prototype.updateNextNode=function(e,t,n,r,o){for(var i=this.index;i<this.top.children.length;i++){var a=this.top.children[i];if(a instanceof V){var s=this.preMatched.indexOf(a);if(s>-1&&s+this.preMatchOffset!=o)return!1;var u=a.dom;if(!(this.lock&&(u==this.lock||1==u.nodeType&&u.contains(this.lock.parentNode))&&!(e.isText&&a.node&&a.node.isText&&a.nodeDOM.nodeValue==e.text&&3!=a.dirty&&oe(t,a.outerDeco)))&&a.update(e,t,n,r))return this.destroyBetween(this.index,i),a.dom!=u&&(this.changed=!0),this.index++,!0;break}}return!1},ae.prototype.addNode=function(e,t,n,r,o){this.top.children.splice(this.index++,0,V.create(this.top,e,t,n,r,o)),this.changed=!0},ae.prototype.placeWidget=function(e,t,n){var r=this.index<this.top.children.length?this.top.children[this.index]:null;if(!r||!r.matchesWidget(e)||e!=r.widget&&r.widget.type.toDOM.parentNode){var o=new B(this.top,e,t,n);this.top.children.splice(this.index++,0,o),this.changed=!0}else this.index++},ae.prototype.addTextblockHacks=function(){for(var e=this.top.children[this.index-1];e instanceof U;)e=e.children[e.children.length-1];if(!e||!(e instanceof G)||/\n$/.test(e.node.text))if(this.index<this.top.children.length&&this.top.children[this.index].matchesHack())this.index++;else{var t=document.createElement("br");this.top.children.splice(this.index++,0,new K(this.top,$,t,null)),this.changed=!0}};var Le=a.safari||a.chrome&&a.chrome_version<63;function Te(e,t){var n=e.docView.domFromPos(t),r=n.node,o=n.offset,i=o<r.childNodes.length?r.childNodes[o]:null,s=o?r.childNodes[o-1]:null;if(a.safari&&i&&"false"==i.contentEditable)return De(i);if(!(i&&"false"!=i.contentEditable||s&&"false"!=s.contentEditable)){if(i)return De(i);if(s)return De(s)}}function De(e){return e.contentEditable="true",a.safari&&e.draggable&&(e.draggable=!1,e.wasDraggable=!0),e}function xe(e){e.contentEditable="false",e.wasDraggable&&(e.draggable=!0,e.wasDraggable=null)}function Se(e,t){if(t instanceof r.NodeSelection){var n=e.docView.descAt(t.from);n!=e.lastSelectedViewDesc&&(Ye(e),n&&n.selectNode(),e.lastSelectedViewDesc=n)}else Ye(e)}function Ye(e){e.lastSelectedViewDesc&&(e.lastSelectedViewDesc.parent&&e.lastSelectedViewDesc.deselectNode(),e.lastSelectedViewDesc=null)}function Oe(e,t,n,o){return e.someProp("createSelectionBetween",(function(r){return r(e,t,n)}))||r.TextSelection.between(t,n,o)}function Ce(e){var t=e.root.getSelection();if(!t.anchorNode)return!1;try{return e.dom.contains(3==t.anchorNode.nodeType?t.anchorNode.parentNode:t.anchorNode)&&(e.editable||e.dom.contains(3==t.focusNode.nodeType?t.focusNode.parentNode:t.focusNode))}catch(e){return!1}}function Ee(e){var t=e.pmViewDesc;if(t)return t.parseRule();if("BR"==e.nodeName&&e.parentNode){if(a.safari&&/^(ul|ol)$/i.test(e.parentNode.nodeName)){var n=document.createElement("div");return n.appendChild(document.createElement("li")),{skip:n}}if(e.parentNode.lastChild==e||a.safari&&/^(tr|table)$/i.test(e.parentNode.nodeName))return{ignore:!0}}else if("IMG"==e.nodeName&&e.getAttribute("mark-placeholder"))return{ignore:!0}}function Ae(e,t,n,i,s){if(t<0){var u=e.lastSelectionTime>Date.now()-50?e.lastSelectionOrigin:null,c=be(e,u);if(c&&!e.state.selection.eq(c)){var l=e.state.tr.setSelection(c);"pointer"==u?l.setMeta("pointer",!0):"key"==u&&l.scrollIntoView(),e.dispatch(l)}}else{var d=e.state.doc.resolve(t),h=d.sharedDepth(n);t=d.before(h+1),n=e.state.doc.resolve(n).after(h+1);var f,p,m=e.state.selection,_=function(e,t,n){var r=e.docView.parseRange(t,n),i=r.node,s=r.fromOffset,u=r.toOffset,c=r.from,l=r.to,d=e.root.getSelection(),h=null,f=d.anchorNode;if(f&&e.dom.contains(1==f.nodeType?f:f.parentNode)&&(h=[{node:f,offset:d.anchorOffset}],M(d)||h.push({node:d.focusNode,offset:d.focusOffset})),a.chrome&&8===e.lastKeyCode)for(var p=u;p>s;p--){var m=i.childNodes[p-1],_=m.pmViewDesc;if("BR"==m.nodeType&&!_){u=p;break}if(!_||_.size)break}var g=e.state.doc,y=e.someProp("domParser")||o.DOMParser.fromSchema(e.state.schema),v=g.resolve(c),k=null,b=y.parse(i,{topNode:v.parent,topMatch:v.parent.contentMatchAt(v.index()),topOpen:!0,from:s,to:u,preserveWhitespace:!v.parent.type.spec.code||"full",editableContent:!0,findPositions:h,ruleFromNode:Ee,context:v});if(h&&null!=h[0].pos){var w=h[0].pos,L=h[1]&&h[1].pos;null==L&&(L=w),k={anchor:w+c,head:L+c}}return{doc:b,sel:k,from:c,to:l}}(e,t,n),g=e.state.doc,y=g.slice(_.from,_.to);8===e.lastKeyCode&&Date.now()-100<e.lastKeyCodeTime?(f=e.state.selection.to,p="end"):(f=e.state.selection.from,p="start"),e.lastKeyCode=null;var v=function(e,t,n,r,o){var i=e.findDiffStart(t,n);if(null==i)return null;var a=e.findDiffEnd(t,n+e.size,n+t.size),s=a.a,u=a.b;if("end"==o){var c=Math.max(0,i-Math.min(s,u));r-=s+c-i}if(s<i&&e.size<t.size){u=(i-=r<=i&&r>=s?i-r:0)+(u-s),s=i}else if(u<i){s=(i-=r<=i&&r>=u?i-r:0)+(s-u),u=i}return{start:i,endA:s,endB:u}}(y.content,_.doc.content,_.from,f,p);if(!v){if(!(i&&m instanceof r.TextSelection&&!m.empty&&m.$head.sameParent(m.$anchor))||e.composing||_.sel&&_.sel.anchor!=_.sel.head){if(_.sel){var b=je(e,e.state.doc,_.sel);b&&!b.eq(e.state.selection)&&e.dispatch(e.state.tr.setSelection(b))}return}v={start:m.from,endA:m.to,endB:m.to}}e.domChangeCount++,e.state.selection.from<e.state.selection.to&&v.start==v.endB&&e.state.selection instanceof r.TextSelection&&(v.start>e.state.selection.from&&v.start<=e.state.selection.from+2?v.start=e.state.selection.from:v.endA<e.state.selection.to&&v.endA>=e.state.selection.to-2&&(v.endB+=e.state.selection.to-v.endA,v.endA=e.state.selection.to)),a.ie&&a.ie_version<=11&&v.endB==v.start+1&&v.endA==v.start&&v.start>_.from&&"  "==_.doc.textBetween(v.start-_.from-1,v.start-_.from+1)&&(v.start--,v.endA--,v.endB--);var w,L=_.doc.resolveNoCache(v.start-_.from),T=_.doc.resolveNoCache(v.endB-_.from),D=L.sameParent(T)&&L.parent.inlineContent;if((a.ios&&e.lastIOSEnter>Date.now()-225&&(!D||s.some((function(e){return"DIV"==e.nodeName||"P"==e.nodeName})))||!D&&L.pos<_.doc.content.size&&(w=r.Selection.findFrom(_.doc.resolve(L.pos+1),1,!0))&&w.head==T.pos)&&e.someProp("handleKeyDown",(function(t){return t(e,k(13,"Enter"))})))e.lastIOSEnter=0;else if(e.state.selection.anchor>v.start&&function(e,t,n,r,o){if(!r.parent.isTextblock||n-t<=o.pos-r.pos||He(r,!0,!1)<o.pos)return!1;var i=e.resolve(t);if(i.parentOffset<i.parent.content.size||!i.parent.isTextblock)return!1;var a=e.resolve(He(i,!0,!0));if(!a.parent.isTextblock||a.pos>n||He(a,!0,!1)<n)return!1;return r.parent.content.cut(r.parentOffset).eq(a.parent.content)}(g,v.start,v.endA,L,T)&&e.someProp("handleKeyDown",(function(t){return t(e,k(8,"Backspace"))})))a.android&&a.chrome&&e.domObserver.suppressSelectionUpdates();else{a.android&&!D&&L.start()!=T.start()&&0==T.parentOffset&&L.depth==T.depth&&_.sel&&_.sel.anchor==_.sel.head&&_.sel.head==v.endA&&(v.endB-=2,T=_.doc.resolveNoCache(v.endB-_.from),setTimeout((function(){e.someProp("handleKeyDown",(function(t){return t(e,k(13,"Enter"))}))}),20));var x,S,Y,O,C=v.start,E=v.endA;if(D)if(L.pos==T.pos)a.ie&&a.ie_version<=11&&0==L.parentOffset&&(e.domObserver.suppressSelectionUpdates(),setTimeout((function(){return we(e)}),20)),x=e.state.tr.delete(C,E),S=g.resolve(v.start).marksAcross(g.resolve(v.endA));else if(v.endA==v.endB&&(O=g.resolve(v.start))&&(Y=function(e,t){for(var n,r,i,a=e.firstChild.marks,s=t.firstChild.marks,u=a,c=s,l=0;l<s.length;l++)u=s[l].removeFromSet(u);for(var d=0;d<a.length;d++)c=a[d].removeFromSet(c);if(1==u.length&&0==c.length)r=u[0],n="add",i=function(e){return e.mark(r.addToSet(e.marks))};else{if(0!=u.length||1!=c.length)return null;r=c[0],n="remove",i=function(e){return e.mark(r.removeFromSet(e.marks))}}for(var h=[],f=0;f<t.childCount;f++)h.push(i(t.child(f)));if(o.Fragment.from(h).eq(e))return{mark:r,type:n}}(L.parent.content.cut(L.parentOffset,T.parentOffset),O.parent.content.cut(O.parentOffset,v.endA-O.start()))))x=e.state.tr,"add"==Y.type?x.addMark(C,E,Y.mark):x.removeMark(C,E,Y.mark);else if(L.parent.child(L.index()).isText&&L.index()==T.index()-(T.textOffset?0:1)){var A=L.parent.textBetween(L.parentOffset,T.parentOffset);if(e.someProp("handleTextInput",(function(t){return t(e,C,E,A)})))return;x=e.state.tr.insertText(A,C,E)}if(x||(x=e.state.tr.replace(C,E,_.doc.slice(v.start-_.from,v.endB-_.from))),_.sel){var j=je(e,x.doc,_.sel);j&&!(a.chrome&&a.android&&e.composing&&j.empty&&(j.head==C||j.head==x.mapping.map(E)-1)||a.ie&&j.empty&&j.head==C)&&x.setSelection(j)}S&&x.ensureMarks(S),e.dispatch(x.scrollIntoView())}}}function je(e,t,n){return Math.max(n.anchor,n.head)>t.content.size?null:Oe(e,t.resolve(n.anchor),t.resolve(n.head))}function He(e,t,n){for(var r=e.depth,o=t?e.end():e.pos;r>0&&(t||e.indexAfter(r)==e.node(r).childCount);)r--,o++,t=!1;if(n)for(var i=e.node(r).maybeChild(e.indexAfter(r));i&&!i.isLeaf;)i=i.firstChild,o++;return o}function Pe(e,t){for(var n=[],r=t.content,i=t.openStart,a=t.openEnd;i>1&&a>1&&1==r.childCount&&1==r.firstChild.childCount;){i--,a--;var s=r.firstChild;n.push(s.type.name,s.type.hasRequiredAttrs()?s.attrs:null),r=s.content}var u=e.someProp("clipboardSerializer")||o.DOMSerializer.fromSchema(e.state.schema),c=We(),l=c.createElement("div");l.appendChild(u.serializeFragment(r,{document:c}));for(var d,h=l.firstChild;h&&1==h.nodeType&&(d=Ie[h.nodeName.toLowerCase()]);){for(var f=d.length-1;f>=0;f--){for(var p=c.createElement(d[f]);l.firstChild;)p.appendChild(l.firstChild);l.appendChild(p)}h=l.firstChild}return h&&1==h.nodeType&&h.setAttribute("data-pm-slice",i+" "+a+" "+JSON.stringify(n)),{dom:l,text:e.someProp("clipboardTextSerializer",(function(e){return e(t)}))||t.content.textBetween(0,t.content.size,"\n\n")}}function Ne(e,t,n,r,i){var a,s,u=i.parent.type.spec.code;if(!n&&!t)return null;var c=t&&(r||u||!n);if(c){if(e.someProp("transformPastedText",(function(e){t=e(t,u||r)})),u)return new o.Slice(o.Fragment.from(e.state.schema.text(t)),0,0);var l=e.someProp("clipboardTextParser",(function(e){return e(t,i,r)}));l?s=l:(a=document.createElement("div"),t.trim().split(/(?:\r\n?|\n)+/).forEach((function(e){a.appendChild(document.createElement("p")).textContent=e})))}else e.someProp("transformPastedHTML",(function(e){n=e(n)})),a=function(e){var t=/(\s*<meta [^>]*>)*/.exec(e);t&&(e=e.slice(t[0].length));var n,r=We().createElement("div"),o=/(?:<meta [^>]*>)*<([a-z][^>\s]+)/i.exec(e),i=0;(n=o&&Ie[o[1].toLowerCase()])&&(e=n.map((function(e){return"<"+e+">"})).join("")+e+n.map((function(e){return"</"+e+">"})).reverse().join(""),i=n.length);r.innerHTML=e;for(var a=0;a<i;a++)r=r.firstChild;return r}(n);var d=a&&a.querySelector("[data-pm-slice]"),h=d&&/^(\d+) (\d+) (.*)/.exec(d.getAttribute("data-pm-slice"));if(!s){var f=e.someProp("clipboardParser")||e.someProp("domParser")||o.DOMParser.fromSchema(e.state.schema);s=f.parseSlice(a,{preserveWhitespace:!(!c&&!h),context:i})}return s=h?function(e,t){if(!e.size)return e;var n,r=e.content.firstChild.type.schema;try{n=JSON.parse(t)}catch(t){return e}for(var i=e.content,a=e.openStart,s=e.openEnd,u=n.length-2;u>=0;u-=2){var c=r.nodes[n[u]];if(!c||c.hasRequiredAttrs())break;i=o.Fragment.from(c.create(n[u+1],i)),a++,s++}return new o.Slice(i,a,s)}(function(e,t,n){t<e.openStart&&(e=new o.Slice(Fe(e.content,-1,t,e.openStart,0,e.openEnd),t,e.openEnd));n<e.openEnd&&(e=new o.Slice(Fe(e.content,1,n,e.openEnd,0,0),e.openStart,n));return e}(s,+h[1],+h[2]),h[3]):o.Slice.maxOpen(function(e,t){if(e.childCount<2)return e;for(var n=function(n){var r=t.node(n).contentMatchAt(t.index(n)),i=void 0,a=[];if(e.forEach((function(e){if(a){var t,n=r.findWrapping(e.type);if(!n)return a=null;if(t=a.length&&i.length&&function e(t,n,r,i,a){if(a<t.length&&a<n.length&&t[a]==n[a]){var s=e(t,n,r,i.lastChild,a+1);if(s)return i.copy(i.content.replaceChild(i.childCount-1,s));if(i.contentMatchAt(i.childCount).matchType(a==t.length-1?r.type:t[a+1]))return i.copy(i.content.append(o.Fragment.from(Re(r,t,a+1))))}}(n,i,e,a[a.length-1],0))a[a.length-1]=t;else{a.length&&(a[a.length-1]=function e(t,n){if(0==n)return t;var r=t.content.replaceChild(t.childCount-1,e(t.lastChild,n-1)),i=t.contentMatchAt(t.childCount).fillBefore(o.Fragment.empty,!0);return t.copy(r.append(i))}(a[a.length-1],i.length));var s=Re(e,n);a.push(s),r=r.matchType(s.type,s.attrs),i=n}}})),a)return{v:o.Fragment.from(a)}},r=t.depth;r>=0;r--){var i=n(r);if(i)return i.v}return e}(s.content,i),!1),e.someProp("transformPasted",(function(e){s=e(s)})),s}function Re(e,t,n){void 0===n&&(n=0);for(var r=t.length-1;r>=n;r--)e=t[r].create(null,o.Fragment.from(e));return e}function Fe(e,t,n,r,i,a){var s=t<0?e.firstChild:e.lastChild,u=s.content;return i<r-1&&(u=Fe(u,t,n,r,i+1,a)),i>=n&&(u=t<0?s.contentMatchAt(0).fillBefore(u,e.childCount>1||a<=i).append(u):u.append(s.contentMatchAt(s.childCount).fillBefore(o.Fragment.empty,!0))),e.replaceChild(t<0?0:e.childCount-1,s.copy(u))}var Ie={thead:["table"],tbody:["table"],tfoot:["table"],caption:["table"],colgroup:["table"],col:["table","colgroup"],tr:["table","tbody"],td:["table","tbody","tr"],th:["table","tbody","tr"]},ze=null;function We(){return ze||(ze=document.implementation.createHTMLDocument("title"))}var $e={childList:!0,characterData:!0,characterDataOldValue:!0,attributes:!0,attributeOldValue:!0,subtree:!0},Be=a.ie&&a.ie_version<=11,qe=function(){this.anchorNode=this.anchorOffset=this.focusNode=this.focusOffset=null};qe.prototype.set=function(e){this.anchorNode=e.anchorNode,this.anchorOffset=e.anchorOffset,this.focusNode=e.focusNode,this.focusOffset=e.focusOffset},qe.prototype.eq=function(e){return e.anchorNode==this.anchorNode&&e.anchorOffset==this.anchorOffset&&e.focusNode==this.focusNode&&e.focusOffset==this.focusOffset};var Ue=function(e,t){var n=this;this.view=e,this.handleDOMChange=t,this.queue=[],this.flushingSoon=-1,this.observer=window.MutationObserver&&new window.MutationObserver((function(e){for(var t=0;t<e.length;t++)n.queue.push(e[t]);a.ie&&a.ie_version<=11&&e.some((function(e){return"childList"==e.type&&e.removedNodes.length||"characterData"==e.type&&e.oldValue.length>e.target.nodeValue.length}))?n.flushSoon():n.flush()})),this.currentSelection=new qe,Be&&(this.onCharData=function(e){n.queue.push({target:e.target,type:"characterData",oldValue:e.prevValue}),n.flushSoon()}),this.onSelectionChange=this.onSelectionChange.bind(this),this.suppressingSelectionUpdates=!1};Ue.prototype.flushSoon=function(){var e=this;this.flushingSoon<0&&(this.flushingSoon=window.setTimeout((function(){e.flushingSoon=-1,e.flush()}),20))},Ue.prototype.forceFlush=function(){this.flushingSoon>-1&&(window.clearTimeout(this.flushingSoon),this.flushingSoon=-1,this.flush())},Ue.prototype.start=function(){this.observer&&this.observer.observe(this.view.dom,$e),Be&&this.view.dom.addEventListener("DOMCharacterDataModified",this.onCharData),this.connectSelection()},Ue.prototype.stop=function(){var e=this;if(this.observer){var t=this.observer.takeRecords();if(t.length){for(var n=0;n<t.length;n++)this.queue.push(t[n]);window.setTimeout((function(){return e.flush()}),20)}this.observer.disconnect()}Be&&this.view.dom.removeEventListener("DOMCharacterDataModified",this.onCharData),this.disconnectSelection()},Ue.prototype.connectSelection=function(){this.view.dom.ownerDocument.addEventListener("selectionchange",this.onSelectionChange)},Ue.prototype.disconnectSelection=function(){this.view.dom.ownerDocument.removeEventListener("selectionchange",this.onSelectionChange)},Ue.prototype.suppressSelectionUpdates=function(){var e=this;this.suppressingSelectionUpdates=!0,setTimeout((function(){return e.suppressingSelectionUpdates=!1}),50)},Ue.prototype.onSelectionChange=function(){if((!(e=this.view).editable||e.root.activeElement==e.dom)&&Ce(e)){var e;if(this.suppressingSelectionUpdates)return we(this.view);if(a.ie&&a.ie_version<=11&&!this.view.state.selection.empty){var t=this.view.root.getSelection();if(t.focusNode&&m(t.focusNode,t.focusOffset,t.anchorNode,t.anchorOffset))return this.flushSoon()}this.flush()}},Ue.prototype.setCurSelection=function(){this.currentSelection.set(this.view.root.getSelection())},Ue.prototype.ignoreSelectionChange=function(e){if(0==e.rangeCount)return!0;var t=e.getRangeAt(0).commonAncestorContainer,n=this.view.docView.nearestDesc(t);return n&&n.ignoreMutation({type:"selection",target:3==t.nodeType?t.parentNode:t})?(this.setCurSelection(),!0):void 0},Ue.prototype.flush=function(){if(this.view.docView&&!(this.flushingSoon>-1)){var e=this.observer?this.observer.takeRecords():[];this.queue.length&&(e=this.queue.concat(e),this.queue.length=0);var t=this.view.root.getSelection(),n=!this.suppressingSelectionUpdates&&!this.currentSelection.eq(t)&&Ce(this.view)&&!this.ignoreSelectionChange(t),r=-1,o=-1,i=!1,s=[];if(this.view.editable)for(var u=0;u<e.length;u++){var c=this.registerMutation(e[u],s);c&&(r=r<0?c.from:Math.min(c.from,r),o=o<0?c.to:Math.max(c.to,o),c.typeOver&&(i=!0))}if(a.gecko&&s.length>1){var l=s.filter((function(e){return"BR"==e.nodeName}));if(2==l.length){var d=l[0],h=l[1];d.parentNode&&d.parentNode.parentNode==h.parentNode?h.remove():d.remove()}}(r>-1||n)&&(r>-1&&(this.view.docView.markDirty(r,o),function(e){if(Ve)return;Ve=!0,"normal"==getComputedStyle(e.dom).whiteSpace&&console.warn("ProseMirror expects the CSS white-space property to be set, preferably to 'pre-wrap'. It is recommended to load style/prosemirror.css from the prosemirror-view package.")}(this.view)),this.handleDOMChange(r,o,i,s),this.view.docView.dirty?this.view.updateState(this.view.state):this.currentSelection.eq(t)||we(this.view))}},Ue.prototype.registerMutation=function(e,t){if(t.indexOf(e.target)>-1)return null;var n=this.view.docView.nearestDesc(e.target);if("attributes"==e.type&&(n==this.view.docView||"contenteditable"==e.attributeName||"style"==e.attributeName&&!e.oldValue&&!e.target.getAttribute("style")))return null;if(!n||n.ignoreMutation(e))return null;if("childList"==e.type){var r=e.previousSibling,o=e.nextSibling;if(a.ie&&a.ie_version<=11&&e.addedNodes.length)for(var i=0;i<e.addedNodes.length;i++){var s=e.addedNodes[i],u=s.previousSibling,c=s.nextSibling;(!u||Array.prototype.indexOf.call(e.addedNodes,u)<0)&&(r=u),(!c||Array.prototype.indexOf.call(e.addedNodes,c)<0)&&(o=c)}for(var l=r&&r.parentNode==e.target?h(r)+1:0,d=n.localPosFromDOM(e.target,l,-1),f=o&&o.parentNode==e.target?h(o):e.target.childNodes.length,p=0;p<e.addedNodes.length;p++)t.push(e.addedNodes[p]);return{from:d,to:n.localPosFromDOM(e.target,f,1)}}return"attributes"==e.type?{from:n.posAtStart-n.border,to:n.posAtEnd+n.border}:{from:n.posAtStart,to:n.posAtEnd,typeOver:e.target.nodeValue==e.oldValue}};var Ve=!1;var Je={},Ge={};function Ke(e,t){e.lastSelectionOrigin=t,e.lastSelectionTime=Date.now()}function Ze(e){e.someProp("handleDOMEvents",(function(t){for(var n in t)e.eventHandlers[n]||e.dom.addEventListener(n,e.eventHandlers[n]=function(t){return Xe(e,t)})}))}function Xe(e,t){return e.someProp("handleDOMEvents",(function(n){var r=n[t.type];return!!r&&(r(e,t)||t.defaultPrevented)}))}function Qe(e){return{left:e.clientX,top:e.clientY}}function et(e,t,n,r,o){if(-1==r)return!1;for(var i=e.state.doc.resolve(r),a=function(r){if(e.someProp(t,(function(t){return r>i.depth?t(e,n,i.nodeAfter,i.before(r),o,!0):t(e,n,i.node(r),i.before(r),o,!1)})))return{v:!0}},s=i.depth+1;s>0;s--){var u=a(s);if(u)return u.v}return!1}function tt(e,t,n){e.focused||e.focus();var r=e.state.tr.setSelection(t);"pointer"==n&&r.setMeta("pointer",!0),e.dispatch(r)}function nt(e,t,n,o,i){return et(e,"handleClickOn",t,n,o)||e.someProp("handleClick",(function(n){return n(e,t,o)}))||(i?function(e,t){if(-1==t)return!1;var n,o,i=e.state.selection;i instanceof r.NodeSelection&&(n=i.node);for(var a=e.state.doc.resolve(t),s=a.depth+1;s>0;s--){var u=s>a.depth?a.nodeAfter:a.node(s);if(r.NodeSelection.isSelectable(u)){o=n&&i.$from.depth>0&&s>=i.$from.depth&&a.before(i.$from.depth+1)==i.$from.pos?a.before(i.$from.depth):a.before(s);break}}return null!=o&&(tt(e,r.NodeSelection.create(e.state.doc,o),"pointer"),!0)}(e,n):function(e,t){if(-1==t)return!1;var n=e.state.doc.resolve(t),o=n.nodeAfter;return!!(o&&o.isAtom&&r.NodeSelection.isSelectable(o))&&(tt(e,new r.NodeSelection(n),"pointer"),!0)}(e,n))}function rt(e,t,n,r){return et(e,"handleDoubleClickOn",t,n,r)||e.someProp("handleDoubleClick",(function(n){return n(e,t,r)}))}function ot(e,t,n,o){return et(e,"handleTripleClickOn",t,n,o)||e.someProp("handleTripleClick",(function(n){return n(e,t,o)}))||function(e,t){var n=e.state.doc;if(-1==t)return!!n.inlineContent&&(tt(e,r.TextSelection.create(n,0,n.content.size),"pointer"),!0);for(var o=n.resolve(t),i=o.depth+1;i>0;i--){var a=i>o.depth?o.nodeAfter:o.node(i),s=o.before(i);if(a.inlineContent)tt(e,r.TextSelection.create(n,s+1,s+1+a.content.size),"pointer");else{if(!r.NodeSelection.isSelectable(a))continue;tt(e,r.NodeSelection.create(n,s),"pointer")}return!0}}(e,n)}function it(e){return dt(e)}Ge.keydown=function(e,t){if(e.shiftKey=16==t.keyCode||t.shiftKey,!ut(e,t))if(e.domObserver.forceFlush(),e.lastKeyCode=t.keyCode,e.lastKeyCodeTime=Date.now(),!a.ios||13!=t.keyCode||t.ctrlKey||t.altKey||t.metaKey)e.someProp("handleKeyDown",(function(n){return n(e,t)}))||ke(e,t)?t.preventDefault():Ke(e,"key");else{var n=Date.now();e.lastIOSEnter=n,e.lastIOSEnterFallbackTimeout=setTimeout((function(){e.lastIOSEnter==n&&(e.someProp("handleKeyDown",(function(t){return t(e,k(13,"Enter"))})),e.lastIOSEnter=0)}),200)}},Ge.keyup=function(e,t){16==t.keyCode&&(e.shiftKey=!1)},Ge.keypress=function(e,t){if(!(ut(e,t)||!t.charCode||t.ctrlKey&&!t.altKey||a.mac&&t.metaKey))if(e.someProp("handleKeyPress",(function(n){return n(e,t)})))t.preventDefault();else{var n=e.state.selection;if(!(n instanceof r.TextSelection&&n.$from.sameParent(n.$to))){var o=String.fromCharCode(t.charCode);e.someProp("handleTextInput",(function(t){return t(e,n.$from.pos,n.$to.pos,o)}))||e.dispatch(e.state.tr.insertText(o).scrollIntoView()),t.preventDefault()}}};var at=a.mac?"metaKey":"ctrlKey";Je.mousedown=function(e,t){e.shiftKey=t.shiftKey;var n=it(e),r=Date.now(),o="singleClick";r-e.lastClick.time<500&&function(e,t){var n=t.x-e.clientX,r=t.y-e.clientY;return n*n+r*r<100}(t,e.lastClick)&&!t[at]&&("singleClick"==e.lastClick.type?o="doubleClick":"doubleClick"==e.lastClick.type&&(o="tripleClick")),e.lastClick={time:r,x:t.clientX,y:t.clientY,type:o};var i=e.posAtCoords(Qe(t));i&&("singleClick"==o?e.mouseDown=new st(e,i,t,n):("doubleClick"==o?rt:ot)(e,i.pos,i.inside,t)?t.preventDefault():Ke(e,"pointer"))};var st=function(e,t,n,o){var i,s,u=this;if(this.view=e,this.startDoc=e.state.doc,this.pos=t,this.event=n,this.flushed=o,this.selectNode=n[at],this.allowDefault=n.shiftKey,t.inside>-1)i=e.state.doc.nodeAt(t.inside),s=t.inside;else{var c=e.state.doc.resolve(t.pos);i=c.parent,s=c.depth?c.before():0}this.mightDrag=null;var l=o?null:n.target,d=l?e.docView.nearestDesc(l,!0):null;this.target=d?d.dom:null,(i.type.spec.draggable&&!1!==i.type.spec.selectable||e.state.selection instanceof r.NodeSelection&&s==e.state.selection.from)&&(this.mightDrag={node:i,pos:s,addAttr:this.target&&!this.target.draggable,setUneditable:this.target&&a.gecko&&!this.target.hasAttribute("contentEditable")}),this.target&&this.mightDrag&&(this.mightDrag.addAttr||this.mightDrag.setUneditable)&&(this.view.domObserver.stop(),this.mightDrag.addAttr&&(this.target.draggable=!0),this.mightDrag.setUneditable&&setTimeout((function(){return u.target.setAttribute("contentEditable","false")}),20),this.view.domObserver.start()),e.root.addEventListener("mouseup",this.up=this.up.bind(this)),e.root.addEventListener("mousemove",this.move=this.move.bind(this)),Ke(e,"pointer")};function ut(e,t){return!!e.composing||!!(a.safari&&Math.abs(t.timeStamp-e.compositionEndedAt)<500)&&(e.compositionEndedAt=-2e8,!0)}st.prototype.done=function(){this.view.root.removeEventListener("mouseup",this.up),this.view.root.removeEventListener("mousemove",this.move),this.mightDrag&&this.target&&(this.view.domObserver.stop(),this.mightDrag.addAttr&&this.target.removeAttribute("draggable"),this.mightDrag.setUneditable&&this.target.removeAttribute("contentEditable"),this.view.domObserver.start()),this.view.mouseDown=null},st.prototype.up=function(e){if(this.done(),this.view.dom.contains(3==e.target.nodeType?e.target.parentNode:e.target)){var t=this.pos;this.view.state.doc!=this.startDoc&&(t=this.view.posAtCoords(Qe(e))),this.allowDefault||!t?Ke(this.view,"pointer"):nt(this.view,t.pos,t.inside,e,this.selectNode)?e.preventDefault():this.flushed||a.safari&&this.mightDrag&&!this.mightDrag.node.isAtom||a.chrome&&!(this.view.state.selection instanceof r.TextSelection)&&(t.pos==this.view.state.selection.from||t.pos==this.view.state.selection.to)?(tt(this.view,r.Selection.near(this.view.state.doc.resolve(t.pos)),"pointer"),e.preventDefault()):Ke(this.view,"pointer")}},st.prototype.move=function(e){!this.allowDefault&&(Math.abs(this.event.x-e.clientX)>4||Math.abs(this.event.y-e.clientY)>4)&&(this.allowDefault=!0),Ke(this.view,"pointer")},Je.touchdown=function(e){it(e),Ke(e,"pointer")},Je.contextmenu=function(e){return it(e)};var ct=a.android?5e3:-1;function lt(e,t){clearTimeout(e.composingTimeout),t>-1&&(e.composingTimeout=setTimeout((function(){return dt(e)}),t))}function dt(e,t){for(e.domObserver.forceFlush(),e.composing=!1;e.compositionNodes.length>0;)e.compositionNodes.pop().markParentsDirty();if(t||e.docView.dirty){var n=be(e);return n&&!n.eq(e.state.selection)?e.dispatch(e.state.tr.setSelection(n)):e.updateState(e.state),!0}return!1}Ge.compositionstart=Ge.compositionupdate=function(e){if(!e.composing){e.domObserver.flush();var t=e.state,n=t.selection.$from;if(t.selection.empty&&(t.storedMarks||!n.textOffset&&n.parentOffset&&n.nodeBefore.marks.some((function(e){return!1===e.type.spec.inclusive}))))e.markCursor=e.state.storedMarks||n.marks(),dt(e,!0),e.markCursor=null;else if(dt(e),a.gecko&&t.selection.empty&&n.parentOffset&&!n.textOffset&&n.nodeBefore.marks.length)for(var r=e.root.getSelection(),o=r.focusNode,i=r.focusOffset;o&&1==o.nodeType&&0!=i;){var s=i<0?o.lastChild:o.childNodes[i-1];if(!s)break;if(3==s.nodeType){r.collapse(s,s.nodeValue.length);break}o=s,i=-1}e.composing=!0}lt(e,ct)},Ge.compositionend=function(e,t){e.composing&&(e.composing=!1,e.compositionEndedAt=t.timeStamp,lt(e,20))};var ht=a.ie&&a.ie_version<15||a.ios&&a.webkit_version<604;function ft(e,t,n,r){var i=Ne(e,t,n,e.shiftKey,e.state.selection.$from);if(!e.someProp("handlePaste",(function(t){return t(e,r,i||o.Slice.empty)}))&&i){var a=function(e){return 0==e.openStart&&0==e.openEnd&&1==e.content.childCount?e.content.firstChild:null}(i),s=a?e.state.tr.replaceSelectionWith(a,e.shiftKey):e.state.tr.replaceSelection(i);e.dispatch(s.scrollIntoView().setMeta("paste",!0).setMeta("uiEvent","paste"))}}Je.copy=Ge.cut=function(e,t){var n=e.state.selection,r="cut"==t.type;if(!n.empty){var o=ht?null:t.clipboardData,i=Pe(e,n.content()),a=i.dom,s=i.text;o?(t.preventDefault(),o.clearData(),o.setData("text/html",a.innerHTML),o.setData("text/plain",s)):function(e,t){if(e.dom.parentNode){var n=e.dom.parentNode.appendChild(document.createElement("div"));n.appendChild(t),n.style.cssText="position: fixed; left: -10000px; top: 10px";var r=getSelection(),o=document.createRange();o.selectNodeContents(t),e.dom.blur(),r.removeAllRanges(),r.addRange(o),setTimeout((function(){n.parentNode&&n.parentNode.removeChild(n),e.focus()}),50)}}(e,a),r&&e.dispatch(e.state.tr.deleteSelection().scrollIntoView().setMeta("uiEvent","cut"))}},Ge.paste=function(e,t){var n=ht?null:t.clipboardData,r=n&&n.getData("text/html"),o=n&&n.getData("text/plain");n&&(r||o||n.files.length)?(ft(e,o,r,t),t.preventDefault()):function(e,t){if(e.dom.parentNode){var n=e.shiftKey||e.state.selection.$from.parent.type.spec.code,r=e.dom.parentNode.appendChild(document.createElement(n?"textarea":"div"));n||(r.contentEditable="true"),r.style.cssText="position: fixed; left: -10000px; top: 10px",r.focus(),setTimeout((function(){e.focus(),r.parentNode&&r.parentNode.removeChild(r),n?ft(e,r.value,null,t):ft(e,r.textContent,r.innerHTML,t)}),50)}}(e,t)};var pt=function(e,t){this.slice=e,this.move=t},mt=a.mac?"altKey":"ctrlKey";for(var _t in Je.dragstart=function(e,t){var n=e.mouseDown;if(n&&n.done(),t.dataTransfer){var o=e.state.selection,i=o.empty?null:e.posAtCoords(Qe(t));if(i&&i.pos>=o.from&&i.pos<=(o instanceof r.NodeSelection?o.to-1:o.to));else if(n&&n.mightDrag)e.dispatch(e.state.tr.setSelection(r.NodeSelection.create(e.state.doc,n.mightDrag.pos)));else if(t.target&&1==t.target.nodeType){var a=e.docView.nearestDesc(t.target,!0);if(!a||!a.node.type.spec.draggable||a==e.docView)return;e.dispatch(e.state.tr.setSelection(r.NodeSelection.create(e.state.doc,a.posBefore)))}var s=e.state.selection.content(),u=Pe(e,s),c=u.dom,l=u.text;t.dataTransfer.clearData(),t.dataTransfer.setData(ht?"Text":"text/html",c.innerHTML),ht||t.dataTransfer.setData("text/plain",l),e.dragging=new pt(s,!t[mt])}},Je.dragend=function(e){window.setTimeout((function(){return e.dragging=null}),50)},Ge.dragover=Ge.dragenter=function(e,t){return t.preventDefault()},Ge.drop=function(e,t){var n=e.dragging;if(e.dragging=null,t.dataTransfer){var a=e.posAtCoords(Qe(t));if(a){var s=e.state.doc.resolve(a.pos);if(s){var u=n&&n.slice||Ne(e,t.dataTransfer.getData(ht?"Text":"text/plain"),ht?null:t.dataTransfer.getData("text/html"),!1,s);if(e.someProp("handleDrop",(function(r){return r(e,t,u||o.Slice.empty,n&&n.move)})))t.preventDefault();else if(u){t.preventDefault();var c=u?Object(i.h)(e.state.doc,s.pos,u):s.pos;null==c&&(c=s.pos);var l=e.state.tr;n&&n.move&&l.deleteSelection();var d=l.mapping.map(c),h=0==u.openStart&&0==u.openEnd&&1==u.content.childCount,f=l.doc;if(h?l.replaceRangeWith(d,d,u.content.firstChild):l.replaceRange(d,d,u),!l.doc.eq(f)){var p=l.doc.resolve(d);h&&r.NodeSelection.isSelectable(u.content.firstChild)&&p.nodeAfter&&p.nodeAfter.sameMarkup(u.content.firstChild)?l.setSelection(new r.NodeSelection(p)):l.setSelection(Oe(e,p,l.doc.resolve(l.mapping.map(c)))),e.focus(),e.dispatch(l.setMeta("uiEvent","drop"))}}}}}},Je.focus=function(e){e.focused||(e.domObserver.stop(),e.dom.classList.add("ProseMirror-focused"),e.domObserver.start(),e.focused=!0,setTimeout((function(){e.docView&&e.hasFocus()&&!e.domObserver.currentSelection.eq(e.root.getSelection())&&we(e)}),20))},Je.blur=function(e){e.focused&&(e.domObserver.stop(),e.dom.classList.remove("ProseMirror-focused"),e.domObserver.start(),e.domObserver.currentSelection.set({}),e.focused=!1)},Je.beforeinput=function(e,t){if(a.chrome&&a.android&&"deleteContentBackward"==t.inputType){var n=e.domChangeCount;setTimeout((function(){if(e.domChangeCount==n&&(e.dom.blur(),e.focus(),!e.someProp("handleKeyDown",(function(t){return t(e,k(8,"Backspace"))})))){var t=e.state.selection.$cursor;t&&t.pos>0&&e.dispatch(e.state.tr.delete(t.pos-1,t.pos).scrollIntoView())}}),50)}},Ge)Je[_t]=Ge[_t];function gt(e,t){if(e==t)return!0;for(var n in e)if(e[n]!==t[n])return!1;for(var r in t)if(!(r in e))return!1;return!0}var yt=function(e,t){this.spec=t||Lt,this.side=this.spec.side||0,this.toDOM=e};yt.prototype.map=function(e,t,n,r){var o=e.mapResult(t.from+r,this.side<0?-1:1),i=o.pos;return o.deleted?null:new kt(i-n,i-n,this)},yt.prototype.valid=function(){return!0},yt.prototype.eq=function(e){return this==e||e instanceof yt&&(this.spec.key&&this.spec.key==e.spec.key||this.toDOM==e.toDOM&&gt(this.spec,e.spec))};var vt=function(e,t){this.spec=t||Lt,this.attrs=e};vt.prototype.map=function(e,t,n,r){var o=e.map(t.from+r,this.spec.inclusiveStart?-1:1)-n,i=e.map(t.to+r,this.spec.inclusiveEnd?1:-1)-n;return o>=i?null:new kt(o,i,this)},vt.prototype.valid=function(e,t){return t.from<t.to},vt.prototype.eq=function(e){return this==e||e instanceof vt&&gt(this.attrs,e.attrs)&&gt(this.spec,e.spec)},vt.is=function(e){return e.type instanceof vt};var Mt=function(e,t){this.spec=t||Lt,this.attrs=e};Mt.prototype.map=function(e,t,n,r){var o=e.mapResult(t.from+r,1);if(o.deleted)return null;var i=e.mapResult(t.to+r,-1);return i.deleted||i.pos<=o.pos?null:new kt(o.pos-n,i.pos-n,this)},Mt.prototype.valid=function(e,t){var n=e.content.findIndex(t.from),r=n.index,o=n.offset;return o==t.from&&o+e.child(r).nodeSize==t.to},Mt.prototype.eq=function(e){return this==e||e instanceof Mt&&gt(this.attrs,e.attrs)&&gt(this.spec,e.spec)};var kt=function(e,t,n){this.from=e,this.to=t,this.type=n},bt={spec:{configurable:!0}};kt.prototype.copy=function(e,t){return new kt(e,t,this.type)},kt.prototype.eq=function(e,t){return void 0===t&&(t=0),this.type.eq(e.type)&&this.from+t==e.from&&this.to+t==e.to},kt.prototype.map=function(e,t,n){return this.type.map(e,this,t,n)},kt.widget=function(e,t,n){return new kt(e,e,new yt(t,n))},kt.inline=function(e,t,n,r){return new kt(e,t,new vt(n,r))},kt.node=function(e,t,n,r){return new kt(e,t,new Mt(n,r))},bt.spec.get=function(){return this.type.spec},Object.defineProperties(kt.prototype,bt);var wt=[],Lt={},Tt=function(e,t){this.local=e&&e.length?e:wt,this.children=t&&t.length?t:wt};Tt.create=function(e,t){return t.length?Ct(t,e,0,Lt):Dt},Tt.prototype.find=function(e,t,n){var r=[];return this.findInner(null==e?0:e,null==t?1e9:t,r,0,n),r},Tt.prototype.findInner=function(e,t,n,r,o){for(var i=0;i<this.local.length;i++){var a=this.local[i];a.from<=t&&a.to>=e&&(!o||o(a.spec))&&n.push(a.copy(a.from+r,a.to+r))}for(var s=0;s<this.children.length;s+=3)if(this.children[s]<t&&this.children[s+1]>e){var u=this.children[s]+1;this.children[s+2].findInner(e-u,t-u,n,r+u,o)}},Tt.prototype.map=function(e,t,n){return this==Dt||0==e.maps.length?this:this.mapInner(e,t,0,0,n||Lt)},Tt.prototype.mapInner=function(e,t,n,r,o){for(var i,a=0;a<this.local.length;a++){var s=this.local[a].map(e,n,r);s&&s.type.valid(t,s)?(i||(i=[])).push(s):o.onRemove&&o.onRemove(this.local[a].spec)}return this.children.length?function(e,t,n,r,o,i,a){for(var s=e.slice(),u=function(e,t,n,r){for(var a=0;a<s.length;a+=3){var u=s[a+1],c=void 0;-1==u||e>u+i||(t>=s[a]+i?s[a+1]=-1:n>=o&&(c=r-n-(t-e))&&(s[a]+=c,s[a+1]+=c))}},c=0;c<n.maps.length;c++)n.maps[c].forEach(u);for(var l=!1,d=0;d<s.length;d+=3)if(-1==s[d+1]){var h=n.map(s[d]+i),f=h-o;if(f<0||f>=r.content.size){l=!0;continue}var p=n.map(e[d+1]+i,-1)-o,m=r.content.findIndex(f),_=m.index,g=m.offset,y=r.maybeChild(_);if(y&&g==f&&g+y.nodeSize==p){var v=s[d+2].mapInner(n,y,h+1,s[d]+i+1,a);v!=Dt?(s[d]=f,s[d+1]=p,s[d+2]=v):(s[d+1]=-2,l=!0)}else l=!0}if(l){var M=Ct(function(e,t,n,r,o,i,a){function s(e,t){for(var i=0;i<e.local.length;i++){var u=e.local[i].map(r,o,t);u?n.push(u):a.onRemove&&a.onRemove(e.local[i].spec)}for(var c=0;c<e.children.length;c+=3)s(e.children[c+2],e.children[c]+t+1)}for(var u=0;u<e.length;u+=3)-1==e[u+1]&&s(e[u+2],t[u]+i+1);return n}(s,e,t||[],n,o,i,a),r,0,a);t=M.local;for(var k=0;k<s.length;k+=3)s[k+1]<0&&(s.splice(k,3),k-=3);for(var b=0,w=0;b<M.children.length;b+=3){for(var L=M.children[b];w<s.length&&s[w]<L;)w+=3;s.splice(w,0,M.children[b],M.children[b+1],M.children[b+2])}}return new Tt(t&&t.sort(Et),s)}(this.children,i,e,t,n,r,o):i?new Tt(i.sort(Et)):Dt},Tt.prototype.add=function(e,t){return t.length?this==Dt?Tt.create(e,t):this.addInner(e,t,0):this},Tt.prototype.addInner=function(e,t,n){var r,o=this,i=0;e.forEach((function(e,a){var s,u=a+n;if(s=Yt(t,e,u)){for(r||(r=o.children.slice());i<r.length&&r[i]<a;)i+=3;r[i]==a?r[i+2]=r[i+2].addInner(e,s,u+1):r.splice(i,0,a,a+e.nodeSize,Ct(s,e,u+1,Lt)),i+=3}}));var a=St(i?Ot(t):t,-n);return new Tt(a.length?this.local.concat(a).sort(Et):this.local,r||this.children)},Tt.prototype.remove=function(e){return 0==e.length||this==Dt?this:this.removeInner(e,0)},Tt.prototype.removeInner=function(e,t){for(var n=this.children,r=this.local,o=0;o<n.length;o+=3){for(var i=void 0,a=n[o]+t,s=n[o+1]+t,u=0,c=void 0;u<e.length;u++)(c=e[u])&&c.from>a&&c.to<s&&(e[u]=null,(i||(i=[])).push(c));if(i){n==this.children&&(n=this.children.slice());var l=n[o+2].removeInner(i,a+1);l!=Dt?n[o+2]=l:(n.splice(o,3),o-=3)}}if(r.length)for(var d=0,h=void 0;d<e.length;d++)if(h=e[d])for(var f=0;f<r.length;f++)r[f].eq(h,t)&&(r==this.local&&(r=this.local.slice()),r.splice(f--,1));return n==this.children&&r==this.local?this:r.length||n.length?new Tt(r,n):Dt},Tt.prototype.forChild=function(e,t){if(this==Dt)return this;if(t.isLeaf)return Tt.empty;for(var n,r,o=0;o<this.children.length;o+=3)if(this.children[o]>=e){this.children[o]==e&&(n=this.children[o+2]);break}for(var i=e+1,a=i+t.content.size,s=0;s<this.local.length;s++){var u=this.local[s];if(u.from<a&&u.to>i&&u.type instanceof vt){var c=Math.max(i,u.from)-i,l=Math.min(a,u.to)-i;c<l&&(r||(r=[])).push(u.copy(c,l))}}if(r){var d=new Tt(r.sort(Et));return n?new xt([d,n]):d}return n||Dt},Tt.prototype.eq=function(e){if(this==e)return!0;if(!(e instanceof Tt)||this.local.length!=e.local.length||this.children.length!=e.children.length)return!1;for(var t=0;t<this.local.length;t++)if(!this.local[t].eq(e.local[t]))return!1;for(var n=0;n<this.children.length;n+=3)if(this.children[n]!=e.children[n]||this.children[n+1]!=e.children[n+1]||!this.children[n+2].eq(e.children[n+2]))return!1;return!0},Tt.prototype.locals=function(e){return At(this.localsInner(e))},Tt.prototype.localsInner=function(e){if(this==Dt)return wt;if(e.inlineContent||!this.local.some(vt.is))return this.local;for(var t=[],n=0;n<this.local.length;n++)this.local[n].type instanceof vt||t.push(this.local[n]);return t};var Dt=new Tt;Tt.empty=Dt,Tt.removeOverlap=At;var xt=function(e){this.members=e};function St(e,t){if(!t||!e.length)return e;for(var n=[],r=0;r<e.length;r++){var o=e[r];n.push(new kt(o.from+t,o.to+t,o.type))}return n}function Yt(e,t,n){if(t.isLeaf)return null;for(var r=n+t.nodeSize,o=null,i=0,a=void 0;i<e.length;i++)(a=e[i])&&a.from>n&&a.to<r&&((o||(o=[])).push(a),e[i]=null);return o}function Ot(e){for(var t=[],n=0;n<e.length;n++)null!=e[n]&&t.push(e[n]);return t}function Ct(e,t,n,r){var o=[],i=!1;t.forEach((function(t,a){var s=Yt(e,t,a+n);if(s){i=!0;var u=Ct(s,t,n+a+1,r);u!=Dt&&o.push(a,a+t.nodeSize,u)}}));for(var a=St(i?Ot(e):e,-n).sort(Et),s=0;s<a.length;s++)a[s].type.valid(t,a[s])||(r.onRemove&&r.onRemove(a[s].spec),a.splice(s--,1));return a.length||o.length?new Tt(a,o):Dt}function Et(e,t){return e.from-t.from||e.to-t.to}function At(e){for(var t=e,n=0;n<t.length-1;n++){var r=t[n];if(r.from!=r.to)for(var o=n+1;o<t.length;o++){var i=t[o];if(i.from!=r.from){i.from<r.to&&(t==e&&(t=e.slice()),t[n]=r.copy(r.from,i.from),jt(t,o,r.copy(i.from,r.to)));break}i.to!=r.to&&(t==e&&(t=e.slice()),t[o]=i.copy(i.from,r.to),jt(t,o+1,i.copy(r.to,i.to)))}}return t}function jt(e,t,n){for(;t<e.length&&Et(n,e[t])>0;)t++;e.splice(t,0,n)}function Ht(e){var t=[];return e.someProp("decorations",(function(n){var r=n(e.state);r&&r!=Dt&&t.push(r)})),e.cursorWrapper&&t.push(Tt.create(e.state.doc,[e.cursorWrapper.deco])),xt.from(t)}xt.prototype.forChild=function(e,t){if(t.isLeaf)return Tt.empty;for(var n=[],r=0;r<this.members.length;r++){var o=this.members[r].forChild(e,t);o!=Dt&&(o instanceof xt?n=n.concat(o.members):n.push(o))}return xt.from(n)},xt.prototype.eq=function(e){if(!(e instanceof xt)||e.members.length!=this.members.length)return!1;for(var t=0;t<this.members.length;t++)if(!this.members[t].eq(e.members[t]))return!1;return!0},xt.prototype.locals=function(e){for(var t,n=!0,r=0;r<this.members.length;r++){var o=this.members[r].localsInner(e);if(o.length)if(t){n&&(t=t.slice(),n=!1);for(var i=0;i<o.length;i++)t.push(o[i])}else t=o}return t?At(n?t:t.sort(Et)):wt},xt.from=function(e){switch(e.length){case 0:return Dt;case 1:return e[0];default:return new xt(e)}};var Pt=function(e,t){this._props=t,this.state=t.state,this.dispatch=this.dispatch.bind(this),this._root=null,this.focused=!1,this.trackWrites=null,this.dom=e&&e.mount||document.createElement("div"),e&&(e.appendChild?e.appendChild(this.dom):e.apply?e(this.dom):e.mount&&(this.mounted=!0)),this.editable=It(this),this.markCursor=null,this.cursorWrapper=null,Ft(this),this.nodeViews=zt(this),this.docView=J(this.state.doc,Rt(this),Ht(this),this.dom,this),this.lastSelectedViewDesc=null,this.dragging=null,function(e){e.shiftKey=!1,e.mouseDown=null,e.lastKeyCode=null,e.lastKeyCodeTime=0,e.lastClick={time:0,x:0,y:0,type:""},e.lastSelectionOrigin=null,e.lastSelectionTime=0,e.lastIOSEnter=0,e.lastIOSEnterFallbackTimeout=null,e.composing=!1,e.composingTimeout=null,e.compositionNodes=[],e.compositionEndedAt=-2e8,e.domObserver=new Ue(e,(function(t,n,r,o){return Ae(e,t,n,r,o)})),e.domObserver.start(),e.domChangeCount=0,e.eventHandlers=Object.create(null);var t=function(t){var n=Je[t];e.dom.addEventListener(t,e.eventHandlers[t]=function(t){!function(e,t){if(!t.bubbles)return!0;if(t.defaultPrevented)return!1;for(var n=t.target;n!=e.dom;n=n.parentNode)if(!n||11==n.nodeType||n.pmViewDesc&&n.pmViewDesc.stopEvent(t))return!1;return!0}(e,t)||Xe(e,t)||!e.editable&&t.type in Ge||n(e,t)})};for(var n in Je)t(n);a.safari&&e.dom.addEventListener("input",(function(){return null})),Ze(e)}(this),this.pluginViews=[],this.updatePluginViews()},Nt={props:{configurable:!0},root:{configurable:!0}};function Rt(e){var t=Object.create(null);return t.class="ProseMirror",t.contenteditable=String(e.editable),e.someProp("attributes",(function(n){if("function"==typeof n&&(n=n(e.state)),n)for(var r in n)"class"==r?t.class+=" "+n[r]:t[r]||"contenteditable"==r||"nodeName"==r||(t[r]=String(n[r]))})),[kt.node(0,e.state.doc.content.size,t)]}function Ft(e){if(e.markCursor){var t=document.createElement("img");t.setAttribute("mark-placeholder","true"),e.cursorWrapper={dom:t,deco:kt.widget(e.state.selection.head,t,{raw:!0,marks:e.markCursor})}}else e.cursorWrapper=null}function It(e){return!e.someProp("editable",(function(t){return!1===t(e.state)}))}function zt(e){var t={};return e.someProp("nodeViews",(function(e){for(var n in e)Object.prototype.hasOwnProperty.call(t,n)||(t[n]=e[n])})),t}Nt.props.get=function(){if(this._props.state!=this.state){var e=this._props;for(var t in this._props={},e)this._props[t]=e[t];this._props.state=this.state}return this._props},Pt.prototype.update=function(e){e.handleDOMEvents!=this._props.handleDOMEvents&&Ze(this),this._props=e,this.updateStateInner(e.state,!0)},Pt.prototype.setProps=function(e){var t={};for(var n in this._props)t[n]=this._props[n];for(var r in t.state=this.state,e)t[r]=e[r];this.update(t)},Pt.prototype.updateState=function(e){this.updateStateInner(e,this.state.plugins!=e.plugins)},Pt.prototype.updateStateInner=function(e,t){var n=this,o=this.state,i=!1;if(this.state=e,t){var s=zt(this);(function(e,t){var n=0,r=0;for(var o in e){if(e[o]!=t[o])return!0;n++}for(var i in t)r++;return n!=r})(s,this.nodeViews)&&(this.nodeViews=s,i=!0),Ze(this)}this.editable=It(this),Ft(this);var u,c,l,d,h,f,p,_,g,y,v,M=Ht(this),k=Rt(this),b=t?"reset":e.scrollToSelection>o.scrollToSelection?"to selection":"preserve",w=i||!this.docView.matchesNode(e.doc,k,M),L=w||!e.selection.eq(o.selection),S="preserve"==b&&L&&null==this.dom.style.overflowAnchor&&function(e){for(var t,n,r=e.dom.getBoundingClientRect(),o=Math.max(0,r.top),i=(r.left+r.right)/2,a=o+1;a<Math.min(innerHeight,r.bottom);a+=5){var s=e.root.elementFromPoint(i,a);if(s!=e.dom&&e.dom.contains(s)){var u=s.getBoundingClientRect();if(u.top>=o-20){t=s,n=u.top;break}}}return{refDOM:t,refTop:n,stack:D(e.dom)}}(this);if(L){this.domObserver.stop();var Y=w&&(a.ie||a.chrome)&&!this.composing&&!o.selection.empty&&!e.selection.empty&&(d=o.selection,h=e.selection,f=Math.min(d.$anchor.sharedDepth(d.head),h.$anchor.sharedDepth(h.head)),d.$anchor.start(f)!=h.$anchor.start(f));if(w){var O=a.chrome?this.trackWrites=this.root.getSelection().focusNode:null;!i&&this.docView.update(e.doc,k,M,this)||(this.docView.updateOuterDeco([]),this.docView.destroy(),this.docView=J(e.doc,k,M,this.dom,this)),O&&!this.trackWrites&&(Y=!0)}Y||!(this.mouseDown&&this.domObserver.currentSelection.eq(this.root.getSelection())&&(u=this,c=u.docView.domFromPos(u.state.selection.anchor),l=u.root.getSelection(),m(c.node,c.offset,l.anchorNode,l.anchorOffset)))?we(this,Y):(Se(this,e.selection),this.domObserver.setCurSelection()),this.domObserver.start()}if(this.updatePluginViews(o),"reset"==b)this.dom.scrollTop=0;else if("to selection"==b){var C=this.root.getSelection().focusNode;this.someProp("handleScrollToSelection",(function(e){return e(n)}))||(e.selection instanceof r.NodeSelection?T(this,this.docView.domAfterPos(e.selection.from).getBoundingClientRect(),C):T(this,this.coordsAtPos(e.selection.head),C))}else S&&(_=(p=S).refDOM,g=p.refTop,y=p.stack,v=_?_.getBoundingClientRect().top:0,x(y,0==v?0:v-g))},Pt.prototype.destroyPluginViews=function(){for(var e;e=this.pluginViews.pop();)e.destroy&&e.destroy()},Pt.prototype.updatePluginViews=function(e){if(e&&e.plugins==this.state.plugins)for(var t=0;t<this.pluginViews.length;t++){var n=this.pluginViews[t];n.update&&n.update(this,e)}else{this.destroyPluginViews();for(var r=0;r<this.state.plugins.length;r++){var o=this.state.plugins[r];o.spec.view&&this.pluginViews.push(o.spec.view(this))}}},Pt.prototype.someProp=function(e,t){var n,r=this._props&&this._props[e];if(null!=r&&(n=t?t(r):r))return n;var o=this.state.plugins;if(o)for(var i=0;i<o.length;i++){var a=o[i].props[e];if(null!=a&&(n=t?t(a):a))return n}},Pt.prototype.hasFocus=function(){return this.root.activeElement==this.dom},Pt.prototype.focus=function(){this.domObserver.stop(),this.editable&&function(e){if(e.setActive)return e.setActive();if(S)return e.focus(S);var t=D(e);e.focus(null==S?{get preventScroll(){return S={preventScroll:!0},!0}}:void 0),S||(S=!1,x(t,0))}(this.dom),we(this),this.domObserver.start()},Nt.root.get=function(){var e=this._root;if(null==e)for(var t=this.dom.parentNode;t;t=t.parentNode)if(9==t.nodeType||11==t.nodeType&&t.host)return t.getSelection||(Object.getPrototypeOf(t).getSelection=function(){return document.getSelection()}),this._root=t;return e||document},Pt.prototype.posAtCoords=function(e){return C(this,e)},Pt.prototype.coordsAtPos=function(e){return A(this,e)},Pt.prototype.domAtPos=function(e){return this.docView.domFromPos(e)},Pt.prototype.nodeDOM=function(e){var t=this.docView.descAt(e);return t?t.nodeDOM:null},Pt.prototype.posAtDOM=function(e,t,n){void 0===n&&(n=-1);var r=this.docView.posFromDOM(e,t,n);if(null==r)throw new RangeError("DOM position not inside the editor");return r},Pt.prototype.endOfTextblock=function(e,t){return I(this,t||this.state,e)},Pt.prototype.destroy=function(){this.docView&&(!function(e){for(var t in e.domObserver.stop(),e.eventHandlers)e.dom.removeEventListener(t,e.eventHandlers[t]);clearTimeout(e.composingTimeout),clearTimeout(e.lastIOSEnterFallbackTimeout)}(this),this.destroyPluginViews(),this.mounted?(this.docView.update(this.state.doc,[],Ht(this),this),this.dom.textContent=""):this.dom.parentNode&&this.dom.parentNode.removeChild(this.dom),this.docView.destroy(),this.docView=null)},Pt.prototype.dispatchEvent=function(e){return function(e,t){Xe(e,t)||!Je[t.type]||!e.editable&&t.type in Ge||Je[t.type](e,t)}(this,e)},Pt.prototype.dispatch=function(e){var t=this._props.dispatchTransaction;t?t.call(this,e):this.updateState(this.state.apply(e))},Object.defineProperties(Pt.prototype,Nt)},function(e,t,n){"use strict";n.d(t,"a",(function(){return c})),n.d(t,"b",(function(){return d})),n.d(t,"c",(function(){return l})),n.d(t,"d",(function(){return h})),n.d(t,"e",(function(){return f})),n.d(t,"f",(function(){return p}));var r=n(192);
/*!
- * tiptap-utils v1.9.0
+ * tiptap-utils v1.10.0
* (c) 2020 Scrumpy UG (limited liability)
* @license MIT
*/
-function o(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function i(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function a(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?i(Object(n),!0).forEach((function(t){o(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):i(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function s(e){return function(e){if(Array.isArray(e))return u(e)}(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||function(e,t){if(!e)return;if("string"==typeof e)return u(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);"Object"===n&&e.constructor&&(n=e.constructor.name);if("Map"===n||"Set"===n)return Array.from(n);if("Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n))return u(e,t)}(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function u(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}function c(e,t){var n=e.selection,r=n.from,o=n.to,i=[];e.doc.nodesBetween(r,o,(function(e){i=[].concat(s(i),s(e.marks))}));var a=i.find((function(e){return e.type.name===t.name}));return a?a.attrs:{}}function l(e,t){var n=e.selection,r=n.from,o=n.to,i=[];e.doc.nodesBetween(r,o,(function(e){i=[].concat(s(i),[e])}));var a=i.reverse().find((function(e){return e.type.name===t.name}));return a?a.attrs:{}}function d(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null,t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:null;if(!e||!t)return!1;var n=e.parent.childAfter(e.parentOffset);if(!n.node)return!1;var r=n.node.marks.find((function(e){return e.type===t}));if(!r)return!1;for(var o=e.index(),i=e.start()+n.offset,a=o+1,s=i+n.node.nodeSize;o>0&&r.isInSet(e.parent.child(o-1).marks);)o-=1,i-=e.parent.child(o).nodeSize;for(;a<e.parent.childCount&&r.isInSet(e.parent.child(a).marks);)s+=e.parent.child(a).nodeSize,a+=1;return{from:i,to:s}}function h(e,t){var n=e.selection,r=n.from,o=n.$from,i=n.to;return n.empty?!!t.isInSet(e.storedMarks||o.marks()):!!e.doc.rangeHasMark(r,i,t)}function f(e){var t=e.types,n=e.node;return Array.isArray(t)&&t.includes(n.type)||n.type===t}function p(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},o=function(e){return e.type===t},i=Object(r.findSelectedNodeOfType)(t)(e.selection)||Object(r.findParentNode)(o)(e.selection);return Object.keys(n).length&&i?i.node.hasMarkup(t,a({},i.node.attrs,{},n)):!!i}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=n(181),o=n(182),i=n(352),a=function(e,t){return function(n){Array.isArray(e)||(e=[e]);for(var r=0,o=e.length;r<o;r++){var i=E(e[r])(n.selection);if(i){var a=m(i.pos,t)(n);if(a!==n)return a}}return n}},s=function(e){return function(t){if(h(t.selection)){var n=t.selection,i=n.$from,a=n.$to;if(e instanceof o.Fragment&&i.parent.canReplace(i.index(),i.indexAfter(),e)||i.parent.canReplaceWith(i.index(),i.indexAfter(),e.type))return p(t.replaceWith(i.pos,a.pos,e).setSelection(new r.NodeSelection(t.doc.resolve(i.pos))))}return t}},u=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:1;return function(n){var o=r.Selection.findFrom(n.doc.resolve(e),t,!0);return o?n.setSelection(o):n}},c=function(e){return e.type&&e.type.spec.selectable},l=function(e,t,n){return function(e){return c(e)&&e.type.isLeaf}(e)?n.setSelection(new r.NodeSelection(n.doc.resolve(t))):u(t)(n)},d=function(e,t,n){return function(r){var o="number"==typeof t,i=r.selection.$from,u=o?r.doc.resolve(t):h(r.selection)?r.doc.resolve(i.pos+1):i,d=u.parent;if(h(r.selection)&&n&&r!==(r=s(e)(r)))return r;if(M(d)&&r!==(r=a(d.type,e)(r))){var f=c(e)?u.before(u.depth):u.pos;return l(e,f,r)}if(v(u,e)){r.insert(u.pos,e);var m=o?u.pos:c(e)?r.selection.$anchor.pos-1:r.selection.$anchor.pos;return p(l(e,m,r))}for(var _=u.depth;_>0;_--){var g=u.after(_),y=r.doc.resolve(g);if(v(y,e))return r.insert(g,e),p(l(e,g,r))}return r}},h=function(e){return e instanceof r.NodeSelection},f=function(e,t){return Array.isArray(e)&&e.indexOf(t.type)>-1||t.type===e},p=function(e){return Object.assign(Object.create(e),e).setTime(Date.now())},m=function(e,t){return function(n){var r=n.doc.nodeAt(e),o=n.doc.resolve(e);if(_(o,t)){var i=(n=n.replaceWith(e,e+r.nodeSize,t)).selection.$from.pos-1;return n=u(Math.max(i,0),-1)(n),n=u(n.selection.$from.start())(n),p(n)}return n}},_=function(e,t){var n=e.node(e.depth);return n&&n.type.validContent(t instanceof o.Fragment?t:o.Fragment.from(t))},g=function(e){return function(t){var n=t.doc.nodeAt(e);return p(t.delete(e,e+n.nodeSize))}},y=function(e){if(e.cached.tableNodeTypes)return e.cached.tableNodeTypes;var t={};return Object.keys(e.nodes).forEach((function(n){var r=e.nodes[n];r.spec.tableRole&&(t[r.spec.tableRole]=r)})),e.cached.tableNodeTypes=t,t},v=function(e,t){var n=e.index();return t instanceof o.Fragment?e.parent.canReplace(n,n,t):t instanceof o.Node&&e.parent.canReplaceWith(n,n,t.type)},M=function(e){return!e||"paragraph"===e.type.name&&2===e.nodeSize},k=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:null;return t?e.createChecked(null,t):e.createAndFill()},b=function(e){return function(t){for(var n=i.TableMap.get(t.$anchorCell.node(-1)),r=t.$anchorCell.start(-1),o=n.cellsInRect(e),a=n.cellsInRect(n.rectBetween(t.$anchorCell.pos-r,t.$headCell.pos-r)),s=0,u=o.length;s<u;s++)if(-1===a.indexOf(o[s]))return!1;return!0}},w=function(e){return e[0].map((function(t,n){return e.map((function(e){return e[n]}))}))},L=function(e){for(var t=i.TableMap.get(e),n=[],r=0;r<t.height;r++){for(var o=[],a={},s=0;s<t.width;s++){var u=t.map[r*t.width+s],c=e.nodeAt(u),l=t.findCell(u);a[u]||l.top!==r?o.push(null):(a[u]=!0,o.push(c))}n.push(o)}return n},T=function(e,t){for(var n=[],r=i.TableMap.get(e),o=0;o<r.height;o++){for(var a=e.child(o),s=[],u=0;u<r.width;u++)if(t[o][u]){var c=r.map[o*r.width+u],l=t[o][u],d=e.nodeAt(c).type.createChecked(Object.assign({},l.attrs),l.content,l.marks);s.push(d)}n.push(a.type.createChecked(a.attrs,s,a.marks))}return e.type.createChecked(e.attrs,n,e.marks)},D=function(e,t,n,r){var o=t[0]>n[0]?-1:1,i=e.splice(t[0],t.length),a=i.length%2==0?1:0,s=void 0;return s=-1===r&&1===o?n[0]-1:1===r&&-1===o?n[n.length-1]-a+1:-1===o?n[0]:n[n.length-1]-a,e.splice.apply(e,[s,0].concat(i)),e},x=function(e,t,n,r){var o="Target position is invalid, you can't move the "+r+" "+e+" to "+t+", the target can't be split. You could use tryToFit option.";if(1===(e>t?-1:1)){if(-1!==n.slice(0,n.length-1).indexOf(t))throw new Error(o)}else if(-1!==n.slice(1).indexOf(t))throw new Error(o);return!0},S=function(e){return function(t){var n=t.$from;return Y(n,e)}},Y=function(e,t){for(var n=e.depth;n>0;n--){var r=e.node(n);if(t(r))return{pos:n>0?e.before(n):0,start:e.start(n),depth:n,node:r}}},O=function(e,t){return function(n){var r=S(e)(n);if(r)return j(r.pos,t)}},C=function(e){return function(t){return!!S(e)(t)}},E=function(e){return function(t){return S((function(t){return f(e,t)}))(t)}},A=function(e){var t=e.$from.nodeBefore,n=r.Selection.findFrom(e.$from,-1);if(n&&t){var o=E(t.type)(n);return o?o.pos:n.$from.pos}},j=function(e,t){var n=t(e),r=n.node.childNodes[n.offset];return n.node.nodeType===Node.TEXT_NODE?n.node.parentNode:r&&r.nodeType!==Node.TEXT_NODE?r:n.node},H=function(e){var t=!(arguments.length>1&&void 0!==arguments[1])||arguments[1];if(!e)throw new Error('Invalid "node" parameter');var n=[];return e.descendants((function(e,r){if(n.push({node:e,pos:r}),!t)return!1})),n},P=function(e,t,n){if(!e)throw new Error('Invalid "node" parameter');if(!t)throw new Error('Invalid "predicate" parameter');return H(e,n).filter((function(e){return t(e.node)}))},N=function(e,t,n){return P(e,(function(e){return e.type===t}),n)};var R=function(e){return S((function(e){return e.type.spec.tableRole&&"table"===e.type.spec.tableRole}))(e)},F=function(e){return e instanceof i.CellSelection},I=function(e){if(F(e)){var t=i.TableMap.get(e.$anchorCell.node(-1));return b({left:0,right:t.width,top:0,bottom:t.height})(e)}return!1},z=function(e){return function(t){var n=R(t);if(n){var r=i.TableMap.get(n.node);return(Array.isArray(e)?e:Array.from([e])).reduce((function(e,t){if(t>=0&&t<=r.width-1){var o=r.cellsInRect({left:t,right:t+1,top:0,bottom:r.height});return e.concat(o.map((function(e){var t=n.node.nodeAt(e),r=e+n.start;return{pos:r,start:r+1,node:t}})))}}),[])}}},W=function(e){return function(t){var n=R(t);if(n){var r=i.TableMap.get(n.node);return(Array.isArray(e)?e:Array.from([e])).reduce((function(e,t){if(t>=0&&t<=r.height-1){var o=r.cellsInRect({left:0,right:r.width,top:t,bottom:t+1});return e.concat(o.map((function(e){var t=n.node.nodeAt(e),r=e+n.start;return{pos:r,start:r+1,node:t}})))}}),[])}}},$=function(e){return function(t,n){return function(r){var o=R(r.selection),a="row"===e;if(o){var s=i.TableMap.get(o.node);if(t>=0&&t<(a?s.height:s.width)){var u=a?0:t,c=a?t:0,l=a?s.width:t+1,d=a?t+1:s.height;if(n){var h=Z(r.selection.$from);if(!h)return r;var f=s.findCell(h.pos-o.start);a?(c=Math.min(c,f.top),d=Math.max(d,f.bottom)):(u=Math.min(u,f.left),l=Math.max(l,f.right))}var m=s.cellsInRect({left:u,top:c,right:a?l:u+1,bottom:a?c+1:d}),_=d-c==1?m:s.cellsInRect({left:a?u:l-1,top:a?d-1:c,right:l,bottom:d}),g=o.start+m[0],y=o.start+_[_.length-1],v=r.doc.resolve(g),M=r.doc.resolve(y);return p(r.setSelection(new i.CellSelection(M,v)))}}return r}}},B=$("column"),q=$("row"),U=function(e){return function(t){var n=R(t.selection);if(n){var r=i.TableMap.get(n.node);if(e>=0&&e<=r.height){for(var o=n.node,a=y(o.type.schema),s=n.start,u=0;u<e+1;u++)s+=o.child(u).nodeSize;var c=o.child(e),l=[],h=0;if(c.forEach((function(e){1===e.attrs.rowspan&&(h+=e.attrs.colspan,l.push(a[e.type.spec.tableRole].createAndFill(e.attrs,e.marks)))})),h<r.width){for(var f=[],p=function(n){var r=Q(n,(function(t,r){var o=t.node.attrs.rowspan;return o>1&&n+o>e}))(t);f.push.apply(f,function(e){if(Array.isArray(e)){for(var t=0,n=Array(e.length);t<e.length;t++)n[t]=e[t];return n}return Array.from(e)}(r))},m=e;m>=0;m--)p(m);f.length&&f.forEach((function(e){t=K(e,{rowspan:e.node.attrs.rowspan+1})(t)}))}return d(a.row.create(c.attrs,l),s)(t)}}return t}},V=function(e){return function(t){var n=R(t.selection);if(n){var r=i.TableMap.get(n.node);if(0===e&&1===r.width)return G(t);if(e>=0&&e<=r.width)return i.removeColumn(t,{map:r,tableStart:n.start,table:n.node},e),p(t)}return t}},J=function(e){return function(t){var n=R(t.selection);if(n){var r=i.TableMap.get(n.node);if(0===e&&1===r.height)return G(t);if(e>=0&&e<=r.height)return i.removeRow(t,{map:r,tableStart:n.start,table:n.node},e),p(t)}return t}},G=function(e){for(var t=e.selection.$from,n=t.depth;n>0;n--){if("table"===t.node(n).type.spec.tableRole)return p(e.delete(t.before(n),t.after(n)))}return e},K=function(e,t){return function(n){return e?(n.setNodeMarkup(e.pos,null,Object.assign({},e.node.attrs,t)),p(n)):n}},Z=function(e){return Y(e,(function(e){return e.type.spec.tableRole&&/cell/i.test(e.type.spec.tableRole)}))},X=function(e){var t=Z(e);if(t){var n=function(e){return Y(e,(function(e){return e.type.spec.tableRole&&/table/i.test(e.type.spec.tableRole)}))}(e),r=i.TableMap.get(n.node),o=t.pos-n.start;return r.rectBetween(o,o)}},Q=function(e,t){return function(n){var r=[],o=W(e)(n.selection);if(o)for(var i=o.length-1;i>=0;i--)t(o[i],n)&&r.push(o[i]);return r}},ee=function(e){return function(t){for(var n=e,r=e,o=function(e){var o=z(e)(t.selection);o&&o.forEach((function(t){var o=t.node.attrs.colspan+e-1;o>=n&&(n=e),o>r&&(r=o)}))},i=e;i>=0;i--)o(i);var a=function(e){var n=z(e)(t.selection);n&&n.forEach((function(t){var n=t.node.attrs.colspan+e-1;t.node.attrs.colspan>1&&n>r&&(r=n)}))};for(i=e;i<=r;i++)a(i);var s=[];for(i=n;i<=r;i++){var u=z(i)(t.selection);u&&u.length&&s.push(i)}n=s[0],r=s[s.length-1];for(var c=z(n)(t.selection),l=W(0)(t.selection),d=t.doc.resolve(c[c.length-1].pos),h=void 0,f=r;f>=n;f--){var p=z(f)(t.selection);if(p&&p.length){for(var m=l.length-1;m>=0;m--)if(l[m].pos===p[0].pos){h=p[0];break}if(h)break}}return{$anchor:d,$head:t.doc.resolve(h.pos),indexes:s}}},te=function(e){return function(t){for(var n=e,r=e,o=function(e){W(e)(t.selection).forEach((function(t){var o=t.node.attrs.rowspan+e-1;o>=n&&(n=e),o>r&&(r=o)}))},i=e;i>=0;i--)o(i);var a=function(e){W(e)(t.selection).forEach((function(t){var n=t.node.attrs.rowspan+e-1;t.node.attrs.rowspan>1&&n>r&&(r=n)}))};for(i=e;i<=r;i++)a(i);var s=[];for(i=n;i<=r;i++){var u=W(i)(t.selection);u&&u.length&&s.push(i)}n=s[0],r=s[s.length-1];for(var c=W(n)(t.selection),l=z(0)(t.selection),d=t.doc.resolve(c[c.length-1].pos),h=void 0,f=r;f>=n;f--){var p=W(f)(t.selection);if(p&&p.length){for(var m=l.length-1;m>=0;m--)if(l[m].pos===p[0].pos){h=p[0];break}if(h)break}}return{$anchor:d,$head:t.doc.resolve(h.pos),indexes:s}}};t.isNodeSelection=h,t.canInsert=v,t.convertTableNodeToArrayOfRows=L,t.convertArrayOfRowsToTableNode=T,t.findParentNode=S,t.findParentNodeClosestToPos=Y,t.findParentDomRef=O,t.hasParentNode=C,t.findParentNodeOfType=E,t.findParentNodeOfTypeClosestToPos=function(e,t){return Y(e,(function(e){return f(t,e)}))},t.hasParentNodeOfType=function(e){return function(t){return C((function(t){return f(e,t)}))(t)}},t.findParentDomRefOfType=function(e,t){return function(n){return O((function(t){return f(e,t)}),t)(n)}},t.findSelectedNodeOfType=function(e){return function(t){if(h(t)){var n=t.node,r=t.$from;if(f(e,n))return{node:n,pos:r.pos,depth:r.depth}}}},t.findPositionOfNodeBefore=A,t.findDomRefAtPos=j,t.flatten=H,t.findChildren=P,t.findTextNodes=function(e,t){return P(e,(function(e){return e.isText}),t)},t.findInlineNodes=function(e,t){return P(e,(function(e){return e.isInline}),t)},t.findBlockNodes=function(e,t){return P(e,(function(e){return e.isBlock}),t)},t.findChildrenByAttr=function(e,t,n){return P(e,(function(e){return!!t(e.attrs)}),n)},t.findChildrenByType=N,t.findChildrenByMark=function(e,t,n){return P(e,(function(e){return t.isInSet(e.marks)}),n)},t.contains=function(e,t){return!!N(e,t).length},t.findTable=R,t.isCellSelection=F,t.getSelectionRect=function(e){if(F(e)){var t=e.$anchorCell.start(-1);return i.TableMap.get(e.$anchorCell.node(-1)).rectBetween(e.$anchorCell.pos-t,e.$headCell.pos-t)}},t.isColumnSelected=function(e){return function(t){if(F(t)){var n=i.TableMap.get(t.$anchorCell.node(-1));return b({left:e,right:e+1,top:0,bottom:n.height})(t)}return!1}},t.isRowSelected=function(e){return function(t){if(F(t)){var n=i.TableMap.get(t.$anchorCell.node(-1));return b({left:0,right:n.width,top:e,bottom:e+1})(t)}return!1}},t.isTableSelected=I,t.getCellsInColumn=z,t.getCellsInRow=W,t.getCellsInTable=function(e){var t=R(e);if(t){var n=i.TableMap.get(t.node);return n.cellsInRect({left:0,right:n.width,top:0,bottom:n.height}).map((function(e){var n=t.node.nodeAt(e),r=e+t.start;return{pos:r,start:r+1,node:n}}))}},t.selectColumn=B,t.selectRow=q,t.selectTable=function(e){var t=R(e.selection);if(t){var n=i.TableMap.get(t.node).map;if(n&&n.length){var r=t.start+n[0],o=t.start+n[n.length-1],a=e.doc.resolve(r),s=e.doc.resolve(o);return p(e.setSelection(new i.CellSelection(s,a)))}}return e},t.emptyCell=function(e,t){return function(n){if(e){var r=y(t).cell.createAndFill().content;if(!e.node.content.eq(r))return n.replaceWith(e.pos+1,e.pos+e.node.nodeSize,r),p(n)}return n}},t.addColumnAt=function(e){return function(t){var n=R(t.selection);if(n){var r=i.TableMap.get(n.node);if(e>=0&&e<=r.width)return p(i.addColumn(t,{map:r,tableStart:n.start,table:n.node},e))}return t}},t.moveRow=function(e,t,n){return function(r){var o=Object.assign({tryToFit:!1,direction:0},n),i=R(r.selection);if(!i)return r;var a=te(e)(r).indexes,s=te(t)(r).indexes;if(a.indexOf(t)>-1)return r;!o.tryToFit&&s.length>1&&x(e,t,s,"row");var u=function(e,t,n,r){var o=L(e.node);return o=D(o,t,n,r),T(e.node,o)}(i,a,s,o.direction);return p(r).replaceWith(i.pos,i.pos+i.node.nodeSize,u)}},t.moveColumn=function(e,t,n){return function(r){var o=Object.assign({tryToFit:!1,direction:0},n),i=R(r.selection);if(!i)return r;var a=ee(e)(r).indexes,s=ee(t)(r).indexes;if(a.indexOf(t)>-1)return r;!o.tryToFit&&s.length>1&&x(e,t,s,"column");var u=function(e,t,n,r){var o=w(L(e.node));return o=D(o,t,n,r),o=w(o),T(e.node,o)}(i,a,s,o.direction);return p(r).replaceWith(i.pos,i.pos+i.node.nodeSize,u)}},t.addRowAt=function(e,t){return function(n){var r=R(n.selection);if(r){var o=i.TableMap.get(r.node),a=e-1;if(t&&a>=0)return p(U(a)(n));if(e>=0&&e<=o.height)return p(i.addRow(n,{map:o,tableStart:r.start,table:r.node},e))}return n}},t.cloneRowAt=U,t.removeColumnAt=V,t.removeRowAt=J,t.removeTable=G,t.removeSelectedColumns=function(e){var t=e.selection;if(I(t))return G(e);if(F(t)){var n=R(t);if(n){var r=i.TableMap.get(n.node),o=r.rectBetween(t.$anchorCell.pos-n.start,t.$headCell.pos-n.start);if(0==o.left&&o.right==r.width)return!1;for(var a=Object.assign({},o,{map:r,table:n.node,tableStart:n.start}),s=a.right-1;i.removeColumn(e,a,s),s!==a.left;s--)a.table=a.tableStart?e.doc.nodeAt(a.tableStart-1):e.doc,a.map=i.TableMap.get(a.table);return p(e)}}return e},t.removeSelectedRows=function(e){var t=e.selection;if(I(t))return G(e);if(F(t)){var n=R(t);if(n){var r=i.TableMap.get(n.node),o=r.rectBetween(t.$anchorCell.pos-n.start,t.$headCell.pos-n.start);if(0==o.top&&o.bottom==r.height)return!1;for(var a=Object.assign({},o,{map:r,table:n.node,tableStart:n.start}),s=a.bottom-1;i.removeRow(e,a,s),s!==a.top;s--)a.table=a.tableStart?e.doc.nodeAt(a.tableStart-1):e.doc,a.map=i.TableMap.get(a.table);return p(e)}}return e},t.removeColumnClosestToPos=function(e){return function(t){var n=X(e);return n?V(n.left)(u(e.pos)(t)):t}},t.removeRowClosestToPos=function(e){return function(t){var n=X(e);return n?J(n.top)(u(e.pos)(t)):t}},t.forEachCellInColumn=function(e,t,n){return function(o){var i=z(e)(o.selection);if(i){for(var a=i.length-1;a>=0;a--)o=t(i[a],o);if(n){var s=o.doc.resolve(o.mapping.map(i[i.length-1].pos));o.setSelection(r.Selection.near(s))}return p(o)}return o}},t.forEachCellInRow=function(e,t,n){return function(o){var i=W(e)(o.selection);if(i){for(var a=i.length-1;a>=0;a--)o=t(i[a],o);if(n){var s=o.doc.resolve(o.mapping.map(i[i.length-1].pos));o.setSelection(r.Selection.near(s))}}return o}},t.setCellAttrs=K,t.createTable=function(e){for(var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:3,n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:3,r=!(arguments.length>3&&void 0!==arguments[3])||arguments[3],o=arguments.length>4&&void 0!==arguments[4]?arguments[4]:null,i=y(e),a=i.cell,s=i.header_cell,u=i.row,c=i.table,l=[],d=[],h=0;h<n;h++)l.push(k(a,o)),r&&d.push(k(s,o));for(var f=[],p=0;p<t;p++)f.push(u.createChecked(null,r&&0===p?d:l));return c.createChecked(null,f)},t.findCellClosestToPos=Z,t.findCellRectClosestToPos=X,t.getSelectionRangeInColumn=ee,t.getSelectionRangeInRow=te,t.removeParentNodeOfType=function(e){return function(t){var n=E(e)(t.selection);return n?g(n.pos)(t):t}},t.replaceParentNodeOfType=a,t.removeSelectedNode=function(e){if(h(e.selection)){var t=e.selection.$from.pos,n=e.selection.$to.pos;return p(e.delete(t,n))}return e},t.replaceSelectedNode=s,t.setTextSelection=u,t.safeInsert=d,t.setParentNodeMarkup=function(e,t,n,r){return function(o){var i=E(e)(o.selection);return i?p(o.setNodeMarkup(i.pos,t,Object.assign({},i.node.attrs,n),r)):o}},t.selectParentNodeOfType=function(e){return function(t){if(!h(t.selection)){var n=E(e)(t.selection);if(n)return p(t.setSelection(r.NodeSelection.create(t.doc,n.pos)))}return t}},t.removeNodeBefore=function(e){var t=A(e.selection);return"number"==typeof t?g(t)(e):e}},,,,function(e,t,n){"use strict";n.d(t,"a",(function(){return r.b})),n.d(t,"b",(function(){return r.c})),n.d(t,"k",(function(){return r.e})),n.d(t,"r",(function(){return r.f})),n.d(t,"d",(function(){return u})),n.d(t,"l",(function(){return c})),n.d(t,"m",(function(){return s})),n.d(t,"o",(function(){return l.c})),n.d(t,"u",(function(){return l.e})),n.d(t,"c",(function(){return p})),n.d(t,"e",(function(){return g})),n.d(t,"f",(function(){return M})),n.d(t,"g",(function(){return y})),n.d(t,"h",(function(){return v})),n.d(t,"i",(function(){return k})),n.d(t,"j",(function(){return b})),n.d(t,"n",(function(){return w})),n.d(t,"p",(function(){return L})),n.d(t,"q",(function(){return D})),n.d(t,"s",(function(){return x})),n.d(t,"t",(function(){return S}));var r=n(180),o=n(184),i=n(182);function a(e,t){return function(n,r){var a=n.selection,s=a.$from,u=a.$to,c=s.blockRange(u),l=!1,d=c;if(!c)return!1;if(c.depth>=2&&s.node(c.depth-1).type.compatibleContent(e)&&0==c.startIndex){if(0==s.index(c.depth-1))return!1;var h=n.doc.resolve(c.start-2);d=new i.NodeRange(h,h,c.depth),c.endIndex<c.parent.childCount&&(c=new i.NodeRange(s,n.doc.resolve(u.end(c.depth)),c.depth)),l=!0}var f=Object(o.i)(d,e,t,c);return!!f&&(r&&r(function(e,t,n,r,a){for(var s=i.Fragment.empty,u=n.length-1;u>=0;u--)s=i.Fragment.from(n[u].type.create(n[u].attrs,s));e.step(new o.b(t.start-(r?2:0),t.end,t.start,t.end,new i.Slice(s,0,0),n.length,!0));for(var c=0,l=0;l<n.length;l++)n[l].type==a&&(c=l+1);for(var d=n.length-c,h=t.start+n.length-(r?2:0),f=t.parent,p=t.startIndex,m=t.endIndex,_=!0;p<m;p++,_=!1)!_&&Object(o.g)(e.doc,h,d)&&(e.split(h,d),h+=2*d),h+=f.child(p).nodeSize;return e}(n.tr,c,f,l,e).scrollIntoView()),!0)}}function s(e){return function(t,n){var r=t.selection,a=r.$from,s=r.$to,u=r.node;if(u&&u.isBlock||a.depth<2||!a.sameParent(s))return!1;var c=a.node(-1);if(c.type!=e)return!1;if(0==a.parent.content.size){if(2==a.depth||a.node(-3).type!=e||a.index(-2)!=a.node(-2).childCount-1)return!1;if(n){for(var l=i.Fragment.empty,d=a.index(-1)>0,h=a.depth-(d?1:2);h>=a.depth-3;h--)l=i.Fragment.from(a.node(h).copy(l));l=l.append(i.Fragment.from(e.createAndFill()));var f=t.tr.replace(a.before(d?null:-1),a.after(-3),new i.Slice(l,d?3:2,2));f.setSelection(t.selection.constructor.near(f.doc.resolve(a.pos+(d?3:2)))),n(f.scrollIntoView())}return!0}var p=s.pos==a.end()?c.contentMatchAt(0).defaultType:null,m=t.tr.delete(a.pos,s.pos),_=p&&[null,{type:p}];return!!Object(o.g)(m.doc,a.pos,2,_)&&(n&&n(m.split(a.pos,2,_).scrollIntoView()),!0)}}function u(e){return function(t,n){var r=t.selection,a=r.$from,s=r.$to,u=a.blockRange(s,(function(t){return t.childCount&&t.firstChild.type==e}));return!!u&&(!n||(a.node(u.depth-1).type==e?function(e,t,n,r){var a=e.tr,s=r.end,u=r.$to.end(r.depth);s<u&&(a.step(new o.b(s-1,u,s,u,new i.Slice(i.Fragment.from(n.create(null,r.parent.copy())),1,0),1,!0)),r=new i.NodeRange(a.doc.resolve(r.$from.pos),a.doc.resolve(u),r.depth));return t(a.lift(r,Object(o.k)(r)).scrollIntoView()),!0}(t,n,e,u):function(e,t,n){for(var r=e.tr,a=n.parent,s=n.end,u=n.endIndex-1,c=n.startIndex;u>c;u--)s-=a.child(u).nodeSize,r.delete(s-1,s+1);var l=r.doc.resolve(n.start),d=l.nodeAfter,h=0==n.startIndex,f=n.endIndex==a.childCount,p=l.node(-1),m=l.index(-1);if(!p.canReplace(m+(h?0:1),m+1,d.content.append(f?i.Fragment.empty:i.Fragment.from(a))))return!1;var _=l.pos,g=_+d.nodeSize;return r.step(new o.b(_-(h?1:0),g+(f?1:0),_+1,g-1,new i.Slice((h?i.Fragment.empty:i.Fragment.from(a.copy(i.Fragment.empty))).append(f?i.Fragment.empty:i.Fragment.from(a.copy(i.Fragment.empty))),h?0:1,f?0:1),h?0:1)),t(r.scrollIntoView()),!0}(t,n,u)))}}function c(e){return function(t,n){var r=t.selection,a=r.$from,s=r.$to,u=a.blockRange(s,(function(t){return t.childCount&&t.firstChild.type==e}));if(!u)return!1;var c=u.startIndex;if(0==c)return!1;var l=u.parent,d=l.child(c-1);if(d.type!=e)return!1;if(n){var h=d.lastChild&&d.lastChild.type==l.type,f=i.Fragment.from(h?e.create():null),p=new i.Slice(i.Fragment.from(e.create(null,i.Fragment.from(l.type.create(null,f)))),h?3:1,0),m=u.start,_=u.end;n(t.tr.step(new o.b(m-(h?3:1),_,m,_,p,1,!0)).scrollIntoView())}return!0}}var l=n(185),d=n(181),h=n(191),f=n(192);
+function o(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function i(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function a(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?i(Object(n),!0).forEach((function(t){o(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):i(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function s(e){return function(e){if(Array.isArray(e))return u(e)}(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||function(e,t){if(!e)return;if("string"==typeof e)return u(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);"Object"===n&&e.constructor&&(n=e.constructor.name);if("Map"===n||"Set"===n)return Array.from(e);if("Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n))return u(e,t)}(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function u(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}function c(e,t){var n=e.selection,r=n.from,o=n.to,i=[];e.doc.nodesBetween(r,o,(function(e){i=[].concat(s(i),s(e.marks))}));var a=i.find((function(e){return e.type.name===t.name}));return a?a.attrs:{}}function l(e,t){var n=e.selection,r=n.from,o=n.to,i=[];e.doc.nodesBetween(r,o,(function(e){i=[].concat(s(i),[e])}));var a=i.reverse().find((function(e){return e.type.name===t.name}));return a?a.attrs:{}}function d(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null,t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:null;if(!e||!t)return!1;var n=e.parent.childAfter(e.parentOffset);if(!n.node)return!1;var r=n.node.marks.find((function(e){return e.type===t}));if(!r)return!1;for(var o=e.index(),i=e.start()+n.offset,a=o+1,s=i+n.node.nodeSize;o>0&&r.isInSet(e.parent.child(o-1).marks);)o-=1,i-=e.parent.child(o).nodeSize;for(;a<e.parent.childCount&&r.isInSet(e.parent.child(a).marks);)s+=e.parent.child(a).nodeSize,a+=1;return{from:i,to:s}}function h(e,t){var n=e.selection,r=n.from,o=n.$from,i=n.to;return n.empty?!!t.isInSet(e.storedMarks||o.marks()):!!e.doc.rangeHasMark(r,i,t)}function f(e){var t=e.types,n=e.node;return Array.isArray(t)&&t.includes(n.type)||n.type===t}function p(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},o=function(e){return e.type===t},i=Object(r.findSelectedNodeOfType)(t)(e.selection)||Object(r.findParentNode)(o)(e.selection);return Object.keys(n).length&&i?i.node.hasMarkup(t,a(a({},i.node.attrs),n)):!!i}},function(e,t,n){"use strict";Object.defineProperty(t,"__esModule",{value:!0});var r=n(181),o=n(182),i=n(352),a=function(e,t){return function(n){Array.isArray(e)||(e=[e]);for(var r=0,o=e.length;r<o;r++){var i=E(e[r])(n.selection);if(i){var a=m(i.pos,t)(n);if(a!==n)return a}}return n}},s=function(e){return function(t){if(h(t.selection)){var n=t.selection,i=n.$from,a=n.$to;if(e instanceof o.Fragment&&i.parent.canReplace(i.index(),i.indexAfter(),e)||i.parent.canReplaceWith(i.index(),i.indexAfter(),e.type))return p(t.replaceWith(i.pos,a.pos,e).setSelection(new r.NodeSelection(t.doc.resolve(i.pos))))}return t}},u=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:1;return function(n){var o=r.Selection.findFrom(n.doc.resolve(e),t,!0);return o?n.setSelection(o):n}},c=function(e){return e.type&&e.type.spec.selectable},l=function(e,t,n){return function(e){return c(e)&&e.type.isLeaf}(e)?n.setSelection(new r.NodeSelection(n.doc.resolve(t))):u(t)(n)},d=function(e,t,n){return function(r){var o="number"==typeof t,i=r.selection.$from,u=o?r.doc.resolve(t):h(r.selection)?r.doc.resolve(i.pos+1):i,d=u.parent;if(h(r.selection)&&n&&r!==(r=s(e)(r)))return r;if(M(d)&&r!==(r=a(d.type,e)(r))){var f=c(e)?u.before(u.depth):u.pos;return l(e,f,r)}if(v(u,e)){r.insert(u.pos,e);var m=o?u.pos:c(e)?r.selection.$anchor.pos-1:r.selection.$anchor.pos;return p(l(e,m,r))}for(var _=u.depth;_>0;_--){var g=u.after(_),y=r.doc.resolve(g);if(v(y,e))return r.insert(g,e),p(l(e,g,r))}return r}},h=function(e){return e instanceof r.NodeSelection},f=function(e,t){return Array.isArray(e)&&e.indexOf(t.type)>-1||t.type===e},p=function(e){return Object.assign(Object.create(e),e).setTime(Date.now())},m=function(e,t){return function(n){var r=n.doc.nodeAt(e),o=n.doc.resolve(e);if(_(o,t)){var i=(n=n.replaceWith(e,e+r.nodeSize,t)).selection.$from.pos-1;return n=u(Math.max(i,0),-1)(n),n=u(n.selection.$from.start())(n),p(n)}return n}},_=function(e,t){var n=e.node(e.depth);return n&&n.type.validContent(t instanceof o.Fragment?t:o.Fragment.from(t))},g=function(e){return function(t){var n=t.doc.nodeAt(e);return p(t.delete(e,e+n.nodeSize))}},y=function(e){if(e.cached.tableNodeTypes)return e.cached.tableNodeTypes;var t={};return Object.keys(e.nodes).forEach((function(n){var r=e.nodes[n];r.spec.tableRole&&(t[r.spec.tableRole]=r)})),e.cached.tableNodeTypes=t,t},v=function(e,t){var n=e.index();return t instanceof o.Fragment?e.parent.canReplace(n,n,t):t instanceof o.Node&&e.parent.canReplaceWith(n,n,t.type)},M=function(e){return!e||"paragraph"===e.type.name&&2===e.nodeSize},k=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:null;return t?e.createChecked(null,t):e.createAndFill()},b=function(e){return function(t){for(var n=i.TableMap.get(t.$anchorCell.node(-1)),r=t.$anchorCell.start(-1),o=n.cellsInRect(e),a=n.cellsInRect(n.rectBetween(t.$anchorCell.pos-r,t.$headCell.pos-r)),s=0,u=o.length;s<u;s++)if(-1===a.indexOf(o[s]))return!1;return!0}},w=function(e){return e[0].map((function(t,n){return e.map((function(e){return e[n]}))}))},L=function(e){for(var t=i.TableMap.get(e),n=[],r=0;r<t.height;r++){for(var o=[],a={},s=0;s<t.width;s++){var u=t.map[r*t.width+s],c=e.nodeAt(u),l=t.findCell(u);a[u]||l.top!==r?o.push(null):(a[u]=!0,o.push(c))}n.push(o)}return n},T=function(e,t){for(var n=[],r=i.TableMap.get(e),o=0;o<r.height;o++){for(var a=e.child(o),s=[],u=0;u<r.width;u++)if(t[o][u]){var c=r.map[o*r.width+u],l=t[o][u],d=e.nodeAt(c).type.createChecked(Object.assign({},l.attrs),l.content,l.marks);s.push(d)}n.push(a.type.createChecked(a.attrs,s,a.marks))}return e.type.createChecked(e.attrs,n,e.marks)},D=function(e,t,n,r){var o=t[0]>n[0]?-1:1,i=e.splice(t[0],t.length),a=i.length%2==0?1:0,s=void 0;return s=-1===r&&1===o?n[0]-1:1===r&&-1===o?n[n.length-1]-a+1:-1===o?n[0]:n[n.length-1]-a,e.splice.apply(e,[s,0].concat(i)),e},x=function(e,t,n,r){var o="Target position is invalid, you can't move the "+r+" "+e+" to "+t+", the target can't be split. You could use tryToFit option.";if(1===(e>t?-1:1)){if(-1!==n.slice(0,n.length-1).indexOf(t))throw new Error(o)}else if(-1!==n.slice(1).indexOf(t))throw new Error(o);return!0},S=function(e){return function(t){var n=t.$from;return Y(n,e)}},Y=function(e,t){for(var n=e.depth;n>0;n--){var r=e.node(n);if(t(r))return{pos:n>0?e.before(n):0,start:e.start(n),depth:n,node:r}}},O=function(e,t){return function(n){var r=S(e)(n);if(r)return j(r.pos,t)}},C=function(e){return function(t){return!!S(e)(t)}},E=function(e){return function(t){return S((function(t){return f(e,t)}))(t)}},A=function(e){var t=e.$from.nodeBefore,n=r.Selection.findFrom(e.$from,-1);if(n&&t){var o=E(t.type)(n);return o?o.pos:n.$from.pos}},j=function(e,t){var n=t(e),r=n.node.childNodes[n.offset];return n.node.nodeType===Node.TEXT_NODE?n.node.parentNode:r&&r.nodeType!==Node.TEXT_NODE?r:n.node},H=function(e){var t=!(arguments.length>1&&void 0!==arguments[1])||arguments[1];if(!e)throw new Error('Invalid "node" parameter');var n=[];return e.descendants((function(e,r){if(n.push({node:e,pos:r}),!t)return!1})),n},P=function(e,t,n){if(!e)throw new Error('Invalid "node" parameter');if(!t)throw new Error('Invalid "predicate" parameter');return H(e,n).filter((function(e){return t(e.node)}))},N=function(e,t,n){return P(e,(function(e){return e.type===t}),n)};var R=function(e){return S((function(e){return e.type.spec.tableRole&&"table"===e.type.spec.tableRole}))(e)},F=function(e){return e instanceof i.CellSelection},I=function(e){if(F(e)){var t=i.TableMap.get(e.$anchorCell.node(-1));return b({left:0,right:t.width,top:0,bottom:t.height})(e)}return!1},z=function(e){return function(t){var n=R(t);if(n){var r=i.TableMap.get(n.node);return(Array.isArray(e)?e:Array.from([e])).reduce((function(e,t){if(t>=0&&t<=r.width-1){var o=r.cellsInRect({left:t,right:t+1,top:0,bottom:r.height});return e.concat(o.map((function(e){var t=n.node.nodeAt(e),r=e+n.start;return{pos:r,start:r+1,node:t}})))}}),[])}}},W=function(e){return function(t){var n=R(t);if(n){var r=i.TableMap.get(n.node);return(Array.isArray(e)?e:Array.from([e])).reduce((function(e,t){if(t>=0&&t<=r.height-1){var o=r.cellsInRect({left:0,right:r.width,top:t,bottom:t+1});return e.concat(o.map((function(e){var t=n.node.nodeAt(e),r=e+n.start;return{pos:r,start:r+1,node:t}})))}}),[])}}},$=function(e){return function(t,n){return function(r){var o=R(r.selection),a="row"===e;if(o){var s=i.TableMap.get(o.node);if(t>=0&&t<(a?s.height:s.width)){var u=a?0:t,c=a?t:0,l=a?s.width:t+1,d=a?t+1:s.height;if(n){var h=Z(r.selection.$from);if(!h)return r;var f=s.findCell(h.pos-o.start);a?(c=Math.min(c,f.top),d=Math.max(d,f.bottom)):(u=Math.min(u,f.left),l=Math.max(l,f.right))}var m=s.cellsInRect({left:u,top:c,right:a?l:u+1,bottom:a?c+1:d}),_=d-c==1?m:s.cellsInRect({left:a?u:l-1,top:a?d-1:c,right:l,bottom:d}),g=o.start+m[0],y=o.start+_[_.length-1],v=r.doc.resolve(g),M=r.doc.resolve(y);return p(r.setSelection(new i.CellSelection(M,v)))}}return r}}},B=$("column"),q=$("row"),U=function(e){return function(t){var n=R(t.selection);if(n){var r=i.TableMap.get(n.node);if(e>=0&&e<=r.height){for(var o=n.node,a=y(o.type.schema),s=n.start,u=0;u<e+1;u++)s+=o.child(u).nodeSize;var c=o.child(e),l=[],h=0;if(c.forEach((function(e){1===e.attrs.rowspan&&(h+=e.attrs.colspan,l.push(a[e.type.spec.tableRole].createAndFill(e.attrs,e.marks)))})),h<r.width){for(var f=[],p=function(n){var r=Q(n,(function(t,r){var o=t.node.attrs.rowspan;return o>1&&n+o>e}))(t);f.push.apply(f,function(e){if(Array.isArray(e)){for(var t=0,n=Array(e.length);t<e.length;t++)n[t]=e[t];return n}return Array.from(e)}(r))},m=e;m>=0;m--)p(m);f.length&&f.forEach((function(e){t=K(e,{rowspan:e.node.attrs.rowspan+1})(t)}))}return d(a.row.create(c.attrs,l),s)(t)}}return t}},V=function(e){return function(t){var n=R(t.selection);if(n){var r=i.TableMap.get(n.node);if(0===e&&1===r.width)return G(t);if(e>=0&&e<=r.width)return i.removeColumn(t,{map:r,tableStart:n.start,table:n.node},e),p(t)}return t}},J=function(e){return function(t){var n=R(t.selection);if(n){var r=i.TableMap.get(n.node);if(0===e&&1===r.height)return G(t);if(e>=0&&e<=r.height)return i.removeRow(t,{map:r,tableStart:n.start,table:n.node},e),p(t)}return t}},G=function(e){for(var t=e.selection.$from,n=t.depth;n>0;n--){if("table"===t.node(n).type.spec.tableRole)return p(e.delete(t.before(n),t.after(n)))}return e},K=function(e,t){return function(n){return e?(n.setNodeMarkup(e.pos,null,Object.assign({},e.node.attrs,t)),p(n)):n}},Z=function(e){return Y(e,(function(e){return e.type.spec.tableRole&&/cell/i.test(e.type.spec.tableRole)}))},X=function(e){var t=Z(e);if(t){var n=function(e){return Y(e,(function(e){return e.type.spec.tableRole&&/table/i.test(e.type.spec.tableRole)}))}(e),r=i.TableMap.get(n.node),o=t.pos-n.start;return r.rectBetween(o,o)}},Q=function(e,t){return function(n){var r=[],o=W(e)(n.selection);if(o)for(var i=o.length-1;i>=0;i--)t(o[i],n)&&r.push(o[i]);return r}},ee=function(e){return function(t){for(var n=e,r=e,o=function(e){var o=z(e)(t.selection);o&&o.forEach((function(t){var o=t.node.attrs.colspan+e-1;o>=n&&(n=e),o>r&&(r=o)}))},i=e;i>=0;i--)o(i);var a=function(e){var n=z(e)(t.selection);n&&n.forEach((function(t){var n=t.node.attrs.colspan+e-1;t.node.attrs.colspan>1&&n>r&&(r=n)}))};for(i=e;i<=r;i++)a(i);var s=[];for(i=n;i<=r;i++){var u=z(i)(t.selection);u&&u.length&&s.push(i)}n=s[0],r=s[s.length-1];for(var c=z(n)(t.selection),l=W(0)(t.selection),d=t.doc.resolve(c[c.length-1].pos),h=void 0,f=r;f>=n;f--){var p=z(f)(t.selection);if(p&&p.length){for(var m=l.length-1;m>=0;m--)if(l[m].pos===p[0].pos){h=p[0];break}if(h)break}}return{$anchor:d,$head:t.doc.resolve(h.pos),indexes:s}}},te=function(e){return function(t){for(var n=e,r=e,o=function(e){W(e)(t.selection).forEach((function(t){var o=t.node.attrs.rowspan+e-1;o>=n&&(n=e),o>r&&(r=o)}))},i=e;i>=0;i--)o(i);var a=function(e){W(e)(t.selection).forEach((function(t){var n=t.node.attrs.rowspan+e-1;t.node.attrs.rowspan>1&&n>r&&(r=n)}))};for(i=e;i<=r;i++)a(i);var s=[];for(i=n;i<=r;i++){var u=W(i)(t.selection);u&&u.length&&s.push(i)}n=s[0],r=s[s.length-1];for(var c=W(n)(t.selection),l=z(0)(t.selection),d=t.doc.resolve(c[c.length-1].pos),h=void 0,f=r;f>=n;f--){var p=W(f)(t.selection);if(p&&p.length){for(var m=l.length-1;m>=0;m--)if(l[m].pos===p[0].pos){h=p[0];break}if(h)break}}return{$anchor:d,$head:t.doc.resolve(h.pos),indexes:s}}};t.isNodeSelection=h,t.canInsert=v,t.convertTableNodeToArrayOfRows=L,t.convertArrayOfRowsToTableNode=T,t.findParentNode=S,t.findParentNodeClosestToPos=Y,t.findParentDomRef=O,t.hasParentNode=C,t.findParentNodeOfType=E,t.findParentNodeOfTypeClosestToPos=function(e,t){return Y(e,(function(e){return f(t,e)}))},t.hasParentNodeOfType=function(e){return function(t){return C((function(t){return f(e,t)}))(t)}},t.findParentDomRefOfType=function(e,t){return function(n){return O((function(t){return f(e,t)}),t)(n)}},t.findSelectedNodeOfType=function(e){return function(t){if(h(t)){var n=t.node,r=t.$from;if(f(e,n))return{node:n,pos:r.pos,depth:r.depth}}}},t.findPositionOfNodeBefore=A,t.findDomRefAtPos=j,t.flatten=H,t.findChildren=P,t.findTextNodes=function(e,t){return P(e,(function(e){return e.isText}),t)},t.findInlineNodes=function(e,t){return P(e,(function(e){return e.isInline}),t)},t.findBlockNodes=function(e,t){return P(e,(function(e){return e.isBlock}),t)},t.findChildrenByAttr=function(e,t,n){return P(e,(function(e){return!!t(e.attrs)}),n)},t.findChildrenByType=N,t.findChildrenByMark=function(e,t,n){return P(e,(function(e){return t.isInSet(e.marks)}),n)},t.contains=function(e,t){return!!N(e,t).length},t.findTable=R,t.isCellSelection=F,t.getSelectionRect=function(e){if(F(e)){var t=e.$anchorCell.start(-1);return i.TableMap.get(e.$anchorCell.node(-1)).rectBetween(e.$anchorCell.pos-t,e.$headCell.pos-t)}},t.isColumnSelected=function(e){return function(t){if(F(t)){var n=i.TableMap.get(t.$anchorCell.node(-1));return b({left:e,right:e+1,top:0,bottom:n.height})(t)}return!1}},t.isRowSelected=function(e){return function(t){if(F(t)){var n=i.TableMap.get(t.$anchorCell.node(-1));return b({left:0,right:n.width,top:e,bottom:e+1})(t)}return!1}},t.isTableSelected=I,t.getCellsInColumn=z,t.getCellsInRow=W,t.getCellsInTable=function(e){var t=R(e);if(t){var n=i.TableMap.get(t.node);return n.cellsInRect({left:0,right:n.width,top:0,bottom:n.height}).map((function(e){var n=t.node.nodeAt(e),r=e+t.start;return{pos:r,start:r+1,node:n}}))}},t.selectColumn=B,t.selectRow=q,t.selectTable=function(e){var t=R(e.selection);if(t){var n=i.TableMap.get(t.node).map;if(n&&n.length){var r=t.start+n[0],o=t.start+n[n.length-1],a=e.doc.resolve(r),s=e.doc.resolve(o);return p(e.setSelection(new i.CellSelection(s,a)))}}return e},t.emptyCell=function(e,t){return function(n){if(e){var r=y(t).cell.createAndFill().content;if(!e.node.content.eq(r))return n.replaceWith(e.pos+1,e.pos+e.node.nodeSize,r),p(n)}return n}},t.addColumnAt=function(e){return function(t){var n=R(t.selection);if(n){var r=i.TableMap.get(n.node);if(e>=0&&e<=r.width)return p(i.addColumn(t,{map:r,tableStart:n.start,table:n.node},e))}return t}},t.moveRow=function(e,t,n){return function(r){var o=Object.assign({tryToFit:!1,direction:0},n),i=R(r.selection);if(!i)return r;var a=te(e)(r).indexes,s=te(t)(r).indexes;if(a.indexOf(t)>-1)return r;!o.tryToFit&&s.length>1&&x(e,t,s,"row");var u=function(e,t,n,r){var o=L(e.node);return o=D(o,t,n,r),T(e.node,o)}(i,a,s,o.direction);return p(r).replaceWith(i.pos,i.pos+i.node.nodeSize,u)}},t.moveColumn=function(e,t,n){return function(r){var o=Object.assign({tryToFit:!1,direction:0},n),i=R(r.selection);if(!i)return r;var a=ee(e)(r).indexes,s=ee(t)(r).indexes;if(a.indexOf(t)>-1)return r;!o.tryToFit&&s.length>1&&x(e,t,s,"column");var u=function(e,t,n,r){var o=w(L(e.node));return o=D(o,t,n,r),o=w(o),T(e.node,o)}(i,a,s,o.direction);return p(r).replaceWith(i.pos,i.pos+i.node.nodeSize,u)}},t.addRowAt=function(e,t){return function(n){var r=R(n.selection);if(r){var o=i.TableMap.get(r.node),a=e-1;if(t&&a>=0)return p(U(a)(n));if(e>=0&&e<=o.height)return p(i.addRow(n,{map:o,tableStart:r.start,table:r.node},e))}return n}},t.cloneRowAt=U,t.removeColumnAt=V,t.removeRowAt=J,t.removeTable=G,t.removeSelectedColumns=function(e){var t=e.selection;if(I(t))return G(e);if(F(t)){var n=R(t);if(n){var r=i.TableMap.get(n.node),o=r.rectBetween(t.$anchorCell.pos-n.start,t.$headCell.pos-n.start);if(0==o.left&&o.right==r.width)return!1;for(var a=Object.assign({},o,{map:r,table:n.node,tableStart:n.start}),s=a.right-1;i.removeColumn(e,a,s),s!==a.left;s--)a.table=a.tableStart?e.doc.nodeAt(a.tableStart-1):e.doc,a.map=i.TableMap.get(a.table);return p(e)}}return e},t.removeSelectedRows=function(e){var t=e.selection;if(I(t))return G(e);if(F(t)){var n=R(t);if(n){var r=i.TableMap.get(n.node),o=r.rectBetween(t.$anchorCell.pos-n.start,t.$headCell.pos-n.start);if(0==o.top&&o.bottom==r.height)return!1;for(var a=Object.assign({},o,{map:r,table:n.node,tableStart:n.start}),s=a.bottom-1;i.removeRow(e,a,s),s!==a.top;s--)a.table=a.tableStart?e.doc.nodeAt(a.tableStart-1):e.doc,a.map=i.TableMap.get(a.table);return p(e)}}return e},t.removeColumnClosestToPos=function(e){return function(t){var n=X(e);return n?V(n.left)(u(e.pos)(t)):t}},t.removeRowClosestToPos=function(e){return function(t){var n=X(e);return n?J(n.top)(u(e.pos)(t)):t}},t.forEachCellInColumn=function(e,t,n){return function(o){var i=z(e)(o.selection);if(i){for(var a=i.length-1;a>=0;a--)o=t(i[a],o);if(n){var s=o.doc.resolve(o.mapping.map(i[i.length-1].pos));o.setSelection(r.Selection.near(s))}return p(o)}return o}},t.forEachCellInRow=function(e,t,n){return function(o){var i=W(e)(o.selection);if(i){for(var a=i.length-1;a>=0;a--)o=t(i[a],o);if(n){var s=o.doc.resolve(o.mapping.map(i[i.length-1].pos));o.setSelection(r.Selection.near(s))}}return o}},t.setCellAttrs=K,t.createTable=function(e){for(var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:3,n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:3,r=!(arguments.length>3&&void 0!==arguments[3])||arguments[3],o=arguments.length>4&&void 0!==arguments[4]?arguments[4]:null,i=y(e),a=i.cell,s=i.header_cell,u=i.row,c=i.table,l=[],d=[],h=0;h<n;h++)l.push(k(a,o)),r&&d.push(k(s,o));for(var f=[],p=0;p<t;p++)f.push(u.createChecked(null,r&&0===p?d:l));return c.createChecked(null,f)},t.findCellClosestToPos=Z,t.findCellRectClosestToPos=X,t.getSelectionRangeInColumn=ee,t.getSelectionRangeInRow=te,t.removeParentNodeOfType=function(e){return function(t){var n=E(e)(t.selection);return n?g(n.pos)(t):t}},t.replaceParentNodeOfType=a,t.removeSelectedNode=function(e){if(h(e.selection)){var t=e.selection.$from.pos,n=e.selection.$to.pos;return p(e.delete(t,n))}return e},t.replaceSelectedNode=s,t.setTextSelection=u,t.safeInsert=d,t.setParentNodeMarkup=function(e,t,n,r){return function(o){var i=E(e)(o.selection);return i?p(o.setNodeMarkup(i.pos,t,Object.assign({},i.node.attrs,n),r)):o}},t.selectParentNodeOfType=function(e){return function(t){if(!h(t.selection)){var n=E(e)(t.selection);if(n)return p(t.setSelection(r.NodeSelection.create(t.doc,n.pos)))}return t}},t.removeNodeBefore=function(e){var t=A(e.selection);return"number"==typeof t?g(t)(e):e}},,,,function(e,t,n){"use strict";n.d(t,"a",(function(){return r.b})),n.d(t,"b",(function(){return r.c})),n.d(t,"k",(function(){return r.e})),n.d(t,"r",(function(){return r.f})),n.d(t,"d",(function(){return u})),n.d(t,"l",(function(){return c})),n.d(t,"m",(function(){return s})),n.d(t,"o",(function(){return l.c})),n.d(t,"u",(function(){return l.e})),n.d(t,"c",(function(){return p})),n.d(t,"e",(function(){return g})),n.d(t,"f",(function(){return M})),n.d(t,"g",(function(){return y})),n.d(t,"h",(function(){return v})),n.d(t,"i",(function(){return k})),n.d(t,"j",(function(){return b})),n.d(t,"n",(function(){return w})),n.d(t,"p",(function(){return L})),n.d(t,"q",(function(){return D})),n.d(t,"s",(function(){return x})),n.d(t,"t",(function(){return S}));var r=n(180),o=n(184),i=n(182);function a(e,t){return function(n,r){var a=n.selection,s=a.$from,u=a.$to,c=s.blockRange(u),l=!1,d=c;if(!c)return!1;if(c.depth>=2&&s.node(c.depth-1).type.compatibleContent(e)&&0==c.startIndex){if(0==s.index(c.depth-1))return!1;var h=n.doc.resolve(c.start-2);d=new i.NodeRange(h,h,c.depth),c.endIndex<c.parent.childCount&&(c=new i.NodeRange(s,n.doc.resolve(u.end(c.depth)),c.depth)),l=!0}var f=Object(o.i)(d,e,t,c);return!!f&&(r&&r(function(e,t,n,r,a){for(var s=i.Fragment.empty,u=n.length-1;u>=0;u--)s=i.Fragment.from(n[u].type.create(n[u].attrs,s));e.step(new o.b(t.start-(r?2:0),t.end,t.start,t.end,new i.Slice(s,0,0),n.length,!0));for(var c=0,l=0;l<n.length;l++)n[l].type==a&&(c=l+1);for(var d=n.length-c,h=t.start+n.length-(r?2:0),f=t.parent,p=t.startIndex,m=t.endIndex,_=!0;p<m;p++,_=!1)!_&&Object(o.g)(e.doc,h,d)&&(e.split(h,d),h+=2*d),h+=f.child(p).nodeSize;return e}(n.tr,c,f,l,e).scrollIntoView()),!0)}}function s(e){return function(t,n){var r=t.selection,a=r.$from,s=r.$to,u=r.node;if(u&&u.isBlock||a.depth<2||!a.sameParent(s))return!1;var c=a.node(-1);if(c.type!=e)return!1;if(0==a.parent.content.size&&a.node(-1).childCount==a.indexAfter(-1)){if(2==a.depth||a.node(-3).type!=e||a.index(-2)!=a.node(-2).childCount-1)return!1;if(n){for(var l=i.Fragment.empty,d=a.index(-1)>0,h=a.depth-(d?1:2);h>=a.depth-3;h--)l=i.Fragment.from(a.node(h).copy(l));l=l.append(i.Fragment.from(e.createAndFill()));var f=t.tr.replace(a.before(d?null:-1),a.after(-3),new i.Slice(l,d?3:2,2));f.setSelection(t.selection.constructor.near(f.doc.resolve(a.pos+(d?3:2)))),n(f.scrollIntoView())}return!0}var p=s.pos==a.end()?c.contentMatchAt(0).defaultType:null,m=t.tr.delete(a.pos,s.pos),_=p&&[null,{type:p}];return!!Object(o.g)(m.doc,a.pos,2,_)&&(n&&n(m.split(a.pos,2,_).scrollIntoView()),!0)}}function u(e){return function(t,n){var r=t.selection,a=r.$from,s=r.$to,u=a.blockRange(s,(function(t){return t.childCount&&t.firstChild.type==e}));return!!u&&(!n||(a.node(u.depth-1).type==e?function(e,t,n,r){var a=e.tr,s=r.end,u=r.$to.end(r.depth);s<u&&(a.step(new o.b(s-1,u,s,u,new i.Slice(i.Fragment.from(n.create(null,r.parent.copy())),1,0),1,!0)),r=new i.NodeRange(a.doc.resolve(r.$from.pos),a.doc.resolve(u),r.depth));return t(a.lift(r,Object(o.k)(r)).scrollIntoView()),!0}(t,n,e,u):function(e,t,n){for(var r=e.tr,a=n.parent,s=n.end,u=n.endIndex-1,c=n.startIndex;u>c;u--)s-=a.child(u).nodeSize,r.delete(s-1,s+1);var l=r.doc.resolve(n.start),d=l.nodeAfter,h=0==n.startIndex,f=n.endIndex==a.childCount,p=l.node(-1),m=l.index(-1);if(!p.canReplace(m+(h?0:1),m+1,d.content.append(f?i.Fragment.empty:i.Fragment.from(a))))return!1;var _=l.pos,g=_+d.nodeSize;return r.step(new o.b(_-(h?1:0),g+(f?1:0),_+1,g-1,new i.Slice((h?i.Fragment.empty:i.Fragment.from(a.copy(i.Fragment.empty))).append(f?i.Fragment.empty:i.Fragment.from(a.copy(i.Fragment.empty))),h?0:1,f?0:1),h?0:1)),t(r.scrollIntoView()),!0}(t,n,u)))}}function c(e){return function(t,n){var r=t.selection,a=r.$from,s=r.$to,u=a.blockRange(s,(function(t){return t.childCount&&t.firstChild.type==e}));if(!u)return!1;var c=u.startIndex;if(0==c)return!1;var l=u.parent,d=l.child(c-1);if(d.type!=e)return!1;if(n){var h=d.lastChild&&d.lastChild.type==l.type,f=i.Fragment.from(h?e.create():null),p=new i.Slice(i.Fragment.from(e.create(null,i.Fragment.from(l.type.create(null,f)))),h?3:1,0),m=u.start,_=u.end;n(t.tr.step(new o.b(m-(h?3:1),_,m,_,p,1,!0)).scrollIntoView())}return!0}}var l=n(185),d=n(181),h=n(191),f=n(192);
/*!
- * tiptap-commands v1.13.0
+ * tiptap-commands v1.14.0
* (c) 2020 Scrumpy UG (limited liability)
* @license MIT
*/
-function p(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:"";return function(t,n){var r=t.selection.$from.pos.pos;return n(t.tr.insertText(e,r)),!0}}function m(e){return function(e){if(Array.isArray(e))return _(e)}(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||function(e,t){if(!e)return;if("string"==typeof e)return _(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);"Object"===n&&e.constructor&&(n=e.constructor.name);if("Map"===n||"Set"===n)return Array.from(n);if("Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n))return _(e,t)}(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function _(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}function g(e,t,n){return new l.a(e,(function(e,r,o,i){var a=n instanceof Function?n(r):n,s=e.tr,u=r.length-1,c=i,l=o;if(r[u]){var d=o+r[0].indexOf(r[u-1]),h=d+r[u-1].length-1,f=d+r[u-1].lastIndexOf(r[u]),p=f+r[u].length;if(function(e,t,n){var r=[];return n.doc.nodesBetween(e,t,(function(e,t){r=[].concat(m(r),m(e.marks.map((function(n){return{start:t,end:t+e.nodeSize,mark:n}}))))})),r}(o,i,e).filter((function(e){return e.mark.type.excluded.find((function(e){return e.name===t.name}))})).filter((function(e){return e.end>d})).length)return!1;p<h&&s.delete(p,h),f>d&&s.delete(d,f),c=(l=d)+r[u].length}return s.addMark(l,c,t.create(a)),s.removeStoredMark(t),s}))}function y(e,t,n){return new l.a(e,(function(e,r,o,i){var a=n instanceof Function?n(r):n,s=e.tr;return r[0]&&s.replaceWith(o-1,i,t.create(a)),s}))}function v(e,t,n){return new d.Plugin({props:{transformPasted:function(r){return new i.Slice(function r(o){var a=[];return o.forEach((function(o){if(o.isText){var i,s=o.text,u=0;do{if(i=e.exec(s)){var c=i.index,l=c+i[0].length,d=n instanceof Function?n(i[0]):n;c>0&&a.push(o.cut(u,c)),a.push(o.cut(c,l).mark(t.create(d).addToSet(o.marks))),u=l}}while(i);u<s.length&&a.push(o.cut(u))}else a.push(o.copy(r(o.content)))})),i.Fragment.fromArray(a)}(r.content),r.openStart,r.openEnd)}}})}function M(e,t,n){return new d.Plugin({props:{transformPasted:function(r){return new i.Slice(function r(o,a){var s=[];return o.forEach((function(o){if(o.isText){for(var i,u=o.text,c=0,l=!!o.marks.filter((function(e){return"link"===e.type.name}))[0];!l&&null!==(i=e.exec(u));)if(a.type.allowsMarkType(t)&&i[1]){var d=i.index,h=d+i[0].length,f=d+i[0].indexOf(i[1]),p=f+i[1].length,m=n instanceof Function?n(i):n;d>0&&s.push(o.cut(c,d)),s.push(o.cut(f,p).mark(t.create(m).addToSet(o.marks))),c=h}c<u.length&&s.push(o.cut(c))}else s.push(o.copy(r(o.content,o)))})),i.Fragment.fromArray(s)}(r.content),r.openStart,r.openEnd)}}})}function k(e){return function(t,n){var r=t.tr,o=t.selection,i=o.from,a=o.to,s=o.$from;if(o.empty){var u=Object(h.b)(s,e);i=u.from,a=u.to}return r.removeMark(i,a,e),n(r)}}function b(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null,t=arguments.length>1?arguments[1]:void 0,n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};return function(r,o){var i=r.selection,a=i.$from,s=i.$to,u=a.index(),c=e?e.from:a.pos,l=e?e.to:s.pos;return!!a.parent.canReplaceWith(u,u,t)&&(o&&o(r.tr.replaceWith(c,l,t.create(n))),!0)}}function w(e){return function(t,n){var r=t.selection,o=r.$from,a=r.$to,s=r.node;if(s&&s.isBlock||o.depth<2||!o.sameParent(a))return!1;var u=o.node(-1);if(u.type!=e)return!1;if(0==o.parent.content.size){if(2==o.depth||o.node(-3).type!=e||o.index(-2)!=o.node(-2).childCount-1)return!1;if(n){for(var c=i.Fragment.empty,l=o.index(-1)>0,d=o.depth-(l?1:2);d>=o.depth-3;d--)c=i.Fragment.from(o.node(d).copy(c));c=c.append(i.Fragment.from(e.createAndFill()));var h=t.tr.replace(o.before(l?null:-1),o.after(-3),new i.Slice(c,l?3:2,2));h.setSelection(t.selection.constructor.near(h.doc.resolve(o.pos+(l?3:2)))),n(h.scrollIntoView())}return!0}var f=a.pos==o.end()?u.contentMatchAt(o.indexAfter(-1)).defaultType:null,p=t.tr.delete(o.pos,a.pos),m=f&&[{type:e},{type:f}];return m||(m=[{type:e},null]),!!function(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:1,r=arguments.length>3?arguments[3]:void 0,o=e.resolve(t),i=o.depth-n,a=r&&r[r.length-1]||o.parent;if(i<0||o.parent.type.spec.isolating||!o.parent.canReplace(o.index(),o.parent.childCount)||!a.type.validContent(o.parent.content.cutByIndex(o.index(),o.parent.childCount)))return!1;for(var s=o.depth-1,u=n-2;s>i;s--,u--){var c=o.node(s),l=o.index(s);if(c.type.spec.isolating)return!1;var d=c.content.cutByIndex(l,c.childCount),h=r&&r[u]||c;if(h!=c&&(d=d.replaceChild(0,h.type.create(h.attrs))),!c.canReplace(l+1,c.childCount))return!1}var f=o.indexAfter(i),p=r&&r[0];return o.node(i).canReplaceWith(f,f,p?p.type:o.node(i+1).type)}(p.doc,o.pos,2,m)&&(n&&n(p.split(o.pos,2,m).scrollIntoView()),!0)}}function L(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};return function(o,i,a){return Object(h.f)(o,e,n)?Object(r.e)(t)(o,i,a):Object(r.e)(e,n)(o,i,a)}}function T(e,t){return e.type===t.nodes.bullet_list||e.type===t.nodes.ordered_list||e.type===t.nodes.todo_list}function D(e,t){return function(n,r,o){var i=n.schema,s=n.selection,c=s.$from,l=s.$to,d=c.blockRange(l);if(!d)return!1;var h=Object(f.findParentNode)((function(e){return T(e,i)}))(s);if(d.depth>=1&&h&&d.depth-h.depth<=1){if(h.node.type===e)return u(t)(n,r,o);if(T(h.node,i)&&e.validContent(h.node.content)){var p=n.tr;return p.setNodeMarkup(h.pos,e),r&&r(p),!1}}return a(e)(n,r,o)}}function x(e){return function(t,n,o){return Object(h.f)(t,e)?Object(r.d)(t,n):Object(r.g)(e)(t,n,o)}}function S(e,t){return function(n,r){var o=n.tr,i=n.selection,a=n.doc,s=i.from,u=i.to,c=i.$from;if(i.empty){var l=Object(h.b)(c,e);s=l.from,u=l.to}return a.rangeHasMark(s,u,e)&&o.removeMark(s,u,e),o.addMark(s,u,e.create(t)),r(o)}}},,function(e,t,n){"use strict";n.d(t,"a",(function(){return s})),n.d(t,"b",(function(){return l})),n.d(t,"c",(function(){return u})),n.d(t,"d",(function(){return c}));var r=n(181),o=function(e,t,n){this.step=e,this.inverted=t,this.origin=n};var i=function(e,t){this.version=e,this.unconfirmed=t};var a=new r.PluginKey("collab");function s(e){return void 0===e&&(e={}),e={version:e.version||0,clientID:null==e.clientID?Math.floor(4294967295*Math.random()):e.clientID},new r.Plugin({key:a,state:{init:function(){return new i(e.version,[])},apply:function(e,t){var n=e.getMeta(a);return n||(e.docChanged?new i(t.version,t.unconfirmed.concat(function(e){for(var t=[],n=0;n<e.steps.length;n++)t.push(new o(e.steps[n],e.steps[n].invert(e.docs[n]),e));return t}(e))):t)}},config:e,historyPreserveItems:!0})}function u(e,t,n,s){for(var u=a.getState(e),c=u.version+t.length,l=a.get(e).spec.config.clientID,d=0;d<n.length&&n[d]==l;)++d;var h=u.unconfirmed.slice(d);if(!(t=d?t.slice(d):t).length)return e.tr.setMeta(a,new i(c,h));var f=h.length,p=e.tr;if(f)h=function(e,t,n){for(var r=e.length-1;r>=0;r--)n.step(e[r].inverted);for(var i=0;i<t.length;i++)n.step(t[i]);for(var a=[],s=0,u=e.length;s<e.length;s++){var c=e[s].step.map(n.mapping.slice(u));u--,c&&!n.maybeStep(c).failed&&(n.mapping.setMirror(u,n.steps.length-1),a.push(new o(c,c.invert(n.docs[n.docs.length-1]),e[s].origin)))}return a}(h,t,p);else{for(var m=0;m<t.length;m++)p.step(t[m]);h=[]}var _=new i(c,h);return s&&s.mapSelectionBackward&&e.selection instanceof r.TextSelection&&(p.setSelection(r.TextSelection.between(p.doc.resolve(p.mapping.map(e.selection.anchor,-1)),p.doc.resolve(p.mapping.map(e.selection.head,-1)),-1)),p.updated&=-2),p.setMeta("rebased",f).setMeta("addToHistory",!1).setMeta(a,_)}function c(e){var t=a.getState(e);return 0==t.unconfirmed.length?null:{version:t.version,steps:t.unconfirmed.map((function(e){return e.step})),clientID:a.get(e).spec.config.clientID,get origins(){return this._origins||(this._origins=t.unconfirmed.map((function(e){return e.origin})))}}}function l(e){return a.getState(e).version}},,function(e,t){e.exports=/[!-#%-\*,-\/:;\?@\[-\]_\{\}\xA1\xA7\xAB\xB6\xB7\xBB\xBF\u037E\u0387\u055A-\u055F\u0589\u058A\u05BE\u05C0\u05C3\u05C6\u05F3\u05F4\u0609\u060A\u060C\u060D\u061B\u061E\u061F\u066A-\u066D\u06D4\u0700-\u070D\u07F7-\u07F9\u0830-\u083E\u085E\u0964\u0965\u0970\u09FD\u0A76\u0AF0\u0C84\u0DF4\u0E4F\u0E5A\u0E5B\u0F04-\u0F12\u0F14\u0F3A-\u0F3D\u0F85\u0FD0-\u0FD4\u0FD9\u0FDA\u104A-\u104F\u10FB\u1360-\u1368\u1400\u166D\u166E\u169B\u169C\u16EB-\u16ED\u1735\u1736\u17D4-\u17D6\u17D8-\u17DA\u1800-\u180A\u1944\u1945\u1A1E\u1A1F\u1AA0-\u1AA6\u1AA8-\u1AAD\u1B5A-\u1B60\u1BFC-\u1BFF\u1C3B-\u1C3F\u1C7E\u1C7F\u1CC0-\u1CC7\u1CD3\u2010-\u2027\u2030-\u2043\u2045-\u2051\u2053-\u205E\u207D\u207E\u208D\u208E\u2308-\u230B\u2329\u232A\u2768-\u2775\u27C5\u27C6\u27E6-\u27EF\u2983-\u2998\u29D8-\u29DB\u29FC\u29FD\u2CF9-\u2CFC\u2CFE\u2CFF\u2D70\u2E00-\u2E2E\u2E30-\u2E4E\u3001-\u3003\u3008-\u3011\u3014-\u301F\u3030\u303D\u30A0\u30FB\uA4FE\uA4FF\uA60D-\uA60F\uA673\uA67E\uA6F2-\uA6F7\uA874-\uA877\uA8CE\uA8CF\uA8F8-\uA8FA\uA8FC\uA92E\uA92F\uA95F\uA9C1-\uA9CD\uA9DE\uA9DF\uAA5C-\uAA5F\uAADE\uAADF\uAAF0\uAAF1\uABEB\uFD3E\uFD3F\uFE10-\uFE19\uFE30-\uFE52\uFE54-\uFE61\uFE63\uFE68\uFE6A\uFE6B\uFF01-\uFF03\uFF05-\uFF0A\uFF0C-\uFF0F\uFF1A\uFF1B\uFF1F\uFF20\uFF3B-\uFF3D\uFF3F\uFF5B\uFF5D\uFF5F-\uFF65]|\uD800[\uDD00-\uDD02\uDF9F\uDFD0]|\uD801\uDD6F|\uD802[\uDC57\uDD1F\uDD3F\uDE50-\uDE58\uDE7F\uDEF0-\uDEF6\uDF39-\uDF3F\uDF99-\uDF9C]|\uD803[\uDF55-\uDF59]|\uD804[\uDC47-\uDC4D\uDCBB\uDCBC\uDCBE-\uDCC1\uDD40-\uDD43\uDD74\uDD75\uDDC5-\uDDC8\uDDCD\uDDDB\uDDDD-\uDDDF\uDE38-\uDE3D\uDEA9]|\uD805[\uDC4B-\uDC4F\uDC5B\uDC5D\uDCC6\uDDC1-\uDDD7\uDE41-\uDE43\uDE60-\uDE6C\uDF3C-\uDF3E]|\uD806[\uDC3B\uDE3F-\uDE46\uDE9A-\uDE9C\uDE9E-\uDEA2]|\uD807[\uDC41-\uDC45\uDC70\uDC71\uDEF7\uDEF8]|\uD809[\uDC70-\uDC74]|\uD81A[\uDE6E\uDE6F\uDEF5\uDF37-\uDF3B\uDF44]|\uD81B[\uDE97-\uDE9A]|\uD82F\uDC9F|\uD836[\uDE87-\uDE8B]|\uD83A[\uDD5E\uDD5F]/},function(e,t,n){"use strict";function r(){this.__rules__=[],this.__cache__=null}r.prototype.__find__=function(e){for(var t=0;t<this.__rules__.length;t++)if(this.__rules__[t].name===e)return t;return-1},r.prototype.__compile__=function(){var e=this,t=[""];e.__rules__.forEach((function(e){e.enabled&&e.alt.forEach((function(e){t.indexOf(e)<0&&t.push(e)}))})),e.__cache__={},t.forEach((function(t){e.__cache__[t]=[],e.__rules__.forEach((function(n){n.enabled&&(t&&n.alt.indexOf(t)<0||e.__cache__[t].push(n.fn))}))}))},r.prototype.at=function(e,t,n){var r=this.__find__(e),o=n||{};if(-1===r)throw new Error("Parser rule not found: "+e);this.__rules__[r].fn=t,this.__rules__[r].alt=o.alt||[],this.__cache__=null},r.prototype.before=function(e,t,n,r){var o=this.__find__(e),i=r||{};if(-1===o)throw new Error("Parser rule not found: "+e);this.__rules__.splice(o,0,{name:t,enabled:!0,fn:n,alt:i.alt||[]}),this.__cache__=null},r.prototype.after=function(e,t,n,r){var o=this.__find__(e),i=r||{};if(-1===o)throw new Error("Parser rule not found: "+e);this.__rules__.splice(o+1,0,{name:t,enabled:!0,fn:n,alt:i.alt||[]}),this.__cache__=null},r.prototype.push=function(e,t,n){var r=n||{};this.__rules__.push({name:e,enabled:!0,fn:t,alt:r.alt||[]}),this.__cache__=null},r.prototype.enable=function(e,t){Array.isArray(e)||(e=[e]);var n=[];return e.forEach((function(e){var r=this.__find__(e);if(r<0){if(t)return;throw new Error("Rules manager: invalid rule name "+e)}this.__rules__[r].enabled=!0,n.push(e)}),this),this.__cache__=null,n},r.prototype.enableOnly=function(e,t){Array.isArray(e)||(e=[e]),this.__rules__.forEach((function(e){e.enabled=!1})),this.enable(e,t)},r.prototype.disable=function(e,t){Array.isArray(e)||(e=[e]);var n=[];return e.forEach((function(e){var r=this.__find__(e);if(r<0){if(t)return;throw new Error("Rules manager: invalid rule name "+e)}this.__rules__[r].enabled=!1,n.push(e)}),this),this.__cache__=null,n},r.prototype.getRules=function(e){return null===this.__cache__&&this.__compile__(),this.__cache__[e]||[]},e.exports=r},function(e,t,n){"use strict";function r(e,t,n){this.type=e,this.tag=t,this.attrs=null,this.map=null,this.nesting=n,this.level=0,this.children=null,this.content="",this.markup="",this.info="",this.meta=null,this.block=!1,this.hidden=!1}r.prototype.attrIndex=function(e){var t,n,r;if(!this.attrs)return-1;for(n=0,r=(t=this.attrs).length;n<r;n++)if(t[n][0]===e)return n;return-1},r.prototype.attrPush=function(e){this.attrs?this.attrs.push(e):this.attrs=[e]},r.prototype.attrSet=function(e,t){var n=this.attrIndex(e),r=[e,t];n<0?this.attrPush(r):this.attrs[n]=r},r.prototype.attrGet=function(e){var t=this.attrIndex(e),n=null;return t>=0&&(n=this.attrs[t][1]),n},r.prototype.attrJoin=function(e,t){var n=this.attrIndex(e);n<0?this.attrPush([e,t]):this.attrs[n][1]=this.attrs[n][1]+" "+t},e.exports=r},,,,,,,,,,,,,,,,,,,,function(e,t,n){"use strict";n.d(t,"a",(function(){return y})),n.d(t,"b",(function(){return g}));for(var r={8:"Backspace",9:"Tab",10:"Enter",12:"NumLock",13:"Enter",16:"Shift",17:"Control",18:"Alt",20:"CapsLock",27:"Escape",32:" ",33:"PageUp",34:"PageDown",35:"End",36:"Home",37:"ArrowLeft",38:"ArrowUp",39:"ArrowRight",40:"ArrowDown",44:"PrintScreen",45:"Insert",46:"Delete",59:";",61:"=",91:"Meta",92:"Meta",106:"*",107:"+",108:",",109:"-",110:".",111:"/",144:"NumLock",145:"ScrollLock",160:"Shift",161:"Shift",162:"Control",163:"Control",164:"Alt",165:"Alt",173:"-",186:";",187:"=",188:",",189:"-",190:".",191:"/",192:"`",219:"[",220:"\\",221:"]",222:"'",229:"q"},o={48:")",49:"!",50:"@",51:"#",52:"$",53:"%",54:"^",55:"&",56:"*",57:"(",59:":",61:"+",173:"_",186:":",187:"+",188:"<",189:"_",190:">",191:"?",192:"~",219:"{",220:"|",221:"}",222:'"',229:"Q"},i="undefined"!=typeof navigator&&/Chrome\/(\d+)/.exec(navigator.userAgent),a="undefined"!=typeof navigator&&/Apple Computer/.test(navigator.vendor),s="undefined"!=typeof navigator&&/Gecko\/\d+/.test(navigator.userAgent),u="undefined"!=typeof navigator&&/Mac/.test(navigator.platform),c="undefined"!=typeof navigator&&/MSIE \d|Trident\/(?:[7-9]|\d{2,})\..*rv:(\d+)/.exec(navigator.userAgent),l=i&&(u||+i[1]<57)||s&&u,d=0;d<10;d++)r[48+d]=r[96+d]=String(d);for(d=1;d<=24;d++)r[d+111]="F"+d;for(d=65;d<=90;d++)r[d]=String.fromCharCode(d+32),o[d]=String.fromCharCode(d);for(var h in r)o.hasOwnProperty(h)||(o[h]=r[h]);var f=n(181),p="undefined"!=typeof navigator&&/Mac/.test(navigator.platform);function m(e){var t,n,r,o,i=e.split(/-(?!$)/),a=i[i.length-1];"Space"==a&&(a=" ");for(var s=0;s<i.length-1;s++){var u=i[s];if(/^(cmd|meta|m)$/i.test(u))o=!0;else if(/^a(lt)?$/i.test(u))t=!0;else if(/^(c|ctrl|control)$/i.test(u))n=!0;else if(/^s(hift)?$/i.test(u))r=!0;else{if(!/^mod$/i.test(u))throw new Error("Unrecognized modifier name: "+u);p?o=!0:n=!0}}return t&&(a="Alt-"+a),n&&(a="Ctrl-"+a),o&&(a="Meta-"+a),r&&(a="Shift-"+a),a}function _(e,t,n){return t.altKey&&(e="Alt-"+e),t.ctrlKey&&(e="Ctrl-"+e),t.metaKey&&(e="Meta-"+e),!1!==n&&t.shiftKey&&(e="Shift-"+e),e}function g(e){return new f.Plugin({props:{handleKeyDown:y(e)}})}function y(e){var t=function(e){var t=Object.create(null);for(var n in e)t[m(n)]=e[n];return t}(e);return function(e,n){var i,s=function(e){var t=!(l&&(e.ctrlKey||e.altKey||e.metaKey)||(a||c)&&e.shiftKey&&e.key&&1==e.key.length)&&e.key||(e.shiftKey?o:r)[e.keyCode]||e.key||"Unidentified";return"Esc"==t&&(t="Escape"),"Del"==t&&(t="Delete"),"Left"==t&&(t="ArrowLeft"),"Up"==t&&(t="ArrowUp"),"Right"==t&&(t="ArrowRight"),"Down"==t&&(t="ArrowDown"),t}(n),u=1==s.length&&" "!=s,d=t[_(s,n,!u)];if(d&&d(e.state,e.dispatch,e))return!0;if(u&&(n.shiftKey||n.altKey||n.metaKey)&&(i=r[n.keyCode])&&i!=s){var h=t[_(i,n,!0)];if(h&&h(e.state,e.dispatch,e))return!0}else if(u&&n.shiftKey){var f=t[_(s,n,!0)];if(f&&f(e.state,e.dispatch,e))return!0}return!1}}},function(e,t,n){"use strict";
+function p(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:"";return function(t,n){var r=t.selection.$from.pos.pos;return n(t.tr.insertText(e,r)),!0}}function m(e){return function(e){if(Array.isArray(e))return _(e)}(e)||function(e){if("undefined"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||function(e,t){if(!e)return;if("string"==typeof e)return _(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);"Object"===n&&e.constructor&&(n=e.constructor.name);if("Map"===n||"Set"===n)return Array.from(e);if("Arguments"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n))return _(e,t)}(e)||function(){throw new TypeError("Invalid attempt to spread non-iterable instance.\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.")}()}function _(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,r=new Array(t);n<t;n++)r[n]=e[n];return r}function g(e,t,n){return new l.a(e,(function(e,r,o,i){var a=n instanceof Function?n(r):n,s=e.tr,u=r.length-1,c=i,l=o;if(r[u]){var d=o+r[0].indexOf(r[u-1]),h=d+r[u-1].length-1,f=d+r[u-1].lastIndexOf(r[u]),p=f+r[u].length;if(function(e,t,n){var r=[];return n.doc.nodesBetween(e,t,(function(e,t){r=[].concat(m(r),m(e.marks.map((function(n){return{start:t,end:t+e.nodeSize,mark:n}}))))})),r}(o,i,e).filter((function(e){return e.mark.type.excluded.find((function(e){return e.name===t.name}))})).filter((function(e){return e.end>d})).length)return!1;p<h&&s.delete(p,h),f>d&&s.delete(d,f),c=(l=d)+r[u].length}return s.addMark(l,c,t.create(a)),s.removeStoredMark(t),s}))}function y(e,t,n){return new l.a(e,(function(e,r,o,i){var a=n instanceof Function?n(r):n,s=e.tr;return r[0]&&s.replaceWith(o-1,i,t.create(a)),s}))}function v(e,t,n){return new d.Plugin({props:{transformPasted:function(r){return new i.Slice(function r(o){var a=[];return o.forEach((function(o){if(o.isText){var i,s=o.text,u=0;do{if(i=e.exec(s)){var c=i.index,l=c+i[0].length,d=n instanceof Function?n(i[0]):n;c>0&&a.push(o.cut(u,c)),a.push(o.cut(c,l).mark(t.create(d).addToSet(o.marks))),u=l}}while(i);u<s.length&&a.push(o.cut(u))}else a.push(o.copy(r(o.content)))})),i.Fragment.fromArray(a)}(r.content),r.openStart,r.openEnd)}}})}function M(e,t,n){return new d.Plugin({props:{transformPasted:function(r){return new i.Slice(function r(o,a){var s=[];return o.forEach((function(o){if(o.isText){for(var i,u=o.text,c=0,l=!!o.marks.filter((function(e){return"link"===e.type.name}))[0];!l&&null!==(i=e.exec(u));)if(a&&a.type.allowsMarkType(t)&&i[1]){var d=i.index,h=d+i[0].length,f=d+i[0].indexOf(i[1]),p=f+i[1].length,m=n instanceof Function?n(i):n;d>0&&s.push(o.cut(c,d)),s.push(o.cut(f,p).mark(t.create(m).addToSet(o.marks))),c=h}c<u.length&&s.push(o.cut(c))}else s.push(o.copy(r(o.content,o)))})),i.Fragment.fromArray(s)}(r.content),r.openStart,r.openEnd)}}})}function k(e){return function(t,n){var r=t.tr,o=t.selection,i=o.from,a=o.to,s=o.$from;if(o.empty){var u=Object(h.b)(s,e);i=u.from,a=u.to}return r.removeMark(i,a,e),n(r)}}function b(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null,t=arguments.length>1?arguments[1]:void 0,n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};return function(r,o){var i=r.selection,a=i.$from,s=i.$to,u=a.index(),c=e?e.from:a.pos,l=e?e.to:s.pos;return!!a.parent.canReplaceWith(u,u,t)&&(o&&o(r.tr.replaceWith(c,l,t.create(n))),!0)}}function w(e){return function(t,n){var r=t.selection,o=r.$from,a=r.$to,s=r.node;if(s&&s.isBlock||o.depth<2||!o.sameParent(a))return!1;var u=o.node(-1);if(u.type!=e)return!1;if(0==o.parent.content.size){if(2==o.depth||o.node(-3).type!=e||o.index(-2)!=o.node(-2).childCount-1)return!1;if(n){for(var c=i.Fragment.empty,l=o.index(-1)>0,d=o.depth-(l?1:2);d>=o.depth-3;d--)c=i.Fragment.from(o.node(d).copy(c));c=c.append(i.Fragment.from(e.createAndFill()));var h=t.tr.replace(o.before(l?null:-1),o.after(-3),new i.Slice(c,l?3:2,2));h.setSelection(t.selection.constructor.near(h.doc.resolve(o.pos+(l?3:2)))),n(h.scrollIntoView())}return!0}var f=a.pos==o.end()?u.contentMatchAt(o.indexAfter(-1)).defaultType:null,p=t.tr.delete(o.pos,a.pos),m=f&&[{type:e},{type:f}];return m||(m=[{type:e},null]),!!function(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:1,r=arguments.length>3?arguments[3]:void 0,o=e.resolve(t),i=o.depth-n,a=r&&r[r.length-1]||o.parent;if(i<0||o.parent.type.spec.isolating||!o.parent.canReplace(o.index(),o.parent.childCount)||!a.type.validContent(o.parent.content.cutByIndex(o.index(),o.parent.childCount)))return!1;for(var s=o.depth-1,u=n-2;s>i;s--,u--){var c=o.node(s),l=o.index(s);if(c.type.spec.isolating)return!1;var d=c.content.cutByIndex(l,c.childCount),h=r&&r[u]||c;if(h!=c&&(d=d.replaceChild(0,h.type.create(h.attrs))),!c.canReplace(l+1,c.childCount))return!1}var f=o.indexAfter(i),p=r&&r[0];return o.node(i).canReplaceWith(f,f,p?p.type:o.node(i+1).type)}(p.doc,o.pos,2,m)&&(n&&n(p.split(o.pos,2,m).scrollIntoView()),!0)}}function L(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};return function(o,i,a){return Object(h.f)(o,e,n)?Object(r.e)(t)(o,i,a):Object(r.e)(e,n)(o,i,a)}}function T(e,t){return e.type===t.nodes.bullet_list||e.type===t.nodes.ordered_list||e.type===t.nodes.todo_list}function D(e,t){return function(n,r,o){var i=n.schema,s=n.selection,c=s.$from,l=s.$to,d=c.blockRange(l);if(!d)return!1;var h=Object(f.findParentNode)((function(e){return T(e,i)}))(s);if(d.depth>=1&&h&&d.depth-h.depth<=1){if(h.node.type===e)return u(t)(n,r,o);if(T(h.node,i)&&e.validContent(h.node.content)){var p=n.tr;return p.setNodeMarkup(h.pos,e),r&&r(p),!1}}return a(e)(n,r,o)}}function x(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};return function(n,o,i){return Object(h.f)(n,e,t)?Object(r.d)(n,o):Object(r.g)(e,t)(n,o,i)}}function S(e,t){return function(n,r){var o=n.tr,i=n.selection,a=n.doc,s=i.from,u=i.to,c=i.$from;if(i.empty){var l=Object(h.b)(c,e);s=l.from,u=l.to}return a.rangeHasMark(s,u,e)&&o.removeMark(s,u,e),o.addMark(s,u,e.create(t)),r(o)}}},,function(e,t,n){"use strict";n.d(t,"a",(function(){return s})),n.d(t,"b",(function(){return l})),n.d(t,"c",(function(){return u})),n.d(t,"d",(function(){return c}));var r=n(181),o=function(e,t,n){this.step=e,this.inverted=t,this.origin=n};var i=function(e,t){this.version=e,this.unconfirmed=t};var a=new r.PluginKey("collab");function s(e){return void 0===e&&(e={}),e={version:e.version||0,clientID:null==e.clientID?Math.floor(4294967295*Math.random()):e.clientID},new r.Plugin({key:a,state:{init:function(){return new i(e.version,[])},apply:function(e,t){var n=e.getMeta(a);return n||(e.docChanged?new i(t.version,t.unconfirmed.concat(function(e){for(var t=[],n=0;n<e.steps.length;n++)t.push(new o(e.steps[n],e.steps[n].invert(e.docs[n]),e));return t}(e))):t)}},config:e,historyPreserveItems:!0})}function u(e,t,n,s){for(var u=a.getState(e),c=u.version+t.length,l=a.get(e).spec.config.clientID,d=0;d<n.length&&n[d]==l;)++d;var h=u.unconfirmed.slice(d);if(!(t=d?t.slice(d):t).length)return e.tr.setMeta(a,new i(c,h));var f=h.length,p=e.tr;if(f)h=function(e,t,n){for(var r=e.length-1;r>=0;r--)n.step(e[r].inverted);for(var i=0;i<t.length;i++)n.step(t[i]);for(var a=[],s=0,u=e.length;s<e.length;s++){var c=e[s].step.map(n.mapping.slice(u));u--,c&&!n.maybeStep(c).failed&&(n.mapping.setMirror(u,n.steps.length-1),a.push(new o(c,c.invert(n.docs[n.docs.length-1]),e[s].origin)))}return a}(h,t,p);else{for(var m=0;m<t.length;m++)p.step(t[m]);h=[]}var _=new i(c,h);return s&&s.mapSelectionBackward&&e.selection instanceof r.TextSelection&&(p.setSelection(r.TextSelection.between(p.doc.resolve(p.mapping.map(e.selection.anchor,-1)),p.doc.resolve(p.mapping.map(e.selection.head,-1)),-1)),p.updated&=-2),p.setMeta("rebased",f).setMeta("addToHistory",!1).setMeta(a,_)}function c(e){var t=a.getState(e);return 0==t.unconfirmed.length?null:{version:t.version,steps:t.unconfirmed.map((function(e){return e.step})),clientID:a.get(e).spec.config.clientID,get origins(){return this._origins||(this._origins=t.unconfirmed.map((function(e){return e.origin})))}}}function l(e){return a.getState(e).version}},,function(e,t){e.exports=/[!-#%-\*,-\/:;\?@\[-\]_\{\}\xA1\xA7\xAB\xB6\xB7\xBB\xBF\u037E\u0387\u055A-\u055F\u0589\u058A\u05BE\u05C0\u05C3\u05C6\u05F3\u05F4\u0609\u060A\u060C\u060D\u061B\u061E\u061F\u066A-\u066D\u06D4\u0700-\u070D\u07F7-\u07F9\u0830-\u083E\u085E\u0964\u0965\u0970\u09FD\u0A76\u0AF0\u0C84\u0DF4\u0E4F\u0E5A\u0E5B\u0F04-\u0F12\u0F14\u0F3A-\u0F3D\u0F85\u0FD0-\u0FD4\u0FD9\u0FDA\u104A-\u104F\u10FB\u1360-\u1368\u1400\u166D\u166E\u169B\u169C\u16EB-\u16ED\u1735\u1736\u17D4-\u17D6\u17D8-\u17DA\u1800-\u180A\u1944\u1945\u1A1E\u1A1F\u1AA0-\u1AA6\u1AA8-\u1AAD\u1B5A-\u1B60\u1BFC-\u1BFF\u1C3B-\u1C3F\u1C7E\u1C7F\u1CC0-\u1CC7\u1CD3\u2010-\u2027\u2030-\u2043\u2045-\u2051\u2053-\u205E\u207D\u207E\u208D\u208E\u2308-\u230B\u2329\u232A\u2768-\u2775\u27C5\u27C6\u27E6-\u27EF\u2983-\u2998\u29D8-\u29DB\u29FC\u29FD\u2CF9-\u2CFC\u2CFE\u2CFF\u2D70\u2E00-\u2E2E\u2E30-\u2E4E\u3001-\u3003\u3008-\u3011\u3014-\u301F\u3030\u303D\u30A0\u30FB\uA4FE\uA4FF\uA60D-\uA60F\uA673\uA67E\uA6F2-\uA6F7\uA874-\uA877\uA8CE\uA8CF\uA8F8-\uA8FA\uA8FC\uA92E\uA92F\uA95F\uA9C1-\uA9CD\uA9DE\uA9DF\uAA5C-\uAA5F\uAADE\uAADF\uAAF0\uAAF1\uABEB\uFD3E\uFD3F\uFE10-\uFE19\uFE30-\uFE52\uFE54-\uFE61\uFE63\uFE68\uFE6A\uFE6B\uFF01-\uFF03\uFF05-\uFF0A\uFF0C-\uFF0F\uFF1A\uFF1B\uFF1F\uFF20\uFF3B-\uFF3D\uFF3F\uFF5B\uFF5D\uFF5F-\uFF65]|\uD800[\uDD00-\uDD02\uDF9F\uDFD0]|\uD801\uDD6F|\uD802[\uDC57\uDD1F\uDD3F\uDE50-\uDE58\uDE7F\uDEF0-\uDEF6\uDF39-\uDF3F\uDF99-\uDF9C]|\uD803[\uDF55-\uDF59]|\uD804[\uDC47-\uDC4D\uDCBB\uDCBC\uDCBE-\uDCC1\uDD40-\uDD43\uDD74\uDD75\uDDC5-\uDDC8\uDDCD\uDDDB\uDDDD-\uDDDF\uDE38-\uDE3D\uDEA9]|\uD805[\uDC4B-\uDC4F\uDC5B\uDC5D\uDCC6\uDDC1-\uDDD7\uDE41-\uDE43\uDE60-\uDE6C\uDF3C-\uDF3E]|\uD806[\uDC3B\uDE3F-\uDE46\uDE9A-\uDE9C\uDE9E-\uDEA2]|\uD807[\uDC41-\uDC45\uDC70\uDC71\uDEF7\uDEF8]|\uD809[\uDC70-\uDC74]|\uD81A[\uDE6E\uDE6F\uDEF5\uDF37-\uDF3B\uDF44]|\uD81B[\uDE97-\uDE9A]|\uD82F\uDC9F|\uD836[\uDE87-\uDE8B]|\uD83A[\uDD5E\uDD5F]/},function(e,t,n){"use strict";function r(){this.__rules__=[],this.__cache__=null}r.prototype.__find__=function(e){for(var t=0;t<this.__rules__.length;t++)if(this.__rules__[t].name===e)return t;return-1},r.prototype.__compile__=function(){var e=this,t=[""];e.__rules__.forEach((function(e){e.enabled&&e.alt.forEach((function(e){t.indexOf(e)<0&&t.push(e)}))})),e.__cache__={},t.forEach((function(t){e.__cache__[t]=[],e.__rules__.forEach((function(n){n.enabled&&(t&&n.alt.indexOf(t)<0||e.__cache__[t].push(n.fn))}))}))},r.prototype.at=function(e,t,n){var r=this.__find__(e),o=n||{};if(-1===r)throw new Error("Parser rule not found: "+e);this.__rules__[r].fn=t,this.__rules__[r].alt=o.alt||[],this.__cache__=null},r.prototype.before=function(e,t,n,r){var o=this.__find__(e),i=r||{};if(-1===o)throw new Error("Parser rule not found: "+e);this.__rules__.splice(o,0,{name:t,enabled:!0,fn:n,alt:i.alt||[]}),this.__cache__=null},r.prototype.after=function(e,t,n,r){var o=this.__find__(e),i=r||{};if(-1===o)throw new Error("Parser rule not found: "+e);this.__rules__.splice(o+1,0,{name:t,enabled:!0,fn:n,alt:i.alt||[]}),this.__cache__=null},r.prototype.push=function(e,t,n){var r=n||{};this.__rules__.push({name:e,enabled:!0,fn:t,alt:r.alt||[]}),this.__cache__=null},r.prototype.enable=function(e,t){Array.isArray(e)||(e=[e]);var n=[];return e.forEach((function(e){var r=this.__find__(e);if(r<0){if(t)return;throw new Error("Rules manager: invalid rule name "+e)}this.__rules__[r].enabled=!0,n.push(e)}),this),this.__cache__=null,n},r.prototype.enableOnly=function(e,t){Array.isArray(e)||(e=[e]),this.__rules__.forEach((function(e){e.enabled=!1})),this.enable(e,t)},r.prototype.disable=function(e,t){Array.isArray(e)||(e=[e]);var n=[];return e.forEach((function(e){var r=this.__find__(e);if(r<0){if(t)return;throw new Error("Rules manager: invalid rule name "+e)}this.__rules__[r].enabled=!1,n.push(e)}),this),this.__cache__=null,n},r.prototype.getRules=function(e){return null===this.__cache__&&this.__compile__(),this.__cache__[e]||[]},e.exports=r},function(e,t,n){"use strict";function r(e,t,n){this.type=e,this.tag=t,this.attrs=null,this.map=null,this.nesting=n,this.level=0,this.children=null,this.content="",this.markup="",this.info="",this.meta=null,this.block=!1,this.hidden=!1}r.prototype.attrIndex=function(e){var t,n,r;if(!this.attrs)return-1;for(n=0,r=(t=this.attrs).length;n<r;n++)if(t[n][0]===e)return n;return-1},r.prototype.attrPush=function(e){this.attrs?this.attrs.push(e):this.attrs=[e]},r.prototype.attrSet=function(e,t){var n=this.attrIndex(e),r=[e,t];n<0?this.attrPush(r):this.attrs[n]=r},r.prototype.attrGet=function(e){var t=this.attrIndex(e),n=null;return t>=0&&(n=this.attrs[t][1]),n},r.prototype.attrJoin=function(e,t){var n=this.attrIndex(e);n<0?this.attrPush([e,t]):this.attrs[n][1]=this.attrs[n][1]+" "+t},e.exports=r},,,,,,,,,,,,,,,,,,,,function(e,t,n){"use strict";n.d(t,"a",(function(){return y})),n.d(t,"b",(function(){return g}));for(var r={8:"Backspace",9:"Tab",10:"Enter",12:"NumLock",13:"Enter",16:"Shift",17:"Control",18:"Alt",20:"CapsLock",27:"Escape",32:" ",33:"PageUp",34:"PageDown",35:"End",36:"Home",37:"ArrowLeft",38:"ArrowUp",39:"ArrowRight",40:"ArrowDown",44:"PrintScreen",45:"Insert",46:"Delete",59:";",61:"=",91:"Meta",92:"Meta",106:"*",107:"+",108:",",109:"-",110:".",111:"/",144:"NumLock",145:"ScrollLock",160:"Shift",161:"Shift",162:"Control",163:"Control",164:"Alt",165:"Alt",173:"-",186:";",187:"=",188:",",189:"-",190:".",191:"/",192:"`",219:"[",220:"\\",221:"]",222:"'",229:"q"},o={48:")",49:"!",50:"@",51:"#",52:"$",53:"%",54:"^",55:"&",56:"*",57:"(",59:":",61:"+",173:"_",186:":",187:"+",188:"<",189:"_",190:">",191:"?",192:"~",219:"{",220:"|",221:"}",222:'"',229:"Q"},i="undefined"!=typeof navigator&&/Chrome\/(\d+)/.exec(navigator.userAgent),a="undefined"!=typeof navigator&&/Apple Computer/.test(navigator.vendor),s="undefined"!=typeof navigator&&/Gecko\/\d+/.test(navigator.userAgent),u="undefined"!=typeof navigator&&/Mac/.test(navigator.platform),c="undefined"!=typeof navigator&&/MSIE \d|Trident\/(?:[7-9]|\d{2,})\..*rv:(\d+)/.exec(navigator.userAgent),l=i&&(u||+i[1]<57)||s&&u,d=0;d<10;d++)r[48+d]=r[96+d]=String(d);for(d=1;d<=24;d++)r[d+111]="F"+d;for(d=65;d<=90;d++)r[d]=String.fromCharCode(d+32),o[d]=String.fromCharCode(d);for(var h in r)o.hasOwnProperty(h)||(o[h]=r[h]);var f=n(181),p="undefined"!=typeof navigator&&/Mac/.test(navigator.platform);function m(e){var t,n,r,o,i=e.split(/-(?!$)/),a=i[i.length-1];"Space"==a&&(a=" ");for(var s=0;s<i.length-1;s++){var u=i[s];if(/^(cmd|meta|m)$/i.test(u))o=!0;else if(/^a(lt)?$/i.test(u))t=!0;else if(/^(c|ctrl|control)$/i.test(u))n=!0;else if(/^s(hift)?$/i.test(u))r=!0;else{if(!/^mod$/i.test(u))throw new Error("Unrecognized modifier name: "+u);p?o=!0:n=!0}}return t&&(a="Alt-"+a),n&&(a="Ctrl-"+a),o&&(a="Meta-"+a),r&&(a="Shift-"+a),a}function _(e,t,n){return t.altKey&&(e="Alt-"+e),t.ctrlKey&&(e="Ctrl-"+e),t.metaKey&&(e="Meta-"+e),!1!==n&&t.shiftKey&&(e="Shift-"+e),e}function g(e){return new f.Plugin({props:{handleKeyDown:y(e)}})}function y(e){var t=function(e){var t=Object.create(null);for(var n in e)t[m(n)]=e[n];return t}(e);return function(e,n){var i,s=function(e){var t=!(l&&(e.ctrlKey||e.altKey||e.metaKey)||(a||c)&&e.shiftKey&&e.key&&1==e.key.length)&&e.key||(e.shiftKey?o:r)[e.keyCode]||e.key||"Unidentified";return"Esc"==t&&(t="Escape"),"Del"==t&&(t="Delete"),"Left"==t&&(t="ArrowLeft"),"Up"==t&&(t="ArrowUp"),"Right"==t&&(t="ArrowRight"),"Down"==t&&(t="ArrowDown"),t}(n),u=1==s.length&&" "!=s,d=t[_(s,n,!u)];if(d&&d(e.state,e.dispatch,e))return!0;if(u&&(n.shiftKey||n.altKey||n.metaKey||s.charCodeAt(0)>127)&&(i=r[n.keyCode])&&i!=s){var h=t[_(i,n,!0)];if(h&&h(e.state,e.dispatch,e))return!0}else if(u&&n.shiftKey){var f=t[_(s,n,!0)];if(f&&f(e.state,e.dispatch,e))return!0}return!1}}},function(e,t,n){"use strict";
/*!
* escape-html
* Copyright(c) 2012-2013 TJ Holowaychuk
* Copyright(c) 2015 Andreas Lubbe
* Copyright(c) 2015 Tiancheng "Timothy" Gu
* MIT Licensed
- */var r=/["'&<>]/;e.exports=function(e){var t,n=""+e,o=r.exec(n);if(!o)return n;var i="",a=0,s=0;for(a=o.index;a<n.length;a++){switch(n.charCodeAt(a)){case 34:t="&quot;";break;case 38:t="&amp;";break;case 39:t="&#39;";break;case 60:t="&lt;";break;case 62:t="&gt;";break;default:continue}s!==a&&(i+=n.substring(s,a)),s=a+1,i+=t}return s!==a?i+n.substring(s,a):i}},function(e,t){e.exports=function(e){return e.webpackPolyfill||(e.deprecate=function(){},e.paths=[],e.children||(e.children=[]),Object.defineProperty(e,"loaded",{enumerable:!0,get:function(){return e.l}}),Object.defineProperty(e,"id",{enumerable:!0,get:function(){return e.i}}),e.webpackPolyfill=1),e}},function(e,t,n){!function(e){"use strict";e.defineLocale("af",{months:"Januarie_Februarie_Maart_April_Mei_Junie_Julie_Augustus_September_Oktober_November_Desember".split("_"),monthsShort:"Jan_Feb_Mrt_Apr_Mei_Jun_Jul_Aug_Sep_Okt_Nov_Des".split("_"),weekdays:"Sondag_Maandag_Dinsdag_Woensdag_Donderdag_Vrydag_Saterdag".split("_"),weekdaysShort:"Son_Maa_Din_Woe_Don_Vry_Sat".split("_"),weekdaysMin:"So_Ma_Di_Wo_Do_Vr_Sa".split("_"),meridiemParse:/vm|nm/i,isPM:function(e){return/^nm$/i.test(e)},meridiem:function(e,t,n){return e<12?n?"vm":"VM":n?"nm":"NM"},longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Vandag om] LT",nextDay:"[Môre om] LT",nextWeek:"dddd [om] LT",lastDay:"[Gister om] LT",lastWeek:"[Laas] dddd [om] LT",sameElse:"L"},relativeTime:{future:"oor %s",past:"%s gelede",s:"'n paar sekondes",ss:"%d sekondes",m:"'n minuut",mm:"%d minute",h:"'n uur",hh:"%d ure",d:"'n dag",dd:"%d dae",M:"'n maand",MM:"%d maande",y:"'n jaar",yy:"%d jaar"},dayOfMonthOrdinalParse:/\d{1,2}(ste|de)/,ordinal:function(e){return e+(1===e||8===e||e>=20?"ste":"de")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"١",2:"٢",3:"٣",4:"٤",5:"٥",6:"٦",7:"٧",8:"٨",9:"٩",0:"٠"},n={"١":"1","٢":"2","٣":"3","٤":"4","٥":"5","٦":"6","٧":"7","٨":"8","٩":"9","٠":"0"},r=function(e){return 0===e?0:1===e?1:2===e?2:e%100>=3&&e%100<=10?3:e%100>=11?4:5},o={s:["أقل من ثانية","ثانية واحدة",["ثانيتان","ثانيتين"],"%d ثوان","%d ثانية","%d ثانية"],m:["أقل من دقيقة","دقيقة واحدة",["دقيقتان","دقيقتين"],"%d دقائق","%d دقيقة","%d دقيقة"],h:["أقل من ساعة","ساعة واحدة",["ساعتان","ساعتين"],"%d ساعات","%d ساعة","%d ساعة"],d:["أقل من يوم","يوم واحد",["يومان","يومين"],"%d أيام","%d يومًا","%d يوم"],M:["أقل من شهر","شهر واحد",["شهران","شهرين"],"%d أشهر","%d شهرا","%d شهر"],y:["أقل من عام","عام واحد",["عامان","عامين"],"%d أعوام","%d عامًا","%d عام"]},i=function(e){return function(t,n,i,a){var s=r(t),u=o[e][r(t)];return 2===s&&(u=u[n?0:1]),u.replace(/%d/i,t)}},a=["يناير","فبراير","مارس","أبريل","مايو","يونيو","يوليو","أغسطس","سبتمبر","أكتوبر","نوفمبر","ديسمبر"];e.defineLocale("ar",{months:a,monthsShort:a,weekdays:"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"D/‏M/‏YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},meridiemParse:/ص|م/,isPM:function(e){return"م"===e},meridiem:function(e,t,n){return e<12?"ص":"م"},calendar:{sameDay:"[اليوم عند الساعة] LT",nextDay:"[غدًا عند الساعة] LT",nextWeek:"dddd [عند الساعة] LT",lastDay:"[أمس عند الساعة] LT",lastWeek:"dddd [عند الساعة] LT",sameElse:"L"},relativeTime:{future:"بعد %s",past:"منذ %s",s:i("s"),ss:i("s"),m:i("m"),mm:i("m"),h:i("h"),hh:i("h"),d:i("d"),dd:i("d"),M:i("M"),MM:i("M"),y:i("y"),yy:i("y")},preparse:function(e){return e.replace(/[١٢٣٤٥٦٧٨٩٠]/g,(function(e){return n[e]})).replace(/،/g,",")},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]})).replace(/,/g,"،")},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ar-dz",{months:"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),monthsShort:"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),weekdays:"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"احد_اثنين_ثلاثاء_اربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"أح_إث_ثلا_أر_خم_جم_سب".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[اليوم على الساعة] LT",nextDay:"[غدا على الساعة] LT",nextWeek:"dddd [على الساعة] LT",lastDay:"[أمس على الساعة] LT",lastWeek:"dddd [على الساعة] LT",sameElse:"L"},relativeTime:{future:"في %s",past:"منذ %s",s:"ثوان",ss:"%d ثانية",m:"دقيقة",mm:"%d دقائق",h:"ساعة",hh:"%d ساعات",d:"يوم",dd:"%d أيام",M:"شهر",MM:"%d أشهر",y:"سنة",yy:"%d سنوات"},week:{dow:0,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ar-kw",{months:"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر".split("_"),monthsShort:"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر".split("_"),weekdays:"الأحد_الإتنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"احد_اتنين_ثلاثاء_اربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[اليوم على الساعة] LT",nextDay:"[غدا على الساعة] LT",nextWeek:"dddd [على الساعة] LT",lastDay:"[أمس على الساعة] LT",lastWeek:"dddd [على الساعة] LT",sameElse:"L"},relativeTime:{future:"في %s",past:"منذ %s",s:"ثوان",ss:"%d ثانية",m:"دقيقة",mm:"%d دقائق",h:"ساعة",hh:"%d ساعات",d:"يوم",dd:"%d أيام",M:"شهر",MM:"%d أشهر",y:"سنة",yy:"%d سنوات"},week:{dow:0,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"1",2:"2",3:"3",4:"4",5:"5",6:"6",7:"7",8:"8",9:"9",0:"0"},n=function(e){return 0===e?0:1===e?1:2===e?2:e%100>=3&&e%100<=10?3:e%100>=11?4:5},r={s:["أقل من ثانية","ثانية واحدة",["ثانيتان","ثانيتين"],"%d ثوان","%d ثانية","%d ثانية"],m:["أقل من دقيقة","دقيقة واحدة",["دقيقتان","دقيقتين"],"%d دقائق","%d دقيقة","%d دقيقة"],h:["أقل من ساعة","ساعة واحدة",["ساعتان","ساعتين"],"%d ساعات","%d ساعة","%d ساعة"],d:["أقل من يوم","يوم واحد",["يومان","يومين"],"%d أيام","%d يومًا","%d يوم"],M:["أقل من شهر","شهر واحد",["شهران","شهرين"],"%d أشهر","%d شهرا","%d شهر"],y:["أقل من عام","عام واحد",["عامان","عامين"],"%d أعوام","%d عامًا","%d عام"]},o=function(e){return function(t,o,i,a){var s=n(t),u=r[e][n(t)];return 2===s&&(u=u[o?0:1]),u.replace(/%d/i,t)}},i=["يناير","فبراير","مارس","أبريل","مايو","يونيو","يوليو","أغسطس","سبتمبر","أكتوبر","نوفمبر","ديسمبر"];e.defineLocale("ar-ly",{months:i,monthsShort:i,weekdays:"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"D/‏M/‏YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},meridiemParse:/ص|م/,isPM:function(e){return"م"===e},meridiem:function(e,t,n){return e<12?"ص":"م"},calendar:{sameDay:"[اليوم عند الساعة] LT",nextDay:"[غدًا عند الساعة] LT",nextWeek:"dddd [عند الساعة] LT",lastDay:"[أمس عند الساعة] LT",lastWeek:"dddd [عند الساعة] LT",sameElse:"L"},relativeTime:{future:"بعد %s",past:"منذ %s",s:o("s"),ss:o("s"),m:o("m"),mm:o("m"),h:o("h"),hh:o("h"),d:o("d"),dd:o("d"),M:o("M"),MM:o("M"),y:o("y"),yy:o("y")},preparse:function(e){return e.replace(/،/g,",")},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]})).replace(/,/g,"،")},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ar-ma",{months:"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر".split("_"),monthsShort:"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر".split("_"),weekdays:"الأحد_الإتنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"احد_اتنين_ثلاثاء_اربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[اليوم على الساعة] LT",nextDay:"[غدا على الساعة] LT",nextWeek:"dddd [على الساعة] LT",lastDay:"[أمس على الساعة] LT",lastWeek:"dddd [على الساعة] LT",sameElse:"L"},relativeTime:{future:"في %s",past:"منذ %s",s:"ثوان",ss:"%d ثانية",m:"دقيقة",mm:"%d دقائق",h:"ساعة",hh:"%d ساعات",d:"يوم",dd:"%d أيام",M:"شهر",MM:"%d أشهر",y:"سنة",yy:"%d سنوات"},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"١",2:"٢",3:"٣",4:"٤",5:"٥",6:"٦",7:"٧",8:"٨",9:"٩",0:"٠"},n={"١":"1","٢":"2","٣":"3","٤":"4","٥":"5","٦":"6","٧":"7","٨":"8","٩":"9","٠":"0"};e.defineLocale("ar-sa",{months:"يناير_فبراير_مارس_أبريل_مايو_يونيو_يوليو_أغسطس_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),monthsShort:"يناير_فبراير_مارس_أبريل_مايو_يونيو_يوليو_أغسطس_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),weekdays:"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},meridiemParse:/ص|م/,isPM:function(e){return"م"===e},meridiem:function(e,t,n){return e<12?"ص":"م"},calendar:{sameDay:"[اليوم على الساعة] LT",nextDay:"[غدا على الساعة] LT",nextWeek:"dddd [على الساعة] LT",lastDay:"[أمس على الساعة] LT",lastWeek:"dddd [على الساعة] LT",sameElse:"L"},relativeTime:{future:"في %s",past:"منذ %s",s:"ثوان",ss:"%d ثانية",m:"دقيقة",mm:"%d دقائق",h:"ساعة",hh:"%d ساعات",d:"يوم",dd:"%d أيام",M:"شهر",MM:"%d أشهر",y:"سنة",yy:"%d سنوات"},preparse:function(e){return e.replace(/[١٢٣٤٥٦٧٨٩٠]/g,(function(e){return n[e]})).replace(/،/g,",")},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]})).replace(/,/g,"،")},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ar-tn",{months:"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),monthsShort:"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),weekdays:"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[اليوم على الساعة] LT",nextDay:"[غدا على الساعة] LT",nextWeek:"dddd [على الساعة] LT",lastDay:"[أمس على الساعة] LT",lastWeek:"dddd [على الساعة] LT",sameElse:"L"},relativeTime:{future:"في %s",past:"منذ %s",s:"ثوان",ss:"%d ثانية",m:"دقيقة",mm:"%d دقائق",h:"ساعة",hh:"%d ساعات",d:"يوم",dd:"%d أيام",M:"شهر",MM:"%d أشهر",y:"سنة",yy:"%d سنوات"},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"-inci",5:"-inci",8:"-inci",70:"-inci",80:"-inci",2:"-nci",7:"-nci",20:"-nci",50:"-nci",3:"-üncü",4:"-üncü",100:"-üncü",6:"-ncı",9:"-uncu",10:"-uncu",30:"-uncu",60:"-ıncı",90:"-ıncı"};e.defineLocale("az",{months:"yanvar_fevral_mart_aprel_may_iyun_iyul_avqust_sentyabr_oktyabr_noyabr_dekabr".split("_"),monthsShort:"yan_fev_mar_apr_may_iyn_iyl_avq_sen_okt_noy_dek".split("_"),weekdays:"Bazar_Bazar ertəsi_Çərşənbə axşamı_Çərşənbə_Cümə axşamı_Cümə_Şənbə".split("_"),weekdaysShort:"Baz_BzE_ÇAx_Çər_CAx_Cüm_Şən".split("_"),weekdaysMin:"Bz_BE_ÇA_Çə_CA_Cü_Şə".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[bugün saat] LT",nextDay:"[sabah saat] LT",nextWeek:"[gələn həftə] dddd [saat] LT",lastDay:"[dünən] LT",lastWeek:"[keçən həftə] dddd [saat] LT",sameElse:"L"},relativeTime:{future:"%s sonra",past:"%s əvvəl",s:"birneçə saniyə",ss:"%d saniyə",m:"bir dəqiqə",mm:"%d dəqiqə",h:"bir saat",hh:"%d saat",d:"bir gün",dd:"%d gün",M:"bir ay",MM:"%d ay",y:"bir il",yy:"%d il"},meridiemParse:/gecə|səhər|gündüz|axşam/,isPM:function(e){return/^(gündüz|axşam)$/.test(e)},meridiem:function(e,t,n){return e<4?"gecə":e<12?"səhər":e<17?"gündüz":"axşam"},dayOfMonthOrdinalParse:/\d{1,2}-(ıncı|inci|nci|üncü|ncı|uncu)/,ordinal:function(e){if(0===e)return e+"-ıncı";var n=e%10;return e+(t[n]||t[e%100-n]||t[e>=100?100:null])},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r,o;return"m"===n?t?"хвіліна":"хвіліну":"h"===n?t?"гадзіна":"гадзіну":e+" "+(r=+e,o={ss:t?"секунда_секунды_секунд":"секунду_секунды_секунд",mm:t?"хвіліна_хвіліны_хвілін":"хвіліну_хвіліны_хвілін",hh:t?"гадзіна_гадзіны_гадзін":"гадзіну_гадзіны_гадзін",dd:"дзень_дні_дзён",MM:"месяц_месяцы_месяцаў",yy:"год_гады_гадоў"}[n].split("_"),r%10==1&&r%100!=11?o[0]:r%10>=2&&r%10<=4&&(r%100<10||r%100>=20)?o[1]:o[2])}e.defineLocale("be",{months:{format:"студзеня_лютага_сакавіка_красавіка_траўня_чэрвеня_ліпеня_жніўня_верасня_кастрычніка_лістапада_снежня".split("_"),standalone:"студзень_люты_сакавік_красавік_травень_чэрвень_ліпень_жнівень_верасень_кастрычнік_лістапад_снежань".split("_")},monthsShort:"студ_лют_сак_крас_трав_чэрв_ліп_жнів_вер_каст_ліст_снеж".split("_"),weekdays:{format:"нядзелю_панядзелак_аўторак_сераду_чацвер_пятніцу_суботу".split("_"),standalone:"нядзеля_панядзелак_аўторак_серада_чацвер_пятніца_субота".split("_"),isFormat:/\[ ?[Ууў] ?(?:мінулую|наступную)? ?\] ?dddd/},weekdaysShort:"нд_пн_ат_ср_чц_пт_сб".split("_"),weekdaysMin:"нд_пн_ат_ср_чц_пт_сб".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY г.",LLL:"D MMMM YYYY г., HH:mm",LLLL:"dddd, D MMMM YYYY г., HH:mm"},calendar:{sameDay:"[Сёння ў] LT",nextDay:"[Заўтра ў] LT",lastDay:"[Учора ў] LT",nextWeek:function(){return"[У] dddd [ў] LT"},lastWeek:function(){switch(this.day()){case 0:case 3:case 5:case 6:return"[У мінулую] dddd [ў] LT";case 1:case 2:case 4:return"[У мінулы] dddd [ў] LT"}},sameElse:"L"},relativeTime:{future:"праз %s",past:"%s таму",s:"некалькі секунд",m:t,mm:t,h:t,hh:t,d:"дзень",dd:t,M:"месяц",MM:t,y:"год",yy:t},meridiemParse:/ночы|раніцы|дня|вечара/,isPM:function(e){return/^(дня|вечара)$/.test(e)},meridiem:function(e,t,n){return e<4?"ночы":e<12?"раніцы":e<17?"дня":"вечара"},dayOfMonthOrdinalParse:/\d{1,2}-(і|ы|га)/,ordinal:function(e,t){switch(t){case"M":case"d":case"DDD":case"w":case"W":return e%10!=2&&e%10!=3||e%100==12||e%100==13?e+"-ы":e+"-і";case"D":return e+"-га";default:return e}},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("bg",{months:"януари_февруари_март_април_май_юни_юли_август_септември_октомври_ноември_декември".split("_"),monthsShort:"янр_фев_мар_апр_май_юни_юли_авг_сеп_окт_ное_дек".split("_"),weekdays:"неделя_понеделник_вторник_сряда_четвъртък_петък_събота".split("_"),weekdaysShort:"нед_пон_вто_сря_чет_пет_съб".split("_"),weekdaysMin:"нд_пн_вт_ср_чт_пт_сб".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"D.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY H:mm",LLLL:"dddd, D MMMM YYYY H:mm"},calendar:{sameDay:"[Днес в] LT",nextDay:"[Утре в] LT",nextWeek:"dddd [в] LT",lastDay:"[Вчера в] LT",lastWeek:function(){switch(this.day()){case 0:case 3:case 6:return"[В изминалата] dddd [в] LT";case 1:case 2:case 4:case 5:return"[В изминалия] dddd [в] LT"}},sameElse:"L"},relativeTime:{future:"след %s",past:"преди %s",s:"няколко секунди",ss:"%d секунди",m:"минута",mm:"%d минути",h:"час",hh:"%d часа",d:"ден",dd:"%d дни",M:"месец",MM:"%d месеца",y:"година",yy:"%d години"},dayOfMonthOrdinalParse:/\d{1,2}-(ев|ен|ти|ви|ри|ми)/,ordinal:function(e){var t=e%10,n=e%100;return 0===e?e+"-ев":0===n?e+"-ен":n>10&&n<20?e+"-ти":1===t?e+"-ви":2===t?e+"-ри":7===t||8===t?e+"-ми":e+"-ти"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("bm",{months:"Zanwuyekalo_Fewuruyekalo_Marisikalo_Awirilikalo_Mɛkalo_Zuwɛnkalo_Zuluyekalo_Utikalo_Sɛtanburukalo_ɔkutɔburukalo_Nowanburukalo_Desanburukalo".split("_"),monthsShort:"Zan_Few_Mar_Awi_Mɛ_Zuw_Zul_Uti_Sɛt_ɔku_Now_Des".split("_"),weekdays:"Kari_Ntɛnɛn_Tarata_Araba_Alamisa_Juma_Sibiri".split("_"),weekdaysShort:"Kar_Ntɛ_Tar_Ara_Ala_Jum_Sib".split("_"),weekdaysMin:"Ka_Nt_Ta_Ar_Al_Ju_Si".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"MMMM [tile] D [san] YYYY",LLL:"MMMM [tile] D [san] YYYY [lɛrɛ] HH:mm",LLLL:"dddd MMMM [tile] D [san] YYYY [lɛrɛ] HH:mm"},calendar:{sameDay:"[Bi lɛrɛ] LT",nextDay:"[Sini lɛrɛ] LT",nextWeek:"dddd [don lɛrɛ] LT",lastDay:"[Kunu lɛrɛ] LT",lastWeek:"dddd [tɛmɛnen lɛrɛ] LT",sameElse:"L"},relativeTime:{future:"%s kɔnɔ",past:"a bɛ %s bɔ",s:"sanga dama dama",ss:"sekondi %d",m:"miniti kelen",mm:"miniti %d",h:"lɛrɛ kelen",hh:"lɛrɛ %d",d:"tile kelen",dd:"tile %d",M:"kalo kelen",MM:"kalo %d",y:"san kelen",yy:"san %d"},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"১",2:"২",3:"৩",4:"৪",5:"৫",6:"৬",7:"৭",8:"৮",9:"৯",0:"০"},n={"১":"1","২":"2","৩":"3","৪":"4","৫":"5","৬":"6","৭":"7","৮":"8","৯":"9","০":"0"};e.defineLocale("bn",{months:"জানুয়ারী_ফেব্রুয়ারি_মার্চ_এপ্রিল_মে_জুন_জুলাই_আগস্ট_সেপ্টেম্বর_অক্টোবর_নভেম্বর_ডিসেম্বর".split("_"),monthsShort:"জানু_ফেব_মার্চ_এপ্র_মে_জুন_জুল_আগ_সেপ্ট_অক্টো_নভে_ডিসে".split("_"),weekdays:"রবিবার_সোমবার_মঙ্গলবার_বুধবার_বৃহস্পতিবার_শুক্রবার_শনিবার".split("_"),weekdaysShort:"রবি_সোম_মঙ্গল_বুধ_বৃহস্পতি_শুক্র_শনি".split("_"),weekdaysMin:"রবি_সোম_মঙ্গ_বুধ_বৃহঃ_শুক্র_শনি".split("_"),longDateFormat:{LT:"A h:mm সময়",LTS:"A h:mm:ss সময়",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm সময়",LLLL:"dddd, D MMMM YYYY, A h:mm সময়"},calendar:{sameDay:"[আজ] LT",nextDay:"[আগামীকাল] LT",nextWeek:"dddd, LT",lastDay:"[গতকাল] LT",lastWeek:"[গত] dddd, LT",sameElse:"L"},relativeTime:{future:"%s পরে",past:"%s আগে",s:"কয়েক সেকেন্ড",ss:"%d সেকেন্ড",m:"এক মিনিট",mm:"%d মিনিট",h:"এক ঘন্টা",hh:"%d ঘন্টা",d:"এক দিন",dd:"%d দিন",M:"এক মাস",MM:"%d মাস",y:"এক বছর",yy:"%d বছর"},preparse:function(e){return e.replace(/[১২৩৪৫৬৭৮৯০]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/রাত|সকাল|দুপুর|বিকাল|রাত/,meridiemHour:function(e,t){return 12===e&&(e=0),"রাত"===t&&e>=4||"দুপুর"===t&&e<5||"বিকাল"===t?e+12:e},meridiem:function(e,t,n){return e<4?"রাত":e<10?"সকাল":e<17?"দুপুর":e<20?"বিকাল":"রাত"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"༡",2:"༢",3:"༣",4:"༤",5:"༥",6:"༦",7:"༧",8:"༨",9:"༩",0:"༠"},n={"༡":"1","༢":"2","༣":"3","༤":"4","༥":"5","༦":"6","༧":"7","༨":"8","༩":"9","༠":"0"};e.defineLocale("bo",{months:"ཟླ་བ་དང་པོ_ཟླ་བ་གཉིས་པ_ཟླ་བ་གསུམ་པ_ཟླ་བ་བཞི་པ_ཟླ་བ་ལྔ་པ_ཟླ་བ་དྲུག་པ_ཟླ་བ་བདུན་པ_ཟླ་བ་བརྒྱད་པ_ཟླ་བ་དགུ་པ_ཟླ་བ་བཅུ་པ_ཟླ་བ་བཅུ་གཅིག་པ_ཟླ་བ་བཅུ་གཉིས་པ".split("_"),monthsShort:"ཟླ་བ་དང་པོ_ཟླ་བ་གཉིས་པ_ཟླ་བ་གསུམ་པ_ཟླ་བ་བཞི་པ_ཟླ་བ་ལྔ་པ_ཟླ་བ་དྲུག་པ_ཟླ་བ་བདུན་པ_ཟླ་བ་བརྒྱད་པ_ཟླ་བ་དགུ་པ_ཟླ་བ་བཅུ་པ_ཟླ་བ་བཅུ་གཅིག་པ_ཟླ་བ་བཅུ་གཉིས་པ".split("_"),weekdays:"གཟའ་ཉི་མ་_གཟའ་ཟླ་བ་_གཟའ་མིག་དམར་_གཟའ་ལྷག་པ་_གཟའ་ཕུར་བུ_གཟའ་པ་སངས་_གཟའ་སྤེན་པ་".split("_"),weekdaysShort:"ཉི་མ་_ཟླ་བ་_མིག་དམར་_ལྷག་པ་_ཕུར་བུ_པ་སངས་_སྤེན་པ་".split("_"),weekdaysMin:"ཉི་མ་_ཟླ་བ་_མིག་དམར་_ལྷག་པ་_ཕུར་བུ_པ་སངས་_སྤེན་པ་".split("_"),longDateFormat:{LT:"A h:mm",LTS:"A h:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm",LLLL:"dddd, D MMMM YYYY, A h:mm"},calendar:{sameDay:"[དི་རིང] LT",nextDay:"[སང་ཉིན] LT",nextWeek:"[བདུན་ཕྲག་རྗེས་མ], LT",lastDay:"[ཁ་སང] LT",lastWeek:"[བདུན་ཕྲག་མཐའ་མ] dddd, LT",sameElse:"L"},relativeTime:{future:"%s ལ་",past:"%s སྔན་ལ",s:"ལམ་སང",ss:"%d སྐར་ཆ།",m:"སྐར་མ་གཅིག",mm:"%d སྐར་མ",h:"ཆུ་ཚོད་གཅིག",hh:"%d ཆུ་ཚོད",d:"ཉིན་གཅིག",dd:"%d ཉིན་",M:"ཟླ་བ་གཅིག",MM:"%d ཟླ་བ",y:"ལོ་གཅིག",yy:"%d ལོ"},preparse:function(e){return e.replace(/[༡༢༣༤༥༦༧༨༩༠]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/མཚན་མོ|ཞོགས་ཀས|ཉིན་གུང|དགོང་དག|མཚན་མོ/,meridiemHour:function(e,t){return 12===e&&(e=0),"མཚན་མོ"===t&&e>=4||"ཉིན་གུང"===t&&e<5||"དགོང་དག"===t?e+12:e},meridiem:function(e,t,n){return e<4?"མཚན་མོ":e<10?"ཞོགས་ཀས":e<17?"ཉིན་གུང":e<20?"དགོང་དག":"མཚན་མོ"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){return e+" "+function(e,t){return 2===t?function(e){var t={m:"v",b:"v",d:"z"};return void 0===t[e.charAt(0)]?e:t[e.charAt(0)]+e.substring(1)}(e):e}({mm:"munutenn",MM:"miz",dd:"devezh"}[n],e)}e.defineLocale("br",{months:"Genver_C'hwevrer_Meurzh_Ebrel_Mae_Mezheven_Gouere_Eost_Gwengolo_Here_Du_Kerzu".split("_"),monthsShort:"Gen_C'hwe_Meu_Ebr_Mae_Eve_Gou_Eos_Gwe_Her_Du_Ker".split("_"),weekdays:"Sul_Lun_Meurzh_Merc'her_Yaou_Gwener_Sadorn".split("_"),weekdaysShort:"Sul_Lun_Meu_Mer_Yao_Gwe_Sad".split("_"),weekdaysMin:"Su_Lu_Me_Mer_Ya_Gw_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"h[e]mm A",LTS:"h[e]mm:ss A",L:"DD/MM/YYYY",LL:"D [a viz] MMMM YYYY",LLL:"D [a viz] MMMM YYYY h[e]mm A",LLLL:"dddd, D [a viz] MMMM YYYY h[e]mm A"},calendar:{sameDay:"[Hiziv da] LT",nextDay:"[Warc'hoazh da] LT",nextWeek:"dddd [da] LT",lastDay:"[Dec'h da] LT",lastWeek:"dddd [paset da] LT",sameElse:"L"},relativeTime:{future:"a-benn %s",past:"%s 'zo",s:"un nebeud segondennoù",ss:"%d eilenn",m:"ur vunutenn",mm:t,h:"un eur",hh:"%d eur",d:"un devezh",dd:t,M:"ur miz",MM:t,y:"ur bloaz",yy:function(e){switch(function e(t){return t>9?e(t%10):t}(e)){case 1:case 3:case 4:case 5:case 9:return e+" bloaz";default:return e+" vloaz"}}},dayOfMonthOrdinalParse:/\d{1,2}(añ|vet)/,ordinal:function(e){return e+(1===e?"añ":"vet")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r=e+" ";switch(n){case"ss":return r+=1===e?"sekunda":2===e||3===e||4===e?"sekunde":"sekundi";case"m":return t?"jedna minuta":"jedne minute";case"mm":return r+=1===e?"minuta":2===e||3===e||4===e?"minute":"minuta";case"h":return t?"jedan sat":"jednog sata";case"hh":return r+=1===e?"sat":2===e||3===e||4===e?"sata":"sati";case"dd":return r+=1===e?"dan":"dana";case"MM":return r+=1===e?"mjesec":2===e||3===e||4===e?"mjeseca":"mjeseci";case"yy":return r+=1===e?"godina":2===e||3===e||4===e?"godine":"godina"}}e.defineLocale("bs",{months:"januar_februar_mart_april_maj_juni_juli_august_septembar_oktobar_novembar_decembar".split("_"),monthsShort:"jan._feb._mar._apr._maj._jun._jul._aug._sep._okt._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota".split("_"),weekdaysShort:"ned._pon._uto._sri._čet._pet._sub.".split("_"),weekdaysMin:"ne_po_ut_sr_če_pe_su".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[danas u] LT",nextDay:"[sutra u] LT",nextWeek:function(){switch(this.day()){case 0:return"[u] [nedjelju] [u] LT";case 3:return"[u] [srijedu] [u] LT";case 6:return"[u] [subotu] [u] LT";case 1:case 2:case 4:case 5:return"[u] dddd [u] LT"}},lastDay:"[jučer u] LT",lastWeek:function(){switch(this.day()){case 0:case 3:return"[prošlu] dddd [u] LT";case 6:return"[prošle] [subote] [u] LT";case 1:case 2:case 4:case 5:return"[prošli] dddd [u] LT"}},sameElse:"L"},relativeTime:{future:"za %s",past:"prije %s",s:"par sekundi",ss:t,m:t,mm:t,h:t,hh:t,d:"dan",dd:t,M:"mjesec",MM:t,y:"godinu",yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ca",{months:{standalone:"gener_febrer_març_abril_maig_juny_juliol_agost_setembre_octubre_novembre_desembre".split("_"),format:"de gener_de febrer_de març_d'abril_de maig_de juny_de juliol_d'agost_de setembre_d'octubre_de novembre_de desembre".split("_"),isFormat:/D[oD]?(\s)+MMMM/},monthsShort:"gen._febr._març_abr._maig_juny_jul._ag._set._oct._nov._des.".split("_"),monthsParseExact:!0,weekdays:"diumenge_dilluns_dimarts_dimecres_dijous_divendres_dissabte".split("_"),weekdaysShort:"dg._dl._dt._dc._dj._dv._ds.".split("_"),weekdaysMin:"dg_dl_dt_dc_dj_dv_ds".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM [de] YYYY",ll:"D MMM YYYY",LLL:"D MMMM [de] YYYY [a les] H:mm",lll:"D MMM YYYY, H:mm",LLLL:"dddd D MMMM [de] YYYY [a les] H:mm",llll:"ddd D MMM YYYY, H:mm"},calendar:{sameDay:function(){return"[avui a "+(1!==this.hours()?"les":"la")+"] LT"},nextDay:function(){return"[demà a "+(1!==this.hours()?"les":"la")+"] LT"},nextWeek:function(){return"dddd [a "+(1!==this.hours()?"les":"la")+"] LT"},lastDay:function(){return"[ahir a "+(1!==this.hours()?"les":"la")+"] LT"},lastWeek:function(){return"[el] dddd [passat a "+(1!==this.hours()?"les":"la")+"] LT"},sameElse:"L"},relativeTime:{future:"d'aquí %s",past:"fa %s",s:"uns segons",ss:"%d segons",m:"un minut",mm:"%d minuts",h:"una hora",hh:"%d hores",d:"un dia",dd:"%d dies",M:"un mes",MM:"%d mesos",y:"un any",yy:"%d anys"},dayOfMonthOrdinalParse:/\d{1,2}(r|n|t|è|a)/,ordinal:function(e,t){var n=1===e?"r":2===e?"n":3===e?"r":4===e?"t":"è";return"w"!==t&&"W"!==t||(n="a"),e+n},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="leden_únor_březen_duben_květen_červen_červenec_srpen_září_říjen_listopad_prosinec".split("_"),n="led_úno_bře_dub_kvě_čvn_čvc_srp_zář_říj_lis_pro".split("_"),r=[/^led/i,/^úno/i,/^bře/i,/^dub/i,/^kvě/i,/^(čvn|červen$|června)/i,/^(čvc|červenec|července)/i,/^srp/i,/^zář/i,/^říj/i,/^lis/i,/^pro/i],o=/^(leden|únor|březen|duben|květen|červenec|července|červen|června|srpen|září|říjen|listopad|prosinec|led|úno|bře|dub|kvě|čvn|čvc|srp|zář|říj|lis|pro)/i;function i(e){return e>1&&e<5&&1!=~~(e/10)}function a(e,t,n,r){var o=e+" ";switch(n){case"s":return t||r?"pár sekund":"pár sekundami";case"ss":return t||r?o+(i(e)?"sekundy":"sekund"):o+"sekundami";case"m":return t?"minuta":r?"minutu":"minutou";case"mm":return t||r?o+(i(e)?"minuty":"minut"):o+"minutami";case"h":return t?"hodina":r?"hodinu":"hodinou";case"hh":return t||r?o+(i(e)?"hodiny":"hodin"):o+"hodinami";case"d":return t||r?"den":"dnem";case"dd":return t||r?o+(i(e)?"dny":"dní"):o+"dny";case"M":return t||r?"měsíc":"měsícem";case"MM":return t||r?o+(i(e)?"měsíce":"měsíců"):o+"měsíci";case"y":return t||r?"rok":"rokem";case"yy":return t||r?o+(i(e)?"roky":"let"):o+"lety"}}e.defineLocale("cs",{months:t,monthsShort:n,monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(leden|ledna|února|únor|březen|března|duben|dubna|květen|května|červenec|července|červen|června|srpen|srpna|září|říjen|října|listopadu|listopad|prosinec|prosince)/i,monthsShortStrictRegex:/^(led|úno|bře|dub|kvě|čvn|čvc|srp|zář|říj|lis|pro)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"neděle_pondělí_úterý_středa_čtvrtek_pátek_sobota".split("_"),weekdaysShort:"ne_po_út_st_čt_pá_so".split("_"),weekdaysMin:"ne_po_út_st_čt_pá_so".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd D. MMMM YYYY H:mm",l:"D. M. YYYY"},calendar:{sameDay:"[dnes v] LT",nextDay:"[zítra v] LT",nextWeek:function(){switch(this.day()){case 0:return"[v neděli v] LT";case 1:case 2:return"[v] dddd [v] LT";case 3:return"[ve středu v] LT";case 4:return"[ve čtvrtek v] LT";case 5:return"[v pátek v] LT";case 6:return"[v sobotu v] LT"}},lastDay:"[včera v] LT",lastWeek:function(){switch(this.day()){case 0:return"[minulou neděli v] LT";case 1:case 2:return"[minulé] dddd [v] LT";case 3:return"[minulou středu v] LT";case 4:case 5:return"[minulý] dddd [v] LT";case 6:return"[minulou sobotu v] LT"}},sameElse:"L"},relativeTime:{future:"za %s",past:"před %s",s:a,ss:a,m:a,mm:a,h:a,hh:a,d:a,dd:a,M:a,MM:a,y:a,yy:a},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("cv",{months:"кӑрлач_нарӑс_пуш_ака_май_ҫӗртме_утӑ_ҫурла_авӑн_юпа_чӳк_раштав".split("_"),monthsShort:"кӑр_нар_пуш_ака_май_ҫӗр_утӑ_ҫур_авн_юпа_чӳк_раш".split("_"),weekdays:"вырсарникун_тунтикун_ытларикун_юнкун_кӗҫнерникун_эрнекун_шӑматкун".split("_"),weekdaysShort:"выр_тун_ытл_юн_кӗҫ_эрн_шӑм".split("_"),weekdaysMin:"вр_тн_ыт_юн_кҫ_эр_шм".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD-MM-YYYY",LL:"YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ]",LLL:"YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ], HH:mm",LLLL:"dddd, YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ], HH:mm"},calendar:{sameDay:"[Паян] LT [сехетре]",nextDay:"[Ыран] LT [сехетре]",lastDay:"[Ӗнер] LT [сехетре]",nextWeek:"[Ҫитес] dddd LT [сехетре]",lastWeek:"[Иртнӗ] dddd LT [сехетре]",sameElse:"L"},relativeTime:{future:function(e){return e+(/сехет$/i.exec(e)?"рен":/ҫул$/i.exec(e)?"тан":"ран")},past:"%s каялла",s:"пӗр-ик ҫеккунт",ss:"%d ҫеккунт",m:"пӗр минут",mm:"%d минут",h:"пӗр сехет",hh:"%d сехет",d:"пӗр кун",dd:"%d кун",M:"пӗр уйӑх",MM:"%d уйӑх",y:"пӗр ҫул",yy:"%d ҫул"},dayOfMonthOrdinalParse:/\d{1,2}-мӗш/,ordinal:"%d-мӗш",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("cy",{months:"Ionawr_Chwefror_Mawrth_Ebrill_Mai_Mehefin_Gorffennaf_Awst_Medi_Hydref_Tachwedd_Rhagfyr".split("_"),monthsShort:"Ion_Chwe_Maw_Ebr_Mai_Meh_Gor_Aws_Med_Hyd_Tach_Rhag".split("_"),weekdays:"Dydd Sul_Dydd Llun_Dydd Mawrth_Dydd Mercher_Dydd Iau_Dydd Gwener_Dydd Sadwrn".split("_"),weekdaysShort:"Sul_Llun_Maw_Mer_Iau_Gwe_Sad".split("_"),weekdaysMin:"Su_Ll_Ma_Me_Ia_Gw_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Heddiw am] LT",nextDay:"[Yfory am] LT",nextWeek:"dddd [am] LT",lastDay:"[Ddoe am] LT",lastWeek:"dddd [diwethaf am] LT",sameElse:"L"},relativeTime:{future:"mewn %s",past:"%s yn ôl",s:"ychydig eiliadau",ss:"%d eiliad",m:"munud",mm:"%d munud",h:"awr",hh:"%d awr",d:"diwrnod",dd:"%d diwrnod",M:"mis",MM:"%d mis",y:"blwyddyn",yy:"%d flynedd"},dayOfMonthOrdinalParse:/\d{1,2}(fed|ain|af|il|ydd|ed|eg)/,ordinal:function(e){var t="";return e>20?t=40===e||50===e||60===e||80===e||100===e?"fed":"ain":e>0&&(t=["","af","il","ydd","ydd","ed","ed","ed","fed","fed","fed","eg","fed","eg","eg","fed","eg","eg","fed","eg","fed"][e]),e+t},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("da",{months:"januar_februar_marts_april_maj_juni_juli_august_september_oktober_november_december".split("_"),monthsShort:"jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec".split("_"),weekdays:"søndag_mandag_tirsdag_onsdag_torsdag_fredag_lørdag".split("_"),weekdaysShort:"søn_man_tir_ons_tor_fre_lør".split("_"),weekdaysMin:"sø_ma_ti_on_to_fr_lø".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY HH:mm",LLLL:"dddd [d.] D. MMMM YYYY [kl.] HH:mm"},calendar:{sameDay:"[i dag kl.] LT",nextDay:"[i morgen kl.] LT",nextWeek:"på dddd [kl.] LT",lastDay:"[i går kl.] LT",lastWeek:"[i] dddd[s kl.] LT",sameElse:"L"},relativeTime:{future:"om %s",past:"%s siden",s:"få sekunder",ss:"%d sekunder",m:"et minut",mm:"%d minutter",h:"en time",hh:"%d timer",d:"en dag",dd:"%d dage",M:"en måned",MM:"%d måneder",y:"et år",yy:"%d år"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={m:["eine Minute","einer Minute"],h:["eine Stunde","einer Stunde"],d:["ein Tag","einem Tag"],dd:[e+" Tage",e+" Tagen"],M:["ein Monat","einem Monat"],MM:[e+" Monate",e+" Monaten"],y:["ein Jahr","einem Jahr"],yy:[e+" Jahre",e+" Jahren"]};return t?o[n][0]:o[n][1]}e.defineLocale("de",{months:"Januar_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember".split("_"),monthsShort:"Jan._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.".split("_"),monthsParseExact:!0,weekdays:"Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag".split("_"),weekdaysShort:"So._Mo._Di._Mi._Do._Fr._Sa.".split("_"),weekdaysMin:"So_Mo_Di_Mi_Do_Fr_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY HH:mm",LLLL:"dddd, D. MMMM YYYY HH:mm"},calendar:{sameDay:"[heute um] LT [Uhr]",sameElse:"L",nextDay:"[morgen um] LT [Uhr]",nextWeek:"dddd [um] LT [Uhr]",lastDay:"[gestern um] LT [Uhr]",lastWeek:"[letzten] dddd [um] LT [Uhr]"},relativeTime:{future:"in %s",past:"vor %s",s:"ein paar Sekunden",ss:"%d Sekunden",m:t,mm:"%d Minuten",h:t,hh:"%d Stunden",d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={m:["eine Minute","einer Minute"],h:["eine Stunde","einer Stunde"],d:["ein Tag","einem Tag"],dd:[e+" Tage",e+" Tagen"],M:["ein Monat","einem Monat"],MM:[e+" Monate",e+" Monaten"],y:["ein Jahr","einem Jahr"],yy:[e+" Jahre",e+" Jahren"]};return t?o[n][0]:o[n][1]}e.defineLocale("de-at",{months:"Jänner_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember".split("_"),monthsShort:"Jän._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.".split("_"),monthsParseExact:!0,weekdays:"Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag".split("_"),weekdaysShort:"So._Mo._Di._Mi._Do._Fr._Sa.".split("_"),weekdaysMin:"So_Mo_Di_Mi_Do_Fr_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY HH:mm",LLLL:"dddd, D. MMMM YYYY HH:mm"},calendar:{sameDay:"[heute um] LT [Uhr]",sameElse:"L",nextDay:"[morgen um] LT [Uhr]",nextWeek:"dddd [um] LT [Uhr]",lastDay:"[gestern um] LT [Uhr]",lastWeek:"[letzten] dddd [um] LT [Uhr]"},relativeTime:{future:"in %s",past:"vor %s",s:"ein paar Sekunden",ss:"%d Sekunden",m:t,mm:"%d Minuten",h:t,hh:"%d Stunden",d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={m:["eine Minute","einer Minute"],h:["eine Stunde","einer Stunde"],d:["ein Tag","einem Tag"],dd:[e+" Tage",e+" Tagen"],M:["ein Monat","einem Monat"],MM:[e+" Monate",e+" Monaten"],y:["ein Jahr","einem Jahr"],yy:[e+" Jahre",e+" Jahren"]};return t?o[n][0]:o[n][1]}e.defineLocale("de-ch",{months:"Januar_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember".split("_"),monthsShort:"Jan._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.".split("_"),monthsParseExact:!0,weekdays:"Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag".split("_"),weekdaysShort:"So_Mo_Di_Mi_Do_Fr_Sa".split("_"),weekdaysMin:"So_Mo_Di_Mi_Do_Fr_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY HH:mm",LLLL:"dddd, D. MMMM YYYY HH:mm"},calendar:{sameDay:"[heute um] LT [Uhr]",sameElse:"L",nextDay:"[morgen um] LT [Uhr]",nextWeek:"dddd [um] LT [Uhr]",lastDay:"[gestern um] LT [Uhr]",lastWeek:"[letzten] dddd [um] LT [Uhr]"},relativeTime:{future:"in %s",past:"vor %s",s:"ein paar Sekunden",ss:"%d Sekunden",m:t,mm:"%d Minuten",h:t,hh:"%d Stunden",d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t=["ޖެނުއަރީ","ފެބްރުއަރީ","މާރިޗު","އޭޕްރީލު","މޭ","ޖޫން","ޖުލައި","އޯގަސްޓު","ސެޕްޓެމްބަރު","އޮކްޓޯބަރު","ނޮވެމްބަރު","ޑިސެމްބަރު"],n=["އާދިއްތަ","ހޯމަ","އަންގާރަ","ބުދަ","ބުރާސްފަތި","ހުކުރު","ހޮނިހިރު"];e.defineLocale("dv",{months:t,monthsShort:t,weekdays:n,weekdaysShort:n,weekdaysMin:"އާދި_ހޯމަ_އަން_ބުދަ_ބުރާ_ހުކު_ހޮނި".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"D/M/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},meridiemParse:/މކ|މފ/,isPM:function(e){return"މފ"===e},meridiem:function(e,t,n){return e<12?"މކ":"މފ"},calendar:{sameDay:"[މިއަދު] LT",nextDay:"[މާދަމާ] LT",nextWeek:"dddd LT",lastDay:"[އިއްޔެ] LT",lastWeek:"[ފާއިތުވި] dddd LT",sameElse:"L"},relativeTime:{future:"ތެރޭގައި %s",past:"ކުރިން %s",s:"ސިކުންތުކޮޅެއް",ss:"d% ސިކުންތު",m:"މިނިޓެއް",mm:"މިނިޓު %d",h:"ގަޑިއިރެއް",hh:"ގަޑިއިރު %d",d:"ދުވަހެއް",dd:"ދުވަސް %d",M:"މަހެއް",MM:"މަސް %d",y:"އަހަރެއް",yy:"އަހަރު %d"},preparse:function(e){return e.replace(/،/g,",")},postformat:function(e){return e.replace(/,/g,"،")},week:{dow:7,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("el",{monthsNominativeEl:"Ιανουάριος_Φεβρουάριος_Μάρτιος_Απρίλιος_Μάιος_Ιούνιος_Ιούλιος_Αύγουστος_Σεπτέμβριος_Οκτώβριος_Νοέμβριος_Δεκέμβριος".split("_"),monthsGenitiveEl:"Ιανουαρίου_Φεβρουαρίου_Μαρτίου_Απριλίου_Μαΐου_Ιουνίου_Ιουλίου_Αυγούστου_Σεπτεμβρίου_Οκτωβρίου_Νοεμβρίου_Δεκεμβρίου".split("_"),months:function(e,t){return e?"string"==typeof t&&/D/.test(t.substring(0,t.indexOf("MMMM")))?this._monthsGenitiveEl[e.month()]:this._monthsNominativeEl[e.month()]:this._monthsNominativeEl},monthsShort:"Ιαν_Φεβ_Μαρ_Απρ_Μαϊ_Ιουν_Ιουλ_Αυγ_Σεπ_Οκτ_Νοε_Δεκ".split("_"),weekdays:"Κυριακή_Δευτέρα_Τρίτη_Τετάρτη_Πέμπτη_Παρασκευή_Σάββατο".split("_"),weekdaysShort:"Κυρ_Δευ_Τρι_Τετ_Πεμ_Παρ_Σαβ".split("_"),weekdaysMin:"Κυ_Δε_Τρ_Τε_Πε_Πα_Σα".split("_"),meridiem:function(e,t,n){return e>11?n?"μμ":"ΜΜ":n?"πμ":"ΠΜ"},isPM:function(e){return"μ"===(e+"").toLowerCase()[0]},meridiemParse:/[ΠΜ]\.?Μ?\.?/i,longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendarEl:{sameDay:"[Σήμερα {}] LT",nextDay:"[Αύριο {}] LT",nextWeek:"dddd [{}] LT",lastDay:"[Χθες {}] LT",lastWeek:function(){switch(this.day()){case 6:return"[το προηγούμενο] dddd [{}] LT";default:return"[την προηγούμενη] dddd [{}] LT"}},sameElse:"L"},calendar:function(e,t){var n,r=this._calendarEl[e],o=t&&t.hours();return((n=r)instanceof Function||"[object Function]"===Object.prototype.toString.call(n))&&(r=r.apply(t)),r.replace("{}",o%12==1?"στη":"στις")},relativeTime:{future:"σε %s",past:"%s πριν",s:"λίγα δευτερόλεπτα",ss:"%d δευτερόλεπτα",m:"ένα λεπτό",mm:"%d λεπτά",h:"μία ώρα",hh:"%d ώρες",d:"μία μέρα",dd:"%d μέρες",M:"ένας μήνας",MM:"%d μήνες",y:"ένας χρόνος",yy:"%d χρόνια"},dayOfMonthOrdinalParse:/\d{1,2}η/,ordinal:"%dη",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-SG",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-au",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-ca",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"YYYY-MM-DD",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY h:mm A",LLLL:"dddd, MMMM D, YYYY h:mm A"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-gb",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-ie",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-il",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-nz",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("eo",{months:"januaro_februaro_marto_aprilo_majo_junio_julio_aŭgusto_septembro_oktobro_novembro_decembro".split("_"),monthsShort:"jan_feb_mar_apr_maj_jun_jul_aŭg_sep_okt_nov_dec".split("_"),weekdays:"dimanĉo_lundo_mardo_merkredo_ĵaŭdo_vendredo_sabato".split("_"),weekdaysShort:"dim_lun_mard_merk_ĵaŭ_ven_sab".split("_"),weekdaysMin:"di_lu_ma_me_ĵa_ve_sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"D[-a de] MMMM, YYYY",LLL:"D[-a de] MMMM, YYYY HH:mm",LLLL:"dddd, [la] D[-a de] MMMM, YYYY HH:mm"},meridiemParse:/[ap]\.t\.m/i,isPM:function(e){return"p"===e.charAt(0).toLowerCase()},meridiem:function(e,t,n){return e>11?n?"p.t.m.":"P.T.M.":n?"a.t.m.":"A.T.M."},calendar:{sameDay:"[Hodiaŭ je] LT",nextDay:"[Morgaŭ je] LT",nextWeek:"dddd [je] LT",lastDay:"[Hieraŭ je] LT",lastWeek:"[pasinta] dddd [je] LT",sameElse:"L"},relativeTime:{future:"post %s",past:"antaŭ %s",s:"sekundoj",ss:"%d sekundoj",m:"minuto",mm:"%d minutoj",h:"horo",hh:"%d horoj",d:"tago",dd:"%d tagoj",M:"monato",MM:"%d monatoj",y:"jaro",yy:"%d jaroj"},dayOfMonthOrdinalParse:/\d{1,2}a/,ordinal:"%da",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.".split("_"),n="ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic".split("_"),r=[/^ene/i,/^feb/i,/^mar/i,/^abr/i,/^may/i,/^jun/i,/^jul/i,/^ago/i,/^sep/i,/^oct/i,/^nov/i,/^dic/i],o=/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i;e.defineLocale("es",{months:"enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,monthsShortStrictRegex:/^(ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"domingo_lunes_martes_miércoles_jueves_viernes_sábado".split("_"),weekdaysShort:"dom._lun._mar._mié._jue._vie._sáb.".split("_"),weekdaysMin:"do_lu_ma_mi_ju_vi_sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD/MM/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY H:mm",LLLL:"dddd, D [de] MMMM [de] YYYY H:mm"},calendar:{sameDay:function(){return"[hoy a la"+(1!==this.hours()?"s":"")+"] LT"},nextDay:function(){return"[mañana a la"+(1!==this.hours()?"s":"")+"] LT"},nextWeek:function(){return"dddd [a la"+(1!==this.hours()?"s":"")+"] LT"},lastDay:function(){return"[ayer a la"+(1!==this.hours()?"s":"")+"] LT"},lastWeek:function(){return"[el] dddd [pasado a la"+(1!==this.hours()?"s":"")+"] LT"},sameElse:"L"},relativeTime:{future:"en %s",past:"hace %s",s:"unos segundos",ss:"%d segundos",m:"un minuto",mm:"%d minutos",h:"una hora",hh:"%d horas",d:"un día",dd:"%d días",M:"un mes",MM:"%d meses",y:"un año",yy:"%d años"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.".split("_"),n="ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic".split("_"),r=[/^ene/i,/^feb/i,/^mar/i,/^abr/i,/^may/i,/^jun/i,/^jul/i,/^ago/i,/^sep/i,/^oct/i,/^nov/i,/^dic/i],o=/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i;e.defineLocale("es-do",{months:"enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,monthsShortStrictRegex:/^(ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"domingo_lunes_martes_miércoles_jueves_viernes_sábado".split("_"),weekdaysShort:"dom._lun._mar._mié._jue._vie._sáb.".split("_"),weekdaysMin:"do_lu_ma_mi_ju_vi_sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY h:mm A",LLLL:"dddd, D [de] MMMM [de] YYYY h:mm A"},calendar:{sameDay:function(){return"[hoy a la"+(1!==this.hours()?"s":"")+"] LT"},nextDay:function(){return"[mañana a la"+(1!==this.hours()?"s":"")+"] LT"},nextWeek:function(){return"dddd [a la"+(1!==this.hours()?"s":"")+"] LT"},lastDay:function(){return"[ayer a la"+(1!==this.hours()?"s":"")+"] LT"},lastWeek:function(){return"[el] dddd [pasado a la"+(1!==this.hours()?"s":"")+"] LT"},sameElse:"L"},relativeTime:{future:"en %s",past:"hace %s",s:"unos segundos",ss:"%d segundos",m:"un minuto",mm:"%d minutos",h:"una hora",hh:"%d horas",d:"un día",dd:"%d días",M:"un mes",MM:"%d meses",y:"un año",yy:"%d años"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.".split("_"),n="ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic".split("_"),r=[/^ene/i,/^feb/i,/^mar/i,/^abr/i,/^may/i,/^jun/i,/^jul/i,/^ago/i,/^sep/i,/^oct/i,/^nov/i,/^dic/i],o=/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i;e.defineLocale("es-us",{months:"enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,monthsShortStrictRegex:/^(ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"domingo_lunes_martes_miércoles_jueves_viernes_sábado".split("_"),weekdaysShort:"dom._lun._mar._mié._jue._vie._sáb.".split("_"),weekdaysMin:"do_lu_ma_mi_ju_vi_sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"MM/DD/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY h:mm A",LLLL:"dddd, D [de] MMMM [de] YYYY h:mm A"},calendar:{sameDay:function(){return"[hoy a la"+(1!==this.hours()?"s":"")+"] LT"},nextDay:function(){return"[mañana a la"+(1!==this.hours()?"s":"")+"] LT"},nextWeek:function(){return"dddd [a la"+(1!==this.hours()?"s":"")+"] LT"},lastDay:function(){return"[ayer a la"+(1!==this.hours()?"s":"")+"] LT"},lastWeek:function(){return"[el] dddd [pasado a la"+(1!==this.hours()?"s":"")+"] LT"},sameElse:"L"},relativeTime:{future:"en %s",past:"hace %s",s:"unos segundos",ss:"%d segundos",m:"un minuto",mm:"%d minutos",h:"una hora",hh:"%d horas",d:"un día",dd:"%d días",M:"un mes",MM:"%d meses",y:"un año",yy:"%d años"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={s:["mõne sekundi","mõni sekund","paar sekundit"],ss:[e+"sekundi",e+"sekundit"],m:["ühe minuti","üks minut"],mm:[e+" minuti",e+" minutit"],h:["ühe tunni","tund aega","üks tund"],hh:[e+" tunni",e+" tundi"],d:["ühe päeva","üks päev"],M:["kuu aja","kuu aega","üks kuu"],MM:[e+" kuu",e+" kuud"],y:["ühe aasta","aasta","üks aasta"],yy:[e+" aasta",e+" aastat"]};return t?o[n][2]?o[n][2]:o[n][1]:r?o[n][0]:o[n][1]}e.defineLocale("et",{months:"jaanuar_veebruar_märts_aprill_mai_juuni_juuli_august_september_oktoober_november_detsember".split("_"),monthsShort:"jaan_veebr_märts_apr_mai_juuni_juuli_aug_sept_okt_nov_dets".split("_"),weekdays:"pühapäev_esmaspäev_teisipäev_kolmapäev_neljapäev_reede_laupäev".split("_"),weekdaysShort:"P_E_T_K_N_R_L".split("_"),weekdaysMin:"P_E_T_K_N_R_L".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[Täna,] LT",nextDay:"[Homme,] LT",nextWeek:"[Järgmine] dddd LT",lastDay:"[Eile,] LT",lastWeek:"[Eelmine] dddd LT",sameElse:"L"},relativeTime:{future:"%s pärast",past:"%s tagasi",s:t,ss:t,m:t,mm:t,h:t,hh:t,d:t,dd:"%d päeva",M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("eu",{months:"urtarrila_otsaila_martxoa_apirila_maiatza_ekaina_uztaila_abuztua_iraila_urria_azaroa_abendua".split("_"),monthsShort:"urt._ots._mar._api._mai._eka._uzt._abu._ira._urr._aza._abe.".split("_"),monthsParseExact:!0,weekdays:"igandea_astelehena_asteartea_asteazkena_osteguna_ostirala_larunbata".split("_"),weekdaysShort:"ig._al._ar._az._og._ol._lr.".split("_"),weekdaysMin:"ig_al_ar_az_og_ol_lr".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"YYYY[ko] MMMM[ren] D[a]",LLL:"YYYY[ko] MMMM[ren] D[a] HH:mm",LLLL:"dddd, YYYY[ko] MMMM[ren] D[a] HH:mm",l:"YYYY-M-D",ll:"YYYY[ko] MMM D[a]",lll:"YYYY[ko] MMM D[a] HH:mm",llll:"ddd, YYYY[ko] MMM D[a] HH:mm"},calendar:{sameDay:"[gaur] LT[etan]",nextDay:"[bihar] LT[etan]",nextWeek:"dddd LT[etan]",lastDay:"[atzo] LT[etan]",lastWeek:"[aurreko] dddd LT[etan]",sameElse:"L"},relativeTime:{future:"%s barru",past:"duela %s",s:"segundo batzuk",ss:"%d segundo",m:"minutu bat",mm:"%d minutu",h:"ordu bat",hh:"%d ordu",d:"egun bat",dd:"%d egun",M:"hilabete bat",MM:"%d hilabete",y:"urte bat",yy:"%d urte"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"۱",2:"۲",3:"۳",4:"۴",5:"۵",6:"۶",7:"۷",8:"۸",9:"۹",0:"۰"},n={"۱":"1","۲":"2","۳":"3","۴":"4","۵":"5","۶":"6","۷":"7","۸":"8","۹":"9","۰":"0"};e.defineLocale("fa",{months:"ژانویه_فوریه_مارس_آوریل_مه_ژوئن_ژوئیه_اوت_سپتامبر_اکتبر_نوامبر_دسامبر".split("_"),monthsShort:"ژانویه_فوریه_مارس_آوریل_مه_ژوئن_ژوئیه_اوت_سپتامبر_اکتبر_نوامبر_دسامبر".split("_"),weekdays:"یک‌شنبه_دوشنبه_سه‌شنبه_چهارشنبه_پنج‌شنبه_جمعه_شنبه".split("_"),weekdaysShort:"یک‌شنبه_دوشنبه_سه‌شنبه_چهارشنبه_پنج‌شنبه_جمعه_شنبه".split("_"),weekdaysMin:"ی_د_س_چ_پ_ج_ش".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},meridiemParse:/قبل از ظهر|بعد از ظهر/,isPM:function(e){return/بعد از ظهر/.test(e)},meridiem:function(e,t,n){return e<12?"قبل از ظهر":"بعد از ظهر"},calendar:{sameDay:"[امروز ساعت] LT",nextDay:"[فردا ساعت] LT",nextWeek:"dddd [ساعت] LT",lastDay:"[دیروز ساعت] LT",lastWeek:"dddd [پیش] [ساعت] LT",sameElse:"L"},relativeTime:{future:"در %s",past:"%s پیش",s:"چند ثانیه",ss:"ثانیه d%",m:"یک دقیقه",mm:"%d دقیقه",h:"یک ساعت",hh:"%d ساعت",d:"یک روز",dd:"%d روز",M:"یک ماه",MM:"%d ماه",y:"یک سال",yy:"%d سال"},preparse:function(e){return e.replace(/[۰-۹]/g,(function(e){return n[e]})).replace(/،/g,",")},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]})).replace(/,/g,"،")},dayOfMonthOrdinalParse:/\d{1,2}م/,ordinal:"%dم",week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="nolla yksi kaksi kolme neljä viisi kuusi seitsemän kahdeksan yhdeksän".split(" "),n=["nolla","yhden","kahden","kolmen","neljän","viiden","kuuden",t[7],t[8],t[9]];function r(e,r,o,i){var a="";switch(o){case"s":return i?"muutaman sekunnin":"muutama sekunti";case"ss":return i?"sekunnin":"sekuntia";case"m":return i?"minuutin":"minuutti";case"mm":a=i?"minuutin":"minuuttia";break;case"h":return i?"tunnin":"tunti";case"hh":a=i?"tunnin":"tuntia";break;case"d":return i?"päivän":"päivä";case"dd":a=i?"päivän":"päivää";break;case"M":return i?"kuukauden":"kuukausi";case"MM":a=i?"kuukauden":"kuukautta";break;case"y":return i?"vuoden":"vuosi";case"yy":a=i?"vuoden":"vuotta"}return a=function(e,r){return e<10?r?n[e]:t[e]:e}(e,i)+" "+a}e.defineLocale("fi",{months:"tammikuu_helmikuu_maaliskuu_huhtikuu_toukokuu_kesäkuu_heinäkuu_elokuu_syyskuu_lokakuu_marraskuu_joulukuu".split("_"),monthsShort:"tammi_helmi_maalis_huhti_touko_kesä_heinä_elo_syys_loka_marras_joulu".split("_"),weekdays:"sunnuntai_maanantai_tiistai_keskiviikko_torstai_perjantai_lauantai".split("_"),weekdaysShort:"su_ma_ti_ke_to_pe_la".split("_"),weekdaysMin:"su_ma_ti_ke_to_pe_la".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD.MM.YYYY",LL:"Do MMMM[ta] YYYY",LLL:"Do MMMM[ta] YYYY, [klo] HH.mm",LLLL:"dddd, Do MMMM[ta] YYYY, [klo] HH.mm",l:"D.M.YYYY",ll:"Do MMM YYYY",lll:"Do MMM YYYY, [klo] HH.mm",llll:"ddd, Do MMM YYYY, [klo] HH.mm"},calendar:{sameDay:"[tänään] [klo] LT",nextDay:"[huomenna] [klo] LT",nextWeek:"dddd [klo] LT",lastDay:"[eilen] [klo] LT",lastWeek:"[viime] dddd[na] [klo] LT",sameElse:"L"},relativeTime:{future:"%s päästä",past:"%s sitten",s:r,ss:r,m:r,mm:r,h:r,hh:r,d:r,dd:r,M:r,MM:r,y:r,yy:r},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("fo",{months:"januar_februar_mars_apríl_mai_juni_juli_august_september_oktober_november_desember".split("_"),monthsShort:"jan_feb_mar_apr_mai_jun_jul_aug_sep_okt_nov_des".split("_"),weekdays:"sunnudagur_mánadagur_týsdagur_mikudagur_hósdagur_fríggjadagur_leygardagur".split("_"),weekdaysShort:"sun_mán_týs_mik_hós_frí_ley".split("_"),weekdaysMin:"su_má_tý_mi_hó_fr_le".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D. MMMM, YYYY HH:mm"},calendar:{sameDay:"[Í dag kl.] LT",nextDay:"[Í morgin kl.] LT",nextWeek:"dddd [kl.] LT",lastDay:"[Í gjár kl.] LT",lastWeek:"[síðstu] dddd [kl] LT",sameElse:"L"},relativeTime:{future:"um %s",past:"%s síðani",s:"fá sekund",ss:"%d sekundir",m:"ein minuttur",mm:"%d minuttir",h:"ein tími",hh:"%d tímar",d:"ein dagur",dd:"%d dagar",M:"ein mánaður",MM:"%d mánaðir",y:"eitt ár",yy:"%d ár"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("fr",{months:"janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre".split("_"),monthsShort:"janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.".split("_"),monthsParseExact:!0,weekdays:"dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi".split("_"),weekdaysShort:"dim._lun._mar._mer._jeu._ven._sam.".split("_"),weekdaysMin:"di_lu_ma_me_je_ve_sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Aujourd’hui à] LT",nextDay:"[Demain à] LT",nextWeek:"dddd [à] LT",lastDay:"[Hier à] LT",lastWeek:"dddd [dernier à] LT",sameElse:"L"},relativeTime:{future:"dans %s",past:"il y a %s",s:"quelques secondes",ss:"%d secondes",m:"une minute",mm:"%d minutes",h:"une heure",hh:"%d heures",d:"un jour",dd:"%d jours",M:"un mois",MM:"%d mois",y:"un an",yy:"%d ans"},dayOfMonthOrdinalParse:/\d{1,2}(er|)/,ordinal:function(e,t){switch(t){case"D":return e+(1===e?"er":"");default:case"M":case"Q":case"DDD":case"d":return e+(1===e?"er":"e");case"w":case"W":return e+(1===e?"re":"e")}},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("fr-ca",{months:"janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre".split("_"),monthsShort:"janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.".split("_"),monthsParseExact:!0,weekdays:"dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi".split("_"),weekdaysShort:"dim._lun._mar._mer._jeu._ven._sam.".split("_"),weekdaysMin:"di_lu_ma_me_je_ve_sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Aujourd’hui à] LT",nextDay:"[Demain à] LT",nextWeek:"dddd [à] LT",lastDay:"[Hier à] LT",lastWeek:"dddd [dernier à] LT",sameElse:"L"},relativeTime:{future:"dans %s",past:"il y a %s",s:"quelques secondes",ss:"%d secondes",m:"une minute",mm:"%d minutes",h:"une heure",hh:"%d heures",d:"un jour",dd:"%d jours",M:"un mois",MM:"%d mois",y:"un an",yy:"%d ans"},dayOfMonthOrdinalParse:/\d{1,2}(er|e)/,ordinal:function(e,t){switch(t){default:case"M":case"Q":case"D":case"DDD":case"d":return e+(1===e?"er":"e");case"w":case"W":return e+(1===e?"re":"e")}}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("fr-ch",{months:"janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre".split("_"),monthsShort:"janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.".split("_"),monthsParseExact:!0,weekdays:"dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi".split("_"),weekdaysShort:"dim._lun._mar._mer._jeu._ven._sam.".split("_"),weekdaysMin:"di_lu_ma_me_je_ve_sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Aujourd’hui à] LT",nextDay:"[Demain à] LT",nextWeek:"dddd [à] LT",lastDay:"[Hier à] LT",lastWeek:"dddd [dernier à] LT",sameElse:"L"},relativeTime:{future:"dans %s",past:"il y a %s",s:"quelques secondes",ss:"%d secondes",m:"une minute",mm:"%d minutes",h:"une heure",hh:"%d heures",d:"un jour",dd:"%d jours",M:"un mois",MM:"%d mois",y:"un an",yy:"%d ans"},dayOfMonthOrdinalParse:/\d{1,2}(er|e)/,ordinal:function(e,t){switch(t){default:case"M":case"Q":case"D":case"DDD":case"d":return e+(1===e?"er":"e");case"w":case"W":return e+(1===e?"re":"e")}},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="jan._feb._mrt._apr._mai_jun._jul._aug._sep._okt._nov._des.".split("_"),n="jan_feb_mrt_apr_mai_jun_jul_aug_sep_okt_nov_des".split("_");e.defineLocale("fy",{months:"jannewaris_febrewaris_maart_april_maaie_juny_july_augustus_septimber_oktober_novimber_desimber".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsParseExact:!0,weekdays:"snein_moandei_tiisdei_woansdei_tongersdei_freed_sneon".split("_"),weekdaysShort:"si._mo._ti._wo._to._fr._so.".split("_"),weekdaysMin:"Si_Mo_Ti_Wo_To_Fr_So".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD-MM-YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[hjoed om] LT",nextDay:"[moarn om] LT",nextWeek:"dddd [om] LT",lastDay:"[juster om] LT",lastWeek:"[ôfrûne] dddd [om] LT",sameElse:"L"},relativeTime:{future:"oer %s",past:"%s lyn",s:"in pear sekonden",ss:"%d sekonden",m:"ien minút",mm:"%d minuten",h:"ien oere",hh:"%d oeren",d:"ien dei",dd:"%d dagen",M:"ien moanne",MM:"%d moannen",y:"ien jier",yy:"%d jierren"},dayOfMonthOrdinalParse:/\d{1,2}(ste|de)/,ordinal:function(e){return e+(1===e||8===e||e>=20?"ste":"de")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ga",{months:["Eanáir","Feabhra","Márta","Aibreán","Bealtaine","Méitheamh","Iúil","Lúnasa","Meán Fómhair","Deaireadh Fómhair","Samhain","Nollaig"],monthsShort:["Eaná","Feab","Márt","Aibr","Beal","Méit","Iúil","Lúna","Meán","Deai","Samh","Noll"],monthsParseExact:!0,weekdays:["Dé Domhnaigh","Dé Luain","Dé Máirt","Dé Céadaoin","Déardaoin","Dé hAoine","Dé Satharn"],weekdaysShort:["Dom","Lua","Mái","Céa","Déa","hAo","Sat"],weekdaysMin:["Do","Lu","Má","Ce","Dé","hA","Sa"],longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Inniu ag] LT",nextDay:"[Amárach ag] LT",nextWeek:"dddd [ag] LT",lastDay:"[Inné aig] LT",lastWeek:"dddd [seo caite] [ag] LT",sameElse:"L"},relativeTime:{future:"i %s",past:"%s ó shin",s:"cúpla soicind",ss:"%d soicind",m:"nóiméad",mm:"%d nóiméad",h:"uair an chloig",hh:"%d uair an chloig",d:"lá",dd:"%d lá",M:"mí",MM:"%d mí",y:"bliain",yy:"%d bliain"},dayOfMonthOrdinalParse:/\d{1,2}(d|na|mh)/,ordinal:function(e){return e+(1===e?"d":e%10==2?"na":"mh")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("gd",{months:["Am Faoilleach","An Gearran","Am Màrt","An Giblean","An Cèitean","An t-Ògmhios","An t-Iuchar","An Lùnastal","An t-Sultain","An Dàmhair","An t-Samhain","An Dùbhlachd"],monthsShort:["Faoi","Gear","Màrt","Gibl","Cèit","Ògmh","Iuch","Lùn","Sult","Dàmh","Samh","Dùbh"],monthsParseExact:!0,weekdays:["Didòmhnaich","Diluain","Dimàirt","Diciadain","Diardaoin","Dihaoine","Disathairne"],weekdaysShort:["Did","Dil","Dim","Dic","Dia","Dih","Dis"],weekdaysMin:["Dò","Lu","Mà","Ci","Ar","Ha","Sa"],longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[An-diugh aig] LT",nextDay:"[A-màireach aig] LT",nextWeek:"dddd [aig] LT",lastDay:"[An-dè aig] LT",lastWeek:"dddd [seo chaidh] [aig] LT",sameElse:"L"},relativeTime:{future:"ann an %s",past:"bho chionn %s",s:"beagan diogan",ss:"%d diogan",m:"mionaid",mm:"%d mionaidean",h:"uair",hh:"%d uairean",d:"latha",dd:"%d latha",M:"mìos",MM:"%d mìosan",y:"bliadhna",yy:"%d bliadhna"},dayOfMonthOrdinalParse:/\d{1,2}(d|na|mh)/,ordinal:function(e){return e+(1===e?"d":e%10==2?"na":"mh")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("gl",{months:"xaneiro_febreiro_marzo_abril_maio_xuño_xullo_agosto_setembro_outubro_novembro_decembro".split("_"),monthsShort:"xan._feb._mar._abr._mai._xuñ._xul._ago._set._out._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"domingo_luns_martes_mércores_xoves_venres_sábado".split("_"),weekdaysShort:"dom._lun._mar._mér._xov._ven._sáb.".split("_"),weekdaysMin:"do_lu_ma_mé_xo_ve_sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD/MM/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY H:mm",LLLL:"dddd, D [de] MMMM [de] YYYY H:mm"},calendar:{sameDay:function(){return"[hoxe "+(1!==this.hours()?"ás":"á")+"] LT"},nextDay:function(){return"[mañá "+(1!==this.hours()?"ás":"á")+"] LT"},nextWeek:function(){return"dddd ["+(1!==this.hours()?"ás":"a")+"] LT"},lastDay:function(){return"[onte "+(1!==this.hours()?"á":"a")+"] LT"},lastWeek:function(){return"[o] dddd [pasado "+(1!==this.hours()?"ás":"a")+"] LT"},sameElse:"L"},relativeTime:{future:function(e){return 0===e.indexOf("un")?"n"+e:"en "+e},past:"hai %s",s:"uns segundos",ss:"%d segundos",m:"un minuto",mm:"%d minutos",h:"unha hora",hh:"%d horas",d:"un día",dd:"%d días",M:"un mes",MM:"%d meses",y:"un ano",yy:"%d anos"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={s:["thodde secondanim","thodde second"],ss:[e+" secondanim",e+" second"],m:["eka mintan","ek minute"],mm:[e+" mintanim",e+" mintam"],h:["eka voran","ek vor"],hh:[e+" voranim",e+" voram"],d:["eka disan","ek dis"],dd:[e+" disanim",e+" dis"],M:["eka mhoinean","ek mhoino"],MM:[e+" mhoineanim",e+" mhoine"],y:["eka vorsan","ek voros"],yy:[e+" vorsanim",e+" vorsam"]};return t?o[n][0]:o[n][1]}e.defineLocale("gom-latn",{months:"Janer_Febrer_Mars_Abril_Mai_Jun_Julai_Agost_Setembr_Otubr_Novembr_Dezembr".split("_"),monthsShort:"Jan._Feb._Mars_Abr._Mai_Jun_Jul._Ago._Set._Otu._Nov._Dez.".split("_"),monthsParseExact:!0,weekdays:"Aitar_Somar_Mongllar_Budvar_Brestar_Sukrar_Son'var".split("_"),weekdaysShort:"Ait._Som._Mon._Bud._Bre._Suk._Son.".split("_"),weekdaysMin:"Ai_Sm_Mo_Bu_Br_Su_Sn".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"A h:mm [vazta]",LTS:"A h:mm:ss [vazta]",L:"DD-MM-YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY A h:mm [vazta]",LLLL:"dddd, MMMM[achea] Do, YYYY, A h:mm [vazta]",llll:"ddd, D MMM YYYY, A h:mm [vazta]"},calendar:{sameDay:"[Aiz] LT",nextDay:"[Faleam] LT",nextWeek:"[Ieta to] dddd[,] LT",lastDay:"[Kal] LT",lastWeek:"[Fatlo] dddd[,] LT",sameElse:"L"},relativeTime:{future:"%s",past:"%s adim",s:t,ss:t,m:t,mm:t,h:t,hh:t,d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}(er)/,ordinal:function(e,t){switch(t){case"D":return e+"er";default:case"M":case"Q":case"DDD":case"d":case"w":case"W":return e}},week:{dow:1,doy:4},meridiemParse:/rati|sokalli|donparam|sanje/,meridiemHour:function(e,t){return 12===e&&(e=0),"rati"===t?e<4?e:e+12:"sokalli"===t?e:"donparam"===t?e>12?e:e+12:"sanje"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"rati":e<12?"sokalli":e<16?"donparam":e<20?"sanje":"rati"}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"૧",2:"૨",3:"૩",4:"૪",5:"૫",6:"૬",7:"૭",8:"૮",9:"૯",0:"૦"},n={"૧":"1","૨":"2","૩":"3","૪":"4","૫":"5","૬":"6","૭":"7","૮":"8","૯":"9","૦":"0"};e.defineLocale("gu",{months:"જાન્યુઆરી_ફેબ્રુઆરી_માર્ચ_એપ્રિલ_મે_જૂન_જુલાઈ_ઑગસ્ટ_સપ્ટેમ્બર_ઑક્ટ્બર_નવેમ્બર_ડિસેમ્બર".split("_"),monthsShort:"જાન્યુ._ફેબ્રુ._માર્ચ_એપ્રિ._મે_જૂન_જુલા._ઑગ._સપ્ટે._ઑક્ટ્._નવે._ડિસે.".split("_"),monthsParseExact:!0,weekdays:"રવિવાર_સોમવાર_મંગળવાર_બુધ્વાર_ગુરુવાર_શુક્રવાર_શનિવાર".split("_"),weekdaysShort:"રવિ_સોમ_મંગળ_બુધ્_ગુરુ_શુક્ર_શનિ".split("_"),weekdaysMin:"ર_સો_મં_બુ_ગુ_શુ_શ".split("_"),longDateFormat:{LT:"A h:mm વાગ્યે",LTS:"A h:mm:ss વાગ્યે",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm વાગ્યે",LLLL:"dddd, D MMMM YYYY, A h:mm વાગ્યે"},calendar:{sameDay:"[આજ] LT",nextDay:"[કાલે] LT",nextWeek:"dddd, LT",lastDay:"[ગઇકાલે] LT",lastWeek:"[પાછલા] dddd, LT",sameElse:"L"},relativeTime:{future:"%s મા",past:"%s પેહલા",s:"અમુક પળો",ss:"%d સેકંડ",m:"એક મિનિટ",mm:"%d મિનિટ",h:"એક કલાક",hh:"%d કલાક",d:"એક દિવસ",dd:"%d દિવસ",M:"એક મહિનો",MM:"%d મહિનો",y:"એક વર્ષ",yy:"%d વર્ષ"},preparse:function(e){return e.replace(/[૧૨૩૪૫૬૭૮૯૦]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/રાત|બપોર|સવાર|સાંજ/,meridiemHour:function(e,t){return 12===e&&(e=0),"રાત"===t?e<4?e:e+12:"સવાર"===t?e:"બપોર"===t?e>=10?e:e+12:"સાંજ"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"રાત":e<10?"સવાર":e<17?"બપોર":e<20?"સાંજ":"રાત"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("he",{months:"ינואר_פברואר_מרץ_אפריל_מאי_יוני_יולי_אוגוסט_ספטמבר_אוקטובר_נובמבר_דצמבר".split("_"),monthsShort:"ינו׳_פבר׳_מרץ_אפר׳_מאי_יוני_יולי_אוג׳_ספט׳_אוק׳_נוב׳_דצמ׳".split("_"),weekdays:"ראשון_שני_שלישי_רביעי_חמישי_שישי_שבת".split("_"),weekdaysShort:"א׳_ב׳_ג׳_ד׳_ה׳_ו׳_ש׳".split("_"),weekdaysMin:"א_ב_ג_ד_ה_ו_ש".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D [ב]MMMM YYYY",LLL:"D [ב]MMMM YYYY HH:mm",LLLL:"dddd, D [ב]MMMM YYYY HH:mm",l:"D/M/YYYY",ll:"D MMM YYYY",lll:"D MMM YYYY HH:mm",llll:"ddd, D MMM YYYY HH:mm"},calendar:{sameDay:"[היום ב־]LT",nextDay:"[מחר ב־]LT",nextWeek:"dddd [בשעה] LT",lastDay:"[אתמול ב־]LT",lastWeek:"[ביום] dddd [האחרון בשעה] LT",sameElse:"L"},relativeTime:{future:"בעוד %s",past:"לפני %s",s:"מספר שניות",ss:"%d שניות",m:"דקה",mm:"%d דקות",h:"שעה",hh:function(e){return 2===e?"שעתיים":e+" שעות"},d:"יום",dd:function(e){return 2===e?"יומיים":e+" ימים"},M:"חודש",MM:function(e){return 2===e?"חודשיים":e+" חודשים"},y:"שנה",yy:function(e){return 2===e?"שנתיים":e%10==0&&10!==e?e+" שנה":e+" שנים"}},meridiemParse:/אחה"צ|לפנה"צ|אחרי הצהריים|לפני הצהריים|לפנות בוקר|בבוקר|בערב/i,isPM:function(e){return/^(אחה"צ|אחרי הצהריים|בערב)$/.test(e)},meridiem:function(e,t,n){return e<5?"לפנות בוקר":e<10?"בבוקר":e<12?n?'לפנה"צ':"לפני הצהריים":e<18?n?'אחה"צ':"אחרי הצהריים":"בערב"}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"१",2:"२",3:"३",4:"४",5:"५",6:"६",7:"७",8:"८",9:"९",0:"०"},n={"१":"1","२":"2","३":"3","४":"4","५":"5","६":"6","७":"7","८":"8","९":"9","०":"0"};e.defineLocale("hi",{months:"जनवरी_फ़रवरी_मार्च_अप्रैल_मई_जून_जुलाई_अगस्त_सितम्बर_अक्टूबर_नवम्बर_दिसम्बर".split("_"),monthsShort:"जन._फ़र._मार्च_अप्रै._मई_जून_जुल._अग._सित._अक्टू._नव._दिस.".split("_"),monthsParseExact:!0,weekdays:"रविवार_सोमवार_मंगलवार_बुधवार_गुरूवार_शुक्रवार_शनिवार".split("_"),weekdaysShort:"रवि_सोम_मंगल_बुध_गुरू_शुक्र_शनि".split("_"),weekdaysMin:"र_सो_मं_बु_गु_शु_श".split("_"),longDateFormat:{LT:"A h:mm बजे",LTS:"A h:mm:ss बजे",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm बजे",LLLL:"dddd, D MMMM YYYY, A h:mm बजे"},calendar:{sameDay:"[आज] LT",nextDay:"[कल] LT",nextWeek:"dddd, LT",lastDay:"[कल] LT",lastWeek:"[पिछले] dddd, LT",sameElse:"L"},relativeTime:{future:"%s में",past:"%s पहले",s:"कुछ ही क्षण",ss:"%d सेकंड",m:"एक मिनट",mm:"%d मिनट",h:"एक घंटा",hh:"%d घंटे",d:"एक दिन",dd:"%d दिन",M:"एक महीने",MM:"%d महीने",y:"एक वर्ष",yy:"%d वर्ष"},preparse:function(e){return e.replace(/[१२३४५६७८९०]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/रात|सुबह|दोपहर|शाम/,meridiemHour:function(e,t){return 12===e&&(e=0),"रात"===t?e<4?e:e+12:"सुबह"===t?e:"दोपहर"===t?e>=10?e:e+12:"शाम"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"रात":e<10?"सुबह":e<17?"दोपहर":e<20?"शाम":"रात"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r=e+" ";switch(n){case"ss":return r+=1===e?"sekunda":2===e||3===e||4===e?"sekunde":"sekundi";case"m":return t?"jedna minuta":"jedne minute";case"mm":return r+=1===e?"minuta":2===e||3===e||4===e?"minute":"minuta";case"h":return t?"jedan sat":"jednog sata";case"hh":return r+=1===e?"sat":2===e||3===e||4===e?"sata":"sati";case"dd":return r+=1===e?"dan":"dana";case"MM":return r+=1===e?"mjesec":2===e||3===e||4===e?"mjeseca":"mjeseci";case"yy":return r+=1===e?"godina":2===e||3===e||4===e?"godine":"godina"}}e.defineLocale("hr",{months:{format:"siječnja_veljače_ožujka_travnja_svibnja_lipnja_srpnja_kolovoza_rujna_listopada_studenoga_prosinca".split("_"),standalone:"siječanj_veljača_ožujak_travanj_svibanj_lipanj_srpanj_kolovoz_rujan_listopad_studeni_prosinac".split("_")},monthsShort:"sij._velj._ožu._tra._svi._lip._srp._kol._ruj._lis._stu._pro.".split("_"),monthsParseExact:!0,weekdays:"nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota".split("_"),weekdaysShort:"ned._pon._uto._sri._čet._pet._sub.".split("_"),weekdaysMin:"ne_po_ut_sr_če_pe_su".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[danas u] LT",nextDay:"[sutra u] LT",nextWeek:function(){switch(this.day()){case 0:return"[u] [nedjelju] [u] LT";case 3:return"[u] [srijedu] [u] LT";case 6:return"[u] [subotu] [u] LT";case 1:case 2:case 4:case 5:return"[u] dddd [u] LT"}},lastDay:"[jučer u] LT",lastWeek:function(){switch(this.day()){case 0:case 3:return"[prošlu] dddd [u] LT";case 6:return"[prošle] [subote] [u] LT";case 1:case 2:case 4:case 5:return"[prošli] dddd [u] LT"}},sameElse:"L"},relativeTime:{future:"za %s",past:"prije %s",s:"par sekundi",ss:t,m:t,mm:t,h:t,hh:t,d:"dan",dd:t,M:"mjesec",MM:t,y:"godinu",yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="vasárnap hétfőn kedden szerdán csütörtökön pénteken szombaton".split(" ");function n(e,t,n,r){var o=e;switch(n){case"s":return r||t?"néhány másodperc":"néhány másodperce";case"ss":return o+(r||t)?" másodperc":" másodperce";case"m":return"egy"+(r||t?" perc":" perce");case"mm":return o+(r||t?" perc":" perce");case"h":return"egy"+(r||t?" óra":" órája");case"hh":return o+(r||t?" óra":" órája");case"d":return"egy"+(r||t?" nap":" napja");case"dd":return o+(r||t?" nap":" napja");case"M":return"egy"+(r||t?" hónap":" hónapja");case"MM":return o+(r||t?" hónap":" hónapja");case"y":return"egy"+(r||t?" év":" éve");case"yy":return o+(r||t?" év":" éve")}return""}function r(e){return(e?"":"[múlt] ")+"["+t[this.day()]+"] LT[-kor]"}e.defineLocale("hu",{months:"január_február_március_április_május_június_július_augusztus_szeptember_október_november_december".split("_"),monthsShort:"jan_feb_márc_ápr_máj_jún_júl_aug_szept_okt_nov_dec".split("_"),weekdays:"vasárnap_hétfő_kedd_szerda_csütörtök_péntek_szombat".split("_"),weekdaysShort:"vas_hét_kedd_sze_csüt_pén_szo".split("_"),weekdaysMin:"v_h_k_sze_cs_p_szo".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"YYYY.MM.DD.",LL:"YYYY. MMMM D.",LLL:"YYYY. MMMM D. H:mm",LLLL:"YYYY. MMMM D., dddd H:mm"},meridiemParse:/de|du/i,isPM:function(e){return"u"===e.charAt(1).toLowerCase()},meridiem:function(e,t,n){return e<12?!0===n?"de":"DE":!0===n?"du":"DU"},calendar:{sameDay:"[ma] LT[-kor]",nextDay:"[holnap] LT[-kor]",nextWeek:function(){return r.call(this,!0)},lastDay:"[tegnap] LT[-kor]",lastWeek:function(){return r.call(this,!1)},sameElse:"L"},relativeTime:{future:"%s múlva",past:"%s",s:n,ss:n,m:n,mm:n,h:n,hh:n,d:n,dd:n,M:n,MM:n,y:n,yy:n},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("hy-am",{months:{format:"հունվարի_փետրվարի_մարտի_ապրիլի_մայիսի_հունիսի_հուլիսի_օգոստոսի_սեպտեմբերի_հոկտեմբերի_նոյեմբերի_դեկտեմբերի".split("_"),standalone:"հունվար_փետրվար_մարտ_ապրիլ_մայիս_հունիս_հուլիս_օգոստոս_սեպտեմբեր_հոկտեմբեր_նոյեմբեր_դեկտեմբեր".split("_")},monthsShort:"հնվ_փտր_մրտ_ապր_մյս_հնս_հլս_օգս_սպտ_հկտ_նմբ_դկտ".split("_"),weekdays:"կիրակի_երկուշաբթի_երեքշաբթի_չորեքշաբթի_հինգշաբթի_ուրբաթ_շաբաթ".split("_"),weekdaysShort:"կրկ_երկ_երք_չրք_հնգ_ուրբ_շբթ".split("_"),weekdaysMin:"կրկ_երկ_երք_չրք_հնգ_ուրբ_շբթ".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY թ.",LLL:"D MMMM YYYY թ., HH:mm",LLLL:"dddd, D MMMM YYYY թ., HH:mm"},calendar:{sameDay:"[այսօր] LT",nextDay:"[վաղը] LT",lastDay:"[երեկ] LT",nextWeek:function(){return"dddd [օրը ժամը] LT"},lastWeek:function(){return"[անցած] dddd [օրը ժամը] LT"},sameElse:"L"},relativeTime:{future:"%s հետո",past:"%s առաջ",s:"մի քանի վայրկյան",ss:"%d վայրկյան",m:"րոպե",mm:"%d րոպե",h:"ժամ",hh:"%d ժամ",d:"օր",dd:"%d օր",M:"ամիս",MM:"%d ամիս",y:"տարի",yy:"%d տարի"},meridiemParse:/գիշերվա|առավոտվա|ցերեկվա|երեկոյան/,isPM:function(e){return/^(ցերեկվա|երեկոյան)$/.test(e)},meridiem:function(e){return e<4?"գիշերվա":e<12?"առավոտվա":e<17?"ցերեկվա":"երեկոյան"},dayOfMonthOrdinalParse:/\d{1,2}|\d{1,2}-(ին|րդ)/,ordinal:function(e,t){switch(t){case"DDD":case"w":case"W":case"DDDo":return 1===e?e+"-ին":e+"-րդ";default:return e}},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("id",{months:"Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_November_Desember".split("_"),monthsShort:"Jan_Feb_Mar_Apr_Mei_Jun_Jul_Agt_Sep_Okt_Nov_Des".split("_"),weekdays:"Minggu_Senin_Selasa_Rabu_Kamis_Jumat_Sabtu".split("_"),weekdaysShort:"Min_Sen_Sel_Rab_Kam_Jum_Sab".split("_"),weekdaysMin:"Mg_Sn_Sl_Rb_Km_Jm_Sb".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [pukul] HH.mm",LLLL:"dddd, D MMMM YYYY [pukul] HH.mm"},meridiemParse:/pagi|siang|sore|malam/,meridiemHour:function(e,t){return 12===e&&(e=0),"pagi"===t?e:"siang"===t?e>=11?e:e+12:"sore"===t||"malam"===t?e+12:void 0},meridiem:function(e,t,n){return e<11?"pagi":e<15?"siang":e<19?"sore":"malam"},calendar:{sameDay:"[Hari ini pukul] LT",nextDay:"[Besok pukul] LT",nextWeek:"dddd [pukul] LT",lastDay:"[Kemarin pukul] LT",lastWeek:"dddd [lalu pukul] LT",sameElse:"L"},relativeTime:{future:"dalam %s",past:"%s yang lalu",s:"beberapa detik",ss:"%d detik",m:"semenit",mm:"%d menit",h:"sejam",hh:"%d jam",d:"sehari",dd:"%d hari",M:"sebulan",MM:"%d bulan",y:"setahun",yy:"%d tahun"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e){return e%100==11||e%10!=1}function n(e,n,r,o){var i=e+" ";switch(r){case"s":return n||o?"nokkrar sekúndur":"nokkrum sekúndum";case"ss":return t(e)?i+(n||o?"sekúndur":"sekúndum"):i+"sekúnda";case"m":return n?"mínúta":"mínútu";case"mm":return t(e)?i+(n||o?"mínútur":"mínútum"):n?i+"mínúta":i+"mínútu";case"hh":return t(e)?i+(n||o?"klukkustundir":"klukkustundum"):i+"klukkustund";case"d":return n?"dagur":o?"dag":"degi";case"dd":return t(e)?n?i+"dagar":i+(o?"daga":"dögum"):n?i+"dagur":i+(o?"dag":"degi");case"M":return n?"mánuður":o?"mánuð":"mánuði";case"MM":return t(e)?n?i+"mánuðir":i+(o?"mánuði":"mánuðum"):n?i+"mánuður":i+(o?"mánuð":"mánuði");case"y":return n||o?"ár":"ári";case"yy":return t(e)?i+(n||o?"ár":"árum"):i+(n||o?"ár":"ári")}}e.defineLocale("is",{months:"janúar_febrúar_mars_apríl_maí_júní_júlí_ágúst_september_október_nóvember_desember".split("_"),monthsShort:"jan_feb_mar_apr_maí_jún_júl_ágú_sep_okt_nóv_des".split("_"),weekdays:"sunnudagur_mánudagur_þriðjudagur_miðvikudagur_fimmtudagur_föstudagur_laugardagur".split("_"),weekdaysShort:"sun_mán_þri_mið_fim_fös_lau".split("_"),weekdaysMin:"Su_Má_Þr_Mi_Fi_Fö_La".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY [kl.] H:mm",LLLL:"dddd, D. MMMM YYYY [kl.] H:mm"},calendar:{sameDay:"[í dag kl.] LT",nextDay:"[á morgun kl.] LT",nextWeek:"dddd [kl.] LT",lastDay:"[í gær kl.] LT",lastWeek:"[síðasta] dddd [kl.] LT",sameElse:"L"},relativeTime:{future:"eftir %s",past:"fyrir %s síðan",s:n,ss:n,m:n,mm:n,h:"klukkustund",hh:n,d:n,dd:n,M:n,MM:n,y:n,yy:n},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("it",{months:"gennaio_febbraio_marzo_aprile_maggio_giugno_luglio_agosto_settembre_ottobre_novembre_dicembre".split("_"),monthsShort:"gen_feb_mar_apr_mag_giu_lug_ago_set_ott_nov_dic".split("_"),weekdays:"domenica_lunedì_martedì_mercoledì_giovedì_venerdì_sabato".split("_"),weekdaysShort:"dom_lun_mar_mer_gio_ven_sab".split("_"),weekdaysMin:"do_lu_ma_me_gi_ve_sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Oggi alle] LT",nextDay:"[Domani alle] LT",nextWeek:"dddd [alle] LT",lastDay:"[Ieri alle] LT",lastWeek:function(){switch(this.day()){case 0:return"[la scorsa] dddd [alle] LT";default:return"[lo scorso] dddd [alle] LT"}},sameElse:"L"},relativeTime:{future:function(e){return(/^[0-9].+$/.test(e)?"tra":"in")+" "+e},past:"%s fa",s:"alcuni secondi",ss:"%d secondi",m:"un minuto",mm:"%d minuti",h:"un'ora",hh:"%d ore",d:"un giorno",dd:"%d giorni",M:"un mese",MM:"%d mesi",y:"un anno",yy:"%d anni"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("it-ch",{months:"gennaio_febbraio_marzo_aprile_maggio_giugno_luglio_agosto_settembre_ottobre_novembre_dicembre".split("_"),monthsShort:"gen_feb_mar_apr_mag_giu_lug_ago_set_ott_nov_dic".split("_"),weekdays:"domenica_lunedì_martedì_mercoledì_giovedì_venerdì_sabato".split("_"),weekdaysShort:"dom_lun_mar_mer_gio_ven_sab".split("_"),weekdaysMin:"do_lu_ma_me_gi_ve_sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Oggi alle] LT",nextDay:"[Domani alle] LT",nextWeek:"dddd [alle] LT",lastDay:"[Ieri alle] LT",lastWeek:function(){switch(this.day()){case 0:return"[la scorsa] dddd [alle] LT";default:return"[lo scorso] dddd [alle] LT"}},sameElse:"L"},relativeTime:{future:function(e){return(/^[0-9].+$/.test(e)?"tra":"in")+" "+e},past:"%s fa",s:"alcuni secondi",ss:"%d secondi",m:"un minuto",mm:"%d minuti",h:"un'ora",hh:"%d ore",d:"un giorno",dd:"%d giorni",M:"un mese",MM:"%d mesi",y:"un anno",yy:"%d anni"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ja",{months:"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月".split("_"),monthsShort:"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月".split("_"),weekdays:"日曜日_月曜日_火曜日_水曜日_木曜日_金曜日_土曜日".split("_"),weekdaysShort:"日_月_火_水_木_金_土".split("_"),weekdaysMin:"日_月_火_水_木_金_土".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY/MM/DD",LL:"YYYY年M月D日",LLL:"YYYY年M月D日 HH:mm",LLLL:"YYYY年M月D日 dddd HH:mm",l:"YYYY/MM/DD",ll:"YYYY年M月D日",lll:"YYYY年M月D日 HH:mm",llll:"YYYY年M月D日(ddd) HH:mm"},meridiemParse:/午前|午後/i,isPM:function(e){return"午後"===e},meridiem:function(e,t,n){return e<12?"午前":"午後"},calendar:{sameDay:"[今日] LT",nextDay:"[明日] LT",nextWeek:function(e){return e.week()<this.week()?"[来週]dddd LT":"dddd LT"},lastDay:"[昨日] LT",lastWeek:function(e){return this.week()<e.week()?"[先週]dddd LT":"dddd LT"},sameElse:"L"},dayOfMonthOrdinalParse:/\d{1,2}日/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"日";default:return e}},relativeTime:{future:"%s後",past:"%s前",s:"数秒",ss:"%d秒",m:"1分",mm:"%d分",h:"1時間",hh:"%d時間",d:"1日",dd:"%d日",M:"1ヶ月",MM:"%dヶ月",y:"1年",yy:"%d年"}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("jv",{months:"Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_Nopember_Desember".split("_"),monthsShort:"Jan_Feb_Mar_Apr_Mei_Jun_Jul_Ags_Sep_Okt_Nop_Des".split("_"),weekdays:"Minggu_Senen_Seloso_Rebu_Kemis_Jemuwah_Septu".split("_"),weekdaysShort:"Min_Sen_Sel_Reb_Kem_Jem_Sep".split("_"),weekdaysMin:"Mg_Sn_Sl_Rb_Km_Jm_Sp".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [pukul] HH.mm",LLLL:"dddd, D MMMM YYYY [pukul] HH.mm"},meridiemParse:/enjing|siyang|sonten|ndalu/,meridiemHour:function(e,t){return 12===e&&(e=0),"enjing"===t?e:"siyang"===t?e>=11?e:e+12:"sonten"===t||"ndalu"===t?e+12:void 0},meridiem:function(e,t,n){return e<11?"enjing":e<15?"siyang":e<19?"sonten":"ndalu"},calendar:{sameDay:"[Dinten puniko pukul] LT",nextDay:"[Mbenjang pukul] LT",nextWeek:"dddd [pukul] LT",lastDay:"[Kala wingi pukul] LT",lastWeek:"dddd [kepengker pukul] LT",sameElse:"L"},relativeTime:{future:"wonten ing %s",past:"%s ingkang kepengker",s:"sawetawis detik",ss:"%d detik",m:"setunggal menit",mm:"%d menit",h:"setunggal jam",hh:"%d jam",d:"sedinten",dd:"%d dinten",M:"sewulan",MM:"%d wulan",y:"setaun",yy:"%d taun"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ka",{months:{standalone:"იანვარი_თებერვალი_მარტი_აპრილი_მაისი_ივნისი_ივლისი_აგვისტო_სექტემბერი_ოქტომბერი_ნოემბერი_დეკემბერი".split("_"),format:"იანვარს_თებერვალს_მარტს_აპრილის_მაისს_ივნისს_ივლისს_აგვისტს_სექტემბერს_ოქტომბერს_ნოემბერს_დეკემბერს".split("_")},monthsShort:"იან_თებ_მარ_აპრ_მაი_ივნ_ივლ_აგვ_სექ_ოქტ_ნოე_დეკ".split("_"),weekdays:{standalone:"კვირა_ორშაბათი_სამშაბათი_ოთხშაბათი_ხუთშაბათი_პარასკევი_შაბათი".split("_"),format:"კვირას_ორშაბათს_სამშაბათს_ოთხშაბათს_ხუთშაბათს_პარასკევს_შაბათს".split("_"),isFormat:/(წინა|შემდეგ)/},weekdaysShort:"კვი_ორშ_სამ_ოთხ_ხუთ_პარ_შაბ".split("_"),weekdaysMin:"კვ_ორ_სა_ოთ_ხუ_პა_შა".split("_"),longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendar:{sameDay:"[დღეს] LT[-ზე]",nextDay:"[ხვალ] LT[-ზე]",lastDay:"[გუშინ] LT[-ზე]",nextWeek:"[შემდეგ] dddd LT[-ზე]",lastWeek:"[წინა] dddd LT-ზე",sameElse:"L"},relativeTime:{future:function(e){return/(წამი|წუთი|საათი|წელი)/.test(e)?e.replace(/ი$/,"ში"):e+"ში"},past:function(e){return/(წამი|წუთი|საათი|დღე|თვე)/.test(e)?e.replace(/(ი|ე)$/,"ის წინ"):/წელი/.test(e)?e.replace(/წელი$/,"წლის წინ"):void 0},s:"რამდენიმე წამი",ss:"%d წამი",m:"წუთი",mm:"%d წუთი",h:"საათი",hh:"%d საათი",d:"დღე",dd:"%d დღე",M:"თვე",MM:"%d თვე",y:"წელი",yy:"%d წელი"},dayOfMonthOrdinalParse:/0|1-ლი|მე-\d{1,2}|\d{1,2}-ე/,ordinal:function(e){return 0===e?e:1===e?e+"-ლი":e<20||e<=100&&e%20==0||e%100==0?"მე-"+e:e+"-ე"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={0:"-ші",1:"-ші",2:"-ші",3:"-ші",4:"-ші",5:"-ші",6:"-шы",7:"-ші",8:"-ші",9:"-шы",10:"-шы",20:"-шы",30:"-шы",40:"-шы",50:"-ші",60:"-шы",70:"-ші",80:"-ші",90:"-шы",100:"-ші"};e.defineLocale("kk",{months:"қаңтар_ақпан_наурыз_сәуір_мамыр_маусым_шілде_тамыз_қыркүйек_қазан_қараша_желтоқсан".split("_"),monthsShort:"қаң_ақп_нау_сәу_мам_мау_шіл_там_қыр_қаз_қар_жел".split("_"),weekdays:"жексенбі_дүйсенбі_сейсенбі_сәрсенбі_бейсенбі_жұма_сенбі".split("_"),weekdaysShort:"жек_дүй_сей_сәр_бей_жұм_сен".split("_"),weekdaysMin:"жк_дй_сй_ср_бй_жм_сн".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Бүгін сағат] LT",nextDay:"[Ертең сағат] LT",nextWeek:"dddd [сағат] LT",lastDay:"[Кеше сағат] LT",lastWeek:"[Өткен аптаның] dddd [сағат] LT",sameElse:"L"},relativeTime:{future:"%s ішінде",past:"%s бұрын",s:"бірнеше секунд",ss:"%d секунд",m:"бір минут",mm:"%d минут",h:"бір сағат",hh:"%d сағат",d:"бір күн",dd:"%d күн",M:"бір ай",MM:"%d ай",y:"бір жыл",yy:"%d жыл"},dayOfMonthOrdinalParse:/\d{1,2}-(ші|шы)/,ordinal:function(e){return e+(t[e]||t[e%10]||t[e>=100?100:null])},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"១",2:"២",3:"៣",4:"៤",5:"៥",6:"៦",7:"៧",8:"៨",9:"៩",0:"០"},n={"១":"1","២":"2","៣":"3","៤":"4","៥":"5","៦":"6","៧":"7","៨":"8","៩":"9","០":"0"};e.defineLocale("km",{months:"មករា_កុម្ភៈ_មីនា_មេសា_ឧសភា_មិថុនា_កក្កដា_សីហា_កញ្ញា_តុលា_វិច្ឆិកា_ធ្នូ".split("_"),monthsShort:"មករា_កុម្ភៈ_មីនា_មេសា_ឧសភា_មិថុនា_កក្កដា_សីហា_កញ្ញា_តុលា_វិច្ឆិកា_ធ្នូ".split("_"),weekdays:"អាទិត្យ_ច័ន្ទ_អង្គារ_ពុធ_ព្រហស្បតិ៍_សុក្រ_សៅរ៍".split("_"),weekdaysShort:"អា_ច_អ_ព_ព្រ_សុ_ស".split("_"),weekdaysMin:"អា_ច_អ_ព_ព្រ_សុ_ស".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},meridiemParse:/ព្រឹក|ល្ងាច/,isPM:function(e){return"ល្ងាច"===e},meridiem:function(e,t,n){return e<12?"ព្រឹក":"ល្ងាច"},calendar:{sameDay:"[ថ្ងៃនេះ ម៉ោង] LT",nextDay:"[ស្អែក ម៉ោង] LT",nextWeek:"dddd [ម៉ោង] LT",lastDay:"[ម្សិលមិញ ម៉ោង] LT",lastWeek:"dddd [សប្តាហ៍មុន] [ម៉ោង] LT",sameElse:"L"},relativeTime:{future:"%sទៀត",past:"%sមុន",s:"ប៉ុន្មានវិនាទី",ss:"%d វិនាទី",m:"មួយនាទី",mm:"%d នាទី",h:"មួយម៉ោង",hh:"%d ម៉ោង",d:"មួយថ្ងៃ",dd:"%d ថ្ងៃ",M:"មួយខែ",MM:"%d ខែ",y:"មួយឆ្នាំ",yy:"%d ឆ្នាំ"},dayOfMonthOrdinalParse:/ទី\d{1,2}/,ordinal:"ទី%d",preparse:function(e){return e.replace(/[១២៣៤៥៦៧៨៩០]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"೧",2:"೨",3:"೩",4:"೪",5:"೫",6:"೬",7:"೭",8:"೮",9:"೯",0:"೦"},n={"೧":"1","೨":"2","೩":"3","೪":"4","೫":"5","೬":"6","೭":"7","೮":"8","೯":"9","೦":"0"};e.defineLocale("kn",{months:"ಜನವರಿ_ಫೆಬ್ರವರಿ_ಮಾರ್ಚ್_ಏಪ್ರಿಲ್_ಮೇ_ಜೂನ್_ಜುಲೈ_ಆಗಸ್ಟ್_ಸೆಪ್ಟೆಂಬರ್_ಅಕ್ಟೋಬರ್_ನವೆಂಬರ್_ಡಿಸೆಂಬರ್".split("_"),monthsShort:"ಜನ_ಫೆಬ್ರ_ಮಾರ್ಚ್_ಏಪ್ರಿಲ್_ಮೇ_ಜೂನ್_ಜುಲೈ_ಆಗಸ್ಟ್_ಸೆಪ್ಟೆಂ_ಅಕ್ಟೋ_ನವೆಂ_ಡಿಸೆಂ".split("_"),monthsParseExact:!0,weekdays:"ಭಾನುವಾರ_ಸೋಮವಾರ_ಮಂಗಳವಾರ_ಬುಧವಾರ_ಗುರುವಾರ_ಶುಕ್ರವಾರ_ಶನಿವಾರ".split("_"),weekdaysShort:"ಭಾನು_ಸೋಮ_ಮಂಗಳ_ಬುಧ_ಗುರು_ಶುಕ್ರ_ಶನಿ".split("_"),weekdaysMin:"ಭಾ_ಸೋ_ಮಂ_ಬು_ಗು_ಶು_ಶ".split("_"),longDateFormat:{LT:"A h:mm",LTS:"A h:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm",LLLL:"dddd, D MMMM YYYY, A h:mm"},calendar:{sameDay:"[ಇಂದು] LT",nextDay:"[ನಾಳೆ] LT",nextWeek:"dddd, LT",lastDay:"[ನಿನ್ನೆ] LT",lastWeek:"[ಕೊನೆಯ] dddd, LT",sameElse:"L"},relativeTime:{future:"%s ನಂತರ",past:"%s ಹಿಂದೆ",s:"ಕೆಲವು ಕ್ಷಣಗಳು",ss:"%d ಸೆಕೆಂಡುಗಳು",m:"ಒಂದು ನಿಮಿಷ",mm:"%d ನಿಮಿಷ",h:"ಒಂದು ಗಂಟೆ",hh:"%d ಗಂಟೆ",d:"ಒಂದು ದಿನ",dd:"%d ದಿನ",M:"ಒಂದು ತಿಂಗಳು",MM:"%d ತಿಂಗಳು",y:"ಒಂದು ವರ್ಷ",yy:"%d ವರ್ಷ"},preparse:function(e){return e.replace(/[೧೨೩೪೫೬೭೮೯೦]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/ರಾತ್ರಿ|ಬೆಳಿಗ್ಗೆ|ಮಧ್ಯಾಹ್ನ|ಸಂಜೆ/,meridiemHour:function(e,t){return 12===e&&(e=0),"ರಾತ್ರಿ"===t?e<4?e:e+12:"ಬೆಳಿಗ್ಗೆ"===t?e:"ಮಧ್ಯಾಹ್ನ"===t?e>=10?e:e+12:"ಸಂಜೆ"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"ರಾತ್ರಿ":e<10?"ಬೆಳಿಗ್ಗೆ":e<17?"ಮಧ್ಯಾಹ್ನ":e<20?"ಸಂಜೆ":"ರಾತ್ರಿ"},dayOfMonthOrdinalParse:/\d{1,2}(ನೇ)/,ordinal:function(e){return e+"ನೇ"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ko",{months:"1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월".split("_"),monthsShort:"1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월".split("_"),weekdays:"일요일_월요일_화요일_수요일_목요일_금요일_토요일".split("_"),weekdaysShort:"일_월_화_수_목_금_토".split("_"),weekdaysMin:"일_월_화_수_목_금_토".split("_"),longDateFormat:{LT:"A h:mm",LTS:"A h:mm:ss",L:"YYYY.MM.DD.",LL:"YYYY년 MMMM D일",LLL:"YYYY년 MMMM D일 A h:mm",LLLL:"YYYY년 MMMM D일 dddd A h:mm",l:"YYYY.MM.DD.",ll:"YYYY년 MMMM D일",lll:"YYYY년 MMMM D일 A h:mm",llll:"YYYY년 MMMM D일 dddd A h:mm"},calendar:{sameDay:"오늘 LT",nextDay:"내일 LT",nextWeek:"dddd LT",lastDay:"어제 LT",lastWeek:"지난주 dddd LT",sameElse:"L"},relativeTime:{future:"%s 후",past:"%s 전",s:"몇 초",ss:"%d초",m:"1분",mm:"%d분",h:"한 시간",hh:"%d시간",d:"하루",dd:"%d일",M:"한 달",MM:"%d달",y:"일 년",yy:"%d년"},dayOfMonthOrdinalParse:/\d{1,2}(일|월|주)/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"일";case"M":return e+"월";case"w":case"W":return e+"주";default:return e}},meridiemParse:/오전|오후/,isPM:function(e){return"오후"===e},meridiem:function(e,t,n){return e<12?"오전":"오후"}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"١",2:"٢",3:"٣",4:"٤",5:"٥",6:"٦",7:"٧",8:"٨",9:"٩",0:"٠"},n={"١":"1","٢":"2","٣":"3","٤":"4","٥":"5","٦":"6","٧":"7","٨":"8","٩":"9","٠":"0"},r=["کانونی دووەم","شوبات","ئازار","نیسان","ئایار","حوزەیران","تەمموز","ئاب","ئەیلوول","تشرینی یەكەم","تشرینی دووەم","كانونی یەکەم"];e.defineLocale("ku",{months:r,monthsShort:r,weekdays:"یه‌كشه‌ممه‌_دووشه‌ممه‌_سێشه‌ممه‌_چوارشه‌ممه‌_پێنجشه‌ممه‌_هه‌ینی_شه‌ممه‌".split("_"),weekdaysShort:"یه‌كشه‌م_دووشه‌م_سێشه‌م_چوارشه‌م_پێنجشه‌م_هه‌ینی_شه‌ممه‌".split("_"),weekdaysMin:"ی_د_س_چ_پ_ه_ش".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},meridiemParse:/ئێواره‌|به‌یانی/,isPM:function(e){return/ئێواره‌/.test(e)},meridiem:function(e,t,n){return e<12?"به‌یانی":"ئێواره‌"},calendar:{sameDay:"[ئه‌مرۆ كاتژمێر] LT",nextDay:"[به‌یانی كاتژمێر] LT",nextWeek:"dddd [كاتژمێر] LT",lastDay:"[دوێنێ كاتژمێر] LT",lastWeek:"dddd [كاتژمێر] LT",sameElse:"L"},relativeTime:{future:"له‌ %s",past:"%s",s:"چه‌ند چركه‌یه‌ك",ss:"چركه‌ %d",m:"یه‌ك خوله‌ك",mm:"%d خوله‌ك",h:"یه‌ك كاتژمێر",hh:"%d كاتژمێر",d:"یه‌ك ڕۆژ",dd:"%d ڕۆژ",M:"یه‌ك مانگ",MM:"%d مانگ",y:"یه‌ك ساڵ",yy:"%d ساڵ"},preparse:function(e){return e.replace(/[١٢٣٤٥٦٧٨٩٠]/g,(function(e){return n[e]})).replace(/،/g,",")},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]})).replace(/,/g,"،")},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={0:"-чү",1:"-чи",2:"-чи",3:"-чү",4:"-чү",5:"-чи",6:"-чы",7:"-чи",8:"-чи",9:"-чу",10:"-чу",20:"-чы",30:"-чу",40:"-чы",50:"-чү",60:"-чы",70:"-чи",80:"-чи",90:"-чу",100:"-чү"};e.defineLocale("ky",{months:"январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь".split("_"),monthsShort:"янв_фев_март_апр_май_июнь_июль_авг_сен_окт_ноя_дек".split("_"),weekdays:"Жекшемби_Дүйшөмбү_Шейшемби_Шаршемби_Бейшемби_Жума_Ишемби".split("_"),weekdaysShort:"Жек_Дүй_Шей_Шар_Бей_Жум_Ише".split("_"),weekdaysMin:"Жк_Дй_Шй_Шр_Бй_Жм_Иш".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Бүгүн саат] LT",nextDay:"[Эртең саат] LT",nextWeek:"dddd [саат] LT",lastDay:"[Кечээ саат] LT",lastWeek:"[Өткөн аптанын] dddd [күнү] [саат] LT",sameElse:"L"},relativeTime:{future:"%s ичинде",past:"%s мурун",s:"бирнече секунд",ss:"%d секунд",m:"бир мүнөт",mm:"%d мүнөт",h:"бир саат",hh:"%d саат",d:"бир күн",dd:"%d күн",M:"бир ай",MM:"%d ай",y:"бир жыл",yy:"%d жыл"},dayOfMonthOrdinalParse:/\d{1,2}-(чи|чы|чү|чу)/,ordinal:function(e){return e+(t[e]||t[e%10]||t[e>=100?100:null])},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={m:["eng Minutt","enger Minutt"],h:["eng Stonn","enger Stonn"],d:["een Dag","engem Dag"],M:["ee Mount","engem Mount"],y:["ee Joer","engem Joer"]};return t?o[n][0]:o[n][1]}function n(e){if(e=parseInt(e,10),isNaN(e))return!1;if(e<0)return!0;if(e<10)return 4<=e&&e<=7;if(e<100){var t=e%10;return n(0===t?e/10:t)}if(e<1e4){for(;e>=10;)e/=10;return n(e)}return n(e/=1e3)}e.defineLocale("lb",{months:"Januar_Februar_Mäerz_Abrëll_Mee_Juni_Juli_August_September_Oktober_November_Dezember".split("_"),monthsShort:"Jan._Febr._Mrz._Abr._Mee_Jun._Jul._Aug._Sept._Okt._Nov._Dez.".split("_"),monthsParseExact:!0,weekdays:"Sonndeg_Méindeg_Dënschdeg_Mëttwoch_Donneschdeg_Freideg_Samschdeg".split("_"),weekdaysShort:"So._Mé._Dë._Më._Do._Fr._Sa.".split("_"),weekdaysMin:"So_Mé_Dë_Më_Do_Fr_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm [Auer]",LTS:"H:mm:ss [Auer]",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm [Auer]",LLLL:"dddd, D. MMMM YYYY H:mm [Auer]"},calendar:{sameDay:"[Haut um] LT",sameElse:"L",nextDay:"[Muer um] LT",nextWeek:"dddd [um] LT",lastDay:"[Gëschter um] LT",lastWeek:function(){switch(this.day()){case 2:case 4:return"[Leschten] dddd [um] LT";default:return"[Leschte] dddd [um] LT"}}},relativeTime:{future:function(e){return n(e.substr(0,e.indexOf(" ")))?"a "+e:"an "+e},past:function(e){return n(e.substr(0,e.indexOf(" ")))?"viru "+e:"virun "+e},s:"e puer Sekonnen",ss:"%d Sekonnen",m:t,mm:"%d Minutten",h:t,hh:"%d Stonnen",d:t,dd:"%d Deeg",M:t,MM:"%d Méint",y:t,yy:"%d Joer"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("lo",{months:"ມັງກອນ_ກຸມພາ_ມີນາ_ເມສາ_ພຶດສະພາ_ມິຖຸນາ_ກໍລະກົດ_ສິງຫາ_ກັນຍາ_ຕຸລາ_ພະຈິກ_ທັນວາ".split("_"),monthsShort:"ມັງກອນ_ກຸມພາ_ມີນາ_ເມສາ_ພຶດສະພາ_ມິຖຸນາ_ກໍລະກົດ_ສິງຫາ_ກັນຍາ_ຕຸລາ_ພະຈິກ_ທັນວາ".split("_"),weekdays:"ອາທິດ_ຈັນ_ອັງຄານ_ພຸດ_ພະຫັດ_ສຸກ_ເສົາ".split("_"),weekdaysShort:"ທິດ_ຈັນ_ອັງຄານ_ພຸດ_ພະຫັດ_ສຸກ_ເສົາ".split("_"),weekdaysMin:"ທ_ຈ_ອຄ_ພ_ພຫ_ສກ_ສ".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"ວັນdddd D MMMM YYYY HH:mm"},meridiemParse:/ຕອນເຊົ້າ|ຕອນແລງ/,isPM:function(e){return"ຕອນແລງ"===e},meridiem:function(e,t,n){return e<12?"ຕອນເຊົ້າ":"ຕອນແລງ"},calendar:{sameDay:"[ມື້ນີ້ເວລາ] LT",nextDay:"[ມື້ອື່ນເວລາ] LT",nextWeek:"[ວັນ]dddd[ໜ້າເວລາ] LT",lastDay:"[ມື້ວານນີ້ເວລາ] LT",lastWeek:"[ວັນ]dddd[ແລ້ວນີ້ເວລາ] LT",sameElse:"L"},relativeTime:{future:"ອີກ %s",past:"%sຜ່ານມາ",s:"ບໍ່ເທົ່າໃດວິນາທີ",ss:"%d ວິນາທີ",m:"1 ນາທີ",mm:"%d ນາທີ",h:"1 ຊົ່ວໂມງ",hh:"%d ຊົ່ວໂມງ",d:"1 ມື້",dd:"%d ມື້",M:"1 ເດືອນ",MM:"%d ເດືອນ",y:"1 ປີ",yy:"%d ປີ"},dayOfMonthOrdinalParse:/(ທີ່)\d{1,2}/,ordinal:function(e){return"ທີ່"+e}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={ss:"sekundė_sekundžių_sekundes",m:"minutė_minutės_minutę",mm:"minutės_minučių_minutes",h:"valanda_valandos_valandą",hh:"valandos_valandų_valandas",d:"diena_dienos_dieną",dd:"dienos_dienų_dienas",M:"mėnuo_mėnesio_mėnesį",MM:"mėnesiai_mėnesių_mėnesius",y:"metai_metų_metus",yy:"metai_metų_metus"};function n(e,t,n,r){return t?o(n)[0]:r?o(n)[1]:o(n)[2]}function r(e){return e%10==0||e>10&&e<20}function o(e){return t[e].split("_")}function i(e,t,i,a){var s=e+" ";return 1===e?s+n(0,t,i[0],a):t?s+(r(e)?o(i)[1]:o(i)[0]):a?s+o(i)[1]:s+(r(e)?o(i)[1]:o(i)[2])}e.defineLocale("lt",{months:{format:"sausio_vasario_kovo_balandžio_gegužės_birželio_liepos_rugpjūčio_rugsėjo_spalio_lapkričio_gruodžio".split("_"),standalone:"sausis_vasaris_kovas_balandis_gegužė_birželis_liepa_rugpjūtis_rugsėjis_spalis_lapkritis_gruodis".split("_"),isFormat:/D[oD]?(\[[^\[\]]*\]|\s)+MMMM?|MMMM?(\[[^\[\]]*\]|\s)+D[oD]?/},monthsShort:"sau_vas_kov_bal_geg_bir_lie_rgp_rgs_spa_lap_grd".split("_"),weekdays:{format:"sekmadienį_pirmadienį_antradienį_trečiadienį_ketvirtadienį_penktadienį_šeštadienį".split("_"),standalone:"sekmadienis_pirmadienis_antradienis_trečiadienis_ketvirtadienis_penktadienis_šeštadienis".split("_"),isFormat:/dddd HH:mm/},weekdaysShort:"Sek_Pir_Ant_Tre_Ket_Pen_Šeš".split("_"),weekdaysMin:"S_P_A_T_K_Pn_Š".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"YYYY [m.] MMMM D [d.]",LLL:"YYYY [m.] MMMM D [d.], HH:mm [val.]",LLLL:"YYYY [m.] MMMM D [d.], dddd, HH:mm [val.]",l:"YYYY-MM-DD",ll:"YYYY [m.] MMMM D [d.]",lll:"YYYY [m.] MMMM D [d.], HH:mm [val.]",llll:"YYYY [m.] MMMM D [d.], ddd, HH:mm [val.]"},calendar:{sameDay:"[Šiandien] LT",nextDay:"[Rytoj] LT",nextWeek:"dddd LT",lastDay:"[Vakar] LT",lastWeek:"[Praėjusį] dddd LT",sameElse:"L"},relativeTime:{future:"po %s",past:"prieš %s",s:function(e,t,n,r){return t?"kelios sekundės":r?"kelių sekundžių":"kelias sekundes"},ss:i,m:n,mm:i,h:n,hh:i,d:n,dd:i,M:n,MM:i,y:n,yy:i},dayOfMonthOrdinalParse:/\d{1,2}-oji/,ordinal:function(e){return e+"-oji"},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={ss:"sekundes_sekundēm_sekunde_sekundes".split("_"),m:"minūtes_minūtēm_minūte_minūtes".split("_"),mm:"minūtes_minūtēm_minūte_minūtes".split("_"),h:"stundas_stundām_stunda_stundas".split("_"),hh:"stundas_stundām_stunda_stundas".split("_"),d:"dienas_dienām_diena_dienas".split("_"),dd:"dienas_dienām_diena_dienas".split("_"),M:"mēneša_mēnešiem_mēnesis_mēneši".split("_"),MM:"mēneša_mēnešiem_mēnesis_mēneši".split("_"),y:"gada_gadiem_gads_gadi".split("_"),yy:"gada_gadiem_gads_gadi".split("_")};function n(e,t,n){return n?t%10==1&&t%100!=11?e[2]:e[3]:t%10==1&&t%100!=11?e[0]:e[1]}function r(e,r,o){return e+" "+n(t[o],e,r)}function o(e,r,o){return n(t[o],e,r)}e.defineLocale("lv",{months:"janvāris_februāris_marts_aprīlis_maijs_jūnijs_jūlijs_augusts_septembris_oktobris_novembris_decembris".split("_"),monthsShort:"jan_feb_mar_apr_mai_jūn_jūl_aug_sep_okt_nov_dec".split("_"),weekdays:"svētdiena_pirmdiena_otrdiena_trešdiena_ceturtdiena_piektdiena_sestdiena".split("_"),weekdaysShort:"Sv_P_O_T_C_Pk_S".split("_"),weekdaysMin:"Sv_P_O_T_C_Pk_S".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY.",LL:"YYYY. [gada] D. MMMM",LLL:"YYYY. [gada] D. MMMM, HH:mm",LLLL:"YYYY. [gada] D. MMMM, dddd, HH:mm"},calendar:{sameDay:"[Šodien pulksten] LT",nextDay:"[Rīt pulksten] LT",nextWeek:"dddd [pulksten] LT",lastDay:"[Vakar pulksten] LT",lastWeek:"[Pagājušā] dddd [pulksten] LT",sameElse:"L"},relativeTime:{future:"pēc %s",past:"pirms %s",s:function(e,t){return t?"dažas sekundes":"dažām sekundēm"},ss:r,m:o,mm:r,h:o,hh:r,d:o,dd:r,M:o,MM:r,y:o,yy:r},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={words:{ss:["sekund","sekunda","sekundi"],m:["jedan minut","jednog minuta"],mm:["minut","minuta","minuta"],h:["jedan sat","jednog sata"],hh:["sat","sata","sati"],dd:["dan","dana","dana"],MM:["mjesec","mjeseca","mjeseci"],yy:["godina","godine","godina"]},correctGrammaticalCase:function(e,t){return 1===e?t[0]:e>=2&&e<=4?t[1]:t[2]},translate:function(e,n,r){var o=t.words[r];return 1===r.length?n?o[0]:o[1]:e+" "+t.correctGrammaticalCase(e,o)}};e.defineLocale("me",{months:"januar_februar_mart_april_maj_jun_jul_avgust_septembar_oktobar_novembar_decembar".split("_"),monthsShort:"jan._feb._mar._apr._maj_jun_jul_avg._sep._okt._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota".split("_"),weekdaysShort:"ned._pon._uto._sri._čet._pet._sub.".split("_"),weekdaysMin:"ne_po_ut_sr_če_pe_su".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[danas u] LT",nextDay:"[sjutra u] LT",nextWeek:function(){switch(this.day()){case 0:return"[u] [nedjelju] [u] LT";case 3:return"[u] [srijedu] [u] LT";case 6:return"[u] [subotu] [u] LT";case 1:case 2:case 4:case 5:return"[u] dddd [u] LT"}},lastDay:"[juče u] LT",lastWeek:function(){return["[prošle] [nedjelje] [u] LT","[prošlog] [ponedjeljka] [u] LT","[prošlog] [utorka] [u] LT","[prošle] [srijede] [u] LT","[prošlog] [četvrtka] [u] LT","[prošlog] [petka] [u] LT","[prošle] [subote] [u] LT"][this.day()]},sameElse:"L"},relativeTime:{future:"za %s",past:"prije %s",s:"nekoliko sekundi",ss:t.translate,m:t.translate,mm:t.translate,h:t.translate,hh:t.translate,d:"dan",dd:t.translate,M:"mjesec",MM:t.translate,y:"godinu",yy:t.translate},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("mi",{months:"Kohi-tāte_Hui-tanguru_Poutū-te-rangi_Paenga-whāwhā_Haratua_Pipiri_Hōngoingoi_Here-turi-kōkā_Mahuru_Whiringa-ā-nuku_Whiringa-ā-rangi_Hakihea".split("_"),monthsShort:"Kohi_Hui_Pou_Pae_Hara_Pipi_Hōngoi_Here_Mahu_Whi-nu_Whi-ra_Haki".split("_"),monthsRegex:/(?:['a-z\u0101\u014D\u016B]+\-?){1,3}/i,monthsStrictRegex:/(?:['a-z\u0101\u014D\u016B]+\-?){1,3}/i,monthsShortRegex:/(?:['a-z\u0101\u014D\u016B]+\-?){1,3}/i,monthsShortStrictRegex:/(?:['a-z\u0101\u014D\u016B]+\-?){1,2}/i,weekdays:"Rātapu_Mane_Tūrei_Wenerei_Tāite_Paraire_Hātarei".split("_"),weekdaysShort:"Ta_Ma_Tū_We_Tāi_Pa_Hā".split("_"),weekdaysMin:"Ta_Ma_Tū_We_Tāi_Pa_Hā".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [i] HH:mm",LLLL:"dddd, D MMMM YYYY [i] HH:mm"},calendar:{sameDay:"[i teie mahana, i] LT",nextDay:"[apopo i] LT",nextWeek:"dddd [i] LT",lastDay:"[inanahi i] LT",lastWeek:"dddd [whakamutunga i] LT",sameElse:"L"},relativeTime:{future:"i roto i %s",past:"%s i mua",s:"te hēkona ruarua",ss:"%d hēkona",m:"he meneti",mm:"%d meneti",h:"te haora",hh:"%d haora",d:"he ra",dd:"%d ra",M:"he marama",MM:"%d marama",y:"he tau",yy:"%d tau"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("mk",{months:"јануари_февруари_март_април_мај_јуни_јули_август_септември_октомври_ноември_декември".split("_"),monthsShort:"јан_фев_мар_апр_мај_јун_јул_авг_сеп_окт_ное_дек".split("_"),weekdays:"недела_понеделник_вторник_среда_четврток_петок_сабота".split("_"),weekdaysShort:"нед_пон_вто_сре_чет_пет_саб".split("_"),weekdaysMin:"нe_пo_вт_ср_че_пе_сa".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"D.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY H:mm",LLLL:"dddd, D MMMM YYYY H:mm"},calendar:{sameDay:"[Денес во] LT",nextDay:"[Утре во] LT",nextWeek:"[Во] dddd [во] LT",lastDay:"[Вчера во] LT",lastWeek:function(){switch(this.day()){case 0:case 3:case 6:return"[Изминатата] dddd [во] LT";case 1:case 2:case 4:case 5:return"[Изминатиот] dddd [во] LT"}},sameElse:"L"},relativeTime:{future:"после %s",past:"пред %s",s:"неколку секунди",ss:"%d секунди",m:"минута",mm:"%d минути",h:"час",hh:"%d часа",d:"ден",dd:"%d дена",M:"месец",MM:"%d месеци",y:"година",yy:"%d години"},dayOfMonthOrdinalParse:/\d{1,2}-(ев|ен|ти|ви|ри|ми)/,ordinal:function(e){var t=e%10,n=e%100;return 0===e?e+"-ев":0===n?e+"-ен":n>10&&n<20?e+"-ти":1===t?e+"-ви":2===t?e+"-ри":7===t||8===t?e+"-ми":e+"-ти"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ml",{months:"ജനുവരി_ഫെബ്രുവരി_മാർച്ച്_ഏപ്രിൽ_മേയ്_ജൂൺ_ജൂലൈ_ഓഗസ്റ്റ്_സെപ്റ്റംബർ_ഒക്ടോബർ_നവംബർ_ഡിസംബർ".split("_"),monthsShort:"ജനു._ഫെബ്രു._മാർ._ഏപ്രി._മേയ്_ജൂൺ_ജൂലൈ._ഓഗ._സെപ്റ്റ._ഒക്ടോ._നവം._ഡിസം.".split("_"),monthsParseExact:!0,weekdays:"ഞായറാഴ്ച_തിങ്കളാഴ്ച_ചൊവ്വാഴ്ച_ബുധനാഴ്ച_വ്യാഴാഴ്ച_വെള്ളിയാഴ്ച_ശനിയാഴ്ച".split("_"),weekdaysShort:"ഞായർ_തിങ്കൾ_ചൊവ്വ_ബുധൻ_വ്യാഴം_വെള്ളി_ശനി".split("_"),weekdaysMin:"ഞാ_തി_ചൊ_ബു_വ്യാ_വെ_ശ".split("_"),longDateFormat:{LT:"A h:mm -നു",LTS:"A h:mm:ss -നു",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm -നു",LLLL:"dddd, D MMMM YYYY, A h:mm -നു"},calendar:{sameDay:"[ഇന്ന്] LT",nextDay:"[നാളെ] LT",nextWeek:"dddd, LT",lastDay:"[ഇന്നലെ] LT",lastWeek:"[കഴിഞ്ഞ] dddd, LT",sameElse:"L"},relativeTime:{future:"%s കഴിഞ്ഞ്",past:"%s മുൻപ്",s:"അൽപ നിമിഷങ്ങൾ",ss:"%d സെക്കൻഡ്",m:"ഒരു മിനിറ്റ്",mm:"%d മിനിറ്റ്",h:"ഒരു മണിക്കൂർ",hh:"%d മണിക്കൂർ",d:"ഒരു ദിവസം",dd:"%d ദിവസം",M:"ഒരു മാസം",MM:"%d മാസം",y:"ഒരു വർഷം",yy:"%d വർഷം"},meridiemParse:/രാത്രി|രാവിലെ|ഉച്ച കഴിഞ്ഞ്|വൈകുന്നേരം|രാത്രി/i,meridiemHour:function(e,t){return 12===e&&(e=0),"രാത്രി"===t&&e>=4||"ഉച്ച കഴിഞ്ഞ്"===t||"വൈകുന്നേരം"===t?e+12:e},meridiem:function(e,t,n){return e<4?"രാത്രി":e<12?"രാവിലെ":e<17?"ഉച്ച കഴിഞ്ഞ്":e<20?"വൈകുന്നേരം":"രാത്രി"}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){switch(n){case"s":return t?"хэдхэн секунд":"хэдхэн секундын";case"ss":return e+(t?" секунд":" секундын");case"m":case"mm":return e+(t?" минут":" минутын");case"h":case"hh":return e+(t?" цаг":" цагийн");case"d":case"dd":return e+(t?" өдөр":" өдрийн");case"M":case"MM":return e+(t?" сар":" сарын");case"y":case"yy":return e+(t?" жил":" жилийн");default:return e}}e.defineLocale("mn",{months:"Нэгдүгээр сар_Хоёрдугаар сар_Гуравдугаар сар_Дөрөвдүгээр сар_Тавдугаар сар_Зургадугаар сар_Долдугаар сар_Наймдугаар сар_Есдүгээр сар_Аравдугаар сар_Арван нэгдүгээр сар_Арван хоёрдугаар сар".split("_"),monthsShort:"1 сар_2 сар_3 сар_4 сар_5 сар_6 сар_7 сар_8 сар_9 сар_10 сар_11 сар_12 сар".split("_"),monthsParseExact:!0,weekdays:"Ням_Даваа_Мягмар_Лхагва_Пүрэв_Баасан_Бямба".split("_"),weekdaysShort:"Ням_Дав_Мяг_Лха_Пүр_Баа_Бям".split("_"),weekdaysMin:"Ня_Да_Мя_Лх_Пү_Ба_Бя".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"YYYY оны MMMMын D",LLL:"YYYY оны MMMMын D HH:mm",LLLL:"dddd, YYYY оны MMMMын D HH:mm"},meridiemParse:/ҮӨ|ҮХ/i,isPM:function(e){return"ҮХ"===e},meridiem:function(e,t,n){return e<12?"ҮӨ":"ҮХ"},calendar:{sameDay:"[Өнөөдөр] LT",nextDay:"[Маргааш] LT",nextWeek:"[Ирэх] dddd LT",lastDay:"[Өчигдөр] LT",lastWeek:"[Өнгөрсөн] dddd LT",sameElse:"L"},relativeTime:{future:"%s дараа",past:"%s өмнө",s:t,ss:t,m:t,mm:t,h:t,hh:t,d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2} өдөр/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+" өдөр";default:return e}}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"१",2:"२",3:"३",4:"४",5:"५",6:"६",7:"७",8:"८",9:"९",0:"०"},n={"१":"1","२":"2","३":"3","४":"4","५":"5","६":"6","७":"7","८":"8","९":"9","०":"0"};function r(e,t,n,r){var o="";if(t)switch(n){case"s":o="काही सेकंद";break;case"ss":o="%d सेकंद";break;case"m":o="एक मिनिट";break;case"mm":o="%d मिनिटे";break;case"h":o="एक तास";break;case"hh":o="%d तास";break;case"d":o="एक दिवस";break;case"dd":o="%d दिवस";break;case"M":o="एक महिना";break;case"MM":o="%d महिने";break;case"y":o="एक वर्ष";break;case"yy":o="%d वर्षे"}else switch(n){case"s":o="काही सेकंदां";break;case"ss":o="%d सेकंदां";break;case"m":o="एका मिनिटा";break;case"mm":o="%d मिनिटां";break;case"h":o="एका तासा";break;case"hh":o="%d तासां";break;case"d":o="एका दिवसा";break;case"dd":o="%d दिवसां";break;case"M":o="एका महिन्या";break;case"MM":o="%d महिन्यां";break;case"y":o="एका वर्षा";break;case"yy":o="%d वर्षां"}return o.replace(/%d/i,e)}e.defineLocale("mr",{months:"जानेवारी_फेब्रुवारी_मार्च_एप्रिल_मे_जून_जुलै_ऑगस्ट_सप्टेंबर_ऑक्टोबर_नोव्हेंबर_डिसेंबर".split("_"),monthsShort:"जाने._फेब्रु._मार्च._एप्रि._मे._जून._जुलै._ऑग._सप्टें._ऑक्टो._नोव्हें._डिसें.".split("_"),monthsParseExact:!0,weekdays:"रविवार_सोमवार_मंगळवार_बुधवार_गुरूवार_शुक्रवार_शनिवार".split("_"),weekdaysShort:"रवि_सोम_मंगळ_बुध_गुरू_शुक्र_शनि".split("_"),weekdaysMin:"र_सो_मं_बु_गु_शु_श".split("_"),longDateFormat:{LT:"A h:mm वाजता",LTS:"A h:mm:ss वाजता",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm वाजता",LLLL:"dddd, D MMMM YYYY, A h:mm वाजता"},calendar:{sameDay:"[आज] LT",nextDay:"[उद्या] LT",nextWeek:"dddd, LT",lastDay:"[काल] LT",lastWeek:"[मागील] dddd, LT",sameElse:"L"},relativeTime:{future:"%sमध्ये",past:"%sपूर्वी",s:r,ss:r,m:r,mm:r,h:r,hh:r,d:r,dd:r,M:r,MM:r,y:r,yy:r},preparse:function(e){return e.replace(/[१२३४५६७८९०]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/रात्री|सकाळी|दुपारी|सायंकाळी/,meridiemHour:function(e,t){return 12===e&&(e=0),"रात्री"===t?e<4?e:e+12:"सकाळी"===t?e:"दुपारी"===t?e>=10?e:e+12:"सायंकाळी"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"रात्री":e<10?"सकाळी":e<17?"दुपारी":e<20?"सायंकाळी":"रात्री"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ms",{months:"Januari_Februari_Mac_April_Mei_Jun_Julai_Ogos_September_Oktober_November_Disember".split("_"),monthsShort:"Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ogs_Sep_Okt_Nov_Dis".split("_"),weekdays:"Ahad_Isnin_Selasa_Rabu_Khamis_Jumaat_Sabtu".split("_"),weekdaysShort:"Ahd_Isn_Sel_Rab_Kha_Jum_Sab".split("_"),weekdaysMin:"Ah_Is_Sl_Rb_Km_Jm_Sb".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [pukul] HH.mm",LLLL:"dddd, D MMMM YYYY [pukul] HH.mm"},meridiemParse:/pagi|tengahari|petang|malam/,meridiemHour:function(e,t){return 12===e&&(e=0),"pagi"===t?e:"tengahari"===t?e>=11?e:e+12:"petang"===t||"malam"===t?e+12:void 0},meridiem:function(e,t,n){return e<11?"pagi":e<15?"tengahari":e<19?"petang":"malam"},calendar:{sameDay:"[Hari ini pukul] LT",nextDay:"[Esok pukul] LT",nextWeek:"dddd [pukul] LT",lastDay:"[Kelmarin pukul] LT",lastWeek:"dddd [lepas pukul] LT",sameElse:"L"},relativeTime:{future:"dalam %s",past:"%s yang lepas",s:"beberapa saat",ss:"%d saat",m:"seminit",mm:"%d minit",h:"sejam",hh:"%d jam",d:"sehari",dd:"%d hari",M:"sebulan",MM:"%d bulan",y:"setahun",yy:"%d tahun"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ms-my",{months:"Januari_Februari_Mac_April_Mei_Jun_Julai_Ogos_September_Oktober_November_Disember".split("_"),monthsShort:"Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ogs_Sep_Okt_Nov_Dis".split("_"),weekdays:"Ahad_Isnin_Selasa_Rabu_Khamis_Jumaat_Sabtu".split("_"),weekdaysShort:"Ahd_Isn_Sel_Rab_Kha_Jum_Sab".split("_"),weekdaysMin:"Ah_Is_Sl_Rb_Km_Jm_Sb".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [pukul] HH.mm",LLLL:"dddd, D MMMM YYYY [pukul] HH.mm"},meridiemParse:/pagi|tengahari|petang|malam/,meridiemHour:function(e,t){return 12===e&&(e=0),"pagi"===t?e:"tengahari"===t?e>=11?e:e+12:"petang"===t||"malam"===t?e+12:void 0},meridiem:function(e,t,n){return e<11?"pagi":e<15?"tengahari":e<19?"petang":"malam"},calendar:{sameDay:"[Hari ini pukul] LT",nextDay:"[Esok pukul] LT",nextWeek:"dddd [pukul] LT",lastDay:"[Kelmarin pukul] LT",lastWeek:"dddd [lepas pukul] LT",sameElse:"L"},relativeTime:{future:"dalam %s",past:"%s yang lepas",s:"beberapa saat",ss:"%d saat",m:"seminit",mm:"%d minit",h:"sejam",hh:"%d jam",d:"sehari",dd:"%d hari",M:"sebulan",MM:"%d bulan",y:"setahun",yy:"%d tahun"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("mt",{months:"Jannar_Frar_Marzu_April_Mejju_Ġunju_Lulju_Awwissu_Settembru_Ottubru_Novembru_Diċembru".split("_"),monthsShort:"Jan_Fra_Mar_Apr_Mej_Ġun_Lul_Aww_Set_Ott_Nov_Diċ".split("_"),weekdays:"Il-Ħadd_It-Tnejn_It-Tlieta_L-Erbgħa_Il-Ħamis_Il-Ġimgħa_Is-Sibt".split("_"),weekdaysShort:"Ħad_Tne_Tli_Erb_Ħam_Ġim_Sib".split("_"),weekdaysMin:"Ħa_Tn_Tl_Er_Ħa_Ġi_Si".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Illum fil-]LT",nextDay:"[Għada fil-]LT",nextWeek:"dddd [fil-]LT",lastDay:"[Il-bieraħ fil-]LT",lastWeek:"dddd [li għadda] [fil-]LT",sameElse:"L"},relativeTime:{future:"f’ %s",past:"%s ilu",s:"ftit sekondi",ss:"%d sekondi",m:"minuta",mm:"%d minuti",h:"siegħa",hh:"%d siegħat",d:"ġurnata",dd:"%d ġranet",M:"xahar",MM:"%d xhur",y:"sena",yy:"%d sni"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"၁",2:"၂",3:"၃",4:"၄",5:"၅",6:"၆",7:"၇",8:"၈",9:"၉",0:"၀"},n={"၁":"1","၂":"2","၃":"3","၄":"4","၅":"5","၆":"6","၇":"7","၈":"8","၉":"9","၀":"0"};e.defineLocale("my",{months:"ဇန်နဝါရီ_ဖေဖော်ဝါရီ_မတ်_ဧပြီ_မေ_ဇွန်_ဇူလိုင်_သြဂုတ်_စက်တင်ဘာ_အောက်တိုဘာ_နိုဝင်ဘာ_ဒီဇင်ဘာ".split("_"),monthsShort:"ဇန်_ဖေ_မတ်_ပြီ_မေ_ဇွန်_လိုင်_သြ_စက်_အောက်_နို_ဒီ".split("_"),weekdays:"တနင်္ဂနွေ_တနင်္လာ_အင်္ဂါ_ဗုဒ္ဓဟူး_ကြာသပတေး_သောကြာ_စနေ".split("_"),weekdaysShort:"နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ".split("_"),weekdaysMin:"နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[ယနေ.] LT [မှာ]",nextDay:"[မနက်ဖြန်] LT [မှာ]",nextWeek:"dddd LT [မှာ]",lastDay:"[မနေ.က] LT [မှာ]",lastWeek:"[ပြီးခဲ့သော] dddd LT [မှာ]",sameElse:"L"},relativeTime:{future:"လာမည့် %s မှာ",past:"လွန်ခဲ့သော %s က",s:"စက္ကန်.အနည်းငယ်",ss:"%d စက္ကန့်",m:"တစ်မိနစ်",mm:"%d မိနစ်",h:"တစ်နာရီ",hh:"%d နာရီ",d:"တစ်ရက်",dd:"%d ရက်",M:"တစ်လ",MM:"%d လ",y:"တစ်နှစ်",yy:"%d နှစ်"},preparse:function(e){return e.replace(/[၁၂၃၄၅၆၇၈၉၀]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("nb",{months:"januar_februar_mars_april_mai_juni_juli_august_september_oktober_november_desember".split("_"),monthsShort:"jan._feb._mars_april_mai_juni_juli_aug._sep._okt._nov._des.".split("_"),monthsParseExact:!0,weekdays:"søndag_mandag_tirsdag_onsdag_torsdag_fredag_lørdag".split("_"),weekdaysShort:"sø._ma._ti._on._to._fr._lø.".split("_"),weekdaysMin:"sø_ma_ti_on_to_fr_lø".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY [kl.] HH:mm",LLLL:"dddd D. MMMM YYYY [kl.] HH:mm"},calendar:{sameDay:"[i dag kl.] LT",nextDay:"[i morgen kl.] LT",nextWeek:"dddd [kl.] LT",lastDay:"[i går kl.] LT",lastWeek:"[forrige] dddd [kl.] LT",sameElse:"L"},relativeTime:{future:"om %s",past:"%s siden",s:"noen sekunder",ss:"%d sekunder",m:"ett minutt",mm:"%d minutter",h:"en time",hh:"%d timer",d:"en dag",dd:"%d dager",M:"en måned",MM:"%d måneder",y:"ett år",yy:"%d år"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"१",2:"२",3:"३",4:"४",5:"५",6:"६",7:"७",8:"८",9:"९",0:"०"},n={"१":"1","२":"2","३":"3","४":"4","५":"5","६":"6","७":"7","८":"8","९":"9","०":"0"};e.defineLocale("ne",{months:"जनवरी_फेब्रुवरी_मार्च_अप्रिल_मई_जुन_जुलाई_अगष्ट_सेप्टेम्बर_अक्टोबर_नोभेम्बर_डिसेम्बर".split("_"),monthsShort:"जन._फेब्रु._मार्च_अप्रि._मई_जुन_जुलाई._अग._सेप्ट._अक्टो._नोभे._डिसे.".split("_"),monthsParseExact:!0,weekdays:"आइतबार_सोमबार_मङ्गलबार_बुधबार_बिहिबार_शुक्रबार_शनिबार".split("_"),weekdaysShort:"आइत._सोम._मङ्गल._बुध._बिहि._शुक्र._शनि.".split("_"),weekdaysMin:"आ._सो._मं._बु._बि._शु._श.".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"Aको h:mm बजे",LTS:"Aको h:mm:ss बजे",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, Aको h:mm बजे",LLLL:"dddd, D MMMM YYYY, Aको h:mm बजे"},preparse:function(e){return e.replace(/[१२३४५६७८९०]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/राति|बिहान|दिउँसो|साँझ/,meridiemHour:function(e,t){return 12===e&&(e=0),"राति"===t?e<4?e:e+12:"बिहान"===t?e:"दिउँसो"===t?e>=10?e:e+12:"साँझ"===t?e+12:void 0},meridiem:function(e,t,n){return e<3?"राति":e<12?"बिहान":e<16?"दिउँसो":e<20?"साँझ":"राति"},calendar:{sameDay:"[आज] LT",nextDay:"[भोलि] LT",nextWeek:"[आउँदो] dddd[,] LT",lastDay:"[हिजो] LT",lastWeek:"[गएको] dddd[,] LT",sameElse:"L"},relativeTime:{future:"%sमा",past:"%s अगाडि",s:"केही क्षण",ss:"%d सेकेण्ड",m:"एक मिनेट",mm:"%d मिनेट",h:"एक घण्टा",hh:"%d घण्टा",d:"एक दिन",dd:"%d दिन",M:"एक महिना",MM:"%d महिना",y:"एक बर्ष",yy:"%d बर्ष"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="jan._feb._mrt._apr._mei_jun._jul._aug._sep._okt._nov._dec.".split("_"),n="jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec".split("_"),r=[/^jan/i,/^feb/i,/^maart|mrt.?$/i,/^apr/i,/^mei$/i,/^jun[i.]?$/i,/^jul[i.]?$/i,/^aug/i,/^sep/i,/^okt/i,/^nov/i,/^dec/i],o=/^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december|jan\.?|feb\.?|mrt\.?|apr\.?|ju[nl]\.?|aug\.?|sep\.?|okt\.?|nov\.?|dec\.?)/i;e.defineLocale("nl",{months:"januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december)/i,monthsShortStrictRegex:/^(jan\.?|feb\.?|mrt\.?|apr\.?|mei|ju[nl]\.?|aug\.?|sep\.?|okt\.?|nov\.?|dec\.?)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"zondag_maandag_dinsdag_woensdag_donderdag_vrijdag_zaterdag".split("_"),weekdaysShort:"zo._ma._di._wo._do._vr._za.".split("_"),weekdaysMin:"zo_ma_di_wo_do_vr_za".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD-MM-YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[vandaag om] LT",nextDay:"[morgen om] LT",nextWeek:"dddd [om] LT",lastDay:"[gisteren om] LT",lastWeek:"[afgelopen] dddd [om] LT",sameElse:"L"},relativeTime:{future:"over %s",past:"%s geleden",s:"een paar seconden",ss:"%d seconden",m:"één minuut",mm:"%d minuten",h:"één uur",hh:"%d uur",d:"één dag",dd:"%d dagen",M:"één maand",MM:"%d maanden",y:"één jaar",yy:"%d jaar"},dayOfMonthOrdinalParse:/\d{1,2}(ste|de)/,ordinal:function(e){return e+(1===e||8===e||e>=20?"ste":"de")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="jan._feb._mrt._apr._mei_jun._jul._aug._sep._okt._nov._dec.".split("_"),n="jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec".split("_"),r=[/^jan/i,/^feb/i,/^maart|mrt.?$/i,/^apr/i,/^mei$/i,/^jun[i.]?$/i,/^jul[i.]?$/i,/^aug/i,/^sep/i,/^okt/i,/^nov/i,/^dec/i],o=/^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december|jan\.?|feb\.?|mrt\.?|apr\.?|ju[nl]\.?|aug\.?|sep\.?|okt\.?|nov\.?|dec\.?)/i;e.defineLocale("nl-be",{months:"januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december)/i,monthsShortStrictRegex:/^(jan\.?|feb\.?|mrt\.?|apr\.?|mei|ju[nl]\.?|aug\.?|sep\.?|okt\.?|nov\.?|dec\.?)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"zondag_maandag_dinsdag_woensdag_donderdag_vrijdag_zaterdag".split("_"),weekdaysShort:"zo._ma._di._wo._do._vr._za.".split("_"),weekdaysMin:"zo_ma_di_wo_do_vr_za".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[vandaag om] LT",nextDay:"[morgen om] LT",nextWeek:"dddd [om] LT",lastDay:"[gisteren om] LT",lastWeek:"[afgelopen] dddd [om] LT",sameElse:"L"},relativeTime:{future:"over %s",past:"%s geleden",s:"een paar seconden",ss:"%d seconden",m:"één minuut",mm:"%d minuten",h:"één uur",hh:"%d uur",d:"één dag",dd:"%d dagen",M:"één maand",MM:"%d maanden",y:"één jaar",yy:"%d jaar"},dayOfMonthOrdinalParse:/\d{1,2}(ste|de)/,ordinal:function(e){return e+(1===e||8===e||e>=20?"ste":"de")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("nn",{months:"januar_februar_mars_april_mai_juni_juli_august_september_oktober_november_desember".split("_"),monthsShort:"jan_feb_mar_apr_mai_jun_jul_aug_sep_okt_nov_des".split("_"),weekdays:"sundag_måndag_tysdag_onsdag_torsdag_fredag_laurdag".split("_"),weekdaysShort:"sun_mån_tys_ons_tor_fre_lau".split("_"),weekdaysMin:"su_må_ty_on_to_fr_lø".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY [kl.] H:mm",LLLL:"dddd D. MMMM YYYY [kl.] HH:mm"},calendar:{sameDay:"[I dag klokka] LT",nextDay:"[I morgon klokka] LT",nextWeek:"dddd [klokka] LT",lastDay:"[I går klokka] LT",lastWeek:"[Føregåande] dddd [klokka] LT",sameElse:"L"},relativeTime:{future:"om %s",past:"%s sidan",s:"nokre sekund",ss:"%d sekund",m:"eit minutt",mm:"%d minutt",h:"ein time",hh:"%d timar",d:"ein dag",dd:"%d dagar",M:"ein månad",MM:"%d månader",y:"eit år",yy:"%d år"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"੧",2:"੨",3:"੩",4:"੪",5:"੫",6:"੬",7:"੭",8:"੮",9:"੯",0:"੦"},n={"੧":"1","੨":"2","੩":"3","੪":"4","੫":"5","੬":"6","੭":"7","੮":"8","੯":"9","੦":"0"};e.defineLocale("pa-in",{months:"ਜਨਵਰੀ_ਫ਼ਰਵਰੀ_ਮਾਰਚ_ਅਪ੍ਰੈਲ_ਮਈ_ਜੂਨ_ਜੁਲਾਈ_ਅਗਸਤ_ਸਤੰਬਰ_ਅਕਤੂਬਰ_ਨਵੰਬਰ_ਦਸੰਬਰ".split("_"),monthsShort:"ਜਨਵਰੀ_ਫ਼ਰਵਰੀ_ਮਾਰਚ_ਅਪ੍ਰੈਲ_ਮਈ_ਜੂਨ_ਜੁਲਾਈ_ਅਗਸਤ_ਸਤੰਬਰ_ਅਕਤੂਬਰ_ਨਵੰਬਰ_ਦਸੰਬਰ".split("_"),weekdays:"ਐਤਵਾਰ_ਸੋਮਵਾਰ_ਮੰਗਲਵਾਰ_ਬੁਧਵਾਰ_ਵੀਰਵਾਰ_ਸ਼ੁੱਕਰਵਾਰ_ਸ਼ਨੀਚਰਵਾਰ".split("_"),weekdaysShort:"ਐਤ_ਸੋਮ_ਮੰਗਲ_ਬੁਧ_ਵੀਰ_ਸ਼ੁਕਰ_ਸ਼ਨੀ".split("_"),weekdaysMin:"ਐਤ_ਸੋਮ_ਮੰਗਲ_ਬੁਧ_ਵੀਰ_ਸ਼ੁਕਰ_ਸ਼ਨੀ".split("_"),longDateFormat:{LT:"A h:mm ਵਜੇ",LTS:"A h:mm:ss ਵਜੇ",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm ਵਜੇ",LLLL:"dddd, D MMMM YYYY, A h:mm ਵਜੇ"},calendar:{sameDay:"[ਅਜ] LT",nextDay:"[ਕਲ] LT",nextWeek:"[ਅਗਲਾ] dddd, LT",lastDay:"[ਕਲ] LT",lastWeek:"[ਪਿਛਲੇ] dddd, LT",sameElse:"L"},relativeTime:{future:"%s ਵਿੱਚ",past:"%s ਪਿਛਲੇ",s:"ਕੁਝ ਸਕਿੰਟ",ss:"%d ਸਕਿੰਟ",m:"ਇਕ ਮਿੰਟ",mm:"%d ਮਿੰਟ",h:"ਇੱਕ ਘੰਟਾ",hh:"%d ਘੰਟੇ",d:"ਇੱਕ ਦਿਨ",dd:"%d ਦਿਨ",M:"ਇੱਕ ਮਹੀਨਾ",MM:"%d ਮਹੀਨੇ",y:"ਇੱਕ ਸਾਲ",yy:"%d ਸਾਲ"},preparse:function(e){return e.replace(/[੧੨੩੪੫੬੭੮੯੦]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/ਰਾਤ|ਸਵੇਰ|ਦੁਪਹਿਰ|ਸ਼ਾਮ/,meridiemHour:function(e,t){return 12===e&&(e=0),"ਰਾਤ"===t?e<4?e:e+12:"ਸਵੇਰ"===t?e:"ਦੁਪਹਿਰ"===t?e>=10?e:e+12:"ਸ਼ਾਮ"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"ਰਾਤ":e<10?"ਸਵੇਰ":e<17?"ਦੁਪਹਿਰ":e<20?"ਸ਼ਾਮ":"ਰਾਤ"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="styczeń_luty_marzec_kwiecień_maj_czerwiec_lipiec_sierpień_wrzesień_październik_listopad_grudzień".split("_"),n="stycznia_lutego_marca_kwietnia_maja_czerwca_lipca_sierpnia_września_października_listopada_grudnia".split("_");function r(e){return e%10<5&&e%10>1&&~~(e/10)%10!=1}function o(e,t,n){var o=e+" ";switch(n){case"ss":return o+(r(e)?"sekundy":"sekund");case"m":return t?"minuta":"minutę";case"mm":return o+(r(e)?"minuty":"minut");case"h":return t?"godzina":"godzinę";case"hh":return o+(r(e)?"godziny":"godzin");case"MM":return o+(r(e)?"miesiące":"miesięcy");case"yy":return o+(r(e)?"lata":"lat")}}e.defineLocale("pl",{months:function(e,r){return e?""===r?"("+n[e.month()]+"|"+t[e.month()]+")":/D MMMM/.test(r)?n[e.month()]:t[e.month()]:t},monthsShort:"sty_lut_mar_kwi_maj_cze_lip_sie_wrz_paź_lis_gru".split("_"),weekdays:"niedziela_poniedziałek_wtorek_środa_czwartek_piątek_sobota".split("_"),weekdaysShort:"ndz_pon_wt_śr_czw_pt_sob".split("_"),weekdaysMin:"Nd_Pn_Wt_Śr_Cz_Pt_So".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Dziś o] LT",nextDay:"[Jutro o] LT",nextWeek:function(){switch(this.day()){case 0:return"[W niedzielę o] LT";case 2:return"[We wtorek o] LT";case 3:return"[W środę o] LT";case 6:return"[W sobotę o] LT";default:return"[W] dddd [o] LT"}},lastDay:"[Wczoraj o] LT",lastWeek:function(){switch(this.day()){case 0:return"[W zeszłą niedzielę o] LT";case 3:return"[W zeszłą środę o] LT";case 6:return"[W zeszłą sobotę o] LT";default:return"[W zeszły] dddd [o] LT"}},sameElse:"L"},relativeTime:{future:"za %s",past:"%s temu",s:"kilka sekund",ss:o,m:o,mm:o,h:o,hh:o,d:"1 dzień",dd:"%d dni",M:"miesiąc",MM:o,y:"rok",yy:o},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("pt",{months:"Janeiro_Fevereiro_Março_Abril_Maio_Junho_Julho_Agosto_Setembro_Outubro_Novembro_Dezembro".split("_"),monthsShort:"Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez".split("_"),weekdays:"Domingo_Segunda-feira_Terça-feira_Quarta-feira_Quinta-feira_Sexta-feira_Sábado".split("_"),weekdaysShort:"Dom_Seg_Ter_Qua_Qui_Sex_Sáb".split("_"),weekdaysMin:"Do_2ª_3ª_4ª_5ª_6ª_Sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY HH:mm",LLLL:"dddd, D [de] MMMM [de] YYYY HH:mm"},calendar:{sameDay:"[Hoje às] LT",nextDay:"[Amanhã às] LT",nextWeek:"dddd [às] LT",lastDay:"[Ontem às] LT",lastWeek:function(){return 0===this.day()||6===this.day()?"[Último] dddd [às] LT":"[Última] dddd [às] LT"},sameElse:"L"},relativeTime:{future:"em %s",past:"há %s",s:"segundos",ss:"%d segundos",m:"um minuto",mm:"%d minutos",h:"uma hora",hh:"%d horas",d:"um dia",dd:"%d dias",M:"um mês",MM:"%d meses",y:"um ano",yy:"%d anos"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("pt-br",{months:"Janeiro_Fevereiro_Março_Abril_Maio_Junho_Julho_Agosto_Setembro_Outubro_Novembro_Dezembro".split("_"),monthsShort:"Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez".split("_"),weekdays:"Domingo_Segunda-feira_Terça-feira_Quarta-feira_Quinta-feira_Sexta-feira_Sábado".split("_"),weekdaysShort:"Dom_Seg_Ter_Qua_Qui_Sex_Sáb".split("_"),weekdaysMin:"Do_2ª_3ª_4ª_5ª_6ª_Sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY [às] HH:mm",LLLL:"dddd, D [de] MMMM [de] YYYY [às] HH:mm"},calendar:{sameDay:"[Hoje às] LT",nextDay:"[Amanhã às] LT",nextWeek:"dddd [às] LT",lastDay:"[Ontem às] LT",lastWeek:function(){return 0===this.day()||6===this.day()?"[Último] dddd [às] LT":"[Última] dddd [às] LT"},sameElse:"L"},relativeTime:{future:"em %s",past:"há %s",s:"poucos segundos",ss:"%d segundos",m:"um minuto",mm:"%d minutos",h:"uma hora",hh:"%d horas",d:"um dia",dd:"%d dias",M:"um mês",MM:"%d meses",y:"um ano",yy:"%d anos"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº"})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r=" ";return(e%100>=20||e>=100&&e%100==0)&&(r=" de "),e+r+{ss:"secunde",mm:"minute",hh:"ore",dd:"zile",MM:"luni",yy:"ani"}[n]}e.defineLocale("ro",{months:"ianuarie_februarie_martie_aprilie_mai_iunie_iulie_august_septembrie_octombrie_noiembrie_decembrie".split("_"),monthsShort:"ian._febr._mart._apr._mai_iun._iul._aug._sept._oct._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"duminică_luni_marți_miercuri_joi_vineri_sâmbătă".split("_"),weekdaysShort:"Dum_Lun_Mar_Mie_Joi_Vin_Sâm".split("_"),weekdaysMin:"Du_Lu_Ma_Mi_Jo_Vi_Sâ".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY H:mm",LLLL:"dddd, D MMMM YYYY H:mm"},calendar:{sameDay:"[azi la] LT",nextDay:"[mâine la] LT",nextWeek:"dddd [la] LT",lastDay:"[ieri la] LT",lastWeek:"[fosta] dddd [la] LT",sameElse:"L"},relativeTime:{future:"peste %s",past:"%s în urmă",s:"câteva secunde",ss:t,m:"un minut",mm:t,h:"o oră",hh:t,d:"o zi",dd:t,M:"o lună",MM:t,y:"un an",yy:t},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r,o;return"m"===n?t?"минута":"минуту":e+" "+(r=+e,o={ss:t?"секунда_секунды_секунд":"секунду_секунды_секунд",mm:t?"минута_минуты_минут":"минуту_минуты_минут",hh:"час_часа_часов",dd:"день_дня_дней",MM:"месяц_месяца_месяцев",yy:"год_года_лет"}[n].split("_"),r%10==1&&r%100!=11?o[0]:r%10>=2&&r%10<=4&&(r%100<10||r%100>=20)?o[1]:o[2])}var n=[/^янв/i,/^фев/i,/^мар/i,/^апр/i,/^ма[йя]/i,/^июн/i,/^июл/i,/^авг/i,/^сен/i,/^окт/i,/^ноя/i,/^дек/i];e.defineLocale("ru",{months:{format:"января_февраля_марта_апреля_мая_июня_июля_августа_сентября_октября_ноября_декабря".split("_"),standalone:"январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь".split("_")},monthsShort:{format:"янв._февр._мар._апр._мая_июня_июля_авг._сент._окт._нояб._дек.".split("_"),standalone:"янв._февр._март_апр._май_июнь_июль_авг._сент._окт._нояб._дек.".split("_")},weekdays:{standalone:"воскресенье_понедельник_вторник_среда_четверг_пятница_суббота".split("_"),format:"воскресенье_понедельник_вторник_среду_четверг_пятницу_субботу".split("_"),isFormat:/\[ ?[Вв] ?(?:прошлую|следующую|эту)? ?\] ?dddd/},weekdaysShort:"вс_пн_вт_ср_чт_пт_сб".split("_"),weekdaysMin:"вс_пн_вт_ср_чт_пт_сб".split("_"),monthsParse:n,longMonthsParse:n,shortMonthsParse:n,monthsRegex:/^(январ[ья]|янв\.?|феврал[ья]|февр?\.?|марта?|мар\.?|апрел[ья]|апр\.?|ма[йя]|июн[ья]|июн\.?|июл[ья]|июл\.?|августа?|авг\.?|сентябр[ья]|сент?\.?|октябр[ья]|окт\.?|ноябр[ья]|нояб?\.?|декабр[ья]|дек\.?)/i,monthsShortRegex:/^(январ[ья]|янв\.?|феврал[ья]|февр?\.?|марта?|мар\.?|апрел[ья]|апр\.?|ма[йя]|июн[ья]|июн\.?|июл[ья]|июл\.?|августа?|авг\.?|сентябр[ья]|сент?\.?|октябр[ья]|окт\.?|ноябр[ья]|нояб?\.?|декабр[ья]|дек\.?)/i,monthsStrictRegex:/^(январ[яь]|феврал[яь]|марта?|апрел[яь]|ма[яй]|июн[яь]|июл[яь]|августа?|сентябр[яь]|октябр[яь]|ноябр[яь]|декабр[яь])/i,monthsShortStrictRegex:/^(янв\.|февр?\.|мар[т.]|апр\.|ма[яй]|июн[ья.]|июл[ья.]|авг\.|сент?\.|окт\.|нояб?\.|дек\.)/i,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY г.",LLL:"D MMMM YYYY г., H:mm",LLLL:"dddd, D MMMM YYYY г., H:mm"},calendar:{sameDay:"[Сегодня, в] LT",nextDay:"[Завтра, в] LT",lastDay:"[Вчера, в] LT",nextWeek:function(e){if(e.week()===this.week())return 2===this.day()?"[Во] dddd, [в] LT":"[В] dddd, [в] LT";switch(this.day()){case 0:return"[В следующее] dddd, [в] LT";case 1:case 2:case 4:return"[В следующий] dddd, [в] LT";case 3:case 5:case 6:return"[В следующую] dddd, [в] LT"}},lastWeek:function(e){if(e.week()===this.week())return 2===this.day()?"[Во] dddd, [в] LT":"[В] dddd, [в] LT";switch(this.day()){case 0:return"[В прошлое] dddd, [в] LT";case 1:case 2:case 4:return"[В прошлый] dddd, [в] LT";case 3:case 5:case 6:return"[В прошлую] dddd, [в] LT"}},sameElse:"L"},relativeTime:{future:"через %s",past:"%s назад",s:"несколько секунд",ss:t,m:t,mm:t,h:"час",hh:t,d:"день",dd:t,M:"месяц",MM:t,y:"год",yy:t},meridiemParse:/ночи|утра|дня|вечера/i,isPM:function(e){return/^(дня|вечера)$/.test(e)},meridiem:function(e,t,n){return e<4?"ночи":e<12?"утра":e<17?"дня":"вечера"},dayOfMonthOrdinalParse:/\d{1,2}-(й|го|я)/,ordinal:function(e,t){switch(t){case"M":case"d":case"DDD":return e+"-й";case"D":return e+"-го";case"w":case"W":return e+"-я";default:return e}},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t=["جنوري","فيبروري","مارچ","اپريل","مئي","جون","جولاءِ","آگسٽ","سيپٽمبر","آڪٽوبر","نومبر","ڊسمبر"],n=["آچر","سومر","اڱارو","اربع","خميس","جمع","ڇنڇر"];e.defineLocale("sd",{months:t,monthsShort:t,weekdays:n,weekdaysShort:n,weekdaysMin:n,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd، D MMMM YYYY HH:mm"},meridiemParse:/صبح|شام/,isPM:function(e){return"شام"===e},meridiem:function(e,t,n){return e<12?"صبح":"شام"},calendar:{sameDay:"[اڄ] LT",nextDay:"[سڀاڻي] LT",nextWeek:"dddd [اڳين هفتي تي] LT",lastDay:"[ڪالهه] LT",lastWeek:"[گزريل هفتي] dddd [تي] LT",sameElse:"L"},relativeTime:{future:"%s پوء",past:"%s اڳ",s:"چند سيڪنڊ",ss:"%d سيڪنڊ",m:"هڪ منٽ",mm:"%d منٽ",h:"هڪ ڪلاڪ",hh:"%d ڪلاڪ",d:"هڪ ڏينهن",dd:"%d ڏينهن",M:"هڪ مهينو",MM:"%d مهينا",y:"هڪ سال",yy:"%d سال"},preparse:function(e){return e.replace(/،/g,",")},postformat:function(e){return e.replace(/,/g,"،")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("se",{months:"ođđajagemánnu_guovvamánnu_njukčamánnu_cuoŋománnu_miessemánnu_geassemánnu_suoidnemánnu_borgemánnu_čakčamánnu_golggotmánnu_skábmamánnu_juovlamánnu".split("_"),monthsShort:"ođđj_guov_njuk_cuo_mies_geas_suoi_borg_čakč_golg_skáb_juov".split("_"),weekdays:"sotnabeaivi_vuossárga_maŋŋebárga_gaskavahkku_duorastat_bearjadat_lávvardat".split("_"),weekdaysShort:"sotn_vuos_maŋ_gask_duor_bear_láv".split("_"),weekdaysMin:"s_v_m_g_d_b_L".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"MMMM D. [b.] YYYY",LLL:"MMMM D. [b.] YYYY [ti.] HH:mm",LLLL:"dddd, MMMM D. [b.] YYYY [ti.] HH:mm"},calendar:{sameDay:"[otne ti] LT",nextDay:"[ihttin ti] LT",nextWeek:"dddd [ti] LT",lastDay:"[ikte ti] LT",lastWeek:"[ovddit] dddd [ti] LT",sameElse:"L"},relativeTime:{future:"%s geažes",past:"maŋit %s",s:"moadde sekunddat",ss:"%d sekunddat",m:"okta minuhta",mm:"%d minuhtat",h:"okta diimmu",hh:"%d diimmut",d:"okta beaivi",dd:"%d beaivvit",M:"okta mánnu",MM:"%d mánut",y:"okta jahki",yy:"%d jagit"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("si",{months:"ජනවාරි_පෙබරවාරි_මාර්තු_අප්‍රේල්_මැයි_ජූනි_ජූලි_අගෝස්තු_සැප්තැම්බර්_ඔක්තෝබර්_නොවැම්බර්_දෙසැම්බර්".split("_"),monthsShort:"ජන_පෙබ_මාර්_අප්_මැයි_ජූනි_ජූලි_අගෝ_සැප්_ඔක්_නොවැ_දෙසැ".split("_"),weekdays:"ඉරිදා_සඳුදා_අඟහරුවාදා_බදාදා_බ්‍රහස්පතින්දා_සිකුරාදා_සෙනසුරාදා".split("_"),weekdaysShort:"ඉරි_සඳු_අඟ_බදා_බ්‍රහ_සිකු_සෙන".split("_"),weekdaysMin:"ඉ_ස_අ_බ_බ්‍ර_සි_සෙ".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"a h:mm",LTS:"a h:mm:ss",L:"YYYY/MM/DD",LL:"YYYY MMMM D",LLL:"YYYY MMMM D, a h:mm",LLLL:"YYYY MMMM D [වැනි] dddd, a h:mm:ss"},calendar:{sameDay:"[අද] LT[ට]",nextDay:"[හෙට] LT[ට]",nextWeek:"dddd LT[ට]",lastDay:"[ඊයේ] LT[ට]",lastWeek:"[පසුගිය] dddd LT[ට]",sameElse:"L"},relativeTime:{future:"%sකින්",past:"%sකට පෙර",s:"තත්පර කිහිපය",ss:"තත්පර %d",m:"මිනිත්තුව",mm:"මිනිත්තු %d",h:"පැය",hh:"පැය %d",d:"දිනය",dd:"දින %d",M:"මාසය",MM:"මාස %d",y:"වසර",yy:"වසර %d"},dayOfMonthOrdinalParse:/\d{1,2} වැනි/,ordinal:function(e){return e+" වැනි"},meridiemParse:/පෙර වරු|පස් වරු|පෙ.ව|ප.ව./,isPM:function(e){return"ප.ව."===e||"පස් වරු"===e},meridiem:function(e,t,n){return e>11?n?"ප.ව.":"පස් වරු":n?"පෙ.ව.":"පෙර වරු"}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="január_február_marec_apríl_máj_jún_júl_august_september_október_november_december".split("_"),n="jan_feb_mar_apr_máj_jún_júl_aug_sep_okt_nov_dec".split("_");function r(e){return e>1&&e<5}function o(e,t,n,o){var i=e+" ";switch(n){case"s":return t||o?"pár sekúnd":"pár sekundami";case"ss":return t||o?i+(r(e)?"sekundy":"sekúnd"):i+"sekundami";case"m":return t?"minúta":o?"minútu":"minútou";case"mm":return t||o?i+(r(e)?"minúty":"minút"):i+"minútami";case"h":return t?"hodina":o?"hodinu":"hodinou";case"hh":return t||o?i+(r(e)?"hodiny":"hodín"):i+"hodinami";case"d":return t||o?"deň":"dňom";case"dd":return t||o?i+(r(e)?"dni":"dní"):i+"dňami";case"M":return t||o?"mesiac":"mesiacom";case"MM":return t||o?i+(r(e)?"mesiace":"mesiacov"):i+"mesiacmi";case"y":return t||o?"rok":"rokom";case"yy":return t||o?i+(r(e)?"roky":"rokov"):i+"rokmi"}}e.defineLocale("sk",{months:t,monthsShort:n,weekdays:"nedeľa_pondelok_utorok_streda_štvrtok_piatok_sobota".split("_"),weekdaysShort:"ne_po_ut_st_št_pi_so".split("_"),weekdaysMin:"ne_po_ut_st_št_pi_so".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd D. MMMM YYYY H:mm"},calendar:{sameDay:"[dnes o] LT",nextDay:"[zajtra o] LT",nextWeek:function(){switch(this.day()){case 0:return"[v nedeľu o] LT";case 1:case 2:return"[v] dddd [o] LT";case 3:return"[v stredu o] LT";case 4:return"[vo štvrtok o] LT";case 5:return"[v piatok o] LT";case 6:return"[v sobotu o] LT"}},lastDay:"[včera o] LT",lastWeek:function(){switch(this.day()){case 0:return"[minulú nedeľu o] LT";case 1:case 2:return"[minulý] dddd [o] LT";case 3:return"[minulú stredu o] LT";case 4:case 5:return"[minulý] dddd [o] LT";case 6:return"[minulú sobotu o] LT"}},sameElse:"L"},relativeTime:{future:"za %s",past:"pred %s",s:o,ss:o,m:o,mm:o,h:o,hh:o,d:o,dd:o,M:o,MM:o,y:o,yy:o},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o=e+" ";switch(n){case"s":return t||r?"nekaj sekund":"nekaj sekundami";case"ss":return o+=1===e?t?"sekundo":"sekundi":2===e?t||r?"sekundi":"sekundah":e<5?t||r?"sekunde":"sekundah":"sekund";case"m":return t?"ena minuta":"eno minuto";case"mm":return o+=1===e?t?"minuta":"minuto":2===e?t||r?"minuti":"minutama":e<5?t||r?"minute":"minutami":t||r?"minut":"minutami";case"h":return t?"ena ura":"eno uro";case"hh":return o+=1===e?t?"ura":"uro":2===e?t||r?"uri":"urama":e<5?t||r?"ure":"urami":t||r?"ur":"urami";case"d":return t||r?"en dan":"enim dnem";case"dd":return o+=1===e?t||r?"dan":"dnem":2===e?t||r?"dni":"dnevoma":t||r?"dni":"dnevi";case"M":return t||r?"en mesec":"enim mesecem";case"MM":return o+=1===e?t||r?"mesec":"mesecem":2===e?t||r?"meseca":"mesecema":e<5?t||r?"mesece":"meseci":t||r?"mesecev":"meseci";case"y":return t||r?"eno leto":"enim letom";case"yy":return o+=1===e?t||r?"leto":"letom":2===e?t||r?"leti":"letoma":e<5?t||r?"leta":"leti":t||r?"let":"leti"}}e.defineLocale("sl",{months:"januar_februar_marec_april_maj_junij_julij_avgust_september_oktober_november_december".split("_"),monthsShort:"jan._feb._mar._apr._maj._jun._jul._avg._sep._okt._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"nedelja_ponedeljek_torek_sreda_četrtek_petek_sobota".split("_"),weekdaysShort:"ned._pon._tor._sre._čet._pet._sob.".split("_"),weekdaysMin:"ne_po_to_sr_če_pe_so".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[danes ob] LT",nextDay:"[jutri ob] LT",nextWeek:function(){switch(this.day()){case 0:return"[v] [nedeljo] [ob] LT";case 3:return"[v] [sredo] [ob] LT";case 6:return"[v] [soboto] [ob] LT";case 1:case 2:case 4:case 5:return"[v] dddd [ob] LT"}},lastDay:"[včeraj ob] LT",lastWeek:function(){switch(this.day()){case 0:return"[prejšnjo] [nedeljo] [ob] LT";case 3:return"[prejšnjo] [sredo] [ob] LT";case 6:return"[prejšnjo] [soboto] [ob] LT";case 1:case 2:case 4:case 5:return"[prejšnji] dddd [ob] LT"}},sameElse:"L"},relativeTime:{future:"čez %s",past:"pred %s",s:t,ss:t,m:t,mm:t,h:t,hh:t,d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("sq",{months:"Janar_Shkurt_Mars_Prill_Maj_Qershor_Korrik_Gusht_Shtator_Tetor_Nëntor_Dhjetor".split("_"),monthsShort:"Jan_Shk_Mar_Pri_Maj_Qer_Kor_Gus_Sht_Tet_Nën_Dhj".split("_"),weekdays:"E Diel_E Hënë_E Martë_E Mërkurë_E Enjte_E Premte_E Shtunë".split("_"),weekdaysShort:"Die_Hën_Mar_Mër_Enj_Pre_Sht".split("_"),weekdaysMin:"D_H_Ma_Më_E_P_Sh".split("_"),weekdaysParseExact:!0,meridiemParse:/PD|MD/,isPM:function(e){return"M"===e.charAt(0)},meridiem:function(e,t,n){return e<12?"PD":"MD"},longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Sot në] LT",nextDay:"[Nesër në] LT",nextWeek:"dddd [në] LT",lastDay:"[Dje në] LT",lastWeek:"dddd [e kaluar në] LT",sameElse:"L"},relativeTime:{future:"në %s",past:"%s më parë",s:"disa sekonda",ss:"%d sekonda",m:"një minutë",mm:"%d minuta",h:"një orë",hh:"%d orë",d:"një ditë",dd:"%d ditë",M:"një muaj",MM:"%d muaj",y:"një vit",yy:"%d vite"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={words:{ss:["sekunda","sekunde","sekundi"],m:["jedan minut","jedne minute"],mm:["minut","minute","minuta"],h:["jedan sat","jednog sata"],hh:["sat","sata","sati"],dd:["dan","dana","dana"],MM:["mesec","meseca","meseci"],yy:["godina","godine","godina"]},correctGrammaticalCase:function(e,t){return 1===e?t[0]:e>=2&&e<=4?t[1]:t[2]},translate:function(e,n,r){var o=t.words[r];return 1===r.length?n?o[0]:o[1]:e+" "+t.correctGrammaticalCase(e,o)}};e.defineLocale("sr",{months:"januar_februar_mart_april_maj_jun_jul_avgust_septembar_oktobar_novembar_decembar".split("_"),monthsShort:"jan._feb._mar._apr._maj_jun_jul_avg._sep._okt._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"nedelja_ponedeljak_utorak_sreda_četvrtak_petak_subota".split("_"),weekdaysShort:"ned._pon._uto._sre._čet._pet._sub.".split("_"),weekdaysMin:"ne_po_ut_sr_če_pe_su".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[danas u] LT",nextDay:"[sutra u] LT",nextWeek:function(){switch(this.day()){case 0:return"[u] [nedelju] [u] LT";case 3:return"[u] [sredu] [u] LT";case 6:return"[u] [subotu] [u] LT";case 1:case 2:case 4:case 5:return"[u] dddd [u] LT"}},lastDay:"[juče u] LT",lastWeek:function(){return["[prošle] [nedelje] [u] LT","[prošlog] [ponedeljka] [u] LT","[prošlog] [utorka] [u] LT","[prošle] [srede] [u] LT","[prošlog] [četvrtka] [u] LT","[prošlog] [petka] [u] LT","[prošle] [subote] [u] LT"][this.day()]},sameElse:"L"},relativeTime:{future:"za %s",past:"pre %s",s:"nekoliko sekundi",ss:t.translate,m:t.translate,mm:t.translate,h:t.translate,hh:t.translate,d:"dan",dd:t.translate,M:"mesec",MM:t.translate,y:"godinu",yy:t.translate},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={words:{ss:["секунда","секунде","секунди"],m:["један минут","једне минуте"],mm:["минут","минуте","минута"],h:["један сат","једног сата"],hh:["сат","сата","сати"],dd:["дан","дана","дана"],MM:["месец","месеца","месеци"],yy:["година","године","година"]},correctGrammaticalCase:function(e,t){return 1===e?t[0]:e>=2&&e<=4?t[1]:t[2]},translate:function(e,n,r){var o=t.words[r];return 1===r.length?n?o[0]:o[1]:e+" "+t.correctGrammaticalCase(e,o)}};e.defineLocale("sr-cyrl",{months:"јануар_фебруар_март_април_мај_јун_јул_август_септембар_октобар_новембар_децембар".split("_"),monthsShort:"јан._феб._мар._апр._мај_јун_јул_авг._сеп._окт._нов._дец.".split("_"),monthsParseExact:!0,weekdays:"недеља_понедељак_уторак_среда_четвртак_петак_субота".split("_"),weekdaysShort:"нед._пон._уто._сре._чет._пет._суб.".split("_"),weekdaysMin:"не_по_ут_ср_че_пе_су".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[данас у] LT",nextDay:"[сутра у] LT",nextWeek:function(){switch(this.day()){case 0:return"[у] [недељу] [у] LT";case 3:return"[у] [среду] [у] LT";case 6:return"[у] [суботу] [у] LT";case 1:case 2:case 4:case 5:return"[у] dddd [у] LT"}},lastDay:"[јуче у] LT",lastWeek:function(){return["[прошле] [недеље] [у] LT","[прошлог] [понедељка] [у] LT","[прошлог] [уторка] [у] LT","[прошле] [среде] [у] LT","[прошлог] [четвртка] [у] LT","[прошлог] [петка] [у] LT","[прошле] [суботе] [у] LT"][this.day()]},sameElse:"L"},relativeTime:{future:"за %s",past:"пре %s",s:"неколико секунди",ss:t.translate,m:t.translate,mm:t.translate,h:t.translate,hh:t.translate,d:"дан",dd:t.translate,M:"месец",MM:t.translate,y:"годину",yy:t.translate},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ss",{months:"Bhimbidvwane_Indlovana_Indlov'lenkhulu_Mabasa_Inkhwekhweti_Inhlaba_Kholwane_Ingci_Inyoni_Imphala_Lweti_Ingongoni".split("_"),monthsShort:"Bhi_Ina_Inu_Mab_Ink_Inh_Kho_Igc_Iny_Imp_Lwe_Igo".split("_"),weekdays:"Lisontfo_Umsombuluko_Lesibili_Lesitsatfu_Lesine_Lesihlanu_Umgcibelo".split("_"),weekdaysShort:"Lis_Umb_Lsb_Les_Lsi_Lsh_Umg".split("_"),weekdaysMin:"Li_Us_Lb_Lt_Ls_Lh_Ug".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendar:{sameDay:"[Namuhla nga] LT",nextDay:"[Kusasa nga] LT",nextWeek:"dddd [nga] LT",lastDay:"[Itolo nga] LT",lastWeek:"dddd [leliphelile] [nga] LT",sameElse:"L"},relativeTime:{future:"nga %s",past:"wenteka nga %s",s:"emizuzwana lomcane",ss:"%d mzuzwana",m:"umzuzu",mm:"%d emizuzu",h:"lihora",hh:"%d emahora",d:"lilanga",dd:"%d emalanga",M:"inyanga",MM:"%d tinyanga",y:"umnyaka",yy:"%d iminyaka"},meridiemParse:/ekuseni|emini|entsambama|ebusuku/,meridiem:function(e,t,n){return e<11?"ekuseni":e<15?"emini":e<19?"entsambama":"ebusuku"},meridiemHour:function(e,t){return 12===e&&(e=0),"ekuseni"===t?e:"emini"===t?e>=11?e:e+12:"entsambama"===t||"ebusuku"===t?0===e?0:e+12:void 0},dayOfMonthOrdinalParse:/\d{1,2}/,ordinal:"%d",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("sv",{months:"januari_februari_mars_april_maj_juni_juli_augusti_september_oktober_november_december".split("_"),monthsShort:"jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec".split("_"),weekdays:"söndag_måndag_tisdag_onsdag_torsdag_fredag_lördag".split("_"),weekdaysShort:"sön_mån_tis_ons_tor_fre_lör".split("_"),weekdaysMin:"sö_må_ti_on_to_fr_lö".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [kl.] HH:mm",LLLL:"dddd D MMMM YYYY [kl.] HH:mm",lll:"D MMM YYYY HH:mm",llll:"ddd D MMM YYYY HH:mm"},calendar:{sameDay:"[Idag] LT",nextDay:"[Imorgon] LT",lastDay:"[Igår] LT",nextWeek:"[På] dddd LT",lastWeek:"[I] dddd[s] LT",sameElse:"L"},relativeTime:{future:"om %s",past:"för %s sedan",s:"några sekunder",ss:"%d sekunder",m:"en minut",mm:"%d minuter",h:"en timme",hh:"%d timmar",d:"en dag",dd:"%d dagar",M:"en månad",MM:"%d månader",y:"ett år",yy:"%d år"},dayOfMonthOrdinalParse:/\d{1,2}(e|a)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"e":1===t||2===t?"a":"e")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("sw",{months:"Januari_Februari_Machi_Aprili_Mei_Juni_Julai_Agosti_Septemba_Oktoba_Novemba_Desemba".split("_"),monthsShort:"Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ago_Sep_Okt_Nov_Des".split("_"),weekdays:"Jumapili_Jumatatu_Jumanne_Jumatano_Alhamisi_Ijumaa_Jumamosi".split("_"),weekdaysShort:"Jpl_Jtat_Jnne_Jtan_Alh_Ijm_Jmos".split("_"),weekdaysMin:"J2_J3_J4_J5_Al_Ij_J1".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[leo saa] LT",nextDay:"[kesho saa] LT",nextWeek:"[wiki ijayo] dddd [saat] LT",lastDay:"[jana] LT",lastWeek:"[wiki iliyopita] dddd [saat] LT",sameElse:"L"},relativeTime:{future:"%s baadaye",past:"tokea %s",s:"hivi punde",ss:"sekunde %d",m:"dakika moja",mm:"dakika %d",h:"saa limoja",hh:"masaa %d",d:"siku moja",dd:"masiku %d",M:"mwezi mmoja",MM:"miezi %d",y:"mwaka mmoja",yy:"miaka %d"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"௧",2:"௨",3:"௩",4:"௪",5:"௫",6:"௬",7:"௭",8:"௮",9:"௯",0:"௦"},n={"௧":"1","௨":"2","௩":"3","௪":"4","௫":"5","௬":"6","௭":"7","௮":"8","௯":"9","௦":"0"};e.defineLocale("ta",{months:"ஜனவரி_பிப்ரவரி_மார்ச்_ஏப்ரல்_மே_ஜூன்_ஜூலை_ஆகஸ்ட்_செப்டெம்பர்_அக்டோபர்_நவம்பர்_டிசம்பர்".split("_"),monthsShort:"ஜனவரி_பிப்ரவரி_மார்ச்_ஏப்ரல்_மே_ஜூன்_ஜூலை_ஆகஸ்ட்_செப்டெம்பர்_அக்டோபர்_நவம்பர்_டிசம்பர்".split("_"),weekdays:"ஞாயிற்றுக்கிழமை_திங்கட்கிழமை_செவ்வாய்கிழமை_புதன்கிழமை_வியாழக்கிழமை_வெள்ளிக்கிழமை_சனிக்கிழமை".split("_"),weekdaysShort:"ஞாயிறு_திங்கள்_செவ்வாய்_புதன்_வியாழன்_வெள்ளி_சனி".split("_"),weekdaysMin:"ஞா_தி_செ_பு_வி_வெ_ச".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, HH:mm",LLLL:"dddd, D MMMM YYYY, HH:mm"},calendar:{sameDay:"[இன்று] LT",nextDay:"[நாளை] LT",nextWeek:"dddd, LT",lastDay:"[நேற்று] LT",lastWeek:"[கடந்த வாரம்] dddd, LT",sameElse:"L"},relativeTime:{future:"%s இல்",past:"%s முன்",s:"ஒரு சில விநாடிகள்",ss:"%d விநாடிகள்",m:"ஒரு நிமிடம்",mm:"%d நிமிடங்கள்",h:"ஒரு மணி நேரம்",hh:"%d மணி நேரம்",d:"ஒரு நாள்",dd:"%d நாட்கள்",M:"ஒரு மாதம்",MM:"%d மாதங்கள்",y:"ஒரு வருடம்",yy:"%d ஆண்டுகள்"},dayOfMonthOrdinalParse:/\d{1,2}வது/,ordinal:function(e){return e+"வது"},preparse:function(e){return e.replace(/[௧௨௩௪௫௬௭௮௯௦]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/யாமம்|வைகறை|காலை|நண்பகல்|எற்பாடு|மாலை/,meridiem:function(e,t,n){return e<2?" யாமம்":e<6?" வைகறை":e<10?" காலை":e<14?" நண்பகல்":e<18?" எற்பாடு":e<22?" மாலை":" யாமம்"},meridiemHour:function(e,t){return 12===e&&(e=0),"யாமம்"===t?e<2?e:e+12:"வைகறை"===t||"காலை"===t||"நண்பகல்"===t&&e>=10?e:e+12},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("te",{months:"జనవరి_ఫిబ్రవరి_మార్చి_ఏప్రిల్_మే_జూన్_జులై_ఆగస్టు_సెప్టెంబర్_అక్టోబర్_నవంబర్_డిసెంబర్".split("_"),monthsShort:"జన._ఫిబ్ర._మార్చి_ఏప్రి._మే_జూన్_జులై_ఆగ._సెప్._అక్టో._నవ._డిసె.".split("_"),monthsParseExact:!0,weekdays:"ఆదివారం_సోమవారం_మంగళవారం_బుధవారం_గురువారం_శుక్రవారం_శనివారం".split("_"),weekdaysShort:"ఆది_సోమ_మంగళ_బుధ_గురు_శుక్ర_శని".split("_"),weekdaysMin:"ఆ_సో_మం_బు_గు_శు_శ".split("_"),longDateFormat:{LT:"A h:mm",LTS:"A h:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm",LLLL:"dddd, D MMMM YYYY, A h:mm"},calendar:{sameDay:"[నేడు] LT",nextDay:"[రేపు] LT",nextWeek:"dddd, LT",lastDay:"[నిన్న] LT",lastWeek:"[గత] dddd, LT",sameElse:"L"},relativeTime:{future:"%s లో",past:"%s క్రితం",s:"కొన్ని క్షణాలు",ss:"%d సెకన్లు",m:"ఒక నిమిషం",mm:"%d నిమిషాలు",h:"ఒక గంట",hh:"%d గంటలు",d:"ఒక రోజు",dd:"%d రోజులు",M:"ఒక నెల",MM:"%d నెలలు",y:"ఒక సంవత్సరం",yy:"%d సంవత్సరాలు"},dayOfMonthOrdinalParse:/\d{1,2}వ/,ordinal:"%dవ",meridiemParse:/రాత్రి|ఉదయం|మధ్యాహ్నం|సాయంత్రం/,meridiemHour:function(e,t){return 12===e&&(e=0),"రాత్రి"===t?e<4?e:e+12:"ఉదయం"===t?e:"మధ్యాహ్నం"===t?e>=10?e:e+12:"సాయంత్రం"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"రాత్రి":e<10?"ఉదయం":e<17?"మధ్యాహ్నం":e<20?"సాయంత్రం":"రాత్రి"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("tet",{months:"Janeiru_Fevereiru_Marsu_Abril_Maiu_Juñu_Jullu_Agustu_Setembru_Outubru_Novembru_Dezembru".split("_"),monthsShort:"Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez".split("_"),weekdays:"Domingu_Segunda_Tersa_Kuarta_Kinta_Sesta_Sabadu".split("_"),weekdaysShort:"Dom_Seg_Ters_Kua_Kint_Sest_Sab".split("_"),weekdaysMin:"Do_Seg_Te_Ku_Ki_Ses_Sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Ohin iha] LT",nextDay:"[Aban iha] LT",nextWeek:"dddd [iha] LT",lastDay:"[Horiseik iha] LT",lastWeek:"dddd [semana kotuk] [iha] LT",sameElse:"L"},relativeTime:{future:"iha %s",past:"%s liuba",s:"minutu balun",ss:"minutu %d",m:"minutu ida",mm:"minutu %d",h:"oras ida",hh:"oras %d",d:"loron ida",dd:"loron %d",M:"fulan ida",MM:"fulan %d",y:"tinan ida",yy:"tinan %d"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={0:"-ум",1:"-ум",2:"-юм",3:"-юм",4:"-ум",5:"-ум",6:"-ум",7:"-ум",8:"-ум",9:"-ум",10:"-ум",12:"-ум",13:"-ум",20:"-ум",30:"-юм",40:"-ум",50:"-ум",60:"-ум",70:"-ум",80:"-ум",90:"-ум",100:"-ум"};e.defineLocale("tg",{months:"январ_феврал_март_апрел_май_июн_июл_август_сентябр_октябр_ноябр_декабр".split("_"),monthsShort:"янв_фев_мар_апр_май_июн_июл_авг_сен_окт_ноя_дек".split("_"),weekdays:"якшанбе_душанбе_сешанбе_чоршанбе_панҷшанбе_ҷумъа_шанбе".split("_"),weekdaysShort:"яшб_дшб_сшб_чшб_пшб_ҷум_шнб".split("_"),weekdaysMin:"яш_дш_сш_чш_пш_ҷм_шб".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Имрӯз соати] LT",nextDay:"[Пагоҳ соати] LT",lastDay:"[Дирӯз соати] LT",nextWeek:"dddd[и] [ҳафтаи оянда соати] LT",lastWeek:"dddd[и] [ҳафтаи гузашта соати] LT",sameElse:"L"},relativeTime:{future:"баъди %s",past:"%s пеш",s:"якчанд сония",m:"як дақиқа",mm:"%d дақиқа",h:"як соат",hh:"%d соат",d:"як рӯз",dd:"%d рӯз",M:"як моҳ",MM:"%d моҳ",y:"як сол",yy:"%d сол"},meridiemParse:/шаб|субҳ|рӯз|бегоҳ/,meridiemHour:function(e,t){return 12===e&&(e=0),"шаб"===t?e<4?e:e+12:"субҳ"===t?e:"рӯз"===t?e>=11?e:e+12:"бегоҳ"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"шаб":e<11?"субҳ":e<16?"рӯз":e<19?"бегоҳ":"шаб"},dayOfMonthOrdinalParse:/\d{1,2}-(ум|юм)/,ordinal:function(e){return e+(t[e]||t[e%10]||t[e>=100?100:null])},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("th",{months:"มกราคม_กุมภาพันธ์_มีนาคม_เมษายน_พฤษภาคม_มิถุนายน_กรกฎาคม_สิงหาคม_กันยายน_ตุลาคม_พฤศจิกายน_ธันวาคม".split("_"),monthsShort:"ม.ค._ก.พ._มี.ค._เม.ย._พ.ค._มิ.ย._ก.ค._ส.ค._ก.ย._ต.ค._พ.ย._ธ.ค.".split("_"),monthsParseExact:!0,weekdays:"อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัสบดี_ศุกร์_เสาร์".split("_"),weekdaysShort:"อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัส_ศุกร์_เสาร์".split("_"),weekdaysMin:"อา._จ._อ._พ._พฤ._ศ._ส.".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY เวลา H:mm",LLLL:"วันddddที่ D MMMM YYYY เวลา H:mm"},meridiemParse:/ก่อนเที่ยง|หลังเที่ยง/,isPM:function(e){return"หลังเที่ยง"===e},meridiem:function(e,t,n){return e<12?"ก่อนเที่ยง":"หลังเที่ยง"},calendar:{sameDay:"[วันนี้ เวลา] LT",nextDay:"[พรุ่งนี้ เวลา] LT",nextWeek:"dddd[หน้า เวลา] LT",lastDay:"[เมื่อวานนี้ เวลา] LT",lastWeek:"[วัน]dddd[ที่แล้ว เวลา] LT",sameElse:"L"},relativeTime:{future:"อีก %s",past:"%sที่แล้ว",s:"ไม่กี่วินาที",ss:"%d วินาที",m:"1 นาที",mm:"%d นาที",h:"1 ชั่วโมง",hh:"%d ชั่วโมง",d:"1 วัน",dd:"%d วัน",M:"1 เดือน",MM:"%d เดือน",y:"1 ปี",yy:"%d ปี"}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("tl-ph",{months:"Enero_Pebrero_Marso_Abril_Mayo_Hunyo_Hulyo_Agosto_Setyembre_Oktubre_Nobyembre_Disyembre".split("_"),monthsShort:"Ene_Peb_Mar_Abr_May_Hun_Hul_Ago_Set_Okt_Nob_Dis".split("_"),weekdays:"Linggo_Lunes_Martes_Miyerkules_Huwebes_Biyernes_Sabado".split("_"),weekdaysShort:"Lin_Lun_Mar_Miy_Huw_Biy_Sab".split("_"),weekdaysMin:"Li_Lu_Ma_Mi_Hu_Bi_Sab".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"MM/D/YYYY",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY HH:mm",LLLL:"dddd, MMMM DD, YYYY HH:mm"},calendar:{sameDay:"LT [ngayong araw]",nextDay:"[Bukas ng] LT",nextWeek:"LT [sa susunod na] dddd",lastDay:"LT [kahapon]",lastWeek:"LT [noong nakaraang] dddd",sameElse:"L"},relativeTime:{future:"sa loob ng %s",past:"%s ang nakalipas",s:"ilang segundo",ss:"%d segundo",m:"isang minuto",mm:"%d minuto",h:"isang oras",hh:"%d oras",d:"isang araw",dd:"%d araw",M:"isang buwan",MM:"%d buwan",y:"isang taon",yy:"%d taon"},dayOfMonthOrdinalParse:/\d{1,2}/,ordinal:function(e){return e},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="pagh_wa’_cha’_wej_loS_vagh_jav_Soch_chorgh_Hut".split("_");function n(e,n,r,o){var i=function(e){var n=Math.floor(e%1e3/100),r=Math.floor(e%100/10),o=e%10,i="";return n>0&&(i+=t[n]+"vatlh"),r>0&&(i+=(""!==i?" ":"")+t[r]+"maH"),o>0&&(i+=(""!==i?" ":"")+t[o]),""===i?"pagh":i}(e);switch(r){case"ss":return i+" lup";case"mm":return i+" tup";case"hh":return i+" rep";case"dd":return i+" jaj";case"MM":return i+" jar";case"yy":return i+" DIS"}}e.defineLocale("tlh",{months:"tera’ jar wa’_tera’ jar cha’_tera’ jar wej_tera’ jar loS_tera’ jar vagh_tera’ jar jav_tera’ jar Soch_tera’ jar chorgh_tera’ jar Hut_tera’ jar wa’maH_tera’ jar wa’maH wa’_tera’ jar wa’maH cha’".split("_"),monthsShort:"jar wa’_jar cha’_jar wej_jar loS_jar vagh_jar jav_jar Soch_jar chorgh_jar Hut_jar wa’maH_jar wa’maH wa’_jar wa’maH cha’".split("_"),monthsParseExact:!0,weekdays:"lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj".split("_"),weekdaysShort:"lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj".split("_"),weekdaysMin:"lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[DaHjaj] LT",nextDay:"[wa’leS] LT",nextWeek:"LLL",lastDay:"[wa’Hu’] LT",lastWeek:"LLL",sameElse:"L"},relativeTime:{future:function(e){var t=e;return t=-1!==e.indexOf("jaj")?t.slice(0,-3)+"leS":-1!==e.indexOf("jar")?t.slice(0,-3)+"waQ":-1!==e.indexOf("DIS")?t.slice(0,-3)+"nem":t+" pIq"},past:function(e){var t=e;return t=-1!==e.indexOf("jaj")?t.slice(0,-3)+"Hu’":-1!==e.indexOf("jar")?t.slice(0,-3)+"wen":-1!==e.indexOf("DIS")?t.slice(0,-3)+"ben":t+" ret"},s:"puS lup",ss:n,m:"wa’ tup",mm:n,h:"wa’ rep",hh:n,d:"wa’ jaj",dd:n,M:"wa’ jar",MM:n,y:"wa’ DIS",yy:n},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"'inci",5:"'inci",8:"'inci",70:"'inci",80:"'inci",2:"'nci",7:"'nci",20:"'nci",50:"'nci",3:"'üncü",4:"'üncü",100:"'üncü",6:"'ncı",9:"'uncu",10:"'uncu",30:"'uncu",60:"'ıncı",90:"'ıncı"};e.defineLocale("tr",{months:"Ocak_Şubat_Mart_Nisan_Mayıs_Haziran_Temmuz_Ağustos_Eylül_Ekim_Kasım_Aralık".split("_"),monthsShort:"Oca_Şub_Mar_Nis_May_Haz_Tem_Ağu_Eyl_Eki_Kas_Ara".split("_"),weekdays:"Pazar_Pazartesi_Salı_Çarşamba_Perşembe_Cuma_Cumartesi".split("_"),weekdaysShort:"Paz_Pts_Sal_Çar_Per_Cum_Cts".split("_"),weekdaysMin:"Pz_Pt_Sa_Ça_Pe_Cu_Ct".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[bugün saat] LT",nextDay:"[yarın saat] LT",nextWeek:"[gelecek] dddd [saat] LT",lastDay:"[dün] LT",lastWeek:"[geçen] dddd [saat] LT",sameElse:"L"},relativeTime:{future:"%s sonra",past:"%s önce",s:"birkaç saniye",ss:"%d saniye",m:"bir dakika",mm:"%d dakika",h:"bir saat",hh:"%d saat",d:"bir gün",dd:"%d gün",M:"bir ay",MM:"%d ay",y:"bir yıl",yy:"%d yıl"},ordinal:function(e,n){switch(n){case"d":case"D":case"Do":case"DD":return e;default:if(0===e)return e+"'ıncı";var r=e%10;return e+(t[r]||t[e%100-r]||t[e>=100?100:null])}},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={s:["viensas secunds","'iensas secunds"],ss:[e+" secunds",e+" secunds"],m:["'n míut","'iens míut"],mm:[e+" míuts",e+" míuts"],h:["'n þora","'iensa þora"],hh:[e+" þoras",e+" þoras"],d:["'n ziua","'iensa ziua"],dd:[e+" ziuas",e+" ziuas"],M:["'n mes","'iens mes"],MM:[e+" mesen",e+" mesen"],y:["'n ar","'iens ar"],yy:[e+" ars",e+" ars"]};return r||t?o[n][0]:o[n][1]}e.defineLocale("tzl",{months:"Januar_Fevraglh_Març_Avrïu_Mai_Gün_Julia_Guscht_Setemvar_Listopäts_Noemvar_Zecemvar".split("_"),monthsShort:"Jan_Fev_Mar_Avr_Mai_Gün_Jul_Gus_Set_Lis_Noe_Zec".split("_"),weekdays:"Súladi_Lúneçi_Maitzi_Márcuri_Xhúadi_Viénerçi_Sáturi".split("_"),weekdaysShort:"Súl_Lún_Mai_Már_Xhú_Vié_Sát".split("_"),weekdaysMin:"Sú_Lú_Ma_Má_Xh_Vi_Sá".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD.MM.YYYY",LL:"D. MMMM [dallas] YYYY",LLL:"D. MMMM [dallas] YYYY HH.mm",LLLL:"dddd, [li] D. MMMM [dallas] YYYY HH.mm"},meridiemParse:/d\'o|d\'a/i,isPM:function(e){return"d'o"===e.toLowerCase()},meridiem:function(e,t,n){return e>11?n?"d'o":"D'O":n?"d'a":"D'A"},calendar:{sameDay:"[oxhi à] LT",nextDay:"[demà à] LT",nextWeek:"dddd [à] LT",lastDay:"[ieiri à] LT",lastWeek:"[sür el] dddd [lasteu à] LT",sameElse:"L"},relativeTime:{future:"osprei %s",past:"ja%s",s:t,ss:t,m:t,mm:t,h:t,hh:t,d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("tzm",{months:"ⵉⵏⵏⴰⵢⵔ_ⴱⵕⴰⵢⵕ_ⵎⴰⵕⵚ_ⵉⴱⵔⵉⵔ_ⵎⴰⵢⵢⵓ_ⵢⵓⵏⵢⵓ_ⵢⵓⵍⵢⵓⵣ_ⵖⵓⵛⵜ_ⵛⵓⵜⴰⵏⴱⵉⵔ_ⴽⵟⵓⴱⵕ_ⵏⵓⵡⴰⵏⴱⵉⵔ_ⴷⵓⵊⵏⴱⵉⵔ".split("_"),monthsShort:"ⵉⵏⵏⴰⵢⵔ_ⴱⵕⴰⵢⵕ_ⵎⴰⵕⵚ_ⵉⴱⵔⵉⵔ_ⵎⴰⵢⵢⵓ_ⵢⵓⵏⵢⵓ_ⵢⵓⵍⵢⵓⵣ_ⵖⵓⵛⵜ_ⵛⵓⵜⴰⵏⴱⵉⵔ_ⴽⵟⵓⴱⵕ_ⵏⵓⵡⴰⵏⴱⵉⵔ_ⴷⵓⵊⵏⴱⵉⵔ".split("_"),weekdays:"ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ".split("_"),weekdaysShort:"ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ".split("_"),weekdaysMin:"ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[ⴰⵙⴷⵅ ⴴ] LT",nextDay:"[ⴰⵙⴽⴰ ⴴ] LT",nextWeek:"dddd [ⴴ] LT",lastDay:"[ⴰⵚⴰⵏⵜ ⴴ] LT",lastWeek:"dddd [ⴴ] LT",sameElse:"L"},relativeTime:{future:"ⴷⴰⴷⵅ ⵙ ⵢⴰⵏ %s",past:"ⵢⴰⵏ %s",s:"ⵉⵎⵉⴽ",ss:"%d ⵉⵎⵉⴽ",m:"ⵎⵉⵏⵓⴺ",mm:"%d ⵎⵉⵏⵓⴺ",h:"ⵙⴰⵄⴰ",hh:"%d ⵜⴰⵙⵙⴰⵄⵉⵏ",d:"ⴰⵙⵙ",dd:"%d oⵙⵙⴰⵏ",M:"ⴰⵢoⵓⵔ",MM:"%d ⵉⵢⵢⵉⵔⵏ",y:"ⴰⵙⴳⴰⵙ",yy:"%d ⵉⵙⴳⴰⵙⵏ"},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("tzm-latn",{months:"innayr_brˤayrˤ_marˤsˤ_ibrir_mayyw_ywnyw_ywlywz_ɣwšt_šwtanbir_ktˤwbrˤ_nwwanbir_dwjnbir".split("_"),monthsShort:"innayr_brˤayrˤ_marˤsˤ_ibrir_mayyw_ywnyw_ywlywz_ɣwšt_šwtanbir_ktˤwbrˤ_nwwanbir_dwjnbir".split("_"),weekdays:"asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas".split("_"),weekdaysShort:"asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas".split("_"),weekdaysMin:"asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[asdkh g] LT",nextDay:"[aska g] LT",nextWeek:"dddd [g] LT",lastDay:"[assant g] LT",lastWeek:"dddd [g] LT",sameElse:"L"},relativeTime:{future:"dadkh s yan %s",past:"yan %s",s:"imik",ss:"%d imik",m:"minuḍ",mm:"%d minuḍ",h:"saɛa",hh:"%d tassaɛin",d:"ass",dd:"%d ossan",M:"ayowr",MM:"%d iyyirn",y:"asgas",yy:"%d isgasn"},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ug-cn",{months:"يانۋار_فېۋرال_مارت_ئاپرېل_ماي_ئىيۇن_ئىيۇل_ئاۋغۇست_سېنتەبىر_ئۆكتەبىر_نويابىر_دېكابىر".split("_"),monthsShort:"يانۋار_فېۋرال_مارت_ئاپرېل_ماي_ئىيۇن_ئىيۇل_ئاۋغۇست_سېنتەبىر_ئۆكتەبىر_نويابىر_دېكابىر".split("_"),weekdays:"يەكشەنبە_دۈشەنبە_سەيشەنبە_چارشەنبە_پەيشەنبە_جۈمە_شەنبە".split("_"),weekdaysShort:"يە_دۈ_سە_چا_پە_جۈ_شە".split("_"),weekdaysMin:"يە_دۈ_سە_چا_پە_جۈ_شە".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"YYYY-يىلىM-ئاينىڭD-كۈنى",LLL:"YYYY-يىلىM-ئاينىڭD-كۈنى، HH:mm",LLLL:"dddd، YYYY-يىلىM-ئاينىڭD-كۈنى، HH:mm"},meridiemParse:/يېرىم كېچە|سەھەر|چۈشتىن بۇرۇن|چۈش|چۈشتىن كېيىن|كەچ/,meridiemHour:function(e,t){return 12===e&&(e=0),"يېرىم كېچە"===t||"سەھەر"===t||"چۈشتىن بۇرۇن"===t?e:"چۈشتىن كېيىن"===t||"كەچ"===t?e+12:e>=11?e:e+12},meridiem:function(e,t,n){var r=100*e+t;return r<600?"يېرىم كېچە":r<900?"سەھەر":r<1130?"چۈشتىن بۇرۇن":r<1230?"چۈش":r<1800?"چۈشتىن كېيىن":"كەچ"},calendar:{sameDay:"[بۈگۈن سائەت] LT",nextDay:"[ئەتە سائەت] LT",nextWeek:"[كېلەركى] dddd [سائەت] LT",lastDay:"[تۆنۈگۈن] LT",lastWeek:"[ئالدىنقى] dddd [سائەت] LT",sameElse:"L"},relativeTime:{future:"%s كېيىن",past:"%s بۇرۇن",s:"نەچچە سېكونت",ss:"%d سېكونت",m:"بىر مىنۇت",mm:"%d مىنۇت",h:"بىر سائەت",hh:"%d سائەت",d:"بىر كۈن",dd:"%d كۈن",M:"بىر ئاي",MM:"%d ئاي",y:"بىر يىل",yy:"%d يىل"},dayOfMonthOrdinalParse:/\d{1,2}(-كۈنى|-ئاي|-ھەپتە)/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"-كۈنى";case"w":case"W":return e+"-ھەپتە";default:return e}},preparse:function(e){return e.replace(/،/g,",")},postformat:function(e){return e.replace(/,/g,"،")},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r,o;return"m"===n?t?"хвилина":"хвилину":"h"===n?t?"година":"годину":e+" "+(r=+e,o={ss:t?"секунда_секунди_секунд":"секунду_секунди_секунд",mm:t?"хвилина_хвилини_хвилин":"хвилину_хвилини_хвилин",hh:t?"година_години_годин":"годину_години_годин",dd:"день_дні_днів",MM:"місяць_місяці_місяців",yy:"рік_роки_років"}[n].split("_"),r%10==1&&r%100!=11?o[0]:r%10>=2&&r%10<=4&&(r%100<10||r%100>=20)?o[1]:o[2])}function n(e){return function(){return e+"о"+(11===this.hours()?"б":"")+"] LT"}}e.defineLocale("uk",{months:{format:"січня_лютого_березня_квітня_травня_червня_липня_серпня_вересня_жовтня_листопада_грудня".split("_"),standalone:"січень_лютий_березень_квітень_травень_червень_липень_серпень_вересень_жовтень_листопад_грудень".split("_")},monthsShort:"січ_лют_бер_квіт_трав_черв_лип_серп_вер_жовт_лист_груд".split("_"),weekdays:function(e,t){var n={nominative:"неділя_понеділок_вівторок_середа_четвер_п’ятниця_субота".split("_"),accusative:"неділю_понеділок_вівторок_середу_четвер_п’ятницю_суботу".split("_"),genitive:"неділі_понеділка_вівторка_середи_четверга_п’ятниці_суботи".split("_")};return!0===e?n.nominative.slice(1,7).concat(n.nominative.slice(0,1)):e?n[/(\[[ВвУу]\]) ?dddd/.test(t)?"accusative":/\[?(?:минулої|наступної)? ?\] ?dddd/.test(t)?"genitive":"nominative"][e.day()]:n.nominative},weekdaysShort:"нд_пн_вт_ср_чт_пт_сб".split("_"),weekdaysMin:"нд_пн_вт_ср_чт_пт_сб".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY р.",LLL:"D MMMM YYYY р., HH:mm",LLLL:"dddd, D MMMM YYYY р., HH:mm"},calendar:{sameDay:n("[Сьогодні "),nextDay:n("[Завтра "),lastDay:n("[Вчора "),nextWeek:n("[У] dddd ["),lastWeek:function(){switch(this.day()){case 0:case 3:case 5:case 6:return n("[Минулої] dddd [").call(this);case 1:case 2:case 4:return n("[Минулого] dddd [").call(this)}},sameElse:"L"},relativeTime:{future:"за %s",past:"%s тому",s:"декілька секунд",ss:t,m:t,mm:t,h:"годину",hh:t,d:"день",dd:t,M:"місяць",MM:t,y:"рік",yy:t},meridiemParse:/ночі|ранку|дня|вечора/,isPM:function(e){return/^(дня|вечора)$/.test(e)},meridiem:function(e,t,n){return e<4?"ночі":e<12?"ранку":e<17?"дня":"вечора"},dayOfMonthOrdinalParse:/\d{1,2}-(й|го)/,ordinal:function(e,t){switch(t){case"M":case"d":case"DDD":case"w":case"W":return e+"-й";case"D":return e+"-го";default:return e}},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t=["جنوری","فروری","مارچ","اپریل","مئی","جون","جولائی","اگست","ستمبر","اکتوبر","نومبر","دسمبر"],n=["اتوار","پیر","منگل","بدھ","جمعرات","جمعہ","ہفتہ"];e.defineLocale("ur",{months:t,monthsShort:t,weekdays:n,weekdaysShort:n,weekdaysMin:n,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd، D MMMM YYYY HH:mm"},meridiemParse:/صبح|شام/,isPM:function(e){return"شام"===e},meridiem:function(e,t,n){return e<12?"صبح":"شام"},calendar:{sameDay:"[آج بوقت] LT",nextDay:"[کل بوقت] LT",nextWeek:"dddd [بوقت] LT",lastDay:"[گذشتہ روز بوقت] LT",lastWeek:"[گذشتہ] dddd [بوقت] LT",sameElse:"L"},relativeTime:{future:"%s بعد",past:"%s قبل",s:"چند سیکنڈ",ss:"%d سیکنڈ",m:"ایک منٹ",mm:"%d منٹ",h:"ایک گھنٹہ",hh:"%d گھنٹے",d:"ایک دن",dd:"%d دن",M:"ایک ماہ",MM:"%d ماہ",y:"ایک سال",yy:"%d سال"},preparse:function(e){return e.replace(/،/g,",")},postformat:function(e){return e.replace(/,/g,"،")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("uz",{months:"январ_феврал_март_апрел_май_июн_июл_август_сентябр_октябр_ноябр_декабр".split("_"),monthsShort:"янв_фев_мар_апр_май_июн_июл_авг_сен_окт_ноя_дек".split("_"),weekdays:"Якшанба_Душанба_Сешанба_Чоршанба_Пайшанба_Жума_Шанба".split("_"),weekdaysShort:"Якш_Душ_Сеш_Чор_Пай_Жум_Шан".split("_"),weekdaysMin:"Як_Ду_Се_Чо_Па_Жу_Ша".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"D MMMM YYYY, dddd HH:mm"},calendar:{sameDay:"[Бугун соат] LT [да]",nextDay:"[Эртага] LT [да]",nextWeek:"dddd [куни соат] LT [да]",lastDay:"[Кеча соат] LT [да]",lastWeek:"[Утган] dddd [куни соат] LT [да]",sameElse:"L"},relativeTime:{future:"Якин %s ичида",past:"Бир неча %s олдин",s:"фурсат",ss:"%d фурсат",m:"бир дакика",mm:"%d дакика",h:"бир соат",hh:"%d соат",d:"бир кун",dd:"%d кун",M:"бир ой",MM:"%d ой",y:"бир йил",yy:"%d йил"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("uz-latn",{months:"Yanvar_Fevral_Mart_Aprel_May_Iyun_Iyul_Avgust_Sentabr_Oktabr_Noyabr_Dekabr".split("_"),monthsShort:"Yan_Fev_Mar_Apr_May_Iyun_Iyul_Avg_Sen_Okt_Noy_Dek".split("_"),weekdays:"Yakshanba_Dushanba_Seshanba_Chorshanba_Payshanba_Juma_Shanba".split("_"),weekdaysShort:"Yak_Dush_Sesh_Chor_Pay_Jum_Shan".split("_"),weekdaysMin:"Ya_Du_Se_Cho_Pa_Ju_Sha".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"D MMMM YYYY, dddd HH:mm"},calendar:{sameDay:"[Bugun soat] LT [da]",nextDay:"[Ertaga] LT [da]",nextWeek:"dddd [kuni soat] LT [da]",lastDay:"[Kecha soat] LT [da]",lastWeek:"[O'tgan] dddd [kuni soat] LT [da]",sameElse:"L"},relativeTime:{future:"Yaqin %s ichida",past:"Bir necha %s oldin",s:"soniya",ss:"%d soniya",m:"bir daqiqa",mm:"%d daqiqa",h:"bir soat",hh:"%d soat",d:"bir kun",dd:"%d kun",M:"bir oy",MM:"%d oy",y:"bir yil",yy:"%d yil"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("vi",{months:"tháng 1_tháng 2_tháng 3_tháng 4_tháng 5_tháng 6_tháng 7_tháng 8_tháng 9_tháng 10_tháng 11_tháng 12".split("_"),monthsShort:"Th01_Th02_Th03_Th04_Th05_Th06_Th07_Th08_Th09_Th10_Th11_Th12".split("_"),monthsParseExact:!0,weekdays:"chủ nhật_thứ hai_thứ ba_thứ tư_thứ năm_thứ sáu_thứ bảy".split("_"),weekdaysShort:"CN_T2_T3_T4_T5_T6_T7".split("_"),weekdaysMin:"CN_T2_T3_T4_T5_T6_T7".split("_"),weekdaysParseExact:!0,meridiemParse:/sa|ch/i,isPM:function(e){return/^ch$/i.test(e)},meridiem:function(e,t,n){return e<12?n?"sa":"SA":n?"ch":"CH"},longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM [năm] YYYY",LLL:"D MMMM [năm] YYYY HH:mm",LLLL:"dddd, D MMMM [năm] YYYY HH:mm",l:"DD/M/YYYY",ll:"D MMM YYYY",lll:"D MMM YYYY HH:mm",llll:"ddd, D MMM YYYY HH:mm"},calendar:{sameDay:"[Hôm nay lúc] LT",nextDay:"[Ngày mai lúc] LT",nextWeek:"dddd [tuần tới lúc] LT",lastDay:"[Hôm qua lúc] LT",lastWeek:"dddd [tuần rồi lúc] LT",sameElse:"L"},relativeTime:{future:"%s tới",past:"%s trước",s:"vài giây",ss:"%d giây",m:"một phút",mm:"%d phút",h:"một giờ",hh:"%d giờ",d:"một ngày",dd:"%d ngày",M:"một tháng",MM:"%d tháng",y:"một năm",yy:"%d năm"},dayOfMonthOrdinalParse:/\d{1,2}/,ordinal:function(e){return e},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("x-pseudo",{months:"J~áñúá~rý_F~ébrú~árý_~Márc~h_Áp~ríl_~Máý_~Júñé~_Júl~ý_Áú~gúst~_Sép~témb~ér_Ó~ctób~ér_Ñ~óvém~bér_~Décé~mbér".split("_"),monthsShort:"J~áñ_~Féb_~Már_~Ápr_~Máý_~Júñ_~Júl_~Áúg_~Sép_~Óct_~Ñóv_~Déc".split("_"),monthsParseExact:!0,weekdays:"S~úñdá~ý_Mó~ñdáý~_Túé~sdáý~_Wéd~ñésd~áý_T~húrs~dáý_~Fríd~áý_S~átúr~dáý".split("_"),weekdaysShort:"S~úñ_~Móñ_~Túé_~Wéd_~Thú_~Frí_~Sát".split("_"),weekdaysMin:"S~ú_Mó~_Tú_~Wé_T~h_Fr~_Sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[T~ódá~ý át] LT",nextDay:"[T~ómó~rró~w át] LT",nextWeek:"dddd [át] LT",lastDay:"[Ý~ést~érdá~ý át] LT",lastWeek:"[L~ást] dddd [át] LT",sameElse:"L"},relativeTime:{future:"í~ñ %s",past:"%s á~gó",s:"á ~féw ~sécó~ñds",ss:"%d s~écóñ~ds",m:"á ~míñ~úté",mm:"%d m~íñú~tés",h:"á~ñ hó~úr",hh:"%d h~óúrs",d:"á ~dáý",dd:"%d d~áýs",M:"á ~móñ~th",MM:"%d m~óñt~hs",y:"á ~ýéár",yy:"%d ý~éárs"},dayOfMonthOrdinalParse:/\d{1,2}(th|st|nd|rd)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("yo",{months:"Sẹ́rẹ́_Èrèlè_Ẹrẹ̀nà_Ìgbé_Èbibi_Òkùdu_Agẹmo_Ògún_Owewe_Ọ̀wàrà_Bélú_Ọ̀pẹ̀̀".split("_"),monthsShort:"Sẹ́r_Èrl_Ẹrn_Ìgb_Èbi_Òkù_Agẹ_Ògú_Owe_Ọ̀wà_Bél_Ọ̀pẹ̀̀".split("_"),weekdays:"Àìkú_Ajé_Ìsẹ́gun_Ọjọ́rú_Ọjọ́bọ_Ẹtì_Àbámẹ́ta".split("_"),weekdaysShort:"Àìk_Ajé_Ìsẹ́_Ọjr_Ọjb_Ẹtì_Àbá".split("_"),weekdaysMin:"Àì_Aj_Ìs_Ọr_Ọb_Ẹt_Àb".split("_"),longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendar:{sameDay:"[Ònì ni] LT",nextDay:"[Ọ̀la ni] LT",nextWeek:"dddd [Ọsẹ̀ tón'bọ] [ni] LT",lastDay:"[Àna ni] LT",lastWeek:"dddd [Ọsẹ̀ tólọ́] [ni] LT",sameElse:"L"},relativeTime:{future:"ní %s",past:"%s kọjá",s:"ìsẹjú aayá die",ss:"aayá %d",m:"ìsẹjú kan",mm:"ìsẹjú %d",h:"wákati kan",hh:"wákati %d",d:"ọjọ́ kan",dd:"ọjọ́ %d",M:"osù kan",MM:"osù %d",y:"ọdún kan",yy:"ọdún %d"},dayOfMonthOrdinalParse:/ọjọ́\s\d{1,2}/,ordinal:"ọjọ́ %d",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("zh-cn",{months:"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月".split("_"),monthsShort:"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月".split("_"),weekdays:"星期日_星期一_星期二_星期三_星期四_星期五_星期六".split("_"),weekdaysShort:"周日_周一_周二_周三_周四_周五_周六".split("_"),weekdaysMin:"日_一_二_三_四_五_六".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY/MM/DD",LL:"YYYY年M月D日",LLL:"YYYY年M月D日Ah点mm分",LLLL:"YYYY年M月D日ddddAh点mm分",l:"YYYY/M/D",ll:"YYYY年M月D日",lll:"YYYY年M月D日 HH:mm",llll:"YYYY年M月D日dddd HH:mm"},meridiemParse:/凌晨|早上|上午|中午|下午|晚上/,meridiemHour:function(e,t){return 12===e&&(e=0),"凌晨"===t||"早上"===t||"上午"===t?e:"下午"===t||"晚上"===t?e+12:e>=11?e:e+12},meridiem:function(e,t,n){var r=100*e+t;return r<600?"凌晨":r<900?"早上":r<1130?"上午":r<1230?"中午":r<1800?"下午":"晚上"},calendar:{sameDay:"[今天]LT",nextDay:"[明天]LT",nextWeek:"[下]ddddLT",lastDay:"[昨天]LT",lastWeek:"[上]ddddLT",sameElse:"L"},dayOfMonthOrdinalParse:/\d{1,2}(日|月|周)/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"日";case"M":return e+"月";case"w":case"W":return e+"周";default:return e}},relativeTime:{future:"%s内",past:"%s前",s:"几秒",ss:"%d 秒",m:"1 分钟",mm:"%d 分钟",h:"1 小时",hh:"%d 小时",d:"1 天",dd:"%d 天",M:"1 个月",MM:"%d 个月",y:"1 年",yy:"%d 年"},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("zh-hk",{months:"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月".split("_"),monthsShort:"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月".split("_"),weekdays:"星期日_星期一_星期二_星期三_星期四_星期五_星期六".split("_"),weekdaysShort:"週日_週一_週二_週三_週四_週五_週六".split("_"),weekdaysMin:"日_一_二_三_四_五_六".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY/MM/DD",LL:"YYYY年M月D日",LLL:"YYYY年M月D日 HH:mm",LLLL:"YYYY年M月D日dddd HH:mm",l:"YYYY/M/D",ll:"YYYY年M月D日",lll:"YYYY年M月D日 HH:mm",llll:"YYYY年M月D日dddd HH:mm"},meridiemParse:/凌晨|早上|上午|中午|下午|晚上/,meridiemHour:function(e,t){return 12===e&&(e=0),"凌晨"===t||"早上"===t||"上午"===t?e:"中午"===t?e>=11?e:e+12:"下午"===t||"晚上"===t?e+12:void 0},meridiem:function(e,t,n){var r=100*e+t;return r<600?"凌晨":r<900?"早上":r<1130?"上午":r<1230?"中午":r<1800?"下午":"晚上"},calendar:{sameDay:"[今天]LT",nextDay:"[明天]LT",nextWeek:"[下]ddddLT",lastDay:"[昨天]LT",lastWeek:"[上]ddddLT",sameElse:"L"},dayOfMonthOrdinalParse:/\d{1,2}(日|月|週)/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"日";case"M":return e+"月";case"w":case"W":return e+"週";default:return e}},relativeTime:{future:"%s內",past:"%s前",s:"幾秒",ss:"%d 秒",m:"1 分鐘",mm:"%d 分鐘",h:"1 小時",hh:"%d 小時",d:"1 天",dd:"%d 天",M:"1 個月",MM:"%d 個月",y:"1 年",yy:"%d 年"}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("zh-tw",{months:"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月".split("_"),monthsShort:"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月".split("_"),weekdays:"星期日_星期一_星期二_星期三_星期四_星期五_星期六".split("_"),weekdaysShort:"週日_週一_週二_週三_週四_週五_週六".split("_"),weekdaysMin:"日_一_二_三_四_五_六".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY/MM/DD",LL:"YYYY年M月D日",LLL:"YYYY年M月D日 HH:mm",LLLL:"YYYY年M月D日dddd HH:mm",l:"YYYY/M/D",ll:"YYYY年M月D日",lll:"YYYY年M月D日 HH:mm",llll:"YYYY年M月D日dddd HH:mm"},meridiemParse:/凌晨|早上|上午|中午|下午|晚上/,meridiemHour:function(e,t){return 12===e&&(e=0),"凌晨"===t||"早上"===t||"上午"===t?e:"中午"===t?e>=11?e:e+12:"下午"===t||"晚上"===t?e+12:void 0},meridiem:function(e,t,n){var r=100*e+t;return r<600?"凌晨":r<900?"早上":r<1130?"上午":r<1230?"中午":r<1800?"下午":"晚上"},calendar:{sameDay:"[今天] LT",nextDay:"[明天] LT",nextWeek:"[下]dddd LT",lastDay:"[昨天] LT",lastWeek:"[上]dddd LT",sameElse:"L"},dayOfMonthOrdinalParse:/\d{1,2}(日|月|週)/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"日";case"M":return e+"月";case"w":case"W":return e+"週";default:return e}},relativeTime:{future:"%s內",past:"%s前",s:"幾秒",ss:"%d 秒",m:"1 分鐘",mm:"%d 分鐘",h:"1 小時",hh:"%d 小時",d:"1 天",dd:"%d 天",M:"1 個月",MM:"%d 個月",y:"1 年",yy:"%d 年"}})}(n(178))},function(e,t,n){"use strict";n.r(t),n.d(t,"CellSelection",(function(){return Y})),n.d(t,"TableMap",(function(){return p})),n.d(t,"__clipCells",(function(){return R})),n.d(t,"__insertCells",(function(){return z})),n.d(t,"__pastedCells",(function(){return P})),n.d(t,"addColumn",(function(){return ne})),n.d(t,"addColumnAfter",(function(){return oe})),n.d(t,"addColumnBefore",(function(){return re})),n.d(t,"addRow",(function(){return ue})),n.d(t,"addRowAfter",(function(){return le})),n.d(t,"addRowBefore",(function(){return ce})),n.d(t,"cellAround",(function(){return g})),n.d(t,"colCount",(function(){return L})),n.d(t,"columnResizing",(function(){return Se})),n.d(t,"columnResizingPluginKey",(function(){return xe})),n.d(t,"deleteColumn",(function(){return ae})),n.d(t,"deleteRow",(function(){return he})),n.d(t,"deleteTable",(function(){return Le})),n.d(t,"findCell",(function(){return w})),n.d(t,"fixTables",(function(){return ee})),n.d(t,"fixTablesKey",(function(){return Q})),n.d(t,"goToNextCell",(function(){return we})),n.d(t,"handlePaste",(function(){return J})),n.d(t,"inSameTable",(function(){return b})),n.d(t,"isInTable",(function(){return y})),n.d(t,"mergeCells",(function(){return pe})),n.d(t,"moveCellForward",(function(){return k})),n.d(t,"nextCell",(function(){return T})),n.d(t,"removeColumn",(function(){return ie})),n.d(t,"removeRow",(function(){return de})),n.d(t,"rowIsHeader",(function(){return se})),n.d(t,"selectedRect",(function(){return te})),n.d(t,"selectionCell",(function(){return v})),n.d(t,"setCellAttr",(function(){return ge})),n.d(t,"splitCell",(function(){return me})),n.d(t,"splitCellWithType",(function(){return _e})),n.d(t,"tableEditing",(function(){return je})),n.d(t,"tableEditingKey",(function(){return _})),n.d(t,"tableNodes",(function(){return j})),n.d(t,"toggleHeader",(function(){return ve})),n.d(t,"toggleHeaderCell",(function(){return be})),n.d(t,"toggleHeaderColumn",(function(){return ke})),n.d(t,"toggleHeaderRow",(function(){return Me})),n.d(t,"updateColumnsOnResize",(function(){return De}));var r,o,i=n(181),a=n(182),s=n(222),u=n(190),c=n(184);if("undefined"!=typeof WeakMap){var l=new WeakMap;r=function(e){return l.get(e)},o=function(e,t){return l.set(e,t),t}}else{var d=[],h=0;r=function(e){for(var t=0;t<d.length;t+=2)if(d[t]==e)return d[t+1]},o=function(e,t){return 10==h&&(h=0),d[h++]=e,d[h++]=t}}var f=function(e,t,n,r){this.left=e,this.top=t,this.right=n,this.bottom=r},p=function(e,t,n,r){this.width=e,this.height=t,this.map=n,this.problems=r};function m(e){if(e.colwidth)return e.colwidth.slice();for(var t=[],n=0;n<e.colspan;n++)t.push(0);return t}p.prototype.findCell=function(e){for(var t=0;t<this.map.length;t++){var n=this.map[t];if(n==e){for(var r=t%this.width,o=t/this.width|0,i=r+1,a=o+1,s=1;i<this.width&&this.map[t+s]==n;s++)i++;for(var u=1;a<this.height&&this.map[t+this.width*u]==n;u++)a++;return new f(r,o,i,a)}}throw new RangeError("No cell with offset "+e+" found")},p.prototype.colCount=function(e){for(var t=0;t<this.map.length;t++)if(this.map[t]==e)return t%this.width;throw new RangeError("No cell with offset "+e+" found")},p.prototype.nextCell=function(e,t,n){var r=this.findCell(e),o=r.left,i=r.right,a=r.top,s=r.bottom;return"horiz"==t?(n<0?0==o:i==this.width)?null:this.map[a*this.width+(n<0?o-1:i)]:(n<0?0==a:s==this.height)?null:this.map[o+this.width*(n<0?a-1:s)]},p.prototype.rectBetween=function(e,t){var n=this.findCell(e),r=n.left,o=n.right,i=n.top,a=n.bottom,s=this.findCell(t),u=s.left,c=s.right,l=s.top,d=s.bottom;return new f(Math.min(r,u),Math.min(i,l),Math.max(o,c),Math.max(a,d))},p.prototype.cellsInRect=function(e){for(var t=[],n={},r=e.top;r<e.bottom;r++)for(var o=e.left;o<e.right;o++){var i=r*this.width+o,a=this.map[i];n[a]||(n[a]=!0,o==e.left&&o&&this.map[i-1]==a||r==e.top&&r&&this.map[i-this.width]==a||t.push(a))}return t},p.prototype.positionAt=function(e,t,n){for(var r=0,o=0;;r++){var i=o+n.child(r).nodeSize;if(r==e){for(var a=t+e*this.width,s=(e+1)*this.width;a<s&&this.map[a]<o;)a++;return a==s?i-1:this.map[a]}o=i}},p.get=function(e){return r(e)||o(e,function(e){if("table"!=e.type.spec.tableRole)throw new RangeError("Not a table node: "+e.type.name);for(var t=function(e){for(var t=-1,n=!1,r=0;r<e.childCount;r++){var o=e.child(r),i=0;if(n)for(var a=0;a<r;a++)for(var s=e.child(a),u=0;u<s.childCount;u++){var c=s.child(u);a+c.attrs.rowspan>r&&(i+=c.attrs.colspan)}for(var l=0;l<o.childCount;l++){var d=o.child(l);i+=d.attrs.colspan,d.attrs.rowspan>1&&(n=!0)}-1==t?t=i:t!=i&&(t=Math.max(t,i))}return t}(e),n=e.childCount,r=[],o=0,i=null,a=[],s=0,u=t*n;s<u;s++)r[s]=0;for(var c=0,l=0;c<n;c++){var d=e.child(c);l++;for(var h=0;;h++){for(;o<r.length&&0!=r[o];)o++;if(h==d.childCount)break;for(var f=d.child(h),_=f.attrs,g=_.colspan,y=_.rowspan,v=_.colwidth,M=0;M<y;M++){if(M+c>=n){(i||(i=[])).push({type:"overlong_rowspan",pos:l,n:y-M});break}for(var k=o+M*t,b=0;b<g;b++){0==r[k+b]?r[k+b]=l:(i||(i=[])).push({type:"collision",row:c,pos:l,n:g-b});var w=v&&v[b];if(w){var L=(k+b)%t*2,T=a[L];null==T||T!=w&&1==a[L+1]?(a[L]=w,a[L+1]=1):T==w&&a[L+1]++}}}o+=g,l+=f.nodeSize}for(var D=(c+1)*t,x=0;o<D;)0==r[o++]&&x++;x&&(i||(i=[])).push({type:"missing",row:c,n:x}),l++}for(var S=new p(t,n,r,i),Y=!1,O=0;!Y&&O<a.length;O+=2)null!=a[O]&&a[O+1]<n&&(Y=!0);Y&&function(e,t,n){e.problems||(e.problems=[]);for(var r=0,o={};r<e.map.length;r++){var i=e.map[r];if(!o[i]){o[i]=!0;for(var a=n.nodeAt(i),s=null,u=0;u<a.attrs.colspan;u++){var c=(r+u)%e.width,l=t[2*c];null==l||a.attrs.colwidth&&a.attrs.colwidth[u]==l||((s||(s=m(a.attrs)))[u]=l)}s&&e.problems.unshift({type:"colwidth mismatch",pos:i,colwidth:s})}}}(S,a,e);return S}(e))};var _=new i.PluginKey("selectingCells");function g(e){for(var t=e.depth-1;t>0;t--)if("row"==e.node(t).type.spec.tableRole)return e.node(0).resolve(e.before(t+1));return null}function y(e){for(var t=e.selection.$head,n=t.depth;n>0;n--)if("row"==t.node(n).type.spec.tableRole)return!0;return!1}function v(e){var t=e.selection;return t.$anchorCell?t.$anchorCell.pos>t.$headCell.pos?t.$anchorCell:t.$headCell:t.node&&"cell"==t.node.type.spec.tableRole?t.$anchor:g(t.$head)||function(e){for(var t=e.nodeAfter,n=e.pos;t;t=t.firstChild,n++){var r=t.type.spec.tableRole;if("cell"==r||"header_cell"==r)return e.doc.resolve(n)}for(var o=e.nodeBefore,i=e.pos;o;o=o.lastChild,i--){var a=o.type.spec.tableRole;if("cell"==a||"header_cell"==a)return e.doc.resolve(i-o.nodeSize)}}(t.$head)}function M(e){return"row"==e.parent.type.spec.tableRole&&e.nodeAfter}function k(e){return e.node(0).resolve(e.pos+e.nodeAfter.nodeSize)}function b(e,t){return e.depth==t.depth&&e.pos>=t.start(-1)&&e.pos<=t.end(-1)}function w(e){return p.get(e.node(-1)).findCell(e.pos-e.start(-1))}function L(e){return p.get(e.node(-1)).colCount(e.pos-e.start(-1))}function T(e,t,n){var r=e.start(-1),o=p.get(e.node(-1)).nextCell(e.pos-r,t,n);return null==o?null:e.node(0).resolve(r+o)}function D(e,t,n){var r={};for(var o in e)r[o]=e[o];return r[t]=n,r}function x(e,t,n){void 0===n&&(n=1);var r=D(e,"colspan",e.colspan-n);return r.colwidth&&(r.colwidth=r.colwidth.slice(),r.colwidth.splice(t,n),r.colwidth.some((function(e){return e>0}))||(r.colwidth=null)),r}function S(e,t,n){void 0===n&&(n=1);var r=D(e,"colspan",e.colspan+n);if(r.colwidth){r.colwidth=r.colwidth.slice();for(var o=0;o<n;o++)r.colwidth.splice(t,0,0)}return r}var Y=function(e){function t(t,n){void 0===n&&(n=t);var r=t.node(-1),o=p.get(r),a=t.start(-1),s=o.rectBetween(t.pos-a,n.pos-a),u=t.node(0),c=o.cellsInRect(s).filter((function(e){return e!=n.pos-a}));c.unshift(n.pos-a);var l=c.map((function(e){var t=r.nodeAt(e),n=e+a+1;return new i.SelectionRange(u.resolve(n),u.resolve(n+t.content.size))}));e.call(this,l[0].$from,l[0].$to,l),this.$anchorCell=t,this.$headCell=n}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.map=function(e,n){var r=e.resolve(n.map(this.$anchorCell.pos)),o=e.resolve(n.map(this.$headCell.pos));if(M(r)&&M(o)&&b(r,o)){var a=this.$anchorCell.node(-1)!=r.node(-1);return a&&this.isRowSelection()?t.rowSelection(r,o):a&&this.isColSelection()?t.colSelection(r,o):new t(r,o)}return i.TextSelection.between(r,o)},t.prototype.content=function(){for(var e=this.$anchorCell.node(-1),t=p.get(e),n=this.$anchorCell.start(-1),r=t.rectBetween(this.$anchorCell.pos-n,this.$headCell.pos-n),o={},i=[],s=r.top;s<r.bottom;s++){for(var u=[],c=s*t.width+r.left,l=r.left;l<r.right;l++,c++){var d=t.map[c];if(!o[d]){o[d]=!0;var h=t.findCell(d),f=e.nodeAt(d),m=r.left-h.left,_=h.right-r.right;if(m>0||_>0){var g=f.attrs;m>0&&(g=x(g,0,m)),_>0&&(g=x(g,g.colspan-_,_)),f=h.left<r.left?f.type.createAndFill(g):f.type.create(g,f.content)}if(h.top<r.top||h.bottom>r.bottom){var y=D(f.attrs,"rowspan",Math.min(h.bottom,r.bottom)-Math.max(h.top,r.top));f=h.top<r.top?f.type.createAndFill(y):f.type.create(y,f.content)}u.push(f)}}i.push(e.child(s).copy(a.Fragment.from(u)))}var v=this.isColSelection()&&this.isRowSelection()?e:i;return new a.Slice(a.Fragment.from(v),1,1)},t.prototype.replace=function(t,n){void 0===n&&(n=a.Slice.empty);for(var r=t.steps.length,o=this.ranges,i=0;i<o.length;i++){var s=o[i],u=s.$from,c=s.$to,l=t.mapping.slice(r);t.replace(l.map(u.pos),l.map(c.pos),i?a.Slice.empty:n)}var d=e.findFrom(t.doc.resolve(t.mapping.slice(r).map(this.to)),-1);d&&t.setSelection(d)},t.prototype.replaceWith=function(e,t){this.replace(e,new a.Slice(a.Fragment.from(t),0,0))},t.prototype.forEachCell=function(e){for(var t=this.$anchorCell.node(-1),n=p.get(t),r=this.$anchorCell.start(-1),o=n.cellsInRect(n.rectBetween(this.$anchorCell.pos-r,this.$headCell.pos-r)),i=0;i<o.length;i++)e(t.nodeAt(o[i]),r+o[i])},t.prototype.isColSelection=function(){var e=this.$anchorCell.index(-1),t=this.$headCell.index(-1);if(Math.min(e,t)>0)return!1;var n=e+this.$anchorCell.nodeAfter.attrs.rowspan,r=t+this.$headCell.nodeAfter.attrs.rowspan;return Math.max(n,r)==this.$headCell.node(-1).childCount},t.colSelection=function(e,n){void 0===n&&(n=e);var r=p.get(e.node(-1)),o=e.start(-1),i=r.findCell(e.pos-o),a=r.findCell(n.pos-o),s=e.node(0);return i.top<=a.top?(i.top>0&&(e=s.resolve(o+r.map[i.left])),a.bottom<r.height&&(n=s.resolve(o+r.map[r.width*(r.height-1)+a.right-1]))):(a.top>0&&(n=s.resolve(o+r.map[a.left])),i.bottom<r.height&&(e=s.resolve(o+r.map[r.width*(r.height-1)+i.right-1]))),new t(e,n)},t.prototype.isRowSelection=function(){var e=p.get(this.$anchorCell.node(-1)),t=this.$anchorCell.start(-1),n=e.colCount(this.$anchorCell.pos-t),r=e.colCount(this.$headCell.pos-t);if(Math.min(n,r)>0)return!1;var o=n+this.$anchorCell.nodeAfter.attrs.colspan,i=r+this.$headCell.nodeAfter.attrs.colspan;return Math.max(o,i)==e.width},t.prototype.eq=function(e){return e instanceof t&&e.$anchorCell.pos==this.$anchorCell.pos&&e.$headCell.pos==this.$headCell.pos},t.rowSelection=function(e,n){void 0===n&&(n=e);var r=p.get(e.node(-1)),o=e.start(-1),i=r.findCell(e.pos-o),a=r.findCell(n.pos-o),s=e.node(0);return i.left<=a.left?(i.left>0&&(e=s.resolve(o+r.map[i.top*r.width])),a.right<r.width&&(n=s.resolve(o+r.map[r.width*(a.top+1)-1]))):(a.left>0&&(n=s.resolve(o+r.map[a.top*r.width])),i.right<r.width&&(e=s.resolve(o+r.map[r.width*(i.top+1)-1]))),new t(e,n)},t.prototype.toJSON=function(){return{type:"cell",anchor:this.$anchorCell.pos,head:this.$headCell.pos}},t.fromJSON=function(e,n){return new t(e.resolve(n.anchor),e.resolve(n.head))},t.create=function(e,n,r){return void 0===r&&(r=n),new t(e.resolve(n),e.resolve(r))},t.prototype.getBookmark=function(){return new O(this.$anchorCell.pos,this.$headCell.pos)},t}(i.Selection);Y.prototype.visible=!1,i.Selection.jsonID("cell",Y);var O=function(e,t){this.anchor=e,this.head=t};function C(e){if(!(e.selection instanceof Y))return null;var t=[];return e.selection.forEachCell((function(e,n){t.push(u.a.node(n,n+e.nodeSize,{class:"selectedCell"}))})),u.b.create(e.doc,t)}function E(e,t){var n=e.getAttribute("data-colwidth"),r=n&&/^\d+(,\d+)*$/.test(n)?n.split(",").map((function(e){return Number(e)})):null,o=Number(e.getAttribute("colspan")||1),i={colspan:o,rowspan:Number(e.getAttribute("rowspan")||1),colwidth:r&&r.length==o?r:null};for(var a in t){var s=t[a].getFromDOM,u=s&&s(e);null!=u&&(i[a]=u)}return i}function A(e,t){var n={};for(var r in 1!=e.attrs.colspan&&(n.colspan=e.attrs.colspan),1!=e.attrs.rowspan&&(n.rowspan=e.attrs.rowspan),e.attrs.colwidth&&(n["data-colwidth"]=e.attrs.colwidth.join(",")),t){var o=t[r].setDOMAttr;o&&o(e.attrs[r],n)}return n}function j(e){var t=e.cellAttributes||{},n={colspan:{default:1},rowspan:{default:1},colwidth:{default:null}};for(var r in t)n[r]={default:t[r].default};return{table:{content:"table_row+",tableRole:"table",isolating:!0,group:e.tableGroup,parseDOM:[{tag:"table"}],toDOM:function(){return["table",["tbody",0]]}},table_row:{content:"(table_cell | table_header)*",tableRole:"row",parseDOM:[{tag:"tr"}],toDOM:function(){return["tr",0]}},table_cell:{content:e.cellContent,attrs:n,tableRole:"cell",isolating:!0,parseDOM:[{tag:"td",getAttrs:function(e){return E(e,t)}}],toDOM:function(e){return["td",A(e,t),0]}},table_header:{content:e.cellContent,attrs:n,tableRole:"header_cell",isolating:!0,parseDOM:[{tag:"th",getAttrs:function(e){return E(e,t)}}],toDOM:function(e){return["th",A(e,t),0]}}}}function H(e){var t=e.cached.tableNodeTypes;if(!t)for(var n in t=e.cached.tableNodeTypes={},e.nodes){var r=e.nodes[n],o=r.spec.tableRole;o&&(t[o]=r)}return t}function P(e){if(!e.size)return null;for(var t=e.content,n=e.openStart,r=e.openEnd;1==t.childCount&&(n>0&&r>0||"table"==t.firstChild.type.spec.tableRole);)n--,r--,t=t.firstChild.content;var o=t.firstChild,i=o.type.spec.tableRole,s=o.type.schema,u=[];if("row"==i)for(var c=0;c<t.childCount;c++){var l=t.child(c).content,d=c?0:Math.max(0,n-1),h=c<t.childCount-1?0:Math.max(0,r-1);(d||h)&&(l=N(H(s).row,new a.Slice(l,d,h)).content),u.push(l)}else{if("cell"!=i&&"header_cell"!=i)return null;u.push(n||r?N(H(s).row,new a.Slice(t,n,r)).content:t)}return function(e,t){for(var n=[],r=0;r<t.length;r++)for(var o=t[r],i=o.childCount-1;i>=0;i--)for(var s=o.child(i).attrs,u=s.rowspan,c=s.colspan,l=r;l<r+u;l++)n[l]=(n[l]||0)+c;for(var d=0,h=0;h<n.length;h++)d=Math.max(d,n[h]);for(var f=0;f<n.length;f++)if(f>=t.length&&t.push(a.Fragment.empty),n[f]<d){for(var p=H(e).cell.createAndFill(),m=[],_=n[f];_<d;_++)m.push(p);t[f]=t[f].append(a.Fragment.from(m))}return{height:t.length,width:d,rows:t}}(s,u)}function N(e,t){var n=e.createAndFill();return new c.e(n).replace(0,n.content.size,t).doc}function R(e,t,n){var r=e.width,o=e.height,i=e.rows;if(r!=t){for(var s=[],u=[],c=0;c<i.length;c++){for(var l=i[c],d=[],h=s[c]||0,f=0;h<t;f++){var p=l.child(f%l.childCount);h+p.attrs.colspan>t&&(p=p.type.create(x(p.attrs,p.attrs.colspan,h+p.attrs.colspan-t),p.content)),d.push(p),h+=p.attrs.colspan;for(var m=1;m<p.attrs.rowspan;m++)s[c+m]=(s[c+m]||0)+p.attrs.colspan}u.push(a.Fragment.from(d))}i=u,r=t}if(o!=n){for(var _=[],g=0,y=0;g<n;g++,y++){for(var v=[],M=i[y%o],k=0;k<M.childCount;k++){var b=M.child(k);g+b.attrs.rowspan>n&&(b=b.type.create(D(b.attrs,"rowspan",n-b.attrs.rowspan),b.content)),v.push(b)}_.push(a.Fragment.from(v))}i=_,o=n}return{width:r,height:o,rows:i}}function F(e,t,n,r,o,i,a,s){if(0==a||a==t.height)return!1;for(var u=!1,c=o;c<i;c++){var l=a*t.width+c,d=t.map[l];if(t.map[l-t.width]==d){u=!0;var h=n.nodeAt(d),f=t.findCell(d),p=f.top,m=f.left;e.setNodeMarkup(e.mapping.slice(s).map(d+r),null,D(h.attrs,"rowspan",a-p)),e.insert(e.mapping.slice(s).map(t.positionAt(a,m,n)),h.type.createAndFill(D(h.attrs,"rowspan",p+h.attrs.rowspan-a))),c+=h.attrs.colspan-1}}return u}function I(e,t,n,r,o,i,a,s){if(0==a||a==t.width)return!1;for(var u=!1,c=o;c<i;c++){var l=c*t.width+a,d=t.map[l];if(t.map[l-1]==d){u=!0;var h=n.nodeAt(d),f=t.colCount(d),p=e.mapping.slice(s).map(d+r);e.setNodeMarkup(p,null,x(h.attrs,a-f,h.attrs.colspan-(a-f))),e.insert(p+h.nodeSize,h.type.createAndFill(x(h.attrs,0,a-f))),c+=h.attrs.rowspan-1}}return u}function z(e,t,n,r,o){var i=n?e.doc.nodeAt(n-1):e.doc,s=p.get(i),u=r.top,c=r.left,l=c+o.width,d=u+o.height,h=e.tr,f=0;function m(){i=n?h.doc.nodeAt(n-1):h.doc,s=p.get(i),f=h.mapping.maps.length}(function(e,t,n,r,o,i,s){var u,c,l=H(e.doc.type.schema);if(o>t.width)for(var d=0,h=0;d<t.height;d++){var f=n.child(d);h+=f.nodeSize;var p=[],m=void 0;m=null==f.lastChild||f.lastChild.type==l.cell?u||(u=l.cell.createAndFill()):c||(c=l.header_cell.createAndFill());for(var _=t.width;_<o;_++)p.push(m);e.insert(e.mapping.slice(s).map(h-1+r),p)}if(i>t.height){for(var g=[],y=0,v=(t.height-1)*t.width;y<Math.max(t.width,o);y++){var M=!(y>=t.width)&&n.nodeAt(t.map[v+y]).type==l.header_cell;g.push(M?c||(c=l.header_cell.createAndFill()):u||(u=l.cell.createAndFill()))}for(var k=l.row.create(null,a.Fragment.from(g)),b=[],w=t.height;w<i;w++)b.push(k);e.insert(e.mapping.slice(s).map(r+n.nodeSize-2),b)}return!(!u&&!c)})(h,s,i,n,l,d,f)&&m(),F(h,s,i,n,c,l,u,f)&&m(),F(h,s,i,n,c,l,d,f)&&m(),I(h,s,i,n,u,d,c,f)&&m(),I(h,s,i,n,u,d,l,f)&&m();for(var _=u;_<d;_++){var g=s.positionAt(_,c,i),y=s.positionAt(_,l,i);h.replace(h.mapping.slice(f).map(g+n),h.mapping.slice(f).map(y+n),new a.Slice(o.rows[_-u],0,0))}m(),h.setSelection(new Y(h.doc.resolve(n+s.positionAt(u,c,i)),h.doc.resolve(n+s.positionAt(d-1,l-1,i)))),t(h)}O.prototype.map=function(e){return new O(e.map(this.anchor),e.map(this.head))},O.prototype.resolve=function(e){var t=e.resolve(this.anchor),n=e.resolve(this.head);return"row"==t.parent.type.spec.tableRole&&"row"==n.parent.type.spec.tableRole&&t.index()<t.parent.childCount&&n.index()<n.parent.childCount&&b(t,n)?new Y(t,n):i.Selection.near(n,1)};var W=Object(s.a)({ArrowLeft:B("horiz",-1),ArrowRight:B("horiz",1),ArrowUp:B("vert",-1),ArrowDown:B("vert",1),"Shift-ArrowLeft":q("horiz",-1),"Shift-ArrowRight":q("horiz",1),"Shift-ArrowUp":q("vert",-1),"Shift-ArrowDown":q("vert",1),Backspace:U,"Mod-Backspace":U,Delete:U,"Mod-Delete":U});function $(e,t,n){return!n.eq(e.selection)&&(t&&t(e.tr.setSelection(n).scrollIntoView()),!0)}function B(e,t){return function(n,r,o){var a=n.selection;if(a instanceof Y)return $(n,r,i.Selection.near(a.$headCell,t));if("horiz"!=e&&!a.empty)return!1;var s=K(o,e,t);if(null==s)return!1;if("horiz"==e)return $(n,r,i.Selection.near(n.doc.resolve(a.head+t),t));var u,c=n.doc.resolve(s),l=T(c,e,t);return u=l?i.Selection.near(l,1):t<0?i.Selection.near(n.doc.resolve(c.before(-1)),-1):i.Selection.near(n.doc.resolve(c.after(-1)),1),$(n,r,u)}}function q(e,t){return function(n,r,o){var i=n.selection;if(!(i instanceof Y)){var a=K(o,e,t);if(null==a)return!1;i=new Y(n.doc.resolve(a))}var s=T(i.$headCell,e,t);return!!s&&$(n,r,new Y(i.$anchorCell,s))}}function U(e,t){var n=e.selection;if(!(n instanceof Y))return!1;if(t){var r=e.tr,o=H(e.schema).cell.createAndFill().content;n.forEachCell((function(e,t){e.content.eq(o)||r.replace(r.mapping.map(t+1),r.mapping.map(t+e.nodeSize-1),new a.Slice(o,0,0))})),r.docChanged&&t(r)}return!0}function V(e,t){var n=g(e.state.doc.resolve(t));return!!n&&(e.dispatch(e.state.tr.setSelection(new Y(n))),!0)}function J(e,t,n){if(!y(e.state))return!1;var r=P(n),o=e.state.selection;if(o instanceof Y){r||(r={width:1,height:1,rows:[a.Fragment.from(N(H(e.state.schema).cell,n))]});var i=o.$anchorCell.node(-1),s=o.$anchorCell.start(-1),u=p.get(i).rectBetween(o.$anchorCell.pos-s,o.$headCell.pos-s);return r=R(r,u.right-u.left,u.bottom-u.top),z(e.state,e.dispatch,s,u,r),!0}if(r){var c=v(e.state),l=c.start(-1);return z(e.state,e.dispatch,l,p.get(c.node(-1)).findCell(c.pos-l),r),!0}return!1}function G(e,t){if(!t.ctrlKey&&!t.metaKey){var n,r=Z(e,t.target);if(t.shiftKey&&e.state.selection instanceof Y)o(e.state.selection.$anchorCell,t),t.preventDefault();else if(t.shiftKey&&r&&null!=(n=g(e.state.selection.$anchor))&&X(e,t).pos!=n.pos)o(n,t),t.preventDefault();else if(!r)return;e.root.addEventListener("mouseup",i),e.root.addEventListener("dragstart",i),e.root.addEventListener("mousemove",a)}function o(t,n){var r=X(e,n),o=null==_.getState(e.state);if(!r||!b(t,r)){if(!o)return;r=t}var i=new Y(t,r);if(o||!e.state.selection.eq(i)){var a=e.state.tr.setSelection(i);o&&a.setMeta(_,t.pos),e.dispatch(a)}}function i(){e.root.removeEventListener("mouseup",i),e.root.removeEventListener("dragstart",i),e.root.removeEventListener("mousemove",a),null!=_.getState(e.state)&&e.dispatch(e.state.tr.setMeta(_,-1))}function a(n){var a,s=_.getState(e.state);if(null!=s)a=e.state.doc.resolve(s);else if(Z(e,n.target)!=r&&!(a=X(e,t)))return i();a&&o(a,n)}}function K(e,t,n){if(!(e.state.selection instanceof i.TextSelection))return null;for(var r=e.state.selection.$head,o=r.depth-1;o>=0;o--){var a=r.node(o);if((n<0?r.index(o):r.indexAfter(o))!=(n<0?0:a.childCount))return null;if("cell"==a.type.spec.tableRole||"header_cell"==a.type.spec.tableRole){var s=r.before(o),u="vert"==t?n>0?"down":"up":n>0?"right":"left";return e.endOfTextblock(u)?s:null}}return null}function Z(e,t){for(;t&&t!=e.dom;t=t.parentNode)if("TD"==t.nodeName||"TH"==t.nodeName)return t}function X(e,t){var n=e.posAtCoords({left:t.clientX,top:t.clientY});return n&&n?g(e.state.doc.resolve(n.pos)):null}var Q=new i.PluginKey("fix-tables");function ee(e,t){var n,r=function(t,r){"table"==t.type.spec.tableRole&&(n=function(e,t,n,r){var o=p.get(t);if(!o.problems)return r;r||(r=e.tr);for(var i,a,s=[],u=0;u<o.height;u++)s.push(0);for(var c=0;c<o.problems.length;c++){var l=o.problems[c];if("collision"==l.type){for(var d=t.nodeAt(l.pos),h=0;h<d.attrs.rowspan;h++)s[l.row+h]+=l.n;r.setNodeMarkup(r.mapping.map(n+1+l.pos),null,x(d.attrs,d.attrs.colspan-l.n,l.n))}else if("missing"==l.type)s[l.row]+=l.n;else if("overlong_rowspan"==l.type){var f=t.nodeAt(l.pos);r.setNodeMarkup(r.mapping.map(n+1+l.pos),null,D(f.attrs,"rowspan",f.attrs.rowspan-l.n))}else if("colwidth mismatch"==l.type){var m=t.nodeAt(l.pos);r.setNodeMarkup(r.mapping.map(n+1+l.pos),null,D(m.attrs,"colwidth",l.colwidth))}}for(var _=0;_<s.length;_++)s[_]&&(null==i&&(i=_),a=_);for(var g=0,y=n+1;g<o.height;g++){var v=t.child(g),M=y+v.nodeSize,k=s[g];if(k>0){var b="cell";v.firstChild&&(b=v.firstChild.type.spec.tableRole);for(var w=[],L=0;L<k;L++)w.push(H(e.schema)[b].createAndFill());var T=0!=g&&i!=g-1||a!=g?M-1:y+1;r.insert(r.mapping.map(T),w)}y=M}return r.setMeta(Q,{fixTables:!0})}(e,t,r,n))};return t?t.doc!=e.doc&&function e(t,n,r,o){var i=t.childCount,a=n.childCount;e:for(var s=0,u=0;s<a;s++){for(var c=n.child(s),l=u,d=Math.min(i,s+3);l<d;l++)if(t.child(l)==c){u=l+1,r+=c.nodeSize;continue e}o(c,r),u<i&&t.child(u).sameMarkup(c)?e(t.child(u),c,r+1,o):c.nodesBetween(0,c.content.size,o,r+1),r+=c.nodeSize}}(t.doc,e.doc,0,r):e.doc.descendants(r),n}function te(e){var t,n=e.selection,r=v(e),o=r.node(-1),i=r.start(-1),a=p.get(o);return(t=n instanceof Y?a.rectBetween(n.$anchorCell.pos-i,n.$headCell.pos-i):a.findCell(r.pos-i)).tableStart=i,t.map=a,t.table=o,t}function ne(e,t,n){var r=t.map,o=t.tableStart,i=t.table,a=n>0?-1:0;(function(e,t,n){for(var r=H(t.type.schema).header_cell,o=0;o<e.height;o++)if(t.nodeAt(e.map[n+o*e.width]).type!=r)return!1;return!0})(r,i,n+a)&&(a=0==n||n==r.width?null:0);for(var s=0;s<r.height;s++){var u=s*r.width+n;if(n>0&&n<r.width&&r.map[u-1]==r.map[u]){var c=r.map[u],l=i.nodeAt(c);e.setNodeMarkup(e.mapping.map(o+c),null,S(l.attrs,n-r.colCount(c))),s+=l.attrs.rowspan-1}else{var d=null==a?H(i.type.schema).cell:i.nodeAt(r.map[u+a]).type,h=r.positionAt(s,n,i);e.insert(e.mapping.map(o+h),d.createAndFill())}}return e}function re(e,t){if(!y(e))return!1;if(t){var n=te(e);t(ne(e.tr,n,n.left))}return!0}function oe(e,t){if(!y(e))return!1;if(t){var n=te(e);t(ne(e.tr,n,n.right))}return!0}function ie(e,t,n){for(var r=t.map,o=t.table,i=t.tableStart,a=e.mapping.maps.length,s=0;s<r.height;){var u=s*r.width+n,c=r.map[u],l=o.nodeAt(c);if(n>0&&r.map[u-1]==c||n<r.width-1&&r.map[u+1]==c)e.setNodeMarkup(e.mapping.slice(a).map(i+c),null,x(l.attrs,n-r.colCount(c)));else{var d=e.mapping.slice(a).map(i+c);e.delete(d,d+l.nodeSize)}s+=l.attrs.rowspan}}function ae(e,t){if(!y(e))return!1;if(t){var n=te(e),r=e.tr;if(0==n.left&&n.right==n.map.width)return!1;for(var o=n.right-1;ie(r,n,o),o!=n.left;o--)n.table=n.tableStart?r.doc.nodeAt(n.tableStart-1):r.doc,n.map=p.get(n.table);t(r)}return!0}function se(e,t,n){for(var r=H(t.type.schema).header_cell,o=0;o<e.width;o++)if(t.nodeAt(e.map[o+n*e.width]).type!=r)return!1;return!0}function ue(e,t,n){for(var r=t.map,o=t.tableStart,i=t.table,a=o,s=0;s<n;s++)a+=i.child(s).nodeSize;var u=[],c=n>0?-1:0;se(r,i,n+c)&&(c=0==n||n==r.height?null:0);for(var l=0,d=r.width*n;l<r.width;l++,d++)if(n>0&&n<r.height&&r.map[d]==r.map[d-r.width]){var h=r.map[d],f=i.nodeAt(h).attrs;e.setNodeMarkup(o+h,null,D(f,"rowspan",f.rowspan+1)),l+=f.colspan-1}else{var p=null==c?H(i.type.schema).cell:i.nodeAt(r.map[d+c*r.width]).type;u.push(p.createAndFill())}return e.insert(a,H(i.type.schema).row.create(null,u)),e}function ce(e,t){if(!y(e))return!1;if(t){var n=te(e);t(ue(e.tr,n,n.top))}return!0}function le(e,t){if(!y(e))return!1;if(t){var n=te(e);t(ue(e.tr,n,n.bottom))}return!0}function de(e,t,n){for(var r=t.map,o=t.table,i=t.tableStart,a=0,s=0;s<n;s++)a+=o.child(s).nodeSize;var u=a+o.child(n).nodeSize,c=e.mapping.maps.length;e.delete(a+i,u+i);for(var l=0,d=n*r.width;l<r.width;l++,d++){var h=r.map[d];if(n>0&&h==r.map[d-r.width]){var f=o.nodeAt(h).attrs;e.setNodeMarkup(e.mapping.slice(c).map(h+i),null,D(f,"rowspan",f.rowspan-1)),l+=f.colspan-1}else if(n<r.width&&h==r.map[d+r.width]){var p=o.nodeAt(h),m=p.type.create(D(p.attrs,"rowspan",p.attrs.rowspan-1),p.content),_=r.positionAt(n+1,l,o);e.insert(e.mapping.slice(c).map(i+_),m),l+=p.attrs.colspan-1}}}function he(e,t){if(!y(e))return!1;if(t){var n=te(e),r=e.tr;if(0==n.top&&n.bottom==n.map.height)return!1;for(var o=n.bottom-1;de(r,n,o),o!=n.top;o--)n.table=n.tableStart?r.doc.nodeAt(n.tableStart-1):r.doc,n.map=p.get(n.table);t(r)}return!0}function fe(e){var t=e.content;return 1==t.childCount&&t.firstChild.isTextblock&&0==t.firstChild.childCount}function pe(e,t){var n=e.selection;if(!(n instanceof Y)||n.$anchorCell.pos==n.$headCell.pos)return!1;var r=te(e),o=r.map;if(function(e,t){for(var n=e.width,r=e.height,o=e.map,i=t.top*n+t.left,a=i,s=(t.bottom-1)*n+t.left,u=i+(t.right-t.left-1),c=t.top;c<t.bottom;c++){if(t.left>0&&o[a]==o[a-1]||t.right<n&&o[u]==o[u+1])return!0;a+=n,u+=n}for(var l=t.left;l<t.right;l++){if(t.top>0&&o[i]==o[i-n]||t.bottom<r&&o[s]==o[s+n])return!0;i++,s++}return!1}(o,r))return!1;if(t){for(var i,s,u=e.tr,c={},l=a.Fragment.empty,d=r.top;d<r.bottom;d++)for(var h=r.left;h<r.right;h++){var f=o.map[d*o.width+h],p=r.table.nodeAt(f);if(!c[f])if(c[f]=!0,null==i)i=f,s=p;else{fe(p)||(l=l.append(p.content));var m=u.mapping.map(f+r.tableStart);u.delete(m,m+p.nodeSize)}}if(u.setNodeMarkup(i+r.tableStart,null,D(S(s.attrs,s.attrs.colspan,r.right-r.left-s.attrs.colspan),"rowspan",r.bottom-r.top)),l.size){var _=i+1+s.content.size,g=fe(s)?i+1:_;u.replaceWith(g+r.tableStart,_+r.tableStart,l)}u.setSelection(new Y(u.doc.resolve(i+r.tableStart))),t(u)}return!0}function me(e,t){var n=H(e.schema);return _e((function(e){var t=e.node;return n[t.type.spec.tableRole]}))(e,t)}function _e(e){return function(t,n){var r,o,i=t.selection;if(i instanceof Y){if(i.$anchorCell.pos!=i.$headCell.pos)return!1;r=i.$anchorCell.nodeAfter,o=i.$anchorCell.pos}else{if(!(r=function(e){for(var t=e.depth;t>0;t--){var n=e.node(t).type.spec.tableRole;if("cell"===n||"header_cell"===n)return e.node(t)}return null}(i.$from)))return!1;o=g(i.$from).pos}if(1==r.attrs.colspan&&1==r.attrs.rowspan)return!1;if(n){var a=r.attrs,s=[],u=a.colwidth;a.rowspan>1&&(a=D(a,"rowspan",1)),a.colspan>1&&(a=D(a,"colspan",1));for(var c,l=te(t),d=t.tr,h=0;h<l.right-l.left;h++)s.push(u?D(a,"colwidth",u&&u[h]?[u[h]]:null):a);for(var f=l.top;f<l.bottom;f++){var p=l.map.positionAt(f,l.left,l.table);f==l.top&&(p+=r.nodeSize);for(var m=l.left,_=0;m<l.right;m++,_++)m==l.left&&f==l.top||d.insert(c=d.mapping.map(p+l.tableStart,1),e({node:r,row:f,col:m}).createAndFill(s[_]))}d.setNodeMarkup(o,e({node:r,row:l.top,col:l.left}),s[0]),i instanceof Y&&d.setSelection(new Y(d.doc.resolve(i.$anchorCell.pos),c&&d.doc.resolve(c))),n(d)}return!0}}function ge(e,t){return function(n,r){if(!y(n))return!1;var o=v(n);if(o.nodeAfter.attrs[e]===t)return!1;if(r){var i=n.tr;n.selection instanceof Y?n.selection.forEachCell((function(n,r){n.attrs[e]!==t&&i.setNodeMarkup(r,null,D(n.attrs,e,t))})):i.setNodeMarkup(o.pos,null,D(o.nodeAfter.attrs,e,t)),r(i)}return!0}}function ye(e,t,n){for(var r=t.map.cellsInRect({left:0,top:0,right:"row"==e?t.map.width:1,bottom:"column"==e?t.map.height:1}),o=0;o<r.length;o++){var i=t.table.nodeAt(r[o]);if(i&&i.type!==n.header_cell)return!1}return!0}function ve(e,t){return(t=t||{useDeprecatedLogic:!1}).useDeprecatedLogic?function(e){return function(t,n){if(!y(t))return!1;if(n){for(var r=H(t.schema),o=te(t),i=t.tr,a=o.map.cellsInRect("column"==e?new f(o.left,0,o.right,o.map.height):"row"==e?new f(0,o.top,o.map.width,o.bottom):o),s=a.map((function(e){return o.table.nodeAt(e)})),u=0;u<a.length;u++)s[u].type==r.header_cell&&i.setNodeMarkup(o.tableStart+a[u],r.cell,s[u].attrs);if(0==i.steps.length)for(var c=0;c<a.length;c++)i.setNodeMarkup(o.tableStart+a[c],r.header_cell,s[c].attrs);n(i)}return!0}}(e):function(t,n){if(!y(t))return!1;if(n){var r=H(t.schema),o=te(t),i=t.tr,a=ye("row",o,r),s=ye("column",o,r),u=("column"===e?a:"row"===e&&s)?1:0,c="column"==e?new f(0,u,1,o.map.height):"row"==e?new f(u,0,o.map.width,1):o,l="column"==e?s?r.cell:r.header_cell:"row"==e?a?r.cell:r.header_cell:r.cell;o.map.cellsInRect(c).forEach((function(e){var t=e+o.tableStart,n=i.doc.nodeAt(t);n&&i.setNodeMarkup(t,l,n.attrs)})),n(i)}return!0}}var Me=ve("row",{useDeprecatedLogic:!0}),ke=ve("column",{useDeprecatedLogic:!0}),be=ve("cell",{useDeprecatedLogic:!0});function we(e){return function(t,n){if(!y(t))return!1;var r=function(e,t){if(t<0){var n=e.nodeBefore;if(n)return e.pos-n.nodeSize;for(var r=e.index(-1)-1,o=e.before();r>=0;r--){var i=e.node(-1).child(r);if(i.childCount)return o-1-i.lastChild.nodeSize;o-=i.nodeSize}}else{if(e.index()<e.parent.childCount-1)return e.pos+e.nodeAfter.nodeSize;for(var a=e.node(-1),s=e.indexAfter(-1),u=e.after();s<a.childCount;s++){var c=a.child(s);if(c.childCount)return u+1;u+=c.nodeSize}}}(v(t),e);if(null!=r){if(n){var o=t.doc.resolve(r);n(t.tr.setSelection(i.TextSelection.between(o,k(o))).scrollIntoView())}return!0}}}function Le(e,t){for(var n=e.selection.$anchor,r=n.depth;r>0;r--){if("table"==n.node(r).type.spec.tableRole)return t&&t(e.tr.delete(n.before(r),n.after(r)).scrollIntoView()),!0}return!1}var Te=function(e,t){this.node=e,this.cellMinWidth=t,this.dom=document.createElement("div"),this.dom.className="tableWrapper",this.table=this.dom.appendChild(document.createElement("table")),this.colgroup=this.table.appendChild(document.createElement("colgroup")),De(e,this.colgroup,this.table,t),this.contentDOM=this.table.appendChild(document.createElement("tbody"))};function De(e,t,n,r,o,i){for(var a=0,s=!0,u=t.firstChild,c=e.firstChild,l=0,d=0;l<c.childCount;l++)for(var h=c.child(l).attrs,f=h.colspan,p=h.colwidth,m=0;m<f;m++,d++){var _=o==d?i:p&&p[m],g=_?_+"px":"";a+=_||r,_||(s=!1),u?(u.style.width!=g&&(u.style.width=g),u=u.nextSibling):t.appendChild(document.createElement("col")).style.width=g}for(;u;){var y=u.nextSibling;u.parentNode.removeChild(u),u=y}s?(n.style.width=a+"px",n.style.minWidth=""):(n.style.width="",n.style.minWidth=a+"px")}Te.prototype.update=function(e){return e.type==this.node.type&&(this.node=e,De(e,this.colgroup,this.table,this.cellMinWidth),!0)},Te.prototype.ignoreMutation=function(e){return"attributes"==e.type&&(e.target==this.table||this.colgroup.contains(e.target))};var xe=new i.PluginKey("tableColumnResizing");function Se(e){void 0===e&&(e={});var t=e.handleWidth;void 0===t&&(t=5);var n=e.cellMinWidth;void 0===n&&(n=25);var r=e.View;void 0===r&&(r=Te);var o=e.lastColumnResizable;return void 0===o&&(o=!0),new i.Plugin({key:xe,state:{init:function(e,t){return this.spec.props.nodeViews[H(t.schema).table.name]=function(e,t){return new r(e,n,t)},new Ye(-1,!1)},apply:function(e,t){return t.apply(e)}},props:{attributes:function(e){return xe.getState(e).activeHandle>-1?{class:"resize-cursor"}:null},handleDOMEvents:{mousemove:function(e,n){!function(e,t,n,r,o){var i=xe.getState(e.state);if(!i.dragging){var a=function(e){for(;e&&"TD"!=e.nodeName&&"TH"!=e.nodeName;)e=e.classList.contains("ProseMirror")?null:e.parentNode;return e}(t.target),s=-1;if(a){var u=a.getBoundingClientRect(),c=u.left,l=u.right;t.clientX-c<=n?s=Oe(e,t,"left"):l-t.clientX<=n&&(s=Oe(e,t,"right"))}if(s!=i.activeHandle){if(!o&&-1!==s){var d=e.state.doc.resolve(s),h=d.node(-1),f=p.get(h),m=d.start(-1);if(f.colCount(d.pos-m)+d.nodeAfter.attrs.colspan-1==f.width-1)return}Ee(e,s)}}}(e,n,t,0,o)},mouseleave:function(e){!function(e){var t=xe.getState(e.state);t.activeHandle>-1&&!t.dragging&&Ee(e,-1)}(e)},mousedown:function(e,t){!function(e,t,n){var r=xe.getState(e.state);if(-1==r.activeHandle||r.dragging)return!1;var o=e.state.doc.nodeAt(r.activeHandle),i=function(e,t,n){var r=n.colspan,o=n.colwidth,i=o&&o[o.length-1];if(i)return i;var a=e.domAtPos(t),s=a.node.childNodes[a.offset].offsetWidth,u=r;if(o)for(var c=0;c<r;c++)o[c]&&(s-=o[c],u--);return s/u}(e,r.activeHandle,o.attrs);function a(t){window.removeEventListener("mouseup",a),window.removeEventListener("mousemove",s);var r=xe.getState(e.state);r.dragging&&(!function(e,t,n){for(var r=e.state.doc.resolve(t),o=r.node(-1),i=p.get(o),a=r.start(-1),s=i.colCount(r.pos-a)+r.nodeAfter.attrs.colspan-1,u=e.state.tr,c=0;c<i.height;c++){var l=c*i.width+s;if(!c||i.map[l]!=i.map[l-i.width]){var d=i.map[l],h=o.nodeAt(d).attrs,f=1==h.colspan?0:s-i.colCount(d);if(!h.colwidth||h.colwidth[f]!=n){var m=h.colwidth?h.colwidth.slice():Ae(h.colspan);m[f]=n,u.setNodeMarkup(a+d,null,D(h,"colwidth",m))}}}u.docChanged&&e.dispatch(u)}(e,r.activeHandle,Ce(r.dragging,t,n)),e.dispatch(e.state.tr.setMeta(xe,{setDragging:null})))}function s(t){if(!t.which)return a(t);var r=xe.getState(e.state),o=Ce(r.dragging,t,n);!function(e,t,n,r){var o=e.state.doc.resolve(t),i=o.node(-1),a=o.start(-1),s=p.get(i).colCount(o.pos-a)+o.nodeAfter.attrs.colspan-1,u=e.domAtPos(o.start(-1)).node;for(;"TABLE"!=u.nodeName;)u=u.parentNode;De(i,u.firstChild,u,r,s,n)}(e,r.activeHandle,o,n)}e.dispatch(e.state.tr.setMeta(xe,{setDragging:{startX:t.clientX,startWidth:i}})),window.addEventListener("mouseup",a),window.addEventListener("mousemove",s),t.preventDefault()}(e,t,n)}},decorations:function(e){var t=xe.getState(e);if(t.activeHandle>-1)return function(e,t){for(var n=[],r=e.doc.resolve(t),o=r.node(-1),i=p.get(o),a=r.start(-1),s=i.colCount(r.pos-a)+r.nodeAfter.attrs.colspan,c=0;c<i.height;c++){var l=s+c*i.width-1;if(!(s!=i.width&&i.map[l]==i.map[l+1]||0!=c&&i.map[l-1]==i.map[l-1-i.width])){var d=i.map[l],h=a+d+o.nodeAt(d).nodeSize-1,f=document.createElement("div");f.className="column-resize-handle",n.push(u.a.widget(h,f))}}return u.b.create(e.doc,n)}(e,t.activeHandle)},nodeViews:{}}})}var Ye=function(e,t){this.activeHandle=e,this.dragging=t};function Oe(e,t,n){var r=e.posAtCoords({left:t.clientX,top:t.clientY}).pos,o=g(e.state.doc.resolve(r));if(!o)return-1;if("right"==n)return o.pos;var i=p.get(o.node(-1)),a=o.start(-1),s=i.map.indexOf(o.pos-a);return s%i.width==0?-1:a+i.map[s-1]}function Ce(e,t,n){var r=t.clientX-e.startX;return Math.max(n,e.startWidth+r)}function Ee(e,t){e.dispatch(e.state.tr.setMeta(xe,{setHandle:t}))}function Ae(e){for(var t=[],n=0;n<e;n++)t.push(0);return t}function je(e){void 0===e&&(e={});var t=e.allowTableNodeSelection;return void 0===t&&(t=!1),new i.Plugin({key:_,state:{init:function(){return null},apply:function(e,t){var n=e.getMeta(_);if(null!=n)return-1==n?null:n;if(null==t||!e.docChanged)return t;var r=e.mapping.mapResult(t),o=r.deleted,i=r.pos;return o?null:i}},props:{decorations:C,handleDOMEvents:{mousedown:G},createSelectionBetween:function(e){if(null!=_.getState(e.state))return e.state.selection},handleTripleClick:V,handleKeyDown:W,handlePaste:J},appendTransaction:function(e,n,r){return function(e,t,n){var r,o,a=(t||e).selection,s=(t||e).doc;if(a instanceof i.NodeSelection&&(o=a.node.type.spec.tableRole)){if("cell"==o||"header_cell"==o)r=Y.create(s,a.from);else if("row"==o){var u=s.resolve(a.from+1);r=Y.rowSelection(u,u)}else if(!n){var c=p.get(a.node),l=a.from+1,d=l+c.map[c.width*c.height-1];r=Y.create(s,l+1,d)}}else a instanceof i.TextSelection&&function(e){var t=e.$from,n=e.$to;if(t.pos==n.pos||t.pos<t.pos-6)return!1;for(var r=t.pos,o=n.pos,i=t.depth;i>=0&&!(t.after(i+1)<t.end(i));i--,r++);for(var a=n.depth;a>=0&&!(n.before(a+1)>n.start(a));a--,o--);return r==o&&/row|table/.test(t.node(i).type.spec.tableRole)}(a)?r=i.TextSelection.create(s,a.from):a instanceof i.TextSelection&&function(e){for(var t,n,r=e.$from,o=e.$to,i=r.depth;i>0;i--){var a=r.node(i);if("cell"===a.type.spec.tableRole||"header_cell"===a.type.spec.tableRole){t=a;break}}for(var s=o.depth;s>0;s--){var u=o.node(s);if("cell"===u.type.spec.tableRole||"header_cell"===u.type.spec.tableRole){n=u;break}}return t!==n&&0===o.parentOffset}(a)&&(r=i.TextSelection.create(s,a.$from.start(),a.$from.end()));return r&&(t||(t=e.tr)).setSelection(r),t}(r,ee(r,n),t)}})}Ye.prototype.apply=function(e){var t=this,n=e.getMeta(xe);if(n&&null!=n.setHandle)return new Ye(n.setHandle,null);if(n&&void 0!==n.setDragging)return new Ye(t.activeHandle,n.setDragging);if(t.activeHandle>-1&&e.docChanged){var r=e.mapping.map(t.activeHandle,-1);M(e.doc.resolve(r))||(r=null),t=new Ye(r,t.dragging)}return t}},function(e,t,n){"use strict";e.exports=n(565)},function(e,t,n){"use strict";e.exports=n(566)},function(e,t,n){"use strict";e.exports.encode=n(567),e.exports.decode=n(568),e.exports.format=n(569),e.exports.parse=n(570)},function(e,t){e.exports=/[\0-\uD7FF\uE000-\uFFFF]|[\uD800-\uDBFF][\uDC00-\uDFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF]/},function(e,t){e.exports=/[\0-\x1F\x7F-\x9F]/},function(e,t){e.exports=/[ \xA0\u1680\u2000-\u200A\u2028\u2029\u202F\u205F\u3000]/},function(e,t,n){"use strict";var r="<[A-Za-z][A-Za-z0-9\\-]*(?:\\s+[a-zA-Z_:][a-zA-Z0-9:._-]*(?:\\s*=\\s*(?:[^\"'=<>`\\x00-\\x20]+|'[^']*'|\"[^\"]*\"))?)*\\s*\\/?>",o="<\\/[A-Za-z][A-Za-z0-9\\-]*\\s*>",i=new RegExp("^(?:"+r+"|"+o+"|\x3c!----\x3e|\x3c!--(?:-?[^>-])(?:-?[^-])*--\x3e|<[?].*?[?]>|<![A-Z]+\\s+[^>]*>|<!\\[CDATA\\[[\\s\\S]*?\\]\\]>)"),a=new RegExp("^(?:"+r+"|"+o+")");e.exports.HTML_TAG_RE=i,e.exports.HTML_OPEN_CLOSE_TAG_RE=a},function(e,t,n){"use strict";e.exports.tokenize=function(e,t){var n,r,o,i,a=e.pos,s=e.src.charCodeAt(a);if(t)return!1;if(126!==s)return!1;if(o=(r=e.scanDelims(e.pos,!0)).length,i=String.fromCharCode(s),o<2)return!1;for(o%2&&(e.push("text","",0).content=i,o--),n=0;n<o;n+=2)e.push("text","",0).content=i+i,e.delimiters.push({marker:s,jump:n,token:e.tokens.length-1,level:e.level,end:-1,open:r.can_open,close:r.can_close});return e.pos+=r.length,!0},e.exports.postProcess=function(e){var t,n,r,o,i,a=[],s=e.delimiters,u=e.delimiters.length;for(t=0;t<u;t++)126===(r=s[t]).marker&&-1!==r.end&&(o=s[r.end],(i=e.tokens[r.token]).type="s_open",i.tag="s",i.nesting=1,i.markup="~~",i.content="",(i=e.tokens[o.token]).type="s_close",i.tag="s",i.nesting=-1,i.markup="~~",i.content="","text"===e.tokens[o.token-1].type&&"~"===e.tokens[o.token-1].content&&a.push(o.token-1));for(;a.length;){for(n=(t=a.pop())+1;n<e.tokens.length&&"s_close"===e.tokens[n].type;)n++;t!==--n&&(i=e.tokens[n],e.tokens[n]=e.tokens[t],e.tokens[t]=i)}}},function(e,t,n){"use strict";e.exports.tokenize=function(e,t){var n,r,o=e.pos,i=e.src.charCodeAt(o);if(t)return!1;if(95!==i&&42!==i)return!1;for(r=e.scanDelims(e.pos,42===i),n=0;n<r.length;n++)e.push("text","",0).content=String.fromCharCode(i),e.delimiters.push({marker:i,length:r.length,jump:n,token:e.tokens.length-1,level:e.level,end:-1,open:r.can_open,close:r.can_close});return e.pos+=r.length,!0},e.exports.postProcess=function(e){var t,n,r,o,i,a,s=e.delimiters;for(t=e.delimiters.length-1;t>=0;t--)95!==(n=s[t]).marker&&42!==n.marker||-1!==n.end&&(r=s[n.end],a=t>0&&s[t-1].end===n.end+1&&s[t-1].token===n.token-1&&s[n.end+1].token===r.token+1&&s[t-1].marker===n.marker,i=String.fromCharCode(n.marker),(o=e.tokens[n.token]).type=a?"strong_open":"em_open",o.tag=a?"strong":"em",o.nesting=1,o.markup=a?i+i:i,o.content="",(o=e.tokens[r.token]).type=a?"strong_close":"em_close",o.tag=a?"strong":"em",o.nesting=-1,o.markup=a?i+i:i,o.content="",a&&(e.tokens[s[t-1].token].content="",e.tokens[s[n.end+1].token].content="",t--))}},,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,function(e,t,n){window,e.exports=function(e){var t={};function n(r){if(t[r])return t[r].exports;var o=t[r]={i:r,l:!1,exports:{}};return e[r].call(o.exports,o,o.exports,n),o.l=!0,o.exports}return n.m=e,n.c=t,n.d=function(e,t,r){n.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:r})},n.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},n.t=function(e,t){if(1&t&&(e=n(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var r=Object.create(null);if(n.r(r),Object.defineProperty(r,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)n.d(r,o,function(t){return e[t]}.bind(null,o));return r},n.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return n.d(t,"a",t),t},n.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},n.p="",n(n.s=3)}([function(e,t){e.exports=n(178)},function(e,t){e.exports=n(197)},function(e,t){e.exports=n(189)},function(e,t,n){"use strict";n.r(t);var r=n(0),o=n.n(r),i=n(1),a=n.n(i),s=n(2),u=new a.a,c=Object(s.getLocale)();[{locale:"ast",json:{charset:"utf-8",headers:{"Last-Translator":"enolp <enolp@softastur.org>, 2020","Language-Team":"Asturian (https://www.transifex.com/nextcloud/teams/64236/ast/)","Content-Type":"text/plain; charset=UTF-8",Language:"ast","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nenolp <enolp@softastur.org>, 2020\n"},msgstr:["Last-Translator: enolp <enolp@softastur.org>, 2020\nLanguage-Team: Asturian (https://www.transifex.com/nextcloud/teams/64236/ast/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ast\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundos"]}}}}},{locale:"cs_CZ",json:{charset:"utf-8",headers:{"Last-Translator":"Pavel Borecki <pavel.borecki@gmail.com>, 2020","Language-Team":"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)","Content-Type":"text/plain; charset=UTF-8",Language:"cs_CZ","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nPavel Borecki <pavel.borecki@gmail.com>, 2020\n"},msgstr:["Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: cs_CZ\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekund"]}}}}},{locale:"da",json:{charset:"utf-8",headers:{"Last-Translator":"Henrik Troels-Hansen <henrik@troels-hansen.dk>, 2020","Language-Team":"Danish (https://www.transifex.com/nextcloud/teams/64236/da/)","Content-Type":"text/plain; charset=UTF-8",Language:"da","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nHenrik Troels-Hansen <henrik@troels-hansen.dk>, 2020\n"},msgstr:["Last-Translator: Henrik Troels-Hansen <henrik@troels-hansen.dk>, 2020\nLanguage-Team: Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: da\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekunder"]}}}}},{locale:"de_DE",json:{charset:"utf-8",headers:{"Last-Translator":"Christoph Wurst <christoph@winzerhof-wurst.at>, 2020","Language-Team":"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)","Content-Type":"text/plain; charset=UTF-8",Language:"de_DE","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nChristoph Wurst <christoph@winzerhof-wurst.at>, 2020\n"},msgstr:["Last-Translator: Christoph Wurst <christoph@winzerhof-wurst.at>, 2020\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: de_DE\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["Sekunden"]}}}}},{locale:"el",json:{charset:"utf-8",headers:{"Last-Translator":"GRMarksman <alexakos01@gmail.com>, 2020","Language-Team":"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)","Content-Type":"text/plain; charset=UTF-8",Language:"el","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nGRMarksman <alexakos01@gmail.com>, 2020\n"},msgstr:["Last-Translator: GRMarksman <alexakos01@gmail.com>, 2020\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: el\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["δευτερόλεπτα"]}}}}},{locale:"en_GB",json:{charset:"utf-8",headers:{"Last-Translator":"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020","Language-Team":"English (United Kingdom) (https://www.transifex.com/nextcloud/teams/64236/en_GB/)","Content-Type":"text/plain; charset=UTF-8",Language:"en_GB","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\n"},msgstr:["Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\nLanguage-Team: English (United Kingdom) (https://www.transifex.com/nextcloud/teams/64236/en_GB/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: en_GB\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["seconds"]}}}}},{locale:"es",json:{charset:"utf-8",headers:{"Last-Translator":"Javier San Juan <javier.sj@trantor.es>, 2020","Language-Team":"Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)","Content-Type":"text/plain; charset=UTF-8",Language:"es","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nJavier San Juan <javier.sj@trantor.es>, 2020\n"},msgstr:["Last-Translator: Javier San Juan <javier.sj@trantor.es>, 2020\nLanguage-Team: Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: es\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundos"]}}}}},{locale:"eu",json:{charset:"utf-8",headers:{"Last-Translator":"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020","Language-Team":"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)","Content-Type":"text/plain; charset=UTF-8",Language:"eu","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\n"},msgstr:["Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: eu\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundo"]}}}}},{locale:"fr",json:{charset:"utf-8",headers:{"Last-Translator":"Yoplala <yoplala@pataraphe.net>, 2020","Language-Team":"French (https://www.transifex.com/nextcloud/teams/64236/fr/)","Content-Type":"text/plain; charset=UTF-8",Language:"fr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYoplala <yoplala@pataraphe.net>, 2020\n"},msgstr:["Last-Translator: Yoplala <yoplala@pataraphe.net>, 2020\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: fr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["secondes"]}}}}},{locale:"gl",json:{charset:"utf-8",headers:{"Last-Translator":"Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020","Language-Team":"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)","Content-Type":"text/plain; charset=UTF-8",Language:"gl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\n"},msgstr:["Last-Translator: Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: gl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundos"]}}}}},{locale:"he",json:{charset:"utf-8",headers:{"Last-Translator":"Yaron Shahrabani <sh.yaron@gmail.com>, 2020","Language-Team":"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)","Content-Type":"text/plain; charset=UTF-8",Language:"he","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\n"},msgstr:["Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: he\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["שניות"]}}}}},{locale:"hu_HU",json:{charset:"utf-8",headers:{"Last-Translator":"Balázs Meskó <meskobalazs@gmail.com>, 2020","Language-Team":"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)","Content-Type":"text/plain; charset=UTF-8",Language:"hu_HU","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nBalázs Meskó <meskobalazs@gmail.com>, 2020\n"},msgstr:["Last-Translator: Balázs Meskó <meskobalazs@gmail.com>, 2020\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: hu_HU\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["másodperc"]}}}}},{locale:"is",json:{charset:"utf-8",headers:{"Last-Translator":"Sveinn í Felli <sv1@fellsnet.is>, 2020","Language-Team":"Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)","Content-Type":"text/plain; charset=UTF-8",Language:"is","Plural-Forms":"nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nSveinn í Felli <sv1@fellsnet.is>, 2020\n"},msgstr:["Last-Translator: Sveinn í Felli <sv1@fellsnet.is>, 2020\nLanguage-Team: Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: is\nPlural-Forms: nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekúndur"]}}}}},{locale:"it",json:{charset:"utf-8",headers:{"Last-Translator":"Random_R, 2020","Language-Team":"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)","Content-Type":"text/plain; charset=UTF-8",Language:"it","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nRandom_R, 2020\n"},msgstr:["Last-Translator: Random_R, 2020\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: it\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["secondi"]}}}}},{locale:"ja_JP",json:{charset:"utf-8",headers:{"Last-Translator":"YANO Tetsu <tetuyano+transi@gmail.com>, 2020","Language-Team":"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)","Content-Type":"text/plain; charset=UTF-8",Language:"ja_JP","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\n"},msgstr:["Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ja_JP\nPlural-Forms: nplurals=1; plural=0;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["秒"]}}}}},{locale:"lt_LT",json:{charset:"utf-8",headers:{"Last-Translator":"Moo, 2020","Language-Team":"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)","Content-Type":"text/plain; charset=UTF-8",Language:"lt_LT","Plural-Forms":"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMoo, 2020\n"},msgstr:["Last-Translator: Moo, 2020\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lt_LT\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sek."]}}}}},{locale:"lv",json:{charset:"utf-8",headers:{"Last-Translator":"stendec <stendec@inbox.lv>, 2020","Language-Team":"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)","Content-Type":"text/plain; charset=UTF-8",Language:"lv","Plural-Forms":"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nstendec <stendec@inbox.lv>, 2020\n"},msgstr:["Last-Translator: stendec <stendec@inbox.lv>, 2020\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lv\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekundes"]}}}}},{locale:"mk",json:{charset:"utf-8",headers:{"Last-Translator":"Сашко Тодоров, 2020","Language-Team":"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)","Content-Type":"text/plain; charset=UTF-8",Language:"mk","Plural-Forms":"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nСашко Тодоров, 2020\n"},msgstr:["Last-Translator: Сашко Тодоров, 2020\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: mk\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["секунди"]}}}}},{locale:"nl",json:{charset:"utf-8",headers:{"Last-Translator":"Roeland Jago Douma <roeland@famdouma.nl>, 2020","Language-Team":"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)","Content-Type":"text/plain; charset=UTF-8",Language:"nl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\n"},msgstr:["Last-Translator: Roeland Jago Douma <roeland@famdouma.nl>, 2020\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: nl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["seconden"]}}}}},{locale:"oc",json:{charset:"utf-8",headers:{"Last-Translator":"Quentin PAGÈS, 2020","Language-Team":"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)","Content-Type":"text/plain; charset=UTF-8",Language:"oc","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nQuentin PAGÈS, 2020\n"},msgstr:["Last-Translator: Quentin PAGÈS, 2020\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: oc\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segondas"]}}}}},{locale:"pl",json:{charset:"utf-8",headers:{"Last-Translator":"Janusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020","Language-Team":"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)","Content-Type":"text/plain; charset=UTF-8",Language:"pl","Plural-Forms":"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nJanusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020\n"},msgstr:["Last-Translator: Janusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pl\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekundy"]}}}}},{locale:"pt_BR",json:{charset:"utf-8",headers:{"Last-Translator":"André Marcelo Alvarenga <alvarenga@kde.org>, 2020","Language-Team":"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_BR","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAndré Marcelo Alvarenga <alvarenga@kde.org>, 2020\n"},msgstr:["Last-Translator: André Marcelo Alvarenga <alvarenga@kde.org>, 2020\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_BR\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundos"]}}}}},{locale:"pt_PT",json:{charset:"utf-8",headers:{"Last-Translator":"fpapoila <fpapoila@gmail.com>, 2020","Language-Team":"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_PT","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nfpapoila <fpapoila@gmail.com>, 2020\n"},msgstr:["Last-Translator: fpapoila <fpapoila@gmail.com>, 2020\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_PT\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundos"]}}}}},{locale:"ru",json:{charset:"utf-8",headers:{"Last-Translator":"Игорь Бондаренко <garbond@yandex.ru>, 2020","Language-Team":"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)","Content-Type":"text/plain; charset=UTF-8",Language:"ru","Plural-Forms":"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nИгорь Бондаренко <garbond@yandex.ru>, 2020\n"},msgstr:["Last-Translator: Игорь Бондаренко <garbond@yandex.ru>, 2020\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ru\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["секунды"]}}}}},{locale:"sq",json:{charset:"utf-8",headers:{"Last-Translator":"Greta, 2020","Language-Team":"Albanian (https://www.transifex.com/nextcloud/teams/64236/sq/)","Content-Type":"text/plain; charset=UTF-8",Language:"sq","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nGreta, 2020\n"},msgstr:["Last-Translator: Greta, 2020\nLanguage-Team: Albanian (https://www.transifex.com/nextcloud/teams/64236/sq/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sq\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekonda"]}}}}},{locale:"sr",json:{charset:"utf-8",headers:{"Last-Translator":"Slobodan Simić <slsimic@gmail.com>, 2020","Language-Team":"Serbian (https://www.transifex.com/nextcloud/teams/64236/sr/)","Content-Type":"text/plain; charset=UTF-8",Language:"sr","Plural-Forms":"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<10 || n%100>=20) ? 1 : 2);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nSlobodan Simić <slsimic@gmail.com>, 2020\n"},msgstr:["Last-Translator: Slobodan Simić <slsimic@gmail.com>, 2020\nLanguage-Team: Serbian (https://www.transifex.com/nextcloud/teams/64236/sr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sr\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<10 || n%100>=20) ? 1 : 2);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["секунде"]}}}}},{locale:"sv",json:{charset:"utf-8",headers:{"Last-Translator":"Magnus Höglund, 2020","Language-Team":"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)","Content-Type":"text/plain; charset=UTF-8",Language:"sv","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMagnus Höglund, 2020\n"},msgstr:["Last-Translator: Magnus Höglund, 2020\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sv\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekunder"]}}}}},{locale:"tr",json:{charset:"utf-8",headers:{"Last-Translator":"Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020","Language-Team":"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)","Content-Type":"text/plain; charset=UTF-8",Language:"tr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nHüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\n"},msgstr:["Last-Translator: Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: tr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["saniye"]}}}}},{locale:"uk",json:{charset:"utf-8",headers:{"Last-Translator":"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020","Language-Team":"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)","Content-Type":"text/plain; charset=UTF-8",Language:"uk","Plural-Forms":"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\n"},msgstr:["Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: uk\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["секунди"]}}}}},{locale:"zh_CN",json:{charset:"utf-8",headers:{"Last-Translator":"Jay Guo <jayguo921@gmail.com>, 2020","Language-Team":"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_CN","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nJay Guo <jayguo921@gmail.com>, 2020\n"},msgstr:["Last-Translator: Jay Guo <jayguo921@gmail.com>, 2020\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_CN\nPlural-Forms: nplurals=1; plural=0;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["秒"]}}}}},{locale:"zh_TW",json:{charset:"utf-8",headers:{"Last-Translator":"Jim Tsai <poormusic2001@gmail.com>, 2020","Language-Team":"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_TW","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nJim Tsai <poormusic2001@gmail.com>, 2020\n"},msgstr:["Last-Translator: Jim Tsai <poormusic2001@gmail.com>, 2020\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_TW\nPlural-Forms: nplurals=1; plural=0;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["秒"]}}}}}].map((function(e){u.addTranslations(e.locale,"messages",e.json)})),u.setLocale(c),o.a.locale(c),o.a.updateLocale(o.a.locale(),{parentLocale:o.a.locale(),relativeTime:Object.assign(o.a.localeData(o.a.locale())._relativeTime,{s:u.gettext("seconds")})}),t.default=o.a}])},,,,function(e,t,n){"use strict";var r=n(559),o=n(560);function i(){}i.prototype=r;var a=new i;e.exports=a,a.highlight=function(e,t,n){var r=(n||{}).prefix;null==r&&(r="hljs-");return y(m(e,t,!0,r))},a.highlightAuto=f,a.registerLanguage=function(e,t){var n=t(a);n.rawDefinition=t.bind(null,a),d[e]=n,l.push(e),n.aliases&&p(e,n.aliases)},a.listLanguages=function(){return l.concat()},a.registerAlias=p,a.getLanguage=v;var s=r.inherit,u={}.hasOwnProperty,c=["of","and","for","in","not","or","if","then"],l=[],d={},h={};function f(e,t){var n,r,i,a,s=t||{},u=s.subset||l,c=s.prefix,d=u.length,h=-1;if(null==c&&(c="hljs-"),"string"!=typeof e)throw o("Expected `string` for value, got `%s`",e);for(r=y({}),n=y({});++h<d;)v(a=u[h])&&((i=y(m(a,e,!1,c))).language=a,i.relevance>r.relevance&&(r=i),i.relevance>n.relevance&&(r=n,n=i));return r.language&&(n.secondBest=r),n}function p(e,t){var n,r,o,i,a=e;for(n in t&&((a={})[e]=t),a)for(o=(r="string"==typeof(r=a[n])?[r]:r).length,i=-1;++i<o;)h[r[i]]=n}function m(e,t,n,r,i){var a,s,c,l,h,p,y,M,k={},b={},w=[],L="",T=0;if("string"!=typeof e)throw o("Expected `string` for name, got `%s`",e);if("string"!=typeof t)throw o("Expected `string` for value, got `%s`",t);if(a=v(e),c=s=i||a,l=M=[],!a)throw o("Unknown language: `%s` is not registered",e);!function(e){function t(o,i){o.compiled||(o.compiled=!0,o.keywords=o.keywords||o.beginKeywords,o.keywords&&(o.keywords=function(e,t){var n,r={};if("string"==typeof e)o("keyword",e);else for(n in e)o(n,e[n]);return r;function o(e,n){!function(e,t){var n,o=t.length,i=-1;for(;++i<o;)n=t[i].split("|"),r[n[0]]=[e,Number(n[1])||_(n[0])?0:1]}(e,(t?n.toLowerCase():n).split(" "))}}(o.keywords,e.case_insensitive)),o.lexemesRe=n(o.lexemes||/\w+/,!0),i&&(o.beginKeywords&&(o.begin="\\b("+o.beginKeywords.split(" ").join("|")+")\\b"),o.begin||(o.begin=/\B|\b/),o.beginRe=n(o.begin),o.endSameAsBegin&&(o.end=o.begin),o.end||o.endsWithParent||(o.end=/\B|\b/),o.end&&(o.endRe=n(o.end)),o.terminator_end=r(o.end)||"",o.endsWithParent&&i.terminator_end&&(o.terminator_end+=(o.end?"|":"")+i.terminator_end)),o.illegal&&(o.illegalRe=n(o.illegal)),void 0===o.relevance&&(o.relevance=1),o.contains=function(e,n){var r,o=[],i=e.length,a=-1;for(;++a<i;)r=e[a],o=o.concat(g("self"===r?n:r));i=o.length,a=-1;for(;++a<i;)t(o[a],n);return o}(o.contains||[],o),o.starts&&t(o.starts,i),o.terminators=function(e){var t,o,i,a={},s=[],u=1,c=e.contains,l=c.length,d=-1,h=[];for(;++d<l;)p(i=c[d],i.beginKeywords?"\\.?(?:"+i.begin+")\\.?":i.begin);e.terminator_end&&p("end",e.terminator_end);e.illegal&&p("illegal",e.illegal);l=s.length,d=-1;for(;++d<l;)h[d]=s[d][1];return t=n(function(e,t){var n,o,i,a,s=/\[(?:[^\\\]]|\\.)*\]|\(\??|\\([1-9]\d*)|\\./,u=0,c="",l=e.length,d=-1;for(;++d<l;){for(n=e[d],i=r(n),o=u+=1,0!==d&&(c+=t),c+="(";i.length>0;){if(null===(a=s.exec(i))){c+=i;break}c+=i.slice(0,a.index),i=i.slice(a.index+a[0].length),"\\"===a[0][0]&&a[1]?c+="\\"+String(Number(a[1])+o):(c+=a[0],"("===a[0]&&u++)}c+=")"}return c}(h,"|"),!0),o={lastIndex:0,exec:f};function f(n){var r,i,u,c;if(0===s.length)return null;if(t.lastIndex=o.lastIndex,!(c=t.exec(n)))return null;for(r=c.length,i=-1;++i<r;)if(void 0!==c[i]&&void 0!==a[i]){u=a[i];break}return"string"==typeof u?(c.type=u,c.extra=[e.illegal,e.terminator_end]):(c.type="begin",c.rule=u),c}function p(e,t){a[u]=e,s.push([e,t]),u+=new RegExp(t.toString()+"|").exec("").length}}(o))}function n(t,n){return new RegExp(r(t),"m"+(e.case_insensitive?"i":"")+(n?"g":""))}function r(e){return e&&e.source||e}t(e)}(a);try{for(s.terminators.lastIndex=0,h=0,y=s.terminators.exec(t);y;)p=S(t.slice(h,y.index),y),h=y.index+p,s.terminators.lastIndex=h,y=s.terminators.exec(t);for(S(t.slice(h)),c=s;c.parent;)c.className&&P(),c=c.parent;return{relevance:T,value:l,illegal:!1,language:e,top:s}}catch(e){if(-1===e.message.indexOf("Illegal"))throw e;return{relevance:0,illegal:!0,value:E(t,[])}}function D(e){var t=e[0],n=e.rule;return n&&n.endSameAsBegin&&(n.endRe=function(e){return new RegExp(e.replace(/[-/\\^$*+?.()|[\]{}]/g,"\\$&"),"m")}(t)),n.skip?L+=t:(n.excludeBegin&&(L+=t),C(O(),l),n.returnBegin||n.excludeBegin||(L=t)),Y(n),n.returnBegin?0:t.length}function x(e){var n=e[0],r=t.slice(e.index),o=function e(t,n){if(function(e,t){var n=e&&e.exec(t);return n&&0===n.index}(t.endRe,n)){for(;t.endsParent&&t.parent;)t=t.parent;return t}if(t.endsWithParent)return e(t.parent,n)}(s,r);if(o){var i=s;i.skip?L+=n:(i.returnEnd||i.excludeEnd||(L+=n),C(O(),l),i.excludeEnd&&(L=n));do{s.className&&P(),s.skip||s.subLanguage||(T+=s.relevance),s=s.parent}while(s!==o.parent);return o.starts&&(o.endSameAsBegin&&(o.starts.endRe=o.endRe),Y(o.starts)),i.returnEnd?0:n.length}}function S(e,r){var i,a=r&&r[0];if(L+=e,void 0===a)return C(O(),l),0;if("begin"===k.type&&"end"===r.type&&k.index===r.index&&""===a)return L+=t.slice(r.index,r.index+1),1;if(k=r,"begin"===r.type)return D(r);if("end"===r.type&&void 0!==(i=x(r)))return i;if("illegal"===r.type&&!n)throw o('Illegal lexeme "%s" for mode "%s"',a,s.className||"<unnamed>");return L+=a,a.length}function Y(e){var t;e.className&&(t=j(e.className,[])),t&&(l.push(t),w.push(l),l=t.children),s=Object.create(e,{parent:{value:s}})}function O(){var e=s.subLanguage?function(){var e,t="string"==typeof s.subLanguage;if(t&&!d[s.subLanguage])return E(L,[]);e=t?m(s.subLanguage,L,!0,r,b[s.subLanguage]):f(L,{subset:0===s.subLanguage.length?void 0:s.subLanguage,prefix:r});if(!e.language)return[A(L)];s.relevance>0&&(T+=e.relevance);t&&(b[s.subLanguage]=e.top);return[j(e.language,e.value,!0)]}():function(){var e,t,n,r,o=[];if(!s.keywords)return E(L,o);e=0,s.lexemesRe.lastIndex=0,t=s.lexemesRe.exec(L);for(;t;)E(L.slice(e,t.index),o),(r=H(s,t))?(T+=r[1],n=j(r[0],[]),o.push(n),E(t[0],n.children)):E(t[0],o),e=s.lexemesRe.lastIndex,t=s.lexemesRe.exec(L);return E(L.slice(e),o),o}();return L="",e}function C(e,t){for(var n,r=e.length,o=-1;++o<r;)"text"===(n=e[o]).type?E(n.value,t):t.push(n)}function E(e,t){var n;return e&&((n=t[t.length-1])&&"text"===n.type?n.value+=e:t.push(A(e))),t}function A(e){return{type:"text",value:e}}function j(e,t,n){return{type:"element",tagName:"span",properties:{className:[(n?"":r)+e]},children:t}}function H(e,t){var n=t[0];return a.case_insensitive&&(n=n.toLowerCase()),u.call(e.keywords,n)&&e.keywords[n]}function P(){l=w.pop()||M}}function _(e){return-1!==c.indexOf(e.toLowerCase())}function g(e){var t,n,r,o;if(e.variants&&!e.cached_variants){for(t=(r=e.variants).length,n=-1,o=[];++n<t;)o[n]=s(e,{variants:null},r[n]);e.cached_variants=o}return e.cached_variants?e.cached_variants:function e(t){return!!t&&(t.endsWithParent||e(t.starts))}(e)?[s(e,{starts:e.starts?s(e.starts):null})]:[e]}function y(e){return{relevance:e.relevance||0,language:e.language||null,value:e.value||[]}}function v(e){return e=e.toLowerCase(),d[e]||d[h[e]]}},function(e,t,n){var r,o,i;o=function(e){var t=[],n=Object.keys,r={},o={},i=/^(no-?highlight|plain|text)$/i,a=/\blang(?:uage)?-([\w-]+)\b/i,s=/((^(<[^>]+>|\t|)+|(?:\n)))/gm,u={classPrefix:"hljs-",tabReplace:null,useBR:!1,languages:void 0},c="of and for in not or if then".split(" ");function l(e){return e.replace(/&/g,"&amp;").replace(/</g,"&lt;").replace(/>/g,"&gt;")}function d(e){return e.nodeName.toLowerCase()}function h(e){return i.test(e)}function f(e){var t,n={},r=Array.prototype.slice.call(arguments,1);for(t in e)n[t]=e[t];return r.forEach((function(e){for(t in e)n[t]=e[t]})),n}function p(e){var t=[];return function e(n,r){for(var o=n.firstChild;o;o=o.nextSibling)3===o.nodeType?r+=o.nodeValue.length:1===o.nodeType&&(t.push({event:"start",offset:r,node:o}),r=e(o,r),d(o).match(/br|hr|img|input/)||t.push({event:"stop",offset:r,node:o}));return r}(e,0),t}function m(e){return e.variants&&!e.cached_variants&&(e.cached_variants=e.variants.map((function(t){return f(e,{variants:null},t)}))),e.cached_variants?e.cached_variants:function e(t){return!!t&&(t.endsWithParent||e(t.starts))}(e)?[f(e,{starts:e.starts?f(e.starts):null})]:[e]}function _(e,t){return t?Number(t):(n=e,-1!=c.indexOf(n.toLowerCase())?0:1);var n}function g(e){function t(e){return e&&e.source||e}function r(n,r){return new RegExp(t(n),"m"+(e.case_insensitive?"i":"")+(r?"g":""))}function o(e){var n,o,i={},a=[],s={},u=1;function c(e,t){i[u]=e,a.push([e,t]),u+=function(e){return new RegExp(e.toString()+"|").exec("").length-1}(t)+1}for(var l=0;l<e.contains.length;l++)c(o=e.contains[l],o.beginKeywords?"\\.?(?:"+o.begin+")\\.?":o.begin);e.terminator_end&&c("end",e.terminator_end),e.illegal&&c("illegal",e.illegal);var d=a.map((function(e){return e[1]}));return n=r(function(e,n){for(var r=/\[(?:[^\\\]]|\\.)*\]|\(\??|\\([1-9][0-9]*)|\\./,o=0,i="",a=0;a<e.length;a++){var s=o+=1,u=t(e[a]);for(a>0&&(i+=n),i+="(";u.length>0;){var c=r.exec(u);if(null==c){i+=u;break}i+=u.substring(0,c.index),u=u.substring(c.index+c[0].length),"\\"==c[0][0]&&c[1]?i+="\\"+String(Number(c[1])+s):(i+=c[0],"("==c[0]&&o++)}i+=")"}return i}(d,"|"),!0),s.lastIndex=0,s.exec=function(t){var r;if(0===a.length)return null;n.lastIndex=s.lastIndex;var o=n.exec(t);if(!o)return null;for(var u=0;u<o.length;u++)if(null!=o[u]&&null!=i[""+u]){r=i[""+u];break}return"string"==typeof r?(o.type=r,o.extra=[e.illegal,e.terminator_end]):(o.type="begin",o.rule=r),o},s}!function i(a,s){a.compiled||(a.compiled=!0,a.keywords=a.keywords||a.beginKeywords,a.keywords&&(a.keywords=function(e,t){var r={};return"string"==typeof e?o("keyword",e):n(e).forEach((function(t){o(t,e[t])})),r;function o(e,n){t&&(n=n.toLowerCase()),n.split(" ").forEach((function(t){var n=t.split("|");r[n[0]]=[e,_(n[0],n[1])]}))}}(a.keywords,e.case_insensitive)),a.lexemesRe=r(a.lexemes||/\w+/,!0),s&&(a.beginKeywords&&(a.begin="\\b("+a.beginKeywords.split(" ").join("|")+")\\b"),a.begin||(a.begin=/\B|\b/),a.beginRe=r(a.begin),a.endSameAsBegin&&(a.end=a.begin),a.end||a.endsWithParent||(a.end=/\B|\b/),a.end&&(a.endRe=r(a.end)),a.terminator_end=t(a.end)||"",a.endsWithParent&&s.terminator_end&&(a.terminator_end+=(a.end?"|":"")+s.terminator_end)),a.illegal&&(a.illegalRe=r(a.illegal)),null==a.relevance&&(a.relevance=1),a.contains||(a.contains=[]),a.contains=Array.prototype.concat.apply([],a.contains.map((function(e){return m("self"===e?a:e)}))),a.contains.forEach((function(e){i(e,a)})),a.starts&&i(a.starts,s),a.terminators=o(a))}(e)}function y(e,t,n,o){function i(e,t){var n=m.case_insensitive?t[0].toLowerCase():t[0];return e.keywords.hasOwnProperty(n)&&e.keywords[n]}function a(e,t,n,r){if(!n&&""===t)return"";if(!e)return t;var o='<span class="'+(r?"":u.classPrefix);return(o+=e+'">')+t+(n?"":"</span>")}function s(){b+=null!=M.subLanguage?function(){var e="string"==typeof M.subLanguage;if(e&&!r[M.subLanguage])return l(L);var t=e?y(M.subLanguage,L,!0,k[M.subLanguage]):v(L,M.subLanguage.length?M.subLanguage:void 0);return M.relevance>0&&(T+=t.relevance),e&&(k[M.subLanguage]=t.top),a(t.language,t.value,!1,!0)}():function(){var e,t,n,r;if(!M.keywords)return l(L);for(r="",t=0,M.lexemesRe.lastIndex=0,n=M.lexemesRe.exec(L);n;)r+=l(L.substring(t,n.index)),(e=i(M,n))?(T+=e[1],r+=a(e[0],l(n[0]))):r+=l(n[0]),t=M.lexemesRe.lastIndex,n=M.lexemesRe.exec(L);return r+l(L.substr(t))}(),L=""}function c(e){b+=e.className?a(e.className,"",!0):"",M=Object.create(e,{parent:{value:M}})}function d(e){var t=e[0],n=e.rule;return n&&n.endSameAsBegin&&(n.endRe=function(e){return new RegExp(e.replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&"),"m")}(t)),n.skip?L+=t:(n.excludeBegin&&(L+=t),s(),n.returnBegin||n.excludeBegin||(L=t)),c(n),n.returnBegin?0:t.length}function h(e){var t=e[0],n=function e(t,n){if(function(e,t){var n=e&&e.exec(t);return n&&0===n.index}(t.endRe,n)){for(;t.endsParent&&t.parent;)t=t.parent;return t}if(t.endsWithParent)return e(t.parent,n)}(M,t);if(n){var r=M;r.skip?L+=t:(r.returnEnd||r.excludeEnd||(L+=t),s(),r.excludeEnd&&(L=t));do{M.className&&(b+="</span>"),M.skip||M.subLanguage||(T+=M.relevance),M=M.parent}while(M!==n.parent);return n.starts&&(n.endSameAsBegin&&(n.starts.endRe=n.endRe),c(n.starts)),r.returnEnd?0:t.length}}var f={};function p(e,r){var o=r&&r[0];if(L+=e,null==o)return s(),0;if("begin"==f.type&&"end"==r.type&&f.index==r.index&&""===o)return L+=t.slice(r.index,r.index+1),1;if(f=r,"begin"===r.type)return d(r);if("illegal"===r.type&&!n)throw new Error('Illegal lexeme "'+o+'" for mode "'+(M.className||"<unnamed>")+'"');if("end"===r.type){var i=h(r);if(null!=i)return i}return L+=o,o.length}var m=w(e);if(!m)throw new Error('Unknown language: "'+e+'"');g(m);var _,M=o||m,k={},b="";for(_=M;_!==m;_=_.parent)_.className&&(b=a(_.className,"",!0)+b);var L="",T=0;try{for(var D,x,S=0;M.terminators.lastIndex=S,D=M.terminators.exec(t);)x=p(t.substring(S,D.index),D),S=D.index+x;for(p(t.substr(S)),_=M;_.parent;_=_.parent)_.className&&(b+="</span>");return{relevance:T,value:b,illegal:!1,language:e,top:M}}catch(e){if(e.message&&-1!==e.message.indexOf("Illegal"))return{illegal:!0,relevance:0,value:l(t)};throw e}}function v(e,t){t=t||u.languages||n(r);var o={relevance:0,value:l(e)},i=o;return t.filter(w).filter(L).forEach((function(t){var n=y(t,e,!1);n.language=t,n.relevance>i.relevance&&(i=n),n.relevance>o.relevance&&(i=o,o=n)})),i.language&&(o.second_best=i),o}function M(e){return u.tabReplace||u.useBR?e.replace(s,(function(e,t){return u.useBR&&"\n"===e?"<br>":u.tabReplace?t.replace(/\t/g,u.tabReplace):""})):e}function k(e){var n,r,i,s,c,f=function(e){var t,n,r,o,i=e.className+" ";if(i+=e.parentNode?e.parentNode.className:"",n=a.exec(i))return w(n[1])?n[1]:"no-highlight";for(t=0,r=(i=i.split(/\s+/)).length;t<r;t++)if(h(o=i[t])||w(o))return o}(e);h(f)||(u.useBR?(n=document.createElementNS("http://www.w3.org/1999/xhtml","div")).innerHTML=e.innerHTML.replace(/\n/g,"").replace(/<br[ \/]*>/g,"\n"):n=e,c=n.textContent,i=f?y(f,c,!0):v(c),(r=p(n)).length&&((s=document.createElementNS("http://www.w3.org/1999/xhtml","div")).innerHTML=i.value,i.value=function(e,n,r){var o=0,i="",a=[];function s(){return e.length&&n.length?e[0].offset!==n[0].offset?e[0].offset<n[0].offset?e:n:"start"===n[0].event?e:n:e.length?e:n}function u(e){i+="<"+d(e)+t.map.call(e.attributes,(function(e){return" "+e.nodeName+'="'+l(e.value).replace('"',"&quot;")+'"'})).join("")+">"}function c(e){i+="</"+d(e)+">"}function h(e){("start"===e.event?u:c)(e.node)}for(;e.length||n.length;){var f=s();if(i+=l(r.substring(o,f[0].offset)),o=f[0].offset,f===e){a.reverse().forEach(c);do{h(f.splice(0,1)[0]),f=s()}while(f===e&&f.length&&f[0].offset===o);a.reverse().forEach(u)}else"start"===f[0].event?a.push(f[0].node):a.pop(),h(f.splice(0,1)[0])}return i+l(r.substr(o))}(r,p(s),c)),i.value=M(i.value),e.innerHTML=i.value,e.className=function(e,t,n){var r=t?o[t]:n,i=[e.trim()];return e.match(/\bhljs\b/)||i.push("hljs"),-1===e.indexOf(r)&&i.push(r),i.join(" ").trim()}(e.className,f,i.language),e.result={language:i.language,re:i.relevance},i.second_best&&(e.second_best={language:i.second_best.language,re:i.second_best.relevance}))}function b(){if(!b.called){b.called=!0;var e=document.querySelectorAll("pre code");t.forEach.call(e,k)}}function w(e){return e=(e||"").toLowerCase(),r[e]||r[o[e]]}function L(e){var t=w(e);return t&&!t.disableAutodetect}return e.highlight=y,e.highlightAuto=v,e.fixMarkup=M,e.highlightBlock=k,e.configure=function(e){u=f(u,e)},e.initHighlighting=b,e.initHighlightingOnLoad=function(){addEventListener("DOMContentLoaded",b,!1),addEventListener("load",b,!1)},e.registerLanguage=function(t,n){var i=r[t]=n(e);i.rawDefinition=n.bind(null,e),i.aliases&&i.aliases.forEach((function(e){o[e]=t}))},e.listLanguages=function(){return n(r)},e.getLanguage=w,e.autoDetection=L,e.inherit=f,e.IDENT_RE="[a-zA-Z]\\w*",e.UNDERSCORE_IDENT_RE="[a-zA-Z_]\\w*",e.NUMBER_RE="\\b\\d+(\\.\\d+)?",e.C_NUMBER_RE="(-?)(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",e.BINARY_NUMBER_RE="\\b(0b[01]+)",e.RE_STARTERS_RE="!|!=|!==|%|%=|&|&&|&=|\\*|\\*=|\\+|\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\?|\\[|\\{|\\(|\\^|\\^=|\\||\\|=|\\|\\||~",e.BACKSLASH_ESCAPE={begin:"\\\\[\\s\\S]",relevance:0},e.APOS_STRING_MODE={className:"string",begin:"'",end:"'",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},e.QUOTE_STRING_MODE={className:"string",begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},e.PHRASAL_WORDS_MODE={begin:/\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\b/},e.COMMENT=function(t,n,r){var o=e.inherit({className:"comment",begin:t,end:n,contains:[]},r||{});return o.contains.push(e.PHRASAL_WORDS_MODE),o.contains.push({className:"doctag",begin:"(?:TODO|FIXME|NOTE|BUG|XXX):",relevance:0}),o},e.C_LINE_COMMENT_MODE=e.COMMENT("//","$"),e.C_BLOCK_COMMENT_MODE=e.COMMENT("/\\*","\\*/"),e.HASH_COMMENT_MODE=e.COMMENT("#","$"),e.NUMBER_MODE={className:"number",begin:e.NUMBER_RE,relevance:0},e.C_NUMBER_MODE={className:"number",begin:e.C_NUMBER_RE,relevance:0},e.BINARY_NUMBER_MODE={className:"number",begin:e.BINARY_NUMBER_RE,relevance:0},e.CSS_NUMBER_MODE={className:"number",begin:e.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},e.REGEXP_MODE={className:"regexp",begin:/\//,end:/\/[gimuy]*/,illegal:/\n/,contains:[e.BACKSLASH_ESCAPE,{begin:/\[/,end:/\]/,relevance:0,contains:[e.BACKSLASH_ESCAPE]}]},e.TITLE_MODE={className:"title",begin:e.IDENT_RE,relevance:0},e.UNDERSCORE_TITLE_MODE={className:"title",begin:e.UNDERSCORE_IDENT_RE,relevance:0},e.METHOD_GUARD={begin:"\\.\\s*"+e.UNDERSCORE_IDENT_RE,relevance:0},e},i="object"==typeof window&&window||"object"==typeof self&&self,t.nodeType?i&&(i.hljs=o({}),void 0===(r=function(){return i.hljs}.apply(t,[]))||(e.exports=r)):o(t)},function(e,t,n){"use strict";var r=n(561),o=i(Error);function i(e){return t.displayName=e.displayName||e.name,t;function t(t){return t&&(t=r.apply(null,arguments)),new e(t)}}e.exports=o,o.eval=i(EvalError),o.range=i(RangeError),o.reference=i(ReferenceError),o.syntax=i(SyntaxError),o.type=i(TypeError),o.uri=i(URIError),o.create=i},function(e,t,n){!function(){var t;function n(e){for(var t,n,r,o,i=1,a=[].slice.call(arguments),s=0,u=e.length,c="",l=!1,d=!1,h=function(){return a[i++]},f=function(){for(var n="";/\d/.test(e[s]);)n+=e[s++],t=e[s];return n.length>0?parseInt(n):null};s<u;++s)if(t=e[s],l)switch(l=!1,"."==t?(d=!1,t=e[++s]):"0"==t&&"."==e[s+1]?(d=!0,t=e[s+=2]):d=!0,o=f(),t){case"b":c+=parseInt(h(),10).toString(2);break;case"c":c+="string"==typeof(n=h())||n instanceof String?n:String.fromCharCode(parseInt(n,10));break;case"d":c+=parseInt(h(),10);break;case"f":r=String(parseFloat(h()).toFixed(o||6)),c+=d?r:r.replace(/^0/,"");break;case"j":c+=JSON.stringify(h());break;case"o":c+="0"+parseInt(h(),10).toString(8);break;case"s":c+=h();break;case"x":c+="0x"+parseInt(h(),10).toString(16);break;case"X":c+="0x"+parseInt(h(),10).toString(16).toUpperCase();break;default:c+=t}else"%"===t?l=!0:c+=t;return c}(t=e.exports=n).format=n,t.vsprintf=function(e,t){return n.apply(null,[e].concat(t))},"undefined"!=typeof console&&"function"==typeof console.log&&(t.printf=function(){console.log(n.apply(null,arguments))})}()},function(e,t,n){(function(e){function n(e,t){for(var n=0,r=e.length-1;r>=0;r--){var o=e[r];"."===o?e.splice(r,1):".."===o?(e.splice(r,1),n++):n&&(e.splice(r,1),n--)}if(t)for(;n--;n)e.unshift("..");return e}function r(e,t){if(e.filter)return e.filter(t);for(var n=[],r=0;r<e.length;r++)t(e[r],r,e)&&n.push(e[r]);return n}t.resolve=function(){for(var t="",o=!1,i=arguments.length-1;i>=-1&&!o;i--){var a=i>=0?arguments[i]:e.cwd();if("string"!=typeof a)throw new TypeError("Arguments to path.resolve must be strings");a&&(t=a+"/"+t,o="/"===a.charAt(0))}return(o?"/":"")+(t=n(r(t.split("/"),(function(e){return!!e})),!o).join("/"))||"."},t.normalize=function(e){var i=t.isAbsolute(e),a="/"===o(e,-1);return(e=n(r(e.split("/"),(function(e){return!!e})),!i).join("/"))||i||(e="."),e&&a&&(e+="/"),(i?"/":"")+e},t.isAbsolute=function(e){return"/"===e.charAt(0)},t.join=function(){var e=Array.prototype.slice.call(arguments,0);return t.normalize(r(e,(function(e,t){if("string"!=typeof e)throw new TypeError("Arguments to path.join must be strings");return e})).join("/"))},t.relative=function(e,n){function r(e){for(var t=0;t<e.length&&""===e[t];t++);for(var n=e.length-1;n>=0&&""===e[n];n--);return t>n?[]:e.slice(t,n-t+1)}e=t.resolve(e).substr(1),n=t.resolve(n).substr(1);for(var o=r(e.split("/")),i=r(n.split("/")),a=Math.min(o.length,i.length),s=a,u=0;u<a;u++)if(o[u]!==i[u]){s=u;break}var c=[];for(u=s;u<o.length;u++)c.push("..");return(c=c.concat(i.slice(s))).join("/")},t.sep="/",t.delimiter=":",t.dirname=function(e){if("string"!=typeof e&&(e+=""),0===e.length)return".";for(var t=e.charCodeAt(0),n=47===t,r=-1,o=!0,i=e.length-1;i>=1;--i)if(47===(t=e.charCodeAt(i))){if(!o){r=i;break}}else o=!1;return-1===r?n?"/":".":n&&1===r?"/":e.slice(0,r)},t.basename=function(e,t){var n=function(e){"string"!=typeof e&&(e+="");var t,n=0,r=-1,o=!0;for(t=e.length-1;t>=0;--t)if(47===e.charCodeAt(t)){if(!o){n=t+1;break}}else-1===r&&(o=!1,r=t+1);return-1===r?"":e.slice(n,r)}(e);return t&&n.substr(-1*t.length)===t&&(n=n.substr(0,n.length-t.length)),n},t.extname=function(e){"string"!=typeof e&&(e+="");for(var t=-1,n=0,r=-1,o=!0,i=0,a=e.length-1;a>=0;--a){var s=e.charCodeAt(a);if(47!==s)-1===r&&(o=!1,r=a+1),46===s?-1===t?t=a:1!==i&&(i=1):-1!==t&&(i=-1);else if(!o){n=a+1;break}}return-1===t||-1===r||0===i||1===i&&t===r-1&&t===n+1?"":e.slice(t,r)};var o="b"==="ab".substr(-1)?function(e,t,n){return e.substr(t,n)}:function(e,t,n){return t<0&&(t=e.length+t),e.substr(t,n)}}).call(this,n(47))},,,function(e,t,n){"use strict";var r=n(179),o=n(573),i=n(577),a=n(578),s=n(586),u=n(600),c=n(613),l=n(355),d=n(615),h={default:n(616),zero:n(617),commonmark:n(618)},f=/^(vbscript|javascript|file|data):/,p=/^data:image\/(gif|png|jpeg|webp);/;function m(e){var t=e.trim().toLowerCase();return!f.test(t)||!!p.test(t)}var _=["http:","https:","mailto:"];function g(e){var t=l.parse(e,!0);if(t.hostname&&(!t.protocol||_.indexOf(t.protocol)>=0))try{t.hostname=d.toASCII(t.hostname)}catch(e){}return l.encode(l.format(t))}function y(e){var t=l.parse(e,!0);if(t.hostname&&(!t.protocol||_.indexOf(t.protocol)>=0))try{t.hostname=d.toUnicode(t.hostname)}catch(e){}return l.decode(l.format(t))}function v(e,t){if(!(this instanceof v))return new v(e,t);t||r.isString(e)||(t=e||{},e="default"),this.inline=new u,this.block=new s,this.core=new a,this.renderer=new i,this.linkify=new c,this.validateLink=m,this.normalizeLink=g,this.normalizeLinkText=y,this.utils=r,this.helpers=r.assign({},o),this.options={},this.configure(e),t&&this.set(t)}v.prototype.set=function(e){return r.assign(this.options,e),this},v.prototype.configure=function(e){var t,n=this;if(r.isString(e)&&!(e=h[t=e]))throw new Error('Wrong `markdown-it` preset "'+t+'", check name');if(!e)throw new Error("Wrong `markdown-it` preset, can't be empty");return e.options&&n.set(e.options),e.components&&Object.keys(e.components).forEach((function(t){e.components[t].rules&&n[t].ruler.enableOnly(e.components[t].rules),e.components[t].rules2&&n[t].ruler2.enableOnly(e.components[t].rules2)})),this},v.prototype.enable=function(e,t){var n=[];Array.isArray(e)||(e=[e]),["core","block","inline"].forEach((function(t){n=n.concat(this[t].ruler.enable(e,!0))}),this),n=n.concat(this.inline.ruler2.enable(e,!0));var r=e.filter((function(e){return n.indexOf(e)<0}));if(r.length&&!t)throw new Error("MarkdownIt. Failed to enable unknown rule(s): "+r);return this},v.prototype.disable=function(e,t){var n=[];Array.isArray(e)||(e=[e]),["core","block","inline"].forEach((function(t){n=n.concat(this[t].ruler.disable(e,!0))}),this),n=n.concat(this.inline.ruler2.disable(e,!0));var r=e.filter((function(e){return n.indexOf(e)<0}));if(r.length&&!t)throw new Error("MarkdownIt. Failed to disable unknown rule(s): "+r);return this},v.prototype.use=function(e){var t=[this].concat(Array.prototype.slice.call(arguments,1));return e.apply(e,t),this},v.prototype.parse=function(e,t){if("string"!=typeof e)throw new Error("Input data should be a String");var n=new this.core.State(e,this,t);return this.core.process(n),n.tokens},v.prototype.render=function(e,t){return t=t||{},this.renderer.render(this.parse(e,t),this.options,t)},v.prototype.parseInline=function(e,t){var n=new this.core.State(e,this,t);return n.inlineMode=!0,this.core.process(n),n.tokens},v.prototype.renderInline=function(e,t){return t=t||{},this.renderer.render(this.parseInline(e,t),this.options,t)},e.exports=v},function(e){e.exports=JSON.parse('{"Aacute":"Á","aacute":"á","Abreve":"Ă","abreve":"ă","ac":"∾","acd":"∿","acE":"∾̳","Acirc":"Â","acirc":"â","acute":"´","Acy":"А","acy":"а","AElig":"Æ","aelig":"æ","af":"⁡","Afr":"𝔄","afr":"𝔞","Agrave":"À","agrave":"à","alefsym":"ℵ","aleph":"ℵ","Alpha":"Α","alpha":"α","Amacr":"Ā","amacr":"ā","amalg":"⨿","amp":"&","AMP":"&","andand":"⩕","And":"⩓","and":"∧","andd":"⩜","andslope":"⩘","andv":"⩚","ang":"∠","ange":"⦤","angle":"∠","angmsdaa":"⦨","angmsdab":"⦩","angmsdac":"⦪","angmsdad":"⦫","angmsdae":"⦬","angmsdaf":"⦭","angmsdag":"⦮","angmsdah":"⦯","angmsd":"∡","angrt":"∟","angrtvb":"⊾","angrtvbd":"⦝","angsph":"∢","angst":"Å","angzarr":"⍼","Aogon":"Ą","aogon":"ą","Aopf":"𝔸","aopf":"𝕒","apacir":"⩯","ap":"≈","apE":"⩰","ape":"≊","apid":"≋","apos":"\'","ApplyFunction":"⁡","approx":"≈","approxeq":"≊","Aring":"Å","aring":"å","Ascr":"𝒜","ascr":"𝒶","Assign":"≔","ast":"*","asymp":"≈","asympeq":"≍","Atilde":"Ã","atilde":"ã","Auml":"Ä","auml":"ä","awconint":"∳","awint":"⨑","backcong":"≌","backepsilon":"϶","backprime":"‵","backsim":"∽","backsimeq":"⋍","Backslash":"∖","Barv":"⫧","barvee":"⊽","barwed":"⌅","Barwed":"⌆","barwedge":"⌅","bbrk":"⎵","bbrktbrk":"⎶","bcong":"≌","Bcy":"Б","bcy":"б","bdquo":"„","becaus":"∵","because":"∵","Because":"∵","bemptyv":"⦰","bepsi":"϶","bernou":"ℬ","Bernoullis":"ℬ","Beta":"Β","beta":"β","beth":"ℶ","between":"≬","Bfr":"𝔅","bfr":"𝔟","bigcap":"⋂","bigcirc":"◯","bigcup":"⋃","bigodot":"⨀","bigoplus":"⨁","bigotimes":"⨂","bigsqcup":"⨆","bigstar":"★","bigtriangledown":"▽","bigtriangleup":"△","biguplus":"⨄","bigvee":"⋁","bigwedge":"⋀","bkarow":"⤍","blacklozenge":"⧫","blacksquare":"▪","blacktriangle":"▴","blacktriangledown":"▾","blacktriangleleft":"◂","blacktriangleright":"▸","blank":"␣","blk12":"▒","blk14":"░","blk34":"▓","block":"█","bne":"=⃥","bnequiv":"≡⃥","bNot":"⫭","bnot":"⌐","Bopf":"𝔹","bopf":"𝕓","bot":"⊥","bottom":"⊥","bowtie":"⋈","boxbox":"⧉","boxdl":"┐","boxdL":"╕","boxDl":"╖","boxDL":"╗","boxdr":"┌","boxdR":"╒","boxDr":"╓","boxDR":"╔","boxh":"─","boxH":"═","boxhd":"┬","boxHd":"╤","boxhD":"╥","boxHD":"╦","boxhu":"┴","boxHu":"╧","boxhU":"╨","boxHU":"╩","boxminus":"⊟","boxplus":"⊞","boxtimes":"⊠","boxul":"┘","boxuL":"╛","boxUl":"╜","boxUL":"╝","boxur":"└","boxuR":"╘","boxUr":"╙","boxUR":"╚","boxv":"│","boxV":"║","boxvh":"┼","boxvH":"╪","boxVh":"╫","boxVH":"╬","boxvl":"┤","boxvL":"╡","boxVl":"╢","boxVL":"╣","boxvr":"├","boxvR":"╞","boxVr":"╟","boxVR":"╠","bprime":"‵","breve":"˘","Breve":"˘","brvbar":"¦","bscr":"𝒷","Bscr":"ℬ","bsemi":"⁏","bsim":"∽","bsime":"⋍","bsolb":"⧅","bsol":"\\\\","bsolhsub":"⟈","bull":"•","bullet":"•","bump":"≎","bumpE":"⪮","bumpe":"≏","Bumpeq":"≎","bumpeq":"≏","Cacute":"Ć","cacute":"ć","capand":"⩄","capbrcup":"⩉","capcap":"⩋","cap":"∩","Cap":"⋒","capcup":"⩇","capdot":"⩀","CapitalDifferentialD":"ⅅ","caps":"∩︀","caret":"⁁","caron":"ˇ","Cayleys":"ℭ","ccaps":"⩍","Ccaron":"Č","ccaron":"č","Ccedil":"Ç","ccedil":"ç","Ccirc":"Ĉ","ccirc":"ĉ","Cconint":"∰","ccups":"⩌","ccupssm":"⩐","Cdot":"Ċ","cdot":"ċ","cedil":"¸","Cedilla":"¸","cemptyv":"⦲","cent":"¢","centerdot":"·","CenterDot":"·","cfr":"𝔠","Cfr":"ℭ","CHcy":"Ч","chcy":"ч","check":"✓","checkmark":"✓","Chi":"Χ","chi":"χ","circ":"ˆ","circeq":"≗","circlearrowleft":"↺","circlearrowright":"↻","circledast":"⊛","circledcirc":"⊚","circleddash":"⊝","CircleDot":"⊙","circledR":"®","circledS":"Ⓢ","CircleMinus":"⊖","CirclePlus":"⊕","CircleTimes":"⊗","cir":"○","cirE":"⧃","cire":"≗","cirfnint":"⨐","cirmid":"⫯","cirscir":"⧂","ClockwiseContourIntegral":"∲","CloseCurlyDoubleQuote":"”","CloseCurlyQuote":"’","clubs":"♣","clubsuit":"♣","colon":":","Colon":"∷","Colone":"⩴","colone":"≔","coloneq":"≔","comma":",","commat":"@","comp":"∁","compfn":"∘","complement":"∁","complexes":"ℂ","cong":"≅","congdot":"⩭","Congruent":"≡","conint":"∮","Conint":"∯","ContourIntegral":"∮","copf":"𝕔","Copf":"ℂ","coprod":"∐","Coproduct":"∐","copy":"©","COPY":"©","copysr":"℗","CounterClockwiseContourIntegral":"∳","crarr":"↵","cross":"✗","Cross":"⨯","Cscr":"𝒞","cscr":"𝒸","csub":"⫏","csube":"⫑","csup":"⫐","csupe":"⫒","ctdot":"⋯","cudarrl":"⤸","cudarrr":"⤵","cuepr":"⋞","cuesc":"⋟","cularr":"↶","cularrp":"⤽","cupbrcap":"⩈","cupcap":"⩆","CupCap":"≍","cup":"∪","Cup":"⋓","cupcup":"⩊","cupdot":"⊍","cupor":"⩅","cups":"∪︀","curarr":"↷","curarrm":"⤼","curlyeqprec":"⋞","curlyeqsucc":"⋟","curlyvee":"⋎","curlywedge":"⋏","curren":"¤","curvearrowleft":"↶","curvearrowright":"↷","cuvee":"⋎","cuwed":"⋏","cwconint":"∲","cwint":"∱","cylcty":"⌭","dagger":"†","Dagger":"‡","daleth":"ℸ","darr":"↓","Darr":"↡","dArr":"⇓","dash":"‐","Dashv":"⫤","dashv":"⊣","dbkarow":"⤏","dblac":"˝","Dcaron":"Ď","dcaron":"ď","Dcy":"Д","dcy":"д","ddagger":"‡","ddarr":"⇊","DD":"ⅅ","dd":"ⅆ","DDotrahd":"⤑","ddotseq":"⩷","deg":"°","Del":"∇","Delta":"Δ","delta":"δ","demptyv":"⦱","dfisht":"⥿","Dfr":"𝔇","dfr":"𝔡","dHar":"⥥","dharl":"⇃","dharr":"⇂","DiacriticalAcute":"´","DiacriticalDot":"˙","DiacriticalDoubleAcute":"˝","DiacriticalGrave":"`","DiacriticalTilde":"˜","diam":"⋄","diamond":"⋄","Diamond":"⋄","diamondsuit":"♦","diams":"♦","die":"¨","DifferentialD":"ⅆ","digamma":"ϝ","disin":"⋲","div":"÷","divide":"÷","divideontimes":"⋇","divonx":"⋇","DJcy":"Ђ","djcy":"ђ","dlcorn":"⌞","dlcrop":"⌍","dollar":"$","Dopf":"𝔻","dopf":"𝕕","Dot":"¨","dot":"˙","DotDot":"⃜","doteq":"≐","doteqdot":"≑","DotEqual":"≐","dotminus":"∸","dotplus":"∔","dotsquare":"⊡","doublebarwedge":"⌆","DoubleContourIntegral":"∯","DoubleDot":"¨","DoubleDownArrow":"⇓","DoubleLeftArrow":"⇐","DoubleLeftRightArrow":"⇔","DoubleLeftTee":"⫤","DoubleLongLeftArrow":"⟸","DoubleLongLeftRightArrow":"⟺","DoubleLongRightArrow":"⟹","DoubleRightArrow":"⇒","DoubleRightTee":"⊨","DoubleUpArrow":"⇑","DoubleUpDownArrow":"⇕","DoubleVerticalBar":"∥","DownArrowBar":"⤓","downarrow":"↓","DownArrow":"↓","Downarrow":"⇓","DownArrowUpArrow":"⇵","DownBreve":"̑","downdownarrows":"⇊","downharpoonleft":"⇃","downharpoonright":"⇂","DownLeftRightVector":"⥐","DownLeftTeeVector":"⥞","DownLeftVectorBar":"⥖","DownLeftVector":"↽","DownRightTeeVector":"⥟","DownRightVectorBar":"⥗","DownRightVector":"⇁","DownTeeArrow":"↧","DownTee":"⊤","drbkarow":"⤐","drcorn":"⌟","drcrop":"⌌","Dscr":"𝒟","dscr":"𝒹","DScy":"Ѕ","dscy":"ѕ","dsol":"⧶","Dstrok":"Đ","dstrok":"đ","dtdot":"⋱","dtri":"▿","dtrif":"▾","duarr":"⇵","duhar":"⥯","dwangle":"⦦","DZcy":"Џ","dzcy":"џ","dzigrarr":"⟿","Eacute":"É","eacute":"é","easter":"⩮","Ecaron":"Ě","ecaron":"ě","Ecirc":"Ê","ecirc":"ê","ecir":"≖","ecolon":"≕","Ecy":"Э","ecy":"э","eDDot":"⩷","Edot":"Ė","edot":"ė","eDot":"≑","ee":"ⅇ","efDot":"≒","Efr":"𝔈","efr":"𝔢","eg":"⪚","Egrave":"È","egrave":"è","egs":"⪖","egsdot":"⪘","el":"⪙","Element":"∈","elinters":"⏧","ell":"ℓ","els":"⪕","elsdot":"⪗","Emacr":"Ē","emacr":"ē","empty":"∅","emptyset":"∅","EmptySmallSquare":"◻","emptyv":"∅","EmptyVerySmallSquare":"▫","emsp13":" ","emsp14":" ","emsp":" ","ENG":"Ŋ","eng":"ŋ","ensp":" ","Eogon":"Ę","eogon":"ę","Eopf":"𝔼","eopf":"𝕖","epar":"⋕","eparsl":"⧣","eplus":"⩱","epsi":"ε","Epsilon":"Ε","epsilon":"ε","epsiv":"ϵ","eqcirc":"≖","eqcolon":"≕","eqsim":"≂","eqslantgtr":"⪖","eqslantless":"⪕","Equal":"⩵","equals":"=","EqualTilde":"≂","equest":"≟","Equilibrium":"⇌","equiv":"≡","equivDD":"⩸","eqvparsl":"⧥","erarr":"⥱","erDot":"≓","escr":"ℯ","Escr":"ℰ","esdot":"≐","Esim":"⩳","esim":"≂","Eta":"Η","eta":"η","ETH":"Ð","eth":"ð","Euml":"Ë","euml":"ë","euro":"€","excl":"!","exist":"∃","Exists":"∃","expectation":"ℰ","exponentiale":"ⅇ","ExponentialE":"ⅇ","fallingdotseq":"≒","Fcy":"Ф","fcy":"ф","female":"♀","ffilig":"ffi","fflig":"ff","ffllig":"ffl","Ffr":"𝔉","ffr":"𝔣","filig":"fi","FilledSmallSquare":"◼","FilledVerySmallSquare":"▪","fjlig":"fj","flat":"♭","fllig":"fl","fltns":"▱","fnof":"ƒ","Fopf":"𝔽","fopf":"𝕗","forall":"∀","ForAll":"∀","fork":"⋔","forkv":"⫙","Fouriertrf":"ℱ","fpartint":"⨍","frac12":"½","frac13":"⅓","frac14":"¼","frac15":"⅕","frac16":"⅙","frac18":"⅛","frac23":"⅔","frac25":"⅖","frac34":"¾","frac35":"⅗","frac38":"⅜","frac45":"⅘","frac56":"⅚","frac58":"⅝","frac78":"⅞","frasl":"⁄","frown":"⌢","fscr":"𝒻","Fscr":"ℱ","gacute":"ǵ","Gamma":"Γ","gamma":"γ","Gammad":"Ϝ","gammad":"ϝ","gap":"⪆","Gbreve":"Ğ","gbreve":"ğ","Gcedil":"Ģ","Gcirc":"Ĝ","gcirc":"ĝ","Gcy":"Г","gcy":"г","Gdot":"Ġ","gdot":"ġ","ge":"≥","gE":"≧","gEl":"⪌","gel":"⋛","geq":"≥","geqq":"≧","geqslant":"⩾","gescc":"⪩","ges":"⩾","gesdot":"⪀","gesdoto":"⪂","gesdotol":"⪄","gesl":"⋛︀","gesles":"⪔","Gfr":"𝔊","gfr":"𝔤","gg":"≫","Gg":"⋙","ggg":"⋙","gimel":"ℷ","GJcy":"Ѓ","gjcy":"ѓ","gla":"⪥","gl":"≷","glE":"⪒","glj":"⪤","gnap":"⪊","gnapprox":"⪊","gne":"⪈","gnE":"≩","gneq":"⪈","gneqq":"≩","gnsim":"⋧","Gopf":"𝔾","gopf":"𝕘","grave":"`","GreaterEqual":"≥","GreaterEqualLess":"⋛","GreaterFullEqual":"≧","GreaterGreater":"⪢","GreaterLess":"≷","GreaterSlantEqual":"⩾","GreaterTilde":"≳","Gscr":"𝒢","gscr":"ℊ","gsim":"≳","gsime":"⪎","gsiml":"⪐","gtcc":"⪧","gtcir":"⩺","gt":">","GT":">","Gt":"≫","gtdot":"⋗","gtlPar":"⦕","gtquest":"⩼","gtrapprox":"⪆","gtrarr":"⥸","gtrdot":"⋗","gtreqless":"⋛","gtreqqless":"⪌","gtrless":"≷","gtrsim":"≳","gvertneqq":"≩︀","gvnE":"≩︀","Hacek":"ˇ","hairsp":" ","half":"½","hamilt":"ℋ","HARDcy":"Ъ","hardcy":"ъ","harrcir":"⥈","harr":"↔","hArr":"⇔","harrw":"↭","Hat":"^","hbar":"ℏ","Hcirc":"Ĥ","hcirc":"ĥ","hearts":"♥","heartsuit":"♥","hellip":"…","hercon":"⊹","hfr":"𝔥","Hfr":"ℌ","HilbertSpace":"ℋ","hksearow":"⤥","hkswarow":"⤦","hoarr":"⇿","homtht":"∻","hookleftarrow":"↩","hookrightarrow":"↪","hopf":"𝕙","Hopf":"ℍ","horbar":"―","HorizontalLine":"─","hscr":"𝒽","Hscr":"ℋ","hslash":"ℏ","Hstrok":"Ħ","hstrok":"ħ","HumpDownHump":"≎","HumpEqual":"≏","hybull":"⁃","hyphen":"‐","Iacute":"Í","iacute":"í","ic":"⁣","Icirc":"Î","icirc":"î","Icy":"И","icy":"и","Idot":"İ","IEcy":"Е","iecy":"е","iexcl":"¡","iff":"⇔","ifr":"𝔦","Ifr":"ℑ","Igrave":"Ì","igrave":"ì","ii":"ⅈ","iiiint":"⨌","iiint":"∭","iinfin":"⧜","iiota":"℩","IJlig":"IJ","ijlig":"ij","Imacr":"Ī","imacr":"ī","image":"ℑ","ImaginaryI":"ⅈ","imagline":"ℐ","imagpart":"ℑ","imath":"ı","Im":"ℑ","imof":"⊷","imped":"Ƶ","Implies":"⇒","incare":"℅","in":"∈","infin":"∞","infintie":"⧝","inodot":"ı","intcal":"⊺","int":"∫","Int":"∬","integers":"ℤ","Integral":"∫","intercal":"⊺","Intersection":"⋂","intlarhk":"⨗","intprod":"⨼","InvisibleComma":"⁣","InvisibleTimes":"⁢","IOcy":"Ё","iocy":"ё","Iogon":"Į","iogon":"į","Iopf":"𝕀","iopf":"𝕚","Iota":"Ι","iota":"ι","iprod":"⨼","iquest":"¿","iscr":"𝒾","Iscr":"ℐ","isin":"∈","isindot":"⋵","isinE":"⋹","isins":"⋴","isinsv":"⋳","isinv":"∈","it":"⁢","Itilde":"Ĩ","itilde":"ĩ","Iukcy":"І","iukcy":"і","Iuml":"Ï","iuml":"ï","Jcirc":"Ĵ","jcirc":"ĵ","Jcy":"Й","jcy":"й","Jfr":"𝔍","jfr":"𝔧","jmath":"ȷ","Jopf":"𝕁","jopf":"𝕛","Jscr":"𝒥","jscr":"𝒿","Jsercy":"Ј","jsercy":"ј","Jukcy":"Є","jukcy":"є","Kappa":"Κ","kappa":"κ","kappav":"ϰ","Kcedil":"Ķ","kcedil":"ķ","Kcy":"К","kcy":"к","Kfr":"𝔎","kfr":"𝔨","kgreen":"ĸ","KHcy":"Х","khcy":"х","KJcy":"Ќ","kjcy":"ќ","Kopf":"𝕂","kopf":"𝕜","Kscr":"𝒦","kscr":"𝓀","lAarr":"⇚","Lacute":"Ĺ","lacute":"ĺ","laemptyv":"⦴","lagran":"ℒ","Lambda":"Λ","lambda":"λ","lang":"⟨","Lang":"⟪","langd":"⦑","langle":"⟨","lap":"⪅","Laplacetrf":"ℒ","laquo":"«","larrb":"⇤","larrbfs":"⤟","larr":"←","Larr":"↞","lArr":"⇐","larrfs":"⤝","larrhk":"↩","larrlp":"↫","larrpl":"⤹","larrsim":"⥳","larrtl":"↢","latail":"⤙","lAtail":"⤛","lat":"⪫","late":"⪭","lates":"⪭︀","lbarr":"⤌","lBarr":"⤎","lbbrk":"❲","lbrace":"{","lbrack":"[","lbrke":"⦋","lbrksld":"⦏","lbrkslu":"⦍","Lcaron":"Ľ","lcaron":"ľ","Lcedil":"Ļ","lcedil":"ļ","lceil":"⌈","lcub":"{","Lcy":"Л","lcy":"л","ldca":"⤶","ldquo":"“","ldquor":"„","ldrdhar":"⥧","ldrushar":"⥋","ldsh":"↲","le":"≤","lE":"≦","LeftAngleBracket":"⟨","LeftArrowBar":"⇤","leftarrow":"←","LeftArrow":"←","Leftarrow":"⇐","LeftArrowRightArrow":"⇆","leftarrowtail":"↢","LeftCeiling":"⌈","LeftDoubleBracket":"⟦","LeftDownTeeVector":"⥡","LeftDownVectorBar":"⥙","LeftDownVector":"⇃","LeftFloor":"⌊","leftharpoondown":"↽","leftharpoonup":"↼","leftleftarrows":"⇇","leftrightarrow":"↔","LeftRightArrow":"↔","Leftrightarrow":"⇔","leftrightarrows":"⇆","leftrightharpoons":"⇋","leftrightsquigarrow":"↭","LeftRightVector":"⥎","LeftTeeArrow":"↤","LeftTee":"⊣","LeftTeeVector":"⥚","leftthreetimes":"⋋","LeftTriangleBar":"⧏","LeftTriangle":"⊲","LeftTriangleEqual":"⊴","LeftUpDownVector":"⥑","LeftUpTeeVector":"⥠","LeftUpVectorBar":"⥘","LeftUpVector":"↿","LeftVectorBar":"⥒","LeftVector":"↼","lEg":"⪋","leg":"⋚","leq":"≤","leqq":"≦","leqslant":"⩽","lescc":"⪨","les":"⩽","lesdot":"⩿","lesdoto":"⪁","lesdotor":"⪃","lesg":"⋚︀","lesges":"⪓","lessapprox":"⪅","lessdot":"⋖","lesseqgtr":"⋚","lesseqqgtr":"⪋","LessEqualGreater":"⋚","LessFullEqual":"≦","LessGreater":"≶","lessgtr":"≶","LessLess":"⪡","lesssim":"≲","LessSlantEqual":"⩽","LessTilde":"≲","lfisht":"⥼","lfloor":"⌊","Lfr":"𝔏","lfr":"𝔩","lg":"≶","lgE":"⪑","lHar":"⥢","lhard":"↽","lharu":"↼","lharul":"⥪","lhblk":"▄","LJcy":"Љ","ljcy":"љ","llarr":"⇇","ll":"≪","Ll":"⋘","llcorner":"⌞","Lleftarrow":"⇚","llhard":"⥫","lltri":"◺","Lmidot":"Ŀ","lmidot":"ŀ","lmoustache":"⎰","lmoust":"⎰","lnap":"⪉","lnapprox":"⪉","lne":"⪇","lnE":"≨","lneq":"⪇","lneqq":"≨","lnsim":"⋦","loang":"⟬","loarr":"⇽","lobrk":"⟦","longleftarrow":"⟵","LongLeftArrow":"⟵","Longleftarrow":"⟸","longleftrightarrow":"⟷","LongLeftRightArrow":"⟷","Longleftrightarrow":"⟺","longmapsto":"⟼","longrightarrow":"⟶","LongRightArrow":"⟶","Longrightarrow":"⟹","looparrowleft":"↫","looparrowright":"↬","lopar":"⦅","Lopf":"𝕃","lopf":"𝕝","loplus":"⨭","lotimes":"⨴","lowast":"∗","lowbar":"_","LowerLeftArrow":"↙","LowerRightArrow":"↘","loz":"◊","lozenge":"◊","lozf":"⧫","lpar":"(","lparlt":"⦓","lrarr":"⇆","lrcorner":"⌟","lrhar":"⇋","lrhard":"⥭","lrm":"‎","lrtri":"⊿","lsaquo":"‹","lscr":"𝓁","Lscr":"ℒ","lsh":"↰","Lsh":"↰","lsim":"≲","lsime":"⪍","lsimg":"⪏","lsqb":"[","lsquo":"‘","lsquor":"‚","Lstrok":"Ł","lstrok":"ł","ltcc":"⪦","ltcir":"⩹","lt":"<","LT":"<","Lt":"≪","ltdot":"⋖","lthree":"⋋","ltimes":"⋉","ltlarr":"⥶","ltquest":"⩻","ltri":"◃","ltrie":"⊴","ltrif":"◂","ltrPar":"⦖","lurdshar":"⥊","luruhar":"⥦","lvertneqq":"≨︀","lvnE":"≨︀","macr":"¯","male":"♂","malt":"✠","maltese":"✠","Map":"⤅","map":"↦","mapsto":"↦","mapstodown":"↧","mapstoleft":"↤","mapstoup":"↥","marker":"▮","mcomma":"⨩","Mcy":"М","mcy":"м","mdash":"—","mDDot":"∺","measuredangle":"∡","MediumSpace":" ","Mellintrf":"ℳ","Mfr":"𝔐","mfr":"𝔪","mho":"℧","micro":"µ","midast":"*","midcir":"⫰","mid":"∣","middot":"·","minusb":"⊟","minus":"−","minusd":"∸","minusdu":"⨪","MinusPlus":"∓","mlcp":"⫛","mldr":"…","mnplus":"∓","models":"⊧","Mopf":"𝕄","mopf":"𝕞","mp":"∓","mscr":"𝓂","Mscr":"ℳ","mstpos":"∾","Mu":"Μ","mu":"μ","multimap":"⊸","mumap":"⊸","nabla":"∇","Nacute":"Ń","nacute":"ń","nang":"∠⃒","nap":"≉","napE":"⩰̸","napid":"≋̸","napos":"ʼn","napprox":"≉","natural":"♮","naturals":"ℕ","natur":"♮","nbsp":" ","nbump":"≎̸","nbumpe":"≏̸","ncap":"⩃","Ncaron":"Ň","ncaron":"ň","Ncedil":"Ņ","ncedil":"ņ","ncong":"≇","ncongdot":"⩭̸","ncup":"⩂","Ncy":"Н","ncy":"н","ndash":"–","nearhk":"⤤","nearr":"↗","neArr":"⇗","nearrow":"↗","ne":"≠","nedot":"≐̸","NegativeMediumSpace":"​","NegativeThickSpace":"​","NegativeThinSpace":"​","NegativeVeryThinSpace":"​","nequiv":"≢","nesear":"⤨","nesim":"≂̸","NestedGreaterGreater":"≫","NestedLessLess":"≪","NewLine":"\\n","nexist":"∄","nexists":"∄","Nfr":"𝔑","nfr":"𝔫","ngE":"≧̸","nge":"≱","ngeq":"≱","ngeqq":"≧̸","ngeqslant":"⩾̸","nges":"⩾̸","nGg":"⋙̸","ngsim":"≵","nGt":"≫⃒","ngt":"≯","ngtr":"≯","nGtv":"≫̸","nharr":"↮","nhArr":"⇎","nhpar":"⫲","ni":"∋","nis":"⋼","nisd":"⋺","niv":"∋","NJcy":"Њ","njcy":"њ","nlarr":"↚","nlArr":"⇍","nldr":"‥","nlE":"≦̸","nle":"≰","nleftarrow":"↚","nLeftarrow":"⇍","nleftrightarrow":"↮","nLeftrightarrow":"⇎","nleq":"≰","nleqq":"≦̸","nleqslant":"⩽̸","nles":"⩽̸","nless":"≮","nLl":"⋘̸","nlsim":"≴","nLt":"≪⃒","nlt":"≮","nltri":"⋪","nltrie":"⋬","nLtv":"≪̸","nmid":"∤","NoBreak":"⁠","NonBreakingSpace":" ","nopf":"𝕟","Nopf":"ℕ","Not":"⫬","not":"¬","NotCongruent":"≢","NotCupCap":"≭","NotDoubleVerticalBar":"∦","NotElement":"∉","NotEqual":"≠","NotEqualTilde":"≂̸","NotExists":"∄","NotGreater":"≯","NotGreaterEqual":"≱","NotGreaterFullEqual":"≧̸","NotGreaterGreater":"≫̸","NotGreaterLess":"≹","NotGreaterSlantEqual":"⩾̸","NotGreaterTilde":"≵","NotHumpDownHump":"≎̸","NotHumpEqual":"≏̸","notin":"∉","notindot":"⋵̸","notinE":"⋹̸","notinva":"∉","notinvb":"⋷","notinvc":"⋶","NotLeftTriangleBar":"⧏̸","NotLeftTriangle":"⋪","NotLeftTriangleEqual":"⋬","NotLess":"≮","NotLessEqual":"≰","NotLessGreater":"≸","NotLessLess":"≪̸","NotLessSlantEqual":"⩽̸","NotLessTilde":"≴","NotNestedGreaterGreater":"⪢̸","NotNestedLessLess":"⪡̸","notni":"∌","notniva":"∌","notnivb":"⋾","notnivc":"⋽","NotPrecedes":"⊀","NotPrecedesEqual":"⪯̸","NotPrecedesSlantEqual":"⋠","NotReverseElement":"∌","NotRightTriangleBar":"⧐̸","NotRightTriangle":"⋫","NotRightTriangleEqual":"⋭","NotSquareSubset":"⊏̸","NotSquareSubsetEqual":"⋢","NotSquareSuperset":"⊐̸","NotSquareSupersetEqual":"⋣","NotSubset":"⊂⃒","NotSubsetEqual":"⊈","NotSucceeds":"⊁","NotSucceedsEqual":"⪰̸","NotSucceedsSlantEqual":"⋡","NotSucceedsTilde":"≿̸","NotSuperset":"⊃⃒","NotSupersetEqual":"⊉","NotTilde":"≁","NotTildeEqual":"≄","NotTildeFullEqual":"≇","NotTildeTilde":"≉","NotVerticalBar":"∤","nparallel":"∦","npar":"∦","nparsl":"⫽⃥","npart":"∂̸","npolint":"⨔","npr":"⊀","nprcue":"⋠","nprec":"⊀","npreceq":"⪯̸","npre":"⪯̸","nrarrc":"⤳̸","nrarr":"↛","nrArr":"⇏","nrarrw":"↝̸","nrightarrow":"↛","nRightarrow":"⇏","nrtri":"⋫","nrtrie":"⋭","nsc":"⊁","nsccue":"⋡","nsce":"⪰̸","Nscr":"𝒩","nscr":"𝓃","nshortmid":"∤","nshortparallel":"∦","nsim":"≁","nsime":"≄","nsimeq":"≄","nsmid":"∤","nspar":"∦","nsqsube":"⋢","nsqsupe":"⋣","nsub":"⊄","nsubE":"⫅̸","nsube":"⊈","nsubset":"⊂⃒","nsubseteq":"⊈","nsubseteqq":"⫅̸","nsucc":"⊁","nsucceq":"⪰̸","nsup":"⊅","nsupE":"⫆̸","nsupe":"⊉","nsupset":"⊃⃒","nsupseteq":"⊉","nsupseteqq":"⫆̸","ntgl":"≹","Ntilde":"Ñ","ntilde":"ñ","ntlg":"≸","ntriangleleft":"⋪","ntrianglelefteq":"⋬","ntriangleright":"⋫","ntrianglerighteq":"⋭","Nu":"Ν","nu":"ν","num":"#","numero":"№","numsp":" ","nvap":"≍⃒","nvdash":"⊬","nvDash":"⊭","nVdash":"⊮","nVDash":"⊯","nvge":"≥⃒","nvgt":">⃒","nvHarr":"⤄","nvinfin":"⧞","nvlArr":"⤂","nvle":"≤⃒","nvlt":"<⃒","nvltrie":"⊴⃒","nvrArr":"⤃","nvrtrie":"⊵⃒","nvsim":"∼⃒","nwarhk":"⤣","nwarr":"↖","nwArr":"⇖","nwarrow":"↖","nwnear":"⤧","Oacute":"Ó","oacute":"ó","oast":"⊛","Ocirc":"Ô","ocirc":"ô","ocir":"⊚","Ocy":"О","ocy":"о","odash":"⊝","Odblac":"Ő","odblac":"ő","odiv":"⨸","odot":"⊙","odsold":"⦼","OElig":"Œ","oelig":"œ","ofcir":"⦿","Ofr":"𝔒","ofr":"𝔬","ogon":"˛","Ograve":"Ò","ograve":"ò","ogt":"⧁","ohbar":"⦵","ohm":"Ω","oint":"∮","olarr":"↺","olcir":"⦾","olcross":"⦻","oline":"‾","olt":"⧀","Omacr":"Ō","omacr":"ō","Omega":"Ω","omega":"ω","Omicron":"Ο","omicron":"ο","omid":"⦶","ominus":"⊖","Oopf":"𝕆","oopf":"𝕠","opar":"⦷","OpenCurlyDoubleQuote":"“","OpenCurlyQuote":"‘","operp":"⦹","oplus":"⊕","orarr":"↻","Or":"⩔","or":"∨","ord":"⩝","order":"ℴ","orderof":"ℴ","ordf":"ª","ordm":"º","origof":"⊶","oror":"⩖","orslope":"⩗","orv":"⩛","oS":"Ⓢ","Oscr":"𝒪","oscr":"ℴ","Oslash":"Ø","oslash":"ø","osol":"⊘","Otilde":"Õ","otilde":"õ","otimesas":"⨶","Otimes":"⨷","otimes":"⊗","Ouml":"Ö","ouml":"ö","ovbar":"⌽","OverBar":"‾","OverBrace":"⏞","OverBracket":"⎴","OverParenthesis":"⏜","para":"¶","parallel":"∥","par":"∥","parsim":"⫳","parsl":"⫽","part":"∂","PartialD":"∂","Pcy":"П","pcy":"п","percnt":"%","period":".","permil":"‰","perp":"⊥","pertenk":"‱","Pfr":"𝔓","pfr":"𝔭","Phi":"Φ","phi":"φ","phiv":"ϕ","phmmat":"ℳ","phone":"☎","Pi":"Π","pi":"π","pitchfork":"⋔","piv":"ϖ","planck":"ℏ","planckh":"ℎ","plankv":"ℏ","plusacir":"⨣","plusb":"⊞","pluscir":"⨢","plus":"+","plusdo":"∔","plusdu":"⨥","pluse":"⩲","PlusMinus":"±","plusmn":"±","plussim":"⨦","plustwo":"⨧","pm":"±","Poincareplane":"ℌ","pointint":"⨕","popf":"𝕡","Popf":"ℙ","pound":"£","prap":"⪷","Pr":"⪻","pr":"≺","prcue":"≼","precapprox":"⪷","prec":"≺","preccurlyeq":"≼","Precedes":"≺","PrecedesEqual":"⪯","PrecedesSlantEqual":"≼","PrecedesTilde":"≾","preceq":"⪯","precnapprox":"⪹","precneqq":"⪵","precnsim":"⋨","pre":"⪯","prE":"⪳","precsim":"≾","prime":"′","Prime":"″","primes":"ℙ","prnap":"⪹","prnE":"⪵","prnsim":"⋨","prod":"∏","Product":"∏","profalar":"⌮","profline":"⌒","profsurf":"⌓","prop":"∝","Proportional":"∝","Proportion":"∷","propto":"∝","prsim":"≾","prurel":"⊰","Pscr":"𝒫","pscr":"𝓅","Psi":"Ψ","psi":"ψ","puncsp":" ","Qfr":"𝔔","qfr":"𝔮","qint":"⨌","qopf":"𝕢","Qopf":"ℚ","qprime":"⁗","Qscr":"𝒬","qscr":"𝓆","quaternions":"ℍ","quatint":"⨖","quest":"?","questeq":"≟","quot":"\\"","QUOT":"\\"","rAarr":"⇛","race":"∽̱","Racute":"Ŕ","racute":"ŕ","radic":"√","raemptyv":"⦳","rang":"⟩","Rang":"⟫","rangd":"⦒","range":"⦥","rangle":"⟩","raquo":"»","rarrap":"⥵","rarrb":"⇥","rarrbfs":"⤠","rarrc":"⤳","rarr":"→","Rarr":"↠","rArr":"⇒","rarrfs":"⤞","rarrhk":"↪","rarrlp":"↬","rarrpl":"⥅","rarrsim":"⥴","Rarrtl":"⤖","rarrtl":"↣","rarrw":"↝","ratail":"⤚","rAtail":"⤜","ratio":"∶","rationals":"ℚ","rbarr":"⤍","rBarr":"⤏","RBarr":"⤐","rbbrk":"❳","rbrace":"}","rbrack":"]","rbrke":"⦌","rbrksld":"⦎","rbrkslu":"⦐","Rcaron":"Ř","rcaron":"ř","Rcedil":"Ŗ","rcedil":"ŗ","rceil":"⌉","rcub":"}","Rcy":"Р","rcy":"р","rdca":"⤷","rdldhar":"⥩","rdquo":"”","rdquor":"”","rdsh":"↳","real":"ℜ","realine":"ℛ","realpart":"ℜ","reals":"ℝ","Re":"ℜ","rect":"▭","reg":"®","REG":"®","ReverseElement":"∋","ReverseEquilibrium":"⇋","ReverseUpEquilibrium":"⥯","rfisht":"⥽","rfloor":"⌋","rfr":"𝔯","Rfr":"ℜ","rHar":"⥤","rhard":"⇁","rharu":"⇀","rharul":"⥬","Rho":"Ρ","rho":"ρ","rhov":"ϱ","RightAngleBracket":"⟩","RightArrowBar":"⇥","rightarrow":"→","RightArrow":"→","Rightarrow":"⇒","RightArrowLeftArrow":"⇄","rightarrowtail":"↣","RightCeiling":"⌉","RightDoubleBracket":"⟧","RightDownTeeVector":"⥝","RightDownVectorBar":"⥕","RightDownVector":"⇂","RightFloor":"⌋","rightharpoondown":"⇁","rightharpoonup":"⇀","rightleftarrows":"⇄","rightleftharpoons":"⇌","rightrightarrows":"⇉","rightsquigarrow":"↝","RightTeeArrow":"↦","RightTee":"⊢","RightTeeVector":"⥛","rightthreetimes":"⋌","RightTriangleBar":"⧐","RightTriangle":"⊳","RightTriangleEqual":"⊵","RightUpDownVector":"⥏","RightUpTeeVector":"⥜","RightUpVectorBar":"⥔","RightUpVector":"↾","RightVectorBar":"⥓","RightVector":"⇀","ring":"˚","risingdotseq":"≓","rlarr":"⇄","rlhar":"⇌","rlm":"‏","rmoustache":"⎱","rmoust":"⎱","rnmid":"⫮","roang":"⟭","roarr":"⇾","robrk":"⟧","ropar":"⦆","ropf":"𝕣","Ropf":"ℝ","roplus":"⨮","rotimes":"⨵","RoundImplies":"⥰","rpar":")","rpargt":"⦔","rppolint":"⨒","rrarr":"⇉","Rrightarrow":"⇛","rsaquo":"›","rscr":"𝓇","Rscr":"ℛ","rsh":"↱","Rsh":"↱","rsqb":"]","rsquo":"’","rsquor":"’","rthree":"⋌","rtimes":"⋊","rtri":"▹","rtrie":"⊵","rtrif":"▸","rtriltri":"⧎","RuleDelayed":"⧴","ruluhar":"⥨","rx":"℞","Sacute":"Ś","sacute":"ś","sbquo":"‚","scap":"⪸","Scaron":"Š","scaron":"š","Sc":"⪼","sc":"≻","sccue":"≽","sce":"⪰","scE":"⪴","Scedil":"Ş","scedil":"ş","Scirc":"Ŝ","scirc":"ŝ","scnap":"⪺","scnE":"⪶","scnsim":"⋩","scpolint":"⨓","scsim":"≿","Scy":"С","scy":"с","sdotb":"⊡","sdot":"⋅","sdote":"⩦","searhk":"⤥","searr":"↘","seArr":"⇘","searrow":"↘","sect":"§","semi":";","seswar":"⤩","setminus":"∖","setmn":"∖","sext":"✶","Sfr":"𝔖","sfr":"𝔰","sfrown":"⌢","sharp":"♯","SHCHcy":"Щ","shchcy":"щ","SHcy":"Ш","shcy":"ш","ShortDownArrow":"↓","ShortLeftArrow":"←","shortmid":"∣","shortparallel":"∥","ShortRightArrow":"→","ShortUpArrow":"↑","shy":"­","Sigma":"Σ","sigma":"σ","sigmaf":"ς","sigmav":"ς","sim":"∼","simdot":"⩪","sime":"≃","simeq":"≃","simg":"⪞","simgE":"⪠","siml":"⪝","simlE":"⪟","simne":"≆","simplus":"⨤","simrarr":"⥲","slarr":"←","SmallCircle":"∘","smallsetminus":"∖","smashp":"⨳","smeparsl":"⧤","smid":"∣","smile":"⌣","smt":"⪪","smte":"⪬","smtes":"⪬︀","SOFTcy":"Ь","softcy":"ь","solbar":"⌿","solb":"⧄","sol":"/","Sopf":"𝕊","sopf":"𝕤","spades":"♠","spadesuit":"♠","spar":"∥","sqcap":"⊓","sqcaps":"⊓︀","sqcup":"⊔","sqcups":"⊔︀","Sqrt":"√","sqsub":"⊏","sqsube":"⊑","sqsubset":"⊏","sqsubseteq":"⊑","sqsup":"⊐","sqsupe":"⊒","sqsupset":"⊐","sqsupseteq":"⊒","square":"□","Square":"□","SquareIntersection":"⊓","SquareSubset":"⊏","SquareSubsetEqual":"⊑","SquareSuperset":"⊐","SquareSupersetEqual":"⊒","SquareUnion":"⊔","squarf":"▪","squ":"□","squf":"▪","srarr":"→","Sscr":"𝒮","sscr":"𝓈","ssetmn":"∖","ssmile":"⌣","sstarf":"⋆","Star":"⋆","star":"☆","starf":"★","straightepsilon":"ϵ","straightphi":"ϕ","strns":"¯","sub":"⊂","Sub":"⋐","subdot":"⪽","subE":"⫅","sube":"⊆","subedot":"⫃","submult":"⫁","subnE":"⫋","subne":"⊊","subplus":"⪿","subrarr":"⥹","subset":"⊂","Subset":"⋐","subseteq":"⊆","subseteqq":"⫅","SubsetEqual":"⊆","subsetneq":"⊊","subsetneqq":"⫋","subsim":"⫇","subsub":"⫕","subsup":"⫓","succapprox":"⪸","succ":"≻","succcurlyeq":"≽","Succeeds":"≻","SucceedsEqual":"⪰","SucceedsSlantEqual":"≽","SucceedsTilde":"≿","succeq":"⪰","succnapprox":"⪺","succneqq":"⪶","succnsim":"⋩","succsim":"≿","SuchThat":"∋","sum":"∑","Sum":"∑","sung":"♪","sup1":"¹","sup2":"²","sup3":"³","sup":"⊃","Sup":"⋑","supdot":"⪾","supdsub":"⫘","supE":"⫆","supe":"⊇","supedot":"⫄","Superset":"⊃","SupersetEqual":"⊇","suphsol":"⟉","suphsub":"⫗","suplarr":"⥻","supmult":"⫂","supnE":"⫌","supne":"⊋","supplus":"⫀","supset":"⊃","Supset":"⋑","supseteq":"⊇","supseteqq":"⫆","supsetneq":"⊋","supsetneqq":"⫌","supsim":"⫈","supsub":"⫔","supsup":"⫖","swarhk":"⤦","swarr":"↙","swArr":"⇙","swarrow":"↙","swnwar":"⤪","szlig":"ß","Tab":"\\t","target":"⌖","Tau":"Τ","tau":"τ","tbrk":"⎴","Tcaron":"Ť","tcaron":"ť","Tcedil":"Ţ","tcedil":"ţ","Tcy":"Т","tcy":"т","tdot":"⃛","telrec":"⌕","Tfr":"𝔗","tfr":"𝔱","there4":"∴","therefore":"∴","Therefore":"∴","Theta":"Θ","theta":"θ","thetasym":"ϑ","thetav":"ϑ","thickapprox":"≈","thicksim":"∼","ThickSpace":"  ","ThinSpace":" ","thinsp":" ","thkap":"≈","thksim":"∼","THORN":"Þ","thorn":"þ","tilde":"˜","Tilde":"∼","TildeEqual":"≃","TildeFullEqual":"≅","TildeTilde":"≈","timesbar":"⨱","timesb":"⊠","times":"×","timesd":"⨰","tint":"∭","toea":"⤨","topbot":"⌶","topcir":"⫱","top":"⊤","Topf":"𝕋","topf":"𝕥","topfork":"⫚","tosa":"⤩","tprime":"‴","trade":"™","TRADE":"™","triangle":"▵","triangledown":"▿","triangleleft":"◃","trianglelefteq":"⊴","triangleq":"≜","triangleright":"▹","trianglerighteq":"⊵","tridot":"◬","trie":"≜","triminus":"⨺","TripleDot":"⃛","triplus":"⨹","trisb":"⧍","tritime":"⨻","trpezium":"⏢","Tscr":"𝒯","tscr":"𝓉","TScy":"Ц","tscy":"ц","TSHcy":"Ћ","tshcy":"ћ","Tstrok":"Ŧ","tstrok":"ŧ","twixt":"≬","twoheadleftarrow":"↞","twoheadrightarrow":"↠","Uacute":"Ú","uacute":"ú","uarr":"↑","Uarr":"↟","uArr":"⇑","Uarrocir":"⥉","Ubrcy":"Ў","ubrcy":"ў","Ubreve":"Ŭ","ubreve":"ŭ","Ucirc":"Û","ucirc":"û","Ucy":"У","ucy":"у","udarr":"⇅","Udblac":"Ű","udblac":"ű","udhar":"⥮","ufisht":"⥾","Ufr":"𝔘","ufr":"𝔲","Ugrave":"Ù","ugrave":"ù","uHar":"⥣","uharl":"↿","uharr":"↾","uhblk":"▀","ulcorn":"⌜","ulcorner":"⌜","ulcrop":"⌏","ultri":"◸","Umacr":"Ū","umacr":"ū","uml":"¨","UnderBar":"_","UnderBrace":"⏟","UnderBracket":"⎵","UnderParenthesis":"⏝","Union":"⋃","UnionPlus":"⊎","Uogon":"Ų","uogon":"ų","Uopf":"𝕌","uopf":"𝕦","UpArrowBar":"⤒","uparrow":"↑","UpArrow":"↑","Uparrow":"⇑","UpArrowDownArrow":"⇅","updownarrow":"↕","UpDownArrow":"↕","Updownarrow":"⇕","UpEquilibrium":"⥮","upharpoonleft":"↿","upharpoonright":"↾","uplus":"⊎","UpperLeftArrow":"↖","UpperRightArrow":"↗","upsi":"υ","Upsi":"ϒ","upsih":"ϒ","Upsilon":"Υ","upsilon":"υ","UpTeeArrow":"↥","UpTee":"⊥","upuparrows":"⇈","urcorn":"⌝","urcorner":"⌝","urcrop":"⌎","Uring":"Ů","uring":"ů","urtri":"◹","Uscr":"𝒰","uscr":"𝓊","utdot":"⋰","Utilde":"Ũ","utilde":"ũ","utri":"▵","utrif":"▴","uuarr":"⇈","Uuml":"Ü","uuml":"ü","uwangle":"⦧","vangrt":"⦜","varepsilon":"ϵ","varkappa":"ϰ","varnothing":"∅","varphi":"ϕ","varpi":"ϖ","varpropto":"∝","varr":"↕","vArr":"⇕","varrho":"ϱ","varsigma":"ς","varsubsetneq":"⊊︀","varsubsetneqq":"⫋︀","varsupsetneq":"⊋︀","varsupsetneqq":"⫌︀","vartheta":"ϑ","vartriangleleft":"⊲","vartriangleright":"⊳","vBar":"⫨","Vbar":"⫫","vBarv":"⫩","Vcy":"В","vcy":"в","vdash":"⊢","vDash":"⊨","Vdash":"⊩","VDash":"⊫","Vdashl":"⫦","veebar":"⊻","vee":"∨","Vee":"⋁","veeeq":"≚","vellip":"⋮","verbar":"|","Verbar":"‖","vert":"|","Vert":"‖","VerticalBar":"∣","VerticalLine":"|","VerticalSeparator":"❘","VerticalTilde":"≀","VeryThinSpace":" ","Vfr":"𝔙","vfr":"𝔳","vltri":"⊲","vnsub":"⊂⃒","vnsup":"⊃⃒","Vopf":"𝕍","vopf":"𝕧","vprop":"∝","vrtri":"⊳","Vscr":"𝒱","vscr":"𝓋","vsubnE":"⫋︀","vsubne":"⊊︀","vsupnE":"⫌︀","vsupne":"⊋︀","Vvdash":"⊪","vzigzag":"⦚","Wcirc":"Ŵ","wcirc":"ŵ","wedbar":"⩟","wedge":"∧","Wedge":"⋀","wedgeq":"≙","weierp":"℘","Wfr":"𝔚","wfr":"𝔴","Wopf":"𝕎","wopf":"𝕨","wp":"℘","wr":"≀","wreath":"≀","Wscr":"𝒲","wscr":"𝓌","xcap":"⋂","xcirc":"◯","xcup":"⋃","xdtri":"▽","Xfr":"𝔛","xfr":"𝔵","xharr":"⟷","xhArr":"⟺","Xi":"Ξ","xi":"ξ","xlarr":"⟵","xlArr":"⟸","xmap":"⟼","xnis":"⋻","xodot":"⨀","Xopf":"𝕏","xopf":"𝕩","xoplus":"⨁","xotime":"⨂","xrarr":"⟶","xrArr":"⟹","Xscr":"𝒳","xscr":"𝓍","xsqcup":"⨆","xuplus":"⨄","xutri":"△","xvee":"⋁","xwedge":"⋀","Yacute":"Ý","yacute":"ý","YAcy":"Я","yacy":"я","Ycirc":"Ŷ","ycirc":"ŷ","Ycy":"Ы","ycy":"ы","yen":"¥","Yfr":"𝔜","yfr":"𝔶","YIcy":"Ї","yicy":"ї","Yopf":"𝕐","yopf":"𝕪","Yscr":"𝒴","yscr":"𝓎","YUcy":"Ю","yucy":"ю","yuml":"ÿ","Yuml":"Ÿ","Zacute":"Ź","zacute":"ź","Zcaron":"Ž","zcaron":"ž","Zcy":"З","zcy":"з","Zdot":"Ż","zdot":"ż","zeetrf":"ℨ","ZeroWidthSpace":"​","Zeta":"Ζ","zeta":"ζ","zfr":"𝔷","Zfr":"ℨ","ZHcy":"Ж","zhcy":"ж","zigrarr":"⇝","zopf":"𝕫","Zopf":"ℤ","Zscr":"𝒵","zscr":"𝓏","zwj":"‍","zwnj":"‌"}')},function(e,t,n){"use strict";var r={};function o(e,t,n){var i,a,s,u,c,l="";for("string"!=typeof t&&(n=t,t=o.defaultChars),void 0===n&&(n=!0),c=function(e){var t,n,o=r[e];if(o)return o;for(o=r[e]=[],t=0;t<128;t++)n=String.fromCharCode(t),/^[0-9a-z]$/i.test(n)?o.push(n):o.push("%"+("0"+t.toString(16).toUpperCase()).slice(-2));for(t=0;t<e.length;t++)o[e.charCodeAt(t)]=e[t];return o}(t),i=0,a=e.length;i<a;i++)if(s=e.charCodeAt(i),n&&37===s&&i+2<a&&/^[0-9a-f]{2}$/i.test(e.slice(i+1,i+3)))l+=e.slice(i,i+3),i+=2;else if(s<128)l+=c[s];else if(s>=55296&&s<=57343){if(s>=55296&&s<=56319&&i+1<a&&(u=e.charCodeAt(i+1))>=56320&&u<=57343){l+=encodeURIComponent(e[i]+e[i+1]),i++;continue}l+="%EF%BF%BD"}else l+=encodeURIComponent(e[i]);return l}o.defaultChars=";/?:@&=+$,-_.!~*'()#",o.componentChars="-_.!~*'()",e.exports=o},function(e,t,n){"use strict";var r={};function o(e,t){var n;return"string"!=typeof t&&(t=o.defaultChars),n=function(e){var t,n,o=r[e];if(o)return o;for(o=r[e]=[],t=0;t<128;t++)n=String.fromCharCode(t),o.push(n);for(t=0;t<e.length;t++)o[n=e.charCodeAt(t)]="%"+("0"+n.toString(16).toUpperCase()).slice(-2);return o}(t),e.replace(/(%[a-f0-9]{2})+/gi,(function(e){var t,r,o,i,a,s,u,c="";for(t=0,r=e.length;t<r;t+=3)(o=parseInt(e.slice(t+1,t+3),16))<128?c+=n[o]:192==(224&o)&&t+3<r&&128==(192&(i=parseInt(e.slice(t+4,t+6),16)))?(c+=(u=o<<6&1984|63&i)<128?"��":String.fromCharCode(u),t+=3):224==(240&o)&&t+6<r&&(i=parseInt(e.slice(t+4,t+6),16),a=parseInt(e.slice(t+7,t+9),16),128==(192&i)&&128==(192&a))?(c+=(u=o<<12&61440|i<<6&4032|63&a)<2048||u>=55296&&u<=57343?"���":String.fromCharCode(u),t+=6):240==(248&o)&&t+9<r&&(i=parseInt(e.slice(t+4,t+6),16),a=parseInt(e.slice(t+7,t+9),16),s=parseInt(e.slice(t+10,t+12),16),128==(192&i)&&128==(192&a)&&128==(192&s))?((u=o<<18&1835008|i<<12&258048|a<<6&4032|63&s)<65536||u>1114111?c+="����":(u-=65536,c+=String.fromCharCode(55296+(u>>10),56320+(1023&u))),t+=9):c+="�";return c}))}o.defaultChars=";/?:@&=+$,#",o.componentChars="",e.exports=o},function(e,t,n){"use strict";e.exports=function(e){var t="";return t+=e.protocol||"",t+=e.slashes?"//":"",t+=e.auth?e.auth+"@":"",e.hostname&&-1!==e.hostname.indexOf(":")?t+="["+e.hostname+"]":t+=e.hostname||"",t+=e.port?":"+e.port:"",t+=e.pathname||"",t+=e.search||"",t+=e.hash||""}},function(e,t,n){"use strict";function r(){this.protocol=null,this.slashes=null,this.auth=null,this.port=null,this.hostname=null,this.hash=null,this.search=null,this.pathname=null}var o=/^([a-z0-9.+-]+:)/i,i=/:[0-9]*$/,a=/^(\/\/?(?!\/)[^\?\s]*)(\?[^\s]*)?$/,s=["{","}","|","\\","^","`"].concat(["<",">",'"',"`"," ","\r","\n","\t"]),u=["'"].concat(s),c=["%","/","?",";","#"].concat(u),l=["/","?","#"],d=/^[+a-z0-9A-Z_-]{0,63}$/,h=/^([+a-z0-9A-Z_-]{0,63})(.*)$/,f={javascript:!0,"javascript:":!0},p={http:!0,https:!0,ftp:!0,gopher:!0,file:!0,"http:":!0,"https:":!0,"ftp:":!0,"gopher:":!0,"file:":!0};r.prototype.parse=function(e,t){var n,r,i,s,u,m=e;if(m=m.trim(),!t&&1===e.split("#").length){var _=a.exec(m);if(_)return this.pathname=_[1],_[2]&&(this.search=_[2]),this}var g=o.exec(m);if(g&&(i=(g=g[0]).toLowerCase(),this.protocol=g,m=m.substr(g.length)),(t||g||m.match(/^\/\/[^@\/]+@[^@\/]+/))&&(!(u="//"===m.substr(0,2))||g&&f[g]||(m=m.substr(2),this.slashes=!0)),!f[g]&&(u||g&&!p[g])){var y,v,M=-1;for(n=0;n<l.length;n++)-1!==(s=m.indexOf(l[n]))&&(-1===M||s<M)&&(M=s);for(-1!==(v=-1===M?m.lastIndexOf("@"):m.lastIndexOf("@",M))&&(y=m.slice(0,v),m=m.slice(v+1),this.auth=y),M=-1,n=0;n<c.length;n++)-1!==(s=m.indexOf(c[n]))&&(-1===M||s<M)&&(M=s);-1===M&&(M=m.length),":"===m[M-1]&&M--;var k=m.slice(0,M);m=m.slice(M),this.parseHost(k),this.hostname=this.hostname||"";var b="["===this.hostname[0]&&"]"===this.hostname[this.hostname.length-1];if(!b){var w=this.hostname.split(/\./);for(n=0,r=w.length;n<r;n++){var L=w[n];if(L&&!L.match(d)){for(var T="",D=0,x=L.length;D<x;D++)L.charCodeAt(D)>127?T+="x":T+=L[D];if(!T.match(d)){var S=w.slice(0,n),Y=w.slice(n+1),O=L.match(h);O&&(S.push(O[1]),Y.unshift(O[2])),Y.length&&(m=Y.join(".")+m),this.hostname=S.join(".");break}}}}this.hostname.length>255&&(this.hostname=""),b&&(this.hostname=this.hostname.substr(1,this.hostname.length-2))}var C=m.indexOf("#");-1!==C&&(this.hash=m.substr(C),m=m.slice(0,C));var E=m.indexOf("?");return-1!==E&&(this.search=m.substr(E),m=m.slice(0,E)),m&&(this.pathname=m),p[i]&&this.hostname&&!this.pathname&&(this.pathname=""),this},r.prototype.parseHost=function(e){var t=i.exec(e);t&&(":"!==(t=t[0])&&(this.port=t.substr(1)),e=e.substr(0,e.length-t.length)),e&&(this.hostname=e)},e.exports=function(e,t){if(e&&e instanceof r)return e;var n=new r;return n.parse(e,t),n}},function(e,t,n){"use strict";t.Any=n(356),t.Cc=n(357),t.Cf=n(572),t.P=n(200),t.Z=n(358)},function(e,t){e.exports=/[\xAD\u0600-\u0605\u061C\u06DD\u070F\u08E2\u180E\u200B-\u200F\u202A-\u202E\u2060-\u2064\u2066-\u206F\uFEFF\uFFF9-\uFFFB]|\uD804[\uDCBD\uDCCD]|\uD82F[\uDCA0-\uDCA3]|\uD834[\uDD73-\uDD7A]|\uDB40[\uDC01\uDC20-\uDC7F]/},function(e,t,n){"use strict";t.parseLinkLabel=n(574),t.parseLinkDestination=n(575),t.parseLinkTitle=n(576)},function(e,t,n){"use strict";e.exports=function(e,t,n){var r,o,i,a,s=-1,u=e.posMax,c=e.pos;for(e.pos=t+1,r=1;e.pos<u;){if(93===(i=e.src.charCodeAt(e.pos))&&0===--r){o=!0;break}if(a=e.pos,e.md.inline.skipToken(e),91===i)if(a===e.pos-1)r++;else if(n)return e.pos=c,-1}return o&&(s=e.pos),e.pos=c,s}},function(e,t,n){"use strict";var r=n(179).isSpace,o=n(179).unescapeAll;e.exports=function(e,t,n){var i,a,s=t,u={ok:!1,pos:0,lines:0,str:""};if(60===e.charCodeAt(t)){for(t++;t<n;){if(10===(i=e.charCodeAt(t))||r(i))return u;if(62===i)return u.pos=t+1,u.str=o(e.slice(s+1,t)),u.ok=!0,u;92===i&&t+1<n?t+=2:t++}return u}for(a=0;t<n&&32!==(i=e.charCodeAt(t))&&!(i<32||127===i);)if(92===i&&t+1<n)t+=2;else{if(40===i&&a++,41===i){if(0===a)break;a--}t++}return s===t||0!==a||(u.str=o(e.slice(s,t)),u.lines=0,u.pos=t,u.ok=!0),u}},function(e,t,n){"use strict";var r=n(179).unescapeAll;e.exports=function(e,t,n){var o,i,a=0,s=t,u={ok:!1,pos:0,lines:0,str:""};if(t>=n)return u;if(34!==(i=e.charCodeAt(t))&&39!==i&&40!==i)return u;for(t++,40===i&&(i=41);t<n;){if((o=e.charCodeAt(t))===i)return u.pos=t+1,u.lines=a,u.str=r(e.slice(s+1,t)),u.ok=!0,u;10===o?a++:92===o&&t+1<n&&(t++,10===e.charCodeAt(t)&&a++),t++}return u}},function(e,t,n){"use strict";var r=n(179).assign,o=n(179).unescapeAll,i=n(179).escapeHtml,a={};function s(){this.rules=r({},a)}a.code_inline=function(e,t,n,r,o){var a=e[t];return"<code"+o.renderAttrs(a)+">"+i(e[t].content)+"</code>"},a.code_block=function(e,t,n,r,o){var a=e[t];return"<pre"+o.renderAttrs(a)+"><code>"+i(e[t].content)+"</code></pre>\n"},a.fence=function(e,t,n,r,a){var s,u,c,l,d=e[t],h=d.info?o(d.info).trim():"",f="";return h&&(f=h.split(/\s+/g)[0]),0===(s=n.highlight&&n.highlight(d.content,f)||i(d.content)).indexOf("<pre")?s+"\n":h?(u=d.attrIndex("class"),c=d.attrs?d.attrs.slice():[],u<0?c.push(["class",n.langPrefix+f]):c[u][1]+=" "+n.langPrefix+f,l={attrs:c},"<pre><code"+a.renderAttrs(l)+">"+s+"</code></pre>\n"):"<pre><code"+a.renderAttrs(d)+">"+s+"</code></pre>\n"},a.image=function(e,t,n,r,o){var i=e[t];return i.attrs[i.attrIndex("alt")][1]=o.renderInlineAsText(i.children,n,r),o.renderToken(e,t,n)},a.hardbreak=function(e,t,n){return n.xhtmlOut?"<br />\n":"<br>\n"},a.softbreak=function(e,t,n){return n.breaks?n.xhtmlOut?"<br />\n":"<br>\n":"\n"},a.text=function(e,t){return i(e[t].content)},a.html_block=function(e,t){return e[t].content},a.html_inline=function(e,t){return e[t].content},s.prototype.renderAttrs=function(e){var t,n,r;if(!e.attrs)return"";for(r="",t=0,n=e.attrs.length;t<n;t++)r+=" "+i(e.attrs[t][0])+'="'+i(e.attrs[t][1])+'"';return r},s.prototype.renderToken=function(e,t,n){var r,o="",i=!1,a=e[t];return a.hidden?"":(a.block&&-1!==a.nesting&&t&&e[t-1].hidden&&(o+="\n"),o+=(-1===a.nesting?"</":"<")+a.tag,o+=this.renderAttrs(a),0===a.nesting&&n.xhtmlOut&&(o+=" /"),a.block&&(i=!0,1===a.nesting&&t+1<e.length&&("inline"===(r=e[t+1]).type||r.hidden||-1===r.nesting&&r.tag===a.tag)&&(i=!1)),o+=i?">\n":">")},s.prototype.renderInline=function(e,t,n){for(var r,o="",i=this.rules,a=0,s=e.length;a<s;a++)void 0!==i[r=e[a].type]?o+=i[r](e,a,t,n,this):o+=this.renderToken(e,a,t);return o},s.prototype.renderInlineAsText=function(e,t,n){for(var r="",o=0,i=e.length;o<i;o++)"text"===e[o].type?r+=e[o].content:"image"===e[o].type&&(r+=this.renderInlineAsText(e[o].children,t,n));return r},s.prototype.render=function(e,t,n){var r,o,i,a="",s=this.rules;for(r=0,o=e.length;r<o;r++)"inline"===(i=e[r].type)?a+=this.renderInline(e[r].children,t,n):void 0!==s[i]?a+=s[e[r].type](e,r,t,n,this):a+=this.renderToken(e,r,t,n);return a},e.exports=s},function(e,t,n){"use strict";var r=n(201),o=[["normalize",n(579)],["block",n(580)],["inline",n(581)],["linkify",n(582)],["replacements",n(583)],["smartquotes",n(584)]];function i(){this.ruler=new r;for(var e=0;e<o.length;e++)this.ruler.push(o[e][0],o[e][1])}i.prototype.process=function(e){var t,n,r;for(t=0,n=(r=this.ruler.getRules("")).length;t<n;t++)r[t](e)},i.prototype.State=n(585),e.exports=i},function(e,t,n){"use strict";var r=/\r[\n\u0085]?|[\u2424\u2028\u0085]/g,o=/\u0000/g;e.exports=function(e){var t;t=(t=e.src.replace(r,"\n")).replace(o,"�"),e.src=t}},function(e,t,n){"use strict";e.exports=function(e){var t;e.inlineMode?((t=new e.Token("inline","",0)).content=e.src,t.map=[0,1],t.children=[],e.tokens.push(t)):e.md.block.parse(e.src,e.md,e.env,e.tokens)}},function(e,t,n){"use strict";e.exports=function(e){var t,n,r,o=e.tokens;for(n=0,r=o.length;n<r;n++)"inline"===(t=o[n]).type&&e.md.inline.parse(t.content,e.md,e.env,t.children)}},function(e,t,n){"use strict";var r=n(179).arrayReplaceAt;function o(e){return/^<\/a\s*>/i.test(e)}e.exports=function(e){var t,n,i,a,s,u,c,l,d,h,f,p,m,_,g,y,v,M,k=e.tokens;if(e.md.options.linkify)for(n=0,i=k.length;n<i;n++)if("inline"===k[n].type&&e.md.linkify.pretest(k[n].content))for(m=0,t=(a=k[n].children).length-1;t>=0;t--)if("link_close"!==(u=a[t]).type){if("html_inline"===u.type&&(M=u.content,/^<a[>\s]/i.test(M)&&m>0&&m--,o(u.content)&&m++),!(m>0)&&"text"===u.type&&e.md.linkify.test(u.content)){for(d=u.content,v=e.md.linkify.match(d),c=[],p=u.level,f=0,l=0;l<v.length;l++)_=v[l].url,g=e.md.normalizeLink(_),e.md.validateLink(g)&&(y=v[l].text,y=v[l].schema?"mailto:"!==v[l].schema||/^mailto:/i.test(y)?e.md.normalizeLinkText(y):e.md.normalizeLinkText("mailto:"+y).replace(/^mailto:/,""):e.md.normalizeLinkText("http://"+y).replace(/^http:\/\//,""),(h=v[l].index)>f&&((s=new e.Token("text","",0)).content=d.slice(f,h),s.level=p,c.push(s)),(s=new e.Token("link_open","a",1)).attrs=[["href",g]],s.level=p++,s.markup="linkify",s.info="auto",c.push(s),(s=new e.Token("text","",0)).content=y,s.level=p,c.push(s),(s=new e.Token("link_close","a",-1)).level=--p,s.markup="linkify",s.info="auto",c.push(s),f=v[l].lastIndex);f<d.length&&((s=new e.Token("text","",0)).content=d.slice(f),s.level=p,c.push(s)),k[n].children=a=r(a,t,c)}}else for(t--;a[t].level!==u.level&&"link_open"!==a[t].type;)t--}},function(e,t,n){"use strict";var r=/\+-|\.\.|\?\?\?\?|!!!!|,,|--/,o=/\((c|tm|r|p)\)/i,i=/\((c|tm|r|p)\)/gi,a={c:"©",r:"®",p:"§",tm:"™"};function s(e,t){return a[t.toLowerCase()]}function u(e){var t,n,r=0;for(t=e.length-1;t>=0;t--)"text"!==(n=e[t]).type||r||(n.content=n.content.replace(i,s)),"link_open"===n.type&&"auto"===n.info&&r--,"link_close"===n.type&&"auto"===n.info&&r++}function c(e){var t,n,o=0;for(t=e.length-1;t>=0;t--)"text"!==(n=e[t]).type||o||r.test(n.content)&&(n.content=n.content.replace(/\+-/g,"±").replace(/\.{2,}/g,"…").replace(/([?!])…/g,"$1..").replace(/([?!]){4,}/g,"$1$1$1").replace(/,{2,}/g,",").replace(/(^|[^-])---([^-]|$)/gm,"$1—$2").replace(/(^|\s)--(\s|$)/gm,"$1–$2").replace(/(^|[^-\s])--([^-\s]|$)/gm,"$1–$2")),"link_open"===n.type&&"auto"===n.info&&o--,"link_close"===n.type&&"auto"===n.info&&o++}e.exports=function(e){var t;if(e.md.options.typographer)for(t=e.tokens.length-1;t>=0;t--)"inline"===e.tokens[t].type&&(o.test(e.tokens[t].content)&&u(e.tokens[t].children),r.test(e.tokens[t].content)&&c(e.tokens[t].children))}},function(e,t,n){"use strict";var r=n(179).isWhiteSpace,o=n(179).isPunctChar,i=n(179).isMdAsciiPunct,a=/['"]/,s=/['"]/g;function u(e,t,n){return e.substr(0,t)+n+e.substr(t+1)}function c(e,t){var n,a,c,l,d,h,f,p,m,_,g,y,v,M,k,b,w,L,T,D,x;for(T=[],n=0;n<e.length;n++){for(a=e[n],f=e[n].level,w=T.length-1;w>=0&&!(T[w].level<=f);w--);if(T.length=w+1,"text"===a.type){d=0,h=(c=a.content).length;e:for(;d<h&&(s.lastIndex=d,l=s.exec(c));){if(k=b=!0,d=l.index+1,L="'"===l[0],m=32,l.index-1>=0)m=c.charCodeAt(l.index-1);else for(w=n-1;w>=0&&("softbreak"!==e[w].type&&"hardbreak"!==e[w].type);w--)if("text"===e[w].type){m=e[w].content.charCodeAt(e[w].content.length-1);break}if(_=32,d<h)_=c.charCodeAt(d);else for(w=n+1;w<e.length&&("softbreak"!==e[w].type&&"hardbreak"!==e[w].type);w++)if("text"===e[w].type){_=e[w].content.charCodeAt(0);break}if(g=i(m)||o(String.fromCharCode(m)),y=i(_)||o(String.fromCharCode(_)),v=r(m),(M=r(_))?k=!1:y&&(v||g||(k=!1)),v?b=!1:g&&(M||y||(b=!1)),34===_&&'"'===l[0]&&m>=48&&m<=57&&(b=k=!1),k&&b&&(k=!1,b=y),k||b){if(b)for(w=T.length-1;w>=0&&(p=T[w],!(T[w].level<f));w--)if(p.single===L&&T[w].level===f){p=T[w],L?(D=t.md.options.quotes[2],x=t.md.options.quotes[3]):(D=t.md.options.quotes[0],x=t.md.options.quotes[1]),a.content=u(a.content,l.index,x),e[p.token].content=u(e[p.token].content,p.pos,D),d+=x.length-1,p.token===n&&(d+=D.length-1),h=(c=a.content).length,T.length=w;continue e}k?T.push({token:n,pos:l.index,single:L,level:f}):b&&L&&(a.content=u(a.content,l.index,"’"))}else L&&(a.content=u(a.content,l.index,"’"))}}}}e.exports=function(e){var t;if(e.md.options.typographer)for(t=e.tokens.length-1;t>=0;t--)"inline"===e.tokens[t].type&&a.test(e.tokens[t].content)&&c(e.tokens[t].children,e)}},function(e,t,n){"use strict";var r=n(202);function o(e,t,n){this.src=e,this.env=n,this.tokens=[],this.inlineMode=!1,this.md=t}o.prototype.Token=r,e.exports=o},function(e,t,n){"use strict";var r=n(201),o=[["table",n(587),["paragraph","reference"]],["code",n(588)],["fence",n(589),["paragraph","reference","blockquote","list"]],["blockquote",n(590),["paragraph","reference","blockquote","list"]],["hr",n(591),["paragraph","reference","blockquote","list"]],["list",n(592),["paragraph","reference","blockquote"]],["reference",n(593)],["heading",n(594),["paragraph","reference","blockquote"]],["lheading",n(595)],["html_block",n(596),["paragraph","reference","blockquote"]],["paragraph",n(598)]];function i(){this.ruler=new r;for(var e=0;e<o.length;e++)this.ruler.push(o[e][0],o[e][1],{alt:(o[e][2]||[]).slice()})}i.prototype.tokenize=function(e,t,n){for(var r,o=this.ruler.getRules(""),i=o.length,a=t,s=!1,u=e.md.options.maxNesting;a<n&&(e.line=a=e.skipEmptyLines(a),!(a>=n))&&!(e.sCount[a]<e.blkIndent);){if(e.level>=u){e.line=n;break}for(r=0;r<i&&!o[r](e,a,n,!1);r++);e.tight=!s,e.isEmpty(e.line-1)&&(s=!0),(a=e.line)<n&&e.isEmpty(a)&&(s=!0,a++,e.line=a)}},i.prototype.parse=function(e,t,n,r){var o;e&&(o=new this.State(e,t,n,r),this.tokenize(o,o.line,o.lineMax))},i.prototype.State=n(599),e.exports=i},function(e,t,n){"use strict";var r=n(179).isSpace;function o(e,t){var n=e.bMarks[t]+e.blkIndent,r=e.eMarks[t];return e.src.substr(n,r-n)}function i(e){var t,n=[],r=0,o=e.length,i=0,a=0,s=!1,u=0;for(t=e.charCodeAt(r);r<o;)96===t?s?(s=!1,u=r):i%2==0&&(s=!0,u=r):124!==t||i%2!=0||s||(n.push(e.substring(a,r)),a=r+1),92===t?i++:i=0,++r===o&&s&&(s=!1,r=u+1),t=e.charCodeAt(r);return n.push(e.substring(a)),n}e.exports=function(e,t,n,a){var s,u,c,l,d,h,f,p,m,_,g,y;if(t+2>n)return!1;if(d=t+1,e.sCount[d]<e.blkIndent)return!1;if(e.sCount[d]-e.blkIndent>=4)return!1;if((c=e.bMarks[d]+e.tShift[d])>=e.eMarks[d])return!1;if(124!==(s=e.src.charCodeAt(c++))&&45!==s&&58!==s)return!1;for(;c<e.eMarks[d];){if(124!==(s=e.src.charCodeAt(c))&&45!==s&&58!==s&&!r(s))return!1;c++}for(h=(u=o(e,t+1)).split("|"),m=[],l=0;l<h.length;l++){if(!(_=h[l].trim())){if(0===l||l===h.length-1)continue;return!1}if(!/^:?-+:?$/.test(_))return!1;58===_.charCodeAt(_.length-1)?m.push(58===_.charCodeAt(0)?"center":"right"):58===_.charCodeAt(0)?m.push("left"):m.push("")}if(-1===(u=o(e,t).trim()).indexOf("|"))return!1;if(e.sCount[t]-e.blkIndent>=4)return!1;if((f=(h=i(u.replace(/^\||\|$/g,""))).length)>m.length)return!1;if(a)return!0;for((p=e.push("table_open","table",1)).map=g=[t,0],(p=e.push("thead_open","thead",1)).map=[t,t+1],(p=e.push("tr_open","tr",1)).map=[t,t+1],l=0;l<h.length;l++)(p=e.push("th_open","th",1)).map=[t,t+1],m[l]&&(p.attrs=[["style","text-align:"+m[l]]]),(p=e.push("inline","",0)).content=h[l].trim(),p.map=[t,t+1],p.children=[],p=e.push("th_close","th",-1);for(p=e.push("tr_close","tr",-1),p=e.push("thead_close","thead",-1),(p=e.push("tbody_open","tbody",1)).map=y=[t+2,0],d=t+2;d<n&&!(e.sCount[d]<e.blkIndent)&&-1!==(u=o(e,d).trim()).indexOf("|")&&!(e.sCount[d]-e.blkIndent>=4);d++){for(h=i(u.replace(/^\||\|$/g,"")),p=e.push("tr_open","tr",1),l=0;l<f;l++)p=e.push("td_open","td",1),m[l]&&(p.attrs=[["style","text-align:"+m[l]]]),(p=e.push("inline","",0)).content=h[l]?h[l].trim():"",p.children=[],p=e.push("td_close","td",-1);p=e.push("tr_close","tr",-1)}return p=e.push("tbody_close","tbody",-1),p=e.push("table_close","table",-1),g[1]=y[1]=d,e.line=d,!0}},function(e,t,n){"use strict";e.exports=function(e,t,n){var r,o,i;if(e.sCount[t]-e.blkIndent<4)return!1;for(o=r=t+1;r<n;)if(e.isEmpty(r))r++;else{if(!(e.sCount[r]-e.blkIndent>=4))break;o=++r}return e.line=o,(i=e.push("code_block","code",0)).content=e.getLines(t,o,4+e.blkIndent,!0),i.map=[t,e.line],!0}},function(e,t,n){"use strict";e.exports=function(e,t,n,r){var o,i,a,s,u,c,l,d=!1,h=e.bMarks[t]+e.tShift[t],f=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4)return!1;if(h+3>f)return!1;if(126!==(o=e.src.charCodeAt(h))&&96!==o)return!1;if(u=h,(i=(h=e.skipChars(h,o))-u)<3)return!1;if(l=e.src.slice(u,h),(a=e.src.slice(h,f)).indexOf(String.fromCharCode(o))>=0)return!1;if(r)return!0;for(s=t;!(++s>=n)&&!((h=u=e.bMarks[s]+e.tShift[s])<(f=e.eMarks[s])&&e.sCount[s]<e.blkIndent);)if(e.src.charCodeAt(h)===o&&!(e.sCount[s]-e.blkIndent>=4||(h=e.skipChars(h,o))-u<i||(h=e.skipSpaces(h))<f)){d=!0;break}return i=e.sCount[t],e.line=s+(d?1:0),(c=e.push("fence","code",0)).info=a,c.content=e.getLines(t+1,s,i,!0),c.markup=l,c.map=[t,e.line],!0}},function(e,t,n){"use strict";var r=n(179).isSpace;e.exports=function(e,t,n,o){var i,a,s,u,c,l,d,h,f,p,m,_,g,y,v,M,k,b,w,L,T=e.lineMax,D=e.bMarks[t]+e.tShift[t],x=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4)return!1;if(62!==e.src.charCodeAt(D++))return!1;if(o)return!0;for(u=f=e.sCount[t]+D-(e.bMarks[t]+e.tShift[t]),32===e.src.charCodeAt(D)?(D++,u++,f++,i=!1,M=!0):9===e.src.charCodeAt(D)?(M=!0,(e.bsCount[t]+f)%4==3?(D++,u++,f++,i=!1):i=!0):M=!1,p=[e.bMarks[t]],e.bMarks[t]=D;D<x&&(a=e.src.charCodeAt(D),r(a));)9===a?f+=4-(f+e.bsCount[t]+(i?1:0))%4:f++,D++;for(m=[e.bsCount[t]],e.bsCount[t]=e.sCount[t]+1+(M?1:0),l=D>=x,y=[e.sCount[t]],e.sCount[t]=f-u,v=[e.tShift[t]],e.tShift[t]=D-e.bMarks[t],b=e.md.block.ruler.getRules("blockquote"),g=e.parentType,e.parentType="blockquote",L=!1,h=t+1;h<n&&(e.sCount[h]<e.blkIndent&&(L=!0),!((D=e.bMarks[h]+e.tShift[h])>=(x=e.eMarks[h])));h++)if(62!==e.src.charCodeAt(D++)||L){if(l)break;for(k=!1,s=0,c=b.length;s<c;s++)if(b[s](e,h,n,!0)){k=!0;break}if(k){e.lineMax=h,0!==e.blkIndent&&(p.push(e.bMarks[h]),m.push(e.bsCount[h]),v.push(e.tShift[h]),y.push(e.sCount[h]),e.sCount[h]-=e.blkIndent);break}p.push(e.bMarks[h]),m.push(e.bsCount[h]),v.push(e.tShift[h]),y.push(e.sCount[h]),e.sCount[h]=-1}else{for(u=f=e.sCount[h]+D-(e.bMarks[h]+e.tShift[h]),32===e.src.charCodeAt(D)?(D++,u++,f++,i=!1,M=!0):9===e.src.charCodeAt(D)?(M=!0,(e.bsCount[h]+f)%4==3?(D++,u++,f++,i=!1):i=!0):M=!1,p.push(e.bMarks[h]),e.bMarks[h]=D;D<x&&(a=e.src.charCodeAt(D),r(a));)9===a?f+=4-(f+e.bsCount[h]+(i?1:0))%4:f++,D++;l=D>=x,m.push(e.bsCount[h]),e.bsCount[h]=e.sCount[h]+1+(M?1:0),y.push(e.sCount[h]),e.sCount[h]=f-u,v.push(e.tShift[h]),e.tShift[h]=D-e.bMarks[h]}for(_=e.blkIndent,e.blkIndent=0,(w=e.push("blockquote_open","blockquote",1)).markup=">",w.map=d=[t,0],e.md.block.tokenize(e,t,h),(w=e.push("blockquote_close","blockquote",-1)).markup=">",e.lineMax=T,e.parentType=g,d[1]=e.line,s=0;s<v.length;s++)e.bMarks[s+t]=p[s],e.tShift[s+t]=v[s],e.sCount[s+t]=y[s],e.bsCount[s+t]=m[s];return e.blkIndent=_,!0}},function(e,t,n){"use strict";var r=n(179).isSpace;e.exports=function(e,t,n,o){var i,a,s,u,c=e.bMarks[t]+e.tShift[t],l=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4)return!1;if(42!==(i=e.src.charCodeAt(c++))&&45!==i&&95!==i)return!1;for(a=1;c<l;){if((s=e.src.charCodeAt(c++))!==i&&!r(s))return!1;s===i&&a++}return!(a<3)&&(o||(e.line=t+1,(u=e.push("hr","hr",0)).map=[t,e.line],u.markup=Array(a+1).join(String.fromCharCode(i))),!0)}},function(e,t,n){"use strict";var r=n(179).isSpace;function o(e,t){var n,o,i,a;return o=e.bMarks[t]+e.tShift[t],i=e.eMarks[t],42!==(n=e.src.charCodeAt(o++))&&45!==n&&43!==n||o<i&&(a=e.src.charCodeAt(o),!r(a))?-1:o}function i(e,t){var n,o=e.bMarks[t]+e.tShift[t],i=o,a=e.eMarks[t];if(i+1>=a)return-1;if((n=e.src.charCodeAt(i++))<48||n>57)return-1;for(;;){if(i>=a)return-1;if(!((n=e.src.charCodeAt(i++))>=48&&n<=57)){if(41===n||46===n)break;return-1}if(i-o>=10)return-1}return i<a&&(n=e.src.charCodeAt(i),!r(n))?-1:i}e.exports=function(e,t,n,r){var a,s,u,c,l,d,h,f,p,m,_,g,y,v,M,k,b,w,L,T,D,x,S,Y,O,C,E,A,j=!1,H=!0;if(e.sCount[t]-e.blkIndent>=4)return!1;if(r&&"paragraph"===e.parentType&&e.tShift[t]>=e.blkIndent&&(j=!0),(S=i(e,t))>=0){if(h=!0,O=e.bMarks[t]+e.tShift[t],y=Number(e.src.substr(O,S-O-1)),j&&1!==y)return!1}else{if(!((S=o(e,t))>=0))return!1;h=!1}if(j&&e.skipSpaces(S)>=e.eMarks[t])return!1;if(g=e.src.charCodeAt(S-1),r)return!0;for(_=e.tokens.length,h?(A=e.push("ordered_list_open","ol",1),1!==y&&(A.attrs=[["start",y]])):A=e.push("bullet_list_open","ul",1),A.map=m=[t,0],A.markup=String.fromCharCode(g),M=t,Y=!1,E=e.md.block.ruler.getRules("list"),L=e.parentType,e.parentType="list";M<n;){for(x=S,v=e.eMarks[M],d=k=e.sCount[M]+S-(e.bMarks[t]+e.tShift[t]);x<v;){if(9===(a=e.src.charCodeAt(x)))k+=4-(k+e.bsCount[M])%4;else{if(32!==a)break;k++}x++}if((l=(s=x)>=v?1:k-d)>4&&(l=1),c=d+l,(A=e.push("list_item_open","li",1)).markup=String.fromCharCode(g),A.map=f=[t,0],b=e.blkIndent,D=e.tight,T=e.tShift[t],w=e.sCount[t],e.blkIndent=c,e.tight=!0,e.tShift[t]=s-e.bMarks[t],e.sCount[t]=k,s>=v&&e.isEmpty(t+1)?e.line=Math.min(e.line+2,n):e.md.block.tokenize(e,t,n,!0),e.tight&&!Y||(H=!1),Y=e.line-t>1&&e.isEmpty(e.line-1),e.blkIndent=b,e.tShift[t]=T,e.sCount[t]=w,e.tight=D,(A=e.push("list_item_close","li",-1)).markup=String.fromCharCode(g),M=t=e.line,f[1]=M,s=e.bMarks[t],M>=n)break;if(e.sCount[M]<e.blkIndent)break;for(C=!1,u=0,p=E.length;u<p;u++)if(E[u](e,M,n,!0)){C=!0;break}if(C)break;if(h){if((S=i(e,M))<0)break}else if((S=o(e,M))<0)break;if(g!==e.src.charCodeAt(S-1))break}return(A=h?e.push("ordered_list_close","ol",-1):e.push("bullet_list_close","ul",-1)).markup=String.fromCharCode(g),m[1]=M,e.line=M,e.parentType=L,H&&function(e,t){var n,r,o=e.level+2;for(n=t+2,r=e.tokens.length-2;n<r;n++)e.tokens[n].level===o&&"paragraph_open"===e.tokens[n].type&&(e.tokens[n+2].hidden=!0,e.tokens[n].hidden=!0,n+=2)}(e,_),!0}},function(e,t,n){"use strict";var r=n(179).normalizeReference,o=n(179).isSpace;e.exports=function(e,t,n,i){var a,s,u,c,l,d,h,f,p,m,_,g,y,v,M,k,b=0,w=e.bMarks[t]+e.tShift[t],L=e.eMarks[t],T=t+1;if(e.sCount[t]-e.blkIndent>=4)return!1;if(91!==e.src.charCodeAt(w))return!1;for(;++w<L;)if(93===e.src.charCodeAt(w)&&92!==e.src.charCodeAt(w-1)){if(w+1===L)return!1;if(58!==e.src.charCodeAt(w+1))return!1;break}for(c=e.lineMax,M=e.md.block.ruler.getRules("reference"),m=e.parentType,e.parentType="reference";T<c&&!e.isEmpty(T);T++)if(!(e.sCount[T]-e.blkIndent>3||e.sCount[T]<0)){for(v=!1,d=0,h=M.length;d<h;d++)if(M[d](e,T,c,!0)){v=!0;break}if(v)break}for(L=(y=e.getLines(t,T,e.blkIndent,!1).trim()).length,w=1;w<L;w++){if(91===(a=y.charCodeAt(w)))return!1;if(93===a){p=w;break}(10===a||92===a&&++w<L&&10===y.charCodeAt(w))&&b++}if(p<0||58!==y.charCodeAt(p+1))return!1;for(w=p+2;w<L;w++)if(10===(a=y.charCodeAt(w)))b++;else if(!o(a))break;if(!(_=e.md.helpers.parseLinkDestination(y,w,L)).ok)return!1;if(l=e.md.normalizeLink(_.str),!e.md.validateLink(l))return!1;for(s=w=_.pos,u=b+=_.lines,g=w;w<L;w++)if(10===(a=y.charCodeAt(w)))b++;else if(!o(a))break;for(_=e.md.helpers.parseLinkTitle(y,w,L),w<L&&g!==w&&_.ok?(k=_.str,w=_.pos,b+=_.lines):(k="",w=s,b=u);w<L&&(a=y.charCodeAt(w),o(a));)w++;if(w<L&&10!==y.charCodeAt(w)&&k)for(k="",w=s,b=u;w<L&&(a=y.charCodeAt(w),o(a));)w++;return!(w<L&&10!==y.charCodeAt(w))&&(!!(f=r(y.slice(1,p)))&&(i||(void 0===e.env.references&&(e.env.references={}),void 0===e.env.references[f]&&(e.env.references[f]={title:k,href:l}),e.parentType=m,e.line=t+b+1),!0))}},function(e,t,n){"use strict";var r=n(179).isSpace;e.exports=function(e,t,n,o){var i,a,s,u,c=e.bMarks[t]+e.tShift[t],l=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4)return!1;if(35!==(i=e.src.charCodeAt(c))||c>=l)return!1;for(a=1,i=e.src.charCodeAt(++c);35===i&&c<l&&a<=6;)a++,i=e.src.charCodeAt(++c);return!(a>6||c<l&&!r(i))&&(o||(l=e.skipSpacesBack(l,c),(s=e.skipCharsBack(l,35,c))>c&&r(e.src.charCodeAt(s-1))&&(l=s),e.line=t+1,(u=e.push("heading_open","h"+String(a),1)).markup="########".slice(0,a),u.map=[t,e.line],(u=e.push("inline","",0)).content=e.src.slice(c,l).trim(),u.map=[t,e.line],u.children=[],(u=e.push("heading_close","h"+String(a),-1)).markup="########".slice(0,a)),!0)}},function(e,t,n){"use strict";e.exports=function(e,t,n){var r,o,i,a,s,u,c,l,d,h,f=t+1,p=e.md.block.ruler.getRules("paragraph");if(e.sCount[t]-e.blkIndent>=4)return!1;for(h=e.parentType,e.parentType="paragraph";f<n&&!e.isEmpty(f);f++)if(!(e.sCount[f]-e.blkIndent>3)){if(e.sCount[f]>=e.blkIndent&&(u=e.bMarks[f]+e.tShift[f])<(c=e.eMarks[f])&&(45===(d=e.src.charCodeAt(u))||61===d)&&(u=e.skipChars(u,d),(u=e.skipSpaces(u))>=c)){l=61===d?1:2;break}if(!(e.sCount[f]<0)){for(o=!1,i=0,a=p.length;i<a;i++)if(p[i](e,f,n,!0)){o=!0;break}if(o)break}}return!!l&&(r=e.getLines(t,f,e.blkIndent,!1).trim(),e.line=f+1,(s=e.push("heading_open","h"+String(l),1)).markup=String.fromCharCode(d),s.map=[t,e.line],(s=e.push("inline","",0)).content=r,s.map=[t,e.line-1],s.children=[],(s=e.push("heading_close","h"+String(l),-1)).markup=String.fromCharCode(d),e.parentType=h,!0)}},function(e,t,n){"use strict";var r=n(597),o=n(359).HTML_OPEN_CLOSE_TAG_RE,i=[[/^<(script|pre|style)(?=(\s|>|$))/i,/<\/(script|pre|style)>/i,!0],[/^<!--/,/-->/,!0],[/^<\?/,/\?>/,!0],[/^<![A-Z]/,/>/,!0],[/^<!\[CDATA\[/,/\]\]>/,!0],[new RegExp("^</?("+r.join("|")+")(?=(\\s|/?>|$))","i"),/^$/,!0],[new RegExp(o.source+"\\s*$"),/^$/,!1]];e.exports=function(e,t,n,r){var o,a,s,u,c=e.bMarks[t]+e.tShift[t],l=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4)return!1;if(!e.md.options.html)return!1;if(60!==e.src.charCodeAt(c))return!1;for(u=e.src.slice(c,l),o=0;o<i.length&&!i[o][0].test(u);o++);if(o===i.length)return!1;if(r)return i[o][2];if(a=t+1,!i[o][1].test(u))for(;a<n&&!(e.sCount[a]<e.blkIndent);a++)if(c=e.bMarks[a]+e.tShift[a],l=e.eMarks[a],u=e.src.slice(c,l),i[o][1].test(u)){0!==u.length&&a++;break}return e.line=a,(s=e.push("html_block","",0)).map=[t,a],s.content=e.getLines(t,a,e.blkIndent,!0),!0}},function(e,t,n){"use strict";e.exports=["address","article","aside","base","basefont","blockquote","body","caption","center","col","colgroup","dd","details","dialog","dir","div","dl","dt","fieldset","figcaption","figure","footer","form","frame","frameset","h1","h2","h3","h4","h5","h6","head","header","hr","html","iframe","legend","li","link","main","menu","menuitem","meta","nav","noframes","ol","optgroup","option","p","param","section","source","summary","table","tbody","td","tfoot","th","thead","title","tr","track","ul"]},function(e,t,n){"use strict";e.exports=function(e,t){var n,r,o,i,a,s,u=t+1,c=e.md.block.ruler.getRules("paragraph"),l=e.lineMax;for(s=e.parentType,e.parentType="paragraph";u<l&&!e.isEmpty(u);u++)if(!(e.sCount[u]-e.blkIndent>3||e.sCount[u]<0)){for(r=!1,o=0,i=c.length;o<i;o++)if(c[o](e,u,l,!0)){r=!0;break}if(r)break}return n=e.getLines(t,u,e.blkIndent,!1).trim(),e.line=u,(a=e.push("paragraph_open","p",1)).map=[t,e.line],(a=e.push("inline","",0)).content=n,a.map=[t,e.line],a.children=[],a=e.push("paragraph_close","p",-1),e.parentType=s,!0}},function(e,t,n){"use strict";var r=n(202),o=n(179).isSpace;function i(e,t,n,r){var i,a,s,u,c,l,d,h;for(this.src=e,this.md=t,this.env=n,this.tokens=r,this.bMarks=[],this.eMarks=[],this.tShift=[],this.sCount=[],this.bsCount=[],this.blkIndent=0,this.line=0,this.lineMax=0,this.tight=!1,this.ddIndent=-1,this.parentType="root",this.level=0,this.result="",h=!1,s=u=l=d=0,c=(a=this.src).length;u<c;u++){if(i=a.charCodeAt(u),!h){if(o(i)){l++,9===i?d+=4-d%4:d++;continue}h=!0}10!==i&&u!==c-1||(10!==i&&u++,this.bMarks.push(s),this.eMarks.push(u),this.tShift.push(l),this.sCount.push(d),this.bsCount.push(0),h=!1,l=0,d=0,s=u+1)}this.bMarks.push(a.length),this.eMarks.push(a.length),this.tShift.push(0),this.sCount.push(0),this.bsCount.push(0),this.lineMax=this.bMarks.length-1}i.prototype.push=function(e,t,n){var o=new r(e,t,n);return o.block=!0,n<0&&this.level--,o.level=this.level,n>0&&this.level++,this.tokens.push(o),o},i.prototype.isEmpty=function(e){return this.bMarks[e]+this.tShift[e]>=this.eMarks[e]},i.prototype.skipEmptyLines=function(e){for(var t=this.lineMax;e<t&&!(this.bMarks[e]+this.tShift[e]<this.eMarks[e]);e++);return e},i.prototype.skipSpaces=function(e){for(var t,n=this.src.length;e<n&&(t=this.src.charCodeAt(e),o(t));e++);return e},i.prototype.skipSpacesBack=function(e,t){if(e<=t)return e;for(;e>t;)if(!o(this.src.charCodeAt(--e)))return e+1;return e},i.prototype.skipChars=function(e,t){for(var n=this.src.length;e<n&&this.src.charCodeAt(e)===t;e++);return e},i.prototype.skipCharsBack=function(e,t,n){if(e<=n)return e;for(;e>n;)if(t!==this.src.charCodeAt(--e))return e+1;return e},i.prototype.getLines=function(e,t,n,r){var i,a,s,u,c,l,d,h=e;if(e>=t)return"";for(l=new Array(t-e),i=0;h<t;h++,i++){for(a=0,d=u=this.bMarks[h],c=h+1<t||r?this.eMarks[h]+1:this.eMarks[h];u<c&&a<n;){if(s=this.src.charCodeAt(u),o(s))9===s?a+=4-(a+this.bsCount[h])%4:a++;else{if(!(u-d<this.tShift[h]))break;a++}u++}l[i]=a>n?new Array(a-n+1).join(" ")+this.src.slice(u,c):this.src.slice(u,c)}return l.join("")},i.prototype.Token=r,e.exports=i},function(e,t,n){"use strict";var r=n(201),o=[["text",n(601)],["newline",n(602)],["escape",n(603)],["backticks",n(604)],["strikethrough",n(360).tokenize],["emphasis",n(361).tokenize],["link",n(605)],["image",n(606)],["autolink",n(607)],["html_inline",n(608)],["entity",n(609)]],i=[["balance_pairs",n(610)],["strikethrough",n(360).postProcess],["emphasis",n(361).postProcess],["text_collapse",n(611)]];function a(){var e;for(this.ruler=new r,e=0;e<o.length;e++)this.ruler.push(o[e][0],o[e][1]);for(this.ruler2=new r,e=0;e<i.length;e++)this.ruler2.push(i[e][0],i[e][1])}a.prototype.skipToken=function(e){var t,n,r=e.pos,o=this.ruler.getRules(""),i=o.length,a=e.md.options.maxNesting,s=e.cache;if(void 0===s[r]){if(e.level<a)for(n=0;n<i&&(e.level++,t=o[n](e,!0),e.level--,!t);n++);else e.pos=e.posMax;t||e.pos++,s[r]=e.pos}else e.pos=s[r]},a.prototype.tokenize=function(e){for(var t,n,r=this.ruler.getRules(""),o=r.length,i=e.posMax,a=e.md.options.maxNesting;e.pos<i;){if(e.level<a)for(n=0;n<o&&!(t=r[n](e,!1));n++);if(t){if(e.pos>=i)break}else e.pending+=e.src[e.pos++]}e.pending&&e.pushPending()},a.prototype.parse=function(e,t,n,r){var o,i,a,s=new this.State(e,t,n,r);for(this.tokenize(s),a=(i=this.ruler2.getRules("")).length,o=0;o<a;o++)i[o](s)},a.prototype.State=n(612),e.exports=a},function(e,t,n){"use strict";function r(e){switch(e){case 10:case 33:case 35:case 36:case 37:case 38:case 42:case 43:case 45:case 58:case 60:case 61:case 62:case 64:case 91:case 92:case 93:case 94:case 95:case 96:case 123:case 125:case 126:return!0;default:return!1}}e.exports=function(e,t){for(var n=e.pos;n<e.posMax&&!r(e.src.charCodeAt(n));)n++;return n!==e.pos&&(t||(e.pending+=e.src.slice(e.pos,n)),e.pos=n,!0)}},function(e,t,n){"use strict";var r=n(179).isSpace;e.exports=function(e,t){var n,o,i=e.pos;if(10!==e.src.charCodeAt(i))return!1;for(n=e.pending.length-1,o=e.posMax,t||(n>=0&&32===e.pending.charCodeAt(n)?n>=1&&32===e.pending.charCodeAt(n-1)?(e.pending=e.pending.replace(/ +$/,""),e.push("hardbreak","br",0)):(e.pending=e.pending.slice(0,-1),e.push("softbreak","br",0)):e.push("softbreak","br",0)),i++;i<o&&r(e.src.charCodeAt(i));)i++;return e.pos=i,!0}},function(e,t,n){"use strict";for(var r=n(179).isSpace,o=[],i=0;i<256;i++)o.push(0);"\\!\"#$%&'()*+,./:;<=>?@[]^_`{|}~-".split("").forEach((function(e){o[e.charCodeAt(0)]=1})),e.exports=function(e,t){var n,i=e.pos,a=e.posMax;if(92!==e.src.charCodeAt(i))return!1;if(++i<a){if((n=e.src.charCodeAt(i))<256&&0!==o[n])return t||(e.pending+=e.src[i]),e.pos+=2,!0;if(10===n){for(t||e.push("hardbreak","br",0),i++;i<a&&(n=e.src.charCodeAt(i),r(n));)i++;return e.pos=i,!0}}return t||(e.pending+="\\"),e.pos++,!0}},function(e,t,n){"use strict";e.exports=function(e,t){var n,r,o,i,a,s,u=e.pos;if(96!==e.src.charCodeAt(u))return!1;for(n=u,u++,r=e.posMax;u<r&&96===e.src.charCodeAt(u);)u++;for(o=e.src.slice(n,u),i=a=u;-1!==(i=e.src.indexOf("`",a));){for(a=i+1;a<r&&96===e.src.charCodeAt(a);)a++;if(a-i===o.length)return t||((s=e.push("code_inline","code",0)).markup=o,s.content=e.src.slice(u,i).replace(/[ \n]+/g," ").trim()),e.pos=a,!0}return t||(e.pending+=o),e.pos+=o.length,!0}},function(e,t,n){"use strict";var r=n(179).normalizeReference,o=n(179).isSpace;e.exports=function(e,t){var n,i,a,s,u,c,l,d,h,f="",p=e.pos,m=e.posMax,_=e.pos,g=!0;if(91!==e.src.charCodeAt(e.pos))return!1;if(u=e.pos+1,(s=e.md.helpers.parseLinkLabel(e,e.pos,!0))<0)return!1;if((c=s+1)<m&&40===e.src.charCodeAt(c)){for(g=!1,c++;c<m&&(i=e.src.charCodeAt(c),o(i)||10===i);c++);if(c>=m)return!1;for(_=c,(l=e.md.helpers.parseLinkDestination(e.src,c,e.posMax)).ok&&(f=e.md.normalizeLink(l.str),e.md.validateLink(f)?c=l.pos:f=""),_=c;c<m&&(i=e.src.charCodeAt(c),o(i)||10===i);c++);if(l=e.md.helpers.parseLinkTitle(e.src,c,e.posMax),c<m&&_!==c&&l.ok)for(h=l.str,c=l.pos;c<m&&(i=e.src.charCodeAt(c),o(i)||10===i);c++);else h="";(c>=m||41!==e.src.charCodeAt(c))&&(g=!0),c++}if(g){if(void 0===e.env.references)return!1;if(c<m&&91===e.src.charCodeAt(c)?(_=c+1,(c=e.md.helpers.parseLinkLabel(e,c))>=0?a=e.src.slice(_,c++):c=s+1):c=s+1,a||(a=e.src.slice(u,s)),!(d=e.env.references[r(a)]))return e.pos=p,!1;f=d.href,h=d.title}return t||(e.pos=u,e.posMax=s,e.push("link_open","a",1).attrs=n=[["href",f]],h&&n.push(["title",h]),e.md.inline.tokenize(e),e.push("link_close","a",-1)),e.pos=c,e.posMax=m,!0}},function(e,t,n){"use strict";var r=n(179).normalizeReference,o=n(179).isSpace;e.exports=function(e,t){var n,i,a,s,u,c,l,d,h,f,p,m,_,g="",y=e.pos,v=e.posMax;if(33!==e.src.charCodeAt(e.pos))return!1;if(91!==e.src.charCodeAt(e.pos+1))return!1;if(c=e.pos+2,(u=e.md.helpers.parseLinkLabel(e,e.pos+1,!1))<0)return!1;if((l=u+1)<v&&40===e.src.charCodeAt(l)){for(l++;l<v&&(i=e.src.charCodeAt(l),o(i)||10===i);l++);if(l>=v)return!1;for(_=l,(h=e.md.helpers.parseLinkDestination(e.src,l,e.posMax)).ok&&(g=e.md.normalizeLink(h.str),e.md.validateLink(g)?l=h.pos:g=""),_=l;l<v&&(i=e.src.charCodeAt(l),o(i)||10===i);l++);if(h=e.md.helpers.parseLinkTitle(e.src,l,e.posMax),l<v&&_!==l&&h.ok)for(f=h.str,l=h.pos;l<v&&(i=e.src.charCodeAt(l),o(i)||10===i);l++);else f="";if(l>=v||41!==e.src.charCodeAt(l))return e.pos=y,!1;l++}else{if(void 0===e.env.references)return!1;if(l<v&&91===e.src.charCodeAt(l)?(_=l+1,(l=e.md.helpers.parseLinkLabel(e,l))>=0?s=e.src.slice(_,l++):l=u+1):l=u+1,s||(s=e.src.slice(c,u)),!(d=e.env.references[r(s)]))return e.pos=y,!1;g=d.href,f=d.title}return t||(a=e.src.slice(c,u),e.md.inline.parse(a,e.md,e.env,m=[]),(p=e.push("image","img",0)).attrs=n=[["src",g],["alt",""]],p.children=m,p.content=a,f&&n.push(["title",f])),e.pos=l,e.posMax=v,!0}},function(e,t,n){"use strict";var r=/^<([a-zA-Z0-9.!#$%&'*+\/=?^_`{|}~-]+@[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)*)>/,o=/^<([a-zA-Z][a-zA-Z0-9+.\-]{1,31}):([^<>\x00-\x20]*)>/;e.exports=function(e,t){var n,i,a,s,u,c,l=e.pos;return 60===e.src.charCodeAt(l)&&(!((n=e.src.slice(l)).indexOf(">")<0)&&(o.test(n)?(s=(i=n.match(o))[0].slice(1,-1),u=e.md.normalizeLink(s),!!e.md.validateLink(u)&&(t||((c=e.push("link_open","a",1)).attrs=[["href",u]],c.markup="autolink",c.info="auto",(c=e.push("text","",0)).content=e.md.normalizeLinkText(s),(c=e.push("link_close","a",-1)).markup="autolink",c.info="auto"),e.pos+=i[0].length,!0)):!!r.test(n)&&(s=(a=n.match(r))[0].slice(1,-1),u=e.md.normalizeLink("mailto:"+s),!!e.md.validateLink(u)&&(t||((c=e.push("link_open","a",1)).attrs=[["href",u]],c.markup="autolink",c.info="auto",(c=e.push("text","",0)).content=e.md.normalizeLinkText(s),(c=e.push("link_close","a",-1)).markup="autolink",c.info="auto"),e.pos+=a[0].length,!0))))}},function(e,t,n){"use strict";var r=n(359).HTML_TAG_RE;e.exports=function(e,t){var n,o,i,a=e.pos;return!!e.md.options.html&&(i=e.posMax,!(60!==e.src.charCodeAt(a)||a+2>=i)&&(!(33!==(n=e.src.charCodeAt(a+1))&&63!==n&&47!==n&&!function(e){var t=32|e;return t>=97&&t<=122}(n))&&(!!(o=e.src.slice(a).match(r))&&(t||(e.push("html_inline","",0).content=e.src.slice(a,a+o[0].length)),e.pos+=o[0].length,!0))))}},function(e,t,n){"use strict";var r=n(354),o=n(179).has,i=n(179).isValidEntityCode,a=n(179).fromCodePoint,s=/^&#((?:x[a-f0-9]{1,8}|[0-9]{1,8}));/i,u=/^&([a-z][a-z0-9]{1,31});/i;e.exports=function(e,t){var n,c,l=e.pos,d=e.posMax;if(38!==e.src.charCodeAt(l))return!1;if(l+1<d)if(35===e.src.charCodeAt(l+1)){if(c=e.src.slice(l).match(s))return t||(n="x"===c[1][0].toLowerCase()?parseInt(c[1].slice(1),16):parseInt(c[1],10),e.pending+=i(n)?a(n):a(65533)),e.pos+=c[0].length,!0}else if((c=e.src.slice(l).match(u))&&o(r,c[1]))return t||(e.pending+=r[c[1]]),e.pos+=c[0].length,!0;return t||(e.pending+="&"),e.pos++,!0}},function(e,t,n){"use strict";e.exports=function(e){var t,n,r,o,i=e.delimiters,a=e.delimiters.length;for(t=0;t<a;t++)if((r=i[t]).close)for(n=t-r.jump-1;n>=0;){if((o=i[n]).open&&o.marker===r.marker&&o.end<0&&o.level===r.level)if(!((o.close||r.open)&&void 0!==o.length&&void 0!==r.length&&(o.length+r.length)%3==0)){r.jump=t-n,r.open=!1,o.end=t,o.jump=0;break}n-=o.jump+1}}},function(e,t,n){"use strict";e.exports=function(e){var t,n,r=0,o=e.tokens,i=e.tokens.length;for(t=n=0;t<i;t++)r+=o[t].nesting,o[t].level=r,"text"===o[t].type&&t+1<i&&"text"===o[t+1].type?o[t+1].content=o[t].content+o[t+1].content:(t!==n&&(o[n]=o[t]),n++);t!==n&&(o.length=n)}},function(e,t,n){"use strict";var r=n(202),o=n(179).isWhiteSpace,i=n(179).isPunctChar,a=n(179).isMdAsciiPunct;function s(e,t,n,r){this.src=e,this.env=n,this.md=t,this.tokens=r,this.pos=0,this.posMax=this.src.length,this.level=0,this.pending="",this.pendingLevel=0,this.cache={},this.delimiters=[]}s.prototype.pushPending=function(){var e=new r("text","",0);return e.content=this.pending,e.level=this.pendingLevel,this.tokens.push(e),this.pending="",e},s.prototype.push=function(e,t,n){this.pending&&this.pushPending();var o=new r(e,t,n);return n<0&&this.level--,o.level=this.level,n>0&&this.level++,this.pendingLevel=this.level,this.tokens.push(o),o},s.prototype.scanDelims=function(e,t){var n,r,s,u,c,l,d,h,f,p=e,m=!0,_=!0,g=this.posMax,y=this.src.charCodeAt(e);for(n=e>0?this.src.charCodeAt(e-1):32;p<g&&this.src.charCodeAt(p)===y;)p++;return s=p-e,r=p<g?this.src.charCodeAt(p):32,d=a(n)||i(String.fromCharCode(n)),f=a(r)||i(String.fromCharCode(r)),l=o(n),(h=o(r))?m=!1:f&&(l||d||(m=!1)),l?_=!1:d&&(h||f||(_=!1)),t?(u=m,c=_):(u=m&&(!_||d),c=_&&(!m||f)),{can_open:u,can_close:c,length:s}},s.prototype.Token=r,e.exports=s},function(e,t,n){"use strict";function r(e){var t=Array.prototype.slice.call(arguments,1);return t.forEach((function(t){t&&Object.keys(t).forEach((function(n){e[n]=t[n]}))})),e}function o(e){return Object.prototype.toString.call(e)}function i(e){return"[object Function]"===o(e)}function a(e){return e.replace(/[.?*+^$[\]\\(){}|-]/g,"\\$&")}var s={fuzzyLink:!0,fuzzyEmail:!0,fuzzyIP:!1};var u={"http:":{validate:function(e,t,n){var r=e.slice(t);return n.re.http||(n.re.http=new RegExp("^\\/\\/"+n.re.src_auth+n.re.src_host_port_strict+n.re.src_path,"i")),n.re.http.test(r)?r.match(n.re.http)[0].length:0}},"https:":"http:","ftp:":"http:","//":{validate:function(e,t,n){var r=e.slice(t);return n.re.no_http||(n.re.no_http=new RegExp("^"+n.re.src_auth+"(?:localhost|(?:(?:"+n.re.src_domain+")\\.)+"+n.re.src_domain_root+")"+n.re.src_port+n.re.src_host_terminator+n.re.src_path,"i")),n.re.no_http.test(r)?t>=3&&":"===e[t-3]||t>=3&&"/"===e[t-3]?0:r.match(n.re.no_http)[0].length:0}},"mailto:":{validate:function(e,t,n){var r=e.slice(t);return n.re.mailto||(n.re.mailto=new RegExp("^"+n.re.src_email_name+"@"+n.re.src_host_strict,"i")),n.re.mailto.test(r)?r.match(n.re.mailto)[0].length:0}}},c="biz|com|edu|gov|net|org|pro|web|xxx|aero|asia|coop|info|museum|name|shop|рф".split("|");function l(e){var t=e.re=n(614)(e.__opts__),r=e.__tlds__.slice();function s(e){return e.replace("%TLDS%",t.src_tlds)}e.onCompile(),e.__tlds_replaced__||r.push("a[cdefgilmnoqrstuwxz]|b[abdefghijmnorstvwyz]|c[acdfghiklmnoruvwxyz]|d[ejkmoz]|e[cegrstu]|f[ijkmor]|g[abdefghilmnpqrstuwy]|h[kmnrtu]|i[delmnoqrst]|j[emop]|k[eghimnprwyz]|l[abcikrstuvy]|m[acdeghklmnopqrstuvwxyz]|n[acefgilopruz]|om|p[aefghklmnrstwy]|qa|r[eosuw]|s[abcdeghijklmnortuvxyz]|t[cdfghjklmnortvwz]|u[agksyz]|v[aceginu]|w[fs]|y[et]|z[amw]"),r.push(t.src_xn),t.src_tlds=r.join("|"),t.email_fuzzy=RegExp(s(t.tpl_email_fuzzy),"i"),t.link_fuzzy=RegExp(s(t.tpl_link_fuzzy),"i"),t.link_no_ip_fuzzy=RegExp(s(t.tpl_link_no_ip_fuzzy),"i"),t.host_fuzzy_test=RegExp(s(t.tpl_host_fuzzy_test),"i");var u=[];function c(e,t){throw new Error('(LinkifyIt) Invalid schema "'+e+'": '+t)}e.__compiled__={},Object.keys(e.__schemas__).forEach((function(t){var n=e.__schemas__[t];if(null!==n){var r={validate:null,link:null};if(e.__compiled__[t]=r,"[object Object]"===o(n))return!function(e){return"[object RegExp]"===o(e)}(n.validate)?i(n.validate)?r.validate=n.validate:c(t,n):r.validate=function(e){return function(t,n){var r=t.slice(n);return e.test(r)?r.match(e)[0].length:0}}(n.validate),void(i(n.normalize)?r.normalize=n.normalize:n.normalize?c(t,n):r.normalize=function(e,t){t.normalize(e)});!function(e){return"[object String]"===o(e)}(n)?c(t,n):u.push(t)}})),u.forEach((function(t){e.__compiled__[e.__schemas__[t]]&&(e.__compiled__[t].validate=e.__compiled__[e.__schemas__[t]].validate,e.__compiled__[t].normalize=e.__compiled__[e.__schemas__[t]].normalize)})),e.__compiled__[""]={validate:null,normalize:function(e,t){t.normalize(e)}};var l=Object.keys(e.__compiled__).filter((function(t){return t.length>0&&e.__compiled__[t]})).map(a).join("|");e.re.schema_test=RegExp("(^|(?!_)(?:[><|]|"+t.src_ZPCc+"))("+l+")","i"),e.re.schema_search=RegExp("(^|(?!_)(?:[><|]|"+t.src_ZPCc+"))("+l+")","ig"),e.re.pretest=RegExp("("+e.re.schema_test.source+")|("+e.re.host_fuzzy_test.source+")|@","i"),function(e){e.__index__=-1,e.__text_cache__=""}(e)}function d(e,t){var n=e.__index__,r=e.__last_index__,o=e.__text_cache__.slice(n,r);this.schema=e.__schema__.toLowerCase(),this.index=n+t,this.lastIndex=r+t,this.raw=o,this.text=o,this.url=o}function h(e,t){var n=new d(e,t);return e.__compiled__[n.schema].normalize(n,e),n}function f(e,t){if(!(this instanceof f))return new f(e,t);var n;t||(n=e,Object.keys(n||{}).reduce((function(e,t){return e||s.hasOwnProperty(t)}),!1)&&(t=e,e={})),this.__opts__=r({},s,t),this.__index__=-1,this.__last_index__=-1,this.__schema__="",this.__text_cache__="",this.__schemas__=r({},u,e),this.__compiled__={},this.__tlds__=c,this.__tlds_replaced__=!1,this.re={},l(this)}f.prototype.add=function(e,t){return this.__schemas__[e]=t,l(this),this},f.prototype.set=function(e){return this.__opts__=r(this.__opts__,e),this},f.prototype.test=function(e){if(this.__text_cache__=e,this.__index__=-1,!e.length)return!1;var t,n,r,o,i,a,s,u;if(this.re.schema_test.test(e))for((s=this.re.schema_search).lastIndex=0;null!==(t=s.exec(e));)if(o=this.testSchemaAt(e,t[2],s.lastIndex)){this.__schema__=t[2],this.__index__=t.index+t[1].length,this.__last_index__=t.index+t[0].length+o;break}return this.__opts__.fuzzyLink&&this.__compiled__["http:"]&&(u=e.search(this.re.host_fuzzy_test))>=0&&(this.__index__<0||u<this.__index__)&&null!==(n=e.match(this.__opts__.fuzzyIP?this.re.link_fuzzy:this.re.link_no_ip_fuzzy))&&(i=n.index+n[1].length,(this.__index__<0||i<this.__index__)&&(this.__schema__="",this.__index__=i,this.__last_index__=n.index+n[0].length)),this.__opts__.fuzzyEmail&&this.__compiled__["mailto:"]&&e.indexOf("@")>=0&&null!==(r=e.match(this.re.email_fuzzy))&&(i=r.index+r[1].length,a=r.index+r[0].length,(this.__index__<0||i<this.__index__||i===this.__index__&&a>this.__last_index__)&&(this.__schema__="mailto:",this.__index__=i,this.__last_index__=a)),this.__index__>=0},f.prototype.pretest=function(e){return this.re.pretest.test(e)},f.prototype.testSchemaAt=function(e,t,n){return this.__compiled__[t.toLowerCase()]?this.__compiled__[t.toLowerCase()].validate(e,n,this):0},f.prototype.match=function(e){var t=0,n=[];this.__index__>=0&&this.__text_cache__===e&&(n.push(h(this,t)),t=this.__last_index__);for(var r=t?e.slice(t):e;this.test(r);)n.push(h(this,t)),r=r.slice(this.__last_index__),t+=this.__last_index__;return n.length?n:null},f.prototype.tlds=function(e,t){return e=Array.isArray(e)?e:[e],t?(this.__tlds__=this.__tlds__.concat(e).sort().filter((function(e,t,n){return e!==n[t-1]})).reverse(),l(this),this):(this.__tlds__=e.slice(),this.__tlds_replaced__=!0,l(this),this)},f.prototype.normalize=function(e){e.schema||(e.url="http://"+e.url),"mailto:"!==e.schema||/^mailto:/i.test(e.url)||(e.url="mailto:"+e.url)},f.prototype.onCompile=function(){},e.exports=f},function(e,t,n){"use strict";e.exports=function(e){var t={};t.src_Any=n(356).source,t.src_Cc=n(357).source,t.src_Z=n(358).source,t.src_P=n(200).source,t.src_ZPCc=[t.src_Z,t.src_P,t.src_Cc].join("|"),t.src_ZCc=[t.src_Z,t.src_Cc].join("|");return t.src_pseudo_letter="(?:(?![><|]|"+t.src_ZPCc+")"+t.src_Any+")",t.src_ip4="(?:(25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\\.){3}(25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)",t.src_auth="(?:(?:(?!"+t.src_ZCc+"|[@/\\[\\]()]).)+@)?",t.src_port="(?::(?:6(?:[0-4]\\d{3}|5(?:[0-4]\\d{2}|5(?:[0-2]\\d|3[0-5])))|[1-5]?\\d{1,4}))?",t.src_host_terminator="(?=$|[><|]|"+t.src_ZPCc+")(?!-|_|:\\d|\\.-|\\.(?!$|"+t.src_ZPCc+"))",t.src_path="(?:[/?#](?:(?!"+t.src_ZCc+"|[><|]|[()[\\]{}.,\"'?!\\-]).|\\[(?:(?!"+t.src_ZCc+"|\\]).)*\\]|\\((?:(?!"+t.src_ZCc+"|[)]).)*\\)|\\{(?:(?!"+t.src_ZCc+'|[}]).)*\\}|\\"(?:(?!'+t.src_ZCc+'|["]).)+\\"|\\\'(?:(?!'+t.src_ZCc+"|[']).)+\\'|\\'(?="+t.src_pseudo_letter+"|[-]).|\\.{2,4}[a-zA-Z0-9%/]|\\.(?!"+t.src_ZCc+"|[.]).|"+(e&&e["---"]?"\\-(?!--(?:[^-]|$))(?:-*)|":"\\-+|")+"\\,(?!"+t.src_ZCc+").|\\!(?!"+t.src_ZCc+"|[!]).|\\?(?!"+t.src_ZCc+"|[?]).)+|\\/)?",t.src_email_name='[\\-;:&=\\+\\$,\\.a-zA-Z0-9_][\\-;:&=\\+\\$,\\"\\.a-zA-Z0-9_]*',t.src_xn="xn--[a-z0-9\\-]{1,59}",t.src_domain_root="(?:"+t.src_xn+"|"+t.src_pseudo_letter+"{1,63})",t.src_domain="(?:"+t.src_xn+"|(?:"+t.src_pseudo_letter+")|(?:"+t.src_pseudo_letter+"(?:-|"+t.src_pseudo_letter+"){0,61}"+t.src_pseudo_letter+"))",t.src_host="(?:(?:(?:(?:"+t.src_domain+")\\.)*"+t.src_domain+"))",t.tpl_host_fuzzy="(?:"+t.src_ip4+"|(?:(?:(?:"+t.src_domain+")\\.)+(?:%TLDS%)))",t.tpl_host_no_ip_fuzzy="(?:(?:(?:"+t.src_domain+")\\.)+(?:%TLDS%))",t.src_host_strict=t.src_host+t.src_host_terminator,t.tpl_host_fuzzy_strict=t.tpl_host_fuzzy+t.src_host_terminator,t.src_host_port_strict=t.src_host+t.src_port+t.src_host_terminator,t.tpl_host_port_fuzzy_strict=t.tpl_host_fuzzy+t.src_port+t.src_host_terminator,t.tpl_host_port_no_ip_fuzzy_strict=t.tpl_host_no_ip_fuzzy+t.src_port+t.src_host_terminator,t.tpl_host_fuzzy_test="localhost|www\\.|\\.\\d{1,3}\\.|(?:\\.(?:%TLDS%)(?:"+t.src_ZPCc+"|>|$))",t.tpl_email_fuzzy='(^|[><|]|"|\\(|'+t.src_ZCc+")("+t.src_email_name+"@"+t.tpl_host_fuzzy_strict+")",t.tpl_link_fuzzy="(^|(?![.:/\\-_@])(?:[$+<=>^`||]|"+t.src_ZPCc+"))((?![$+<=>^`||])"+t.tpl_host_port_fuzzy_strict+t.src_path+")",t.tpl_link_no_ip_fuzzy="(^|(?![.:/\\-_@])(?:[$+<=>^`||]|"+t.src_ZPCc+"))((?![$+<=>^`||])"+t.tpl_host_port_no_ip_fuzzy_strict+t.src_path+")",t}},function(e,t,n){(function(e,r){var o;/*! https://mths.be/punycode v1.4.1 by @mathias */!function(i){t&&t.nodeType,e&&e.nodeType;var a="object"==typeof r&&r;a.global!==a&&a.window!==a&&a.self;var s,u=2147483647,c=/^xn--/,l=/[^\x20-\x7E]/,d=/[\x2E\u3002\uFF0E\uFF61]/g,h={overflow:"Overflow: input needs wider integers to process","not-basic":"Illegal input >= 0x80 (not a basic code point)","invalid-input":"Invalid input"},f=Math.floor,p=String.fromCharCode;function m(e){throw new RangeError(h[e])}function _(e,t){for(var n=e.length,r=[];n--;)r[n]=t(e[n]);return r}function g(e,t){var n=e.split("@"),r="";return n.length>1&&(r=n[0]+"@",e=n[1]),r+_((e=e.replace(d,".")).split("."),t).join(".")}function y(e){for(var t,n,r=[],o=0,i=e.length;o<i;)(t=e.charCodeAt(o++))>=55296&&t<=56319&&o<i?56320==(64512&(n=e.charCodeAt(o++)))?r.push(((1023&t)<<10)+(1023&n)+65536):(r.push(t),o--):r.push(t);return r}function v(e){return _(e,(function(e){var t="";return e>65535&&(t+=p((e-=65536)>>>10&1023|55296),e=56320|1023&e),t+=p(e)})).join("")}function M(e,t){return e+22+75*(e<26)-((0!=t)<<5)}function k(e,t,n){var r=0;for(e=n?f(e/700):e>>1,e+=f(e/t);e>455;r+=36)e=f(e/35);return f(r+36*e/(e+38))}function b(e){var t,n,r,o,i,a,s,c,l,d,h,p=[],_=e.length,g=0,y=128,M=72;for((n=e.lastIndexOf("-"))<0&&(n=0),r=0;r<n;++r)e.charCodeAt(r)>=128&&m("not-basic"),p.push(e.charCodeAt(r));for(o=n>0?n+1:0;o<_;){for(i=g,a=1,s=36;o>=_&&m("invalid-input"),((c=(h=e.charCodeAt(o++))-48<10?h-22:h-65<26?h-65:h-97<26?h-97:36)>=36||c>f((u-g)/a))&&m("overflow"),g+=c*a,!(c<(l=s<=M?1:s>=M+26?26:s-M));s+=36)a>f(u/(d=36-l))&&m("overflow"),a*=d;M=k(g-i,t=p.length+1,0==i),f(g/t)>u-y&&m("overflow"),y+=f(g/t),g%=t,p.splice(g++,0,y)}return v(p)}function w(e){var t,n,r,o,i,a,s,c,l,d,h,_,g,v,b,w=[];for(_=(e=y(e)).length,t=128,n=0,i=72,a=0;a<_;++a)(h=e[a])<128&&w.push(p(h));for(r=o=w.length,o&&w.push("-");r<_;){for(s=u,a=0;a<_;++a)(h=e[a])>=t&&h<s&&(s=h);for(s-t>f((u-n)/(g=r+1))&&m("overflow"),n+=(s-t)*g,t=s,a=0;a<_;++a)if((h=e[a])<t&&++n>u&&m("overflow"),h==t){for(c=n,l=36;!(c<(d=l<=i?1:l>=i+26?26:l-i));l+=36)b=c-d,v=36-d,w.push(p(M(d+b%v,0))),c=f(b/v);w.push(p(M(c,0))),i=k(n,g,r==o),n=0,++r}++n,++t}return w.join("")}s={version:"1.4.1",ucs2:{decode:y,encode:v},decode:b,encode:w,toASCII:function(e){return g(e,(function(e){return l.test(e)?"xn--"+w(e):e}))},toUnicode:function(e){return g(e,(function(e){return c.test(e)?b(e.slice(4).toLowerCase()):e}))}},void 0===(o=function(){return s}.call(t,n,t,e))||(e.exports=o)}()}).call(this,n(224)(e),n(46))},function(e,t,n){"use strict";e.exports={options:{html:!1,xhtmlOut:!1,breaks:!1,langPrefix:"language-",linkify:!1,typographer:!1,quotes:"“”‘’",highlight:null,maxNesting:100},components:{core:{},block:{},inline:{}}}},function(e,t,n){"use strict";e.exports={options:{html:!1,xhtmlOut:!1,breaks:!1,langPrefix:"language-",linkify:!1,typographer:!1,quotes:"“”‘’",highlight:null,maxNesting:20},components:{core:{rules:["normalize","block","inline"]},block:{rules:["paragraph"]},inline:{rules:["text"],rules2:["balance_pairs","text_collapse"]}}}},function(e,t,n){"use strict";e.exports={options:{html:!0,xhtmlOut:!0,breaks:!1,langPrefix:"language-",linkify:!1,typographer:!1,quotes:"“”‘’",highlight:null,maxNesting:20},components:{core:{rules:["normalize","block","inline"]},block:{rules:["blockquote","code","fence","heading","hr","html_block","lheading","list","reference","paragraph"]},inline:{rules:["autolink","backticks","emphasis","entity","escape","html_inline","image","link","newline","text"],rules2:["balance_pairs","emphasis","text_collapse"]}}}},function(e,t){var n=!0,r=!1,o=!1;function i(e,t,n){var r=e.attrIndex(t),o=[t,n];r<0?e.attrPush(o):e.attrs[r]=o}function a(e,t){for(var n=e[t].level-1,r=t-1;r>=0;r--)if(e[r].level===n)return r;return-1}function s(e,t){return"inline"===e[t].type&&function(e){return"paragraph_open"===e.type}(e[t-1])&&function(e){return"list_item_open"===e.type}(e[t-2])&&function(e){return 0===e.content.indexOf("[ ] ")||0===e.content.indexOf("[x] ")||0===e.content.indexOf("[X] ")}(e[t])}function u(e,t){if(e.children.unshift(function(e,t){var r=new t("html_inline","",0),o=n?' disabled="" ':"";0===e.content.indexOf("[ ] ")?r.content='<input class="task-list-item-checkbox"'+o+'type="checkbox">':0!==e.content.indexOf("[x] ")&&0!==e.content.indexOf("[X] ")||(r.content='<input class="task-list-item-checkbox" checked=""'+o+'type="checkbox">');return r}(e,t)),e.children[1].content=e.children[1].content.slice(3),e.content=e.content.slice(3),r)if(o){e.children.pop();var i="task-item-"+Math.ceil(1e7*Math.random()-1e3);e.children[0].content=e.children[0].content.slice(0,-1)+' id="'+i+'">',e.children.push(function(e,t,n){var r=new n("html_inline","",0);return r.content='<label class="task-list-item-label" for="'+t+'">'+e+"</label>",r.attrs=[{for:t}],r}(e.content,i,t))}else e.children.unshift(function(e){var t=new e("html_inline","",0);return t.content="<label>",t}(t)),e.children.push(function(e){var t=new e("html_inline","",0);return t.content="</label>",t}(t))}e.exports=function(e,t){t&&(n=!t.enabled,r=!!t.label,o=!!t.labelAfter),e.core.ruler.after("inline","github-task-lists",(function(e){for(var t=e.tokens,r=2;r<t.length;r++)s(t,r)&&(u(t[r],e.Token),i(t[r-2],"class","task-list-item"+(n?"":" enabled")),i(t[a(t,r-2)],"class","contains-task-list"))}))}},function(e,t,n){"use strict";(function(e,t){var r;(r=void 0!==e&&"[object process]"==={}.toString.call(e)||"undefined"!=typeof navigator&&"ReactNative"===navigator.product?t:self).Proxy||(r.Proxy=n(621)(),r.Proxy.revocable=r.Proxy.revocable)}).call(this,n(47),n(46))},function(e,t){function n(e){return(n="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e})(e)}e.exports=function(){var e,t=null;function r(e){return!!e&&("object"===n(e)||"function"==typeof e)}return(e=function(e,n){if(!r(e)||!r(n))throw new TypeError("Cannot create proxy with a non-object as target or handler");var o=function(){};t=function(){e=null,o=function(e){throw new TypeError("Cannot perform '".concat(e,"' on a proxy that has been revoked"))}},setTimeout((function(){t=null}),0);var i=n;for(var a in n={get:null,set:null,apply:null,construct:null},i){if(!(a in n))throw new TypeError("Proxy polyfill does not support trap '".concat(a,"'"));n[a]=i[a]}"function"==typeof i&&(n.apply=i.apply.bind(i));var s=this,u=!1,c=!1;"function"==typeof e?(s=function(){var t=this&&this.constructor===s,r=Array.prototype.slice.call(arguments);if(o(t?"construct":"apply"),t&&n.construct)return n.construct.call(this,e,r);if(!t&&n.apply)return n.apply(e,this,r);if(t){r.unshift(e);var i=e.bind.apply(e,r);return new i}return e.apply(this,r)},u=!0):e instanceof Array&&(s=[],c=!0);var l=n.get?function(e){return o("get"),n.get(this,e,s)}:function(e){return o("get"),this[e]},d=n.set?function(e,t){o("set");n.set(this,e,t,s)}:function(e,t){o("set"),this[e]=t},h=Object.getOwnPropertyNames(e),f={};h.forEach((function(t){if(!u&&!c||!(t in s)){var n={enumerable:!!Object.getOwnPropertyDescriptor(e,t).enumerable,get:l.bind(e,t),set:d.bind(e,t)};Object.defineProperty(s,t,n),f[t]=!0}}));var p=!0;if(Object.setPrototypeOf?Object.setPrototypeOf(s,Object.getPrototypeOf(e)):s.__proto__?s.__proto__=e.__proto__:p=!1,n.get||!p)for(var m in e)f[m]||Object.defineProperty(s,m,{get:l.bind(e,m)});return Object.seal(e),Object.seal(s),s}).revocable=function(n,r){return{proxy:new e(n,r),revoke:t}},e}},function(e,t,n){"use strict";n.d(t,"a",(function(){return f})),n.d(t,"b",(function(){return p}));var r=n(182),o=n(353),i=n.n(o),a=new r.Schema({nodes:{doc:{content:"block+"},paragraph:{content:"inline*",group:"block",parseDOM:[{tag:"p"}],toDOM:function(){return["p",0]}},blockquote:{content:"block+",group:"block",parseDOM:[{tag:"blockquote"}],toDOM:function(){return["blockquote",0]}},horizontal_rule:{group:"block",parseDOM:[{tag:"hr"}],toDOM:function(){return["div",["hr"]]}},heading:{attrs:{level:{default:1}},content:"(text | image)*",group:"block",defining:!0,parseDOM:[{tag:"h1",attrs:{level:1}},{tag:"h2",attrs:{level:2}},{tag:"h3",attrs:{level:3}},{tag:"h4",attrs:{level:4}},{tag:"h5",attrs:{level:5}},{tag:"h6",attrs:{level:6}}],toDOM:function(e){return["h"+e.attrs.level,0]}},code_block:{content:"text*",group:"block",code:!0,defining:!0,marks:"",attrs:{params:{default:""}},parseDOM:[{tag:"pre",preserveWhitespace:"full",getAttrs:function(e){return{params:e.getAttribute("data-params")||""}}}],toDOM:function(e){return["pre",e.attrs.params?{"data-params":e.attrs.params}:{},["code",0]]}},ordered_list:{content:"list_item+",group:"block",attrs:{order:{default:1},tight:{default:!1}},parseDOM:[{tag:"ol",getAttrs:function(e){return{order:e.hasAttribute("start")?+e.getAttribute("start"):1,tight:e.hasAttribute("data-tight")}}}],toDOM:function(e){return["ol",{start:1==e.attrs.order?null:e.attrs.order,"data-tight":e.attrs.tight?"true":null},0]}},bullet_list:{content:"list_item+",group:"block",attrs:{tight:{default:!1}},parseDOM:[{tag:"ul",getAttrs:function(e){return{tight:e.hasAttribute("data-tight")}}}],toDOM:function(e){return["ul",{"data-tight":e.attrs.tight?"true":null},0]}},list_item:{content:"paragraph block*",defining:!0,parseDOM:[{tag:"li"}],toDOM:function(){return["li",0]}},text:{group:"inline"},image:{inline:!0,attrs:{src:{},alt:{default:null},title:{default:null}},group:"inline",draggable:!0,parseDOM:[{tag:"img[src]",getAttrs:function(e){return{src:e.getAttribute("src"),title:e.getAttribute("title"),alt:e.getAttribute("alt")}}}],toDOM:function(e){return["img",e.attrs]}},hard_break:{inline:!0,group:"inline",selectable:!1,parseDOM:[{tag:"br"}],toDOM:function(){return["br"]}}},marks:{em:{parseDOM:[{tag:"i"},{tag:"em"},{style:"font-style",getAttrs:function(e){return"italic"==e&&null}}],toDOM:function(){return["em"]}},strong:{parseDOM:[{tag:"b"},{tag:"strong"},{style:"font-weight",getAttrs:function(e){return/^(bold(er)?|[5-9]\d{2,})$/.test(e)&&null}}],toDOM:function(){return["strong"]}},link:{attrs:{href:{},title:{default:null}},inclusive:!1,parseDOM:[{tag:"a[href]",getAttrs:function(e){return{href:e.getAttribute("href"),title:e.getAttribute("title")}}}],toDOM:function(e){return["a",e.attrs]}},code:{parseDOM:[{tag:"code"}],toDOM:function(){return["code"]}}}});var s=function(e,t){this.schema=e,this.stack=[{type:e.topNodeType,content:[]}],this.marks=r.Mark.none,this.tokenHandlers=t};function u(e,t){return e.getAttrs?e.getAttrs(t):e.attrs instanceof Function?e.attrs(t):e.attrs}function c(e){return"code_inline"==e||"code_block"==e||"fence"==e}function l(e){return"\n"==e[e.length-1]?e.slice(0,e.length-1):e}function d(){}s.prototype.top=function(){return this.stack[this.stack.length-1]},s.prototype.push=function(e){this.stack.length&&this.top().content.push(e)},s.prototype.addText=function(e){if(e){var t,n=this.top().content,o=n[n.length-1],i=this.schema.text(e,this.marks);o&&(t=function(e,t){if(e.isText&&t.isText&&r.Mark.sameSet(e.marks,t.marks))return e.withText(e.text+t.text)}(o,i))?n[n.length-1]=t:n.push(i)}},s.prototype.openMark=function(e){this.marks=e.addToSet(this.marks)},s.prototype.closeMark=function(e){this.marks=e.removeFromSet(this.marks)},s.prototype.parseTokens=function(e){for(var t=0;t<e.length;t++){var n=e[t],r=this.tokenHandlers[n.type];if(!r)throw new Error("Token type `"+n.type+"` not supported by Markdown parser");r(this,n)}},s.prototype.addNode=function(e,t,n){var r=e.createAndFill(t,n,this.marks);return r?(this.push(r),r):null},s.prototype.openNode=function(e,t){this.stack.push({type:e,attrs:t,content:[]})},s.prototype.closeNode=function(){this.marks.length&&(this.marks=r.Mark.none);var e=this.stack.pop();return this.addNode(e.type,e.attrs,e.content)};var h=function(e,t,n){this.tokens=n,this.schema=e,this.tokenizer=t,this.tokenHandlers=function(e,t){var n=Object.create(null),r=function(r){var o=t[r];if(o.block){var i=e.nodeType(o.block);c(r)?n[r]=function(e,t){e.openNode(i,u(o,t)),e.addText(l(t.content)),e.closeNode()}:(n[r+"_open"]=function(e,t){return e.openNode(i,u(o,t))},n[r+"_close"]=function(e){return e.closeNode()})}else if(o.node){var a=e.nodeType(o.node);n[r]=function(e,t){return e.addNode(a,u(o,t))}}else if(o.mark){var s=e.marks[o.mark];c(r)?n[r]=function(e,t){e.openMark(s.create(u(o,t))),e.addText(l(t.content)),e.closeMark(s)}:(n[r+"_open"]=function(e,t){return e.openMark(s.create(u(o,t)))},n[r+"_close"]=function(e){return e.closeMark(s)})}else{if(!o.ignore)throw new RangeError("Unrecognized parsing spec "+JSON.stringify(o));c(r)?n[r]=d:(n[r+"_open"]=d,n[r+"_close"]=d)}};for(var o in t)r(o);return n.text=function(e,t){return e.addText(t.content)},n.inline=function(e,t){return e.parseTokens(t.children)},n.softbreak=n.softbreak||function(e){return e.addText("\n")},n}(e,n)};h.prototype.parse=function(e){var t,n=new s(this.schema,this.tokenHandlers);n.parseTokens(this.tokenizer.parse(e,{}));do{t=n.closeNode()}while(n.stack.length);return t};new h(a,i()("commonmark",{html:!1}),{blockquote:{block:"blockquote"},paragraph:{block:"paragraph"},list_item:{block:"list_item"},bullet_list:{block:"bullet_list"},ordered_list:{block:"ordered_list",getAttrs:function(e){return{order:+e.attrGet("start")||1}}},heading:{block:"heading",getAttrs:function(e){return{level:+e.tag.slice(1)}}},code_block:{block:"code_block"},fence:{block:"code_block",getAttrs:function(e){return{params:e.info||""}}},hr:{node:"horizontal_rule"},image:{node:"image",getAttrs:function(e){return{src:e.attrGet("src"),title:e.attrGet("title")||null,alt:e.children[0]&&e.children[0].content||null}}},hardbreak:{node:"hard_break"},em:{mark:"em"},strong:{mark:"strong"},link:{mark:"link",getAttrs:function(e){return{href:e.attrGet("href"),title:e.attrGet("title")||null}}},code_inline:{mark:"code"}});var f=function(e,t){this.nodes=e,this.marks=t};f.prototype.serialize=function(e,t){var n=new g(this.nodes,this.marks,t);return n.renderContent(e),n.out};var p=new f({blockquote:function(e,t){e.wrapBlock("> ",null,t,(function(){return e.renderContent(t)}))},code_block:function(e,t){e.write("```"+(t.attrs.params||"")+"\n"),e.text(t.textContent,!1),e.ensureNewLine(),e.write("```"),e.closeBlock(t)},heading:function(e,t){e.write(e.repeat("#",t.attrs.level)+" "),e.renderInline(t),e.closeBlock(t)},horizontal_rule:function(e,t){e.write(t.attrs.markup||"---"),e.closeBlock(t)},bullet_list:function(e,t){e.renderList(t," ",(function(){return(t.attrs.bullet||"*")+" "}))},ordered_list:function(e,t){var n=t.attrs.order||1,r=String(n+t.childCount-1).length,o=e.repeat(" ",r+2);e.renderList(t,o,(function(t){var o=String(n+t);return e.repeat(" ",r-o.length)+o+". "}))},list_item:function(e,t){e.renderContent(t)},paragraph:function(e,t){e.renderInline(t),e.closeBlock(t)},image:function(e,t){e.write("!["+e.esc(t.attrs.alt||"")+"]("+e.esc(t.attrs.src)+(t.attrs.title?" "+e.quote(t.attrs.title):"")+")")},hard_break:function(e,t,n,r){for(var o=r+1;o<n.childCount;o++)if(n.child(o).type!=t.type)return void e.write("\\\n")},text:function(e,t){e.text(t.text)}},{em:{open:"*",close:"*",mixable:!0,expelEnclosingWhitespace:!0},strong:{open:"**",close:"**",mixable:!0,expelEnclosingWhitespace:!0},link:{open:function(e,t,n,r){return _(t,n,r,1)?"<":"["},close:function(e,t,n,r){return _(t,n,r,-1)?">":"]("+e.esc(t.attrs.href)+(t.attrs.title?" "+e.quote(t.attrs.title):"")+")"}},code:{open:function(e,t,n,r){return m(n.child(r),-1)},close:function(e,t,n,r){return m(n.child(r-1),1)},escape:!1}});function m(e,t){var n,r=/`+/g,o=0;if(e.isText)for(;n=r.exec(e.text);)o=Math.max(o,n[0].length);for(var i=o>0&&t>0?" `":"`",a=0;a<o;a++)i+="`";return o>0&&t<0&&(i+=" "),i}function _(e,t,n,r){if(e.attrs.title||!/^\w+:/.test(e.attrs.href))return!1;var o=t.child(n+(r<0?-1:0));if(!o.isText||o.text!=e.attrs.href||o.marks[o.marks.length-1]!=e)return!1;if(n==(r<0?1:t.childCount-1))return!0;var i=t.child(n+(r<0?-2:1));return!e.isInSet(i.marks)}var g=function(e,t,n){this.nodes=e,this.marks=t,this.delim=this.out="",this.closed=!1,this.inTightList=!1,this.options=n||{},void 0===this.options.tightLists&&(this.options.tightLists=!1)};g.prototype.flushClose=function(e){if(this.closed){if(this.atBlank()||(this.out+="\n"),null==e&&(e=2),e>1){var t=this.delim,n=/\s+$/.exec(t);n&&(t=t.slice(0,t.length-n[0].length));for(var r=1;r<e;r++)this.out+=t+"\n"}this.closed=!1}},g.prototype.wrapBlock=function(e,t,n,r){var o=this.delim;this.write(t||e),this.delim+=e,r(),this.delim=o,this.closeBlock(n)},g.prototype.atBlank=function(){return/(^|\n)$/.test(this.out)},g.prototype.ensureNewLine=function(){this.atBlank()||(this.out+="\n")},g.prototype.write=function(e){this.flushClose(),this.delim&&this.atBlank()&&(this.out+=this.delim),e&&(this.out+=e)},g.prototype.closeBlock=function(e){this.closed=e},g.prototype.text=function(e,t){for(var n=e.split("\n"),r=0;r<n.length;r++){var o=this.atBlank()||this.closed;this.write(),this.out+=!1!==t?this.esc(n[r],o):n[r],r!=n.length-1&&(this.out+="\n")}},g.prototype.render=function(e,t,n){if("number"==typeof t)throw new Error("!");this.nodes[e.type.name](this,e,t,n)},g.prototype.renderContent=function(e){var t=this;e.forEach((function(n,r,o){return t.render(n,e,o)}))},g.prototype.renderInline=function(e){var t=this,n=[],r="",o=function(o,i,a){var s=o?o.marks:[];o&&"hard_break"===o.type.name&&(s=s.filter((function(t){if(a+1==e.childCount)return!1;var n=e.child(a+1);return t.isInSet(n.marks)&&(!n.isText||/\S/.test(n.text))})));var u=r;if(r="",o&&o.isText&&s.some((function(e){var n=t.marks[e.type.name];return n&&n.expelEnclosingWhitespace}))){var c=/^(\s*)(.*?)(\s*)$/m.exec(o.text),l=(c[0],c[1]),d=c[2],h=c[3];u+=l,r=h,(l||h)&&((o=d?o.withText(d):null)||(s=n))}var f=s.length&&s[s.length-1],p=f&&!1===t.marks[f.type.name].escape,m=s.length-(p?1:0);e:for(var _=0;_<m;_++){var g=s[_];if(!t.marks[g.type.name].mixable)break;for(var y=0;y<n.length;y++){var v=n[y];if(!t.marks[v.type.name].mixable)break;if(g.eq(v)){_>y?s=s.slice(0,y).concat(g).concat(s.slice(y,_)).concat(s.slice(_+1,m)):y>_&&(s=s.slice(0,_).concat(s.slice(_+1,y)).concat(g).concat(s.slice(y,m)));continue e}}}for(var M=0;M<Math.min(n.length,m)&&s[M].eq(n[M]);)++M;for(;M<n.length;)t.text(t.markString(n.pop(),!1,e,a),!1);if(u&&t.text(u),o){for(;n.length<m;){var k=s[n.length];n.push(k),t.text(t.markString(k,!0,e,a),!1)}p&&o.isText?t.text(t.markString(f,!0,e,a)+o.text+t.markString(f,!1,e,a+1),!1):t.render(o,e,a)}};e.forEach(o),o(null,0,e.childCount)},g.prototype.renderList=function(e,t,n){var r=this;this.closed&&this.closed.type==e.type?this.flushClose(3):this.inTightList&&this.flushClose(1);var o=void 0!==e.attrs.tight?e.attrs.tight:this.options.tightLists,i=this.inTightList;this.inTightList=o,e.forEach((function(i,a,s){s&&o&&r.flushClose(1),r.wrapBlock(t,n(s),e,(function(){return r.render(i,e,s)}))})),this.inTightList=i},g.prototype.esc=function(e,t){return e=e.replace(/[`*\\~\[\]]/g,"\\$&"),t&&(e=e.replace(/^[:#\-*+]/,"\\$&").replace(/^(\d+)\./,"$1\\.")),e},g.prototype.quote=function(e){var t=-1==e.indexOf('"')?'""':-1==e.indexOf("'")?"''":"()";return t[0]+e+t[1]},g.prototype.repeat=function(e,t){for(var n="",r=0;r<t;r++)n+=e;return n},g.prototype.markString=function(e,t,n,r){var o=this.marks[e.type.name],i=t?o.open:o.close;return"string"==typeof i?i:i(this,e,n,r)},g.prototype.getEnclosingWhitespace=function(e){return{leading:(e.match(/^(\s+)/)||[])[0],trailing:(e.match(/(\s+)$/)||[])[0]}}}]]);
-//# sourceMappingURL=vendors~editor.js.map?v=120d4b01f30f8b7368ba \ No newline at end of file
+ */var r=/["'&<>]/;e.exports=function(e){var t,n=""+e,o=r.exec(n);if(!o)return n;var i="",a=0,s=0;for(a=o.index;a<n.length;a++){switch(n.charCodeAt(a)){case 34:t="&quot;";break;case 38:t="&amp;";break;case 39:t="&#39;";break;case 60:t="&lt;";break;case 62:t="&gt;";break;default:continue}s!==a&&(i+=n.substring(s,a)),s=a+1,i+=t}return s!==a?i+n.substring(s,a):i}},function(e,t){e.exports=function(e){return e.webpackPolyfill||(e.deprecate=function(){},e.paths=[],e.children||(e.children=[]),Object.defineProperty(e,"loaded",{enumerable:!0,get:function(){return e.l}}),Object.defineProperty(e,"id",{enumerable:!0,get:function(){return e.i}}),e.webpackPolyfill=1),e}},function(e,t,n){!function(e){"use strict";e.defineLocale("af",{months:"Januarie_Februarie_Maart_April_Mei_Junie_Julie_Augustus_September_Oktober_November_Desember".split("_"),monthsShort:"Jan_Feb_Mrt_Apr_Mei_Jun_Jul_Aug_Sep_Okt_Nov_Des".split("_"),weekdays:"Sondag_Maandag_Dinsdag_Woensdag_Donderdag_Vrydag_Saterdag".split("_"),weekdaysShort:"Son_Maa_Din_Woe_Don_Vry_Sat".split("_"),weekdaysMin:"So_Ma_Di_Wo_Do_Vr_Sa".split("_"),meridiemParse:/vm|nm/i,isPM:function(e){return/^nm$/i.test(e)},meridiem:function(e,t,n){return e<12?n?"vm":"VM":n?"nm":"NM"},longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Vandag om] LT",nextDay:"[Môre om] LT",nextWeek:"dddd [om] LT",lastDay:"[Gister om] LT",lastWeek:"[Laas] dddd [om] LT",sameElse:"L"},relativeTime:{future:"oor %s",past:"%s gelede",s:"'n paar sekondes",ss:"%d sekondes",m:"'n minuut",mm:"%d minute",h:"'n uur",hh:"%d ure",d:"'n dag",dd:"%d dae",M:"'n maand",MM:"%d maande",y:"'n jaar",yy:"%d jaar"},dayOfMonthOrdinalParse:/\d{1,2}(ste|de)/,ordinal:function(e){return e+(1===e||8===e||e>=20?"ste":"de")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"١",2:"٢",3:"٣",4:"٤",5:"٥",6:"٦",7:"٧",8:"٨",9:"٩",0:"٠"},n={"١":"1","٢":"2","٣":"3","٤":"4","٥":"5","٦":"6","٧":"7","٨":"8","٩":"9","٠":"0"},r=function(e){return 0===e?0:1===e?1:2===e?2:e%100>=3&&e%100<=10?3:e%100>=11?4:5},o={s:["أقل من ثانية","ثانية واحدة",["ثانيتان","ثانيتين"],"%d ثوان","%d ثانية","%d ثانية"],m:["أقل من دقيقة","دقيقة واحدة",["دقيقتان","دقيقتين"],"%d دقائق","%d دقيقة","%d دقيقة"],h:["أقل من ساعة","ساعة واحدة",["ساعتان","ساعتين"],"%d ساعات","%d ساعة","%d ساعة"],d:["أقل من يوم","يوم واحد",["يومان","يومين"],"%d أيام","%d يومًا","%d يوم"],M:["أقل من شهر","شهر واحد",["شهران","شهرين"],"%d أشهر","%d شهرا","%d شهر"],y:["أقل من عام","عام واحد",["عامان","عامين"],"%d أعوام","%d عامًا","%d عام"]},i=function(e){return function(t,n,i,a){var s=r(t),u=o[e][r(t)];return 2===s&&(u=u[n?0:1]),u.replace(/%d/i,t)}},a=["يناير","فبراير","مارس","أبريل","مايو","يونيو","يوليو","أغسطس","سبتمبر","أكتوبر","نوفمبر","ديسمبر"];e.defineLocale("ar",{months:a,monthsShort:a,weekdays:"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"D/‏M/‏YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},meridiemParse:/ص|م/,isPM:function(e){return"م"===e},meridiem:function(e,t,n){return e<12?"ص":"م"},calendar:{sameDay:"[اليوم عند الساعة] LT",nextDay:"[غدًا عند الساعة] LT",nextWeek:"dddd [عند الساعة] LT",lastDay:"[أمس عند الساعة] LT",lastWeek:"dddd [عند الساعة] LT",sameElse:"L"},relativeTime:{future:"بعد %s",past:"منذ %s",s:i("s"),ss:i("s"),m:i("m"),mm:i("m"),h:i("h"),hh:i("h"),d:i("d"),dd:i("d"),M:i("M"),MM:i("M"),y:i("y"),yy:i("y")},preparse:function(e){return e.replace(/[١٢٣٤٥٦٧٨٩٠]/g,(function(e){return n[e]})).replace(/،/g,",")},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]})).replace(/,/g,"،")},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ar-dz",{months:"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),monthsShort:"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),weekdays:"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"احد_اثنين_ثلاثاء_اربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"أح_إث_ثلا_أر_خم_جم_سب".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[اليوم على الساعة] LT",nextDay:"[غدا على الساعة] LT",nextWeek:"dddd [على الساعة] LT",lastDay:"[أمس على الساعة] LT",lastWeek:"dddd [على الساعة] LT",sameElse:"L"},relativeTime:{future:"في %s",past:"منذ %s",s:"ثوان",ss:"%d ثانية",m:"دقيقة",mm:"%d دقائق",h:"ساعة",hh:"%d ساعات",d:"يوم",dd:"%d أيام",M:"شهر",MM:"%d أشهر",y:"سنة",yy:"%d سنوات"},week:{dow:0,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ar-kw",{months:"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر".split("_"),monthsShort:"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر".split("_"),weekdays:"الأحد_الإتنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"احد_اتنين_ثلاثاء_اربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[اليوم على الساعة] LT",nextDay:"[غدا على الساعة] LT",nextWeek:"dddd [على الساعة] LT",lastDay:"[أمس على الساعة] LT",lastWeek:"dddd [على الساعة] LT",sameElse:"L"},relativeTime:{future:"في %s",past:"منذ %s",s:"ثوان",ss:"%d ثانية",m:"دقيقة",mm:"%d دقائق",h:"ساعة",hh:"%d ساعات",d:"يوم",dd:"%d أيام",M:"شهر",MM:"%d أشهر",y:"سنة",yy:"%d سنوات"},week:{dow:0,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"1",2:"2",3:"3",4:"4",5:"5",6:"6",7:"7",8:"8",9:"9",0:"0"},n=function(e){return 0===e?0:1===e?1:2===e?2:e%100>=3&&e%100<=10?3:e%100>=11?4:5},r={s:["أقل من ثانية","ثانية واحدة",["ثانيتان","ثانيتين"],"%d ثوان","%d ثانية","%d ثانية"],m:["أقل من دقيقة","دقيقة واحدة",["دقيقتان","دقيقتين"],"%d دقائق","%d دقيقة","%d دقيقة"],h:["أقل من ساعة","ساعة واحدة",["ساعتان","ساعتين"],"%d ساعات","%d ساعة","%d ساعة"],d:["أقل من يوم","يوم واحد",["يومان","يومين"],"%d أيام","%d يومًا","%d يوم"],M:["أقل من شهر","شهر واحد",["شهران","شهرين"],"%d أشهر","%d شهرا","%d شهر"],y:["أقل من عام","عام واحد",["عامان","عامين"],"%d أعوام","%d عامًا","%d عام"]},o=function(e){return function(t,o,i,a){var s=n(t),u=r[e][n(t)];return 2===s&&(u=u[o?0:1]),u.replace(/%d/i,t)}},i=["يناير","فبراير","مارس","أبريل","مايو","يونيو","يوليو","أغسطس","سبتمبر","أكتوبر","نوفمبر","ديسمبر"];e.defineLocale("ar-ly",{months:i,monthsShort:i,weekdays:"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"D/‏M/‏YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},meridiemParse:/ص|م/,isPM:function(e){return"م"===e},meridiem:function(e,t,n){return e<12?"ص":"م"},calendar:{sameDay:"[اليوم عند الساعة] LT",nextDay:"[غدًا عند الساعة] LT",nextWeek:"dddd [عند الساعة] LT",lastDay:"[أمس عند الساعة] LT",lastWeek:"dddd [عند الساعة] LT",sameElse:"L"},relativeTime:{future:"بعد %s",past:"منذ %s",s:o("s"),ss:o("s"),m:o("m"),mm:o("m"),h:o("h"),hh:o("h"),d:o("d"),dd:o("d"),M:o("M"),MM:o("M"),y:o("y"),yy:o("y")},preparse:function(e){return e.replace(/،/g,",")},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]})).replace(/,/g,"،")},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ar-ma",{months:"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر".split("_"),monthsShort:"يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر".split("_"),weekdays:"الأحد_الإتنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"احد_اتنين_ثلاثاء_اربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[اليوم على الساعة] LT",nextDay:"[غدا على الساعة] LT",nextWeek:"dddd [على الساعة] LT",lastDay:"[أمس على الساعة] LT",lastWeek:"dddd [على الساعة] LT",sameElse:"L"},relativeTime:{future:"في %s",past:"منذ %s",s:"ثوان",ss:"%d ثانية",m:"دقيقة",mm:"%d دقائق",h:"ساعة",hh:"%d ساعات",d:"يوم",dd:"%d أيام",M:"شهر",MM:"%d أشهر",y:"سنة",yy:"%d سنوات"},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"١",2:"٢",3:"٣",4:"٤",5:"٥",6:"٦",7:"٧",8:"٨",9:"٩",0:"٠"},n={"١":"1","٢":"2","٣":"3","٤":"4","٥":"5","٦":"6","٧":"7","٨":"8","٩":"9","٠":"0"};e.defineLocale("ar-sa",{months:"يناير_فبراير_مارس_أبريل_مايو_يونيو_يوليو_أغسطس_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),monthsShort:"يناير_فبراير_مارس_أبريل_مايو_يونيو_يوليو_أغسطس_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),weekdays:"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},meridiemParse:/ص|م/,isPM:function(e){return"م"===e},meridiem:function(e,t,n){return e<12?"ص":"م"},calendar:{sameDay:"[اليوم على الساعة] LT",nextDay:"[غدا على الساعة] LT",nextWeek:"dddd [على الساعة] LT",lastDay:"[أمس على الساعة] LT",lastWeek:"dddd [على الساعة] LT",sameElse:"L"},relativeTime:{future:"في %s",past:"منذ %s",s:"ثوان",ss:"%d ثانية",m:"دقيقة",mm:"%d دقائق",h:"ساعة",hh:"%d ساعات",d:"يوم",dd:"%d أيام",M:"شهر",MM:"%d أشهر",y:"سنة",yy:"%d سنوات"},preparse:function(e){return e.replace(/[١٢٣٤٥٦٧٨٩٠]/g,(function(e){return n[e]})).replace(/،/g,",")},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]})).replace(/,/g,"،")},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ar-tn",{months:"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),monthsShort:"جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر".split("_"),weekdays:"الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت".split("_"),weekdaysShort:"أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت".split("_"),weekdaysMin:"ح_ن_ث_ر_خ_ج_س".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[اليوم على الساعة] LT",nextDay:"[غدا على الساعة] LT",nextWeek:"dddd [على الساعة] LT",lastDay:"[أمس على الساعة] LT",lastWeek:"dddd [على الساعة] LT",sameElse:"L"},relativeTime:{future:"في %s",past:"منذ %s",s:"ثوان",ss:"%d ثانية",m:"دقيقة",mm:"%d دقائق",h:"ساعة",hh:"%d ساعات",d:"يوم",dd:"%d أيام",M:"شهر",MM:"%d أشهر",y:"سنة",yy:"%d سنوات"},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"-inci",5:"-inci",8:"-inci",70:"-inci",80:"-inci",2:"-nci",7:"-nci",20:"-nci",50:"-nci",3:"-üncü",4:"-üncü",100:"-üncü",6:"-ncı",9:"-uncu",10:"-uncu",30:"-uncu",60:"-ıncı",90:"-ıncı"};e.defineLocale("az",{months:"yanvar_fevral_mart_aprel_may_iyun_iyul_avqust_sentyabr_oktyabr_noyabr_dekabr".split("_"),monthsShort:"yan_fev_mar_apr_may_iyn_iyl_avq_sen_okt_noy_dek".split("_"),weekdays:"Bazar_Bazar ertəsi_Çərşənbə axşamı_Çərşənbə_Cümə axşamı_Cümə_Şənbə".split("_"),weekdaysShort:"Baz_BzE_ÇAx_Çər_CAx_Cüm_Şən".split("_"),weekdaysMin:"Bz_BE_ÇA_Çə_CA_Cü_Şə".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[bugün saat] LT",nextDay:"[sabah saat] LT",nextWeek:"[gələn həftə] dddd [saat] LT",lastDay:"[dünən] LT",lastWeek:"[keçən həftə] dddd [saat] LT",sameElse:"L"},relativeTime:{future:"%s sonra",past:"%s əvvəl",s:"birneçə saniyə",ss:"%d saniyə",m:"bir dəqiqə",mm:"%d dəqiqə",h:"bir saat",hh:"%d saat",d:"bir gün",dd:"%d gün",M:"bir ay",MM:"%d ay",y:"bir il",yy:"%d il"},meridiemParse:/gecə|səhər|gündüz|axşam/,isPM:function(e){return/^(gündüz|axşam)$/.test(e)},meridiem:function(e,t,n){return e<4?"gecə":e<12?"səhər":e<17?"gündüz":"axşam"},dayOfMonthOrdinalParse:/\d{1,2}-(ıncı|inci|nci|üncü|ncı|uncu)/,ordinal:function(e){if(0===e)return e+"-ıncı";var n=e%10;return e+(t[n]||t[e%100-n]||t[e>=100?100:null])},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r,o;return"m"===n?t?"хвіліна":"хвіліну":"h"===n?t?"гадзіна":"гадзіну":e+" "+(r=+e,o={ss:t?"секунда_секунды_секунд":"секунду_секунды_секунд",mm:t?"хвіліна_хвіліны_хвілін":"хвіліну_хвіліны_хвілін",hh:t?"гадзіна_гадзіны_гадзін":"гадзіну_гадзіны_гадзін",dd:"дзень_дні_дзён",MM:"месяц_месяцы_месяцаў",yy:"год_гады_гадоў"}[n].split("_"),r%10==1&&r%100!=11?o[0]:r%10>=2&&r%10<=4&&(r%100<10||r%100>=20)?o[1]:o[2])}e.defineLocale("be",{months:{format:"студзеня_лютага_сакавіка_красавіка_траўня_чэрвеня_ліпеня_жніўня_верасня_кастрычніка_лістапада_снежня".split("_"),standalone:"студзень_люты_сакавік_красавік_травень_чэрвень_ліпень_жнівень_верасень_кастрычнік_лістапад_снежань".split("_")},monthsShort:"студ_лют_сак_крас_трав_чэрв_ліп_жнів_вер_каст_ліст_снеж".split("_"),weekdays:{format:"нядзелю_панядзелак_аўторак_сераду_чацвер_пятніцу_суботу".split("_"),standalone:"нядзеля_панядзелак_аўторак_серада_чацвер_пятніца_субота".split("_"),isFormat:/\[ ?[Ууў] ?(?:мінулую|наступную)? ?\] ?dddd/},weekdaysShort:"нд_пн_ат_ср_чц_пт_сб".split("_"),weekdaysMin:"нд_пн_ат_ср_чц_пт_сб".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY г.",LLL:"D MMMM YYYY г., HH:mm",LLLL:"dddd, D MMMM YYYY г., HH:mm"},calendar:{sameDay:"[Сёння ў] LT",nextDay:"[Заўтра ў] LT",lastDay:"[Учора ў] LT",nextWeek:function(){return"[У] dddd [ў] LT"},lastWeek:function(){switch(this.day()){case 0:case 3:case 5:case 6:return"[У мінулую] dddd [ў] LT";case 1:case 2:case 4:return"[У мінулы] dddd [ў] LT"}},sameElse:"L"},relativeTime:{future:"праз %s",past:"%s таму",s:"некалькі секунд",m:t,mm:t,h:t,hh:t,d:"дзень",dd:t,M:"месяц",MM:t,y:"год",yy:t},meridiemParse:/ночы|раніцы|дня|вечара/,isPM:function(e){return/^(дня|вечара)$/.test(e)},meridiem:function(e,t,n){return e<4?"ночы":e<12?"раніцы":e<17?"дня":"вечара"},dayOfMonthOrdinalParse:/\d{1,2}-(і|ы|га)/,ordinal:function(e,t){switch(t){case"M":case"d":case"DDD":case"w":case"W":return e%10!=2&&e%10!=3||e%100==12||e%100==13?e+"-ы":e+"-і";case"D":return e+"-га";default:return e}},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("bg",{months:"януари_февруари_март_април_май_юни_юли_август_септември_октомври_ноември_декември".split("_"),monthsShort:"янр_фев_мар_апр_май_юни_юли_авг_сеп_окт_ное_дек".split("_"),weekdays:"неделя_понеделник_вторник_сряда_четвъртък_петък_събота".split("_"),weekdaysShort:"нед_пон_вто_сря_чет_пет_съб".split("_"),weekdaysMin:"нд_пн_вт_ср_чт_пт_сб".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"D.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY H:mm",LLLL:"dddd, D MMMM YYYY H:mm"},calendar:{sameDay:"[Днес в] LT",nextDay:"[Утре в] LT",nextWeek:"dddd [в] LT",lastDay:"[Вчера в] LT",lastWeek:function(){switch(this.day()){case 0:case 3:case 6:return"[В изминалата] dddd [в] LT";case 1:case 2:case 4:case 5:return"[В изминалия] dddd [в] LT"}},sameElse:"L"},relativeTime:{future:"след %s",past:"преди %s",s:"няколко секунди",ss:"%d секунди",m:"минута",mm:"%d минути",h:"час",hh:"%d часа",d:"ден",dd:"%d дни",M:"месец",MM:"%d месеца",y:"година",yy:"%d години"},dayOfMonthOrdinalParse:/\d{1,2}-(ев|ен|ти|ви|ри|ми)/,ordinal:function(e){var t=e%10,n=e%100;return 0===e?e+"-ев":0===n?e+"-ен":n>10&&n<20?e+"-ти":1===t?e+"-ви":2===t?e+"-ри":7===t||8===t?e+"-ми":e+"-ти"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("bm",{months:"Zanwuyekalo_Fewuruyekalo_Marisikalo_Awirilikalo_Mɛkalo_Zuwɛnkalo_Zuluyekalo_Utikalo_Sɛtanburukalo_ɔkutɔburukalo_Nowanburukalo_Desanburukalo".split("_"),monthsShort:"Zan_Few_Mar_Awi_Mɛ_Zuw_Zul_Uti_Sɛt_ɔku_Now_Des".split("_"),weekdays:"Kari_Ntɛnɛn_Tarata_Araba_Alamisa_Juma_Sibiri".split("_"),weekdaysShort:"Kar_Ntɛ_Tar_Ara_Ala_Jum_Sib".split("_"),weekdaysMin:"Ka_Nt_Ta_Ar_Al_Ju_Si".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"MMMM [tile] D [san] YYYY",LLL:"MMMM [tile] D [san] YYYY [lɛrɛ] HH:mm",LLLL:"dddd MMMM [tile] D [san] YYYY [lɛrɛ] HH:mm"},calendar:{sameDay:"[Bi lɛrɛ] LT",nextDay:"[Sini lɛrɛ] LT",nextWeek:"dddd [don lɛrɛ] LT",lastDay:"[Kunu lɛrɛ] LT",lastWeek:"dddd [tɛmɛnen lɛrɛ] LT",sameElse:"L"},relativeTime:{future:"%s kɔnɔ",past:"a bɛ %s bɔ",s:"sanga dama dama",ss:"sekondi %d",m:"miniti kelen",mm:"miniti %d",h:"lɛrɛ kelen",hh:"lɛrɛ %d",d:"tile kelen",dd:"tile %d",M:"kalo kelen",MM:"kalo %d",y:"san kelen",yy:"san %d"},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"১",2:"২",3:"৩",4:"৪",5:"৫",6:"৬",7:"৭",8:"৮",9:"৯",0:"০"},n={"১":"1","২":"2","৩":"3","৪":"4","৫":"5","৬":"6","৭":"7","৮":"8","৯":"9","০":"0"};e.defineLocale("bn",{months:"জানুয়ারী_ফেব্রুয়ারি_মার্চ_এপ্রিল_মে_জুন_জুলাই_আগস্ট_সেপ্টেম্বর_অক্টোবর_নভেম্বর_ডিসেম্বর".split("_"),monthsShort:"জানু_ফেব_মার্চ_এপ্র_মে_জুন_জুল_আগ_সেপ্ট_অক্টো_নভে_ডিসে".split("_"),weekdays:"রবিবার_সোমবার_মঙ্গলবার_বুধবার_বৃহস্পতিবার_শুক্রবার_শনিবার".split("_"),weekdaysShort:"রবি_সোম_মঙ্গল_বুধ_বৃহস্পতি_শুক্র_শনি".split("_"),weekdaysMin:"রবি_সোম_মঙ্গ_বুধ_বৃহঃ_শুক্র_শনি".split("_"),longDateFormat:{LT:"A h:mm সময়",LTS:"A h:mm:ss সময়",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm সময়",LLLL:"dddd, D MMMM YYYY, A h:mm সময়"},calendar:{sameDay:"[আজ] LT",nextDay:"[আগামীকাল] LT",nextWeek:"dddd, LT",lastDay:"[গতকাল] LT",lastWeek:"[গত] dddd, LT",sameElse:"L"},relativeTime:{future:"%s পরে",past:"%s আগে",s:"কয়েক সেকেন্ড",ss:"%d সেকেন্ড",m:"এক মিনিট",mm:"%d মিনিট",h:"এক ঘন্টা",hh:"%d ঘন্টা",d:"এক দিন",dd:"%d দিন",M:"এক মাস",MM:"%d মাস",y:"এক বছর",yy:"%d বছর"},preparse:function(e){return e.replace(/[১২৩৪৫৬৭৮৯০]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/রাত|সকাল|দুপুর|বিকাল|রাত/,meridiemHour:function(e,t){return 12===e&&(e=0),"রাত"===t&&e>=4||"দুপুর"===t&&e<5||"বিকাল"===t?e+12:e},meridiem:function(e,t,n){return e<4?"রাত":e<10?"সকাল":e<17?"দুপুর":e<20?"বিকাল":"রাত"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"༡",2:"༢",3:"༣",4:"༤",5:"༥",6:"༦",7:"༧",8:"༨",9:"༩",0:"༠"},n={"༡":"1","༢":"2","༣":"3","༤":"4","༥":"5","༦":"6","༧":"7","༨":"8","༩":"9","༠":"0"};e.defineLocale("bo",{months:"ཟླ་བ་དང་པོ_ཟླ་བ་གཉིས་པ_ཟླ་བ་གསུམ་པ_ཟླ་བ་བཞི་པ_ཟླ་བ་ལྔ་པ_ཟླ་བ་དྲུག་པ_ཟླ་བ་བདུན་པ_ཟླ་བ་བརྒྱད་པ_ཟླ་བ་དགུ་པ_ཟླ་བ་བཅུ་པ_ཟླ་བ་བཅུ་གཅིག་པ_ཟླ་བ་བཅུ་གཉིས་པ".split("_"),monthsShort:"ཟླ་བ་དང་པོ_ཟླ་བ་གཉིས་པ_ཟླ་བ་གསུམ་པ_ཟླ་བ་བཞི་པ_ཟླ་བ་ལྔ་པ_ཟླ་བ་དྲུག་པ_ཟླ་བ་བདུན་པ_ཟླ་བ་བརྒྱད་པ_ཟླ་བ་དགུ་པ_ཟླ་བ་བཅུ་པ_ཟླ་བ་བཅུ་གཅིག་པ_ཟླ་བ་བཅུ་གཉིས་པ".split("_"),weekdays:"གཟའ་ཉི་མ་_གཟའ་ཟླ་བ་_གཟའ་མིག་དམར་_གཟའ་ལྷག་པ་_གཟའ་ཕུར་བུ_གཟའ་པ་སངས་_གཟའ་སྤེན་པ་".split("_"),weekdaysShort:"ཉི་མ་_ཟླ་བ་_མིག་དམར་_ལྷག་པ་_ཕུར་བུ_པ་སངས་_སྤེན་པ་".split("_"),weekdaysMin:"ཉི་མ་_ཟླ་བ་_མིག་དམར་_ལྷག་པ་_ཕུར་བུ_པ་སངས་_སྤེན་པ་".split("_"),longDateFormat:{LT:"A h:mm",LTS:"A h:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm",LLLL:"dddd, D MMMM YYYY, A h:mm"},calendar:{sameDay:"[དི་རིང] LT",nextDay:"[སང་ཉིན] LT",nextWeek:"[བདུན་ཕྲག་རྗེས་མ], LT",lastDay:"[ཁ་སང] LT",lastWeek:"[བདུན་ཕྲག་མཐའ་མ] dddd, LT",sameElse:"L"},relativeTime:{future:"%s ལ་",past:"%s སྔན་ལ",s:"ལམ་སང",ss:"%d སྐར་ཆ།",m:"སྐར་མ་གཅིག",mm:"%d སྐར་མ",h:"ཆུ་ཚོད་གཅིག",hh:"%d ཆུ་ཚོད",d:"ཉིན་གཅིག",dd:"%d ཉིན་",M:"ཟླ་བ་གཅིག",MM:"%d ཟླ་བ",y:"ལོ་གཅིག",yy:"%d ལོ"},preparse:function(e){return e.replace(/[༡༢༣༤༥༦༧༨༩༠]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/མཚན་མོ|ཞོགས་ཀས|ཉིན་གུང|དགོང་དག|མཚན་མོ/,meridiemHour:function(e,t){return 12===e&&(e=0),"མཚན་མོ"===t&&e>=4||"ཉིན་གུང"===t&&e<5||"དགོང་དག"===t?e+12:e},meridiem:function(e,t,n){return e<4?"མཚན་མོ":e<10?"ཞོགས་ཀས":e<17?"ཉིན་གུང":e<20?"དགོང་དག":"མཚན་མོ"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){return e+" "+function(e,t){return 2===t?function(e){var t={m:"v",b:"v",d:"z"};return void 0===t[e.charAt(0)]?e:t[e.charAt(0)]+e.substring(1)}(e):e}({mm:"munutenn",MM:"miz",dd:"devezh"}[n],e)}e.defineLocale("br",{months:"Genver_C'hwevrer_Meurzh_Ebrel_Mae_Mezheven_Gouere_Eost_Gwengolo_Here_Du_Kerzu".split("_"),monthsShort:"Gen_C'hwe_Meu_Ebr_Mae_Eve_Gou_Eos_Gwe_Her_Du_Ker".split("_"),weekdays:"Sul_Lun_Meurzh_Merc'her_Yaou_Gwener_Sadorn".split("_"),weekdaysShort:"Sul_Lun_Meu_Mer_Yao_Gwe_Sad".split("_"),weekdaysMin:"Su_Lu_Me_Mer_Ya_Gw_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"h[e]mm A",LTS:"h[e]mm:ss A",L:"DD/MM/YYYY",LL:"D [a viz] MMMM YYYY",LLL:"D [a viz] MMMM YYYY h[e]mm A",LLLL:"dddd, D [a viz] MMMM YYYY h[e]mm A"},calendar:{sameDay:"[Hiziv da] LT",nextDay:"[Warc'hoazh da] LT",nextWeek:"dddd [da] LT",lastDay:"[Dec'h da] LT",lastWeek:"dddd [paset da] LT",sameElse:"L"},relativeTime:{future:"a-benn %s",past:"%s 'zo",s:"un nebeud segondennoù",ss:"%d eilenn",m:"ur vunutenn",mm:t,h:"un eur",hh:"%d eur",d:"un devezh",dd:t,M:"ur miz",MM:t,y:"ur bloaz",yy:function(e){switch(function e(t){return t>9?e(t%10):t}(e)){case 1:case 3:case 4:case 5:case 9:return e+" bloaz";default:return e+" vloaz"}}},dayOfMonthOrdinalParse:/\d{1,2}(añ|vet)/,ordinal:function(e){return e+(1===e?"añ":"vet")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r=e+" ";switch(n){case"ss":return r+=1===e?"sekunda":2===e||3===e||4===e?"sekunde":"sekundi";case"m":return t?"jedna minuta":"jedne minute";case"mm":return r+=1===e?"minuta":2===e||3===e||4===e?"minute":"minuta";case"h":return t?"jedan sat":"jednog sata";case"hh":return r+=1===e?"sat":2===e||3===e||4===e?"sata":"sati";case"dd":return r+=1===e?"dan":"dana";case"MM":return r+=1===e?"mjesec":2===e||3===e||4===e?"mjeseca":"mjeseci";case"yy":return r+=1===e?"godina":2===e||3===e||4===e?"godine":"godina"}}e.defineLocale("bs",{months:"januar_februar_mart_april_maj_juni_juli_august_septembar_oktobar_novembar_decembar".split("_"),monthsShort:"jan._feb._mar._apr._maj._jun._jul._aug._sep._okt._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota".split("_"),weekdaysShort:"ned._pon._uto._sri._čet._pet._sub.".split("_"),weekdaysMin:"ne_po_ut_sr_če_pe_su".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[danas u] LT",nextDay:"[sutra u] LT",nextWeek:function(){switch(this.day()){case 0:return"[u] [nedjelju] [u] LT";case 3:return"[u] [srijedu] [u] LT";case 6:return"[u] [subotu] [u] LT";case 1:case 2:case 4:case 5:return"[u] dddd [u] LT"}},lastDay:"[jučer u] LT",lastWeek:function(){switch(this.day()){case 0:case 3:return"[prošlu] dddd [u] LT";case 6:return"[prošle] [subote] [u] LT";case 1:case 2:case 4:case 5:return"[prošli] dddd [u] LT"}},sameElse:"L"},relativeTime:{future:"za %s",past:"prije %s",s:"par sekundi",ss:t,m:t,mm:t,h:t,hh:t,d:"dan",dd:t,M:"mjesec",MM:t,y:"godinu",yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ca",{months:{standalone:"gener_febrer_març_abril_maig_juny_juliol_agost_setembre_octubre_novembre_desembre".split("_"),format:"de gener_de febrer_de març_d'abril_de maig_de juny_de juliol_d'agost_de setembre_d'octubre_de novembre_de desembre".split("_"),isFormat:/D[oD]?(\s)+MMMM/},monthsShort:"gen._febr._març_abr._maig_juny_jul._ag._set._oct._nov._des.".split("_"),monthsParseExact:!0,weekdays:"diumenge_dilluns_dimarts_dimecres_dijous_divendres_dissabte".split("_"),weekdaysShort:"dg._dl._dt._dc._dj._dv._ds.".split("_"),weekdaysMin:"dg_dl_dt_dc_dj_dv_ds".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM [de] YYYY",ll:"D MMM YYYY",LLL:"D MMMM [de] YYYY [a les] H:mm",lll:"D MMM YYYY, H:mm",LLLL:"dddd D MMMM [de] YYYY [a les] H:mm",llll:"ddd D MMM YYYY, H:mm"},calendar:{sameDay:function(){return"[avui a "+(1!==this.hours()?"les":"la")+"] LT"},nextDay:function(){return"[demà a "+(1!==this.hours()?"les":"la")+"] LT"},nextWeek:function(){return"dddd [a "+(1!==this.hours()?"les":"la")+"] LT"},lastDay:function(){return"[ahir a "+(1!==this.hours()?"les":"la")+"] LT"},lastWeek:function(){return"[el] dddd [passat a "+(1!==this.hours()?"les":"la")+"] LT"},sameElse:"L"},relativeTime:{future:"d'aquí %s",past:"fa %s",s:"uns segons",ss:"%d segons",m:"un minut",mm:"%d minuts",h:"una hora",hh:"%d hores",d:"un dia",dd:"%d dies",M:"un mes",MM:"%d mesos",y:"un any",yy:"%d anys"},dayOfMonthOrdinalParse:/\d{1,2}(r|n|t|è|a)/,ordinal:function(e,t){var n=1===e?"r":2===e?"n":3===e?"r":4===e?"t":"è";return"w"!==t&&"W"!==t||(n="a"),e+n},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="leden_únor_březen_duben_květen_červen_červenec_srpen_září_říjen_listopad_prosinec".split("_"),n="led_úno_bře_dub_kvě_čvn_čvc_srp_zář_říj_lis_pro".split("_"),r=[/^led/i,/^úno/i,/^bře/i,/^dub/i,/^kvě/i,/^(čvn|červen$|června)/i,/^(čvc|červenec|července)/i,/^srp/i,/^zář/i,/^říj/i,/^lis/i,/^pro/i],o=/^(leden|únor|březen|duben|květen|červenec|července|červen|června|srpen|září|říjen|listopad|prosinec|led|úno|bře|dub|kvě|čvn|čvc|srp|zář|říj|lis|pro)/i;function i(e){return e>1&&e<5&&1!=~~(e/10)}function a(e,t,n,r){var o=e+" ";switch(n){case"s":return t||r?"pár sekund":"pár sekundami";case"ss":return t||r?o+(i(e)?"sekundy":"sekund"):o+"sekundami";case"m":return t?"minuta":r?"minutu":"minutou";case"mm":return t||r?o+(i(e)?"minuty":"minut"):o+"minutami";case"h":return t?"hodina":r?"hodinu":"hodinou";case"hh":return t||r?o+(i(e)?"hodiny":"hodin"):o+"hodinami";case"d":return t||r?"den":"dnem";case"dd":return t||r?o+(i(e)?"dny":"dní"):o+"dny";case"M":return t||r?"měsíc":"měsícem";case"MM":return t||r?o+(i(e)?"měsíce":"měsíců"):o+"měsíci";case"y":return t||r?"rok":"rokem";case"yy":return t||r?o+(i(e)?"roky":"let"):o+"lety"}}e.defineLocale("cs",{months:t,monthsShort:n,monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(leden|ledna|února|únor|březen|března|duben|dubna|květen|května|červenec|července|červen|června|srpen|srpna|září|říjen|října|listopadu|listopad|prosinec|prosince)/i,monthsShortStrictRegex:/^(led|úno|bře|dub|kvě|čvn|čvc|srp|zář|říj|lis|pro)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"neděle_pondělí_úterý_středa_čtvrtek_pátek_sobota".split("_"),weekdaysShort:"ne_po_út_st_čt_pá_so".split("_"),weekdaysMin:"ne_po_út_st_čt_pá_so".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd D. MMMM YYYY H:mm",l:"D. M. YYYY"},calendar:{sameDay:"[dnes v] LT",nextDay:"[zítra v] LT",nextWeek:function(){switch(this.day()){case 0:return"[v neděli v] LT";case 1:case 2:return"[v] dddd [v] LT";case 3:return"[ve středu v] LT";case 4:return"[ve čtvrtek v] LT";case 5:return"[v pátek v] LT";case 6:return"[v sobotu v] LT"}},lastDay:"[včera v] LT",lastWeek:function(){switch(this.day()){case 0:return"[minulou neděli v] LT";case 1:case 2:return"[minulé] dddd [v] LT";case 3:return"[minulou středu v] LT";case 4:case 5:return"[minulý] dddd [v] LT";case 6:return"[minulou sobotu v] LT"}},sameElse:"L"},relativeTime:{future:"za %s",past:"před %s",s:a,ss:a,m:a,mm:a,h:a,hh:a,d:a,dd:a,M:a,MM:a,y:a,yy:a},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("cv",{months:"кӑрлач_нарӑс_пуш_ака_май_ҫӗртме_утӑ_ҫурла_авӑн_юпа_чӳк_раштав".split("_"),monthsShort:"кӑр_нар_пуш_ака_май_ҫӗр_утӑ_ҫур_авн_юпа_чӳк_раш".split("_"),weekdays:"вырсарникун_тунтикун_ытларикун_юнкун_кӗҫнерникун_эрнекун_шӑматкун".split("_"),weekdaysShort:"выр_тун_ытл_юн_кӗҫ_эрн_шӑм".split("_"),weekdaysMin:"вр_тн_ыт_юн_кҫ_эр_шм".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD-MM-YYYY",LL:"YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ]",LLL:"YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ], HH:mm",LLLL:"dddd, YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ], HH:mm"},calendar:{sameDay:"[Паян] LT [сехетре]",nextDay:"[Ыран] LT [сехетре]",lastDay:"[Ӗнер] LT [сехетре]",nextWeek:"[Ҫитес] dddd LT [сехетре]",lastWeek:"[Иртнӗ] dddd LT [сехетре]",sameElse:"L"},relativeTime:{future:function(e){return e+(/сехет$/i.exec(e)?"рен":/ҫул$/i.exec(e)?"тан":"ран")},past:"%s каялла",s:"пӗр-ик ҫеккунт",ss:"%d ҫеккунт",m:"пӗр минут",mm:"%d минут",h:"пӗр сехет",hh:"%d сехет",d:"пӗр кун",dd:"%d кун",M:"пӗр уйӑх",MM:"%d уйӑх",y:"пӗр ҫул",yy:"%d ҫул"},dayOfMonthOrdinalParse:/\d{1,2}-мӗш/,ordinal:"%d-мӗш",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("cy",{months:"Ionawr_Chwefror_Mawrth_Ebrill_Mai_Mehefin_Gorffennaf_Awst_Medi_Hydref_Tachwedd_Rhagfyr".split("_"),monthsShort:"Ion_Chwe_Maw_Ebr_Mai_Meh_Gor_Aws_Med_Hyd_Tach_Rhag".split("_"),weekdays:"Dydd Sul_Dydd Llun_Dydd Mawrth_Dydd Mercher_Dydd Iau_Dydd Gwener_Dydd Sadwrn".split("_"),weekdaysShort:"Sul_Llun_Maw_Mer_Iau_Gwe_Sad".split("_"),weekdaysMin:"Su_Ll_Ma_Me_Ia_Gw_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Heddiw am] LT",nextDay:"[Yfory am] LT",nextWeek:"dddd [am] LT",lastDay:"[Ddoe am] LT",lastWeek:"dddd [diwethaf am] LT",sameElse:"L"},relativeTime:{future:"mewn %s",past:"%s yn ôl",s:"ychydig eiliadau",ss:"%d eiliad",m:"munud",mm:"%d munud",h:"awr",hh:"%d awr",d:"diwrnod",dd:"%d diwrnod",M:"mis",MM:"%d mis",y:"blwyddyn",yy:"%d flynedd"},dayOfMonthOrdinalParse:/\d{1,2}(fed|ain|af|il|ydd|ed|eg)/,ordinal:function(e){var t="";return e>20?t=40===e||50===e||60===e||80===e||100===e?"fed":"ain":e>0&&(t=["","af","il","ydd","ydd","ed","ed","ed","fed","fed","fed","eg","fed","eg","eg","fed","eg","eg","fed","eg","fed"][e]),e+t},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("da",{months:"januar_februar_marts_april_maj_juni_juli_august_september_oktober_november_december".split("_"),monthsShort:"jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec".split("_"),weekdays:"søndag_mandag_tirsdag_onsdag_torsdag_fredag_lørdag".split("_"),weekdaysShort:"søn_man_tir_ons_tor_fre_lør".split("_"),weekdaysMin:"sø_ma_ti_on_to_fr_lø".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY HH:mm",LLLL:"dddd [d.] D. MMMM YYYY [kl.] HH:mm"},calendar:{sameDay:"[i dag kl.] LT",nextDay:"[i morgen kl.] LT",nextWeek:"på dddd [kl.] LT",lastDay:"[i går kl.] LT",lastWeek:"[i] dddd[s kl.] LT",sameElse:"L"},relativeTime:{future:"om %s",past:"%s siden",s:"få sekunder",ss:"%d sekunder",m:"et minut",mm:"%d minutter",h:"en time",hh:"%d timer",d:"en dag",dd:"%d dage",M:"en måned",MM:"%d måneder",y:"et år",yy:"%d år"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={m:["eine Minute","einer Minute"],h:["eine Stunde","einer Stunde"],d:["ein Tag","einem Tag"],dd:[e+" Tage",e+" Tagen"],M:["ein Monat","einem Monat"],MM:[e+" Monate",e+" Monaten"],y:["ein Jahr","einem Jahr"],yy:[e+" Jahre",e+" Jahren"]};return t?o[n][0]:o[n][1]}e.defineLocale("de",{months:"Januar_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember".split("_"),monthsShort:"Jan._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.".split("_"),monthsParseExact:!0,weekdays:"Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag".split("_"),weekdaysShort:"So._Mo._Di._Mi._Do._Fr._Sa.".split("_"),weekdaysMin:"So_Mo_Di_Mi_Do_Fr_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY HH:mm",LLLL:"dddd, D. MMMM YYYY HH:mm"},calendar:{sameDay:"[heute um] LT [Uhr]",sameElse:"L",nextDay:"[morgen um] LT [Uhr]",nextWeek:"dddd [um] LT [Uhr]",lastDay:"[gestern um] LT [Uhr]",lastWeek:"[letzten] dddd [um] LT [Uhr]"},relativeTime:{future:"in %s",past:"vor %s",s:"ein paar Sekunden",ss:"%d Sekunden",m:t,mm:"%d Minuten",h:t,hh:"%d Stunden",d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={m:["eine Minute","einer Minute"],h:["eine Stunde","einer Stunde"],d:["ein Tag","einem Tag"],dd:[e+" Tage",e+" Tagen"],M:["ein Monat","einem Monat"],MM:[e+" Monate",e+" Monaten"],y:["ein Jahr","einem Jahr"],yy:[e+" Jahre",e+" Jahren"]};return t?o[n][0]:o[n][1]}e.defineLocale("de-at",{months:"Jänner_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember".split("_"),monthsShort:"Jän._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.".split("_"),monthsParseExact:!0,weekdays:"Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag".split("_"),weekdaysShort:"So._Mo._Di._Mi._Do._Fr._Sa.".split("_"),weekdaysMin:"So_Mo_Di_Mi_Do_Fr_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY HH:mm",LLLL:"dddd, D. MMMM YYYY HH:mm"},calendar:{sameDay:"[heute um] LT [Uhr]",sameElse:"L",nextDay:"[morgen um] LT [Uhr]",nextWeek:"dddd [um] LT [Uhr]",lastDay:"[gestern um] LT [Uhr]",lastWeek:"[letzten] dddd [um] LT [Uhr]"},relativeTime:{future:"in %s",past:"vor %s",s:"ein paar Sekunden",ss:"%d Sekunden",m:t,mm:"%d Minuten",h:t,hh:"%d Stunden",d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={m:["eine Minute","einer Minute"],h:["eine Stunde","einer Stunde"],d:["ein Tag","einem Tag"],dd:[e+" Tage",e+" Tagen"],M:["ein Monat","einem Monat"],MM:[e+" Monate",e+" Monaten"],y:["ein Jahr","einem Jahr"],yy:[e+" Jahre",e+" Jahren"]};return t?o[n][0]:o[n][1]}e.defineLocale("de-ch",{months:"Januar_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember".split("_"),monthsShort:"Jan._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.".split("_"),monthsParseExact:!0,weekdays:"Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag".split("_"),weekdaysShort:"So_Mo_Di_Mi_Do_Fr_Sa".split("_"),weekdaysMin:"So_Mo_Di_Mi_Do_Fr_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY HH:mm",LLLL:"dddd, D. MMMM YYYY HH:mm"},calendar:{sameDay:"[heute um] LT [Uhr]",sameElse:"L",nextDay:"[morgen um] LT [Uhr]",nextWeek:"dddd [um] LT [Uhr]",lastDay:"[gestern um] LT [Uhr]",lastWeek:"[letzten] dddd [um] LT [Uhr]"},relativeTime:{future:"in %s",past:"vor %s",s:"ein paar Sekunden",ss:"%d Sekunden",m:t,mm:"%d Minuten",h:t,hh:"%d Stunden",d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t=["ޖެނުއަރީ","ފެބްރުއަރީ","މާރިޗު","އޭޕްރީލު","މޭ","ޖޫން","ޖުލައި","އޯގަސްޓު","ސެޕްޓެމްބަރު","އޮކްޓޯބަރު","ނޮވެމްބަރު","ޑިސެމްބަރު"],n=["އާދިއްތަ","ހޯމަ","އަންގާރަ","ބުދަ","ބުރާސްފަތި","ހުކުރު","ހޮނިހިރު"];e.defineLocale("dv",{months:t,monthsShort:t,weekdays:n,weekdaysShort:n,weekdaysMin:"އާދި_ހޯމަ_އަން_ބުދަ_ބުރާ_ހުކު_ހޮނި".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"D/M/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},meridiemParse:/މކ|މފ/,isPM:function(e){return"މފ"===e},meridiem:function(e,t,n){return e<12?"މކ":"މފ"},calendar:{sameDay:"[މިއަދު] LT",nextDay:"[މާދަމާ] LT",nextWeek:"dddd LT",lastDay:"[އިއްޔެ] LT",lastWeek:"[ފާއިތުވި] dddd LT",sameElse:"L"},relativeTime:{future:"ތެރޭގައި %s",past:"ކުރިން %s",s:"ސިކުންތުކޮޅެއް",ss:"d% ސިކުންތު",m:"މިނިޓެއް",mm:"މިނިޓު %d",h:"ގަޑިއިރެއް",hh:"ގަޑިއިރު %d",d:"ދުވަހެއް",dd:"ދުވަސް %d",M:"މަހެއް",MM:"މަސް %d",y:"އަހަރެއް",yy:"އަހަރު %d"},preparse:function(e){return e.replace(/،/g,",")},postformat:function(e){return e.replace(/,/g,"،")},week:{dow:7,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("el",{monthsNominativeEl:"Ιανουάριος_Φεβρουάριος_Μάρτιος_Απρίλιος_Μάιος_Ιούνιος_Ιούλιος_Αύγουστος_Σεπτέμβριος_Οκτώβριος_Νοέμβριος_Δεκέμβριος".split("_"),monthsGenitiveEl:"Ιανουαρίου_Φεβρουαρίου_Μαρτίου_Απριλίου_Μαΐου_Ιουνίου_Ιουλίου_Αυγούστου_Σεπτεμβρίου_Οκτωβρίου_Νοεμβρίου_Δεκεμβρίου".split("_"),months:function(e,t){return e?"string"==typeof t&&/D/.test(t.substring(0,t.indexOf("MMMM")))?this._monthsGenitiveEl[e.month()]:this._monthsNominativeEl[e.month()]:this._monthsNominativeEl},monthsShort:"Ιαν_Φεβ_Μαρ_Απρ_Μαϊ_Ιουν_Ιουλ_Αυγ_Σεπ_Οκτ_Νοε_Δεκ".split("_"),weekdays:"Κυριακή_Δευτέρα_Τρίτη_Τετάρτη_Πέμπτη_Παρασκευή_Σάββατο".split("_"),weekdaysShort:"Κυρ_Δευ_Τρι_Τετ_Πεμ_Παρ_Σαβ".split("_"),weekdaysMin:"Κυ_Δε_Τρ_Τε_Πε_Πα_Σα".split("_"),meridiem:function(e,t,n){return e>11?n?"μμ":"ΜΜ":n?"πμ":"ΠΜ"},isPM:function(e){return"μ"===(e+"").toLowerCase()[0]},meridiemParse:/[ΠΜ]\.?Μ?\.?/i,longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendarEl:{sameDay:"[Σήμερα {}] LT",nextDay:"[Αύριο {}] LT",nextWeek:"dddd [{}] LT",lastDay:"[Χθες {}] LT",lastWeek:function(){switch(this.day()){case 6:return"[το προηγούμενο] dddd [{}] LT";default:return"[την προηγούμενη] dddd [{}] LT"}},sameElse:"L"},calendar:function(e,t){var n,r=this._calendarEl[e],o=t&&t.hours();return((n=r)instanceof Function||"[object Function]"===Object.prototype.toString.call(n))&&(r=r.apply(t)),r.replace("{}",o%12==1?"στη":"στις")},relativeTime:{future:"σε %s",past:"%s πριν",s:"λίγα δευτερόλεπτα",ss:"%d δευτερόλεπτα",m:"ένα λεπτό",mm:"%d λεπτά",h:"μία ώρα",hh:"%d ώρες",d:"μία μέρα",dd:"%d μέρες",M:"ένας μήνας",MM:"%d μήνες",y:"ένας χρόνος",yy:"%d χρόνια"},dayOfMonthOrdinalParse:/\d{1,2}η/,ordinal:"%dη",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-SG",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-au",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-ca",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"YYYY-MM-DD",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY h:mm A",LLLL:"dddd, MMMM D, YYYY h:mm A"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-gb",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-ie",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-il",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("en-nz",{months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",ss:"%d seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("eo",{months:"januaro_februaro_marto_aprilo_majo_junio_julio_aŭgusto_septembro_oktobro_novembro_decembro".split("_"),monthsShort:"jan_feb_mar_apr_maj_jun_jul_aŭg_sep_okt_nov_dec".split("_"),weekdays:"dimanĉo_lundo_mardo_merkredo_ĵaŭdo_vendredo_sabato".split("_"),weekdaysShort:"dim_lun_mard_merk_ĵaŭ_ven_sab".split("_"),weekdaysMin:"di_lu_ma_me_ĵa_ve_sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"D[-a de] MMMM, YYYY",LLL:"D[-a de] MMMM, YYYY HH:mm",LLLL:"dddd, [la] D[-a de] MMMM, YYYY HH:mm"},meridiemParse:/[ap]\.t\.m/i,isPM:function(e){return"p"===e.charAt(0).toLowerCase()},meridiem:function(e,t,n){return e>11?n?"p.t.m.":"P.T.M.":n?"a.t.m.":"A.T.M."},calendar:{sameDay:"[Hodiaŭ je] LT",nextDay:"[Morgaŭ je] LT",nextWeek:"dddd [je] LT",lastDay:"[Hieraŭ je] LT",lastWeek:"[pasinta] dddd [je] LT",sameElse:"L"},relativeTime:{future:"post %s",past:"antaŭ %s",s:"sekundoj",ss:"%d sekundoj",m:"minuto",mm:"%d minutoj",h:"horo",hh:"%d horoj",d:"tago",dd:"%d tagoj",M:"monato",MM:"%d monatoj",y:"jaro",yy:"%d jaroj"},dayOfMonthOrdinalParse:/\d{1,2}a/,ordinal:"%da",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.".split("_"),n="ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic".split("_"),r=[/^ene/i,/^feb/i,/^mar/i,/^abr/i,/^may/i,/^jun/i,/^jul/i,/^ago/i,/^sep/i,/^oct/i,/^nov/i,/^dic/i],o=/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i;e.defineLocale("es",{months:"enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,monthsShortStrictRegex:/^(ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"domingo_lunes_martes_miércoles_jueves_viernes_sábado".split("_"),weekdaysShort:"dom._lun._mar._mié._jue._vie._sáb.".split("_"),weekdaysMin:"do_lu_ma_mi_ju_vi_sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD/MM/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY H:mm",LLLL:"dddd, D [de] MMMM [de] YYYY H:mm"},calendar:{sameDay:function(){return"[hoy a la"+(1!==this.hours()?"s":"")+"] LT"},nextDay:function(){return"[mañana a la"+(1!==this.hours()?"s":"")+"] LT"},nextWeek:function(){return"dddd [a la"+(1!==this.hours()?"s":"")+"] LT"},lastDay:function(){return"[ayer a la"+(1!==this.hours()?"s":"")+"] LT"},lastWeek:function(){return"[el] dddd [pasado a la"+(1!==this.hours()?"s":"")+"] LT"},sameElse:"L"},relativeTime:{future:"en %s",past:"hace %s",s:"unos segundos",ss:"%d segundos",m:"un minuto",mm:"%d minutos",h:"una hora",hh:"%d horas",d:"un día",dd:"%d días",M:"un mes",MM:"%d meses",y:"un año",yy:"%d años"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.".split("_"),n="ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic".split("_"),r=[/^ene/i,/^feb/i,/^mar/i,/^abr/i,/^may/i,/^jun/i,/^jul/i,/^ago/i,/^sep/i,/^oct/i,/^nov/i,/^dic/i],o=/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i;e.defineLocale("es-do",{months:"enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,monthsShortStrictRegex:/^(ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"domingo_lunes_martes_miércoles_jueves_viernes_sábado".split("_"),weekdaysShort:"dom._lun._mar._mié._jue._vie._sáb.".split("_"),weekdaysMin:"do_lu_ma_mi_ju_vi_sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY h:mm A",LLLL:"dddd, D [de] MMMM [de] YYYY h:mm A"},calendar:{sameDay:function(){return"[hoy a la"+(1!==this.hours()?"s":"")+"] LT"},nextDay:function(){return"[mañana a la"+(1!==this.hours()?"s":"")+"] LT"},nextWeek:function(){return"dddd [a la"+(1!==this.hours()?"s":"")+"] LT"},lastDay:function(){return"[ayer a la"+(1!==this.hours()?"s":"")+"] LT"},lastWeek:function(){return"[el] dddd [pasado a la"+(1!==this.hours()?"s":"")+"] LT"},sameElse:"L"},relativeTime:{future:"en %s",past:"hace %s",s:"unos segundos",ss:"%d segundos",m:"un minuto",mm:"%d minutos",h:"una hora",hh:"%d horas",d:"un día",dd:"%d días",M:"un mes",MM:"%d meses",y:"un año",yy:"%d años"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.".split("_"),n="ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic".split("_"),r=[/^ene/i,/^feb/i,/^mar/i,/^abr/i,/^may/i,/^jun/i,/^jul/i,/^ago/i,/^sep/i,/^oct/i,/^nov/i,/^dic/i],o=/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i;e.defineLocale("es-us",{months:"enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,monthsShortStrictRegex:/^(ene\.?|feb\.?|mar\.?|abr\.?|may\.?|jun\.?|jul\.?|ago\.?|sep\.?|oct\.?|nov\.?|dic\.?)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"domingo_lunes_martes_miércoles_jueves_viernes_sábado".split("_"),weekdaysShort:"dom._lun._mar._mié._jue._vie._sáb.".split("_"),weekdaysMin:"do_lu_ma_mi_ju_vi_sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"MM/DD/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY h:mm A",LLLL:"dddd, D [de] MMMM [de] YYYY h:mm A"},calendar:{sameDay:function(){return"[hoy a la"+(1!==this.hours()?"s":"")+"] LT"},nextDay:function(){return"[mañana a la"+(1!==this.hours()?"s":"")+"] LT"},nextWeek:function(){return"dddd [a la"+(1!==this.hours()?"s":"")+"] LT"},lastDay:function(){return"[ayer a la"+(1!==this.hours()?"s":"")+"] LT"},lastWeek:function(){return"[el] dddd [pasado a la"+(1!==this.hours()?"s":"")+"] LT"},sameElse:"L"},relativeTime:{future:"en %s",past:"hace %s",s:"unos segundos",ss:"%d segundos",m:"un minuto",mm:"%d minutos",h:"una hora",hh:"%d horas",d:"un día",dd:"%d días",M:"un mes",MM:"%d meses",y:"un año",yy:"%d años"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={s:["mõne sekundi","mõni sekund","paar sekundit"],ss:[e+"sekundi",e+"sekundit"],m:["ühe minuti","üks minut"],mm:[e+" minuti",e+" minutit"],h:["ühe tunni","tund aega","üks tund"],hh:[e+" tunni",e+" tundi"],d:["ühe päeva","üks päev"],M:["kuu aja","kuu aega","üks kuu"],MM:[e+" kuu",e+" kuud"],y:["ühe aasta","aasta","üks aasta"],yy:[e+" aasta",e+" aastat"]};return t?o[n][2]?o[n][2]:o[n][1]:r?o[n][0]:o[n][1]}e.defineLocale("et",{months:"jaanuar_veebruar_märts_aprill_mai_juuni_juuli_august_september_oktoober_november_detsember".split("_"),monthsShort:"jaan_veebr_märts_apr_mai_juuni_juuli_aug_sept_okt_nov_dets".split("_"),weekdays:"pühapäev_esmaspäev_teisipäev_kolmapäev_neljapäev_reede_laupäev".split("_"),weekdaysShort:"P_E_T_K_N_R_L".split("_"),weekdaysMin:"P_E_T_K_N_R_L".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[Täna,] LT",nextDay:"[Homme,] LT",nextWeek:"[Järgmine] dddd LT",lastDay:"[Eile,] LT",lastWeek:"[Eelmine] dddd LT",sameElse:"L"},relativeTime:{future:"%s pärast",past:"%s tagasi",s:t,ss:t,m:t,mm:t,h:t,hh:t,d:t,dd:"%d päeva",M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("eu",{months:"urtarrila_otsaila_martxoa_apirila_maiatza_ekaina_uztaila_abuztua_iraila_urria_azaroa_abendua".split("_"),monthsShort:"urt._ots._mar._api._mai._eka._uzt._abu._ira._urr._aza._abe.".split("_"),monthsParseExact:!0,weekdays:"igandea_astelehena_asteartea_asteazkena_osteguna_ostirala_larunbata".split("_"),weekdaysShort:"ig._al._ar._az._og._ol._lr.".split("_"),weekdaysMin:"ig_al_ar_az_og_ol_lr".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"YYYY[ko] MMMM[ren] D[a]",LLL:"YYYY[ko] MMMM[ren] D[a] HH:mm",LLLL:"dddd, YYYY[ko] MMMM[ren] D[a] HH:mm",l:"YYYY-M-D",ll:"YYYY[ko] MMM D[a]",lll:"YYYY[ko] MMM D[a] HH:mm",llll:"ddd, YYYY[ko] MMM D[a] HH:mm"},calendar:{sameDay:"[gaur] LT[etan]",nextDay:"[bihar] LT[etan]",nextWeek:"dddd LT[etan]",lastDay:"[atzo] LT[etan]",lastWeek:"[aurreko] dddd LT[etan]",sameElse:"L"},relativeTime:{future:"%s barru",past:"duela %s",s:"segundo batzuk",ss:"%d segundo",m:"minutu bat",mm:"%d minutu",h:"ordu bat",hh:"%d ordu",d:"egun bat",dd:"%d egun",M:"hilabete bat",MM:"%d hilabete",y:"urte bat",yy:"%d urte"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"۱",2:"۲",3:"۳",4:"۴",5:"۵",6:"۶",7:"۷",8:"۸",9:"۹",0:"۰"},n={"۱":"1","۲":"2","۳":"3","۴":"4","۵":"5","۶":"6","۷":"7","۸":"8","۹":"9","۰":"0"};e.defineLocale("fa",{months:"ژانویه_فوریه_مارس_آوریل_مه_ژوئن_ژوئیه_اوت_سپتامبر_اکتبر_نوامبر_دسامبر".split("_"),monthsShort:"ژانویه_فوریه_مارس_آوریل_مه_ژوئن_ژوئیه_اوت_سپتامبر_اکتبر_نوامبر_دسامبر".split("_"),weekdays:"یک‌شنبه_دوشنبه_سه‌شنبه_چهارشنبه_پنج‌شنبه_جمعه_شنبه".split("_"),weekdaysShort:"یک‌شنبه_دوشنبه_سه‌شنبه_چهارشنبه_پنج‌شنبه_جمعه_شنبه".split("_"),weekdaysMin:"ی_د_س_چ_پ_ج_ش".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},meridiemParse:/قبل از ظهر|بعد از ظهر/,isPM:function(e){return/بعد از ظهر/.test(e)},meridiem:function(e,t,n){return e<12?"قبل از ظهر":"بعد از ظهر"},calendar:{sameDay:"[امروز ساعت] LT",nextDay:"[فردا ساعت] LT",nextWeek:"dddd [ساعت] LT",lastDay:"[دیروز ساعت] LT",lastWeek:"dddd [پیش] [ساعت] LT",sameElse:"L"},relativeTime:{future:"در %s",past:"%s پیش",s:"چند ثانیه",ss:"ثانیه d%",m:"یک دقیقه",mm:"%d دقیقه",h:"یک ساعت",hh:"%d ساعت",d:"یک روز",dd:"%d روز",M:"یک ماه",MM:"%d ماه",y:"یک سال",yy:"%d سال"},preparse:function(e){return e.replace(/[۰-۹]/g,(function(e){return n[e]})).replace(/،/g,",")},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]})).replace(/,/g,"،")},dayOfMonthOrdinalParse:/\d{1,2}م/,ordinal:"%dم",week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="nolla yksi kaksi kolme neljä viisi kuusi seitsemän kahdeksan yhdeksän".split(" "),n=["nolla","yhden","kahden","kolmen","neljän","viiden","kuuden",t[7],t[8],t[9]];function r(e,r,o,i){var a="";switch(o){case"s":return i?"muutaman sekunnin":"muutama sekunti";case"ss":return i?"sekunnin":"sekuntia";case"m":return i?"minuutin":"minuutti";case"mm":a=i?"minuutin":"minuuttia";break;case"h":return i?"tunnin":"tunti";case"hh":a=i?"tunnin":"tuntia";break;case"d":return i?"päivän":"päivä";case"dd":a=i?"päivän":"päivää";break;case"M":return i?"kuukauden":"kuukausi";case"MM":a=i?"kuukauden":"kuukautta";break;case"y":return i?"vuoden":"vuosi";case"yy":a=i?"vuoden":"vuotta"}return a=function(e,r){return e<10?r?n[e]:t[e]:e}(e,i)+" "+a}e.defineLocale("fi",{months:"tammikuu_helmikuu_maaliskuu_huhtikuu_toukokuu_kesäkuu_heinäkuu_elokuu_syyskuu_lokakuu_marraskuu_joulukuu".split("_"),monthsShort:"tammi_helmi_maalis_huhti_touko_kesä_heinä_elo_syys_loka_marras_joulu".split("_"),weekdays:"sunnuntai_maanantai_tiistai_keskiviikko_torstai_perjantai_lauantai".split("_"),weekdaysShort:"su_ma_ti_ke_to_pe_la".split("_"),weekdaysMin:"su_ma_ti_ke_to_pe_la".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD.MM.YYYY",LL:"Do MMMM[ta] YYYY",LLL:"Do MMMM[ta] YYYY, [klo] HH.mm",LLLL:"dddd, Do MMMM[ta] YYYY, [klo] HH.mm",l:"D.M.YYYY",ll:"Do MMM YYYY",lll:"Do MMM YYYY, [klo] HH.mm",llll:"ddd, Do MMM YYYY, [klo] HH.mm"},calendar:{sameDay:"[tänään] [klo] LT",nextDay:"[huomenna] [klo] LT",nextWeek:"dddd [klo] LT",lastDay:"[eilen] [klo] LT",lastWeek:"[viime] dddd[na] [klo] LT",sameElse:"L"},relativeTime:{future:"%s päästä",past:"%s sitten",s:r,ss:r,m:r,mm:r,h:r,hh:r,d:r,dd:r,M:r,MM:r,y:r,yy:r},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("fo",{months:"januar_februar_mars_apríl_mai_juni_juli_august_september_oktober_november_desember".split("_"),monthsShort:"jan_feb_mar_apr_mai_jun_jul_aug_sep_okt_nov_des".split("_"),weekdays:"sunnudagur_mánadagur_týsdagur_mikudagur_hósdagur_fríggjadagur_leygardagur".split("_"),weekdaysShort:"sun_mán_týs_mik_hós_frí_ley".split("_"),weekdaysMin:"su_má_tý_mi_hó_fr_le".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D. MMMM, YYYY HH:mm"},calendar:{sameDay:"[Í dag kl.] LT",nextDay:"[Í morgin kl.] LT",nextWeek:"dddd [kl.] LT",lastDay:"[Í gjár kl.] LT",lastWeek:"[síðstu] dddd [kl] LT",sameElse:"L"},relativeTime:{future:"um %s",past:"%s síðani",s:"fá sekund",ss:"%d sekundir",m:"ein minuttur",mm:"%d minuttir",h:"ein tími",hh:"%d tímar",d:"ein dagur",dd:"%d dagar",M:"ein mánaður",MM:"%d mánaðir",y:"eitt ár",yy:"%d ár"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("fr",{months:"janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre".split("_"),monthsShort:"janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.".split("_"),monthsParseExact:!0,weekdays:"dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi".split("_"),weekdaysShort:"dim._lun._mar._mer._jeu._ven._sam.".split("_"),weekdaysMin:"di_lu_ma_me_je_ve_sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Aujourd’hui à] LT",nextDay:"[Demain à] LT",nextWeek:"dddd [à] LT",lastDay:"[Hier à] LT",lastWeek:"dddd [dernier à] LT",sameElse:"L"},relativeTime:{future:"dans %s",past:"il y a %s",s:"quelques secondes",ss:"%d secondes",m:"une minute",mm:"%d minutes",h:"une heure",hh:"%d heures",d:"un jour",dd:"%d jours",M:"un mois",MM:"%d mois",y:"un an",yy:"%d ans"},dayOfMonthOrdinalParse:/\d{1,2}(er|)/,ordinal:function(e,t){switch(t){case"D":return e+(1===e?"er":"");default:case"M":case"Q":case"DDD":case"d":return e+(1===e?"er":"e");case"w":case"W":return e+(1===e?"re":"e")}},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("fr-ca",{months:"janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre".split("_"),monthsShort:"janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.".split("_"),monthsParseExact:!0,weekdays:"dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi".split("_"),weekdaysShort:"dim._lun._mar._mer._jeu._ven._sam.".split("_"),weekdaysMin:"di_lu_ma_me_je_ve_sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Aujourd’hui à] LT",nextDay:"[Demain à] LT",nextWeek:"dddd [à] LT",lastDay:"[Hier à] LT",lastWeek:"dddd [dernier à] LT",sameElse:"L"},relativeTime:{future:"dans %s",past:"il y a %s",s:"quelques secondes",ss:"%d secondes",m:"une minute",mm:"%d minutes",h:"une heure",hh:"%d heures",d:"un jour",dd:"%d jours",M:"un mois",MM:"%d mois",y:"un an",yy:"%d ans"},dayOfMonthOrdinalParse:/\d{1,2}(er|e)/,ordinal:function(e,t){switch(t){default:case"M":case"Q":case"D":case"DDD":case"d":return e+(1===e?"er":"e");case"w":case"W":return e+(1===e?"re":"e")}}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("fr-ch",{months:"janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre".split("_"),monthsShort:"janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.".split("_"),monthsParseExact:!0,weekdays:"dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi".split("_"),weekdaysShort:"dim._lun._mar._mer._jeu._ven._sam.".split("_"),weekdaysMin:"di_lu_ma_me_je_ve_sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Aujourd’hui à] LT",nextDay:"[Demain à] LT",nextWeek:"dddd [à] LT",lastDay:"[Hier à] LT",lastWeek:"dddd [dernier à] LT",sameElse:"L"},relativeTime:{future:"dans %s",past:"il y a %s",s:"quelques secondes",ss:"%d secondes",m:"une minute",mm:"%d minutes",h:"une heure",hh:"%d heures",d:"un jour",dd:"%d jours",M:"un mois",MM:"%d mois",y:"un an",yy:"%d ans"},dayOfMonthOrdinalParse:/\d{1,2}(er|e)/,ordinal:function(e,t){switch(t){default:case"M":case"Q":case"D":case"DDD":case"d":return e+(1===e?"er":"e");case"w":case"W":return e+(1===e?"re":"e")}},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="jan._feb._mrt._apr._mai_jun._jul._aug._sep._okt._nov._des.".split("_"),n="jan_feb_mrt_apr_mai_jun_jul_aug_sep_okt_nov_des".split("_");e.defineLocale("fy",{months:"jannewaris_febrewaris_maart_april_maaie_juny_july_augustus_septimber_oktober_novimber_desimber".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsParseExact:!0,weekdays:"snein_moandei_tiisdei_woansdei_tongersdei_freed_sneon".split("_"),weekdaysShort:"si._mo._ti._wo._to._fr._so.".split("_"),weekdaysMin:"Si_Mo_Ti_Wo_To_Fr_So".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD-MM-YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[hjoed om] LT",nextDay:"[moarn om] LT",nextWeek:"dddd [om] LT",lastDay:"[juster om] LT",lastWeek:"[ôfrûne] dddd [om] LT",sameElse:"L"},relativeTime:{future:"oer %s",past:"%s lyn",s:"in pear sekonden",ss:"%d sekonden",m:"ien minút",mm:"%d minuten",h:"ien oere",hh:"%d oeren",d:"ien dei",dd:"%d dagen",M:"ien moanne",MM:"%d moannen",y:"ien jier",yy:"%d jierren"},dayOfMonthOrdinalParse:/\d{1,2}(ste|de)/,ordinal:function(e){return e+(1===e||8===e||e>=20?"ste":"de")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ga",{months:["Eanáir","Feabhra","Márta","Aibreán","Bealtaine","Méitheamh","Iúil","Lúnasa","Meán Fómhair","Deaireadh Fómhair","Samhain","Nollaig"],monthsShort:["Eaná","Feab","Márt","Aibr","Beal","Méit","Iúil","Lúna","Meán","Deai","Samh","Noll"],monthsParseExact:!0,weekdays:["Dé Domhnaigh","Dé Luain","Dé Máirt","Dé Céadaoin","Déardaoin","Dé hAoine","Dé Satharn"],weekdaysShort:["Dom","Lua","Mái","Céa","Déa","hAo","Sat"],weekdaysMin:["Do","Lu","Má","Ce","Dé","hA","Sa"],longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Inniu ag] LT",nextDay:"[Amárach ag] LT",nextWeek:"dddd [ag] LT",lastDay:"[Inné aig] LT",lastWeek:"dddd [seo caite] [ag] LT",sameElse:"L"},relativeTime:{future:"i %s",past:"%s ó shin",s:"cúpla soicind",ss:"%d soicind",m:"nóiméad",mm:"%d nóiméad",h:"uair an chloig",hh:"%d uair an chloig",d:"lá",dd:"%d lá",M:"mí",MM:"%d mí",y:"bliain",yy:"%d bliain"},dayOfMonthOrdinalParse:/\d{1,2}(d|na|mh)/,ordinal:function(e){return e+(1===e?"d":e%10==2?"na":"mh")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("gd",{months:["Am Faoilleach","An Gearran","Am Màrt","An Giblean","An Cèitean","An t-Ògmhios","An t-Iuchar","An Lùnastal","An t-Sultain","An Dàmhair","An t-Samhain","An Dùbhlachd"],monthsShort:["Faoi","Gear","Màrt","Gibl","Cèit","Ògmh","Iuch","Lùn","Sult","Dàmh","Samh","Dùbh"],monthsParseExact:!0,weekdays:["Didòmhnaich","Diluain","Dimàirt","Diciadain","Diardaoin","Dihaoine","Disathairne"],weekdaysShort:["Did","Dil","Dim","Dic","Dia","Dih","Dis"],weekdaysMin:["Dò","Lu","Mà","Ci","Ar","Ha","Sa"],longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[An-diugh aig] LT",nextDay:"[A-màireach aig] LT",nextWeek:"dddd [aig] LT",lastDay:"[An-dè aig] LT",lastWeek:"dddd [seo chaidh] [aig] LT",sameElse:"L"},relativeTime:{future:"ann an %s",past:"bho chionn %s",s:"beagan diogan",ss:"%d diogan",m:"mionaid",mm:"%d mionaidean",h:"uair",hh:"%d uairean",d:"latha",dd:"%d latha",M:"mìos",MM:"%d mìosan",y:"bliadhna",yy:"%d bliadhna"},dayOfMonthOrdinalParse:/\d{1,2}(d|na|mh)/,ordinal:function(e){return e+(1===e?"d":e%10==2?"na":"mh")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("gl",{months:"xaneiro_febreiro_marzo_abril_maio_xuño_xullo_agosto_setembro_outubro_novembro_decembro".split("_"),monthsShort:"xan._feb._mar._abr._mai._xuñ._xul._ago._set._out._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"domingo_luns_martes_mércores_xoves_venres_sábado".split("_"),weekdaysShort:"dom._lun._mar._mér._xov._ven._sáb.".split("_"),weekdaysMin:"do_lu_ma_mé_xo_ve_sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD/MM/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY H:mm",LLLL:"dddd, D [de] MMMM [de] YYYY H:mm"},calendar:{sameDay:function(){return"[hoxe "+(1!==this.hours()?"ás":"á")+"] LT"},nextDay:function(){return"[mañá "+(1!==this.hours()?"ás":"á")+"] LT"},nextWeek:function(){return"dddd ["+(1!==this.hours()?"ás":"a")+"] LT"},lastDay:function(){return"[onte "+(1!==this.hours()?"á":"a")+"] LT"},lastWeek:function(){return"[o] dddd [pasado "+(1!==this.hours()?"ás":"a")+"] LT"},sameElse:"L"},relativeTime:{future:function(e){return 0===e.indexOf("un")?"n"+e:"en "+e},past:"hai %s",s:"uns segundos",ss:"%d segundos",m:"un minuto",mm:"%d minutos",h:"unha hora",hh:"%d horas",d:"un día",dd:"%d días",M:"un mes",MM:"%d meses",y:"un ano",yy:"%d anos"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={s:["thodde secondanim","thodde second"],ss:[e+" secondanim",e+" second"],m:["eka mintan","ek minute"],mm:[e+" mintanim",e+" mintam"],h:["eka voran","ek vor"],hh:[e+" voranim",e+" voram"],d:["eka disan","ek dis"],dd:[e+" disanim",e+" dis"],M:["eka mhoinean","ek mhoino"],MM:[e+" mhoineanim",e+" mhoine"],y:["eka vorsan","ek voros"],yy:[e+" vorsanim",e+" vorsam"]};return t?o[n][0]:o[n][1]}e.defineLocale("gom-latn",{months:"Janer_Febrer_Mars_Abril_Mai_Jun_Julai_Agost_Setembr_Otubr_Novembr_Dezembr".split("_"),monthsShort:"Jan._Feb._Mars_Abr._Mai_Jun_Jul._Ago._Set._Otu._Nov._Dez.".split("_"),monthsParseExact:!0,weekdays:"Aitar_Somar_Mongllar_Budvar_Brestar_Sukrar_Son'var".split("_"),weekdaysShort:"Ait._Som._Mon._Bud._Bre._Suk._Son.".split("_"),weekdaysMin:"Ai_Sm_Mo_Bu_Br_Su_Sn".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"A h:mm [vazta]",LTS:"A h:mm:ss [vazta]",L:"DD-MM-YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY A h:mm [vazta]",LLLL:"dddd, MMMM[achea] Do, YYYY, A h:mm [vazta]",llll:"ddd, D MMM YYYY, A h:mm [vazta]"},calendar:{sameDay:"[Aiz] LT",nextDay:"[Faleam] LT",nextWeek:"[Ieta to] dddd[,] LT",lastDay:"[Kal] LT",lastWeek:"[Fatlo] dddd[,] LT",sameElse:"L"},relativeTime:{future:"%s",past:"%s adim",s:t,ss:t,m:t,mm:t,h:t,hh:t,d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}(er)/,ordinal:function(e,t){switch(t){case"D":return e+"er";default:case"M":case"Q":case"DDD":case"d":case"w":case"W":return e}},week:{dow:1,doy:4},meridiemParse:/rati|sokalli|donparam|sanje/,meridiemHour:function(e,t){return 12===e&&(e=0),"rati"===t?e<4?e:e+12:"sokalli"===t?e:"donparam"===t?e>12?e:e+12:"sanje"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"rati":e<12?"sokalli":e<16?"donparam":e<20?"sanje":"rati"}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"૧",2:"૨",3:"૩",4:"૪",5:"૫",6:"૬",7:"૭",8:"૮",9:"૯",0:"૦"},n={"૧":"1","૨":"2","૩":"3","૪":"4","૫":"5","૬":"6","૭":"7","૮":"8","૯":"9","૦":"0"};e.defineLocale("gu",{months:"જાન્યુઆરી_ફેબ્રુઆરી_માર્ચ_એપ્રિલ_મે_જૂન_જુલાઈ_ઑગસ્ટ_સપ્ટેમ્બર_ઑક્ટ્બર_નવેમ્બર_ડિસેમ્બર".split("_"),monthsShort:"જાન્યુ._ફેબ્રુ._માર્ચ_એપ્રિ._મે_જૂન_જુલા._ઑગ._સપ્ટે._ઑક્ટ્._નવે._ડિસે.".split("_"),monthsParseExact:!0,weekdays:"રવિવાર_સોમવાર_મંગળવાર_બુધ્વાર_ગુરુવાર_શુક્રવાર_શનિવાર".split("_"),weekdaysShort:"રવિ_સોમ_મંગળ_બુધ્_ગુરુ_શુક્ર_શનિ".split("_"),weekdaysMin:"ર_સો_મં_બુ_ગુ_શુ_શ".split("_"),longDateFormat:{LT:"A h:mm વાગ્યે",LTS:"A h:mm:ss વાગ્યે",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm વાગ્યે",LLLL:"dddd, D MMMM YYYY, A h:mm વાગ્યે"},calendar:{sameDay:"[આજ] LT",nextDay:"[કાલે] LT",nextWeek:"dddd, LT",lastDay:"[ગઇકાલે] LT",lastWeek:"[પાછલા] dddd, LT",sameElse:"L"},relativeTime:{future:"%s મા",past:"%s પેહલા",s:"અમુક પળો",ss:"%d સેકંડ",m:"એક મિનિટ",mm:"%d મિનિટ",h:"એક કલાક",hh:"%d કલાક",d:"એક દિવસ",dd:"%d દિવસ",M:"એક મહિનો",MM:"%d મહિનો",y:"એક વર્ષ",yy:"%d વર્ષ"},preparse:function(e){return e.replace(/[૧૨૩૪૫૬૭૮૯૦]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/રાત|બપોર|સવાર|સાંજ/,meridiemHour:function(e,t){return 12===e&&(e=0),"રાત"===t?e<4?e:e+12:"સવાર"===t?e:"બપોર"===t?e>=10?e:e+12:"સાંજ"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"રાત":e<10?"સવાર":e<17?"બપોર":e<20?"સાંજ":"રાત"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("he",{months:"ינואר_פברואר_מרץ_אפריל_מאי_יוני_יולי_אוגוסט_ספטמבר_אוקטובר_נובמבר_דצמבר".split("_"),monthsShort:"ינו׳_פבר׳_מרץ_אפר׳_מאי_יוני_יולי_אוג׳_ספט׳_אוק׳_נוב׳_דצמ׳".split("_"),weekdays:"ראשון_שני_שלישי_רביעי_חמישי_שישי_שבת".split("_"),weekdaysShort:"א׳_ב׳_ג׳_ד׳_ה׳_ו׳_ש׳".split("_"),weekdaysMin:"א_ב_ג_ד_ה_ו_ש".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D [ב]MMMM YYYY",LLL:"D [ב]MMMM YYYY HH:mm",LLLL:"dddd, D [ב]MMMM YYYY HH:mm",l:"D/M/YYYY",ll:"D MMM YYYY",lll:"D MMM YYYY HH:mm",llll:"ddd, D MMM YYYY HH:mm"},calendar:{sameDay:"[היום ב־]LT",nextDay:"[מחר ב־]LT",nextWeek:"dddd [בשעה] LT",lastDay:"[אתמול ב־]LT",lastWeek:"[ביום] dddd [האחרון בשעה] LT",sameElse:"L"},relativeTime:{future:"בעוד %s",past:"לפני %s",s:"מספר שניות",ss:"%d שניות",m:"דקה",mm:"%d דקות",h:"שעה",hh:function(e){return 2===e?"שעתיים":e+" שעות"},d:"יום",dd:function(e){return 2===e?"יומיים":e+" ימים"},M:"חודש",MM:function(e){return 2===e?"חודשיים":e+" חודשים"},y:"שנה",yy:function(e){return 2===e?"שנתיים":e%10==0&&10!==e?e+" שנה":e+" שנים"}},meridiemParse:/אחה"צ|לפנה"צ|אחרי הצהריים|לפני הצהריים|לפנות בוקר|בבוקר|בערב/i,isPM:function(e){return/^(אחה"צ|אחרי הצהריים|בערב)$/.test(e)},meridiem:function(e,t,n){return e<5?"לפנות בוקר":e<10?"בבוקר":e<12?n?'לפנה"צ':"לפני הצהריים":e<18?n?'אחה"צ':"אחרי הצהריים":"בערב"}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"१",2:"२",3:"३",4:"४",5:"५",6:"६",7:"७",8:"८",9:"९",0:"०"},n={"१":"1","२":"2","३":"3","४":"4","५":"5","६":"6","७":"7","८":"8","९":"9","०":"0"};e.defineLocale("hi",{months:"जनवरी_फ़रवरी_मार्च_अप्रैल_मई_जून_जुलाई_अगस्त_सितम्बर_अक्टूबर_नवम्बर_दिसम्बर".split("_"),monthsShort:"जन._फ़र._मार्च_अप्रै._मई_जून_जुल._अग._सित._अक्टू._नव._दिस.".split("_"),monthsParseExact:!0,weekdays:"रविवार_सोमवार_मंगलवार_बुधवार_गुरूवार_शुक्रवार_शनिवार".split("_"),weekdaysShort:"रवि_सोम_मंगल_बुध_गुरू_शुक्र_शनि".split("_"),weekdaysMin:"र_सो_मं_बु_गु_शु_श".split("_"),longDateFormat:{LT:"A h:mm बजे",LTS:"A h:mm:ss बजे",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm बजे",LLLL:"dddd, D MMMM YYYY, A h:mm बजे"},calendar:{sameDay:"[आज] LT",nextDay:"[कल] LT",nextWeek:"dddd, LT",lastDay:"[कल] LT",lastWeek:"[पिछले] dddd, LT",sameElse:"L"},relativeTime:{future:"%s में",past:"%s पहले",s:"कुछ ही क्षण",ss:"%d सेकंड",m:"एक मिनट",mm:"%d मिनट",h:"एक घंटा",hh:"%d घंटे",d:"एक दिन",dd:"%d दिन",M:"एक महीने",MM:"%d महीने",y:"एक वर्ष",yy:"%d वर्ष"},preparse:function(e){return e.replace(/[१२३४५६७८९०]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/रात|सुबह|दोपहर|शाम/,meridiemHour:function(e,t){return 12===e&&(e=0),"रात"===t?e<4?e:e+12:"सुबह"===t?e:"दोपहर"===t?e>=10?e:e+12:"शाम"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"रात":e<10?"सुबह":e<17?"दोपहर":e<20?"शाम":"रात"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r=e+" ";switch(n){case"ss":return r+=1===e?"sekunda":2===e||3===e||4===e?"sekunde":"sekundi";case"m":return t?"jedna minuta":"jedne minute";case"mm":return r+=1===e?"minuta":2===e||3===e||4===e?"minute":"minuta";case"h":return t?"jedan sat":"jednog sata";case"hh":return r+=1===e?"sat":2===e||3===e||4===e?"sata":"sati";case"dd":return r+=1===e?"dan":"dana";case"MM":return r+=1===e?"mjesec":2===e||3===e||4===e?"mjeseca":"mjeseci";case"yy":return r+=1===e?"godina":2===e||3===e||4===e?"godine":"godina"}}e.defineLocale("hr",{months:{format:"siječnja_veljače_ožujka_travnja_svibnja_lipnja_srpnja_kolovoza_rujna_listopada_studenoga_prosinca".split("_"),standalone:"siječanj_veljača_ožujak_travanj_svibanj_lipanj_srpanj_kolovoz_rujan_listopad_studeni_prosinac".split("_")},monthsShort:"sij._velj._ožu._tra._svi._lip._srp._kol._ruj._lis._stu._pro.".split("_"),monthsParseExact:!0,weekdays:"nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota".split("_"),weekdaysShort:"ned._pon._uto._sri._čet._pet._sub.".split("_"),weekdaysMin:"ne_po_ut_sr_če_pe_su".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[danas u] LT",nextDay:"[sutra u] LT",nextWeek:function(){switch(this.day()){case 0:return"[u] [nedjelju] [u] LT";case 3:return"[u] [srijedu] [u] LT";case 6:return"[u] [subotu] [u] LT";case 1:case 2:case 4:case 5:return"[u] dddd [u] LT"}},lastDay:"[jučer u] LT",lastWeek:function(){switch(this.day()){case 0:case 3:return"[prošlu] dddd [u] LT";case 6:return"[prošle] [subote] [u] LT";case 1:case 2:case 4:case 5:return"[prošli] dddd [u] LT"}},sameElse:"L"},relativeTime:{future:"za %s",past:"prije %s",s:"par sekundi",ss:t,m:t,mm:t,h:t,hh:t,d:"dan",dd:t,M:"mjesec",MM:t,y:"godinu",yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="vasárnap hétfőn kedden szerdán csütörtökön pénteken szombaton".split(" ");function n(e,t,n,r){var o=e;switch(n){case"s":return r||t?"néhány másodperc":"néhány másodperce";case"ss":return o+(r||t)?" másodperc":" másodperce";case"m":return"egy"+(r||t?" perc":" perce");case"mm":return o+(r||t?" perc":" perce");case"h":return"egy"+(r||t?" óra":" órája");case"hh":return o+(r||t?" óra":" órája");case"d":return"egy"+(r||t?" nap":" napja");case"dd":return o+(r||t?" nap":" napja");case"M":return"egy"+(r||t?" hónap":" hónapja");case"MM":return o+(r||t?" hónap":" hónapja");case"y":return"egy"+(r||t?" év":" éve");case"yy":return o+(r||t?" év":" éve")}return""}function r(e){return(e?"":"[múlt] ")+"["+t[this.day()]+"] LT[-kor]"}e.defineLocale("hu",{months:"január_február_március_április_május_június_július_augusztus_szeptember_október_november_december".split("_"),monthsShort:"jan_feb_márc_ápr_máj_jún_júl_aug_szept_okt_nov_dec".split("_"),weekdays:"vasárnap_hétfő_kedd_szerda_csütörtök_péntek_szombat".split("_"),weekdaysShort:"vas_hét_kedd_sze_csüt_pén_szo".split("_"),weekdaysMin:"v_h_k_sze_cs_p_szo".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"YYYY.MM.DD.",LL:"YYYY. MMMM D.",LLL:"YYYY. MMMM D. H:mm",LLLL:"YYYY. MMMM D., dddd H:mm"},meridiemParse:/de|du/i,isPM:function(e){return"u"===e.charAt(1).toLowerCase()},meridiem:function(e,t,n){return e<12?!0===n?"de":"DE":!0===n?"du":"DU"},calendar:{sameDay:"[ma] LT[-kor]",nextDay:"[holnap] LT[-kor]",nextWeek:function(){return r.call(this,!0)},lastDay:"[tegnap] LT[-kor]",lastWeek:function(){return r.call(this,!1)},sameElse:"L"},relativeTime:{future:"%s múlva",past:"%s",s:n,ss:n,m:n,mm:n,h:n,hh:n,d:n,dd:n,M:n,MM:n,y:n,yy:n},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("hy-am",{months:{format:"հունվարի_փետրվարի_մարտի_ապրիլի_մայիսի_հունիսի_հուլիսի_օգոստոսի_սեպտեմբերի_հոկտեմբերի_նոյեմբերի_դեկտեմբերի".split("_"),standalone:"հունվար_փետրվար_մարտ_ապրիլ_մայիս_հունիս_հուլիս_օգոստոս_սեպտեմբեր_հոկտեմբեր_նոյեմբեր_դեկտեմբեր".split("_")},monthsShort:"հնվ_փտր_մրտ_ապր_մյս_հնս_հլս_օգս_սպտ_հկտ_նմբ_դկտ".split("_"),weekdays:"կիրակի_երկուշաբթի_երեքշաբթի_չորեքշաբթի_հինգշաբթի_ուրբաթ_շաբաթ".split("_"),weekdaysShort:"կրկ_երկ_երք_չրք_հնգ_ուրբ_շբթ".split("_"),weekdaysMin:"կրկ_երկ_երք_չրք_հնգ_ուրբ_շբթ".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY թ.",LLL:"D MMMM YYYY թ., HH:mm",LLLL:"dddd, D MMMM YYYY թ., HH:mm"},calendar:{sameDay:"[այսօր] LT",nextDay:"[վաղը] LT",lastDay:"[երեկ] LT",nextWeek:function(){return"dddd [օրը ժամը] LT"},lastWeek:function(){return"[անցած] dddd [օրը ժամը] LT"},sameElse:"L"},relativeTime:{future:"%s հետո",past:"%s առաջ",s:"մի քանի վայրկյան",ss:"%d վայրկյան",m:"րոպե",mm:"%d րոպե",h:"ժամ",hh:"%d ժամ",d:"օր",dd:"%d օր",M:"ամիս",MM:"%d ամիս",y:"տարի",yy:"%d տարի"},meridiemParse:/գիշերվա|առավոտվա|ցերեկվա|երեկոյան/,isPM:function(e){return/^(ցերեկվա|երեկոյան)$/.test(e)},meridiem:function(e){return e<4?"գիշերվա":e<12?"առավոտվա":e<17?"ցերեկվա":"երեկոյան"},dayOfMonthOrdinalParse:/\d{1,2}|\d{1,2}-(ին|րդ)/,ordinal:function(e,t){switch(t){case"DDD":case"w":case"W":case"DDDo":return 1===e?e+"-ին":e+"-րդ";default:return e}},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("id",{months:"Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_November_Desember".split("_"),monthsShort:"Jan_Feb_Mar_Apr_Mei_Jun_Jul_Agt_Sep_Okt_Nov_Des".split("_"),weekdays:"Minggu_Senin_Selasa_Rabu_Kamis_Jumat_Sabtu".split("_"),weekdaysShort:"Min_Sen_Sel_Rab_Kam_Jum_Sab".split("_"),weekdaysMin:"Mg_Sn_Sl_Rb_Km_Jm_Sb".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [pukul] HH.mm",LLLL:"dddd, D MMMM YYYY [pukul] HH.mm"},meridiemParse:/pagi|siang|sore|malam/,meridiemHour:function(e,t){return 12===e&&(e=0),"pagi"===t?e:"siang"===t?e>=11?e:e+12:"sore"===t||"malam"===t?e+12:void 0},meridiem:function(e,t,n){return e<11?"pagi":e<15?"siang":e<19?"sore":"malam"},calendar:{sameDay:"[Hari ini pukul] LT",nextDay:"[Besok pukul] LT",nextWeek:"dddd [pukul] LT",lastDay:"[Kemarin pukul] LT",lastWeek:"dddd [lalu pukul] LT",sameElse:"L"},relativeTime:{future:"dalam %s",past:"%s yang lalu",s:"beberapa detik",ss:"%d detik",m:"semenit",mm:"%d menit",h:"sejam",hh:"%d jam",d:"sehari",dd:"%d hari",M:"sebulan",MM:"%d bulan",y:"setahun",yy:"%d tahun"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e){return e%100==11||e%10!=1}function n(e,n,r,o){var i=e+" ";switch(r){case"s":return n||o?"nokkrar sekúndur":"nokkrum sekúndum";case"ss":return t(e)?i+(n||o?"sekúndur":"sekúndum"):i+"sekúnda";case"m":return n?"mínúta":"mínútu";case"mm":return t(e)?i+(n||o?"mínútur":"mínútum"):n?i+"mínúta":i+"mínútu";case"hh":return t(e)?i+(n||o?"klukkustundir":"klukkustundum"):i+"klukkustund";case"d":return n?"dagur":o?"dag":"degi";case"dd":return t(e)?n?i+"dagar":i+(o?"daga":"dögum"):n?i+"dagur":i+(o?"dag":"degi");case"M":return n?"mánuður":o?"mánuð":"mánuði";case"MM":return t(e)?n?i+"mánuðir":i+(o?"mánuði":"mánuðum"):n?i+"mánuður":i+(o?"mánuð":"mánuði");case"y":return n||o?"ár":"ári";case"yy":return t(e)?i+(n||o?"ár":"árum"):i+(n||o?"ár":"ári")}}e.defineLocale("is",{months:"janúar_febrúar_mars_apríl_maí_júní_júlí_ágúst_september_október_nóvember_desember".split("_"),monthsShort:"jan_feb_mar_apr_maí_jún_júl_ágú_sep_okt_nóv_des".split("_"),weekdays:"sunnudagur_mánudagur_þriðjudagur_miðvikudagur_fimmtudagur_föstudagur_laugardagur".split("_"),weekdaysShort:"sun_mán_þri_mið_fim_fös_lau".split("_"),weekdaysMin:"Su_Má_Þr_Mi_Fi_Fö_La".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY [kl.] H:mm",LLLL:"dddd, D. MMMM YYYY [kl.] H:mm"},calendar:{sameDay:"[í dag kl.] LT",nextDay:"[á morgun kl.] LT",nextWeek:"dddd [kl.] LT",lastDay:"[í gær kl.] LT",lastWeek:"[síðasta] dddd [kl.] LT",sameElse:"L"},relativeTime:{future:"eftir %s",past:"fyrir %s síðan",s:n,ss:n,m:n,mm:n,h:"klukkustund",hh:n,d:n,dd:n,M:n,MM:n,y:n,yy:n},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("it",{months:"gennaio_febbraio_marzo_aprile_maggio_giugno_luglio_agosto_settembre_ottobre_novembre_dicembre".split("_"),monthsShort:"gen_feb_mar_apr_mag_giu_lug_ago_set_ott_nov_dic".split("_"),weekdays:"domenica_lunedì_martedì_mercoledì_giovedì_venerdì_sabato".split("_"),weekdaysShort:"dom_lun_mar_mer_gio_ven_sab".split("_"),weekdaysMin:"do_lu_ma_me_gi_ve_sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Oggi alle] LT",nextDay:"[Domani alle] LT",nextWeek:"dddd [alle] LT",lastDay:"[Ieri alle] LT",lastWeek:function(){switch(this.day()){case 0:return"[la scorsa] dddd [alle] LT";default:return"[lo scorso] dddd [alle] LT"}},sameElse:"L"},relativeTime:{future:function(e){return(/^[0-9].+$/.test(e)?"tra":"in")+" "+e},past:"%s fa",s:"alcuni secondi",ss:"%d secondi",m:"un minuto",mm:"%d minuti",h:"un'ora",hh:"%d ore",d:"un giorno",dd:"%d giorni",M:"un mese",MM:"%d mesi",y:"un anno",yy:"%d anni"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("it-ch",{months:"gennaio_febbraio_marzo_aprile_maggio_giugno_luglio_agosto_settembre_ottobre_novembre_dicembre".split("_"),monthsShort:"gen_feb_mar_apr_mag_giu_lug_ago_set_ott_nov_dic".split("_"),weekdays:"domenica_lunedì_martedì_mercoledì_giovedì_venerdì_sabato".split("_"),weekdaysShort:"dom_lun_mar_mer_gio_ven_sab".split("_"),weekdaysMin:"do_lu_ma_me_gi_ve_sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[Oggi alle] LT",nextDay:"[Domani alle] LT",nextWeek:"dddd [alle] LT",lastDay:"[Ieri alle] LT",lastWeek:function(){switch(this.day()){case 0:return"[la scorsa] dddd [alle] LT";default:return"[lo scorso] dddd [alle] LT"}},sameElse:"L"},relativeTime:{future:function(e){return(/^[0-9].+$/.test(e)?"tra":"in")+" "+e},past:"%s fa",s:"alcuni secondi",ss:"%d secondi",m:"un minuto",mm:"%d minuti",h:"un'ora",hh:"%d ore",d:"un giorno",dd:"%d giorni",M:"un mese",MM:"%d mesi",y:"un anno",yy:"%d anni"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ja",{months:"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月".split("_"),monthsShort:"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月".split("_"),weekdays:"日曜日_月曜日_火曜日_水曜日_木曜日_金曜日_土曜日".split("_"),weekdaysShort:"日_月_火_水_木_金_土".split("_"),weekdaysMin:"日_月_火_水_木_金_土".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY/MM/DD",LL:"YYYY年M月D日",LLL:"YYYY年M月D日 HH:mm",LLLL:"YYYY年M月D日 dddd HH:mm",l:"YYYY/MM/DD",ll:"YYYY年M月D日",lll:"YYYY年M月D日 HH:mm",llll:"YYYY年M月D日(ddd) HH:mm"},meridiemParse:/午前|午後/i,isPM:function(e){return"午後"===e},meridiem:function(e,t,n){return e<12?"午前":"午後"},calendar:{sameDay:"[今日] LT",nextDay:"[明日] LT",nextWeek:function(e){return e.week()<this.week()?"[来週]dddd LT":"dddd LT"},lastDay:"[昨日] LT",lastWeek:function(e){return this.week()<e.week()?"[先週]dddd LT":"dddd LT"},sameElse:"L"},dayOfMonthOrdinalParse:/\d{1,2}日/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"日";default:return e}},relativeTime:{future:"%s後",past:"%s前",s:"数秒",ss:"%d秒",m:"1分",mm:"%d分",h:"1時間",hh:"%d時間",d:"1日",dd:"%d日",M:"1ヶ月",MM:"%dヶ月",y:"1年",yy:"%d年"}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("jv",{months:"Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_Nopember_Desember".split("_"),monthsShort:"Jan_Feb_Mar_Apr_Mei_Jun_Jul_Ags_Sep_Okt_Nop_Des".split("_"),weekdays:"Minggu_Senen_Seloso_Rebu_Kemis_Jemuwah_Septu".split("_"),weekdaysShort:"Min_Sen_Sel_Reb_Kem_Jem_Sep".split("_"),weekdaysMin:"Mg_Sn_Sl_Rb_Km_Jm_Sp".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [pukul] HH.mm",LLLL:"dddd, D MMMM YYYY [pukul] HH.mm"},meridiemParse:/enjing|siyang|sonten|ndalu/,meridiemHour:function(e,t){return 12===e&&(e=0),"enjing"===t?e:"siyang"===t?e>=11?e:e+12:"sonten"===t||"ndalu"===t?e+12:void 0},meridiem:function(e,t,n){return e<11?"enjing":e<15?"siyang":e<19?"sonten":"ndalu"},calendar:{sameDay:"[Dinten puniko pukul] LT",nextDay:"[Mbenjang pukul] LT",nextWeek:"dddd [pukul] LT",lastDay:"[Kala wingi pukul] LT",lastWeek:"dddd [kepengker pukul] LT",sameElse:"L"},relativeTime:{future:"wonten ing %s",past:"%s ingkang kepengker",s:"sawetawis detik",ss:"%d detik",m:"setunggal menit",mm:"%d menit",h:"setunggal jam",hh:"%d jam",d:"sedinten",dd:"%d dinten",M:"sewulan",MM:"%d wulan",y:"setaun",yy:"%d taun"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ka",{months:{standalone:"იანვარი_თებერვალი_მარტი_აპრილი_მაისი_ივნისი_ივლისი_აგვისტო_სექტემბერი_ოქტომბერი_ნოემბერი_დეკემბერი".split("_"),format:"იანვარს_თებერვალს_მარტს_აპრილის_მაისს_ივნისს_ივლისს_აგვისტს_სექტემბერს_ოქტომბერს_ნოემბერს_დეკემბერს".split("_")},monthsShort:"იან_თებ_მარ_აპრ_მაი_ივნ_ივლ_აგვ_სექ_ოქტ_ნოე_დეკ".split("_"),weekdays:{standalone:"კვირა_ორშაბათი_სამშაბათი_ოთხშაბათი_ხუთშაბათი_პარასკევი_შაბათი".split("_"),format:"კვირას_ორშაბათს_სამშაბათს_ოთხშაბათს_ხუთშაბათს_პარასკევს_შაბათს".split("_"),isFormat:/(წინა|შემდეგ)/},weekdaysShort:"კვი_ორშ_სამ_ოთხ_ხუთ_პარ_შაბ".split("_"),weekdaysMin:"კვ_ორ_სა_ოთ_ხუ_პა_შა".split("_"),longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendar:{sameDay:"[დღეს] LT[-ზე]",nextDay:"[ხვალ] LT[-ზე]",lastDay:"[გუშინ] LT[-ზე]",nextWeek:"[შემდეგ] dddd LT[-ზე]",lastWeek:"[წინა] dddd LT-ზე",sameElse:"L"},relativeTime:{future:function(e){return/(წამი|წუთი|საათი|წელი)/.test(e)?e.replace(/ი$/,"ში"):e+"ში"},past:function(e){return/(წამი|წუთი|საათი|დღე|თვე)/.test(e)?e.replace(/(ი|ე)$/,"ის წინ"):/წელი/.test(e)?e.replace(/წელი$/,"წლის წინ"):void 0},s:"რამდენიმე წამი",ss:"%d წამი",m:"წუთი",mm:"%d წუთი",h:"საათი",hh:"%d საათი",d:"დღე",dd:"%d დღე",M:"თვე",MM:"%d თვე",y:"წელი",yy:"%d წელი"},dayOfMonthOrdinalParse:/0|1-ლი|მე-\d{1,2}|\d{1,2}-ე/,ordinal:function(e){return 0===e?e:1===e?e+"-ლი":e<20||e<=100&&e%20==0||e%100==0?"მე-"+e:e+"-ე"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={0:"-ші",1:"-ші",2:"-ші",3:"-ші",4:"-ші",5:"-ші",6:"-шы",7:"-ші",8:"-ші",9:"-шы",10:"-шы",20:"-шы",30:"-шы",40:"-шы",50:"-ші",60:"-шы",70:"-ші",80:"-ші",90:"-шы",100:"-ші"};e.defineLocale("kk",{months:"қаңтар_ақпан_наурыз_сәуір_мамыр_маусым_шілде_тамыз_қыркүйек_қазан_қараша_желтоқсан".split("_"),monthsShort:"қаң_ақп_нау_сәу_мам_мау_шіл_там_қыр_қаз_қар_жел".split("_"),weekdays:"жексенбі_дүйсенбі_сейсенбі_сәрсенбі_бейсенбі_жұма_сенбі".split("_"),weekdaysShort:"жек_дүй_сей_сәр_бей_жұм_сен".split("_"),weekdaysMin:"жк_дй_сй_ср_бй_жм_сн".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Бүгін сағат] LT",nextDay:"[Ертең сағат] LT",nextWeek:"dddd [сағат] LT",lastDay:"[Кеше сағат] LT",lastWeek:"[Өткен аптаның] dddd [сағат] LT",sameElse:"L"},relativeTime:{future:"%s ішінде",past:"%s бұрын",s:"бірнеше секунд",ss:"%d секунд",m:"бір минут",mm:"%d минут",h:"бір сағат",hh:"%d сағат",d:"бір күн",dd:"%d күн",M:"бір ай",MM:"%d ай",y:"бір жыл",yy:"%d жыл"},dayOfMonthOrdinalParse:/\d{1,2}-(ші|шы)/,ordinal:function(e){return e+(t[e]||t[e%10]||t[e>=100?100:null])},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"១",2:"២",3:"៣",4:"៤",5:"៥",6:"៦",7:"៧",8:"៨",9:"៩",0:"០"},n={"១":"1","២":"2","៣":"3","៤":"4","៥":"5","៦":"6","៧":"7","៨":"8","៩":"9","០":"0"};e.defineLocale("km",{months:"មករា_កុម្ភៈ_មីនា_មេសា_ឧសភា_មិថុនា_កក្កដា_សីហា_កញ្ញា_តុលា_វិច្ឆិកា_ធ្នូ".split("_"),monthsShort:"មករា_កុម្ភៈ_មីនា_មេសា_ឧសភា_មិថុនា_កក្កដា_សីហា_កញ្ញា_តុលា_វិច្ឆិកា_ធ្នូ".split("_"),weekdays:"អាទិត្យ_ច័ន្ទ_អង្គារ_ពុធ_ព្រហស្បតិ៍_សុក្រ_សៅរ៍".split("_"),weekdaysShort:"អា_ច_អ_ព_ព្រ_សុ_ស".split("_"),weekdaysMin:"អា_ច_អ_ព_ព្រ_សុ_ស".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},meridiemParse:/ព្រឹក|ល្ងាច/,isPM:function(e){return"ល្ងាច"===e},meridiem:function(e,t,n){return e<12?"ព្រឹក":"ល្ងាច"},calendar:{sameDay:"[ថ្ងៃនេះ ម៉ោង] LT",nextDay:"[ស្អែក ម៉ោង] LT",nextWeek:"dddd [ម៉ោង] LT",lastDay:"[ម្សិលមិញ ម៉ោង] LT",lastWeek:"dddd [សប្តាហ៍មុន] [ម៉ោង] LT",sameElse:"L"},relativeTime:{future:"%sទៀត",past:"%sមុន",s:"ប៉ុន្មានវិនាទី",ss:"%d វិនាទី",m:"មួយនាទី",mm:"%d នាទី",h:"មួយម៉ោង",hh:"%d ម៉ោង",d:"មួយថ្ងៃ",dd:"%d ថ្ងៃ",M:"មួយខែ",MM:"%d ខែ",y:"មួយឆ្នាំ",yy:"%d ឆ្នាំ"},dayOfMonthOrdinalParse:/ទី\d{1,2}/,ordinal:"ទី%d",preparse:function(e){return e.replace(/[១២៣៤៥៦៧៨៩០]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"೧",2:"೨",3:"೩",4:"೪",5:"೫",6:"೬",7:"೭",8:"೮",9:"೯",0:"೦"},n={"೧":"1","೨":"2","೩":"3","೪":"4","೫":"5","೬":"6","೭":"7","೮":"8","೯":"9","೦":"0"};e.defineLocale("kn",{months:"ಜನವರಿ_ಫೆಬ್ರವರಿ_ಮಾರ್ಚ್_ಏಪ್ರಿಲ್_ಮೇ_ಜೂನ್_ಜುಲೈ_ಆಗಸ್ಟ್_ಸೆಪ್ಟೆಂಬರ್_ಅಕ್ಟೋಬರ್_ನವೆಂಬರ್_ಡಿಸೆಂಬರ್".split("_"),monthsShort:"ಜನ_ಫೆಬ್ರ_ಮಾರ್ಚ್_ಏಪ್ರಿಲ್_ಮೇ_ಜೂನ್_ಜುಲೈ_ಆಗಸ್ಟ್_ಸೆಪ್ಟೆಂ_ಅಕ್ಟೋ_ನವೆಂ_ಡಿಸೆಂ".split("_"),monthsParseExact:!0,weekdays:"ಭಾನುವಾರ_ಸೋಮವಾರ_ಮಂಗಳವಾರ_ಬುಧವಾರ_ಗುರುವಾರ_ಶುಕ್ರವಾರ_ಶನಿವಾರ".split("_"),weekdaysShort:"ಭಾನು_ಸೋಮ_ಮಂಗಳ_ಬುಧ_ಗುರು_ಶುಕ್ರ_ಶನಿ".split("_"),weekdaysMin:"ಭಾ_ಸೋ_ಮಂ_ಬು_ಗು_ಶು_ಶ".split("_"),longDateFormat:{LT:"A h:mm",LTS:"A h:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm",LLLL:"dddd, D MMMM YYYY, A h:mm"},calendar:{sameDay:"[ಇಂದು] LT",nextDay:"[ನಾಳೆ] LT",nextWeek:"dddd, LT",lastDay:"[ನಿನ್ನೆ] LT",lastWeek:"[ಕೊನೆಯ] dddd, LT",sameElse:"L"},relativeTime:{future:"%s ನಂತರ",past:"%s ಹಿಂದೆ",s:"ಕೆಲವು ಕ್ಷಣಗಳು",ss:"%d ಸೆಕೆಂಡುಗಳು",m:"ಒಂದು ನಿಮಿಷ",mm:"%d ನಿಮಿಷ",h:"ಒಂದು ಗಂಟೆ",hh:"%d ಗಂಟೆ",d:"ಒಂದು ದಿನ",dd:"%d ದಿನ",M:"ಒಂದು ತಿಂಗಳು",MM:"%d ತಿಂಗಳು",y:"ಒಂದು ವರ್ಷ",yy:"%d ವರ್ಷ"},preparse:function(e){return e.replace(/[೧೨೩೪೫೬೭೮೯೦]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/ರಾತ್ರಿ|ಬೆಳಿಗ್ಗೆ|ಮಧ್ಯಾಹ್ನ|ಸಂಜೆ/,meridiemHour:function(e,t){return 12===e&&(e=0),"ರಾತ್ರಿ"===t?e<4?e:e+12:"ಬೆಳಿಗ್ಗೆ"===t?e:"ಮಧ್ಯಾಹ್ನ"===t?e>=10?e:e+12:"ಸಂಜೆ"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"ರಾತ್ರಿ":e<10?"ಬೆಳಿಗ್ಗೆ":e<17?"ಮಧ್ಯಾಹ್ನ":e<20?"ಸಂಜೆ":"ರಾತ್ರಿ"},dayOfMonthOrdinalParse:/\d{1,2}(ನೇ)/,ordinal:function(e){return e+"ನೇ"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ko",{months:"1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월".split("_"),monthsShort:"1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월".split("_"),weekdays:"일요일_월요일_화요일_수요일_목요일_금요일_토요일".split("_"),weekdaysShort:"일_월_화_수_목_금_토".split("_"),weekdaysMin:"일_월_화_수_목_금_토".split("_"),longDateFormat:{LT:"A h:mm",LTS:"A h:mm:ss",L:"YYYY.MM.DD.",LL:"YYYY년 MMMM D일",LLL:"YYYY년 MMMM D일 A h:mm",LLLL:"YYYY년 MMMM D일 dddd A h:mm",l:"YYYY.MM.DD.",ll:"YYYY년 MMMM D일",lll:"YYYY년 MMMM D일 A h:mm",llll:"YYYY년 MMMM D일 dddd A h:mm"},calendar:{sameDay:"오늘 LT",nextDay:"내일 LT",nextWeek:"dddd LT",lastDay:"어제 LT",lastWeek:"지난주 dddd LT",sameElse:"L"},relativeTime:{future:"%s 후",past:"%s 전",s:"몇 초",ss:"%d초",m:"1분",mm:"%d분",h:"한 시간",hh:"%d시간",d:"하루",dd:"%d일",M:"한 달",MM:"%d달",y:"일 년",yy:"%d년"},dayOfMonthOrdinalParse:/\d{1,2}(일|월|주)/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"일";case"M":return e+"월";case"w":case"W":return e+"주";default:return e}},meridiemParse:/오전|오후/,isPM:function(e){return"오후"===e},meridiem:function(e,t,n){return e<12?"오전":"오후"}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"١",2:"٢",3:"٣",4:"٤",5:"٥",6:"٦",7:"٧",8:"٨",9:"٩",0:"٠"},n={"١":"1","٢":"2","٣":"3","٤":"4","٥":"5","٦":"6","٧":"7","٨":"8","٩":"9","٠":"0"},r=["کانونی دووەم","شوبات","ئازار","نیسان","ئایار","حوزەیران","تەمموز","ئاب","ئەیلوول","تشرینی یەكەم","تشرینی دووەم","كانونی یەکەم"];e.defineLocale("ku",{months:r,monthsShort:r,weekdays:"یه‌كشه‌ممه‌_دووشه‌ممه‌_سێشه‌ممه‌_چوارشه‌ممه‌_پێنجشه‌ممه‌_هه‌ینی_شه‌ممه‌".split("_"),weekdaysShort:"یه‌كشه‌م_دووشه‌م_سێشه‌م_چوارشه‌م_پێنجشه‌م_هه‌ینی_شه‌ممه‌".split("_"),weekdaysMin:"ی_د_س_چ_پ_ه_ش".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},meridiemParse:/ئێواره‌|به‌یانی/,isPM:function(e){return/ئێواره‌/.test(e)},meridiem:function(e,t,n){return e<12?"به‌یانی":"ئێواره‌"},calendar:{sameDay:"[ئه‌مرۆ كاتژمێر] LT",nextDay:"[به‌یانی كاتژمێر] LT",nextWeek:"dddd [كاتژمێر] LT",lastDay:"[دوێنێ كاتژمێر] LT",lastWeek:"dddd [كاتژمێر] LT",sameElse:"L"},relativeTime:{future:"له‌ %s",past:"%s",s:"چه‌ند چركه‌یه‌ك",ss:"چركه‌ %d",m:"یه‌ك خوله‌ك",mm:"%d خوله‌ك",h:"یه‌ك كاتژمێر",hh:"%d كاتژمێر",d:"یه‌ك ڕۆژ",dd:"%d ڕۆژ",M:"یه‌ك مانگ",MM:"%d مانگ",y:"یه‌ك ساڵ",yy:"%d ساڵ"},preparse:function(e){return e.replace(/[١٢٣٤٥٦٧٨٩٠]/g,(function(e){return n[e]})).replace(/،/g,",")},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]})).replace(/,/g,"،")},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={0:"-чү",1:"-чи",2:"-чи",3:"-чү",4:"-чү",5:"-чи",6:"-чы",7:"-чи",8:"-чи",9:"-чу",10:"-чу",20:"-чы",30:"-чу",40:"-чы",50:"-чү",60:"-чы",70:"-чи",80:"-чи",90:"-чу",100:"-чү"};e.defineLocale("ky",{months:"январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь".split("_"),monthsShort:"янв_фев_март_апр_май_июнь_июль_авг_сен_окт_ноя_дек".split("_"),weekdays:"Жекшемби_Дүйшөмбү_Шейшемби_Шаршемби_Бейшемби_Жума_Ишемби".split("_"),weekdaysShort:"Жек_Дүй_Шей_Шар_Бей_Жум_Ише".split("_"),weekdaysMin:"Жк_Дй_Шй_Шр_Бй_Жм_Иш".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Бүгүн саат] LT",nextDay:"[Эртең саат] LT",nextWeek:"dddd [саат] LT",lastDay:"[Кечээ саат] LT",lastWeek:"[Өткөн аптанын] dddd [күнү] [саат] LT",sameElse:"L"},relativeTime:{future:"%s ичинде",past:"%s мурун",s:"бирнече секунд",ss:"%d секунд",m:"бир мүнөт",mm:"%d мүнөт",h:"бир саат",hh:"%d саат",d:"бир күн",dd:"%d күн",M:"бир ай",MM:"%d ай",y:"бир жыл",yy:"%d жыл"},dayOfMonthOrdinalParse:/\d{1,2}-(чи|чы|чү|чу)/,ordinal:function(e){return e+(t[e]||t[e%10]||t[e>=100?100:null])},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={m:["eng Minutt","enger Minutt"],h:["eng Stonn","enger Stonn"],d:["een Dag","engem Dag"],M:["ee Mount","engem Mount"],y:["ee Joer","engem Joer"]};return t?o[n][0]:o[n][1]}function n(e){if(e=parseInt(e,10),isNaN(e))return!1;if(e<0)return!0;if(e<10)return 4<=e&&e<=7;if(e<100){var t=e%10;return n(0===t?e/10:t)}if(e<1e4){for(;e>=10;)e/=10;return n(e)}return n(e/=1e3)}e.defineLocale("lb",{months:"Januar_Februar_Mäerz_Abrëll_Mee_Juni_Juli_August_September_Oktober_November_Dezember".split("_"),monthsShort:"Jan._Febr._Mrz._Abr._Mee_Jun._Jul._Aug._Sept._Okt._Nov._Dez.".split("_"),monthsParseExact:!0,weekdays:"Sonndeg_Méindeg_Dënschdeg_Mëttwoch_Donneschdeg_Freideg_Samschdeg".split("_"),weekdaysShort:"So._Mé._Dë._Më._Do._Fr._Sa.".split("_"),weekdaysMin:"So_Mé_Dë_Më_Do_Fr_Sa".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm [Auer]",LTS:"H:mm:ss [Auer]",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm [Auer]",LLLL:"dddd, D. MMMM YYYY H:mm [Auer]"},calendar:{sameDay:"[Haut um] LT",sameElse:"L",nextDay:"[Muer um] LT",nextWeek:"dddd [um] LT",lastDay:"[Gëschter um] LT",lastWeek:function(){switch(this.day()){case 2:case 4:return"[Leschten] dddd [um] LT";default:return"[Leschte] dddd [um] LT"}}},relativeTime:{future:function(e){return n(e.substr(0,e.indexOf(" ")))?"a "+e:"an "+e},past:function(e){return n(e.substr(0,e.indexOf(" ")))?"viru "+e:"virun "+e},s:"e puer Sekonnen",ss:"%d Sekonnen",m:t,mm:"%d Minutten",h:t,hh:"%d Stonnen",d:t,dd:"%d Deeg",M:t,MM:"%d Méint",y:t,yy:"%d Joer"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("lo",{months:"ມັງກອນ_ກຸມພາ_ມີນາ_ເມສາ_ພຶດສະພາ_ມິຖຸນາ_ກໍລະກົດ_ສິງຫາ_ກັນຍາ_ຕຸລາ_ພະຈິກ_ທັນວາ".split("_"),monthsShort:"ມັງກອນ_ກຸມພາ_ມີນາ_ເມສາ_ພຶດສະພາ_ມິຖຸນາ_ກໍລະກົດ_ສິງຫາ_ກັນຍາ_ຕຸລາ_ພະຈິກ_ທັນວາ".split("_"),weekdays:"ອາທິດ_ຈັນ_ອັງຄານ_ພຸດ_ພະຫັດ_ສຸກ_ເສົາ".split("_"),weekdaysShort:"ທິດ_ຈັນ_ອັງຄານ_ພຸດ_ພະຫັດ_ສຸກ_ເສົາ".split("_"),weekdaysMin:"ທ_ຈ_ອຄ_ພ_ພຫ_ສກ_ສ".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"ວັນdddd D MMMM YYYY HH:mm"},meridiemParse:/ຕອນເຊົ້າ|ຕອນແລງ/,isPM:function(e){return"ຕອນແລງ"===e},meridiem:function(e,t,n){return e<12?"ຕອນເຊົ້າ":"ຕອນແລງ"},calendar:{sameDay:"[ມື້ນີ້ເວລາ] LT",nextDay:"[ມື້ອື່ນເວລາ] LT",nextWeek:"[ວັນ]dddd[ໜ້າເວລາ] LT",lastDay:"[ມື້ວານນີ້ເວລາ] LT",lastWeek:"[ວັນ]dddd[ແລ້ວນີ້ເວລາ] LT",sameElse:"L"},relativeTime:{future:"ອີກ %s",past:"%sຜ່ານມາ",s:"ບໍ່ເທົ່າໃດວິນາທີ",ss:"%d ວິນາທີ",m:"1 ນາທີ",mm:"%d ນາທີ",h:"1 ຊົ່ວໂມງ",hh:"%d ຊົ່ວໂມງ",d:"1 ມື້",dd:"%d ມື້",M:"1 ເດືອນ",MM:"%d ເດືອນ",y:"1 ປີ",yy:"%d ປີ"},dayOfMonthOrdinalParse:/(ທີ່)\d{1,2}/,ordinal:function(e){return"ທີ່"+e}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={ss:"sekundė_sekundžių_sekundes",m:"minutė_minutės_minutę",mm:"minutės_minučių_minutes",h:"valanda_valandos_valandą",hh:"valandos_valandų_valandas",d:"diena_dienos_dieną",dd:"dienos_dienų_dienas",M:"mėnuo_mėnesio_mėnesį",MM:"mėnesiai_mėnesių_mėnesius",y:"metai_metų_metus",yy:"metai_metų_metus"};function n(e,t,n,r){return t?o(n)[0]:r?o(n)[1]:o(n)[2]}function r(e){return e%10==0||e>10&&e<20}function o(e){return t[e].split("_")}function i(e,t,i,a){var s=e+" ";return 1===e?s+n(0,t,i[0],a):t?s+(r(e)?o(i)[1]:o(i)[0]):a?s+o(i)[1]:s+(r(e)?o(i)[1]:o(i)[2])}e.defineLocale("lt",{months:{format:"sausio_vasario_kovo_balandžio_gegužės_birželio_liepos_rugpjūčio_rugsėjo_spalio_lapkričio_gruodžio".split("_"),standalone:"sausis_vasaris_kovas_balandis_gegužė_birželis_liepa_rugpjūtis_rugsėjis_spalis_lapkritis_gruodis".split("_"),isFormat:/D[oD]?(\[[^\[\]]*\]|\s)+MMMM?|MMMM?(\[[^\[\]]*\]|\s)+D[oD]?/},monthsShort:"sau_vas_kov_bal_geg_bir_lie_rgp_rgs_spa_lap_grd".split("_"),weekdays:{format:"sekmadienį_pirmadienį_antradienį_trečiadienį_ketvirtadienį_penktadienį_šeštadienį".split("_"),standalone:"sekmadienis_pirmadienis_antradienis_trečiadienis_ketvirtadienis_penktadienis_šeštadienis".split("_"),isFormat:/dddd HH:mm/},weekdaysShort:"Sek_Pir_Ant_Tre_Ket_Pen_Šeš".split("_"),weekdaysMin:"S_P_A_T_K_Pn_Š".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"YYYY [m.] MMMM D [d.]",LLL:"YYYY [m.] MMMM D [d.], HH:mm [val.]",LLLL:"YYYY [m.] MMMM D [d.], dddd, HH:mm [val.]",l:"YYYY-MM-DD",ll:"YYYY [m.] MMMM D [d.]",lll:"YYYY [m.] MMMM D [d.], HH:mm [val.]",llll:"YYYY [m.] MMMM D [d.], ddd, HH:mm [val.]"},calendar:{sameDay:"[Šiandien] LT",nextDay:"[Rytoj] LT",nextWeek:"dddd LT",lastDay:"[Vakar] LT",lastWeek:"[Praėjusį] dddd LT",sameElse:"L"},relativeTime:{future:"po %s",past:"prieš %s",s:function(e,t,n,r){return t?"kelios sekundės":r?"kelių sekundžių":"kelias sekundes"},ss:i,m:n,mm:i,h:n,hh:i,d:n,dd:i,M:n,MM:i,y:n,yy:i},dayOfMonthOrdinalParse:/\d{1,2}-oji/,ordinal:function(e){return e+"-oji"},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={ss:"sekundes_sekundēm_sekunde_sekundes".split("_"),m:"minūtes_minūtēm_minūte_minūtes".split("_"),mm:"minūtes_minūtēm_minūte_minūtes".split("_"),h:"stundas_stundām_stunda_stundas".split("_"),hh:"stundas_stundām_stunda_stundas".split("_"),d:"dienas_dienām_diena_dienas".split("_"),dd:"dienas_dienām_diena_dienas".split("_"),M:"mēneša_mēnešiem_mēnesis_mēneši".split("_"),MM:"mēneša_mēnešiem_mēnesis_mēneši".split("_"),y:"gada_gadiem_gads_gadi".split("_"),yy:"gada_gadiem_gads_gadi".split("_")};function n(e,t,n){return n?t%10==1&&t%100!=11?e[2]:e[3]:t%10==1&&t%100!=11?e[0]:e[1]}function r(e,r,o){return e+" "+n(t[o],e,r)}function o(e,r,o){return n(t[o],e,r)}e.defineLocale("lv",{months:"janvāris_februāris_marts_aprīlis_maijs_jūnijs_jūlijs_augusts_septembris_oktobris_novembris_decembris".split("_"),monthsShort:"jan_feb_mar_apr_mai_jūn_jūl_aug_sep_okt_nov_dec".split("_"),weekdays:"svētdiena_pirmdiena_otrdiena_trešdiena_ceturtdiena_piektdiena_sestdiena".split("_"),weekdaysShort:"Sv_P_O_T_C_Pk_S".split("_"),weekdaysMin:"Sv_P_O_T_C_Pk_S".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY.",LL:"YYYY. [gada] D. MMMM",LLL:"YYYY. [gada] D. MMMM, HH:mm",LLLL:"YYYY. [gada] D. MMMM, dddd, HH:mm"},calendar:{sameDay:"[Šodien pulksten] LT",nextDay:"[Rīt pulksten] LT",nextWeek:"dddd [pulksten] LT",lastDay:"[Vakar pulksten] LT",lastWeek:"[Pagājušā] dddd [pulksten] LT",sameElse:"L"},relativeTime:{future:"pēc %s",past:"pirms %s",s:function(e,t){return t?"dažas sekundes":"dažām sekundēm"},ss:r,m:o,mm:r,h:o,hh:r,d:o,dd:r,M:o,MM:r,y:o,yy:r},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={words:{ss:["sekund","sekunda","sekundi"],m:["jedan minut","jednog minuta"],mm:["minut","minuta","minuta"],h:["jedan sat","jednog sata"],hh:["sat","sata","sati"],dd:["dan","dana","dana"],MM:["mjesec","mjeseca","mjeseci"],yy:["godina","godine","godina"]},correctGrammaticalCase:function(e,t){return 1===e?t[0]:e>=2&&e<=4?t[1]:t[2]},translate:function(e,n,r){var o=t.words[r];return 1===r.length?n?o[0]:o[1]:e+" "+t.correctGrammaticalCase(e,o)}};e.defineLocale("me",{months:"januar_februar_mart_april_maj_jun_jul_avgust_septembar_oktobar_novembar_decembar".split("_"),monthsShort:"jan._feb._mar._apr._maj_jun_jul_avg._sep._okt._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota".split("_"),weekdaysShort:"ned._pon._uto._sri._čet._pet._sub.".split("_"),weekdaysMin:"ne_po_ut_sr_če_pe_su".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[danas u] LT",nextDay:"[sjutra u] LT",nextWeek:function(){switch(this.day()){case 0:return"[u] [nedjelju] [u] LT";case 3:return"[u] [srijedu] [u] LT";case 6:return"[u] [subotu] [u] LT";case 1:case 2:case 4:case 5:return"[u] dddd [u] LT"}},lastDay:"[juče u] LT",lastWeek:function(){return["[prošle] [nedjelje] [u] LT","[prošlog] [ponedjeljka] [u] LT","[prošlog] [utorka] [u] LT","[prošle] [srijede] [u] LT","[prošlog] [četvrtka] [u] LT","[prošlog] [petka] [u] LT","[prošle] [subote] [u] LT"][this.day()]},sameElse:"L"},relativeTime:{future:"za %s",past:"prije %s",s:"nekoliko sekundi",ss:t.translate,m:t.translate,mm:t.translate,h:t.translate,hh:t.translate,d:"dan",dd:t.translate,M:"mjesec",MM:t.translate,y:"godinu",yy:t.translate},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("mi",{months:"Kohi-tāte_Hui-tanguru_Poutū-te-rangi_Paenga-whāwhā_Haratua_Pipiri_Hōngoingoi_Here-turi-kōkā_Mahuru_Whiringa-ā-nuku_Whiringa-ā-rangi_Hakihea".split("_"),monthsShort:"Kohi_Hui_Pou_Pae_Hara_Pipi_Hōngoi_Here_Mahu_Whi-nu_Whi-ra_Haki".split("_"),monthsRegex:/(?:['a-z\u0101\u014D\u016B]+\-?){1,3}/i,monthsStrictRegex:/(?:['a-z\u0101\u014D\u016B]+\-?){1,3}/i,monthsShortRegex:/(?:['a-z\u0101\u014D\u016B]+\-?){1,3}/i,monthsShortStrictRegex:/(?:['a-z\u0101\u014D\u016B]+\-?){1,2}/i,weekdays:"Rātapu_Mane_Tūrei_Wenerei_Tāite_Paraire_Hātarei".split("_"),weekdaysShort:"Ta_Ma_Tū_We_Tāi_Pa_Hā".split("_"),weekdaysMin:"Ta_Ma_Tū_We_Tāi_Pa_Hā".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [i] HH:mm",LLLL:"dddd, D MMMM YYYY [i] HH:mm"},calendar:{sameDay:"[i teie mahana, i] LT",nextDay:"[apopo i] LT",nextWeek:"dddd [i] LT",lastDay:"[inanahi i] LT",lastWeek:"dddd [whakamutunga i] LT",sameElse:"L"},relativeTime:{future:"i roto i %s",past:"%s i mua",s:"te hēkona ruarua",ss:"%d hēkona",m:"he meneti",mm:"%d meneti",h:"te haora",hh:"%d haora",d:"he ra",dd:"%d ra",M:"he marama",MM:"%d marama",y:"he tau",yy:"%d tau"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("mk",{months:"јануари_февруари_март_април_мај_јуни_јули_август_септември_октомври_ноември_декември".split("_"),monthsShort:"јан_фев_мар_апр_мај_јун_јул_авг_сеп_окт_ное_дек".split("_"),weekdays:"недела_понеделник_вторник_среда_четврток_петок_сабота".split("_"),weekdaysShort:"нед_пон_вто_сре_чет_пет_саб".split("_"),weekdaysMin:"нe_пo_вт_ср_че_пе_сa".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"D.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY H:mm",LLLL:"dddd, D MMMM YYYY H:mm"},calendar:{sameDay:"[Денес во] LT",nextDay:"[Утре во] LT",nextWeek:"[Во] dddd [во] LT",lastDay:"[Вчера во] LT",lastWeek:function(){switch(this.day()){case 0:case 3:case 6:return"[Изминатата] dddd [во] LT";case 1:case 2:case 4:case 5:return"[Изминатиот] dddd [во] LT"}},sameElse:"L"},relativeTime:{future:"после %s",past:"пред %s",s:"неколку секунди",ss:"%d секунди",m:"минута",mm:"%d минути",h:"час",hh:"%d часа",d:"ден",dd:"%d дена",M:"месец",MM:"%d месеци",y:"година",yy:"%d години"},dayOfMonthOrdinalParse:/\d{1,2}-(ев|ен|ти|ви|ри|ми)/,ordinal:function(e){var t=e%10,n=e%100;return 0===e?e+"-ев":0===n?e+"-ен":n>10&&n<20?e+"-ти":1===t?e+"-ви":2===t?e+"-ри":7===t||8===t?e+"-ми":e+"-ти"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ml",{months:"ജനുവരി_ഫെബ്രുവരി_മാർച്ച്_ഏപ്രിൽ_മേയ്_ജൂൺ_ജൂലൈ_ഓഗസ്റ്റ്_സെപ്റ്റംബർ_ഒക്ടോബർ_നവംബർ_ഡിസംബർ".split("_"),monthsShort:"ജനു._ഫെബ്രു._മാർ._ഏപ്രി._മേയ്_ജൂൺ_ജൂലൈ._ഓഗ._സെപ്റ്റ._ഒക്ടോ._നവം._ഡിസം.".split("_"),monthsParseExact:!0,weekdays:"ഞായറാഴ്ച_തിങ്കളാഴ്ച_ചൊവ്വാഴ്ച_ബുധനാഴ്ച_വ്യാഴാഴ്ച_വെള്ളിയാഴ്ച_ശനിയാഴ്ച".split("_"),weekdaysShort:"ഞായർ_തിങ്കൾ_ചൊവ്വ_ബുധൻ_വ്യാഴം_വെള്ളി_ശനി".split("_"),weekdaysMin:"ഞാ_തി_ചൊ_ബു_വ്യാ_വെ_ശ".split("_"),longDateFormat:{LT:"A h:mm -നു",LTS:"A h:mm:ss -നു",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm -നു",LLLL:"dddd, D MMMM YYYY, A h:mm -നു"},calendar:{sameDay:"[ഇന്ന്] LT",nextDay:"[നാളെ] LT",nextWeek:"dddd, LT",lastDay:"[ഇന്നലെ] LT",lastWeek:"[കഴിഞ്ഞ] dddd, LT",sameElse:"L"},relativeTime:{future:"%s കഴിഞ്ഞ്",past:"%s മുൻപ്",s:"അൽപ നിമിഷങ്ങൾ",ss:"%d സെക്കൻഡ്",m:"ഒരു മിനിറ്റ്",mm:"%d മിനിറ്റ്",h:"ഒരു മണിക്കൂർ",hh:"%d മണിക്കൂർ",d:"ഒരു ദിവസം",dd:"%d ദിവസം",M:"ഒരു മാസം",MM:"%d മാസം",y:"ഒരു വർഷം",yy:"%d വർഷം"},meridiemParse:/രാത്രി|രാവിലെ|ഉച്ച കഴിഞ്ഞ്|വൈകുന്നേരം|രാത്രി/i,meridiemHour:function(e,t){return 12===e&&(e=0),"രാത്രി"===t&&e>=4||"ഉച്ച കഴിഞ്ഞ്"===t||"വൈകുന്നേരം"===t?e+12:e},meridiem:function(e,t,n){return e<4?"രാത്രി":e<12?"രാവിലെ":e<17?"ഉച്ച കഴിഞ്ഞ്":e<20?"വൈകുന്നേരം":"രാത്രി"}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){switch(n){case"s":return t?"хэдхэн секунд":"хэдхэн секундын";case"ss":return e+(t?" секунд":" секундын");case"m":case"mm":return e+(t?" минут":" минутын");case"h":case"hh":return e+(t?" цаг":" цагийн");case"d":case"dd":return e+(t?" өдөр":" өдрийн");case"M":case"MM":return e+(t?" сар":" сарын");case"y":case"yy":return e+(t?" жил":" жилийн");default:return e}}e.defineLocale("mn",{months:"Нэгдүгээр сар_Хоёрдугаар сар_Гуравдугаар сар_Дөрөвдүгээр сар_Тавдугаар сар_Зургадугаар сар_Долдугаар сар_Наймдугаар сар_Есдүгээр сар_Аравдугаар сар_Арван нэгдүгээр сар_Арван хоёрдугаар сар".split("_"),monthsShort:"1 сар_2 сар_3 сар_4 сар_5 сар_6 сар_7 сар_8 сар_9 сар_10 сар_11 сар_12 сар".split("_"),monthsParseExact:!0,weekdays:"Ням_Даваа_Мягмар_Лхагва_Пүрэв_Баасан_Бямба".split("_"),weekdaysShort:"Ням_Дав_Мяг_Лха_Пүр_Баа_Бям".split("_"),weekdaysMin:"Ня_Да_Мя_Лх_Пү_Ба_Бя".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"YYYY оны MMMMын D",LLL:"YYYY оны MMMMын D HH:mm",LLLL:"dddd, YYYY оны MMMMын D HH:mm"},meridiemParse:/ҮӨ|ҮХ/i,isPM:function(e){return"ҮХ"===e},meridiem:function(e,t,n){return e<12?"ҮӨ":"ҮХ"},calendar:{sameDay:"[Өнөөдөр] LT",nextDay:"[Маргааш] LT",nextWeek:"[Ирэх] dddd LT",lastDay:"[Өчигдөр] LT",lastWeek:"[Өнгөрсөн] dddd LT",sameElse:"L"},relativeTime:{future:"%s дараа",past:"%s өмнө",s:t,ss:t,m:t,mm:t,h:t,hh:t,d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2} өдөр/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+" өдөр";default:return e}}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"१",2:"२",3:"३",4:"४",5:"५",6:"६",7:"७",8:"८",9:"९",0:"०"},n={"१":"1","२":"2","३":"3","४":"4","५":"5","६":"6","७":"7","८":"8","९":"9","०":"0"};function r(e,t,n,r){var o="";if(t)switch(n){case"s":o="काही सेकंद";break;case"ss":o="%d सेकंद";break;case"m":o="एक मिनिट";break;case"mm":o="%d मिनिटे";break;case"h":o="एक तास";break;case"hh":o="%d तास";break;case"d":o="एक दिवस";break;case"dd":o="%d दिवस";break;case"M":o="एक महिना";break;case"MM":o="%d महिने";break;case"y":o="एक वर्ष";break;case"yy":o="%d वर्षे"}else switch(n){case"s":o="काही सेकंदां";break;case"ss":o="%d सेकंदां";break;case"m":o="एका मिनिटा";break;case"mm":o="%d मिनिटां";break;case"h":o="एका तासा";break;case"hh":o="%d तासां";break;case"d":o="एका दिवसा";break;case"dd":o="%d दिवसां";break;case"M":o="एका महिन्या";break;case"MM":o="%d महिन्यां";break;case"y":o="एका वर्षा";break;case"yy":o="%d वर्षां"}return o.replace(/%d/i,e)}e.defineLocale("mr",{months:"जानेवारी_फेब्रुवारी_मार्च_एप्रिल_मे_जून_जुलै_ऑगस्ट_सप्टेंबर_ऑक्टोबर_नोव्हेंबर_डिसेंबर".split("_"),monthsShort:"जाने._फेब्रु._मार्च._एप्रि._मे._जून._जुलै._ऑग._सप्टें._ऑक्टो._नोव्हें._डिसें.".split("_"),monthsParseExact:!0,weekdays:"रविवार_सोमवार_मंगळवार_बुधवार_गुरूवार_शुक्रवार_शनिवार".split("_"),weekdaysShort:"रवि_सोम_मंगळ_बुध_गुरू_शुक्र_शनि".split("_"),weekdaysMin:"र_सो_मं_बु_गु_शु_श".split("_"),longDateFormat:{LT:"A h:mm वाजता",LTS:"A h:mm:ss वाजता",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm वाजता",LLLL:"dddd, D MMMM YYYY, A h:mm वाजता"},calendar:{sameDay:"[आज] LT",nextDay:"[उद्या] LT",nextWeek:"dddd, LT",lastDay:"[काल] LT",lastWeek:"[मागील] dddd, LT",sameElse:"L"},relativeTime:{future:"%sमध्ये",past:"%sपूर्वी",s:r,ss:r,m:r,mm:r,h:r,hh:r,d:r,dd:r,M:r,MM:r,y:r,yy:r},preparse:function(e){return e.replace(/[१२३४५६७८९०]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/रात्री|सकाळी|दुपारी|सायंकाळी/,meridiemHour:function(e,t){return 12===e&&(e=0),"रात्री"===t?e<4?e:e+12:"सकाळी"===t?e:"दुपारी"===t?e>=10?e:e+12:"सायंकाळी"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"रात्री":e<10?"सकाळी":e<17?"दुपारी":e<20?"सायंकाळी":"रात्री"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ms",{months:"Januari_Februari_Mac_April_Mei_Jun_Julai_Ogos_September_Oktober_November_Disember".split("_"),monthsShort:"Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ogs_Sep_Okt_Nov_Dis".split("_"),weekdays:"Ahad_Isnin_Selasa_Rabu_Khamis_Jumaat_Sabtu".split("_"),weekdaysShort:"Ahd_Isn_Sel_Rab_Kha_Jum_Sab".split("_"),weekdaysMin:"Ah_Is_Sl_Rb_Km_Jm_Sb".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [pukul] HH.mm",LLLL:"dddd, D MMMM YYYY [pukul] HH.mm"},meridiemParse:/pagi|tengahari|petang|malam/,meridiemHour:function(e,t){return 12===e&&(e=0),"pagi"===t?e:"tengahari"===t?e>=11?e:e+12:"petang"===t||"malam"===t?e+12:void 0},meridiem:function(e,t,n){return e<11?"pagi":e<15?"tengahari":e<19?"petang":"malam"},calendar:{sameDay:"[Hari ini pukul] LT",nextDay:"[Esok pukul] LT",nextWeek:"dddd [pukul] LT",lastDay:"[Kelmarin pukul] LT",lastWeek:"dddd [lepas pukul] LT",sameElse:"L"},relativeTime:{future:"dalam %s",past:"%s yang lepas",s:"beberapa saat",ss:"%d saat",m:"seminit",mm:"%d minit",h:"sejam",hh:"%d jam",d:"sehari",dd:"%d hari",M:"sebulan",MM:"%d bulan",y:"setahun",yy:"%d tahun"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ms-my",{months:"Januari_Februari_Mac_April_Mei_Jun_Julai_Ogos_September_Oktober_November_Disember".split("_"),monthsShort:"Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ogs_Sep_Okt_Nov_Dis".split("_"),weekdays:"Ahad_Isnin_Selasa_Rabu_Khamis_Jumaat_Sabtu".split("_"),weekdaysShort:"Ahd_Isn_Sel_Rab_Kha_Jum_Sab".split("_"),weekdaysMin:"Ah_Is_Sl_Rb_Km_Jm_Sb".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [pukul] HH.mm",LLLL:"dddd, D MMMM YYYY [pukul] HH.mm"},meridiemParse:/pagi|tengahari|petang|malam/,meridiemHour:function(e,t){return 12===e&&(e=0),"pagi"===t?e:"tengahari"===t?e>=11?e:e+12:"petang"===t||"malam"===t?e+12:void 0},meridiem:function(e,t,n){return e<11?"pagi":e<15?"tengahari":e<19?"petang":"malam"},calendar:{sameDay:"[Hari ini pukul] LT",nextDay:"[Esok pukul] LT",nextWeek:"dddd [pukul] LT",lastDay:"[Kelmarin pukul] LT",lastWeek:"dddd [lepas pukul] LT",sameElse:"L"},relativeTime:{future:"dalam %s",past:"%s yang lepas",s:"beberapa saat",ss:"%d saat",m:"seminit",mm:"%d minit",h:"sejam",hh:"%d jam",d:"sehari",dd:"%d hari",M:"sebulan",MM:"%d bulan",y:"setahun",yy:"%d tahun"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("mt",{months:"Jannar_Frar_Marzu_April_Mejju_Ġunju_Lulju_Awwissu_Settembru_Ottubru_Novembru_Diċembru".split("_"),monthsShort:"Jan_Fra_Mar_Apr_Mej_Ġun_Lul_Aww_Set_Ott_Nov_Diċ".split("_"),weekdays:"Il-Ħadd_It-Tnejn_It-Tlieta_L-Erbgħa_Il-Ħamis_Il-Ġimgħa_Is-Sibt".split("_"),weekdaysShort:"Ħad_Tne_Tli_Erb_Ħam_Ġim_Sib".split("_"),weekdaysMin:"Ħa_Tn_Tl_Er_Ħa_Ġi_Si".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Illum fil-]LT",nextDay:"[Għada fil-]LT",nextWeek:"dddd [fil-]LT",lastDay:"[Il-bieraħ fil-]LT",lastWeek:"dddd [li għadda] [fil-]LT",sameElse:"L"},relativeTime:{future:"f’ %s",past:"%s ilu",s:"ftit sekondi",ss:"%d sekondi",m:"minuta",mm:"%d minuti",h:"siegħa",hh:"%d siegħat",d:"ġurnata",dd:"%d ġranet",M:"xahar",MM:"%d xhur",y:"sena",yy:"%d sni"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"၁",2:"၂",3:"၃",4:"၄",5:"၅",6:"၆",7:"၇",8:"၈",9:"၉",0:"၀"},n={"၁":"1","၂":"2","၃":"3","၄":"4","၅":"5","၆":"6","၇":"7","၈":"8","၉":"9","၀":"0"};e.defineLocale("my",{months:"ဇန်နဝါရီ_ဖေဖော်ဝါရီ_မတ်_ဧပြီ_မေ_ဇွန်_ဇူလိုင်_သြဂုတ်_စက်တင်ဘာ_အောက်တိုဘာ_နိုဝင်ဘာ_ဒီဇင်ဘာ".split("_"),monthsShort:"ဇန်_ဖေ_မတ်_ပြီ_မေ_ဇွန်_လိုင်_သြ_စက်_အောက်_နို_ဒီ".split("_"),weekdays:"တနင်္ဂနွေ_တနင်္လာ_အင်္ဂါ_ဗုဒ္ဓဟူး_ကြာသပတေး_သောကြာ_စနေ".split("_"),weekdaysShort:"နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ".split("_"),weekdaysMin:"နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[ယနေ.] LT [မှာ]",nextDay:"[မနက်ဖြန်] LT [မှာ]",nextWeek:"dddd LT [မှာ]",lastDay:"[မနေ.က] LT [မှာ]",lastWeek:"[ပြီးခဲ့သော] dddd LT [မှာ]",sameElse:"L"},relativeTime:{future:"လာမည့် %s မှာ",past:"လွန်ခဲ့သော %s က",s:"စက္ကန်.အနည်းငယ်",ss:"%d စက္ကန့်",m:"တစ်မိနစ်",mm:"%d မိနစ်",h:"တစ်နာရီ",hh:"%d နာရီ",d:"တစ်ရက်",dd:"%d ရက်",M:"တစ်လ",MM:"%d လ",y:"တစ်နှစ်",yy:"%d နှစ်"},preparse:function(e){return e.replace(/[၁၂၃၄၅၆၇၈၉၀]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("nb",{months:"januar_februar_mars_april_mai_juni_juli_august_september_oktober_november_desember".split("_"),monthsShort:"jan._feb._mars_april_mai_juni_juli_aug._sep._okt._nov._des.".split("_"),monthsParseExact:!0,weekdays:"søndag_mandag_tirsdag_onsdag_torsdag_fredag_lørdag".split("_"),weekdaysShort:"sø._ma._ti._on._to._fr._lø.".split("_"),weekdaysMin:"sø_ma_ti_on_to_fr_lø".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY [kl.] HH:mm",LLLL:"dddd D. MMMM YYYY [kl.] HH:mm"},calendar:{sameDay:"[i dag kl.] LT",nextDay:"[i morgen kl.] LT",nextWeek:"dddd [kl.] LT",lastDay:"[i går kl.] LT",lastWeek:"[forrige] dddd [kl.] LT",sameElse:"L"},relativeTime:{future:"om %s",past:"%s siden",s:"noen sekunder",ss:"%d sekunder",m:"ett minutt",mm:"%d minutter",h:"en time",hh:"%d timer",d:"en dag",dd:"%d dager",M:"en måned",MM:"%d måneder",y:"ett år",yy:"%d år"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"१",2:"२",3:"३",4:"४",5:"५",6:"६",7:"७",8:"८",9:"९",0:"०"},n={"१":"1","२":"2","३":"3","४":"4","५":"5","६":"6","७":"7","८":"8","९":"9","०":"0"};e.defineLocale("ne",{months:"जनवरी_फेब्रुवरी_मार्च_अप्रिल_मई_जुन_जुलाई_अगष्ट_सेप्टेम्बर_अक्टोबर_नोभेम्बर_डिसेम्बर".split("_"),monthsShort:"जन._फेब्रु._मार्च_अप्रि._मई_जुन_जुलाई._अग._सेप्ट._अक्टो._नोभे._डिसे.".split("_"),monthsParseExact:!0,weekdays:"आइतबार_सोमबार_मङ्गलबार_बुधबार_बिहिबार_शुक्रबार_शनिबार".split("_"),weekdaysShort:"आइत._सोम._मङ्गल._बुध._बिहि._शुक्र._शनि.".split("_"),weekdaysMin:"आ._सो._मं._बु._बि._शु._श.".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"Aको h:mm बजे",LTS:"Aको h:mm:ss बजे",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, Aको h:mm बजे",LLLL:"dddd, D MMMM YYYY, Aको h:mm बजे"},preparse:function(e){return e.replace(/[१२३४५६७८९०]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/राति|बिहान|दिउँसो|साँझ/,meridiemHour:function(e,t){return 12===e&&(e=0),"राति"===t?e<4?e:e+12:"बिहान"===t?e:"दिउँसो"===t?e>=10?e:e+12:"साँझ"===t?e+12:void 0},meridiem:function(e,t,n){return e<3?"राति":e<12?"बिहान":e<16?"दिउँसो":e<20?"साँझ":"राति"},calendar:{sameDay:"[आज] LT",nextDay:"[भोलि] LT",nextWeek:"[आउँदो] dddd[,] LT",lastDay:"[हिजो] LT",lastWeek:"[गएको] dddd[,] LT",sameElse:"L"},relativeTime:{future:"%sमा",past:"%s अगाडि",s:"केही क्षण",ss:"%d सेकेण्ड",m:"एक मिनेट",mm:"%d मिनेट",h:"एक घण्टा",hh:"%d घण्टा",d:"एक दिन",dd:"%d दिन",M:"एक महिना",MM:"%d महिना",y:"एक बर्ष",yy:"%d बर्ष"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="jan._feb._mrt._apr._mei_jun._jul._aug._sep._okt._nov._dec.".split("_"),n="jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec".split("_"),r=[/^jan/i,/^feb/i,/^maart|mrt.?$/i,/^apr/i,/^mei$/i,/^jun[i.]?$/i,/^jul[i.]?$/i,/^aug/i,/^sep/i,/^okt/i,/^nov/i,/^dec/i],o=/^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december|jan\.?|feb\.?|mrt\.?|apr\.?|ju[nl]\.?|aug\.?|sep\.?|okt\.?|nov\.?|dec\.?)/i;e.defineLocale("nl",{months:"januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december)/i,monthsShortStrictRegex:/^(jan\.?|feb\.?|mrt\.?|apr\.?|mei|ju[nl]\.?|aug\.?|sep\.?|okt\.?|nov\.?|dec\.?)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"zondag_maandag_dinsdag_woensdag_donderdag_vrijdag_zaterdag".split("_"),weekdaysShort:"zo._ma._di._wo._do._vr._za.".split("_"),weekdaysMin:"zo_ma_di_wo_do_vr_za".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD-MM-YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[vandaag om] LT",nextDay:"[morgen om] LT",nextWeek:"dddd [om] LT",lastDay:"[gisteren om] LT",lastWeek:"[afgelopen] dddd [om] LT",sameElse:"L"},relativeTime:{future:"over %s",past:"%s geleden",s:"een paar seconden",ss:"%d seconden",m:"één minuut",mm:"%d minuten",h:"één uur",hh:"%d uur",d:"één dag",dd:"%d dagen",M:"één maand",MM:"%d maanden",y:"één jaar",yy:"%d jaar"},dayOfMonthOrdinalParse:/\d{1,2}(ste|de)/,ordinal:function(e){return e+(1===e||8===e||e>=20?"ste":"de")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="jan._feb._mrt._apr._mei_jun._jul._aug._sep._okt._nov._dec.".split("_"),n="jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec".split("_"),r=[/^jan/i,/^feb/i,/^maart|mrt.?$/i,/^apr/i,/^mei$/i,/^jun[i.]?$/i,/^jul[i.]?$/i,/^aug/i,/^sep/i,/^okt/i,/^nov/i,/^dec/i],o=/^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december|jan\.?|feb\.?|mrt\.?|apr\.?|ju[nl]\.?|aug\.?|sep\.?|okt\.?|nov\.?|dec\.?)/i;e.defineLocale("nl-be",{months:"januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december".split("_"),monthsShort:function(e,r){return e?/-MMM-/.test(r)?n[e.month()]:t[e.month()]:t},monthsRegex:o,monthsShortRegex:o,monthsStrictRegex:/^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december)/i,monthsShortStrictRegex:/^(jan\.?|feb\.?|mrt\.?|apr\.?|mei|ju[nl]\.?|aug\.?|sep\.?|okt\.?|nov\.?|dec\.?)/i,monthsParse:r,longMonthsParse:r,shortMonthsParse:r,weekdays:"zondag_maandag_dinsdag_woensdag_donderdag_vrijdag_zaterdag".split("_"),weekdaysShort:"zo._ma._di._wo._do._vr._za.".split("_"),weekdaysMin:"zo_ma_di_wo_do_vr_za".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[vandaag om] LT",nextDay:"[morgen om] LT",nextWeek:"dddd [om] LT",lastDay:"[gisteren om] LT",lastWeek:"[afgelopen] dddd [om] LT",sameElse:"L"},relativeTime:{future:"over %s",past:"%s geleden",s:"een paar seconden",ss:"%d seconden",m:"één minuut",mm:"%d minuten",h:"één uur",hh:"%d uur",d:"één dag",dd:"%d dagen",M:"één maand",MM:"%d maanden",y:"één jaar",yy:"%d jaar"},dayOfMonthOrdinalParse:/\d{1,2}(ste|de)/,ordinal:function(e){return e+(1===e||8===e||e>=20?"ste":"de")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("nn",{months:"januar_februar_mars_april_mai_juni_juli_august_september_oktober_november_desember".split("_"),monthsShort:"jan_feb_mar_apr_mai_jun_jul_aug_sep_okt_nov_des".split("_"),weekdays:"sundag_måndag_tysdag_onsdag_torsdag_fredag_laurdag".split("_"),weekdaysShort:"sun_mån_tys_ons_tor_fre_lau".split("_"),weekdaysMin:"su_må_ty_on_to_fr_lø".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY [kl.] H:mm",LLLL:"dddd D. MMMM YYYY [kl.] HH:mm"},calendar:{sameDay:"[I dag klokka] LT",nextDay:"[I morgon klokka] LT",nextWeek:"dddd [klokka] LT",lastDay:"[I går klokka] LT",lastWeek:"[Føregåande] dddd [klokka] LT",sameElse:"L"},relativeTime:{future:"om %s",past:"%s sidan",s:"nokre sekund",ss:"%d sekund",m:"eit minutt",mm:"%d minutt",h:"ein time",hh:"%d timar",d:"ein dag",dd:"%d dagar",M:"ein månad",MM:"%d månader",y:"eit år",yy:"%d år"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"੧",2:"੨",3:"੩",4:"੪",5:"੫",6:"੬",7:"੭",8:"੮",9:"੯",0:"੦"},n={"੧":"1","੨":"2","੩":"3","੪":"4","੫":"5","੬":"6","੭":"7","੮":"8","੯":"9","੦":"0"};e.defineLocale("pa-in",{months:"ਜਨਵਰੀ_ਫ਼ਰਵਰੀ_ਮਾਰਚ_ਅਪ੍ਰੈਲ_ਮਈ_ਜੂਨ_ਜੁਲਾਈ_ਅਗਸਤ_ਸਤੰਬਰ_ਅਕਤੂਬਰ_ਨਵੰਬਰ_ਦਸੰਬਰ".split("_"),monthsShort:"ਜਨਵਰੀ_ਫ਼ਰਵਰੀ_ਮਾਰਚ_ਅਪ੍ਰੈਲ_ਮਈ_ਜੂਨ_ਜੁਲਾਈ_ਅਗਸਤ_ਸਤੰਬਰ_ਅਕਤੂਬਰ_ਨਵੰਬਰ_ਦਸੰਬਰ".split("_"),weekdays:"ਐਤਵਾਰ_ਸੋਮਵਾਰ_ਮੰਗਲਵਾਰ_ਬੁਧਵਾਰ_ਵੀਰਵਾਰ_ਸ਼ੁੱਕਰਵਾਰ_ਸ਼ਨੀਚਰਵਾਰ".split("_"),weekdaysShort:"ਐਤ_ਸੋਮ_ਮੰਗਲ_ਬੁਧ_ਵੀਰ_ਸ਼ੁਕਰ_ਸ਼ਨੀ".split("_"),weekdaysMin:"ਐਤ_ਸੋਮ_ਮੰਗਲ_ਬੁਧ_ਵੀਰ_ਸ਼ੁਕਰ_ਸ਼ਨੀ".split("_"),longDateFormat:{LT:"A h:mm ਵਜੇ",LTS:"A h:mm:ss ਵਜੇ",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm ਵਜੇ",LLLL:"dddd, D MMMM YYYY, A h:mm ਵਜੇ"},calendar:{sameDay:"[ਅਜ] LT",nextDay:"[ਕਲ] LT",nextWeek:"[ਅਗਲਾ] dddd, LT",lastDay:"[ਕਲ] LT",lastWeek:"[ਪਿਛਲੇ] dddd, LT",sameElse:"L"},relativeTime:{future:"%s ਵਿੱਚ",past:"%s ਪਿਛਲੇ",s:"ਕੁਝ ਸਕਿੰਟ",ss:"%d ਸਕਿੰਟ",m:"ਇਕ ਮਿੰਟ",mm:"%d ਮਿੰਟ",h:"ਇੱਕ ਘੰਟਾ",hh:"%d ਘੰਟੇ",d:"ਇੱਕ ਦਿਨ",dd:"%d ਦਿਨ",M:"ਇੱਕ ਮਹੀਨਾ",MM:"%d ਮਹੀਨੇ",y:"ਇੱਕ ਸਾਲ",yy:"%d ਸਾਲ"},preparse:function(e){return e.replace(/[੧੨੩੪੫੬੭੮੯੦]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/ਰਾਤ|ਸਵੇਰ|ਦੁਪਹਿਰ|ਸ਼ਾਮ/,meridiemHour:function(e,t){return 12===e&&(e=0),"ਰਾਤ"===t?e<4?e:e+12:"ਸਵੇਰ"===t?e:"ਦੁਪਹਿਰ"===t?e>=10?e:e+12:"ਸ਼ਾਮ"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"ਰਾਤ":e<10?"ਸਵੇਰ":e<17?"ਦੁਪਹਿਰ":e<20?"ਸ਼ਾਮ":"ਰਾਤ"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="styczeń_luty_marzec_kwiecień_maj_czerwiec_lipiec_sierpień_wrzesień_październik_listopad_grudzień".split("_"),n="stycznia_lutego_marca_kwietnia_maja_czerwca_lipca_sierpnia_września_października_listopada_grudnia".split("_");function r(e){return e%10<5&&e%10>1&&~~(e/10)%10!=1}function o(e,t,n){var o=e+" ";switch(n){case"ss":return o+(r(e)?"sekundy":"sekund");case"m":return t?"minuta":"minutę";case"mm":return o+(r(e)?"minuty":"minut");case"h":return t?"godzina":"godzinę";case"hh":return o+(r(e)?"godziny":"godzin");case"MM":return o+(r(e)?"miesiące":"miesięcy");case"yy":return o+(r(e)?"lata":"lat")}}e.defineLocale("pl",{months:function(e,r){return e?""===r?"("+n[e.month()]+"|"+t[e.month()]+")":/D MMMM/.test(r)?n[e.month()]:t[e.month()]:t},monthsShort:"sty_lut_mar_kwi_maj_cze_lip_sie_wrz_paź_lis_gru".split("_"),weekdays:"niedziela_poniedziałek_wtorek_środa_czwartek_piątek_sobota".split("_"),weekdaysShort:"ndz_pon_wt_śr_czw_pt_sob".split("_"),weekdaysMin:"Nd_Pn_Wt_Śr_Cz_Pt_So".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Dziś o] LT",nextDay:"[Jutro o] LT",nextWeek:function(){switch(this.day()){case 0:return"[W niedzielę o] LT";case 2:return"[We wtorek o] LT";case 3:return"[W środę o] LT";case 6:return"[W sobotę o] LT";default:return"[W] dddd [o] LT"}},lastDay:"[Wczoraj o] LT",lastWeek:function(){switch(this.day()){case 0:return"[W zeszłą niedzielę o] LT";case 3:return"[W zeszłą środę o] LT";case 6:return"[W zeszłą sobotę o] LT";default:return"[W zeszły] dddd [o] LT"}},sameElse:"L"},relativeTime:{future:"za %s",past:"%s temu",s:"kilka sekund",ss:o,m:o,mm:o,h:o,hh:o,d:"1 dzień",dd:"%d dni",M:"miesiąc",MM:o,y:"rok",yy:o},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("pt",{months:"Janeiro_Fevereiro_Março_Abril_Maio_Junho_Julho_Agosto_Setembro_Outubro_Novembro_Dezembro".split("_"),monthsShort:"Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez".split("_"),weekdays:"Domingo_Segunda-feira_Terça-feira_Quarta-feira_Quinta-feira_Sexta-feira_Sábado".split("_"),weekdaysShort:"Dom_Seg_Ter_Qua_Qui_Sex_Sáb".split("_"),weekdaysMin:"Do_2ª_3ª_4ª_5ª_6ª_Sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY HH:mm",LLLL:"dddd, D [de] MMMM [de] YYYY HH:mm"},calendar:{sameDay:"[Hoje às] LT",nextDay:"[Amanhã às] LT",nextWeek:"dddd [às] LT",lastDay:"[Ontem às] LT",lastWeek:function(){return 0===this.day()||6===this.day()?"[Último] dddd [às] LT":"[Última] dddd [às] LT"},sameElse:"L"},relativeTime:{future:"em %s",past:"há %s",s:"segundos",ss:"%d segundos",m:"um minuto",mm:"%d minutos",h:"uma hora",hh:"%d horas",d:"um dia",dd:"%d dias",M:"um mês",MM:"%d meses",y:"um ano",yy:"%d anos"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("pt-br",{months:"Janeiro_Fevereiro_Março_Abril_Maio_Junho_Julho_Agosto_Setembro_Outubro_Novembro_Dezembro".split("_"),monthsShort:"Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez".split("_"),weekdays:"Domingo_Segunda-feira_Terça-feira_Quarta-feira_Quinta-feira_Sexta-feira_Sábado".split("_"),weekdaysShort:"Dom_Seg_Ter_Qua_Qui_Sex_Sáb".split("_"),weekdaysMin:"Do_2ª_3ª_4ª_5ª_6ª_Sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D [de] MMMM [de] YYYY",LLL:"D [de] MMMM [de] YYYY [às] HH:mm",LLLL:"dddd, D [de] MMMM [de] YYYY [às] HH:mm"},calendar:{sameDay:"[Hoje às] LT",nextDay:"[Amanhã às] LT",nextWeek:"dddd [às] LT",lastDay:"[Ontem às] LT",lastWeek:function(){return 0===this.day()||6===this.day()?"[Último] dddd [às] LT":"[Última] dddd [às] LT"},sameElse:"L"},relativeTime:{future:"em %s",past:"há %s",s:"poucos segundos",ss:"%d segundos",m:"um minuto",mm:"%d minutos",h:"uma hora",hh:"%d horas",d:"um dia",dd:"%d dias",M:"um mês",MM:"%d meses",y:"um ano",yy:"%d anos"},dayOfMonthOrdinalParse:/\d{1,2}º/,ordinal:"%dº"})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r=" ";return(e%100>=20||e>=100&&e%100==0)&&(r=" de "),e+r+{ss:"secunde",mm:"minute",hh:"ore",dd:"zile",MM:"luni",yy:"ani"}[n]}e.defineLocale("ro",{months:"ianuarie_februarie_martie_aprilie_mai_iunie_iulie_august_septembrie_octombrie_noiembrie_decembrie".split("_"),monthsShort:"ian._febr._mart._apr._mai_iun._iul._aug._sept._oct._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"duminică_luni_marți_miercuri_joi_vineri_sâmbătă".split("_"),weekdaysShort:"Dum_Lun_Mar_Mie_Joi_Vin_Sâm".split("_"),weekdaysMin:"Du_Lu_Ma_Mi_Jo_Vi_Sâ".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY H:mm",LLLL:"dddd, D MMMM YYYY H:mm"},calendar:{sameDay:"[azi la] LT",nextDay:"[mâine la] LT",nextWeek:"dddd [la] LT",lastDay:"[ieri la] LT",lastWeek:"[fosta] dddd [la] LT",sameElse:"L"},relativeTime:{future:"peste %s",past:"%s în urmă",s:"câteva secunde",ss:t,m:"un minut",mm:t,h:"o oră",hh:t,d:"o zi",dd:t,M:"o lună",MM:t,y:"un an",yy:t},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r,o;return"m"===n?t?"минута":"минуту":e+" "+(r=+e,o={ss:t?"секунда_секунды_секунд":"секунду_секунды_секунд",mm:t?"минута_минуты_минут":"минуту_минуты_минут",hh:"час_часа_часов",dd:"день_дня_дней",MM:"месяц_месяца_месяцев",yy:"год_года_лет"}[n].split("_"),r%10==1&&r%100!=11?o[0]:r%10>=2&&r%10<=4&&(r%100<10||r%100>=20)?o[1]:o[2])}var n=[/^янв/i,/^фев/i,/^мар/i,/^апр/i,/^ма[йя]/i,/^июн/i,/^июл/i,/^авг/i,/^сен/i,/^окт/i,/^ноя/i,/^дек/i];e.defineLocale("ru",{months:{format:"января_февраля_марта_апреля_мая_июня_июля_августа_сентября_октября_ноября_декабря".split("_"),standalone:"январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь".split("_")},monthsShort:{format:"янв._февр._мар._апр._мая_июня_июля_авг._сент._окт._нояб._дек.".split("_"),standalone:"янв._февр._март_апр._май_июнь_июль_авг._сент._окт._нояб._дек.".split("_")},weekdays:{standalone:"воскресенье_понедельник_вторник_среда_четверг_пятница_суббота".split("_"),format:"воскресенье_понедельник_вторник_среду_четверг_пятницу_субботу".split("_"),isFormat:/\[ ?[Вв] ?(?:прошлую|следующую|эту)? ?\] ?dddd/},weekdaysShort:"вс_пн_вт_ср_чт_пт_сб".split("_"),weekdaysMin:"вс_пн_вт_ср_чт_пт_сб".split("_"),monthsParse:n,longMonthsParse:n,shortMonthsParse:n,monthsRegex:/^(январ[ья]|янв\.?|феврал[ья]|февр?\.?|марта?|мар\.?|апрел[ья]|апр\.?|ма[йя]|июн[ья]|июн\.?|июл[ья]|июл\.?|августа?|авг\.?|сентябр[ья]|сент?\.?|октябр[ья]|окт\.?|ноябр[ья]|нояб?\.?|декабр[ья]|дек\.?)/i,monthsShortRegex:/^(январ[ья]|янв\.?|феврал[ья]|февр?\.?|марта?|мар\.?|апрел[ья]|апр\.?|ма[йя]|июн[ья]|июн\.?|июл[ья]|июл\.?|августа?|авг\.?|сентябр[ья]|сент?\.?|октябр[ья]|окт\.?|ноябр[ья]|нояб?\.?|декабр[ья]|дек\.?)/i,monthsStrictRegex:/^(январ[яь]|феврал[яь]|марта?|апрел[яь]|ма[яй]|июн[яь]|июл[яь]|августа?|сентябр[яь]|октябр[яь]|ноябр[яь]|декабр[яь])/i,monthsShortStrictRegex:/^(янв\.|февр?\.|мар[т.]|апр\.|ма[яй]|июн[ья.]|июл[ья.]|авг\.|сент?\.|окт\.|нояб?\.|дек\.)/i,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY г.",LLL:"D MMMM YYYY г., H:mm",LLLL:"dddd, D MMMM YYYY г., H:mm"},calendar:{sameDay:"[Сегодня, в] LT",nextDay:"[Завтра, в] LT",lastDay:"[Вчера, в] LT",nextWeek:function(e){if(e.week()===this.week())return 2===this.day()?"[Во] dddd, [в] LT":"[В] dddd, [в] LT";switch(this.day()){case 0:return"[В следующее] dddd, [в] LT";case 1:case 2:case 4:return"[В следующий] dddd, [в] LT";case 3:case 5:case 6:return"[В следующую] dddd, [в] LT"}},lastWeek:function(e){if(e.week()===this.week())return 2===this.day()?"[Во] dddd, [в] LT":"[В] dddd, [в] LT";switch(this.day()){case 0:return"[В прошлое] dddd, [в] LT";case 1:case 2:case 4:return"[В прошлый] dddd, [в] LT";case 3:case 5:case 6:return"[В прошлую] dddd, [в] LT"}},sameElse:"L"},relativeTime:{future:"через %s",past:"%s назад",s:"несколько секунд",ss:t,m:t,mm:t,h:"час",hh:t,d:"день",dd:t,M:"месяц",MM:t,y:"год",yy:t},meridiemParse:/ночи|утра|дня|вечера/i,isPM:function(e){return/^(дня|вечера)$/.test(e)},meridiem:function(e,t,n){return e<4?"ночи":e<12?"утра":e<17?"дня":"вечера"},dayOfMonthOrdinalParse:/\d{1,2}-(й|го|я)/,ordinal:function(e,t){switch(t){case"M":case"d":case"DDD":return e+"-й";case"D":return e+"-го";case"w":case"W":return e+"-я";default:return e}},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t=["جنوري","فيبروري","مارچ","اپريل","مئي","جون","جولاءِ","آگسٽ","سيپٽمبر","آڪٽوبر","نومبر","ڊسمبر"],n=["آچر","سومر","اڱارو","اربع","خميس","جمع","ڇنڇر"];e.defineLocale("sd",{months:t,monthsShort:t,weekdays:n,weekdaysShort:n,weekdaysMin:n,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd، D MMMM YYYY HH:mm"},meridiemParse:/صبح|شام/,isPM:function(e){return"شام"===e},meridiem:function(e,t,n){return e<12?"صبح":"شام"},calendar:{sameDay:"[اڄ] LT",nextDay:"[سڀاڻي] LT",nextWeek:"dddd [اڳين هفتي تي] LT",lastDay:"[ڪالهه] LT",lastWeek:"[گزريل هفتي] dddd [تي] LT",sameElse:"L"},relativeTime:{future:"%s پوء",past:"%s اڳ",s:"چند سيڪنڊ",ss:"%d سيڪنڊ",m:"هڪ منٽ",mm:"%d منٽ",h:"هڪ ڪلاڪ",hh:"%d ڪلاڪ",d:"هڪ ڏينهن",dd:"%d ڏينهن",M:"هڪ مهينو",MM:"%d مهينا",y:"هڪ سال",yy:"%d سال"},preparse:function(e){return e.replace(/،/g,",")},postformat:function(e){return e.replace(/,/g,"،")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("se",{months:"ođđajagemánnu_guovvamánnu_njukčamánnu_cuoŋománnu_miessemánnu_geassemánnu_suoidnemánnu_borgemánnu_čakčamánnu_golggotmánnu_skábmamánnu_juovlamánnu".split("_"),monthsShort:"ođđj_guov_njuk_cuo_mies_geas_suoi_borg_čakč_golg_skáb_juov".split("_"),weekdays:"sotnabeaivi_vuossárga_maŋŋebárga_gaskavahkku_duorastat_bearjadat_lávvardat".split("_"),weekdaysShort:"sotn_vuos_maŋ_gask_duor_bear_láv".split("_"),weekdaysMin:"s_v_m_g_d_b_L".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"MMMM D. [b.] YYYY",LLL:"MMMM D. [b.] YYYY [ti.] HH:mm",LLLL:"dddd, MMMM D. [b.] YYYY [ti.] HH:mm"},calendar:{sameDay:"[otne ti] LT",nextDay:"[ihttin ti] LT",nextWeek:"dddd [ti] LT",lastDay:"[ikte ti] LT",lastWeek:"[ovddit] dddd [ti] LT",sameElse:"L"},relativeTime:{future:"%s geažes",past:"maŋit %s",s:"moadde sekunddat",ss:"%d sekunddat",m:"okta minuhta",mm:"%d minuhtat",h:"okta diimmu",hh:"%d diimmut",d:"okta beaivi",dd:"%d beaivvit",M:"okta mánnu",MM:"%d mánut",y:"okta jahki",yy:"%d jagit"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("si",{months:"ජනවාරි_පෙබරවාරි_මාර්තු_අප්‍රේල්_මැයි_ජූනි_ජූලි_අගෝස්තු_සැප්තැම්බර්_ඔක්තෝබර්_නොවැම්බර්_දෙසැම්බර්".split("_"),monthsShort:"ජන_පෙබ_මාර්_අප්_මැයි_ජූනි_ජූලි_අගෝ_සැප්_ඔක්_නොවැ_දෙසැ".split("_"),weekdays:"ඉරිදා_සඳුදා_අඟහරුවාදා_බදාදා_බ්‍රහස්පතින්දා_සිකුරාදා_සෙනසුරාදා".split("_"),weekdaysShort:"ඉරි_සඳු_අඟ_බදා_බ්‍රහ_සිකු_සෙන".split("_"),weekdaysMin:"ඉ_ස_අ_බ_බ්‍ර_සි_සෙ".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"a h:mm",LTS:"a h:mm:ss",L:"YYYY/MM/DD",LL:"YYYY MMMM D",LLL:"YYYY MMMM D, a h:mm",LLLL:"YYYY MMMM D [වැනි] dddd, a h:mm:ss"},calendar:{sameDay:"[අද] LT[ට]",nextDay:"[හෙට] LT[ට]",nextWeek:"dddd LT[ට]",lastDay:"[ඊයේ] LT[ට]",lastWeek:"[පසුගිය] dddd LT[ට]",sameElse:"L"},relativeTime:{future:"%sකින්",past:"%sකට පෙර",s:"තත්පර කිහිපය",ss:"තත්පර %d",m:"මිනිත්තුව",mm:"මිනිත්තු %d",h:"පැය",hh:"පැය %d",d:"දිනය",dd:"දින %d",M:"මාසය",MM:"මාස %d",y:"වසර",yy:"වසර %d"},dayOfMonthOrdinalParse:/\d{1,2} වැනි/,ordinal:function(e){return e+" වැනි"},meridiemParse:/පෙර වරු|පස් වරු|පෙ.ව|ප.ව./,isPM:function(e){return"ප.ව."===e||"පස් වරු"===e},meridiem:function(e,t,n){return e>11?n?"ප.ව.":"පස් වරු":n?"පෙ.ව.":"පෙර වරු"}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="január_február_marec_apríl_máj_jún_júl_august_september_október_november_december".split("_"),n="jan_feb_mar_apr_máj_jún_júl_aug_sep_okt_nov_dec".split("_");function r(e){return e>1&&e<5}function o(e,t,n,o){var i=e+" ";switch(n){case"s":return t||o?"pár sekúnd":"pár sekundami";case"ss":return t||o?i+(r(e)?"sekundy":"sekúnd"):i+"sekundami";case"m":return t?"minúta":o?"minútu":"minútou";case"mm":return t||o?i+(r(e)?"minúty":"minút"):i+"minútami";case"h":return t?"hodina":o?"hodinu":"hodinou";case"hh":return t||o?i+(r(e)?"hodiny":"hodín"):i+"hodinami";case"d":return t||o?"deň":"dňom";case"dd":return t||o?i+(r(e)?"dni":"dní"):i+"dňami";case"M":return t||o?"mesiac":"mesiacom";case"MM":return t||o?i+(r(e)?"mesiace":"mesiacov"):i+"mesiacmi";case"y":return t||o?"rok":"rokom";case"yy":return t||o?i+(r(e)?"roky":"rokov"):i+"rokmi"}}e.defineLocale("sk",{months:t,monthsShort:n,weekdays:"nedeľa_pondelok_utorok_streda_štvrtok_piatok_sobota".split("_"),weekdaysShort:"ne_po_ut_st_št_pi_so".split("_"),weekdaysMin:"ne_po_ut_st_št_pi_so".split("_"),longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd D. MMMM YYYY H:mm"},calendar:{sameDay:"[dnes o] LT",nextDay:"[zajtra o] LT",nextWeek:function(){switch(this.day()){case 0:return"[v nedeľu o] LT";case 1:case 2:return"[v] dddd [o] LT";case 3:return"[v stredu o] LT";case 4:return"[vo štvrtok o] LT";case 5:return"[v piatok o] LT";case 6:return"[v sobotu o] LT"}},lastDay:"[včera o] LT",lastWeek:function(){switch(this.day()){case 0:return"[minulú nedeľu o] LT";case 1:case 2:return"[minulý] dddd [o] LT";case 3:return"[minulú stredu o] LT";case 4:case 5:return"[minulý] dddd [o] LT";case 6:return"[minulú sobotu o] LT"}},sameElse:"L"},relativeTime:{future:"za %s",past:"pred %s",s:o,ss:o,m:o,mm:o,h:o,hh:o,d:o,dd:o,M:o,MM:o,y:o,yy:o},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o=e+" ";switch(n){case"s":return t||r?"nekaj sekund":"nekaj sekundami";case"ss":return o+=1===e?t?"sekundo":"sekundi":2===e?t||r?"sekundi":"sekundah":e<5?t||r?"sekunde":"sekundah":"sekund";case"m":return t?"ena minuta":"eno minuto";case"mm":return o+=1===e?t?"minuta":"minuto":2===e?t||r?"minuti":"minutama":e<5?t||r?"minute":"minutami":t||r?"minut":"minutami";case"h":return t?"ena ura":"eno uro";case"hh":return o+=1===e?t?"ura":"uro":2===e?t||r?"uri":"urama":e<5?t||r?"ure":"urami":t||r?"ur":"urami";case"d":return t||r?"en dan":"enim dnem";case"dd":return o+=1===e?t||r?"dan":"dnem":2===e?t||r?"dni":"dnevoma":t||r?"dni":"dnevi";case"M":return t||r?"en mesec":"enim mesecem";case"MM":return o+=1===e?t||r?"mesec":"mesecem":2===e?t||r?"meseca":"mesecema":e<5?t||r?"mesece":"meseci":t||r?"mesecev":"meseci";case"y":return t||r?"eno leto":"enim letom";case"yy":return o+=1===e?t||r?"leto":"letom":2===e?t||r?"leti":"letoma":e<5?t||r?"leta":"leti":t||r?"let":"leti"}}e.defineLocale("sl",{months:"januar_februar_marec_april_maj_junij_julij_avgust_september_oktober_november_december".split("_"),monthsShort:"jan._feb._mar._apr._maj._jun._jul._avg._sep._okt._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"nedelja_ponedeljek_torek_sreda_četrtek_petek_sobota".split("_"),weekdaysShort:"ned._pon._tor._sre._čet._pet._sob.".split("_"),weekdaysMin:"ne_po_to_sr_če_pe_so".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[danes ob] LT",nextDay:"[jutri ob] LT",nextWeek:function(){switch(this.day()){case 0:return"[v] [nedeljo] [ob] LT";case 3:return"[v] [sredo] [ob] LT";case 6:return"[v] [soboto] [ob] LT";case 1:case 2:case 4:case 5:return"[v] dddd [ob] LT"}},lastDay:"[včeraj ob] LT",lastWeek:function(){switch(this.day()){case 0:return"[prejšnjo] [nedeljo] [ob] LT";case 3:return"[prejšnjo] [sredo] [ob] LT";case 6:return"[prejšnjo] [soboto] [ob] LT";case 1:case 2:case 4:case 5:return"[prejšnji] dddd [ob] LT"}},sameElse:"L"},relativeTime:{future:"čez %s",past:"pred %s",s:t,ss:t,m:t,mm:t,h:t,hh:t,d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("sq",{months:"Janar_Shkurt_Mars_Prill_Maj_Qershor_Korrik_Gusht_Shtator_Tetor_Nëntor_Dhjetor".split("_"),monthsShort:"Jan_Shk_Mar_Pri_Maj_Qer_Kor_Gus_Sht_Tet_Nën_Dhj".split("_"),weekdays:"E Diel_E Hënë_E Martë_E Mërkurë_E Enjte_E Premte_E Shtunë".split("_"),weekdaysShort:"Die_Hën_Mar_Mër_Enj_Pre_Sht".split("_"),weekdaysMin:"D_H_Ma_Më_E_P_Sh".split("_"),weekdaysParseExact:!0,meridiemParse:/PD|MD/,isPM:function(e){return"M"===e.charAt(0)},meridiem:function(e,t,n){return e<12?"PD":"MD"},longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Sot në] LT",nextDay:"[Nesër në] LT",nextWeek:"dddd [në] LT",lastDay:"[Dje në] LT",lastWeek:"dddd [e kaluar në] LT",sameElse:"L"},relativeTime:{future:"në %s",past:"%s më parë",s:"disa sekonda",ss:"%d sekonda",m:"një minutë",mm:"%d minuta",h:"një orë",hh:"%d orë",d:"një ditë",dd:"%d ditë",M:"një muaj",MM:"%d muaj",y:"një vit",yy:"%d vite"},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={words:{ss:["sekunda","sekunde","sekundi"],m:["jedan minut","jedne minute"],mm:["minut","minute","minuta"],h:["jedan sat","jednog sata"],hh:["sat","sata","sati"],dd:["dan","dana","dana"],MM:["mesec","meseca","meseci"],yy:["godina","godine","godina"]},correctGrammaticalCase:function(e,t){return 1===e?t[0]:e>=2&&e<=4?t[1]:t[2]},translate:function(e,n,r){var o=t.words[r];return 1===r.length?n?o[0]:o[1]:e+" "+t.correctGrammaticalCase(e,o)}};e.defineLocale("sr",{months:"januar_februar_mart_april_maj_jun_jul_avgust_septembar_oktobar_novembar_decembar".split("_"),monthsShort:"jan._feb._mar._apr._maj_jun_jul_avg._sep._okt._nov._dec.".split("_"),monthsParseExact:!0,weekdays:"nedelja_ponedeljak_utorak_sreda_četvrtak_petak_subota".split("_"),weekdaysShort:"ned._pon._uto._sre._čet._pet._sub.".split("_"),weekdaysMin:"ne_po_ut_sr_če_pe_su".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[danas u] LT",nextDay:"[sutra u] LT",nextWeek:function(){switch(this.day()){case 0:return"[u] [nedelju] [u] LT";case 3:return"[u] [sredu] [u] LT";case 6:return"[u] [subotu] [u] LT";case 1:case 2:case 4:case 5:return"[u] dddd [u] LT"}},lastDay:"[juče u] LT",lastWeek:function(){return["[prošle] [nedelje] [u] LT","[prošlog] [ponedeljka] [u] LT","[prošlog] [utorka] [u] LT","[prošle] [srede] [u] LT","[prošlog] [četvrtka] [u] LT","[prošlog] [petka] [u] LT","[prošle] [subote] [u] LT"][this.day()]},sameElse:"L"},relativeTime:{future:"za %s",past:"pre %s",s:"nekoliko sekundi",ss:t.translate,m:t.translate,mm:t.translate,h:t.translate,hh:t.translate,d:"dan",dd:t.translate,M:"mesec",MM:t.translate,y:"godinu",yy:t.translate},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={words:{ss:["секунда","секунде","секунди"],m:["један минут","једне минуте"],mm:["минут","минуте","минута"],h:["један сат","једног сата"],hh:["сат","сата","сати"],dd:["дан","дана","дана"],MM:["месец","месеца","месеци"],yy:["година","године","година"]},correctGrammaticalCase:function(e,t){return 1===e?t[0]:e>=2&&e<=4?t[1]:t[2]},translate:function(e,n,r){var o=t.words[r];return 1===r.length?n?o[0]:o[1]:e+" "+t.correctGrammaticalCase(e,o)}};e.defineLocale("sr-cyrl",{months:"јануар_фебруар_март_април_мај_јун_јул_август_септембар_октобар_новембар_децембар".split("_"),monthsShort:"јан._феб._мар._апр._мај_јун_јул_авг._сеп._окт._нов._дец.".split("_"),monthsParseExact:!0,weekdays:"недеља_понедељак_уторак_среда_четвртак_петак_субота".split("_"),weekdaysShort:"нед._пон._уто._сре._чет._пет._суб.".split("_"),weekdaysMin:"не_по_ут_ср_че_пе_су".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD.MM.YYYY",LL:"D. MMMM YYYY",LLL:"D. MMMM YYYY H:mm",LLLL:"dddd, D. MMMM YYYY H:mm"},calendar:{sameDay:"[данас у] LT",nextDay:"[сутра у] LT",nextWeek:function(){switch(this.day()){case 0:return"[у] [недељу] [у] LT";case 3:return"[у] [среду] [у] LT";case 6:return"[у] [суботу] [у] LT";case 1:case 2:case 4:case 5:return"[у] dddd [у] LT"}},lastDay:"[јуче у] LT",lastWeek:function(){return["[прошле] [недеље] [у] LT","[прошлог] [понедељка] [у] LT","[прошлог] [уторка] [у] LT","[прошле] [среде] [у] LT","[прошлог] [четвртка] [у] LT","[прошлог] [петка] [у] LT","[прошле] [суботе] [у] LT"][this.day()]},sameElse:"L"},relativeTime:{future:"за %s",past:"пре %s",s:"неколико секунди",ss:t.translate,m:t.translate,mm:t.translate,h:t.translate,hh:t.translate,d:"дан",dd:t.translate,M:"месец",MM:t.translate,y:"годину",yy:t.translate},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ss",{months:"Bhimbidvwane_Indlovana_Indlov'lenkhulu_Mabasa_Inkhwekhweti_Inhlaba_Kholwane_Ingci_Inyoni_Imphala_Lweti_Ingongoni".split("_"),monthsShort:"Bhi_Ina_Inu_Mab_Ink_Inh_Kho_Igc_Iny_Imp_Lwe_Igo".split("_"),weekdays:"Lisontfo_Umsombuluko_Lesibili_Lesitsatfu_Lesine_Lesihlanu_Umgcibelo".split("_"),weekdaysShort:"Lis_Umb_Lsb_Les_Lsi_Lsh_Umg".split("_"),weekdaysMin:"Li_Us_Lb_Lt_Ls_Lh_Ug".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendar:{sameDay:"[Namuhla nga] LT",nextDay:"[Kusasa nga] LT",nextWeek:"dddd [nga] LT",lastDay:"[Itolo nga] LT",lastWeek:"dddd [leliphelile] [nga] LT",sameElse:"L"},relativeTime:{future:"nga %s",past:"wenteka nga %s",s:"emizuzwana lomcane",ss:"%d mzuzwana",m:"umzuzu",mm:"%d emizuzu",h:"lihora",hh:"%d emahora",d:"lilanga",dd:"%d emalanga",M:"inyanga",MM:"%d tinyanga",y:"umnyaka",yy:"%d iminyaka"},meridiemParse:/ekuseni|emini|entsambama|ebusuku/,meridiem:function(e,t,n){return e<11?"ekuseni":e<15?"emini":e<19?"entsambama":"ebusuku"},meridiemHour:function(e,t){return 12===e&&(e=0),"ekuseni"===t?e:"emini"===t?e>=11?e:e+12:"entsambama"===t||"ebusuku"===t?0===e?0:e+12:void 0},dayOfMonthOrdinalParse:/\d{1,2}/,ordinal:"%d",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("sv",{months:"januari_februari_mars_april_maj_juni_juli_augusti_september_oktober_november_december".split("_"),monthsShort:"jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec".split("_"),weekdays:"söndag_måndag_tisdag_onsdag_torsdag_fredag_lördag".split("_"),weekdaysShort:"sön_mån_tis_ons_tor_fre_lör".split("_"),weekdaysMin:"sö_må_ti_on_to_fr_lö".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"D MMMM YYYY",LLL:"D MMMM YYYY [kl.] HH:mm",LLLL:"dddd D MMMM YYYY [kl.] HH:mm",lll:"D MMM YYYY HH:mm",llll:"ddd D MMM YYYY HH:mm"},calendar:{sameDay:"[Idag] LT",nextDay:"[Imorgon] LT",lastDay:"[Igår] LT",nextWeek:"[På] dddd LT",lastWeek:"[I] dddd[s] LT",sameElse:"L"},relativeTime:{future:"om %s",past:"för %s sedan",s:"några sekunder",ss:"%d sekunder",m:"en minut",mm:"%d minuter",h:"en timme",hh:"%d timmar",d:"en dag",dd:"%d dagar",M:"en månad",MM:"%d månader",y:"ett år",yy:"%d år"},dayOfMonthOrdinalParse:/\d{1,2}(e|a)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"e":1===t||2===t?"a":"e")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("sw",{months:"Januari_Februari_Machi_Aprili_Mei_Juni_Julai_Agosti_Septemba_Oktoba_Novemba_Desemba".split("_"),monthsShort:"Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ago_Sep_Okt_Nov_Des".split("_"),weekdays:"Jumapili_Jumatatu_Jumanne_Jumatano_Alhamisi_Ijumaa_Jumamosi".split("_"),weekdaysShort:"Jpl_Jtat_Jnne_Jtan_Alh_Ijm_Jmos".split("_"),weekdaysMin:"J2_J3_J4_J5_Al_Ij_J1".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[leo saa] LT",nextDay:"[kesho saa] LT",nextWeek:"[wiki ijayo] dddd [saat] LT",lastDay:"[jana] LT",lastWeek:"[wiki iliyopita] dddd [saat] LT",sameElse:"L"},relativeTime:{future:"%s baadaye",past:"tokea %s",s:"hivi punde",ss:"sekunde %d",m:"dakika moja",mm:"dakika %d",h:"saa limoja",hh:"masaa %d",d:"siku moja",dd:"masiku %d",M:"mwezi mmoja",MM:"miezi %d",y:"mwaka mmoja",yy:"miaka %d"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"௧",2:"௨",3:"௩",4:"௪",5:"௫",6:"௬",7:"௭",8:"௮",9:"௯",0:"௦"},n={"௧":"1","௨":"2","௩":"3","௪":"4","௫":"5","௬":"6","௭":"7","௮":"8","௯":"9","௦":"0"};e.defineLocale("ta",{months:"ஜனவரி_பிப்ரவரி_மார்ச்_ஏப்ரல்_மே_ஜூன்_ஜூலை_ஆகஸ்ட்_செப்டெம்பர்_அக்டோபர்_நவம்பர்_டிசம்பர்".split("_"),monthsShort:"ஜனவரி_பிப்ரவரி_மார்ச்_ஏப்ரல்_மே_ஜூன்_ஜூலை_ஆகஸ்ட்_செப்டெம்பர்_அக்டோபர்_நவம்பர்_டிசம்பர்".split("_"),weekdays:"ஞாயிற்றுக்கிழமை_திங்கட்கிழமை_செவ்வாய்கிழமை_புதன்கிழமை_வியாழக்கிழமை_வெள்ளிக்கிழமை_சனிக்கிழமை".split("_"),weekdaysShort:"ஞாயிறு_திங்கள்_செவ்வாய்_புதன்_வியாழன்_வெள்ளி_சனி".split("_"),weekdaysMin:"ஞா_தி_செ_பு_வி_வெ_ச".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, HH:mm",LLLL:"dddd, D MMMM YYYY, HH:mm"},calendar:{sameDay:"[இன்று] LT",nextDay:"[நாளை] LT",nextWeek:"dddd, LT",lastDay:"[நேற்று] LT",lastWeek:"[கடந்த வாரம்] dddd, LT",sameElse:"L"},relativeTime:{future:"%s இல்",past:"%s முன்",s:"ஒரு சில விநாடிகள்",ss:"%d விநாடிகள்",m:"ஒரு நிமிடம்",mm:"%d நிமிடங்கள்",h:"ஒரு மணி நேரம்",hh:"%d மணி நேரம்",d:"ஒரு நாள்",dd:"%d நாட்கள்",M:"ஒரு மாதம்",MM:"%d மாதங்கள்",y:"ஒரு வருடம்",yy:"%d ஆண்டுகள்"},dayOfMonthOrdinalParse:/\d{1,2}வது/,ordinal:function(e){return e+"வது"},preparse:function(e){return e.replace(/[௧௨௩௪௫௬௭௮௯௦]/g,(function(e){return n[e]}))},postformat:function(e){return e.replace(/\d/g,(function(e){return t[e]}))},meridiemParse:/யாமம்|வைகறை|காலை|நண்பகல்|எற்பாடு|மாலை/,meridiem:function(e,t,n){return e<2?" யாமம்":e<6?" வைகறை":e<10?" காலை":e<14?" நண்பகல்":e<18?" எற்பாடு":e<22?" மாலை":" யாமம்"},meridiemHour:function(e,t){return 12===e&&(e=0),"யாமம்"===t?e<2?e:e+12:"வைகறை"===t||"காலை"===t||"நண்பகல்"===t&&e>=10?e:e+12},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("te",{months:"జనవరి_ఫిబ్రవరి_మార్చి_ఏప్రిల్_మే_జూన్_జులై_ఆగస్టు_సెప్టెంబర్_అక్టోబర్_నవంబర్_డిసెంబర్".split("_"),monthsShort:"జన._ఫిబ్ర._మార్చి_ఏప్రి._మే_జూన్_జులై_ఆగ._సెప్._అక్టో._నవ._డిసె.".split("_"),monthsParseExact:!0,weekdays:"ఆదివారం_సోమవారం_మంగళవారం_బుధవారం_గురువారం_శుక్రవారం_శనివారం".split("_"),weekdaysShort:"ఆది_సోమ_మంగళ_బుధ_గురు_శుక్ర_శని".split("_"),weekdaysMin:"ఆ_సో_మం_బు_గు_శు_శ".split("_"),longDateFormat:{LT:"A h:mm",LTS:"A h:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY, A h:mm",LLLL:"dddd, D MMMM YYYY, A h:mm"},calendar:{sameDay:"[నేడు] LT",nextDay:"[రేపు] LT",nextWeek:"dddd, LT",lastDay:"[నిన్న] LT",lastWeek:"[గత] dddd, LT",sameElse:"L"},relativeTime:{future:"%s లో",past:"%s క్రితం",s:"కొన్ని క్షణాలు",ss:"%d సెకన్లు",m:"ఒక నిమిషం",mm:"%d నిమిషాలు",h:"ఒక గంట",hh:"%d గంటలు",d:"ఒక రోజు",dd:"%d రోజులు",M:"ఒక నెల",MM:"%d నెలలు",y:"ఒక సంవత్సరం",yy:"%d సంవత్సరాలు"},dayOfMonthOrdinalParse:/\d{1,2}వ/,ordinal:"%dవ",meridiemParse:/రాత్రి|ఉదయం|మధ్యాహ్నం|సాయంత్రం/,meridiemHour:function(e,t){return 12===e&&(e=0),"రాత్రి"===t?e<4?e:e+12:"ఉదయం"===t?e:"మధ్యాహ్నం"===t?e>=10?e:e+12:"సాయంత్రం"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"రాత్రి":e<10?"ఉదయం":e<17?"మధ్యాహ్నం":e<20?"సాయంత్రం":"రాత్రి"},week:{dow:0,doy:6}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("tet",{months:"Janeiru_Fevereiru_Marsu_Abril_Maiu_Juñu_Jullu_Agustu_Setembru_Outubru_Novembru_Dezembru".split("_"),monthsShort:"Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez".split("_"),weekdays:"Domingu_Segunda_Tersa_Kuarta_Kinta_Sesta_Sabadu".split("_"),weekdaysShort:"Dom_Seg_Ters_Kua_Kint_Sest_Sab".split("_"),weekdaysMin:"Do_Seg_Te_Ku_Ki_Ses_Sa".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Ohin iha] LT",nextDay:"[Aban iha] LT",nextWeek:"dddd [iha] LT",lastDay:"[Horiseik iha] LT",lastWeek:"dddd [semana kotuk] [iha] LT",sameElse:"L"},relativeTime:{future:"iha %s",past:"%s liuba",s:"minutu balun",ss:"minutu %d",m:"minutu ida",mm:"minutu %d",h:"oras ida",hh:"oras %d",d:"loron ida",dd:"loron %d",M:"fulan ida",MM:"fulan %d",y:"tinan ida",yy:"tinan %d"},dayOfMonthOrdinalParse:/\d{1,2}(st|nd|rd|th)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={0:"-ум",1:"-ум",2:"-юм",3:"-юм",4:"-ум",5:"-ум",6:"-ум",7:"-ум",8:"-ум",9:"-ум",10:"-ум",12:"-ум",13:"-ум",20:"-ум",30:"-юм",40:"-ум",50:"-ум",60:"-ум",70:"-ум",80:"-ум",90:"-ум",100:"-ум"};e.defineLocale("tg",{months:"январ_феврал_март_апрел_май_июн_июл_август_сентябр_октябр_ноябр_декабр".split("_"),monthsShort:"янв_фев_мар_апр_май_июн_июл_авг_сен_окт_ноя_дек".split("_"),weekdays:"якшанбе_душанбе_сешанбе_чоршанбе_панҷшанбе_ҷумъа_шанбе".split("_"),weekdaysShort:"яшб_дшб_сшб_чшб_пшб_ҷум_шнб".split("_"),weekdaysMin:"яш_дш_сш_чш_пш_ҷм_шб".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[Имрӯз соати] LT",nextDay:"[Пагоҳ соати] LT",lastDay:"[Дирӯз соати] LT",nextWeek:"dddd[и] [ҳафтаи оянда соати] LT",lastWeek:"dddd[и] [ҳафтаи гузашта соати] LT",sameElse:"L"},relativeTime:{future:"баъди %s",past:"%s пеш",s:"якчанд сония",m:"як дақиқа",mm:"%d дақиқа",h:"як соат",hh:"%d соат",d:"як рӯз",dd:"%d рӯз",M:"як моҳ",MM:"%d моҳ",y:"як сол",yy:"%d сол"},meridiemParse:/шаб|субҳ|рӯз|бегоҳ/,meridiemHour:function(e,t){return 12===e&&(e=0),"шаб"===t?e<4?e:e+12:"субҳ"===t?e:"рӯз"===t?e>=11?e:e+12:"бегоҳ"===t?e+12:void 0},meridiem:function(e,t,n){return e<4?"шаб":e<11?"субҳ":e<16?"рӯз":e<19?"бегоҳ":"шаб"},dayOfMonthOrdinalParse:/\d{1,2}-(ум|юм)/,ordinal:function(e){return e+(t[e]||t[e%10]||t[e>=100?100:null])},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("th",{months:"มกราคม_กุมภาพันธ์_มีนาคม_เมษายน_พฤษภาคม_มิถุนายน_กรกฎาคม_สิงหาคม_กันยายน_ตุลาคม_พฤศจิกายน_ธันวาคม".split("_"),monthsShort:"ม.ค._ก.พ._มี.ค._เม.ย._พ.ค._มิ.ย._ก.ค._ส.ค._ก.ย._ต.ค._พ.ย._ธ.ค.".split("_"),monthsParseExact:!0,weekdays:"อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัสบดี_ศุกร์_เสาร์".split("_"),weekdaysShort:"อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัส_ศุกร์_เสาร์".split("_"),weekdaysMin:"อา._จ._อ._พ._พฤ._ศ._ส.".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"H:mm",LTS:"H:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY เวลา H:mm",LLLL:"วันddddที่ D MMMM YYYY เวลา H:mm"},meridiemParse:/ก่อนเที่ยง|หลังเที่ยง/,isPM:function(e){return"หลังเที่ยง"===e},meridiem:function(e,t,n){return e<12?"ก่อนเที่ยง":"หลังเที่ยง"},calendar:{sameDay:"[วันนี้ เวลา] LT",nextDay:"[พรุ่งนี้ เวลา] LT",nextWeek:"dddd[หน้า เวลา] LT",lastDay:"[เมื่อวานนี้ เวลา] LT",lastWeek:"[วัน]dddd[ที่แล้ว เวลา] LT",sameElse:"L"},relativeTime:{future:"อีก %s",past:"%sที่แล้ว",s:"ไม่กี่วินาที",ss:"%d วินาที",m:"1 นาที",mm:"%d นาที",h:"1 ชั่วโมง",hh:"%d ชั่วโมง",d:"1 วัน",dd:"%d วัน",M:"1 เดือน",MM:"%d เดือน",y:"1 ปี",yy:"%d ปี"}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("tl-ph",{months:"Enero_Pebrero_Marso_Abril_Mayo_Hunyo_Hulyo_Agosto_Setyembre_Oktubre_Nobyembre_Disyembre".split("_"),monthsShort:"Ene_Peb_Mar_Abr_May_Hun_Hul_Ago_Set_Okt_Nob_Dis".split("_"),weekdays:"Linggo_Lunes_Martes_Miyerkules_Huwebes_Biyernes_Sabado".split("_"),weekdaysShort:"Lin_Lun_Mar_Miy_Huw_Biy_Sab".split("_"),weekdaysMin:"Li_Lu_Ma_Mi_Hu_Bi_Sab".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"MM/D/YYYY",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY HH:mm",LLLL:"dddd, MMMM DD, YYYY HH:mm"},calendar:{sameDay:"LT [ngayong araw]",nextDay:"[Bukas ng] LT",nextWeek:"LT [sa susunod na] dddd",lastDay:"LT [kahapon]",lastWeek:"LT [noong nakaraang] dddd",sameElse:"L"},relativeTime:{future:"sa loob ng %s",past:"%s ang nakalipas",s:"ilang segundo",ss:"%d segundo",m:"isang minuto",mm:"%d minuto",h:"isang oras",hh:"%d oras",d:"isang araw",dd:"%d araw",M:"isang buwan",MM:"%d buwan",y:"isang taon",yy:"%d taon"},dayOfMonthOrdinalParse:/\d{1,2}/,ordinal:function(e){return e},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t="pagh_wa’_cha’_wej_loS_vagh_jav_Soch_chorgh_Hut".split("_");function n(e,n,r,o){var i=function(e){var n=Math.floor(e%1e3/100),r=Math.floor(e%100/10),o=e%10,i="";return n>0&&(i+=t[n]+"vatlh"),r>0&&(i+=(""!==i?" ":"")+t[r]+"maH"),o>0&&(i+=(""!==i?" ":"")+t[o]),""===i?"pagh":i}(e);switch(r){case"ss":return i+" lup";case"mm":return i+" tup";case"hh":return i+" rep";case"dd":return i+" jaj";case"MM":return i+" jar";case"yy":return i+" DIS"}}e.defineLocale("tlh",{months:"tera’ jar wa’_tera’ jar cha’_tera’ jar wej_tera’ jar loS_tera’ jar vagh_tera’ jar jav_tera’ jar Soch_tera’ jar chorgh_tera’ jar Hut_tera’ jar wa’maH_tera’ jar wa’maH wa’_tera’ jar wa’maH cha’".split("_"),monthsShort:"jar wa’_jar cha’_jar wej_jar loS_jar vagh_jar jav_jar Soch_jar chorgh_jar Hut_jar wa’maH_jar wa’maH wa’_jar wa’maH cha’".split("_"),monthsParseExact:!0,weekdays:"lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj".split("_"),weekdaysShort:"lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj".split("_"),weekdaysMin:"lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[DaHjaj] LT",nextDay:"[wa’leS] LT",nextWeek:"LLL",lastDay:"[wa’Hu’] LT",lastWeek:"LLL",sameElse:"L"},relativeTime:{future:function(e){var t=e;return t=-1!==e.indexOf("jaj")?t.slice(0,-3)+"leS":-1!==e.indexOf("jar")?t.slice(0,-3)+"waQ":-1!==e.indexOf("DIS")?t.slice(0,-3)+"nem":t+" pIq"},past:function(e){var t=e;return t=-1!==e.indexOf("jaj")?t.slice(0,-3)+"Hu’":-1!==e.indexOf("jar")?t.slice(0,-3)+"wen":-1!==e.indexOf("DIS")?t.slice(0,-3)+"ben":t+" ret"},s:"puS lup",ss:n,m:"wa’ tup",mm:n,h:"wa’ rep",hh:n,d:"wa’ jaj",dd:n,M:"wa’ jar",MM:n,y:"wa’ DIS",yy:n},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";var t={1:"'inci",5:"'inci",8:"'inci",70:"'inci",80:"'inci",2:"'nci",7:"'nci",20:"'nci",50:"'nci",3:"'üncü",4:"'üncü",100:"'üncü",6:"'ncı",9:"'uncu",10:"'uncu",30:"'uncu",60:"'ıncı",90:"'ıncı"};e.defineLocale("tr",{months:"Ocak_Şubat_Mart_Nisan_Mayıs_Haziran_Temmuz_Ağustos_Eylül_Ekim_Kasım_Aralık".split("_"),monthsShort:"Oca_Şub_Mar_Nis_May_Haz_Tem_Ağu_Eyl_Eki_Kas_Ara".split("_"),weekdays:"Pazar_Pazartesi_Salı_Çarşamba_Perşembe_Cuma_Cumartesi".split("_"),weekdaysShort:"Paz_Pts_Sal_Çar_Per_Cum_Cts".split("_"),weekdaysMin:"Pz_Pt_Sa_Ça_Pe_Cu_Ct".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[bugün saat] LT",nextDay:"[yarın saat] LT",nextWeek:"[gelecek] dddd [saat] LT",lastDay:"[dün] LT",lastWeek:"[geçen] dddd [saat] LT",sameElse:"L"},relativeTime:{future:"%s sonra",past:"%s önce",s:"birkaç saniye",ss:"%d saniye",m:"bir dakika",mm:"%d dakika",h:"bir saat",hh:"%d saat",d:"bir gün",dd:"%d gün",M:"bir ay",MM:"%d ay",y:"bir yıl",yy:"%d yıl"},ordinal:function(e,n){switch(n){case"d":case"D":case"Do":case"DD":return e;default:if(0===e)return e+"'ıncı";var r=e%10;return e+(t[r]||t[e%100-r]||t[e>=100?100:null])}},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n,r){var o={s:["viensas secunds","'iensas secunds"],ss:[e+" secunds",e+" secunds"],m:["'n míut","'iens míut"],mm:[e+" míuts",e+" míuts"],h:["'n þora","'iensa þora"],hh:[e+" þoras",e+" þoras"],d:["'n ziua","'iensa ziua"],dd:[e+" ziuas",e+" ziuas"],M:["'n mes","'iens mes"],MM:[e+" mesen",e+" mesen"],y:["'n ar","'iens ar"],yy:[e+" ars",e+" ars"]};return r||t?o[n][0]:o[n][1]}e.defineLocale("tzl",{months:"Januar_Fevraglh_Març_Avrïu_Mai_Gün_Julia_Guscht_Setemvar_Listopäts_Noemvar_Zecemvar".split("_"),monthsShort:"Jan_Fev_Mar_Avr_Mai_Gün_Jul_Gus_Set_Lis_Noe_Zec".split("_"),weekdays:"Súladi_Lúneçi_Maitzi_Márcuri_Xhúadi_Viénerçi_Sáturi".split("_"),weekdaysShort:"Súl_Lún_Mai_Már_Xhú_Vié_Sát".split("_"),weekdaysMin:"Sú_Lú_Ma_Má_Xh_Vi_Sá".split("_"),longDateFormat:{LT:"HH.mm",LTS:"HH.mm.ss",L:"DD.MM.YYYY",LL:"D. MMMM [dallas] YYYY",LLL:"D. MMMM [dallas] YYYY HH.mm",LLLL:"dddd, [li] D. MMMM [dallas] YYYY HH.mm"},meridiemParse:/d\'o|d\'a/i,isPM:function(e){return"d'o"===e.toLowerCase()},meridiem:function(e,t,n){return e>11?n?"d'o":"D'O":n?"d'a":"D'A"},calendar:{sameDay:"[oxhi à] LT",nextDay:"[demà à] LT",nextWeek:"dddd [à] LT",lastDay:"[ieiri à] LT",lastWeek:"[sür el] dddd [lasteu à] LT",sameElse:"L"},relativeTime:{future:"osprei %s",past:"ja%s",s:t,ss:t,m:t,mm:t,h:t,hh:t,d:t,dd:t,M:t,MM:t,y:t,yy:t},dayOfMonthOrdinalParse:/\d{1,2}\./,ordinal:"%d.",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("tzm",{months:"ⵉⵏⵏⴰⵢⵔ_ⴱⵕⴰⵢⵕ_ⵎⴰⵕⵚ_ⵉⴱⵔⵉⵔ_ⵎⴰⵢⵢⵓ_ⵢⵓⵏⵢⵓ_ⵢⵓⵍⵢⵓⵣ_ⵖⵓⵛⵜ_ⵛⵓⵜⴰⵏⴱⵉⵔ_ⴽⵟⵓⴱⵕ_ⵏⵓⵡⴰⵏⴱⵉⵔ_ⴷⵓⵊⵏⴱⵉⵔ".split("_"),monthsShort:"ⵉⵏⵏⴰⵢⵔ_ⴱⵕⴰⵢⵕ_ⵎⴰⵕⵚ_ⵉⴱⵔⵉⵔ_ⵎⴰⵢⵢⵓ_ⵢⵓⵏⵢⵓ_ⵢⵓⵍⵢⵓⵣ_ⵖⵓⵛⵜ_ⵛⵓⵜⴰⵏⴱⵉⵔ_ⴽⵟⵓⴱⵕ_ⵏⵓⵡⴰⵏⴱⵉⵔ_ⴷⵓⵊⵏⴱⵉⵔ".split("_"),weekdays:"ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ".split("_"),weekdaysShort:"ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ".split("_"),weekdaysMin:"ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[ⴰⵙⴷⵅ ⴴ] LT",nextDay:"[ⴰⵙⴽⴰ ⴴ] LT",nextWeek:"dddd [ⴴ] LT",lastDay:"[ⴰⵚⴰⵏⵜ ⴴ] LT",lastWeek:"dddd [ⴴ] LT",sameElse:"L"},relativeTime:{future:"ⴷⴰⴷⵅ ⵙ ⵢⴰⵏ %s",past:"ⵢⴰⵏ %s",s:"ⵉⵎⵉⴽ",ss:"%d ⵉⵎⵉⴽ",m:"ⵎⵉⵏⵓⴺ",mm:"%d ⵎⵉⵏⵓⴺ",h:"ⵙⴰⵄⴰ",hh:"%d ⵜⴰⵙⵙⴰⵄⵉⵏ",d:"ⴰⵙⵙ",dd:"%d oⵙⵙⴰⵏ",M:"ⴰⵢoⵓⵔ",MM:"%d ⵉⵢⵢⵉⵔⵏ",y:"ⴰⵙⴳⴰⵙ",yy:"%d ⵉⵙⴳⴰⵙⵏ"},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("tzm-latn",{months:"innayr_brˤayrˤ_marˤsˤ_ibrir_mayyw_ywnyw_ywlywz_ɣwšt_šwtanbir_ktˤwbrˤ_nwwanbir_dwjnbir".split("_"),monthsShort:"innayr_brˤayrˤ_marˤsˤ_ibrir_mayyw_ywnyw_ywlywz_ɣwšt_šwtanbir_ktˤwbrˤ_nwwanbir_dwjnbir".split("_"),weekdays:"asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas".split("_"),weekdaysShort:"asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas".split("_"),weekdaysMin:"asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd D MMMM YYYY HH:mm"},calendar:{sameDay:"[asdkh g] LT",nextDay:"[aska g] LT",nextWeek:"dddd [g] LT",lastDay:"[assant g] LT",lastWeek:"dddd [g] LT",sameElse:"L"},relativeTime:{future:"dadkh s yan %s",past:"yan %s",s:"imik",ss:"%d imik",m:"minuḍ",mm:"%d minuḍ",h:"saɛa",hh:"%d tassaɛin",d:"ass",dd:"%d ossan",M:"ayowr",MM:"%d iyyirn",y:"asgas",yy:"%d isgasn"},week:{dow:6,doy:12}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("ug-cn",{months:"يانۋار_فېۋرال_مارت_ئاپرېل_ماي_ئىيۇن_ئىيۇل_ئاۋغۇست_سېنتەبىر_ئۆكتەبىر_نويابىر_دېكابىر".split("_"),monthsShort:"يانۋار_فېۋرال_مارت_ئاپرېل_ماي_ئىيۇن_ئىيۇل_ئاۋغۇست_سېنتەبىر_ئۆكتەبىر_نويابىر_دېكابىر".split("_"),weekdays:"يەكشەنبە_دۈشەنبە_سەيشەنبە_چارشەنبە_پەيشەنبە_جۈمە_شەنبە".split("_"),weekdaysShort:"يە_دۈ_سە_چا_پە_جۈ_شە".split("_"),weekdaysMin:"يە_دۈ_سە_چا_پە_جۈ_شە".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY-MM-DD",LL:"YYYY-يىلىM-ئاينىڭD-كۈنى",LLL:"YYYY-يىلىM-ئاينىڭD-كۈنى، HH:mm",LLLL:"dddd، YYYY-يىلىM-ئاينىڭD-كۈنى، HH:mm"},meridiemParse:/يېرىم كېچە|سەھەر|چۈشتىن بۇرۇن|چۈش|چۈشتىن كېيىن|كەچ/,meridiemHour:function(e,t){return 12===e&&(e=0),"يېرىم كېچە"===t||"سەھەر"===t||"چۈشتىن بۇرۇن"===t?e:"چۈشتىن كېيىن"===t||"كەچ"===t?e+12:e>=11?e:e+12},meridiem:function(e,t,n){var r=100*e+t;return r<600?"يېرىم كېچە":r<900?"سەھەر":r<1130?"چۈشتىن بۇرۇن":r<1230?"چۈش":r<1800?"چۈشتىن كېيىن":"كەچ"},calendar:{sameDay:"[بۈگۈن سائەت] LT",nextDay:"[ئەتە سائەت] LT",nextWeek:"[كېلەركى] dddd [سائەت] LT",lastDay:"[تۆنۈگۈن] LT",lastWeek:"[ئالدىنقى] dddd [سائەت] LT",sameElse:"L"},relativeTime:{future:"%s كېيىن",past:"%s بۇرۇن",s:"نەچچە سېكونت",ss:"%d سېكونت",m:"بىر مىنۇت",mm:"%d مىنۇت",h:"بىر سائەت",hh:"%d سائەت",d:"بىر كۈن",dd:"%d كۈن",M:"بىر ئاي",MM:"%d ئاي",y:"بىر يىل",yy:"%d يىل"},dayOfMonthOrdinalParse:/\d{1,2}(-كۈنى|-ئاي|-ھەپتە)/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"-كۈنى";case"w":case"W":return e+"-ھەپتە";default:return e}},preparse:function(e){return e.replace(/،/g,",")},postformat:function(e){return e.replace(/,/g,"،")},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";function t(e,t,n){var r,o;return"m"===n?t?"хвилина":"хвилину":"h"===n?t?"година":"годину":e+" "+(r=+e,o={ss:t?"секунда_секунди_секунд":"секунду_секунди_секунд",mm:t?"хвилина_хвилини_хвилин":"хвилину_хвилини_хвилин",hh:t?"година_години_годин":"годину_години_годин",dd:"день_дні_днів",MM:"місяць_місяці_місяців",yy:"рік_роки_років"}[n].split("_"),r%10==1&&r%100!=11?o[0]:r%10>=2&&r%10<=4&&(r%100<10||r%100>=20)?o[1]:o[2])}function n(e){return function(){return e+"о"+(11===this.hours()?"б":"")+"] LT"}}e.defineLocale("uk",{months:{format:"січня_лютого_березня_квітня_травня_червня_липня_серпня_вересня_жовтня_листопада_грудня".split("_"),standalone:"січень_лютий_березень_квітень_травень_червень_липень_серпень_вересень_жовтень_листопад_грудень".split("_")},monthsShort:"січ_лют_бер_квіт_трав_черв_лип_серп_вер_жовт_лист_груд".split("_"),weekdays:function(e,t){var n={nominative:"неділя_понеділок_вівторок_середа_четвер_п’ятниця_субота".split("_"),accusative:"неділю_понеділок_вівторок_середу_четвер_п’ятницю_суботу".split("_"),genitive:"неділі_понеділка_вівторка_середи_четверга_п’ятниці_суботи".split("_")};return!0===e?n.nominative.slice(1,7).concat(n.nominative.slice(0,1)):e?n[/(\[[ВвУу]\]) ?dddd/.test(t)?"accusative":/\[?(?:минулої|наступної)? ?\] ?dddd/.test(t)?"genitive":"nominative"][e.day()]:n.nominative},weekdaysShort:"нд_пн_вт_ср_чт_пт_сб".split("_"),weekdaysMin:"нд_пн_вт_ср_чт_пт_сб".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD.MM.YYYY",LL:"D MMMM YYYY р.",LLL:"D MMMM YYYY р., HH:mm",LLLL:"dddd, D MMMM YYYY р., HH:mm"},calendar:{sameDay:n("[Сьогодні "),nextDay:n("[Завтра "),lastDay:n("[Вчора "),nextWeek:n("[У] dddd ["),lastWeek:function(){switch(this.day()){case 0:case 3:case 5:case 6:return n("[Минулої] dddd [").call(this);case 1:case 2:case 4:return n("[Минулого] dddd [").call(this)}},sameElse:"L"},relativeTime:{future:"за %s",past:"%s тому",s:"декілька секунд",ss:t,m:t,mm:t,h:"годину",hh:t,d:"день",dd:t,M:"місяць",MM:t,y:"рік",yy:t},meridiemParse:/ночі|ранку|дня|вечора/,isPM:function(e){return/^(дня|вечора)$/.test(e)},meridiem:function(e,t,n){return e<4?"ночі":e<12?"ранку":e<17?"дня":"вечора"},dayOfMonthOrdinalParse:/\d{1,2}-(й|го)/,ordinal:function(e,t){switch(t){case"M":case"d":case"DDD":case"w":case"W":return e+"-й";case"D":return e+"-го";default:return e}},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";var t=["جنوری","فروری","مارچ","اپریل","مئی","جون","جولائی","اگست","ستمبر","اکتوبر","نومبر","دسمبر"],n=["اتوار","پیر","منگل","بدھ","جمعرات","جمعہ","ہفتہ"];e.defineLocale("ur",{months:t,monthsShort:t,weekdays:n,weekdaysShort:n,weekdaysMin:n,longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd، D MMMM YYYY HH:mm"},meridiemParse:/صبح|شام/,isPM:function(e){return"شام"===e},meridiem:function(e,t,n){return e<12?"صبح":"شام"},calendar:{sameDay:"[آج بوقت] LT",nextDay:"[کل بوقت] LT",nextWeek:"dddd [بوقت] LT",lastDay:"[گذشتہ روز بوقت] LT",lastWeek:"[گذشتہ] dddd [بوقت] LT",sameElse:"L"},relativeTime:{future:"%s بعد",past:"%s قبل",s:"چند سیکنڈ",ss:"%d سیکنڈ",m:"ایک منٹ",mm:"%d منٹ",h:"ایک گھنٹہ",hh:"%d گھنٹے",d:"ایک دن",dd:"%d دن",M:"ایک ماہ",MM:"%d ماہ",y:"ایک سال",yy:"%d سال"},preparse:function(e){return e.replace(/،/g,",")},postformat:function(e){return e.replace(/,/g,"،")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("uz",{months:"январ_феврал_март_апрел_май_июн_июл_август_сентябр_октябр_ноябр_декабр".split("_"),monthsShort:"янв_фев_мар_апр_май_июн_июл_авг_сен_окт_ноя_дек".split("_"),weekdays:"Якшанба_Душанба_Сешанба_Чоршанба_Пайшанба_Жума_Шанба".split("_"),weekdaysShort:"Якш_Душ_Сеш_Чор_Пай_Жум_Шан".split("_"),weekdaysMin:"Як_Ду_Се_Чо_Па_Жу_Ша".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"D MMMM YYYY, dddd HH:mm"},calendar:{sameDay:"[Бугун соат] LT [да]",nextDay:"[Эртага] LT [да]",nextWeek:"dddd [куни соат] LT [да]",lastDay:"[Кеча соат] LT [да]",lastWeek:"[Утган] dddd [куни соат] LT [да]",sameElse:"L"},relativeTime:{future:"Якин %s ичида",past:"Бир неча %s олдин",s:"фурсат",ss:"%d фурсат",m:"бир дакика",mm:"%d дакика",h:"бир соат",hh:"%d соат",d:"бир кун",dd:"%d кун",M:"бир ой",MM:"%d ой",y:"бир йил",yy:"%d йил"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("uz-latn",{months:"Yanvar_Fevral_Mart_Aprel_May_Iyun_Iyul_Avgust_Sentabr_Oktabr_Noyabr_Dekabr".split("_"),monthsShort:"Yan_Fev_Mar_Apr_May_Iyun_Iyul_Avg_Sen_Okt_Noy_Dek".split("_"),weekdays:"Yakshanba_Dushanba_Seshanba_Chorshanba_Payshanba_Juma_Shanba".split("_"),weekdaysShort:"Yak_Dush_Sesh_Chor_Pay_Jum_Shan".split("_"),weekdaysMin:"Ya_Du_Se_Cho_Pa_Ju_Sha".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"D MMMM YYYY, dddd HH:mm"},calendar:{sameDay:"[Bugun soat] LT [da]",nextDay:"[Ertaga] LT [da]",nextWeek:"dddd [kuni soat] LT [da]",lastDay:"[Kecha soat] LT [da]",lastWeek:"[O'tgan] dddd [kuni soat] LT [da]",sameElse:"L"},relativeTime:{future:"Yaqin %s ichida",past:"Bir necha %s oldin",s:"soniya",ss:"%d soniya",m:"bir daqiqa",mm:"%d daqiqa",h:"bir soat",hh:"%d soat",d:"bir kun",dd:"%d kun",M:"bir oy",MM:"%d oy",y:"bir yil",yy:"%d yil"},week:{dow:1,doy:7}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("vi",{months:"tháng 1_tháng 2_tháng 3_tháng 4_tháng 5_tháng 6_tháng 7_tháng 8_tháng 9_tháng 10_tháng 11_tháng 12".split("_"),monthsShort:"Th01_Th02_Th03_Th04_Th05_Th06_Th07_Th08_Th09_Th10_Th11_Th12".split("_"),monthsParseExact:!0,weekdays:"chủ nhật_thứ hai_thứ ba_thứ tư_thứ năm_thứ sáu_thứ bảy".split("_"),weekdaysShort:"CN_T2_T3_T4_T5_T6_T7".split("_"),weekdaysMin:"CN_T2_T3_T4_T5_T6_T7".split("_"),weekdaysParseExact:!0,meridiemParse:/sa|ch/i,isPM:function(e){return/^ch$/i.test(e)},meridiem:function(e,t,n){return e<12?n?"sa":"SA":n?"ch":"CH"},longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"DD/MM/YYYY",LL:"D MMMM [năm] YYYY",LLL:"D MMMM [năm] YYYY HH:mm",LLLL:"dddd, D MMMM [năm] YYYY HH:mm",l:"DD/M/YYYY",ll:"D MMM YYYY",lll:"D MMM YYYY HH:mm",llll:"ddd, D MMM YYYY HH:mm"},calendar:{sameDay:"[Hôm nay lúc] LT",nextDay:"[Ngày mai lúc] LT",nextWeek:"dddd [tuần tới lúc] LT",lastDay:"[Hôm qua lúc] LT",lastWeek:"dddd [tuần rồi lúc] LT",sameElse:"L"},relativeTime:{future:"%s tới",past:"%s trước",s:"vài giây",ss:"%d giây",m:"một phút",mm:"%d phút",h:"một giờ",hh:"%d giờ",d:"một ngày",dd:"%d ngày",M:"một tháng",MM:"%d tháng",y:"một năm",yy:"%d năm"},dayOfMonthOrdinalParse:/\d{1,2}/,ordinal:function(e){return e},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("x-pseudo",{months:"J~áñúá~rý_F~ébrú~árý_~Márc~h_Áp~ríl_~Máý_~Júñé~_Júl~ý_Áú~gúst~_Sép~témb~ér_Ó~ctób~ér_Ñ~óvém~bér_~Décé~mbér".split("_"),monthsShort:"J~áñ_~Féb_~Már_~Ápr_~Máý_~Júñ_~Júl_~Áúg_~Sép_~Óct_~Ñóv_~Déc".split("_"),monthsParseExact:!0,weekdays:"S~úñdá~ý_Mó~ñdáý~_Túé~sdáý~_Wéd~ñésd~áý_T~húrs~dáý_~Fríd~áý_S~átúr~dáý".split("_"),weekdaysShort:"S~úñ_~Móñ_~Túé_~Wéd_~Thú_~Frí_~Sát".split("_"),weekdaysMin:"S~ú_Mó~_Tú_~Wé_T~h_Fr~_Sá".split("_"),weekdaysParseExact:!0,longDateFormat:{LT:"HH:mm",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY HH:mm",LLLL:"dddd, D MMMM YYYY HH:mm"},calendar:{sameDay:"[T~ódá~ý át] LT",nextDay:"[T~ómó~rró~w át] LT",nextWeek:"dddd [át] LT",lastDay:"[Ý~ést~érdá~ý át] LT",lastWeek:"[L~ást] dddd [át] LT",sameElse:"L"},relativeTime:{future:"í~ñ %s",past:"%s á~gó",s:"á ~féw ~sécó~ñds",ss:"%d s~écóñ~ds",m:"á ~míñ~úté",mm:"%d m~íñú~tés",h:"á~ñ hó~úr",hh:"%d h~óúrs",d:"á ~dáý",dd:"%d d~áýs",M:"á ~móñ~th",MM:"%d m~óñt~hs",y:"á ~ýéár",yy:"%d ý~éárs"},dayOfMonthOrdinalParse:/\d{1,2}(th|st|nd|rd)/,ordinal:function(e){var t=e%10;return e+(1==~~(e%100/10)?"th":1===t?"st":2===t?"nd":3===t?"rd":"th")},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("yo",{months:"Sẹ́rẹ́_Èrèlè_Ẹrẹ̀nà_Ìgbé_Èbibi_Òkùdu_Agẹmo_Ògún_Owewe_Ọ̀wàrà_Bélú_Ọ̀pẹ̀̀".split("_"),monthsShort:"Sẹ́r_Èrl_Ẹrn_Ìgb_Èbi_Òkù_Agẹ_Ògú_Owe_Ọ̀wà_Bél_Ọ̀pẹ̀̀".split("_"),weekdays:"Àìkú_Ajé_Ìsẹ́gun_Ọjọ́rú_Ọjọ́bọ_Ẹtì_Àbámẹ́ta".split("_"),weekdaysShort:"Àìk_Ajé_Ìsẹ́_Ọjr_Ọjb_Ẹtì_Àbá".split("_"),weekdaysMin:"Àì_Aj_Ìs_Ọr_Ọb_Ẹt_Àb".split("_"),longDateFormat:{LT:"h:mm A",LTS:"h:mm:ss A",L:"DD/MM/YYYY",LL:"D MMMM YYYY",LLL:"D MMMM YYYY h:mm A",LLLL:"dddd, D MMMM YYYY h:mm A"},calendar:{sameDay:"[Ònì ni] LT",nextDay:"[Ọ̀la ni] LT",nextWeek:"dddd [Ọsẹ̀ tón'bọ] [ni] LT",lastDay:"[Àna ni] LT",lastWeek:"dddd [Ọsẹ̀ tólọ́] [ni] LT",sameElse:"L"},relativeTime:{future:"ní %s",past:"%s kọjá",s:"ìsẹjú aayá die",ss:"aayá %d",m:"ìsẹjú kan",mm:"ìsẹjú %d",h:"wákati kan",hh:"wákati %d",d:"ọjọ́ kan",dd:"ọjọ́ %d",M:"osù kan",MM:"osù %d",y:"ọdún kan",yy:"ọdún %d"},dayOfMonthOrdinalParse:/ọjọ́\s\d{1,2}/,ordinal:"ọjọ́ %d",week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("zh-cn",{months:"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月".split("_"),monthsShort:"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月".split("_"),weekdays:"星期日_星期一_星期二_星期三_星期四_星期五_星期六".split("_"),weekdaysShort:"周日_周一_周二_周三_周四_周五_周六".split("_"),weekdaysMin:"日_一_二_三_四_五_六".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY/MM/DD",LL:"YYYY年M月D日",LLL:"YYYY年M月D日Ah点mm分",LLLL:"YYYY年M月D日ddddAh点mm分",l:"YYYY/M/D",ll:"YYYY年M月D日",lll:"YYYY年M月D日 HH:mm",llll:"YYYY年M月D日dddd HH:mm"},meridiemParse:/凌晨|早上|上午|中午|下午|晚上/,meridiemHour:function(e,t){return 12===e&&(e=0),"凌晨"===t||"早上"===t||"上午"===t?e:"下午"===t||"晚上"===t?e+12:e>=11?e:e+12},meridiem:function(e,t,n){var r=100*e+t;return r<600?"凌晨":r<900?"早上":r<1130?"上午":r<1230?"中午":r<1800?"下午":"晚上"},calendar:{sameDay:"[今天]LT",nextDay:"[明天]LT",nextWeek:"[下]ddddLT",lastDay:"[昨天]LT",lastWeek:"[上]ddddLT",sameElse:"L"},dayOfMonthOrdinalParse:/\d{1,2}(日|月|周)/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"日";case"M":return e+"月";case"w":case"W":return e+"周";default:return e}},relativeTime:{future:"%s内",past:"%s前",s:"几秒",ss:"%d 秒",m:"1 分钟",mm:"%d 分钟",h:"1 小时",hh:"%d 小时",d:"1 天",dd:"%d 天",M:"1 个月",MM:"%d 个月",y:"1 年",yy:"%d 年"},week:{dow:1,doy:4}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("zh-hk",{months:"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月".split("_"),monthsShort:"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月".split("_"),weekdays:"星期日_星期一_星期二_星期三_星期四_星期五_星期六".split("_"),weekdaysShort:"週日_週一_週二_週三_週四_週五_週六".split("_"),weekdaysMin:"日_一_二_三_四_五_六".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY/MM/DD",LL:"YYYY年M月D日",LLL:"YYYY年M月D日 HH:mm",LLLL:"YYYY年M月D日dddd HH:mm",l:"YYYY/M/D",ll:"YYYY年M月D日",lll:"YYYY年M月D日 HH:mm",llll:"YYYY年M月D日dddd HH:mm"},meridiemParse:/凌晨|早上|上午|中午|下午|晚上/,meridiemHour:function(e,t){return 12===e&&(e=0),"凌晨"===t||"早上"===t||"上午"===t?e:"中午"===t?e>=11?e:e+12:"下午"===t||"晚上"===t?e+12:void 0},meridiem:function(e,t,n){var r=100*e+t;return r<600?"凌晨":r<900?"早上":r<1130?"上午":r<1230?"中午":r<1800?"下午":"晚上"},calendar:{sameDay:"[今天]LT",nextDay:"[明天]LT",nextWeek:"[下]ddddLT",lastDay:"[昨天]LT",lastWeek:"[上]ddddLT",sameElse:"L"},dayOfMonthOrdinalParse:/\d{1,2}(日|月|週)/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"日";case"M":return e+"月";case"w":case"W":return e+"週";default:return e}},relativeTime:{future:"%s內",past:"%s前",s:"幾秒",ss:"%d 秒",m:"1 分鐘",mm:"%d 分鐘",h:"1 小時",hh:"%d 小時",d:"1 天",dd:"%d 天",M:"1 個月",MM:"%d 個月",y:"1 年",yy:"%d 年"}})}(n(178))},function(e,t,n){!function(e){"use strict";e.defineLocale("zh-tw",{months:"一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月".split("_"),monthsShort:"1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月".split("_"),weekdays:"星期日_星期一_星期二_星期三_星期四_星期五_星期六".split("_"),weekdaysShort:"週日_週一_週二_週三_週四_週五_週六".split("_"),weekdaysMin:"日_一_二_三_四_五_六".split("_"),longDateFormat:{LT:"HH:mm",LTS:"HH:mm:ss",L:"YYYY/MM/DD",LL:"YYYY年M月D日",LLL:"YYYY年M月D日 HH:mm",LLLL:"YYYY年M月D日dddd HH:mm",l:"YYYY/M/D",ll:"YYYY年M月D日",lll:"YYYY年M月D日 HH:mm",llll:"YYYY年M月D日dddd HH:mm"},meridiemParse:/凌晨|早上|上午|中午|下午|晚上/,meridiemHour:function(e,t){return 12===e&&(e=0),"凌晨"===t||"早上"===t||"上午"===t?e:"中午"===t?e>=11?e:e+12:"下午"===t||"晚上"===t?e+12:void 0},meridiem:function(e,t,n){var r=100*e+t;return r<600?"凌晨":r<900?"早上":r<1130?"上午":r<1230?"中午":r<1800?"下午":"晚上"},calendar:{sameDay:"[今天] LT",nextDay:"[明天] LT",nextWeek:"[下]dddd LT",lastDay:"[昨天] LT",lastWeek:"[上]dddd LT",sameElse:"L"},dayOfMonthOrdinalParse:/\d{1,2}(日|月|週)/,ordinal:function(e,t){switch(t){case"d":case"D":case"DDD":return e+"日";case"M":return e+"月";case"w":case"W":return e+"週";default:return e}},relativeTime:{future:"%s內",past:"%s前",s:"幾秒",ss:"%d 秒",m:"1 分鐘",mm:"%d 分鐘",h:"1 小時",hh:"%d 小時",d:"1 天",dd:"%d 天",M:"1 個月",MM:"%d 個月",y:"1 年",yy:"%d 年"}})}(n(178))},function(e,t,n){"use strict";n.r(t),n.d(t,"CellSelection",(function(){return j})),n.d(t,"TableMap",(function(){return p})),n.d(t,"TableView",(function(){return De})),n.d(t,"__clipCells",(function(){return F})),n.d(t,"__insertCells",(function(){return W})),n.d(t,"__pastedCells",(function(){return N})),n.d(t,"addColSpan",(function(){return E})),n.d(t,"addColumn",(function(){return re})),n.d(t,"addColumnAfter",(function(){return ie})),n.d(t,"addColumnBefore",(function(){return oe})),n.d(t,"addRow",(function(){return ce})),n.d(t,"addRowAfter",(function(){return de})),n.d(t,"addRowBefore",(function(){return le})),n.d(t,"cellAround",(function(){return k})),n.d(t,"colCount",(function(){return S})),n.d(t,"columnIsHeader",(function(){return A})),n.d(t,"columnResizing",(function(){return Ye})),n.d(t,"columnResizingPluginKey",(function(){return Se})),n.d(t,"deleteColumn",(function(){return se})),n.d(t,"deleteRow",(function(){return fe})),n.d(t,"deleteTable",(function(){return Te})),n.d(t,"findCell",(function(){return x})),n.d(t,"fixTables",(function(){return te})),n.d(t,"fixTablesKey",(function(){return ee})),n.d(t,"goToNextCell",(function(){return Le})),n.d(t,"handlePaste",(function(){return G})),n.d(t,"inSameTable",(function(){return D})),n.d(t,"isInTable",(function(){return b})),n.d(t,"mergeCells",(function(){return me})),n.d(t,"moveCellForward",(function(){return T})),n.d(t,"nextCell",(function(){return Y})),n.d(t,"pointsAtCell",(function(){return L})),n.d(t,"removeColSpan",(function(){return C})),n.d(t,"removeColumn",(function(){return ae})),n.d(t,"removeRow",(function(){return he})),n.d(t,"rowIsHeader",(function(){return ue})),n.d(t,"selectedRect",(function(){return ne})),n.d(t,"selectionCell",(function(){return w})),n.d(t,"setAttr",(function(){return O})),n.d(t,"setCellAttr",(function(){return ye})),n.d(t,"splitCell",(function(){return _e})),n.d(t,"splitCellWithType",(function(){return ge})),n.d(t,"tableEditing",(function(){return He})),n.d(t,"tableEditingKey",(function(){return M})),n.d(t,"tableNodeTypes",(function(){return v})),n.d(t,"tableNodes",(function(){return y})),n.d(t,"toggleHeader",(function(){return Me})),n.d(t,"toggleHeaderCell",(function(){return we})),n.d(t,"toggleHeaderColumn",(function(){return be})),n.d(t,"toggleHeaderRow",(function(){return ke})),n.d(t,"updateColumnsOnResize",(function(){return xe}));var r,o,i=n(181),a=n(182),s=n(222),u=n(190),c=n(184);if("undefined"!=typeof WeakMap){var l=new WeakMap;r=function(e){return l.get(e)},o=function(e,t){return l.set(e,t),t}}else{var d=[],h=0;r=function(e){for(var t=0;t<d.length;t+=2)if(d[t]==e)return d[t+1]},o=function(e,t){return 10==h&&(h=0),d[h++]=e,d[h++]=t}}var f=function(e,t,n,r){this.left=e,this.top=t,this.right=n,this.bottom=r},p=function(e,t,n,r){this.width=e,this.height=t,this.map=n,this.problems=r};function m(e){if(e.colwidth)return e.colwidth.slice();for(var t=[],n=0;n<e.colspan;n++)t.push(0);return t}function _(e,t){var n=e.getAttribute("data-colwidth"),r=n&&/^\d+(,\d+)*$/.test(n)?n.split(",").map((function(e){return Number(e)})):null,o=Number(e.getAttribute("colspan")||1),i={colspan:o,rowspan:Number(e.getAttribute("rowspan")||1),colwidth:r&&r.length==o?r:null};for(var a in t){var s=t[a].getFromDOM,u=s&&s(e);null!=u&&(i[a]=u)}return i}function g(e,t){var n={};for(var r in 1!=e.attrs.colspan&&(n.colspan=e.attrs.colspan),1!=e.attrs.rowspan&&(n.rowspan=e.attrs.rowspan),e.attrs.colwidth&&(n["data-colwidth"]=e.attrs.colwidth.join(",")),t){var o=t[r].setDOMAttr;o&&o(e.attrs[r],n)}return n}function y(e){var t=e.cellAttributes||{},n={colspan:{default:1},rowspan:{default:1},colwidth:{default:null}};for(var r in t)n[r]={default:t[r].default};return{table:{content:"table_row+",tableRole:"table",isolating:!0,group:e.tableGroup,parseDOM:[{tag:"table"}],toDOM:function(){return["table",["tbody",0]]}},table_row:{content:"(table_cell | table_header)*",tableRole:"row",parseDOM:[{tag:"tr"}],toDOM:function(){return["tr",0]}},table_cell:{content:e.cellContent,attrs:n,tableRole:"cell",isolating:!0,parseDOM:[{tag:"td",getAttrs:function(e){return _(e,t)}}],toDOM:function(e){return["td",g(e,t),0]}},table_header:{content:e.cellContent,attrs:n,tableRole:"header_cell",isolating:!0,parseDOM:[{tag:"th",getAttrs:function(e){return _(e,t)}}],toDOM:function(e){return["th",g(e,t),0]}}}}function v(e){var t=e.cached.tableNodeTypes;if(!t)for(var n in t=e.cached.tableNodeTypes={},e.nodes){var r=e.nodes[n],o=r.spec.tableRole;o&&(t[o]=r)}return t}p.prototype.findCell=function(e){for(var t=0;t<this.map.length;t++){var n=this.map[t];if(n==e){for(var r=t%this.width,o=t/this.width|0,i=r+1,a=o+1,s=1;i<this.width&&this.map[t+s]==n;s++)i++;for(var u=1;a<this.height&&this.map[t+this.width*u]==n;u++)a++;return new f(r,o,i,a)}}throw new RangeError("No cell with offset "+e+" found")},p.prototype.colCount=function(e){for(var t=0;t<this.map.length;t++)if(this.map[t]==e)return t%this.width;throw new RangeError("No cell with offset "+e+" found")},p.prototype.nextCell=function(e,t,n){var r=this.findCell(e),o=r.left,i=r.right,a=r.top,s=r.bottom;return"horiz"==t?(n<0?0==o:i==this.width)?null:this.map[a*this.width+(n<0?o-1:i)]:(n<0?0==a:s==this.height)?null:this.map[o+this.width*(n<0?a-1:s)]},p.prototype.rectBetween=function(e,t){var n=this.findCell(e),r=n.left,o=n.right,i=n.top,a=n.bottom,s=this.findCell(t),u=s.left,c=s.right,l=s.top,d=s.bottom;return new f(Math.min(r,u),Math.min(i,l),Math.max(o,c),Math.max(a,d))},p.prototype.cellsInRect=function(e){for(var t=[],n={},r=e.top;r<e.bottom;r++)for(var o=e.left;o<e.right;o++){var i=r*this.width+o,a=this.map[i];n[a]||(n[a]=!0,o==e.left&&o&&this.map[i-1]==a||r==e.top&&r&&this.map[i-this.width]==a||t.push(a))}return t},p.prototype.positionAt=function(e,t,n){for(var r=0,o=0;;r++){var i=o+n.child(r).nodeSize;if(r==e){for(var a=t+e*this.width,s=(e+1)*this.width;a<s&&this.map[a]<o;)a++;return a==s?i-1:this.map[a]}o=i}},p.get=function(e){return r(e)||o(e,function(e){if("table"!=e.type.spec.tableRole)throw new RangeError("Not a table node: "+e.type.name);for(var t=function(e){for(var t=-1,n=!1,r=0;r<e.childCount;r++){var o=e.child(r),i=0;if(n)for(var a=0;a<r;a++)for(var s=e.child(a),u=0;u<s.childCount;u++){var c=s.child(u);a+c.attrs.rowspan>r&&(i+=c.attrs.colspan)}for(var l=0;l<o.childCount;l++){var d=o.child(l);i+=d.attrs.colspan,d.attrs.rowspan>1&&(n=!0)}-1==t?t=i:t!=i&&(t=Math.max(t,i))}return t}(e),n=e.childCount,r=[],o=0,i=null,a=[],s=0,u=t*n;s<u;s++)r[s]=0;for(var c=0,l=0;c<n;c++){var d=e.child(c);l++;for(var h=0;;h++){for(;o<r.length&&0!=r[o];)o++;if(h==d.childCount)break;for(var f=d.child(h),_=f.attrs,g=_.colspan,y=_.rowspan,v=_.colwidth,M=0;M<y;M++){if(M+c>=n){(i||(i=[])).push({type:"overlong_rowspan",pos:l,n:y-M});break}for(var k=o+M*t,b=0;b<g;b++){0==r[k+b]?r[k+b]=l:(i||(i=[])).push({type:"collision",row:c,pos:l,n:g-b});var w=v&&v[b];if(w){var L=(k+b)%t*2,T=a[L];null==T||T!=w&&1==a[L+1]?(a[L]=w,a[L+1]=1):T==w&&a[L+1]++}}}o+=g,l+=f.nodeSize}for(var D=(c+1)*t,x=0;o<D;)0==r[o++]&&x++;x&&(i||(i=[])).push({type:"missing",row:c,n:x}),l++}for(var S=new p(t,n,r,i),Y=!1,O=0;!Y&&O<a.length;O+=2)null!=a[O]&&a[O+1]<n&&(Y=!0);Y&&function(e,t,n){e.problems||(e.problems=[]);for(var r=0,o={};r<e.map.length;r++){var i=e.map[r];if(!o[i]){o[i]=!0;for(var a=n.nodeAt(i),s=null,u=0;u<a.attrs.colspan;u++){var c=(r+u)%e.width,l=t[2*c];null==l||a.attrs.colwidth&&a.attrs.colwidth[u]==l||((s||(s=m(a.attrs)))[u]=l)}s&&e.problems.unshift({type:"colwidth mismatch",pos:i,colwidth:s})}}}(S,a,e);return S}(e))};var M=new i.PluginKey("selectingCells");function k(e){for(var t=e.depth-1;t>0;t--)if("row"==e.node(t).type.spec.tableRole)return e.node(0).resolve(e.before(t+1));return null}function b(e){for(var t=e.selection.$head,n=t.depth;n>0;n--)if("row"==t.node(n).type.spec.tableRole)return!0;return!1}function w(e){var t=e.selection;return t.$anchorCell?t.$anchorCell.pos>t.$headCell.pos?t.$anchorCell:t.$headCell:t.node&&"cell"==t.node.type.spec.tableRole?t.$anchor:k(t.$head)||function(e){for(var t=e.nodeAfter,n=e.pos;t;t=t.firstChild,n++){var r=t.type.spec.tableRole;if("cell"==r||"header_cell"==r)return e.doc.resolve(n)}for(var o=e.nodeBefore,i=e.pos;o;o=o.lastChild,i--){var a=o.type.spec.tableRole;if("cell"==a||"header_cell"==a)return e.doc.resolve(i-o.nodeSize)}}(t.$head)}function L(e){return"row"==e.parent.type.spec.tableRole&&e.nodeAfter}function T(e){return e.node(0).resolve(e.pos+e.nodeAfter.nodeSize)}function D(e,t){return e.depth==t.depth&&e.pos>=t.start(-1)&&e.pos<=t.end(-1)}function x(e){return p.get(e.node(-1)).findCell(e.pos-e.start(-1))}function S(e){return p.get(e.node(-1)).colCount(e.pos-e.start(-1))}function Y(e,t,n){var r=e.start(-1),o=p.get(e.node(-1)).nextCell(e.pos-r,t,n);return null==o?null:e.node(0).resolve(r+o)}function O(e,t,n){var r={};for(var o in e)r[o]=e[o];return r[t]=n,r}function C(e,t,n){void 0===n&&(n=1);var r=O(e,"colspan",e.colspan-n);return r.colwidth&&(r.colwidth=r.colwidth.slice(),r.colwidth.splice(t,n),r.colwidth.some((function(e){return e>0}))||(r.colwidth=null)),r}function E(e,t,n){void 0===n&&(n=1);var r=O(e,"colspan",e.colspan+n);if(r.colwidth){r.colwidth=r.colwidth.slice();for(var o=0;o<n;o++)r.colwidth.splice(t,0,0)}return r}function A(e,t,n){for(var r=v(t.type.schema).header_cell,o=0;o<e.height;o++)if(t.nodeAt(e.map[n+o*e.width]).type!=r)return!1;return!0}var j=function(e){function t(t,n){void 0===n&&(n=t);var r=t.node(-1),o=p.get(r),a=t.start(-1),s=o.rectBetween(t.pos-a,n.pos-a),u=t.node(0),c=o.cellsInRect(s).filter((function(e){return e!=n.pos-a}));c.unshift(n.pos-a);var l=c.map((function(e){var t=r.nodeAt(e),n=e+a+1;return new i.SelectionRange(u.resolve(n),u.resolve(n+t.content.size))}));e.call(this,l[0].$from,l[0].$to,l),this.$anchorCell=t,this.$headCell=n}return e&&(t.__proto__=e),t.prototype=Object.create(e&&e.prototype),t.prototype.constructor=t,t.prototype.map=function(e,n){var r=e.resolve(n.map(this.$anchorCell.pos)),o=e.resolve(n.map(this.$headCell.pos));if(L(r)&&L(o)&&D(r,o)){var a=this.$anchorCell.node(-1)!=r.node(-1);return a&&this.isRowSelection()?t.rowSelection(r,o):a&&this.isColSelection()?t.colSelection(r,o):new t(r,o)}return i.TextSelection.between(r,o)},t.prototype.content=function(){for(var e=this.$anchorCell.node(-1),t=p.get(e),n=this.$anchorCell.start(-1),r=t.rectBetween(this.$anchorCell.pos-n,this.$headCell.pos-n),o={},i=[],s=r.top;s<r.bottom;s++){for(var u=[],c=s*t.width+r.left,l=r.left;l<r.right;l++,c++){var d=t.map[c];if(!o[d]){o[d]=!0;var h=t.findCell(d),f=e.nodeAt(d),m=r.left-h.left,_=h.right-r.right;if(m>0||_>0){var g=f.attrs;m>0&&(g=C(g,0,m)),_>0&&(g=C(g,g.colspan-_,_)),f=h.left<r.left?f.type.createAndFill(g):f.type.create(g,f.content)}if(h.top<r.top||h.bottom>r.bottom){var y=O(f.attrs,"rowspan",Math.min(h.bottom,r.bottom)-Math.max(h.top,r.top));f=h.top<r.top?f.type.createAndFill(y):f.type.create(y,f.content)}u.push(f)}}i.push(e.child(s).copy(a.Fragment.from(u)))}var v=this.isColSelection()&&this.isRowSelection()?e:i;return new a.Slice(a.Fragment.from(v),1,1)},t.prototype.replace=function(t,n){void 0===n&&(n=a.Slice.empty);for(var r=t.steps.length,o=this.ranges,i=0;i<o.length;i++){var s=o[i],u=s.$from,c=s.$to,l=t.mapping.slice(r);t.replace(l.map(u.pos),l.map(c.pos),i?a.Slice.empty:n)}var d=e.findFrom(t.doc.resolve(t.mapping.slice(r).map(this.to)),-1);d&&t.setSelection(d)},t.prototype.replaceWith=function(e,t){this.replace(e,new a.Slice(a.Fragment.from(t),0,0))},t.prototype.forEachCell=function(e){for(var t=this.$anchorCell.node(-1),n=p.get(t),r=this.$anchorCell.start(-1),o=n.cellsInRect(n.rectBetween(this.$anchorCell.pos-r,this.$headCell.pos-r)),i=0;i<o.length;i++)e(t.nodeAt(o[i]),r+o[i])},t.prototype.isColSelection=function(){var e=this.$anchorCell.index(-1),t=this.$headCell.index(-1);if(Math.min(e,t)>0)return!1;var n=e+this.$anchorCell.nodeAfter.attrs.rowspan,r=t+this.$headCell.nodeAfter.attrs.rowspan;return Math.max(n,r)==this.$headCell.node(-1).childCount},t.colSelection=function(e,n){void 0===n&&(n=e);var r=p.get(e.node(-1)),o=e.start(-1),i=r.findCell(e.pos-o),a=r.findCell(n.pos-o),s=e.node(0);return i.top<=a.top?(i.top>0&&(e=s.resolve(o+r.map[i.left])),a.bottom<r.height&&(n=s.resolve(o+r.map[r.width*(r.height-1)+a.right-1]))):(a.top>0&&(n=s.resolve(o+r.map[a.left])),i.bottom<r.height&&(e=s.resolve(o+r.map[r.width*(r.height-1)+i.right-1]))),new t(e,n)},t.prototype.isRowSelection=function(){var e=p.get(this.$anchorCell.node(-1)),t=this.$anchorCell.start(-1),n=e.colCount(this.$anchorCell.pos-t),r=e.colCount(this.$headCell.pos-t);if(Math.min(n,r)>0)return!1;var o=n+this.$anchorCell.nodeAfter.attrs.colspan,i=r+this.$headCell.nodeAfter.attrs.colspan;return Math.max(o,i)==e.width},t.prototype.eq=function(e){return e instanceof t&&e.$anchorCell.pos==this.$anchorCell.pos&&e.$headCell.pos==this.$headCell.pos},t.rowSelection=function(e,n){void 0===n&&(n=e);var r=p.get(e.node(-1)),o=e.start(-1),i=r.findCell(e.pos-o),a=r.findCell(n.pos-o),s=e.node(0);return i.left<=a.left?(i.left>0&&(e=s.resolve(o+r.map[i.top*r.width])),a.right<r.width&&(n=s.resolve(o+r.map[r.width*(a.top+1)-1]))):(a.left>0&&(n=s.resolve(o+r.map[a.top*r.width])),i.right<r.width&&(e=s.resolve(o+r.map[r.width*(i.top+1)-1]))),new t(e,n)},t.prototype.toJSON=function(){return{type:"cell",anchor:this.$anchorCell.pos,head:this.$headCell.pos}},t.fromJSON=function(e,n){return new t(e.resolve(n.anchor),e.resolve(n.head))},t.create=function(e,n,r){return void 0===r&&(r=n),new t(e.resolve(n),e.resolve(r))},t.prototype.getBookmark=function(){return new H(this.$anchorCell.pos,this.$headCell.pos)},t}(i.Selection);j.prototype.visible=!1,i.Selection.jsonID("cell",j);var H=function(e,t){this.anchor=e,this.head=t};function P(e){if(!(e.selection instanceof j))return null;var t=[];return e.selection.forEachCell((function(e,n){t.push(u.a.node(n,n+e.nodeSize,{class:"selectedCell"}))})),u.b.create(e.doc,t)}function N(e){if(!e.size)return null;for(var t=e.content,n=e.openStart,r=e.openEnd;1==t.childCount&&(n>0&&r>0||"table"==t.firstChild.type.spec.tableRole);)n--,r--,t=t.firstChild.content;var o=t.firstChild,i=o.type.spec.tableRole,s=o.type.schema,u=[];if("row"==i)for(var c=0;c<t.childCount;c++){var l=t.child(c).content,d=c?0:Math.max(0,n-1),h=c<t.childCount-1?0:Math.max(0,r-1);(d||h)&&(l=R(v(s).row,new a.Slice(l,d,h)).content),u.push(l)}else{if("cell"!=i&&"header_cell"!=i)return null;u.push(n||r?R(v(s).row,new a.Slice(t,n,r)).content:t)}return function(e,t){for(var n=[],r=0;r<t.length;r++)for(var o=t[r],i=o.childCount-1;i>=0;i--)for(var s=o.child(i).attrs,u=s.rowspan,c=s.colspan,l=r;l<r+u;l++)n[l]=(n[l]||0)+c;for(var d=0,h=0;h<n.length;h++)d=Math.max(d,n[h]);for(var f=0;f<n.length;f++)if(f>=t.length&&t.push(a.Fragment.empty),n[f]<d){for(var p=v(e).cell.createAndFill(),m=[],_=n[f];_<d;_++)m.push(p);t[f]=t[f].append(a.Fragment.from(m))}return{height:t.length,width:d,rows:t}}(s,u)}function R(e,t){var n=e.createAndFill();return new c.e(n).replace(0,n.content.size,t).doc}function F(e,t,n){var r=e.width,o=e.height,i=e.rows;if(r!=t){for(var s=[],u=[],c=0;c<i.length;c++){for(var l=i[c],d=[],h=s[c]||0,f=0;h<t;f++){var p=l.child(f%l.childCount);h+p.attrs.colspan>t&&(p=p.type.create(C(p.attrs,p.attrs.colspan,h+p.attrs.colspan-t),p.content)),d.push(p),h+=p.attrs.colspan;for(var m=1;m<p.attrs.rowspan;m++)s[c+m]=(s[c+m]||0)+p.attrs.colspan}u.push(a.Fragment.from(d))}i=u,r=t}if(o!=n){for(var _=[],g=0,y=0;g<n;g++,y++){for(var v=[],M=i[y%o],k=0;k<M.childCount;k++){var b=M.child(k);g+b.attrs.rowspan>n&&(b=b.type.create(O(b.attrs,"rowspan",Math.max(1,n-b.attrs.rowspan)),b.content)),v.push(b)}_.push(a.Fragment.from(v))}i=_,o=n}return{width:r,height:o,rows:i}}function I(e,t,n,r,o,i,a,s){if(0==a||a==t.height)return!1;for(var u=!1,c=o;c<i;c++){var l=a*t.width+c,d=t.map[l];if(t.map[l-t.width]==d){u=!0;var h=n.nodeAt(d),f=t.findCell(d),p=f.top,m=f.left;e.setNodeMarkup(e.mapping.slice(s).map(d+r),null,O(h.attrs,"rowspan",a-p)),e.insert(e.mapping.slice(s).map(t.positionAt(a,m,n)),h.type.createAndFill(O(h.attrs,"rowspan",p+h.attrs.rowspan-a))),c+=h.attrs.colspan-1}}return u}function z(e,t,n,r,o,i,a,s){if(0==a||a==t.width)return!1;for(var u=!1,c=o;c<i;c++){var l=c*t.width+a,d=t.map[l];if(t.map[l-1]==d){u=!0;var h=n.nodeAt(d),f=t.colCount(d),p=e.mapping.slice(s).map(d+r);e.setNodeMarkup(p,null,C(h.attrs,a-f,h.attrs.colspan-(a-f))),e.insert(p+h.nodeSize,h.type.createAndFill(C(h.attrs,0,a-f))),c+=h.attrs.rowspan-1}}return u}function W(e,t,n,r,o){var i=n?e.doc.nodeAt(n-1):e.doc,s=p.get(i),u=r.top,c=r.left,l=c+o.width,d=u+o.height,h=e.tr,f=0;function m(){i=n?h.doc.nodeAt(n-1):h.doc,s=p.get(i),f=h.mapping.maps.length}(function(e,t,n,r,o,i,s){var u,c,l=v(e.doc.type.schema);if(o>t.width)for(var d=0,h=0;d<t.height;d++){var f=n.child(d);h+=f.nodeSize;var p=[],m=void 0;m=null==f.lastChild||f.lastChild.type==l.cell?u||(u=l.cell.createAndFill()):c||(c=l.header_cell.createAndFill());for(var _=t.width;_<o;_++)p.push(m);e.insert(e.mapping.slice(s).map(h-1+r),p)}if(i>t.height){for(var g=[],y=0,M=(t.height-1)*t.width;y<Math.max(t.width,o);y++){var k=!(y>=t.width)&&n.nodeAt(t.map[M+y]).type==l.header_cell;g.push(k?c||(c=l.header_cell.createAndFill()):u||(u=l.cell.createAndFill()))}for(var b=l.row.create(null,a.Fragment.from(g)),w=[],L=t.height;L<i;L++)w.push(b);e.insert(e.mapping.slice(s).map(r+n.nodeSize-2),w)}return!(!u&&!c)})(h,s,i,n,l,d,f)&&m(),I(h,s,i,n,c,l,u,f)&&m(),I(h,s,i,n,c,l,d,f)&&m(),z(h,s,i,n,u,d,c,f)&&m(),z(h,s,i,n,u,d,l,f)&&m();for(var _=u;_<d;_++){var g=s.positionAt(_,c,i),y=s.positionAt(_,l,i);h.replace(h.mapping.slice(f).map(g+n),h.mapping.slice(f).map(y+n),new a.Slice(o.rows[_-u],0,0))}m(),h.setSelection(new j(h.doc.resolve(n+s.positionAt(u,c,i)),h.doc.resolve(n+s.positionAt(d-1,l-1,i)))),t(h)}H.prototype.map=function(e){return new H(e.map(this.anchor),e.map(this.head))},H.prototype.resolve=function(e){var t=e.resolve(this.anchor),n=e.resolve(this.head);return"row"==t.parent.type.spec.tableRole&&"row"==n.parent.type.spec.tableRole&&t.index()<t.parent.childCount&&n.index()<n.parent.childCount&&D(t,n)?new j(t,n):i.Selection.near(n,1)};var $=Object(s.a)({ArrowLeft:q("horiz",-1),ArrowRight:q("horiz",1),ArrowUp:q("vert",-1),ArrowDown:q("vert",1),"Shift-ArrowLeft":U("horiz",-1),"Shift-ArrowRight":U("horiz",1),"Shift-ArrowUp":U("vert",-1),"Shift-ArrowDown":U("vert",1),Backspace:V,"Mod-Backspace":V,Delete:V,"Mod-Delete":V});function B(e,t,n){return!n.eq(e.selection)&&(t&&t(e.tr.setSelection(n).scrollIntoView()),!0)}function q(e,t){return function(n,r,o){var a=n.selection;if(a instanceof j)return B(n,r,i.Selection.near(a.$headCell,t));if("horiz"!=e&&!a.empty)return!1;var s=Z(o,e,t);if(null==s)return!1;if("horiz"==e)return B(n,r,i.Selection.near(n.doc.resolve(a.head+t),t));var u,c=n.doc.resolve(s),l=Y(c,e,t);return u=l?i.Selection.near(l,1):t<0?i.Selection.near(n.doc.resolve(c.before(-1)),-1):i.Selection.near(n.doc.resolve(c.after(-1)),1),B(n,r,u)}}function U(e,t){return function(n,r,o){var i=n.selection;if(!(i instanceof j)){var a=Z(o,e,t);if(null==a)return!1;i=new j(n.doc.resolve(a))}var s=Y(i.$headCell,e,t);return!!s&&B(n,r,new j(i.$anchorCell,s))}}function V(e,t){var n=e.selection;if(!(n instanceof j))return!1;if(t){var r=e.tr,o=v(e.schema).cell.createAndFill().content;n.forEachCell((function(e,t){e.content.eq(o)||r.replace(r.mapping.map(t+1),r.mapping.map(t+e.nodeSize-1),new a.Slice(o,0,0))})),r.docChanged&&t(r)}return!0}function J(e,t){var n=k(e.state.doc.resolve(t));return!!n&&(e.dispatch(e.state.tr.setSelection(new j(n))),!0)}function G(e,t,n){if(!b(e.state))return!1;var r=N(n),o=e.state.selection;if(o instanceof j){r||(r={width:1,height:1,rows:[a.Fragment.from(R(v(e.state.schema).cell,n))]});var i=o.$anchorCell.node(-1),s=o.$anchorCell.start(-1),u=p.get(i).rectBetween(o.$anchorCell.pos-s,o.$headCell.pos-s);return r=F(r,u.right-u.left,u.bottom-u.top),W(e.state,e.dispatch,s,u,r),!0}if(r){var c=w(e.state),l=c.start(-1);return W(e.state,e.dispatch,l,p.get(c.node(-1)).findCell(c.pos-l),r),!0}return!1}function K(e,t){if(!t.ctrlKey&&!t.metaKey){var n,r=X(e,t.target);if(t.shiftKey&&e.state.selection instanceof j)o(e.state.selection.$anchorCell,t),t.preventDefault();else if(t.shiftKey&&r&&null!=(n=k(e.state.selection.$anchor))&&Q(e,t).pos!=n.pos)o(n,t),t.preventDefault();else if(!r)return;e.root.addEventListener("mouseup",i),e.root.addEventListener("dragstart",i),e.root.addEventListener("mousemove",a)}function o(t,n){var r=Q(e,n),o=null==M.getState(e.state);if(!r||!D(t,r)){if(!o)return;r=t}var i=new j(t,r);if(o||!e.state.selection.eq(i)){var a=e.state.tr.setSelection(i);o&&a.setMeta(M,t.pos),e.dispatch(a)}}function i(){e.root.removeEventListener("mouseup",i),e.root.removeEventListener("dragstart",i),e.root.removeEventListener("mousemove",a),null!=M.getState(e.state)&&e.dispatch(e.state.tr.setMeta(M,-1))}function a(n){var a,s=M.getState(e.state);if(null!=s)a=e.state.doc.resolve(s);else if(X(e,n.target)!=r&&!(a=Q(e,t)))return i();a&&o(a,n)}}function Z(e,t,n){if(!(e.state.selection instanceof i.TextSelection))return null;for(var r=e.state.selection.$head,o=r.depth-1;o>=0;o--){var a=r.node(o);if((n<0?r.index(o):r.indexAfter(o))!=(n<0?0:a.childCount))return null;if("cell"==a.type.spec.tableRole||"header_cell"==a.type.spec.tableRole){var s=r.before(o),u="vert"==t?n>0?"down":"up":n>0?"right":"left";return e.endOfTextblock(u)?s:null}}return null}function X(e,t){for(;t&&t!=e.dom;t=t.parentNode)if("TD"==t.nodeName||"TH"==t.nodeName)return t}function Q(e,t){var n=e.posAtCoords({left:t.clientX,top:t.clientY});return n&&n?k(e.state.doc.resolve(n.pos)):null}var ee=new i.PluginKey("fix-tables");function te(e,t){var n,r=function(t,r){"table"==t.type.spec.tableRole&&(n=function(e,t,n,r){var o=p.get(t);if(!o.problems)return r;r||(r=e.tr);for(var i,a,s=[],u=0;u<o.height;u++)s.push(0);for(var c=0;c<o.problems.length;c++){var l=o.problems[c];if("collision"==l.type){for(var d=t.nodeAt(l.pos),h=0;h<d.attrs.rowspan;h++)s[l.row+h]+=l.n;r.setNodeMarkup(r.mapping.map(n+1+l.pos),null,C(d.attrs,d.attrs.colspan-l.n,l.n))}else if("missing"==l.type)s[l.row]+=l.n;else if("overlong_rowspan"==l.type){var f=t.nodeAt(l.pos);r.setNodeMarkup(r.mapping.map(n+1+l.pos),null,O(f.attrs,"rowspan",f.attrs.rowspan-l.n))}else if("colwidth mismatch"==l.type){var m=t.nodeAt(l.pos);r.setNodeMarkup(r.mapping.map(n+1+l.pos),null,O(m.attrs,"colwidth",l.colwidth))}}for(var _=0;_<s.length;_++)s[_]&&(null==i&&(i=_),a=_);for(var g=0,y=n+1;g<o.height;g++){var M=t.child(g),k=y+M.nodeSize,b=s[g];if(b>0){var w="cell";M.firstChild&&(w=M.firstChild.type.spec.tableRole);for(var L=[],T=0;T<b;T++)L.push(v(e.schema)[w].createAndFill());var D=0!=g&&i!=g-1||a!=g?k-1:y+1;r.insert(r.mapping.map(D),L)}y=k}return r.setMeta(ee,{fixTables:!0})}(e,t,r,n))};return t?t.doc!=e.doc&&function e(t,n,r,o){var i=t.childCount,a=n.childCount;e:for(var s=0,u=0;s<a;s++){for(var c=n.child(s),l=u,d=Math.min(i,s+3);l<d;l++)if(t.child(l)==c){u=l+1,r+=c.nodeSize;continue e}o(c,r),u<i&&t.child(u).sameMarkup(c)?e(t.child(u),c,r+1,o):c.nodesBetween(0,c.content.size,o,r+1),r+=c.nodeSize}}(t.doc,e.doc,0,r):e.doc.descendants(r),n}function ne(e){var t,n=e.selection,r=w(e),o=r.node(-1),i=r.start(-1),a=p.get(o);return(t=n instanceof j?a.rectBetween(n.$anchorCell.pos-i,n.$headCell.pos-i):a.findCell(r.pos-i)).tableStart=i,t.map=a,t.table=o,t}function re(e,t,n){var r=t.map,o=t.tableStart,i=t.table,a=n>0?-1:0;A(r,i,n+a)&&(a=0==n||n==r.width?null:0);for(var s=0;s<r.height;s++){var u=s*r.width+n;if(n>0&&n<r.width&&r.map[u-1]==r.map[u]){var c=r.map[u],l=i.nodeAt(c);e.setNodeMarkup(e.mapping.map(o+c),null,E(l.attrs,n-r.colCount(c))),s+=l.attrs.rowspan-1}else{var d=null==a?v(i.type.schema).cell:i.nodeAt(r.map[u+a]).type,h=r.positionAt(s,n,i);e.insert(e.mapping.map(o+h),d.createAndFill())}}return e}function oe(e,t){if(!b(e))return!1;if(t){var n=ne(e);t(re(e.tr,n,n.left))}return!0}function ie(e,t){if(!b(e))return!1;if(t){var n=ne(e);t(re(e.tr,n,n.right))}return!0}function ae(e,t,n){for(var r=t.map,o=t.table,i=t.tableStart,a=e.mapping.maps.length,s=0;s<r.height;){var u=s*r.width+n,c=r.map[u],l=o.nodeAt(c);if(n>0&&r.map[u-1]==c||n<r.width-1&&r.map[u+1]==c)e.setNodeMarkup(e.mapping.slice(a).map(i+c),null,C(l.attrs,n-r.colCount(c)));else{var d=e.mapping.slice(a).map(i+c);e.delete(d,d+l.nodeSize)}s+=l.attrs.rowspan}}function se(e,t){if(!b(e))return!1;if(t){var n=ne(e),r=e.tr;if(0==n.left&&n.right==n.map.width)return!1;for(var o=n.right-1;ae(r,n,o),o!=n.left;o--)n.table=n.tableStart?r.doc.nodeAt(n.tableStart-1):r.doc,n.map=p.get(n.table);t(r)}return!0}function ue(e,t,n){for(var r=v(t.type.schema).header_cell,o=0;o<e.width;o++)if(t.nodeAt(e.map[o+n*e.width]).type!=r)return!1;return!0}function ce(e,t,n){for(var r=t.map,o=t.tableStart,i=t.table,a=o,s=0;s<n;s++)a+=i.child(s).nodeSize;var u=[],c=n>0?-1:0;ue(r,i,n+c)&&(c=0==n||n==r.height?null:0);for(var l=0,d=r.width*n;l<r.width;l++,d++)if(n>0&&n<r.height&&r.map[d]==r.map[d-r.width]){var h=r.map[d],f=i.nodeAt(h).attrs;e.setNodeMarkup(o+h,null,O(f,"rowspan",f.rowspan+1)),l+=f.colspan-1}else{var p=null==c?v(i.type.schema).cell:i.nodeAt(r.map[d+c*r.width]).type;u.push(p.createAndFill())}return e.insert(a,v(i.type.schema).row.create(null,u)),e}function le(e,t){if(!b(e))return!1;if(t){var n=ne(e);t(ce(e.tr,n,n.top))}return!0}function de(e,t){if(!b(e))return!1;if(t){var n=ne(e);t(ce(e.tr,n,n.bottom))}return!0}function he(e,t,n){for(var r=t.map,o=t.table,i=t.tableStart,a=0,s=0;s<n;s++)a+=o.child(s).nodeSize;var u=a+o.child(n).nodeSize,c=e.mapping.maps.length;e.delete(a+i,u+i);for(var l=0,d=n*r.width;l<r.width;l++,d++){var h=r.map[d];if(n>0&&h==r.map[d-r.width]){var f=o.nodeAt(h).attrs;e.setNodeMarkup(e.mapping.slice(c).map(h+i),null,O(f,"rowspan",f.rowspan-1)),l+=f.colspan-1}else if(n<r.width&&h==r.map[d+r.width]){var p=o.nodeAt(h),m=p.type.create(O(p.attrs,"rowspan",p.attrs.rowspan-1),p.content),_=r.positionAt(n+1,l,o);e.insert(e.mapping.slice(c).map(i+_),m),l+=p.attrs.colspan-1}}}function fe(e,t){if(!b(e))return!1;if(t){var n=ne(e),r=e.tr;if(0==n.top&&n.bottom==n.map.height)return!1;for(var o=n.bottom-1;he(r,n,o),o!=n.top;o--)n.table=n.tableStart?r.doc.nodeAt(n.tableStart-1):r.doc,n.map=p.get(n.table);t(r)}return!0}function pe(e){var t=e.content;return 1==t.childCount&&t.firstChild.isTextblock&&0==t.firstChild.childCount}function me(e,t){var n=e.selection;if(!(n instanceof j)||n.$anchorCell.pos==n.$headCell.pos)return!1;var r=ne(e),o=r.map;if(function(e,t){for(var n=e.width,r=e.height,o=e.map,i=t.top*n+t.left,a=i,s=(t.bottom-1)*n+t.left,u=i+(t.right-t.left-1),c=t.top;c<t.bottom;c++){if(t.left>0&&o[a]==o[a-1]||t.right<n&&o[u]==o[u+1])return!0;a+=n,u+=n}for(var l=t.left;l<t.right;l++){if(t.top>0&&o[i]==o[i-n]||t.bottom<r&&o[s]==o[s+n])return!0;i++,s++}return!1}(o,r))return!1;if(t){for(var i,s,u=e.tr,c={},l=a.Fragment.empty,d=r.top;d<r.bottom;d++)for(var h=r.left;h<r.right;h++){var f=o.map[d*o.width+h],p=r.table.nodeAt(f);if(!c[f])if(c[f]=!0,null==i)i=f,s=p;else{pe(p)||(l=l.append(p.content));var m=u.mapping.map(f+r.tableStart);u.delete(m,m+p.nodeSize)}}if(u.setNodeMarkup(i+r.tableStart,null,O(E(s.attrs,s.attrs.colspan,r.right-r.left-s.attrs.colspan),"rowspan",r.bottom-r.top)),l.size){var _=i+1+s.content.size,g=pe(s)?i+1:_;u.replaceWith(g+r.tableStart,_+r.tableStart,l)}u.setSelection(new j(u.doc.resolve(i+r.tableStart))),t(u)}return!0}function _e(e,t){var n=v(e.schema);return ge((function(e){var t=e.node;return n[t.type.spec.tableRole]}))(e,t)}function ge(e){return function(t,n){var r,o,i=t.selection;if(i instanceof j){if(i.$anchorCell.pos!=i.$headCell.pos)return!1;r=i.$anchorCell.nodeAfter,o=i.$anchorCell.pos}else{if(!(r=function(e){for(var t=e.depth;t>0;t--){var n=e.node(t).type.spec.tableRole;if("cell"===n||"header_cell"===n)return e.node(t)}return null}(i.$from)))return!1;o=k(i.$from).pos}if(1==r.attrs.colspan&&1==r.attrs.rowspan)return!1;if(n){var a=r.attrs,s=[],u=a.colwidth;a.rowspan>1&&(a=O(a,"rowspan",1)),a.colspan>1&&(a=O(a,"colspan",1));for(var c,l=ne(t),d=t.tr,h=0;h<l.right-l.left;h++)s.push(u?O(a,"colwidth",u&&u[h]?[u[h]]:null):a);for(var f=l.top;f<l.bottom;f++){var p=l.map.positionAt(f,l.left,l.table);f==l.top&&(p+=r.nodeSize);for(var m=l.left,_=0;m<l.right;m++,_++)m==l.left&&f==l.top||d.insert(c=d.mapping.map(p+l.tableStart,1),e({node:r,row:f,col:m}).createAndFill(s[_]))}d.setNodeMarkup(o,e({node:r,row:l.top,col:l.left}),s[0]),i instanceof j&&d.setSelection(new j(d.doc.resolve(i.$anchorCell.pos),c&&d.doc.resolve(c))),n(d)}return!0}}function ye(e,t){return function(n,r){if(!b(n))return!1;var o=w(n);if(o.nodeAfter.attrs[e]===t)return!1;if(r){var i=n.tr;n.selection instanceof j?n.selection.forEachCell((function(n,r){n.attrs[e]!==t&&i.setNodeMarkup(r,null,O(n.attrs,e,t))})):i.setNodeMarkup(o.pos,null,O(o.nodeAfter.attrs,e,t)),r(i)}return!0}}function ve(e,t,n){for(var r=t.map.cellsInRect({left:0,top:0,right:"row"==e?t.map.width:1,bottom:"column"==e?t.map.height:1}),o=0;o<r.length;o++){var i=t.table.nodeAt(r[o]);if(i&&i.type!==n.header_cell)return!1}return!0}function Me(e,t){return(t=t||{useDeprecatedLogic:!1}).useDeprecatedLogic?function(e){return function(t,n){if(!b(t))return!1;if(n){for(var r=v(t.schema),o=ne(t),i=t.tr,a=o.map.cellsInRect("column"==e?new f(o.left,0,o.right,o.map.height):"row"==e?new f(0,o.top,o.map.width,o.bottom):o),s=a.map((function(e){return o.table.nodeAt(e)})),u=0;u<a.length;u++)s[u].type==r.header_cell&&i.setNodeMarkup(o.tableStart+a[u],r.cell,s[u].attrs);if(0==i.steps.length)for(var c=0;c<a.length;c++)i.setNodeMarkup(o.tableStart+a[c],r.header_cell,s[c].attrs);n(i)}return!0}}(e):function(t,n){if(!b(t))return!1;if(n){var r=v(t.schema),o=ne(t),i=t.tr,a=ve("row",o,r),s=ve("column",o,r),u=("column"===e?a:"row"===e&&s)?1:0,c="column"==e?new f(0,u,1,o.map.height):"row"==e?new f(u,0,o.map.width,1):o,l="column"==e?s?r.cell:r.header_cell:"row"==e?a?r.cell:r.header_cell:r.cell;o.map.cellsInRect(c).forEach((function(e){var t=e+o.tableStart,n=i.doc.nodeAt(t);n&&i.setNodeMarkup(t,l,n.attrs)})),n(i)}return!0}}var ke=Me("row",{useDeprecatedLogic:!0}),be=Me("column",{useDeprecatedLogic:!0}),we=Me("cell",{useDeprecatedLogic:!0});function Le(e){return function(t,n){if(!b(t))return!1;var r=function(e,t){if(t<0){var n=e.nodeBefore;if(n)return e.pos-n.nodeSize;for(var r=e.index(-1)-1,o=e.before();r>=0;r--){var i=e.node(-1).child(r);if(i.childCount)return o-1-i.lastChild.nodeSize;o-=i.nodeSize}}else{if(e.index()<e.parent.childCount-1)return e.pos+e.nodeAfter.nodeSize;for(var a=e.node(-1),s=e.indexAfter(-1),u=e.after();s<a.childCount;s++){var c=a.child(s);if(c.childCount)return u+1;u+=c.nodeSize}}}(w(t),e);if(null!=r){if(n){var o=t.doc.resolve(r);n(t.tr.setSelection(i.TextSelection.between(o,T(o))).scrollIntoView())}return!0}}}function Te(e,t){for(var n=e.selection.$anchor,r=n.depth;r>0;r--){if("table"==n.node(r).type.spec.tableRole)return t&&t(e.tr.delete(n.before(r),n.after(r)).scrollIntoView()),!0}return!1}var De=function(e,t){this.node=e,this.cellMinWidth=t,this.dom=document.createElement("div"),this.dom.className="tableWrapper",this.table=this.dom.appendChild(document.createElement("table")),this.colgroup=this.table.appendChild(document.createElement("colgroup")),xe(e,this.colgroup,this.table,t),this.contentDOM=this.table.appendChild(document.createElement("tbody"))};function xe(e,t,n,r,o,i){for(var a=0,s=!0,u=t.firstChild,c=e.firstChild,l=0,d=0;l<c.childCount;l++)for(var h=c.child(l).attrs,f=h.colspan,p=h.colwidth,m=0;m<f;m++,d++){var _=o==d?i:p&&p[m],g=_?_+"px":"";a+=_||r,_||(s=!1),u?(u.style.width!=g&&(u.style.width=g),u=u.nextSibling):t.appendChild(document.createElement("col")).style.width=g}for(;u;){var y=u.nextSibling;u.parentNode.removeChild(u),u=y}s?(n.style.width=a+"px",n.style.minWidth=""):(n.style.width="",n.style.minWidth=a+"px")}De.prototype.update=function(e){return e.type==this.node.type&&(this.node=e,xe(e,this.colgroup,this.table,this.cellMinWidth),!0)},De.prototype.ignoreMutation=function(e){return"attributes"==e.type&&(e.target==this.table||this.colgroup.contains(e.target))};var Se=new i.PluginKey("tableColumnResizing");function Ye(e){void 0===e&&(e={});var t=e.handleWidth;void 0===t&&(t=5);var n=e.cellMinWidth;void 0===n&&(n=25);var r=e.View;void 0===r&&(r=De);var o=e.lastColumnResizable;return void 0===o&&(o=!0),new i.Plugin({key:Se,state:{init:function(e,t){return this.spec.props.nodeViews[v(t.schema).table.name]=function(e,t){return new r(e,n,t)},new Oe(-1,!1)},apply:function(e,t){return t.apply(e)}},props:{attributes:function(e){return Se.getState(e).activeHandle>-1?{class:"resize-cursor"}:null},handleDOMEvents:{mousemove:function(e,n){!function(e,t,n,r,o){var i=Se.getState(e.state);if(!i.dragging){var a=function(e){for(;e&&"TD"!=e.nodeName&&"TH"!=e.nodeName;)e=e.classList.contains("ProseMirror")?null:e.parentNode;return e}(t.target),s=-1;if(a){var u=a.getBoundingClientRect(),c=u.left,l=u.right;t.clientX-c<=n?s=Ce(e,t,"left"):l-t.clientX<=n&&(s=Ce(e,t,"right"))}if(s!=i.activeHandle){if(!o&&-1!==s){var d=e.state.doc.resolve(s),h=d.node(-1),f=p.get(h),m=d.start(-1);if(f.colCount(d.pos-m)+d.nodeAfter.attrs.colspan-1==f.width-1)return}Ae(e,s)}}}(e,n,t,0,o)},mouseleave:function(e){!function(e){var t=Se.getState(e.state);t.activeHandle>-1&&!t.dragging&&Ae(e,-1)}(e)},mousedown:function(e,t){!function(e,t,n){var r=Se.getState(e.state);if(-1==r.activeHandle||r.dragging)return!1;var o=e.state.doc.nodeAt(r.activeHandle),i=function(e,t,n){var r=n.colspan,o=n.colwidth,i=o&&o[o.length-1];if(i)return i;var a=e.domAtPos(t),s=a.node.childNodes[a.offset].offsetWidth,u=r;if(o)for(var c=0;c<r;c++)o[c]&&(s-=o[c],u--);return s/u}(e,r.activeHandle,o.attrs);function a(t){window.removeEventListener("mouseup",a),window.removeEventListener("mousemove",s);var r=Se.getState(e.state);r.dragging&&(!function(e,t,n){for(var r=e.state.doc.resolve(t),o=r.node(-1),i=p.get(o),a=r.start(-1),s=i.colCount(r.pos-a)+r.nodeAfter.attrs.colspan-1,u=e.state.tr,c=0;c<i.height;c++){var l=c*i.width+s;if(!c||i.map[l]!=i.map[l-i.width]){var d=i.map[l],h=o.nodeAt(d).attrs,f=1==h.colspan?0:s-i.colCount(d);if(!h.colwidth||h.colwidth[f]!=n){var m=h.colwidth?h.colwidth.slice():je(h.colspan);m[f]=n,u.setNodeMarkup(a+d,null,O(h,"colwidth",m))}}}u.docChanged&&e.dispatch(u)}(e,r.activeHandle,Ee(r.dragging,t,n)),e.dispatch(e.state.tr.setMeta(Se,{setDragging:null})))}function s(t){if(!t.which)return a(t);var r=Se.getState(e.state),o=Ee(r.dragging,t,n);!function(e,t,n,r){var o=e.state.doc.resolve(t),i=o.node(-1),a=o.start(-1),s=p.get(i).colCount(o.pos-a)+o.nodeAfter.attrs.colspan-1,u=e.domAtPos(o.start(-1)).node;for(;"TABLE"!=u.nodeName;)u=u.parentNode;xe(i,u.firstChild,u,r,s,n)}(e,r.activeHandle,o,n)}e.dispatch(e.state.tr.setMeta(Se,{setDragging:{startX:t.clientX,startWidth:i}})),window.addEventListener("mouseup",a),window.addEventListener("mousemove",s),t.preventDefault()}(e,t,n)}},decorations:function(e){var t=Se.getState(e);if(t.activeHandle>-1)return function(e,t){for(var n=[],r=e.doc.resolve(t),o=r.node(-1),i=p.get(o),a=r.start(-1),s=i.colCount(r.pos-a)+r.nodeAfter.attrs.colspan,c=0;c<i.height;c++){var l=s+c*i.width-1;if(!(s!=i.width&&i.map[l]==i.map[l+1]||0!=c&&i.map[l-1]==i.map[l-1-i.width])){var d=i.map[l],h=a+d+o.nodeAt(d).nodeSize-1,f=document.createElement("div");f.className="column-resize-handle",n.push(u.a.widget(h,f))}}return u.b.create(e.doc,n)}(e,t.activeHandle)},nodeViews:{}}})}var Oe=function(e,t){this.activeHandle=e,this.dragging=t};function Ce(e,t,n){var r=e.posAtCoords({left:t.clientX,top:t.clientY});if(!r)return-1;var o=r.pos,i=k(e.state.doc.resolve(o));if(!i)return-1;if("right"==n)return i.pos;var a=p.get(i.node(-1)),s=i.start(-1),u=a.map.indexOf(i.pos-s);return u%a.width==0?-1:s+a.map[u-1]}function Ee(e,t,n){var r=t.clientX-e.startX;return Math.max(n,e.startWidth+r)}function Ae(e,t){e.dispatch(e.state.tr.setMeta(Se,{setHandle:t}))}function je(e){for(var t=[],n=0;n<e;n++)t.push(0);return t}function He(e){void 0===e&&(e={});var t=e.allowTableNodeSelection;return void 0===t&&(t=!1),new i.Plugin({key:M,state:{init:function(){return null},apply:function(e,t){var n=e.getMeta(M);if(null!=n)return-1==n?null:n;if(null==t||!e.docChanged)return t;var r=e.mapping.mapResult(t),o=r.deleted,i=r.pos;return o?null:i}},props:{decorations:P,handleDOMEvents:{mousedown:K},createSelectionBetween:function(e){if(null!=M.getState(e.state))return e.state.selection},handleTripleClick:J,handleKeyDown:$,handlePaste:G},appendTransaction:function(e,n,r){return function(e,t,n){var r,o,a=(t||e).selection,s=(t||e).doc;if(a instanceof i.NodeSelection&&(o=a.node.type.spec.tableRole)){if("cell"==o||"header_cell"==o)r=j.create(s,a.from);else if("row"==o){var u=s.resolve(a.from+1);r=j.rowSelection(u,u)}else if(!n){var c=p.get(a.node),l=a.from+1,d=l+c.map[c.width*c.height-1];r=j.create(s,l+1,d)}}else a instanceof i.TextSelection&&function(e){var t=e.$from,n=e.$to;if(t.pos==n.pos||t.pos<t.pos-6)return!1;for(var r=t.pos,o=n.pos,i=t.depth;i>=0&&!(t.after(i+1)<t.end(i));i--,r++);for(var a=n.depth;a>=0&&!(n.before(a+1)>n.start(a));a--,o--);return r==o&&/row|table/.test(t.node(i).type.spec.tableRole)}(a)?r=i.TextSelection.create(s,a.from):a instanceof i.TextSelection&&function(e){for(var t,n,r=e.$from,o=e.$to,i=r.depth;i>0;i--){var a=r.node(i);if("cell"===a.type.spec.tableRole||"header_cell"===a.type.spec.tableRole){t=a;break}}for(var s=o.depth;s>0;s--){var u=o.node(s);if("cell"===u.type.spec.tableRole||"header_cell"===u.type.spec.tableRole){n=u;break}}return t!==n&&0===o.parentOffset}(a)&&(r=i.TextSelection.create(s,a.$from.start(),a.$from.end()));return r&&(t||(t=e.tr)).setSelection(r),t}(r,te(r,n),t)}})}Oe.prototype.apply=function(e){var t=this,n=e.getMeta(Se);if(n&&null!=n.setHandle)return new Oe(n.setHandle,null);if(n&&void 0!==n.setDragging)return new Oe(t.activeHandle,n.setDragging);if(t.activeHandle>-1&&e.docChanged){var r=e.mapping.map(t.activeHandle,-1);L(e.doc.resolve(r))||(r=null),t=new Oe(r,t.dragging)}return t}},function(e,t,n){var r=function(e){"use strict";var t=Object.prototype,n=t.hasOwnProperty,r="function"==typeof Symbol?Symbol:{},o=r.iterator||"@@iterator",i=r.asyncIterator||"@@asyncIterator",a=r.toStringTag||"@@toStringTag";function s(e,t,n){return Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}),e[t]}try{s({},"")}catch(e){s=function(e,t,n){return e[t]=n}}function u(e,t,n,r){var o=t&&t.prototype instanceof d?t:d,i=Object.create(o.prototype),a=new w(r||[]);return i._invoke=function(e,t,n){var r="suspendedStart";return function(o,i){if("executing"===r)throw new Error("Generator is already running");if("completed"===r){if("throw"===o)throw i;return T()}for(n.method=o,n.arg=i;;){var a=n.delegate;if(a){var s=M(a,n);if(s){if(s===l)continue;return s}}if("next"===n.method)n.sent=n._sent=n.arg;else if("throw"===n.method){if("suspendedStart"===r)throw r="completed",n.arg;n.dispatchException(n.arg)}else"return"===n.method&&n.abrupt("return",n.arg);r="executing";var u=c(e,t,n);if("normal"===u.type){if(r=n.done?"completed":"suspendedYield",u.arg===l)continue;return{value:u.arg,done:n.done}}"throw"===u.type&&(r="completed",n.method="throw",n.arg=u.arg)}}}(e,n,a),i}function c(e,t,n){try{return{type:"normal",arg:e.call(t,n)}}catch(e){return{type:"throw",arg:e}}}e.wrap=u;var l={};function d(){}function h(){}function f(){}var p={};p[o]=function(){return this};var m=Object.getPrototypeOf,_=m&&m(m(L([])));_&&_!==t&&n.call(_,o)&&(p=_);var g=f.prototype=d.prototype=Object.create(p);function y(e){["next","throw","return"].forEach((function(t){s(e,t,(function(e){return this._invoke(t,e)}))}))}function v(e,t){var r;this._invoke=function(o,i){function a(){return new t((function(r,a){!function r(o,i,a,s){var u=c(e[o],e,i);if("throw"!==u.type){var l=u.arg,d=l.value;return d&&"object"==typeof d&&n.call(d,"__await")?t.resolve(d.__await).then((function(e){r("next",e,a,s)}),(function(e){r("throw",e,a,s)})):t.resolve(d).then((function(e){l.value=e,a(l)}),(function(e){return r("throw",e,a,s)}))}s(u.arg)}(o,i,r,a)}))}return r=r?r.then(a,a):a()}}function M(e,t){var n=e.iterator[t.method];if(void 0===n){if(t.delegate=null,"throw"===t.method){if(e.iterator.return&&(t.method="return",t.arg=void 0,M(e,t),"throw"===t.method))return l;t.method="throw",t.arg=new TypeError("The iterator does not provide a 'throw' method")}return l}var r=c(n,e.iterator,t.arg);if("throw"===r.type)return t.method="throw",t.arg=r.arg,t.delegate=null,l;var o=r.arg;return o?o.done?(t[e.resultName]=o.value,t.next=e.nextLoc,"return"!==t.method&&(t.method="next",t.arg=void 0),t.delegate=null,l):o:(t.method="throw",t.arg=new TypeError("iterator result is not an object"),t.delegate=null,l)}function k(e){var t={tryLoc:e[0]};1 in e&&(t.catchLoc=e[1]),2 in e&&(t.finallyLoc=e[2],t.afterLoc=e[3]),this.tryEntries.push(t)}function b(e){var t=e.completion||{};t.type="normal",delete t.arg,e.completion=t}function w(e){this.tryEntries=[{tryLoc:"root"}],e.forEach(k,this),this.reset(!0)}function L(e){if(e){var t=e[o];if(t)return t.call(e);if("function"==typeof e.next)return e;if(!isNaN(e.length)){var r=-1,i=function t(){for(;++r<e.length;)if(n.call(e,r))return t.value=e[r],t.done=!1,t;return t.value=void 0,t.done=!0,t};return i.next=i}}return{next:T}}function T(){return{value:void 0,done:!0}}return h.prototype=g.constructor=f,f.constructor=h,h.displayName=s(f,a,"GeneratorFunction"),e.isGeneratorFunction=function(e){var t="function"==typeof e&&e.constructor;return!!t&&(t===h||"GeneratorFunction"===(t.displayName||t.name))},e.mark=function(e){return Object.setPrototypeOf?Object.setPrototypeOf(e,f):(e.__proto__=f,s(e,a,"GeneratorFunction")),e.prototype=Object.create(g),e},e.awrap=function(e){return{__await:e}},y(v.prototype),v.prototype[i]=function(){return this},e.AsyncIterator=v,e.async=function(t,n,r,o,i){void 0===i&&(i=Promise);var a=new v(u(t,n,r,o),i);return e.isGeneratorFunction(n)?a:a.next().then((function(e){return e.done?e.value:a.next()}))},y(g),s(g,a,"Generator"),g[o]=function(){return this},g.toString=function(){return"[object Generator]"},e.keys=function(e){var t=[];for(var n in e)t.push(n);return t.reverse(),function n(){for(;t.length;){var r=t.pop();if(r in e)return n.value=r,n.done=!1,n}return n.done=!0,n}},e.values=L,w.prototype={constructor:w,reset:function(e){if(this.prev=0,this.next=0,this.sent=this._sent=void 0,this.done=!1,this.delegate=null,this.method="next",this.arg=void 0,this.tryEntries.forEach(b),!e)for(var t in this)"t"===t.charAt(0)&&n.call(this,t)&&!isNaN(+t.slice(1))&&(this[t]=void 0)},stop:function(){this.done=!0;var e=this.tryEntries[0].completion;if("throw"===e.type)throw e.arg;return this.rval},dispatchException:function(e){if(this.done)throw e;var t=this;function r(n,r){return a.type="throw",a.arg=e,t.next=n,r&&(t.method="next",t.arg=void 0),!!r}for(var o=this.tryEntries.length-1;o>=0;--o){var i=this.tryEntries[o],a=i.completion;if("root"===i.tryLoc)return r("end");if(i.tryLoc<=this.prev){var s=n.call(i,"catchLoc"),u=n.call(i,"finallyLoc");if(s&&u){if(this.prev<i.catchLoc)return r(i.catchLoc,!0);if(this.prev<i.finallyLoc)return r(i.finallyLoc)}else if(s){if(this.prev<i.catchLoc)return r(i.catchLoc,!0)}else{if(!u)throw new Error("try statement without catch or finally");if(this.prev<i.finallyLoc)return r(i.finallyLoc)}}}},abrupt:function(e,t){for(var r=this.tryEntries.length-1;r>=0;--r){var o=this.tryEntries[r];if(o.tryLoc<=this.prev&&n.call(o,"finallyLoc")&&this.prev<o.finallyLoc){var i=o;break}}i&&("break"===e||"continue"===e)&&i.tryLoc<=t&&t<=i.finallyLoc&&(i=null);var a=i?i.completion:{};return a.type=e,a.arg=t,i?(this.method="next",this.next=i.finallyLoc,l):this.complete(a)},complete:function(e,t){if("throw"===e.type)throw e.arg;return"break"===e.type||"continue"===e.type?this.next=e.arg:"return"===e.type?(this.rval=this.arg=e.arg,this.method="return",this.next="end"):"normal"===e.type&&t&&(this.next=t),l},finish:function(e){for(var t=this.tryEntries.length-1;t>=0;--t){var n=this.tryEntries[t];if(n.finallyLoc===e)return this.complete(n.completion,n.afterLoc),b(n),l}},catch:function(e){for(var t=this.tryEntries.length-1;t>=0;--t){var n=this.tryEntries[t];if(n.tryLoc===e){var r=n.completion;if("throw"===r.type){var o=r.arg;b(n)}return o}}throw new Error("illegal catch attempt")},delegateYield:function(e,t,n){return this.delegate={iterator:L(e),resultName:t,nextLoc:n},"next"===this.method&&(this.arg=void 0),l}},e}(e.exports);try{regeneratorRuntime=r}catch(e){Function("r","regeneratorRuntime = r")(r)}},function(e,t,n){"use strict";e.exports=n(566)},function(e,t,n){"use strict";e.exports=n(567)},function(e,t,n){"use strict";e.exports.encode=n(568),e.exports.decode=n(569),e.exports.format=n(570),e.exports.parse=n(571)},function(e,t){e.exports=/[\0-\uD7FF\uE000-\uFFFF]|[\uD800-\uDBFF][\uDC00-\uDFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF]/},function(e,t){e.exports=/[\0-\x1F\x7F-\x9F]/},function(e,t){e.exports=/[ \xA0\u1680\u2000-\u200A\u2028\u2029\u202F\u205F\u3000]/},function(e,t,n){"use strict";var r="<[A-Za-z][A-Za-z0-9\\-]*(?:\\s+[a-zA-Z_:][a-zA-Z0-9:._-]*(?:\\s*=\\s*(?:[^\"'=<>`\\x00-\\x20]+|'[^']*'|\"[^\"]*\"))?)*\\s*\\/?>",o="<\\/[A-Za-z][A-Za-z0-9\\-]*\\s*>",i=new RegExp("^(?:"+r+"|"+o+"|\x3c!----\x3e|\x3c!--(?:-?[^>-])(?:-?[^-])*--\x3e|<[?].*?[?]>|<![A-Z]+\\s+[^>]*>|<!\\[CDATA\\[[\\s\\S]*?\\]\\]>)"),a=new RegExp("^(?:"+r+"|"+o+")");e.exports.HTML_TAG_RE=i,e.exports.HTML_OPEN_CLOSE_TAG_RE=a},function(e,t,n){"use strict";function r(e,t){var n,r,o,i,a,s=[],u=t.length;for(n=0;n<u;n++)126===(o=t[n]).marker&&-1!==o.end&&(i=t[o.end],(a=e.tokens[o.token]).type="s_open",a.tag="s",a.nesting=1,a.markup="~~",a.content="",(a=e.tokens[i.token]).type="s_close",a.tag="s",a.nesting=-1,a.markup="~~",a.content="","text"===e.tokens[i.token-1].type&&"~"===e.tokens[i.token-1].content&&s.push(i.token-1));for(;s.length;){for(r=(n=s.pop())+1;r<e.tokens.length&&"s_close"===e.tokens[r].type;)r++;n!==--r&&(a=e.tokens[r],e.tokens[r]=e.tokens[n],e.tokens[n]=a)}}e.exports.tokenize=function(e,t){var n,r,o,i,a=e.pos,s=e.src.charCodeAt(a);if(t)return!1;if(126!==s)return!1;if(o=(r=e.scanDelims(e.pos,!0)).length,i=String.fromCharCode(s),o<2)return!1;for(o%2&&(e.push("text","",0).content=i,o--),n=0;n<o;n+=2)e.push("text","",0).content=i+i,e.delimiters.push({marker:s,length:0,jump:n,token:e.tokens.length-1,end:-1,open:r.can_open,close:r.can_close});return e.pos+=r.length,!0},e.exports.postProcess=function(e){var t,n=e.tokens_meta,o=e.tokens_meta.length;for(r(e,e.delimiters),t=0;t<o;t++)n[t]&&n[t].delimiters&&r(e,n[t].delimiters)}},function(e,t,n){"use strict";function r(e,t){var n,r,o,i,a,s;for(n=t.length-1;n>=0;n--)95!==(r=t[n]).marker&&42!==r.marker||-1!==r.end&&(o=t[r.end],s=n>0&&t[n-1].end===r.end+1&&t[n-1].token===r.token-1&&t[r.end+1].token===o.token+1&&t[n-1].marker===r.marker,a=String.fromCharCode(r.marker),(i=e.tokens[r.token]).type=s?"strong_open":"em_open",i.tag=s?"strong":"em",i.nesting=1,i.markup=s?a+a:a,i.content="",(i=e.tokens[o.token]).type=s?"strong_close":"em_close",i.tag=s?"strong":"em",i.nesting=-1,i.markup=s?a+a:a,i.content="",s&&(e.tokens[t[n-1].token].content="",e.tokens[t[r.end+1].token].content="",n--))}e.exports.tokenize=function(e,t){var n,r,o=e.pos,i=e.src.charCodeAt(o);if(t)return!1;if(95!==i&&42!==i)return!1;for(r=e.scanDelims(e.pos,42===i),n=0;n<r.length;n++)e.push("text","",0).content=String.fromCharCode(i),e.delimiters.push({marker:i,length:r.length,jump:n,token:e.tokens.length-1,end:-1,open:r.can_open,close:r.can_close});return e.pos+=r.length,!0},e.exports.postProcess=function(e){var t,n=e.tokens_meta,o=e.tokens_meta.length;for(r(e,e.delimiters),t=0;t<o;t++)n[t]&&n[t].delimiters&&r(e,n[t].delimiters)}},,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,function(e,t,n){window,e.exports=function(e){var t={};function n(r){if(t[r])return t[r].exports;var o=t[r]={i:r,l:!1,exports:{}};return e[r].call(o.exports,o,o.exports,n),o.l=!0,o.exports}return n.m=e,n.c=t,n.d=function(e,t,r){n.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:r})},n.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},n.t=function(e,t){if(1&t&&(e=n(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var r=Object.create(null);if(n.r(r),Object.defineProperty(r,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)n.d(r,o,function(t){return e[t]}.bind(null,o));return r},n.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return n.d(t,"a",t),t},n.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},n.p="",n(n.s=3)}([function(e,t){e.exports=n(178)},function(e,t){e.exports=n(197)},function(e,t){e.exports=n(189)},function(e,t,n){"use strict";n.r(t);var r=n(0),o=n.n(r),i=n(1),a=n.n(i),s=n(2),u=new a.a,c=Object(s.getLocale)();[{locale:"ast",json:{charset:"utf-8",headers:{"Last-Translator":"enolp <enolp@softastur.org>, 2020","Language-Team":"Asturian (https://www.transifex.com/nextcloud/teams/64236/ast/)","Content-Type":"text/plain; charset=UTF-8",Language:"ast","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nenolp <enolp@softastur.org>, 2020\n"},msgstr:["Last-Translator: enolp <enolp@softastur.org>, 2020\nLanguage-Team: Asturian (https://www.transifex.com/nextcloud/teams/64236/ast/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ast\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundos"]}}}}},{locale:"cs_CZ",json:{charset:"utf-8",headers:{"Last-Translator":"Pavel Borecki <pavel.borecki@gmail.com>, 2020","Language-Team":"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)","Content-Type":"text/plain; charset=UTF-8",Language:"cs_CZ","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nPavel Borecki <pavel.borecki@gmail.com>, 2020\n"},msgstr:["Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: cs_CZ\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekund"]}}}}},{locale:"da",json:{charset:"utf-8",headers:{"Last-Translator":"Henrik Troels-Hansen <henrik@troels-hansen.dk>, 2020","Language-Team":"Danish (https://www.transifex.com/nextcloud/teams/64236/da/)","Content-Type":"text/plain; charset=UTF-8",Language:"da","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nHenrik Troels-Hansen <henrik@troels-hansen.dk>, 2020\n"},msgstr:["Last-Translator: Henrik Troels-Hansen <henrik@troels-hansen.dk>, 2020\nLanguage-Team: Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: da\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekunder"]}}}}},{locale:"de_DE",json:{charset:"utf-8",headers:{"Last-Translator":"Christoph Wurst <christoph@winzerhof-wurst.at>, 2020","Language-Team":"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)","Content-Type":"text/plain; charset=UTF-8",Language:"de_DE","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nChristoph Wurst <christoph@winzerhof-wurst.at>, 2020\n"},msgstr:["Last-Translator: Christoph Wurst <christoph@winzerhof-wurst.at>, 2020\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: de_DE\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["Sekunden"]}}}}},{locale:"el",json:{charset:"utf-8",headers:{"Last-Translator":"GRMarksman <alexakos01@gmail.com>, 2020","Language-Team":"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)","Content-Type":"text/plain; charset=UTF-8",Language:"el","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nGRMarksman <alexakos01@gmail.com>, 2020\n"},msgstr:["Last-Translator: GRMarksman <alexakos01@gmail.com>, 2020\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: el\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["δευτερόλεπτα"]}}}}},{locale:"en_GB",json:{charset:"utf-8",headers:{"Last-Translator":"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020","Language-Team":"English (United Kingdom) (https://www.transifex.com/nextcloud/teams/64236/en_GB/)","Content-Type":"text/plain; charset=UTF-8",Language:"en_GB","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\n"},msgstr:["Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\nLanguage-Team: English (United Kingdom) (https://www.transifex.com/nextcloud/teams/64236/en_GB/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: en_GB\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["seconds"]}}}}},{locale:"es",json:{charset:"utf-8",headers:{"Last-Translator":"Javier San Juan <javier.sj@trantor.es>, 2020","Language-Team":"Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)","Content-Type":"text/plain; charset=UTF-8",Language:"es","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nJavier San Juan <javier.sj@trantor.es>, 2020\n"},msgstr:["Last-Translator: Javier San Juan <javier.sj@trantor.es>, 2020\nLanguage-Team: Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: es\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundos"]}}}}},{locale:"eu",json:{charset:"utf-8",headers:{"Last-Translator":"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020","Language-Team":"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)","Content-Type":"text/plain; charset=UTF-8",Language:"eu","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\n"},msgstr:["Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: eu\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundo"]}}}}},{locale:"fr",json:{charset:"utf-8",headers:{"Last-Translator":"Yoplala <yoplala@pataraphe.net>, 2020","Language-Team":"French (https://www.transifex.com/nextcloud/teams/64236/fr/)","Content-Type":"text/plain; charset=UTF-8",Language:"fr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYoplala <yoplala@pataraphe.net>, 2020\n"},msgstr:["Last-Translator: Yoplala <yoplala@pataraphe.net>, 2020\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: fr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["secondes"]}}}}},{locale:"gl",json:{charset:"utf-8",headers:{"Last-Translator":"Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020","Language-Team":"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)","Content-Type":"text/plain; charset=UTF-8",Language:"gl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\n"},msgstr:["Last-Translator: Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: gl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundos"]}}}}},{locale:"he",json:{charset:"utf-8",headers:{"Last-Translator":"Yaron Shahrabani <sh.yaron@gmail.com>, 2020","Language-Team":"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)","Content-Type":"text/plain; charset=UTF-8",Language:"he","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\n"},msgstr:["Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: he\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["שניות"]}}}}},{locale:"hu_HU",json:{charset:"utf-8",headers:{"Last-Translator":"Balázs Meskó <meskobalazs@gmail.com>, 2020","Language-Team":"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)","Content-Type":"text/plain; charset=UTF-8",Language:"hu_HU","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nBalázs Meskó <meskobalazs@gmail.com>, 2020\n"},msgstr:["Last-Translator: Balázs Meskó <meskobalazs@gmail.com>, 2020\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: hu_HU\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["másodperc"]}}}}},{locale:"is",json:{charset:"utf-8",headers:{"Last-Translator":"Sveinn í Felli <sv1@fellsnet.is>, 2020","Language-Team":"Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)","Content-Type":"text/plain; charset=UTF-8",Language:"is","Plural-Forms":"nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nSveinn í Felli <sv1@fellsnet.is>, 2020\n"},msgstr:["Last-Translator: Sveinn í Felli <sv1@fellsnet.is>, 2020\nLanguage-Team: Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: is\nPlural-Forms: nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekúndur"]}}}}},{locale:"it",json:{charset:"utf-8",headers:{"Last-Translator":"Random_R, 2020","Language-Team":"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)","Content-Type":"text/plain; charset=UTF-8",Language:"it","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nRandom_R, 2020\n"},msgstr:["Last-Translator: Random_R, 2020\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: it\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["secondi"]}}}}},{locale:"ja_JP",json:{charset:"utf-8",headers:{"Last-Translator":"YANO Tetsu <tetuyano+transi@gmail.com>, 2020","Language-Team":"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)","Content-Type":"text/plain; charset=UTF-8",Language:"ja_JP","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\n"},msgstr:["Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ja_JP\nPlural-Forms: nplurals=1; plural=0;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["秒"]}}}}},{locale:"lt_LT",json:{charset:"utf-8",headers:{"Last-Translator":"Moo, 2020","Language-Team":"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)","Content-Type":"text/plain; charset=UTF-8",Language:"lt_LT","Plural-Forms":"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMoo, 2020\n"},msgstr:["Last-Translator: Moo, 2020\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lt_LT\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sek."]}}}}},{locale:"lv",json:{charset:"utf-8",headers:{"Last-Translator":"stendec <stendec@inbox.lv>, 2020","Language-Team":"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)","Content-Type":"text/plain; charset=UTF-8",Language:"lv","Plural-Forms":"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nstendec <stendec@inbox.lv>, 2020\n"},msgstr:["Last-Translator: stendec <stendec@inbox.lv>, 2020\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lv\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekundes"]}}}}},{locale:"mk",json:{charset:"utf-8",headers:{"Last-Translator":"Сашко Тодоров, 2020","Language-Team":"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)","Content-Type":"text/plain; charset=UTF-8",Language:"mk","Plural-Forms":"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nСашко Тодоров, 2020\n"},msgstr:["Last-Translator: Сашко Тодоров, 2020\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: mk\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["секунди"]}}}}},{locale:"nl",json:{charset:"utf-8",headers:{"Last-Translator":"Roeland Jago Douma <roeland@famdouma.nl>, 2020","Language-Team":"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)","Content-Type":"text/plain; charset=UTF-8",Language:"nl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\n"},msgstr:["Last-Translator: Roeland Jago Douma <roeland@famdouma.nl>, 2020\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: nl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["seconden"]}}}}},{locale:"oc",json:{charset:"utf-8",headers:{"Last-Translator":"Quentin PAGÈS, 2020","Language-Team":"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)","Content-Type":"text/plain; charset=UTF-8",Language:"oc","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nQuentin PAGÈS, 2020\n"},msgstr:["Last-Translator: Quentin PAGÈS, 2020\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: oc\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segondas"]}}}}},{locale:"pl",json:{charset:"utf-8",headers:{"Last-Translator":"Janusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020","Language-Team":"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)","Content-Type":"text/plain; charset=UTF-8",Language:"pl","Plural-Forms":"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nJanusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020\n"},msgstr:["Last-Translator: Janusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pl\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekundy"]}}}}},{locale:"pt_BR",json:{charset:"utf-8",headers:{"Last-Translator":"André Marcelo Alvarenga <alvarenga@kde.org>, 2020","Language-Team":"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_BR","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAndré Marcelo Alvarenga <alvarenga@kde.org>, 2020\n"},msgstr:["Last-Translator: André Marcelo Alvarenga <alvarenga@kde.org>, 2020\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_BR\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundos"]}}}}},{locale:"pt_PT",json:{charset:"utf-8",headers:{"Last-Translator":"fpapoila <fpapoila@gmail.com>, 2020","Language-Team":"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_PT","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nfpapoila <fpapoila@gmail.com>, 2020\n"},msgstr:["Last-Translator: fpapoila <fpapoila@gmail.com>, 2020\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_PT\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["segundos"]}}}}},{locale:"ru",json:{charset:"utf-8",headers:{"Last-Translator":"Игорь Бондаренко <garbond@yandex.ru>, 2020","Language-Team":"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)","Content-Type":"text/plain; charset=UTF-8",Language:"ru","Plural-Forms":"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nИгорь Бондаренко <garbond@yandex.ru>, 2020\n"},msgstr:["Last-Translator: Игорь Бондаренко <garbond@yandex.ru>, 2020\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ru\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["секунды"]}}}}},{locale:"sq",json:{charset:"utf-8",headers:{"Last-Translator":"Greta, 2020","Language-Team":"Albanian (https://www.transifex.com/nextcloud/teams/64236/sq/)","Content-Type":"text/plain; charset=UTF-8",Language:"sq","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nGreta, 2020\n"},msgstr:["Last-Translator: Greta, 2020\nLanguage-Team: Albanian (https://www.transifex.com/nextcloud/teams/64236/sq/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sq\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekonda"]}}}}},{locale:"sr",json:{charset:"utf-8",headers:{"Last-Translator":"Slobodan Simić <slsimic@gmail.com>, 2020","Language-Team":"Serbian (https://www.transifex.com/nextcloud/teams/64236/sr/)","Content-Type":"text/plain; charset=UTF-8",Language:"sr","Plural-Forms":"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<10 || n%100>=20) ? 1 : 2);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nSlobodan Simić <slsimic@gmail.com>, 2020\n"},msgstr:["Last-Translator: Slobodan Simić <slsimic@gmail.com>, 2020\nLanguage-Team: Serbian (https://www.transifex.com/nextcloud/teams/64236/sr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sr\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<10 || n%100>=20) ? 1 : 2);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["секунде"]}}}}},{locale:"sv",json:{charset:"utf-8",headers:{"Last-Translator":"Magnus Höglund, 2020","Language-Team":"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)","Content-Type":"text/plain; charset=UTF-8",Language:"sv","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMagnus Höglund, 2020\n"},msgstr:["Last-Translator: Magnus Höglund, 2020\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sv\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["sekunder"]}}}}},{locale:"tr",json:{charset:"utf-8",headers:{"Last-Translator":"Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020","Language-Team":"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)","Content-Type":"text/plain; charset=UTF-8",Language:"tr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nHüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\n"},msgstr:["Last-Translator: Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: tr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["saniye"]}}}}},{locale:"uk",json:{charset:"utf-8",headers:{"Last-Translator":"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020","Language-Team":"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)","Content-Type":"text/plain; charset=UTF-8",Language:"uk","Plural-Forms":"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\n"},msgstr:["Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: uk\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["секунди"]}}}}},{locale:"zh_CN",json:{charset:"utf-8",headers:{"Last-Translator":"Jay Guo <jayguo921@gmail.com>, 2020","Language-Team":"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_CN","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nJay Guo <jayguo921@gmail.com>, 2020\n"},msgstr:["Last-Translator: Jay Guo <jayguo921@gmail.com>, 2020\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_CN\nPlural-Forms: nplurals=1; plural=0;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["秒"]}}}}},{locale:"zh_TW",json:{charset:"utf-8",headers:{"Last-Translator":"Jim Tsai <poormusic2001@gmail.com>, 2020","Language-Team":"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_TW","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nJim Tsai <poormusic2001@gmail.com>, 2020\n"},msgstr:["Last-Translator: Jim Tsai <poormusic2001@gmail.com>, 2020\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_TW\nPlural-Forms: nplurals=1; plural=0;\n"]},seconds:{msgid:"seconds",comments:{reference:"lib/index.ts:22"},msgstr:["秒"]}}}}}].map((function(e){u.addTranslations(e.locale,"messages",e.json)})),u.setLocale(c),o.a.locale(c),o.a.updateLocale(o.a.locale(),{parentLocale:o.a.locale(),relativeTime:Object.assign(o.a.localeData(o.a.locale())._relativeTime,{s:u.gettext("seconds")})}),t.default=o.a}])},,,,function(e,t,n){"use strict";var r=n(560),o=n(561);t.highlight=i,t.highlightAuto=function(e,t){var n,a,s,u,c=t||{},l=c.subset||r.listLanguages(),d=c.prefix,h=l.length,f=-1;null==d&&(d="hljs-");if("string"!=typeof e)throw o("Expected `string` for value, got `%s`",e);a={relevance:0,language:null,value:[]},n={relevance:0,language:null,value:[]};for(;++f<h;)u=l[f],r.getLanguage(u)&&((s=i(u,e,t)).language=u,s.relevance>a.relevance&&(a=s),s.relevance>n.relevance&&(a=n,n=s));a.language&&(n.secondBest=a);return n},t.registerLanguage=function(e,t){r.registerLanguage(e,t)},t.listLanguages=function(){return r.listLanguages()},t.registerAlias=function(e,t){var n,o=e;t&&((o={})[e]=t);for(n in o)r.registerAliases(o[n],{languageName:n})},a.prototype.addText=function(e){var t,n,r=this.stack;if(""===e)return;t=r[r.length-1],(n=t.children[t.children.length-1])&&"text"===n.type?n.value+=e:t.children.push({type:"text",value:e})},a.prototype.addKeyword=function(e,t){this.openNode(t),this.addText(e),this.closeNode()},a.prototype.addSublanguage=function(e,t){var n=this.stack,r=n[n.length-1],o=e.rootNode.children,i=t?{type:"element",tagName:"span",properties:{className:[t]},children:o}:o;r.children=r.children.concat(i)},a.prototype.openNode=function(e){var t=this.stack,n=this.options.classPrefix+e,r=t[t.length-1],o={type:"element",tagName:"span",properties:{className:[n]},children:[]};r.children.push(o),t.push(o)},a.prototype.closeNode=function(){this.stack.pop()},a.prototype.closeAllNodes=s,a.prototype.finalize=s,a.prototype.toHTML=function(){return""};function i(e,t,n){var i,s=r.configure({}),u=(n||{}).prefix;if("string"!=typeof e)throw o("Expected `string` for name, got `%s`",e);if(!r.getLanguage(e))throw o("Unknown language: `%s` is not registered",e);if("string"!=typeof t)throw o("Expected `string` for value, got `%s`",t);if(null==u&&(u="hljs-"),r.configure({__emitter:a,classPrefix:u}),i=r.highlight(e,t,!0),r.configure(s),i.errorRaised)throw i.errorRaised;return{relevance:i.relevance,language:i.language,value:i.emitter.rootNode.children}}function a(e){this.options=e,this.rootNode={children:[]},this.stack=[this.rootNode]}function s(){}},function(e,t){function n(e){Object.freeze(e);var t="function"==typeof e;return Object.getOwnPropertyNames(e).forEach((function(r){!Object.hasOwnProperty.call(e,r)||null===e[r]||"object"!=typeof e[r]&&"function"!=typeof e[r]||t&&("caller"===r||"callee"===r||"arguments"===r)||Object.isFrozen(e[r])||n(e[r])})),e}class r{constructor(e){void 0===e.data&&(e.data={}),this.data=e.data}ignoreMatch(){this.ignore=!0}}function o(e){return e.replace(/&/g,"&amp;").replace(/</g,"&lt;").replace(/>/g,"&gt;").replace(/"/g,"&quot;").replace(/'/g,"&#x27;")}function i(e,...t){var n={};for(const t in e)n[t]=e[t];return t.forEach((function(e){for(const t in e)n[t]=e[t]})),n}function a(e){return e.nodeName.toLowerCase()}var s=Object.freeze({__proto__:null,escapeHTML:o,inherit:i,nodeStream:function(e){var t=[];return function e(n,r){for(var o=n.firstChild;o;o=o.nextSibling)3===o.nodeType?r+=o.nodeValue.length:1===o.nodeType&&(t.push({event:"start",offset:r,node:o}),r=e(o,r),a(o).match(/br|hr|img|input/)||t.push({event:"stop",offset:r,node:o}));return r}(e,0),t},mergeStreams:function(e,t,n){var r=0,i="",s=[];function u(){return e.length&&t.length?e[0].offset!==t[0].offset?e[0].offset<t[0].offset?e:t:"start"===t[0].event?e:t:e.length?e:t}function c(e){i+="<"+a(e)+[].map.call(e.attributes,(function(e){return" "+e.nodeName+'="'+o(e.value)+'"'})).join("")+">"}function l(e){i+="</"+a(e)+">"}function d(e){("start"===e.event?c:l)(e.node)}for(;e.length||t.length;){var h=u();if(i+=o(n.substring(r,h[0].offset)),r=h[0].offset,h===e){s.reverse().forEach(l);do{d(h.splice(0,1)[0]),h=u()}while(h===e&&h.length&&h[0].offset===r);s.reverse().forEach(c)}else"start"===h[0].event?s.push(h[0].node):s.pop(),d(h.splice(0,1)[0])}return i+o(n.substr(r))}});const u=e=>!!e.kind;class c{constructor(e,t){this.buffer="",this.classPrefix=t.classPrefix,e.walk(this)}addText(e){this.buffer+=o(e)}openNode(e){if(!u(e))return;let t=e.kind;e.sublanguage||(t=`${this.classPrefix}${t}`),this.span(t)}closeNode(e){u(e)&&(this.buffer+="</span>")}value(){return this.buffer}span(e){this.buffer+=`<span class="${e}">`}}class l{constructor(){this.rootNode={children:[]},this.stack=[this.rootNode]}get top(){return this.stack[this.stack.length-1]}get root(){return this.rootNode}add(e){this.top.children.push(e)}openNode(e){const t={kind:e,children:[]};this.add(t),this.stack.push(t)}closeNode(){if(this.stack.length>1)return this.stack.pop()}closeAllNodes(){for(;this.closeNode(););}toJSON(){return JSON.stringify(this.rootNode,null,4)}walk(e){return this.constructor._walk(e,this.rootNode)}static _walk(e,t){return"string"==typeof t?e.addText(t):t.children&&(e.openNode(t),t.children.forEach(t=>this._walk(e,t)),e.closeNode(t)),e}static _collapse(e){"string"!=typeof e&&e.children&&(e.children.every(e=>"string"==typeof e)?e.children=[e.children.join("")]:e.children.forEach(e=>{l._collapse(e)}))}}class d extends l{constructor(e){super(),this.options=e}addKeyword(e,t){""!==e&&(this.openNode(t),this.addText(e),this.closeNode())}addText(e){""!==e&&this.add(e)}addSublanguage(e,t){const n=e.root;n.kind=t,n.sublanguage=!0,this.add(n)}toHTML(){return new c(this,this.options).value()}finalize(){return!0}}function h(e){return e?"string"==typeof e?e:e.source:null}const f="(-?)(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",p={begin:"\\\\[\\s\\S]",relevance:0},m={className:"string",begin:"'",end:"'",illegal:"\\n",contains:[p]},_={className:"string",begin:'"',end:'"',illegal:"\\n",contains:[p]},g={begin:/\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\b/},y=function(e,t,n={}){var r=i({className:"comment",begin:e,end:t,contains:[]},n);return r.contains.push(g),r.contains.push({className:"doctag",begin:"(?:TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):",relevance:0}),r},v=y("//","$"),M=y("/\\*","\\*/"),k=y("#","$"),b={className:"number",begin:"\\b\\d+(\\.\\d+)?",relevance:0},w={className:"number",begin:f,relevance:0},L={className:"number",begin:"\\b(0b[01]+)",relevance:0},T={className:"number",begin:"\\b\\d+(\\.\\d+)?(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},D={begin:/(?=\/[^/\n]*\/)/,contains:[{className:"regexp",begin:/\//,end:/\/[gimuy]*/,illegal:/\n/,contains:[p,{begin:/\[/,end:/\]/,relevance:0,contains:[p]}]}]},x={className:"title",begin:"[a-zA-Z]\\w*",relevance:0},S={className:"title",begin:"[a-zA-Z_]\\w*",relevance:0},Y={begin:"\\.\\s*[a-zA-Z_]\\w*",relevance:0};var O=Object.freeze({__proto__:null,IDENT_RE:"[a-zA-Z]\\w*",UNDERSCORE_IDENT_RE:"[a-zA-Z_]\\w*",NUMBER_RE:"\\b\\d+(\\.\\d+)?",C_NUMBER_RE:f,BINARY_NUMBER_RE:"\\b(0b[01]+)",RE_STARTERS_RE:"!|!=|!==|%|%=|&|&&|&=|\\*|\\*=|\\+|\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\?|\\[|\\{|\\(|\\^|\\^=|\\||\\|=|\\|\\||~",SHEBANG:(e={})=>{const t=/^#![ ]*\//;return e.binary&&(e.begin=function(...e){return e.map(e=>h(e)).join("")}(t,/.*\b/,e.binary,/\b.*/)),i({className:"meta",begin:t,end:/$/,relevance:0,"on:begin":(e,t)=>{0!==e.index&&t.ignoreMatch()}},e)},BACKSLASH_ESCAPE:p,APOS_STRING_MODE:m,QUOTE_STRING_MODE:_,PHRASAL_WORDS_MODE:g,COMMENT:y,C_LINE_COMMENT_MODE:v,C_BLOCK_COMMENT_MODE:M,HASH_COMMENT_MODE:k,NUMBER_MODE:b,C_NUMBER_MODE:w,BINARY_NUMBER_MODE:L,CSS_NUMBER_MODE:T,REGEXP_MODE:D,TITLE_MODE:x,UNDERSCORE_TITLE_MODE:S,METHOD_GUARD:Y,END_SAME_AS_BEGIN:function(e){return Object.assign(e,{"on:begin":(e,t)=>{t.data._beginMatch=e[1]},"on:end":(e,t)=>{t.data._beginMatch!==e[1]&&t.ignoreMatch()}})}}),C="of and for in not or if then".split(" ");function E(e){function t(t,n){return new RegExp(h(t),"m"+(e.case_insensitive?"i":"")+(n?"g":""))}class n{constructor(){this.matchIndexes={},this.regexes=[],this.matchAt=1,this.position=0}addRule(e,t){t.position=this.position++,this.matchIndexes[this.matchAt]=t,this.regexes.push([t,e]),this.matchAt+=function(e){return new RegExp(e.toString()+"|").exec("").length-1}(e)+1}compile(){0===this.regexes.length&&(this.exec=()=>null);const e=this.regexes.map(e=>e[1]);this.matcherRe=t(function(e,t="|"){for(var n=/\[(?:[^\\\]]|\\.)*\]|\(\??|\\([1-9][0-9]*)|\\./,r=0,o="",i=0;i<e.length;i++){var a=r+=1,s=h(e[i]);for(i>0&&(o+=t),o+="(";s.length>0;){var u=n.exec(s);if(null==u){o+=s;break}o+=s.substring(0,u.index),s=s.substring(u.index+u[0].length),"\\"===u[0][0]&&u[1]?o+="\\"+String(Number(u[1])+a):(o+=u[0],"("===u[0]&&r++)}o+=")"}return o}(e),!0),this.lastIndex=0}exec(e){this.matcherRe.lastIndex=this.lastIndex;const t=this.matcherRe.exec(e);if(!t)return null;const n=t.findIndex((e,t)=>t>0&&void 0!==e),r=this.matchIndexes[n];return t.splice(0,n),Object.assign(t,r)}}class r{constructor(){this.rules=[],this.multiRegexes=[],this.count=0,this.lastIndex=0,this.regexIndex=0}getMatcher(e){if(this.multiRegexes[e])return this.multiRegexes[e];const t=new n;return this.rules.slice(e).forEach(([e,n])=>t.addRule(e,n)),t.compile(),this.multiRegexes[e]=t,t}considerAll(){this.regexIndex=0}addRule(e,t){this.rules.push([e,t]),"begin"===t.type&&this.count++}exec(e){const t=this.getMatcher(this.regexIndex);t.lastIndex=this.lastIndex;const n=t.exec(e);return n&&(this.regexIndex+=n.position+1,this.regexIndex===this.count&&(this.regexIndex=0)),n}}function o(e,t){const n=e.input[e.index-1],r=e.input[e.index+e[0].length];"."!==n&&"."!==r||t.ignoreMatch()}if(e.contains&&e.contains.includes("self"))throw new Error("ERR: contains `self` is not supported at the top-level of a language. See documentation.");return function n(a,s){const u=a;if(a.compiled)return u;a.compiled=!0,a.__beforeBegin=null,a.keywords=a.keywords||a.beginKeywords;let c=null;if("object"==typeof a.keywords&&(c=a.keywords.$pattern,delete a.keywords.$pattern),a.keywords&&(a.keywords=function(e,t){var n={};"string"==typeof e?r("keyword",e):Object.keys(e).forEach((function(t){r(t,e[t])}));return n;function r(e,r){t&&(r=r.toLowerCase()),r.split(" ").forEach((function(t){var r=t.split("|");n[r[0]]=[e,A(r[0],r[1])]}))}}(a.keywords,e.case_insensitive)),a.lexemes&&c)throw new Error("ERR: Prefer `keywords.$pattern` to `mode.lexemes`, BOTH are not allowed. (see mode reference) ");return u.keywordPatternRe=t(a.lexemes||c||/\w+/,!0),s&&(a.beginKeywords&&(a.begin="\\b("+a.beginKeywords.split(" ").join("|")+")(?=\\b|\\s)",a.__beforeBegin=o),a.begin||(a.begin=/\B|\b/),u.beginRe=t(a.begin),a.endSameAsBegin&&(a.end=a.begin),a.end||a.endsWithParent||(a.end=/\B|\b/),a.end&&(u.endRe=t(a.end)),u.terminator_end=h(a.end)||"",a.endsWithParent&&s.terminator_end&&(u.terminator_end+=(a.end?"|":"")+s.terminator_end)),a.illegal&&(u.illegalRe=t(a.illegal)),void 0===a.relevance&&(a.relevance=1),a.contains||(a.contains=[]),a.contains=[].concat(...a.contains.map((function(e){return function(e){e.variants&&!e.cached_variants&&(e.cached_variants=e.variants.map((function(t){return i(e,{variants:null},t)})));if(e.cached_variants)return e.cached_variants;if(function e(t){return!!t&&(t.endsWithParent||e(t.starts))}(e))return i(e,{starts:e.starts?i(e.starts):null});if(Object.isFrozen(e))return i(e);return e}("self"===e?a:e)}))),a.contains.forEach((function(e){n(e,u)})),a.starts&&n(a.starts,s),u.matcher=function(e){const t=new r;return e.contains.forEach(e=>t.addRule(e.begin,{rule:e,type:"begin"})),e.terminator_end&&t.addRule(e.terminator_end,{type:"end"}),e.illegal&&t.addRule(e.illegal,{type:"illegal"}),t}(u),u}(e)}function A(e,t){return t?Number(t):function(e){return C.includes(e.toLowerCase())}(e)?0:1}const j=o,H=i,{nodeStream:P,mergeStreams:N}=s,R=Symbol("nomatch");var F=function(e){var t=[],o=Object.create(null),i=Object.create(null),a=[],s=!0,u=/(^(<[^>]+>|\t|)+|\n)/gm,c="Could not find the language '{}', did you forget to load/include a language module?";const l={disableAutodetect:!0,name:"Plain text",contains:[]};var h={noHighlightRe:/^(no-?highlight)$/i,languageDetectRe:/\blang(?:uage)?-([\w-]+)\b/i,classPrefix:"hljs-",tabReplace:null,useBR:!1,languages:null,__emitter:d};function f(e){return h.noHighlightRe.test(e)}function p(e,t,n,r){var o={code:t,language:e};w("before:highlight",o);var i=o.result?o.result:m(o.language,o.code,n,r);return i.code=o.code,w("after:highlight",i),i}function m(e,t,n,i){var a=t;function u(e,t){var n=k.case_insensitive?t[0].toLowerCase():t[0];return Object.prototype.hasOwnProperty.call(e.keywords,n)&&e.keywords[n]}function l(){null!=L.subLanguage?function(){if(""!==x){var e=null;if("string"==typeof L.subLanguage){if(!o[L.subLanguage])return void D.addText(x);e=m(L.subLanguage,x,!0,T[L.subLanguage]),T[L.subLanguage]=e.top}else e=_(x,L.subLanguage.length?L.subLanguage:null);L.relevance>0&&(S+=e.relevance),D.addSublanguage(e.emitter,e.language)}}():function(){if(!L.keywords)return void D.addText(x);let e=0;L.keywordPatternRe.lastIndex=0;let t=L.keywordPatternRe.exec(x),n="";for(;t;){n+=x.substring(e,t.index);const r=u(L,t);if(r){const[e,o]=r;D.addText(n),n="",S+=o,D.addKeyword(t[0],e)}else n+=t[0];e=L.keywordPatternRe.lastIndex,t=L.keywordPatternRe.exec(x)}n+=x.substr(e),D.addText(n)}(),x=""}function d(e){return e.className&&D.openNode(e.className),L=Object.create(e,{parent:{value:L}})}function f(e){return 0===L.matcher.regexIndex?(x+=e[0],1):(C=!0,0)}function p(e){var t=e[0],n=e.rule;const o=new r(n),i=[n.__beforeBegin,n["on:begin"]];for(const n of i)if(n&&(n(e,o),o.ignore))return f(t);return n&&n.endSameAsBegin&&(n.endRe=new RegExp(t.replace(/[-/\\^$*+?.()|[\]{}]/g,"\\$&"),"m")),n.skip?x+=t:(n.excludeBegin&&(x+=t),l(),n.returnBegin||n.excludeBegin||(x=t)),d(n),n.returnBegin?0:t.length}function g(e){var t=e[0],n=a.substr(e.index),o=function e(t,n,o){let i=function(e,t){var n=e&&e.exec(t);return n&&0===n.index}(t.endRe,o);if(i){if(t["on:end"]){const e=new r(t);t["on:end"](n,e),e.ignore&&(i=!1)}if(i){for(;t.endsParent&&t.parent;)t=t.parent;return t}}if(t.endsWithParent)return e(t.parent,n,o)}(L,e,n);if(!o)return R;var i=L;i.skip?x+=t:(i.returnEnd||i.excludeEnd||(x+=t),l(),i.excludeEnd&&(x=t));do{L.className&&D.closeNode(),L.skip||L.subLanguage||(S+=L.relevance),L=L.parent}while(L!==o.parent);return o.starts&&(o.endSameAsBegin&&(o.starts.endRe=o.endRe),d(o.starts)),i.returnEnd?0:t.length}var y={};function v(t,r){var o=r&&r[0];if(x+=t,null==o)return l(),0;if("begin"===y.type&&"end"===r.type&&y.index===r.index&&""===o){if(x+=a.slice(r.index,r.index+1),!s){const t=new Error("0 width match regex");throw t.languageName=e,t.badRule=y.rule,t}return 1}if(y=r,"begin"===r.type)return p(r);if("illegal"===r.type&&!n){const e=new Error('Illegal lexeme "'+o+'" for mode "'+(L.className||"<unnamed>")+'"');throw e.mode=L,e}if("end"===r.type){var i=g(r);if(i!==R)return i}if("illegal"===r.type&&""===o)return 1;if(O>1e5&&O>3*r.index){throw new Error("potential infinite loop, way more iterations than matches")}return x+=o,o.length}var k=M(e);if(!k)throw console.error(c.replace("{}",e)),new Error('Unknown language: "'+e+'"');var b=E(k),w="",L=i||b,T={},D=new h.__emitter(h);!function(){for(var e=[],t=L;t!==k;t=t.parent)t.className&&e.unshift(t.className);e.forEach(e=>D.openNode(e))}();var x="",S=0,Y=0,O=0,C=!1;try{for(L.matcher.considerAll();;){O++,C?C=!1:(L.matcher.lastIndex=Y,L.matcher.considerAll());const e=L.matcher.exec(a);if(!e)break;const t=v(a.substring(Y,e.index),e);Y=e.index+t}return v(a.substr(Y)),D.closeAllNodes(),D.finalize(),w=D.toHTML(),{relevance:S,value:w,language:e,illegal:!1,emitter:D,top:L}}catch(t){if(t.message&&t.message.includes("Illegal"))return{illegal:!0,illegalBy:{msg:t.message,context:a.slice(Y-100,Y+100),mode:t.mode},sofar:w,relevance:0,value:j(a),emitter:D};if(s)return{illegal:!1,relevance:0,value:j(a),emitter:D,language:e,top:L,errorRaised:t};throw t}}function _(e,t){t=t||h.languages||Object.keys(o);var n=function(e){const t={relevance:0,emitter:new h.__emitter(h),value:j(e),illegal:!1,top:l};return t.emitter.addText(e),t}(e),r=n;return t.filter(M).filter(b).forEach((function(t){var o=m(t,e,!1);o.language=t,o.relevance>r.relevance&&(r=o),o.relevance>n.relevance&&(r=n,n=o)})),r.language&&(n.second_best=r),n}function g(e){return h.tabReplace||h.useBR?e.replace(u,e=>"\n"===e?h.useBR?"<br>":e:h.tabReplace?e.replace(/\t/g,h.tabReplace):e):e}function y(e){let t=null;const n=function(e){var t=e.className+" ";t+=e.parentNode?e.parentNode.className:"";const n=h.languageDetectRe.exec(t);if(n){var r=M(n[1]);return r||(console.warn(c.replace("{}",n[1])),console.warn("Falling back to no-highlight mode for this block.",e)),r?n[1]:"no-highlight"}return t.split(/\s+/).find(e=>f(e)||M(e))}(e);if(f(n))return;w("before:highlightBlock",{block:e,language:n}),h.useBR?(t=document.createElement("div"),t.innerHTML=e.innerHTML.replace(/\n/g,"").replace(/<br[ /]*>/g,"\n")):t=e;const r=t.textContent,o=n?p(n,r,!0):_(r),a=P(t);if(a.length){const e=document.createElement("div");e.innerHTML=o.value,o.value=N(a,P(e),r)}o.value=g(o.value),w("after:highlightBlock",{block:e,result:o}),e.innerHTML=o.value,e.className=function(e,t,n){var r=t?i[t]:n,o=[e.trim()];return e.match(/\bhljs\b/)||o.push("hljs"),e.includes(r)||o.push(r),o.join(" ").trim()}(e.className,n,o.language),e.result={language:o.language,re:o.relevance,relavance:o.relevance},o.second_best&&(e.second_best={language:o.second_best.language,re:o.second_best.relevance,relavance:o.second_best.relevance})}const v=()=>{if(!v.called){v.called=!0;var e=document.querySelectorAll("pre code");t.forEach.call(e,y)}};function M(e){return e=(e||"").toLowerCase(),o[e]||o[i[e]]}function k(e,{languageName:t}){"string"==typeof e&&(e=[e]),e.forEach(e=>{i[e]=t})}function b(e){var t=M(e);return t&&!t.disableAutodetect}function w(e,t){var n=e;a.forEach((function(e){e[n]&&e[n](t)}))}Object.assign(e,{highlight:p,highlightAuto:_,fixMarkup:g,highlightBlock:y,configure:function(e){h=H(h,e)},initHighlighting:v,initHighlightingOnLoad:function(){window.addEventListener("DOMContentLoaded",v,!1)},registerLanguage:function(t,n){var r=null;try{r=n(e)}catch(e){if(console.error("Language definition for '{}' could not be registered.".replace("{}",t)),!s)throw e;console.error(e),r=l}r.name||(r.name=t),o[t]=r,r.rawDefinition=n.bind(null,e),r.aliases&&k(r.aliases,{languageName:t})},listLanguages:function(){return Object.keys(o)},getLanguage:M,registerAliases:k,requireLanguage:function(e){var t=M(e);if(t)return t;throw new Error("The '{}' language is required, but not loaded.".replace("{}",e))},autoDetection:b,inherit:H,addPlugin:function(e){a.push(e)}}),e.debugMode=function(){s=!1},e.safeMode=function(){s=!0},e.versionString="10.1.2";for(const e in O)"object"==typeof O[e]&&n(O[e]);return Object.assign(e,O),e}({});e.exports=F},function(e,t,n){"use strict";var r=n(562),o=i(Error);function i(e){return t.displayName=e.displayName||e.name,t;function t(t){return t&&(t=r.apply(null,arguments)),new e(t)}}e.exports=o,o.eval=i(EvalError),o.range=i(RangeError),o.reference=i(ReferenceError),o.syntax=i(SyntaxError),o.type=i(TypeError),o.uri=i(URIError),o.create=i},function(e,t,n){!function(){var t;function n(e){for(var t,n,r,o,i=1,a=[].slice.call(arguments),s=0,u=e.length,c="",l=!1,d=!1,h=function(){return a[i++]},f=function(){for(var n="";/\d/.test(e[s]);)n+=e[s++],t=e[s];return n.length>0?parseInt(n):null};s<u;++s)if(t=e[s],l)switch(l=!1,"."==t?(d=!1,t=e[++s]):"0"==t&&"."==e[s+1]?(d=!0,t=e[s+=2]):d=!0,o=f(),t){case"b":c+=parseInt(h(),10).toString(2);break;case"c":c+="string"==typeof(n=h())||n instanceof String?n:String.fromCharCode(parseInt(n,10));break;case"d":c+=parseInt(h(),10);break;case"f":r=String(parseFloat(h()).toFixed(o||6)),c+=d?r:r.replace(/^0/,"");break;case"j":c+=JSON.stringify(h());break;case"o":c+="0"+parseInt(h(),10).toString(8);break;case"s":c+=h();break;case"x":c+="0x"+parseInt(h(),10).toString(16);break;case"X":c+="0x"+parseInt(h(),10).toString(16).toUpperCase();break;default:c+=t}else"%"===t?l=!0:c+=t;return c}(t=e.exports=n).format=n,t.vsprintf=function(e,t){return n.apply(null,[e].concat(t))},"undefined"!=typeof console&&"function"==typeof console.log&&(t.printf=function(){console.log(n.apply(null,arguments))})}()},function(e,t,n){(function(e){function n(e,t){for(var n=0,r=e.length-1;r>=0;r--){var o=e[r];"."===o?e.splice(r,1):".."===o?(e.splice(r,1),n++):n&&(e.splice(r,1),n--)}if(t)for(;n--;n)e.unshift("..");return e}function r(e,t){if(e.filter)return e.filter(t);for(var n=[],r=0;r<e.length;r++)t(e[r],r,e)&&n.push(e[r]);return n}t.resolve=function(){for(var t="",o=!1,i=arguments.length-1;i>=-1&&!o;i--){var a=i>=0?arguments[i]:e.cwd();if("string"!=typeof a)throw new TypeError("Arguments to path.resolve must be strings");a&&(t=a+"/"+t,o="/"===a.charAt(0))}return(o?"/":"")+(t=n(r(t.split("/"),(function(e){return!!e})),!o).join("/"))||"."},t.normalize=function(e){var i=t.isAbsolute(e),a="/"===o(e,-1);return(e=n(r(e.split("/"),(function(e){return!!e})),!i).join("/"))||i||(e="."),e&&a&&(e+="/"),(i?"/":"")+e},t.isAbsolute=function(e){return"/"===e.charAt(0)},t.join=function(){var e=Array.prototype.slice.call(arguments,0);return t.normalize(r(e,(function(e,t){if("string"!=typeof e)throw new TypeError("Arguments to path.join must be strings");return e})).join("/"))},t.relative=function(e,n){function r(e){for(var t=0;t<e.length&&""===e[t];t++);for(var n=e.length-1;n>=0&&""===e[n];n--);return t>n?[]:e.slice(t,n-t+1)}e=t.resolve(e).substr(1),n=t.resolve(n).substr(1);for(var o=r(e.split("/")),i=r(n.split("/")),a=Math.min(o.length,i.length),s=a,u=0;u<a;u++)if(o[u]!==i[u]){s=u;break}var c=[];for(u=s;u<o.length;u++)c.push("..");return(c=c.concat(i.slice(s))).join("/")},t.sep="/",t.delimiter=":",t.dirname=function(e){if("string"!=typeof e&&(e+=""),0===e.length)return".";for(var t=e.charCodeAt(0),n=47===t,r=-1,o=!0,i=e.length-1;i>=1;--i)if(47===(t=e.charCodeAt(i))){if(!o){r=i;break}}else o=!1;return-1===r?n?"/":".":n&&1===r?"/":e.slice(0,r)},t.basename=function(e,t){var n=function(e){"string"!=typeof e&&(e+="");var t,n=0,r=-1,o=!0;for(t=e.length-1;t>=0;--t)if(47===e.charCodeAt(t)){if(!o){n=t+1;break}}else-1===r&&(o=!1,r=t+1);return-1===r?"":e.slice(n,r)}(e);return t&&n.substr(-1*t.length)===t&&(n=n.substr(0,n.length-t.length)),n},t.extname=function(e){"string"!=typeof e&&(e+="");for(var t=-1,n=0,r=-1,o=!0,i=0,a=e.length-1;a>=0;--a){var s=e.charCodeAt(a);if(47!==s)-1===r&&(o=!1,r=a+1),46===s?-1===t?t=a:1!==i&&(i=1):-1!==t&&(i=-1);else if(!o){n=a+1;break}}return-1===t||-1===r||0===i||1===i&&t===r-1&&t===n+1?"":e.slice(t,r)};var o="b"==="ab".substr(-1)?function(e,t,n){return e.substr(t,n)}:function(e,t,n){return t<0&&(t=e.length+t),e.substr(t,n)}}).call(this,n(47))},,,function(e,t,n){"use strict";var r=n(179),o=n(574),i=n(578),a=n(579),s=n(587),u=n(601),c=n(614),l=n(356),d=n(616),h={default:n(617),zero:n(618),commonmark:n(619)},f=/^(vbscript|javascript|file|data):/,p=/^data:image\/(gif|png|jpeg|webp);/;function m(e){var t=e.trim().toLowerCase();return!f.test(t)||!!p.test(t)}var _=["http:","https:","mailto:"];function g(e){var t=l.parse(e,!0);if(t.hostname&&(!t.protocol||_.indexOf(t.protocol)>=0))try{t.hostname=d.toASCII(t.hostname)}catch(e){}return l.encode(l.format(t))}function y(e){var t=l.parse(e,!0);if(t.hostname&&(!t.protocol||_.indexOf(t.protocol)>=0))try{t.hostname=d.toUnicode(t.hostname)}catch(e){}return l.decode(l.format(t))}function v(e,t){if(!(this instanceof v))return new v(e,t);t||r.isString(e)||(t=e||{},e="default"),this.inline=new u,this.block=new s,this.core=new a,this.renderer=new i,this.linkify=new c,this.validateLink=m,this.normalizeLink=g,this.normalizeLinkText=y,this.utils=r,this.helpers=r.assign({},o),this.options={},this.configure(e),t&&this.set(t)}v.prototype.set=function(e){return r.assign(this.options,e),this},v.prototype.configure=function(e){var t,n=this;if(r.isString(e)&&!(e=h[t=e]))throw new Error('Wrong `markdown-it` preset "'+t+'", check name');if(!e)throw new Error("Wrong `markdown-it` preset, can't be empty");return e.options&&n.set(e.options),e.components&&Object.keys(e.components).forEach((function(t){e.components[t].rules&&n[t].ruler.enableOnly(e.components[t].rules),e.components[t].rules2&&n[t].ruler2.enableOnly(e.components[t].rules2)})),this},v.prototype.enable=function(e,t){var n=[];Array.isArray(e)||(e=[e]),["core","block","inline"].forEach((function(t){n=n.concat(this[t].ruler.enable(e,!0))}),this),n=n.concat(this.inline.ruler2.enable(e,!0));var r=e.filter((function(e){return n.indexOf(e)<0}));if(r.length&&!t)throw new Error("MarkdownIt. Failed to enable unknown rule(s): "+r);return this},v.prototype.disable=function(e,t){var n=[];Array.isArray(e)||(e=[e]),["core","block","inline"].forEach((function(t){n=n.concat(this[t].ruler.disable(e,!0))}),this),n=n.concat(this.inline.ruler2.disable(e,!0));var r=e.filter((function(e){return n.indexOf(e)<0}));if(r.length&&!t)throw new Error("MarkdownIt. Failed to disable unknown rule(s): "+r);return this},v.prototype.use=function(e){var t=[this].concat(Array.prototype.slice.call(arguments,1));return e.apply(e,t),this},v.prototype.parse=function(e,t){if("string"!=typeof e)throw new Error("Input data should be a String");var n=new this.core.State(e,this,t);return this.core.process(n),n.tokens},v.prototype.render=function(e,t){return t=t||{},this.renderer.render(this.parse(e,t),this.options,t)},v.prototype.parseInline=function(e,t){var n=new this.core.State(e,this,t);return n.inlineMode=!0,this.core.process(n),n.tokens},v.prototype.renderInline=function(e,t){return t=t||{},this.renderer.render(this.parseInline(e,t),this.options,t)},e.exports=v},function(e){e.exports=JSON.parse('{"Aacute":"Á","aacute":"á","Abreve":"Ă","abreve":"ă","ac":"∾","acd":"∿","acE":"∾̳","Acirc":"Â","acirc":"â","acute":"´","Acy":"А","acy":"а","AElig":"Æ","aelig":"æ","af":"⁡","Afr":"𝔄","afr":"𝔞","Agrave":"À","agrave":"à","alefsym":"ℵ","aleph":"ℵ","Alpha":"Α","alpha":"α","Amacr":"Ā","amacr":"ā","amalg":"⨿","amp":"&","AMP":"&","andand":"⩕","And":"⩓","and":"∧","andd":"⩜","andslope":"⩘","andv":"⩚","ang":"∠","ange":"⦤","angle":"∠","angmsdaa":"⦨","angmsdab":"⦩","angmsdac":"⦪","angmsdad":"⦫","angmsdae":"⦬","angmsdaf":"⦭","angmsdag":"⦮","angmsdah":"⦯","angmsd":"∡","angrt":"∟","angrtvb":"⊾","angrtvbd":"⦝","angsph":"∢","angst":"Å","angzarr":"⍼","Aogon":"Ą","aogon":"ą","Aopf":"𝔸","aopf":"𝕒","apacir":"⩯","ap":"≈","apE":"⩰","ape":"≊","apid":"≋","apos":"\'","ApplyFunction":"⁡","approx":"≈","approxeq":"≊","Aring":"Å","aring":"å","Ascr":"𝒜","ascr":"𝒶","Assign":"≔","ast":"*","asymp":"≈","asympeq":"≍","Atilde":"Ã","atilde":"ã","Auml":"Ä","auml":"ä","awconint":"∳","awint":"⨑","backcong":"≌","backepsilon":"϶","backprime":"‵","backsim":"∽","backsimeq":"⋍","Backslash":"∖","Barv":"⫧","barvee":"⊽","barwed":"⌅","Barwed":"⌆","barwedge":"⌅","bbrk":"⎵","bbrktbrk":"⎶","bcong":"≌","Bcy":"Б","bcy":"б","bdquo":"„","becaus":"∵","because":"∵","Because":"∵","bemptyv":"⦰","bepsi":"϶","bernou":"ℬ","Bernoullis":"ℬ","Beta":"Β","beta":"β","beth":"ℶ","between":"≬","Bfr":"𝔅","bfr":"𝔟","bigcap":"⋂","bigcirc":"◯","bigcup":"⋃","bigodot":"⨀","bigoplus":"⨁","bigotimes":"⨂","bigsqcup":"⨆","bigstar":"★","bigtriangledown":"▽","bigtriangleup":"△","biguplus":"⨄","bigvee":"⋁","bigwedge":"⋀","bkarow":"⤍","blacklozenge":"⧫","blacksquare":"▪","blacktriangle":"▴","blacktriangledown":"▾","blacktriangleleft":"◂","blacktriangleright":"▸","blank":"␣","blk12":"▒","blk14":"░","blk34":"▓","block":"█","bne":"=⃥","bnequiv":"≡⃥","bNot":"⫭","bnot":"⌐","Bopf":"𝔹","bopf":"𝕓","bot":"⊥","bottom":"⊥","bowtie":"⋈","boxbox":"⧉","boxdl":"┐","boxdL":"╕","boxDl":"╖","boxDL":"╗","boxdr":"┌","boxdR":"╒","boxDr":"╓","boxDR":"╔","boxh":"─","boxH":"═","boxhd":"┬","boxHd":"╤","boxhD":"╥","boxHD":"╦","boxhu":"┴","boxHu":"╧","boxhU":"╨","boxHU":"╩","boxminus":"⊟","boxplus":"⊞","boxtimes":"⊠","boxul":"┘","boxuL":"╛","boxUl":"╜","boxUL":"╝","boxur":"└","boxuR":"╘","boxUr":"╙","boxUR":"╚","boxv":"│","boxV":"║","boxvh":"┼","boxvH":"╪","boxVh":"╫","boxVH":"╬","boxvl":"┤","boxvL":"╡","boxVl":"╢","boxVL":"╣","boxvr":"├","boxvR":"╞","boxVr":"╟","boxVR":"╠","bprime":"‵","breve":"˘","Breve":"˘","brvbar":"¦","bscr":"𝒷","Bscr":"ℬ","bsemi":"⁏","bsim":"∽","bsime":"⋍","bsolb":"⧅","bsol":"\\\\","bsolhsub":"⟈","bull":"•","bullet":"•","bump":"≎","bumpE":"⪮","bumpe":"≏","Bumpeq":"≎","bumpeq":"≏","Cacute":"Ć","cacute":"ć","capand":"⩄","capbrcup":"⩉","capcap":"⩋","cap":"∩","Cap":"⋒","capcup":"⩇","capdot":"⩀","CapitalDifferentialD":"ⅅ","caps":"∩︀","caret":"⁁","caron":"ˇ","Cayleys":"ℭ","ccaps":"⩍","Ccaron":"Č","ccaron":"č","Ccedil":"Ç","ccedil":"ç","Ccirc":"Ĉ","ccirc":"ĉ","Cconint":"∰","ccups":"⩌","ccupssm":"⩐","Cdot":"Ċ","cdot":"ċ","cedil":"¸","Cedilla":"¸","cemptyv":"⦲","cent":"¢","centerdot":"·","CenterDot":"·","cfr":"𝔠","Cfr":"ℭ","CHcy":"Ч","chcy":"ч","check":"✓","checkmark":"✓","Chi":"Χ","chi":"χ","circ":"ˆ","circeq":"≗","circlearrowleft":"↺","circlearrowright":"↻","circledast":"⊛","circledcirc":"⊚","circleddash":"⊝","CircleDot":"⊙","circledR":"®","circledS":"Ⓢ","CircleMinus":"⊖","CirclePlus":"⊕","CircleTimes":"⊗","cir":"○","cirE":"⧃","cire":"≗","cirfnint":"⨐","cirmid":"⫯","cirscir":"⧂","ClockwiseContourIntegral":"∲","CloseCurlyDoubleQuote":"”","CloseCurlyQuote":"’","clubs":"♣","clubsuit":"♣","colon":":","Colon":"∷","Colone":"⩴","colone":"≔","coloneq":"≔","comma":",","commat":"@","comp":"∁","compfn":"∘","complement":"∁","complexes":"ℂ","cong":"≅","congdot":"⩭","Congruent":"≡","conint":"∮","Conint":"∯","ContourIntegral":"∮","copf":"𝕔","Copf":"ℂ","coprod":"∐","Coproduct":"∐","copy":"©","COPY":"©","copysr":"℗","CounterClockwiseContourIntegral":"∳","crarr":"↵","cross":"✗","Cross":"⨯","Cscr":"𝒞","cscr":"𝒸","csub":"⫏","csube":"⫑","csup":"⫐","csupe":"⫒","ctdot":"⋯","cudarrl":"⤸","cudarrr":"⤵","cuepr":"⋞","cuesc":"⋟","cularr":"↶","cularrp":"⤽","cupbrcap":"⩈","cupcap":"⩆","CupCap":"≍","cup":"∪","Cup":"⋓","cupcup":"⩊","cupdot":"⊍","cupor":"⩅","cups":"∪︀","curarr":"↷","curarrm":"⤼","curlyeqprec":"⋞","curlyeqsucc":"⋟","curlyvee":"⋎","curlywedge":"⋏","curren":"¤","curvearrowleft":"↶","curvearrowright":"↷","cuvee":"⋎","cuwed":"⋏","cwconint":"∲","cwint":"∱","cylcty":"⌭","dagger":"†","Dagger":"‡","daleth":"ℸ","darr":"↓","Darr":"↡","dArr":"⇓","dash":"‐","Dashv":"⫤","dashv":"⊣","dbkarow":"⤏","dblac":"˝","Dcaron":"Ď","dcaron":"ď","Dcy":"Д","dcy":"д","ddagger":"‡","ddarr":"⇊","DD":"ⅅ","dd":"ⅆ","DDotrahd":"⤑","ddotseq":"⩷","deg":"°","Del":"∇","Delta":"Δ","delta":"δ","demptyv":"⦱","dfisht":"⥿","Dfr":"𝔇","dfr":"𝔡","dHar":"⥥","dharl":"⇃","dharr":"⇂","DiacriticalAcute":"´","DiacriticalDot":"˙","DiacriticalDoubleAcute":"˝","DiacriticalGrave":"`","DiacriticalTilde":"˜","diam":"⋄","diamond":"⋄","Diamond":"⋄","diamondsuit":"♦","diams":"♦","die":"¨","DifferentialD":"ⅆ","digamma":"ϝ","disin":"⋲","div":"÷","divide":"÷","divideontimes":"⋇","divonx":"⋇","DJcy":"Ђ","djcy":"ђ","dlcorn":"⌞","dlcrop":"⌍","dollar":"$","Dopf":"𝔻","dopf":"𝕕","Dot":"¨","dot":"˙","DotDot":"⃜","doteq":"≐","doteqdot":"≑","DotEqual":"≐","dotminus":"∸","dotplus":"∔","dotsquare":"⊡","doublebarwedge":"⌆","DoubleContourIntegral":"∯","DoubleDot":"¨","DoubleDownArrow":"⇓","DoubleLeftArrow":"⇐","DoubleLeftRightArrow":"⇔","DoubleLeftTee":"⫤","DoubleLongLeftArrow":"⟸","DoubleLongLeftRightArrow":"⟺","DoubleLongRightArrow":"⟹","DoubleRightArrow":"⇒","DoubleRightTee":"⊨","DoubleUpArrow":"⇑","DoubleUpDownArrow":"⇕","DoubleVerticalBar":"∥","DownArrowBar":"⤓","downarrow":"↓","DownArrow":"↓","Downarrow":"⇓","DownArrowUpArrow":"⇵","DownBreve":"̑","downdownarrows":"⇊","downharpoonleft":"⇃","downharpoonright":"⇂","DownLeftRightVector":"⥐","DownLeftTeeVector":"⥞","DownLeftVectorBar":"⥖","DownLeftVector":"↽","DownRightTeeVector":"⥟","DownRightVectorBar":"⥗","DownRightVector":"⇁","DownTeeArrow":"↧","DownTee":"⊤","drbkarow":"⤐","drcorn":"⌟","drcrop":"⌌","Dscr":"𝒟","dscr":"𝒹","DScy":"Ѕ","dscy":"ѕ","dsol":"⧶","Dstrok":"Đ","dstrok":"đ","dtdot":"⋱","dtri":"▿","dtrif":"▾","duarr":"⇵","duhar":"⥯","dwangle":"⦦","DZcy":"Џ","dzcy":"џ","dzigrarr":"⟿","Eacute":"É","eacute":"é","easter":"⩮","Ecaron":"Ě","ecaron":"ě","Ecirc":"Ê","ecirc":"ê","ecir":"≖","ecolon":"≕","Ecy":"Э","ecy":"э","eDDot":"⩷","Edot":"Ė","edot":"ė","eDot":"≑","ee":"ⅇ","efDot":"≒","Efr":"𝔈","efr":"𝔢","eg":"⪚","Egrave":"È","egrave":"è","egs":"⪖","egsdot":"⪘","el":"⪙","Element":"∈","elinters":"⏧","ell":"ℓ","els":"⪕","elsdot":"⪗","Emacr":"Ē","emacr":"ē","empty":"∅","emptyset":"∅","EmptySmallSquare":"◻","emptyv":"∅","EmptyVerySmallSquare":"▫","emsp13":" ","emsp14":" ","emsp":" ","ENG":"Ŋ","eng":"ŋ","ensp":" ","Eogon":"Ę","eogon":"ę","Eopf":"𝔼","eopf":"𝕖","epar":"⋕","eparsl":"⧣","eplus":"⩱","epsi":"ε","Epsilon":"Ε","epsilon":"ε","epsiv":"ϵ","eqcirc":"≖","eqcolon":"≕","eqsim":"≂","eqslantgtr":"⪖","eqslantless":"⪕","Equal":"⩵","equals":"=","EqualTilde":"≂","equest":"≟","Equilibrium":"⇌","equiv":"≡","equivDD":"⩸","eqvparsl":"⧥","erarr":"⥱","erDot":"≓","escr":"ℯ","Escr":"ℰ","esdot":"≐","Esim":"⩳","esim":"≂","Eta":"Η","eta":"η","ETH":"Ð","eth":"ð","Euml":"Ë","euml":"ë","euro":"€","excl":"!","exist":"∃","Exists":"∃","expectation":"ℰ","exponentiale":"ⅇ","ExponentialE":"ⅇ","fallingdotseq":"≒","Fcy":"Ф","fcy":"ф","female":"♀","ffilig":"ffi","fflig":"ff","ffllig":"ffl","Ffr":"𝔉","ffr":"𝔣","filig":"fi","FilledSmallSquare":"◼","FilledVerySmallSquare":"▪","fjlig":"fj","flat":"♭","fllig":"fl","fltns":"▱","fnof":"ƒ","Fopf":"𝔽","fopf":"𝕗","forall":"∀","ForAll":"∀","fork":"⋔","forkv":"⫙","Fouriertrf":"ℱ","fpartint":"⨍","frac12":"½","frac13":"⅓","frac14":"¼","frac15":"⅕","frac16":"⅙","frac18":"⅛","frac23":"⅔","frac25":"⅖","frac34":"¾","frac35":"⅗","frac38":"⅜","frac45":"⅘","frac56":"⅚","frac58":"⅝","frac78":"⅞","frasl":"⁄","frown":"⌢","fscr":"𝒻","Fscr":"ℱ","gacute":"ǵ","Gamma":"Γ","gamma":"γ","Gammad":"Ϝ","gammad":"ϝ","gap":"⪆","Gbreve":"Ğ","gbreve":"ğ","Gcedil":"Ģ","Gcirc":"Ĝ","gcirc":"ĝ","Gcy":"Г","gcy":"г","Gdot":"Ġ","gdot":"ġ","ge":"≥","gE":"≧","gEl":"⪌","gel":"⋛","geq":"≥","geqq":"≧","geqslant":"⩾","gescc":"⪩","ges":"⩾","gesdot":"⪀","gesdoto":"⪂","gesdotol":"⪄","gesl":"⋛︀","gesles":"⪔","Gfr":"𝔊","gfr":"𝔤","gg":"≫","Gg":"⋙","ggg":"⋙","gimel":"ℷ","GJcy":"Ѓ","gjcy":"ѓ","gla":"⪥","gl":"≷","glE":"⪒","glj":"⪤","gnap":"⪊","gnapprox":"⪊","gne":"⪈","gnE":"≩","gneq":"⪈","gneqq":"≩","gnsim":"⋧","Gopf":"𝔾","gopf":"𝕘","grave":"`","GreaterEqual":"≥","GreaterEqualLess":"⋛","GreaterFullEqual":"≧","GreaterGreater":"⪢","GreaterLess":"≷","GreaterSlantEqual":"⩾","GreaterTilde":"≳","Gscr":"𝒢","gscr":"ℊ","gsim":"≳","gsime":"⪎","gsiml":"⪐","gtcc":"⪧","gtcir":"⩺","gt":">","GT":">","Gt":"≫","gtdot":"⋗","gtlPar":"⦕","gtquest":"⩼","gtrapprox":"⪆","gtrarr":"⥸","gtrdot":"⋗","gtreqless":"⋛","gtreqqless":"⪌","gtrless":"≷","gtrsim":"≳","gvertneqq":"≩︀","gvnE":"≩︀","Hacek":"ˇ","hairsp":" ","half":"½","hamilt":"ℋ","HARDcy":"Ъ","hardcy":"ъ","harrcir":"⥈","harr":"↔","hArr":"⇔","harrw":"↭","Hat":"^","hbar":"ℏ","Hcirc":"Ĥ","hcirc":"ĥ","hearts":"♥","heartsuit":"♥","hellip":"…","hercon":"⊹","hfr":"𝔥","Hfr":"ℌ","HilbertSpace":"ℋ","hksearow":"⤥","hkswarow":"⤦","hoarr":"⇿","homtht":"∻","hookleftarrow":"↩","hookrightarrow":"↪","hopf":"𝕙","Hopf":"ℍ","horbar":"―","HorizontalLine":"─","hscr":"𝒽","Hscr":"ℋ","hslash":"ℏ","Hstrok":"Ħ","hstrok":"ħ","HumpDownHump":"≎","HumpEqual":"≏","hybull":"⁃","hyphen":"‐","Iacute":"Í","iacute":"í","ic":"⁣","Icirc":"Î","icirc":"î","Icy":"И","icy":"и","Idot":"İ","IEcy":"Е","iecy":"е","iexcl":"¡","iff":"⇔","ifr":"𝔦","Ifr":"ℑ","Igrave":"Ì","igrave":"ì","ii":"ⅈ","iiiint":"⨌","iiint":"∭","iinfin":"⧜","iiota":"℩","IJlig":"IJ","ijlig":"ij","Imacr":"Ī","imacr":"ī","image":"ℑ","ImaginaryI":"ⅈ","imagline":"ℐ","imagpart":"ℑ","imath":"ı","Im":"ℑ","imof":"⊷","imped":"Ƶ","Implies":"⇒","incare":"℅","in":"∈","infin":"∞","infintie":"⧝","inodot":"ı","intcal":"⊺","int":"∫","Int":"∬","integers":"ℤ","Integral":"∫","intercal":"⊺","Intersection":"⋂","intlarhk":"⨗","intprod":"⨼","InvisibleComma":"⁣","InvisibleTimes":"⁢","IOcy":"Ё","iocy":"ё","Iogon":"Į","iogon":"į","Iopf":"𝕀","iopf":"𝕚","Iota":"Ι","iota":"ι","iprod":"⨼","iquest":"¿","iscr":"𝒾","Iscr":"ℐ","isin":"∈","isindot":"⋵","isinE":"⋹","isins":"⋴","isinsv":"⋳","isinv":"∈","it":"⁢","Itilde":"Ĩ","itilde":"ĩ","Iukcy":"І","iukcy":"і","Iuml":"Ï","iuml":"ï","Jcirc":"Ĵ","jcirc":"ĵ","Jcy":"Й","jcy":"й","Jfr":"𝔍","jfr":"𝔧","jmath":"ȷ","Jopf":"𝕁","jopf":"𝕛","Jscr":"𝒥","jscr":"𝒿","Jsercy":"Ј","jsercy":"ј","Jukcy":"Є","jukcy":"є","Kappa":"Κ","kappa":"κ","kappav":"ϰ","Kcedil":"Ķ","kcedil":"ķ","Kcy":"К","kcy":"к","Kfr":"𝔎","kfr":"𝔨","kgreen":"ĸ","KHcy":"Х","khcy":"х","KJcy":"Ќ","kjcy":"ќ","Kopf":"𝕂","kopf":"𝕜","Kscr":"𝒦","kscr":"𝓀","lAarr":"⇚","Lacute":"Ĺ","lacute":"ĺ","laemptyv":"⦴","lagran":"ℒ","Lambda":"Λ","lambda":"λ","lang":"⟨","Lang":"⟪","langd":"⦑","langle":"⟨","lap":"⪅","Laplacetrf":"ℒ","laquo":"«","larrb":"⇤","larrbfs":"⤟","larr":"←","Larr":"↞","lArr":"⇐","larrfs":"⤝","larrhk":"↩","larrlp":"↫","larrpl":"⤹","larrsim":"⥳","larrtl":"↢","latail":"⤙","lAtail":"⤛","lat":"⪫","late":"⪭","lates":"⪭︀","lbarr":"⤌","lBarr":"⤎","lbbrk":"❲","lbrace":"{","lbrack":"[","lbrke":"⦋","lbrksld":"⦏","lbrkslu":"⦍","Lcaron":"Ľ","lcaron":"ľ","Lcedil":"Ļ","lcedil":"ļ","lceil":"⌈","lcub":"{","Lcy":"Л","lcy":"л","ldca":"⤶","ldquo":"“","ldquor":"„","ldrdhar":"⥧","ldrushar":"⥋","ldsh":"↲","le":"≤","lE":"≦","LeftAngleBracket":"⟨","LeftArrowBar":"⇤","leftarrow":"←","LeftArrow":"←","Leftarrow":"⇐","LeftArrowRightArrow":"⇆","leftarrowtail":"↢","LeftCeiling":"⌈","LeftDoubleBracket":"⟦","LeftDownTeeVector":"⥡","LeftDownVectorBar":"⥙","LeftDownVector":"⇃","LeftFloor":"⌊","leftharpoondown":"↽","leftharpoonup":"↼","leftleftarrows":"⇇","leftrightarrow":"↔","LeftRightArrow":"↔","Leftrightarrow":"⇔","leftrightarrows":"⇆","leftrightharpoons":"⇋","leftrightsquigarrow":"↭","LeftRightVector":"⥎","LeftTeeArrow":"↤","LeftTee":"⊣","LeftTeeVector":"⥚","leftthreetimes":"⋋","LeftTriangleBar":"⧏","LeftTriangle":"⊲","LeftTriangleEqual":"⊴","LeftUpDownVector":"⥑","LeftUpTeeVector":"⥠","LeftUpVectorBar":"⥘","LeftUpVector":"↿","LeftVectorBar":"⥒","LeftVector":"↼","lEg":"⪋","leg":"⋚","leq":"≤","leqq":"≦","leqslant":"⩽","lescc":"⪨","les":"⩽","lesdot":"⩿","lesdoto":"⪁","lesdotor":"⪃","lesg":"⋚︀","lesges":"⪓","lessapprox":"⪅","lessdot":"⋖","lesseqgtr":"⋚","lesseqqgtr":"⪋","LessEqualGreater":"⋚","LessFullEqual":"≦","LessGreater":"≶","lessgtr":"≶","LessLess":"⪡","lesssim":"≲","LessSlantEqual":"⩽","LessTilde":"≲","lfisht":"⥼","lfloor":"⌊","Lfr":"𝔏","lfr":"𝔩","lg":"≶","lgE":"⪑","lHar":"⥢","lhard":"↽","lharu":"↼","lharul":"⥪","lhblk":"▄","LJcy":"Љ","ljcy":"љ","llarr":"⇇","ll":"≪","Ll":"⋘","llcorner":"⌞","Lleftarrow":"⇚","llhard":"⥫","lltri":"◺","Lmidot":"Ŀ","lmidot":"ŀ","lmoustache":"⎰","lmoust":"⎰","lnap":"⪉","lnapprox":"⪉","lne":"⪇","lnE":"≨","lneq":"⪇","lneqq":"≨","lnsim":"⋦","loang":"⟬","loarr":"⇽","lobrk":"⟦","longleftarrow":"⟵","LongLeftArrow":"⟵","Longleftarrow":"⟸","longleftrightarrow":"⟷","LongLeftRightArrow":"⟷","Longleftrightarrow":"⟺","longmapsto":"⟼","longrightarrow":"⟶","LongRightArrow":"⟶","Longrightarrow":"⟹","looparrowleft":"↫","looparrowright":"↬","lopar":"⦅","Lopf":"𝕃","lopf":"𝕝","loplus":"⨭","lotimes":"⨴","lowast":"∗","lowbar":"_","LowerLeftArrow":"↙","LowerRightArrow":"↘","loz":"◊","lozenge":"◊","lozf":"⧫","lpar":"(","lparlt":"⦓","lrarr":"⇆","lrcorner":"⌟","lrhar":"⇋","lrhard":"⥭","lrm":"‎","lrtri":"⊿","lsaquo":"‹","lscr":"𝓁","Lscr":"ℒ","lsh":"↰","Lsh":"↰","lsim":"≲","lsime":"⪍","lsimg":"⪏","lsqb":"[","lsquo":"‘","lsquor":"‚","Lstrok":"Ł","lstrok":"ł","ltcc":"⪦","ltcir":"⩹","lt":"<","LT":"<","Lt":"≪","ltdot":"⋖","lthree":"⋋","ltimes":"⋉","ltlarr":"⥶","ltquest":"⩻","ltri":"◃","ltrie":"⊴","ltrif":"◂","ltrPar":"⦖","lurdshar":"⥊","luruhar":"⥦","lvertneqq":"≨︀","lvnE":"≨︀","macr":"¯","male":"♂","malt":"✠","maltese":"✠","Map":"⤅","map":"↦","mapsto":"↦","mapstodown":"↧","mapstoleft":"↤","mapstoup":"↥","marker":"▮","mcomma":"⨩","Mcy":"М","mcy":"м","mdash":"—","mDDot":"∺","measuredangle":"∡","MediumSpace":" ","Mellintrf":"ℳ","Mfr":"𝔐","mfr":"𝔪","mho":"℧","micro":"µ","midast":"*","midcir":"⫰","mid":"∣","middot":"·","minusb":"⊟","minus":"−","minusd":"∸","minusdu":"⨪","MinusPlus":"∓","mlcp":"⫛","mldr":"…","mnplus":"∓","models":"⊧","Mopf":"𝕄","mopf":"𝕞","mp":"∓","mscr":"𝓂","Mscr":"ℳ","mstpos":"∾","Mu":"Μ","mu":"μ","multimap":"⊸","mumap":"⊸","nabla":"∇","Nacute":"Ń","nacute":"ń","nang":"∠⃒","nap":"≉","napE":"⩰̸","napid":"≋̸","napos":"ʼn","napprox":"≉","natural":"♮","naturals":"ℕ","natur":"♮","nbsp":" ","nbump":"≎̸","nbumpe":"≏̸","ncap":"⩃","Ncaron":"Ň","ncaron":"ň","Ncedil":"Ņ","ncedil":"ņ","ncong":"≇","ncongdot":"⩭̸","ncup":"⩂","Ncy":"Н","ncy":"н","ndash":"–","nearhk":"⤤","nearr":"↗","neArr":"⇗","nearrow":"↗","ne":"≠","nedot":"≐̸","NegativeMediumSpace":"​","NegativeThickSpace":"​","NegativeThinSpace":"​","NegativeVeryThinSpace":"​","nequiv":"≢","nesear":"⤨","nesim":"≂̸","NestedGreaterGreater":"≫","NestedLessLess":"≪","NewLine":"\\n","nexist":"∄","nexists":"∄","Nfr":"𝔑","nfr":"𝔫","ngE":"≧̸","nge":"≱","ngeq":"≱","ngeqq":"≧̸","ngeqslant":"⩾̸","nges":"⩾̸","nGg":"⋙̸","ngsim":"≵","nGt":"≫⃒","ngt":"≯","ngtr":"≯","nGtv":"≫̸","nharr":"↮","nhArr":"⇎","nhpar":"⫲","ni":"∋","nis":"⋼","nisd":"⋺","niv":"∋","NJcy":"Њ","njcy":"њ","nlarr":"↚","nlArr":"⇍","nldr":"‥","nlE":"≦̸","nle":"≰","nleftarrow":"↚","nLeftarrow":"⇍","nleftrightarrow":"↮","nLeftrightarrow":"⇎","nleq":"≰","nleqq":"≦̸","nleqslant":"⩽̸","nles":"⩽̸","nless":"≮","nLl":"⋘̸","nlsim":"≴","nLt":"≪⃒","nlt":"≮","nltri":"⋪","nltrie":"⋬","nLtv":"≪̸","nmid":"∤","NoBreak":"⁠","NonBreakingSpace":" ","nopf":"𝕟","Nopf":"ℕ","Not":"⫬","not":"¬","NotCongruent":"≢","NotCupCap":"≭","NotDoubleVerticalBar":"∦","NotElement":"∉","NotEqual":"≠","NotEqualTilde":"≂̸","NotExists":"∄","NotGreater":"≯","NotGreaterEqual":"≱","NotGreaterFullEqual":"≧̸","NotGreaterGreater":"≫̸","NotGreaterLess":"≹","NotGreaterSlantEqual":"⩾̸","NotGreaterTilde":"≵","NotHumpDownHump":"≎̸","NotHumpEqual":"≏̸","notin":"∉","notindot":"⋵̸","notinE":"⋹̸","notinva":"∉","notinvb":"⋷","notinvc":"⋶","NotLeftTriangleBar":"⧏̸","NotLeftTriangle":"⋪","NotLeftTriangleEqual":"⋬","NotLess":"≮","NotLessEqual":"≰","NotLessGreater":"≸","NotLessLess":"≪̸","NotLessSlantEqual":"⩽̸","NotLessTilde":"≴","NotNestedGreaterGreater":"⪢̸","NotNestedLessLess":"⪡̸","notni":"∌","notniva":"∌","notnivb":"⋾","notnivc":"⋽","NotPrecedes":"⊀","NotPrecedesEqual":"⪯̸","NotPrecedesSlantEqual":"⋠","NotReverseElement":"∌","NotRightTriangleBar":"⧐̸","NotRightTriangle":"⋫","NotRightTriangleEqual":"⋭","NotSquareSubset":"⊏̸","NotSquareSubsetEqual":"⋢","NotSquareSuperset":"⊐̸","NotSquareSupersetEqual":"⋣","NotSubset":"⊂⃒","NotSubsetEqual":"⊈","NotSucceeds":"⊁","NotSucceedsEqual":"⪰̸","NotSucceedsSlantEqual":"⋡","NotSucceedsTilde":"≿̸","NotSuperset":"⊃⃒","NotSupersetEqual":"⊉","NotTilde":"≁","NotTildeEqual":"≄","NotTildeFullEqual":"≇","NotTildeTilde":"≉","NotVerticalBar":"∤","nparallel":"∦","npar":"∦","nparsl":"⫽⃥","npart":"∂̸","npolint":"⨔","npr":"⊀","nprcue":"⋠","nprec":"⊀","npreceq":"⪯̸","npre":"⪯̸","nrarrc":"⤳̸","nrarr":"↛","nrArr":"⇏","nrarrw":"↝̸","nrightarrow":"↛","nRightarrow":"⇏","nrtri":"⋫","nrtrie":"⋭","nsc":"⊁","nsccue":"⋡","nsce":"⪰̸","Nscr":"𝒩","nscr":"𝓃","nshortmid":"∤","nshortparallel":"∦","nsim":"≁","nsime":"≄","nsimeq":"≄","nsmid":"∤","nspar":"∦","nsqsube":"⋢","nsqsupe":"⋣","nsub":"⊄","nsubE":"⫅̸","nsube":"⊈","nsubset":"⊂⃒","nsubseteq":"⊈","nsubseteqq":"⫅̸","nsucc":"⊁","nsucceq":"⪰̸","nsup":"⊅","nsupE":"⫆̸","nsupe":"⊉","nsupset":"⊃⃒","nsupseteq":"⊉","nsupseteqq":"⫆̸","ntgl":"≹","Ntilde":"Ñ","ntilde":"ñ","ntlg":"≸","ntriangleleft":"⋪","ntrianglelefteq":"⋬","ntriangleright":"⋫","ntrianglerighteq":"⋭","Nu":"Ν","nu":"ν","num":"#","numero":"№","numsp":" ","nvap":"≍⃒","nvdash":"⊬","nvDash":"⊭","nVdash":"⊮","nVDash":"⊯","nvge":"≥⃒","nvgt":">⃒","nvHarr":"⤄","nvinfin":"⧞","nvlArr":"⤂","nvle":"≤⃒","nvlt":"<⃒","nvltrie":"⊴⃒","nvrArr":"⤃","nvrtrie":"⊵⃒","nvsim":"∼⃒","nwarhk":"⤣","nwarr":"↖","nwArr":"⇖","nwarrow":"↖","nwnear":"⤧","Oacute":"Ó","oacute":"ó","oast":"⊛","Ocirc":"Ô","ocirc":"ô","ocir":"⊚","Ocy":"О","ocy":"о","odash":"⊝","Odblac":"Ő","odblac":"ő","odiv":"⨸","odot":"⊙","odsold":"⦼","OElig":"Œ","oelig":"œ","ofcir":"⦿","Ofr":"𝔒","ofr":"𝔬","ogon":"˛","Ograve":"Ò","ograve":"ò","ogt":"⧁","ohbar":"⦵","ohm":"Ω","oint":"∮","olarr":"↺","olcir":"⦾","olcross":"⦻","oline":"‾","olt":"⧀","Omacr":"Ō","omacr":"ō","Omega":"Ω","omega":"ω","Omicron":"Ο","omicron":"ο","omid":"⦶","ominus":"⊖","Oopf":"𝕆","oopf":"𝕠","opar":"⦷","OpenCurlyDoubleQuote":"“","OpenCurlyQuote":"‘","operp":"⦹","oplus":"⊕","orarr":"↻","Or":"⩔","or":"∨","ord":"⩝","order":"ℴ","orderof":"ℴ","ordf":"ª","ordm":"º","origof":"⊶","oror":"⩖","orslope":"⩗","orv":"⩛","oS":"Ⓢ","Oscr":"𝒪","oscr":"ℴ","Oslash":"Ø","oslash":"ø","osol":"⊘","Otilde":"Õ","otilde":"õ","otimesas":"⨶","Otimes":"⨷","otimes":"⊗","Ouml":"Ö","ouml":"ö","ovbar":"⌽","OverBar":"‾","OverBrace":"⏞","OverBracket":"⎴","OverParenthesis":"⏜","para":"¶","parallel":"∥","par":"∥","parsim":"⫳","parsl":"⫽","part":"∂","PartialD":"∂","Pcy":"П","pcy":"п","percnt":"%","period":".","permil":"‰","perp":"⊥","pertenk":"‱","Pfr":"𝔓","pfr":"𝔭","Phi":"Φ","phi":"φ","phiv":"ϕ","phmmat":"ℳ","phone":"☎","Pi":"Π","pi":"π","pitchfork":"⋔","piv":"ϖ","planck":"ℏ","planckh":"ℎ","plankv":"ℏ","plusacir":"⨣","plusb":"⊞","pluscir":"⨢","plus":"+","plusdo":"∔","plusdu":"⨥","pluse":"⩲","PlusMinus":"±","plusmn":"±","plussim":"⨦","plustwo":"⨧","pm":"±","Poincareplane":"ℌ","pointint":"⨕","popf":"𝕡","Popf":"ℙ","pound":"£","prap":"⪷","Pr":"⪻","pr":"≺","prcue":"≼","precapprox":"⪷","prec":"≺","preccurlyeq":"≼","Precedes":"≺","PrecedesEqual":"⪯","PrecedesSlantEqual":"≼","PrecedesTilde":"≾","preceq":"⪯","precnapprox":"⪹","precneqq":"⪵","precnsim":"⋨","pre":"⪯","prE":"⪳","precsim":"≾","prime":"′","Prime":"″","primes":"ℙ","prnap":"⪹","prnE":"⪵","prnsim":"⋨","prod":"∏","Product":"∏","profalar":"⌮","profline":"⌒","profsurf":"⌓","prop":"∝","Proportional":"∝","Proportion":"∷","propto":"∝","prsim":"≾","prurel":"⊰","Pscr":"𝒫","pscr":"𝓅","Psi":"Ψ","psi":"ψ","puncsp":" ","Qfr":"𝔔","qfr":"𝔮","qint":"⨌","qopf":"𝕢","Qopf":"ℚ","qprime":"⁗","Qscr":"𝒬","qscr":"𝓆","quaternions":"ℍ","quatint":"⨖","quest":"?","questeq":"≟","quot":"\\"","QUOT":"\\"","rAarr":"⇛","race":"∽̱","Racute":"Ŕ","racute":"ŕ","radic":"√","raemptyv":"⦳","rang":"⟩","Rang":"⟫","rangd":"⦒","range":"⦥","rangle":"⟩","raquo":"»","rarrap":"⥵","rarrb":"⇥","rarrbfs":"⤠","rarrc":"⤳","rarr":"→","Rarr":"↠","rArr":"⇒","rarrfs":"⤞","rarrhk":"↪","rarrlp":"↬","rarrpl":"⥅","rarrsim":"⥴","Rarrtl":"⤖","rarrtl":"↣","rarrw":"↝","ratail":"⤚","rAtail":"⤜","ratio":"∶","rationals":"ℚ","rbarr":"⤍","rBarr":"⤏","RBarr":"⤐","rbbrk":"❳","rbrace":"}","rbrack":"]","rbrke":"⦌","rbrksld":"⦎","rbrkslu":"⦐","Rcaron":"Ř","rcaron":"ř","Rcedil":"Ŗ","rcedil":"ŗ","rceil":"⌉","rcub":"}","Rcy":"Р","rcy":"р","rdca":"⤷","rdldhar":"⥩","rdquo":"”","rdquor":"”","rdsh":"↳","real":"ℜ","realine":"ℛ","realpart":"ℜ","reals":"ℝ","Re":"ℜ","rect":"▭","reg":"®","REG":"®","ReverseElement":"∋","ReverseEquilibrium":"⇋","ReverseUpEquilibrium":"⥯","rfisht":"⥽","rfloor":"⌋","rfr":"𝔯","Rfr":"ℜ","rHar":"⥤","rhard":"⇁","rharu":"⇀","rharul":"⥬","Rho":"Ρ","rho":"ρ","rhov":"ϱ","RightAngleBracket":"⟩","RightArrowBar":"⇥","rightarrow":"→","RightArrow":"→","Rightarrow":"⇒","RightArrowLeftArrow":"⇄","rightarrowtail":"↣","RightCeiling":"⌉","RightDoubleBracket":"⟧","RightDownTeeVector":"⥝","RightDownVectorBar":"⥕","RightDownVector":"⇂","RightFloor":"⌋","rightharpoondown":"⇁","rightharpoonup":"⇀","rightleftarrows":"⇄","rightleftharpoons":"⇌","rightrightarrows":"⇉","rightsquigarrow":"↝","RightTeeArrow":"↦","RightTee":"⊢","RightTeeVector":"⥛","rightthreetimes":"⋌","RightTriangleBar":"⧐","RightTriangle":"⊳","RightTriangleEqual":"⊵","RightUpDownVector":"⥏","RightUpTeeVector":"⥜","RightUpVectorBar":"⥔","RightUpVector":"↾","RightVectorBar":"⥓","RightVector":"⇀","ring":"˚","risingdotseq":"≓","rlarr":"⇄","rlhar":"⇌","rlm":"‏","rmoustache":"⎱","rmoust":"⎱","rnmid":"⫮","roang":"⟭","roarr":"⇾","robrk":"⟧","ropar":"⦆","ropf":"𝕣","Ropf":"ℝ","roplus":"⨮","rotimes":"⨵","RoundImplies":"⥰","rpar":")","rpargt":"⦔","rppolint":"⨒","rrarr":"⇉","Rrightarrow":"⇛","rsaquo":"›","rscr":"𝓇","Rscr":"ℛ","rsh":"↱","Rsh":"↱","rsqb":"]","rsquo":"’","rsquor":"’","rthree":"⋌","rtimes":"⋊","rtri":"▹","rtrie":"⊵","rtrif":"▸","rtriltri":"⧎","RuleDelayed":"⧴","ruluhar":"⥨","rx":"℞","Sacute":"Ś","sacute":"ś","sbquo":"‚","scap":"⪸","Scaron":"Š","scaron":"š","Sc":"⪼","sc":"≻","sccue":"≽","sce":"⪰","scE":"⪴","Scedil":"Ş","scedil":"ş","Scirc":"Ŝ","scirc":"ŝ","scnap":"⪺","scnE":"⪶","scnsim":"⋩","scpolint":"⨓","scsim":"≿","Scy":"С","scy":"с","sdotb":"⊡","sdot":"⋅","sdote":"⩦","searhk":"⤥","searr":"↘","seArr":"⇘","searrow":"↘","sect":"§","semi":";","seswar":"⤩","setminus":"∖","setmn":"∖","sext":"✶","Sfr":"𝔖","sfr":"𝔰","sfrown":"⌢","sharp":"♯","SHCHcy":"Щ","shchcy":"щ","SHcy":"Ш","shcy":"ш","ShortDownArrow":"↓","ShortLeftArrow":"←","shortmid":"∣","shortparallel":"∥","ShortRightArrow":"→","ShortUpArrow":"↑","shy":"­","Sigma":"Σ","sigma":"σ","sigmaf":"ς","sigmav":"ς","sim":"∼","simdot":"⩪","sime":"≃","simeq":"≃","simg":"⪞","simgE":"⪠","siml":"⪝","simlE":"⪟","simne":"≆","simplus":"⨤","simrarr":"⥲","slarr":"←","SmallCircle":"∘","smallsetminus":"∖","smashp":"⨳","smeparsl":"⧤","smid":"∣","smile":"⌣","smt":"⪪","smte":"⪬","smtes":"⪬︀","SOFTcy":"Ь","softcy":"ь","solbar":"⌿","solb":"⧄","sol":"/","Sopf":"𝕊","sopf":"𝕤","spades":"♠","spadesuit":"♠","spar":"∥","sqcap":"⊓","sqcaps":"⊓︀","sqcup":"⊔","sqcups":"⊔︀","Sqrt":"√","sqsub":"⊏","sqsube":"⊑","sqsubset":"⊏","sqsubseteq":"⊑","sqsup":"⊐","sqsupe":"⊒","sqsupset":"⊐","sqsupseteq":"⊒","square":"□","Square":"□","SquareIntersection":"⊓","SquareSubset":"⊏","SquareSubsetEqual":"⊑","SquareSuperset":"⊐","SquareSupersetEqual":"⊒","SquareUnion":"⊔","squarf":"▪","squ":"□","squf":"▪","srarr":"→","Sscr":"𝒮","sscr":"𝓈","ssetmn":"∖","ssmile":"⌣","sstarf":"⋆","Star":"⋆","star":"☆","starf":"★","straightepsilon":"ϵ","straightphi":"ϕ","strns":"¯","sub":"⊂","Sub":"⋐","subdot":"⪽","subE":"⫅","sube":"⊆","subedot":"⫃","submult":"⫁","subnE":"⫋","subne":"⊊","subplus":"⪿","subrarr":"⥹","subset":"⊂","Subset":"⋐","subseteq":"⊆","subseteqq":"⫅","SubsetEqual":"⊆","subsetneq":"⊊","subsetneqq":"⫋","subsim":"⫇","subsub":"⫕","subsup":"⫓","succapprox":"⪸","succ":"≻","succcurlyeq":"≽","Succeeds":"≻","SucceedsEqual":"⪰","SucceedsSlantEqual":"≽","SucceedsTilde":"≿","succeq":"⪰","succnapprox":"⪺","succneqq":"⪶","succnsim":"⋩","succsim":"≿","SuchThat":"∋","sum":"∑","Sum":"∑","sung":"♪","sup1":"¹","sup2":"²","sup3":"³","sup":"⊃","Sup":"⋑","supdot":"⪾","supdsub":"⫘","supE":"⫆","supe":"⊇","supedot":"⫄","Superset":"⊃","SupersetEqual":"⊇","suphsol":"⟉","suphsub":"⫗","suplarr":"⥻","supmult":"⫂","supnE":"⫌","supne":"⊋","supplus":"⫀","supset":"⊃","Supset":"⋑","supseteq":"⊇","supseteqq":"⫆","supsetneq":"⊋","supsetneqq":"⫌","supsim":"⫈","supsub":"⫔","supsup":"⫖","swarhk":"⤦","swarr":"↙","swArr":"⇙","swarrow":"↙","swnwar":"⤪","szlig":"ß","Tab":"\\t","target":"⌖","Tau":"Τ","tau":"τ","tbrk":"⎴","Tcaron":"Ť","tcaron":"ť","Tcedil":"Ţ","tcedil":"ţ","Tcy":"Т","tcy":"т","tdot":"⃛","telrec":"⌕","Tfr":"𝔗","tfr":"𝔱","there4":"∴","therefore":"∴","Therefore":"∴","Theta":"Θ","theta":"θ","thetasym":"ϑ","thetav":"ϑ","thickapprox":"≈","thicksim":"∼","ThickSpace":"  ","ThinSpace":" ","thinsp":" ","thkap":"≈","thksim":"∼","THORN":"Þ","thorn":"þ","tilde":"˜","Tilde":"∼","TildeEqual":"≃","TildeFullEqual":"≅","TildeTilde":"≈","timesbar":"⨱","timesb":"⊠","times":"×","timesd":"⨰","tint":"∭","toea":"⤨","topbot":"⌶","topcir":"⫱","top":"⊤","Topf":"𝕋","topf":"𝕥","topfork":"⫚","tosa":"⤩","tprime":"‴","trade":"™","TRADE":"™","triangle":"▵","triangledown":"▿","triangleleft":"◃","trianglelefteq":"⊴","triangleq":"≜","triangleright":"▹","trianglerighteq":"⊵","tridot":"◬","trie":"≜","triminus":"⨺","TripleDot":"⃛","triplus":"⨹","trisb":"⧍","tritime":"⨻","trpezium":"⏢","Tscr":"𝒯","tscr":"𝓉","TScy":"Ц","tscy":"ц","TSHcy":"Ћ","tshcy":"ћ","Tstrok":"Ŧ","tstrok":"ŧ","twixt":"≬","twoheadleftarrow":"↞","twoheadrightarrow":"↠","Uacute":"Ú","uacute":"ú","uarr":"↑","Uarr":"↟","uArr":"⇑","Uarrocir":"⥉","Ubrcy":"Ў","ubrcy":"ў","Ubreve":"Ŭ","ubreve":"ŭ","Ucirc":"Û","ucirc":"û","Ucy":"У","ucy":"у","udarr":"⇅","Udblac":"Ű","udblac":"ű","udhar":"⥮","ufisht":"⥾","Ufr":"𝔘","ufr":"𝔲","Ugrave":"Ù","ugrave":"ù","uHar":"⥣","uharl":"↿","uharr":"↾","uhblk":"▀","ulcorn":"⌜","ulcorner":"⌜","ulcrop":"⌏","ultri":"◸","Umacr":"Ū","umacr":"ū","uml":"¨","UnderBar":"_","UnderBrace":"⏟","UnderBracket":"⎵","UnderParenthesis":"⏝","Union":"⋃","UnionPlus":"⊎","Uogon":"Ų","uogon":"ų","Uopf":"𝕌","uopf":"𝕦","UpArrowBar":"⤒","uparrow":"↑","UpArrow":"↑","Uparrow":"⇑","UpArrowDownArrow":"⇅","updownarrow":"↕","UpDownArrow":"↕","Updownarrow":"⇕","UpEquilibrium":"⥮","upharpoonleft":"↿","upharpoonright":"↾","uplus":"⊎","UpperLeftArrow":"↖","UpperRightArrow":"↗","upsi":"υ","Upsi":"ϒ","upsih":"ϒ","Upsilon":"Υ","upsilon":"υ","UpTeeArrow":"↥","UpTee":"⊥","upuparrows":"⇈","urcorn":"⌝","urcorner":"⌝","urcrop":"⌎","Uring":"Ů","uring":"ů","urtri":"◹","Uscr":"𝒰","uscr":"𝓊","utdot":"⋰","Utilde":"Ũ","utilde":"ũ","utri":"▵","utrif":"▴","uuarr":"⇈","Uuml":"Ü","uuml":"ü","uwangle":"⦧","vangrt":"⦜","varepsilon":"ϵ","varkappa":"ϰ","varnothing":"∅","varphi":"ϕ","varpi":"ϖ","varpropto":"∝","varr":"↕","vArr":"⇕","varrho":"ϱ","varsigma":"ς","varsubsetneq":"⊊︀","varsubsetneqq":"⫋︀","varsupsetneq":"⊋︀","varsupsetneqq":"⫌︀","vartheta":"ϑ","vartriangleleft":"⊲","vartriangleright":"⊳","vBar":"⫨","Vbar":"⫫","vBarv":"⫩","Vcy":"В","vcy":"в","vdash":"⊢","vDash":"⊨","Vdash":"⊩","VDash":"⊫","Vdashl":"⫦","veebar":"⊻","vee":"∨","Vee":"⋁","veeeq":"≚","vellip":"⋮","verbar":"|","Verbar":"‖","vert":"|","Vert":"‖","VerticalBar":"∣","VerticalLine":"|","VerticalSeparator":"❘","VerticalTilde":"≀","VeryThinSpace":" ","Vfr":"𝔙","vfr":"𝔳","vltri":"⊲","vnsub":"⊂⃒","vnsup":"⊃⃒","Vopf":"𝕍","vopf":"𝕧","vprop":"∝","vrtri":"⊳","Vscr":"𝒱","vscr":"𝓋","vsubnE":"⫋︀","vsubne":"⊊︀","vsupnE":"⫌︀","vsupne":"⊋︀","Vvdash":"⊪","vzigzag":"⦚","Wcirc":"Ŵ","wcirc":"ŵ","wedbar":"⩟","wedge":"∧","Wedge":"⋀","wedgeq":"≙","weierp":"℘","Wfr":"𝔚","wfr":"𝔴","Wopf":"𝕎","wopf":"𝕨","wp":"℘","wr":"≀","wreath":"≀","Wscr":"𝒲","wscr":"𝓌","xcap":"⋂","xcirc":"◯","xcup":"⋃","xdtri":"▽","Xfr":"𝔛","xfr":"𝔵","xharr":"⟷","xhArr":"⟺","Xi":"Ξ","xi":"ξ","xlarr":"⟵","xlArr":"⟸","xmap":"⟼","xnis":"⋻","xodot":"⨀","Xopf":"𝕏","xopf":"𝕩","xoplus":"⨁","xotime":"⨂","xrarr":"⟶","xrArr":"⟹","Xscr":"𝒳","xscr":"𝓍","xsqcup":"⨆","xuplus":"⨄","xutri":"△","xvee":"⋁","xwedge":"⋀","Yacute":"Ý","yacute":"ý","YAcy":"Я","yacy":"я","Ycirc":"Ŷ","ycirc":"ŷ","Ycy":"Ы","ycy":"ы","yen":"¥","Yfr":"𝔜","yfr":"𝔶","YIcy":"Ї","yicy":"ї","Yopf":"𝕐","yopf":"𝕪","Yscr":"𝒴","yscr":"𝓎","YUcy":"Ю","yucy":"ю","yuml":"ÿ","Yuml":"Ÿ","Zacute":"Ź","zacute":"ź","Zcaron":"Ž","zcaron":"ž","Zcy":"З","zcy":"з","Zdot":"Ż","zdot":"ż","zeetrf":"ℨ","ZeroWidthSpace":"​","Zeta":"Ζ","zeta":"ζ","zfr":"𝔷","Zfr":"ℨ","ZHcy":"Ж","zhcy":"ж","zigrarr":"⇝","zopf":"𝕫","Zopf":"ℤ","Zscr":"𝒵","zscr":"𝓏","zwj":"‍","zwnj":"‌"}')},function(e,t,n){"use strict";var r={};function o(e,t,n){var i,a,s,u,c,l="";for("string"!=typeof t&&(n=t,t=o.defaultChars),void 0===n&&(n=!0),c=function(e){var t,n,o=r[e];if(o)return o;for(o=r[e]=[],t=0;t<128;t++)n=String.fromCharCode(t),/^[0-9a-z]$/i.test(n)?o.push(n):o.push("%"+("0"+t.toString(16).toUpperCase()).slice(-2));for(t=0;t<e.length;t++)o[e.charCodeAt(t)]=e[t];return o}(t),i=0,a=e.length;i<a;i++)if(s=e.charCodeAt(i),n&&37===s&&i+2<a&&/^[0-9a-f]{2}$/i.test(e.slice(i+1,i+3)))l+=e.slice(i,i+3),i+=2;else if(s<128)l+=c[s];else if(s>=55296&&s<=57343){if(s>=55296&&s<=56319&&i+1<a&&(u=e.charCodeAt(i+1))>=56320&&u<=57343){l+=encodeURIComponent(e[i]+e[i+1]),i++;continue}l+="%EF%BF%BD"}else l+=encodeURIComponent(e[i]);return l}o.defaultChars=";/?:@&=+$,-_.!~*'()#",o.componentChars="-_.!~*'()",e.exports=o},function(e,t,n){"use strict";var r={};function o(e,t){var n;return"string"!=typeof t&&(t=o.defaultChars),n=function(e){var t,n,o=r[e];if(o)return o;for(o=r[e]=[],t=0;t<128;t++)n=String.fromCharCode(t),o.push(n);for(t=0;t<e.length;t++)o[n=e.charCodeAt(t)]="%"+("0"+n.toString(16).toUpperCase()).slice(-2);return o}(t),e.replace(/(%[a-f0-9]{2})+/gi,(function(e){var t,r,o,i,a,s,u,c="";for(t=0,r=e.length;t<r;t+=3)(o=parseInt(e.slice(t+1,t+3),16))<128?c+=n[o]:192==(224&o)&&t+3<r&&128==(192&(i=parseInt(e.slice(t+4,t+6),16)))?(c+=(u=o<<6&1984|63&i)<128?"��":String.fromCharCode(u),t+=3):224==(240&o)&&t+6<r&&(i=parseInt(e.slice(t+4,t+6),16),a=parseInt(e.slice(t+7,t+9),16),128==(192&i)&&128==(192&a))?(c+=(u=o<<12&61440|i<<6&4032|63&a)<2048||u>=55296&&u<=57343?"���":String.fromCharCode(u),t+=6):240==(248&o)&&t+9<r&&(i=parseInt(e.slice(t+4,t+6),16),a=parseInt(e.slice(t+7,t+9),16),s=parseInt(e.slice(t+10,t+12),16),128==(192&i)&&128==(192&a)&&128==(192&s))?((u=o<<18&1835008|i<<12&258048|a<<6&4032|63&s)<65536||u>1114111?c+="����":(u-=65536,c+=String.fromCharCode(55296+(u>>10),56320+(1023&u))),t+=9):c+="�";return c}))}o.defaultChars=";/?:@&=+$,#",o.componentChars="",e.exports=o},function(e,t,n){"use strict";e.exports=function(e){var t="";return t+=e.protocol||"",t+=e.slashes?"//":"",t+=e.auth?e.auth+"@":"",e.hostname&&-1!==e.hostname.indexOf(":")?t+="["+e.hostname+"]":t+=e.hostname||"",t+=e.port?":"+e.port:"",t+=e.pathname||"",t+=e.search||"",t+=e.hash||""}},function(e,t,n){"use strict";function r(){this.protocol=null,this.slashes=null,this.auth=null,this.port=null,this.hostname=null,this.hash=null,this.search=null,this.pathname=null}var o=/^([a-z0-9.+-]+:)/i,i=/:[0-9]*$/,a=/^(\/\/?(?!\/)[^\?\s]*)(\?[^\s]*)?$/,s=["{","}","|","\\","^","`"].concat(["<",">",'"',"`"," ","\r","\n","\t"]),u=["'"].concat(s),c=["%","/","?",";","#"].concat(u),l=["/","?","#"],d=/^[+a-z0-9A-Z_-]{0,63}$/,h=/^([+a-z0-9A-Z_-]{0,63})(.*)$/,f={javascript:!0,"javascript:":!0},p={http:!0,https:!0,ftp:!0,gopher:!0,file:!0,"http:":!0,"https:":!0,"ftp:":!0,"gopher:":!0,"file:":!0};r.prototype.parse=function(e,t){var n,r,i,s,u,m=e;if(m=m.trim(),!t&&1===e.split("#").length){var _=a.exec(m);if(_)return this.pathname=_[1],_[2]&&(this.search=_[2]),this}var g=o.exec(m);if(g&&(i=(g=g[0]).toLowerCase(),this.protocol=g,m=m.substr(g.length)),(t||g||m.match(/^\/\/[^@\/]+@[^@\/]+/))&&(!(u="//"===m.substr(0,2))||g&&f[g]||(m=m.substr(2),this.slashes=!0)),!f[g]&&(u||g&&!p[g])){var y,v,M=-1;for(n=0;n<l.length;n++)-1!==(s=m.indexOf(l[n]))&&(-1===M||s<M)&&(M=s);for(-1!==(v=-1===M?m.lastIndexOf("@"):m.lastIndexOf("@",M))&&(y=m.slice(0,v),m=m.slice(v+1),this.auth=y),M=-1,n=0;n<c.length;n++)-1!==(s=m.indexOf(c[n]))&&(-1===M||s<M)&&(M=s);-1===M&&(M=m.length),":"===m[M-1]&&M--;var k=m.slice(0,M);m=m.slice(M),this.parseHost(k),this.hostname=this.hostname||"";var b="["===this.hostname[0]&&"]"===this.hostname[this.hostname.length-1];if(!b){var w=this.hostname.split(/\./);for(n=0,r=w.length;n<r;n++){var L=w[n];if(L&&!L.match(d)){for(var T="",D=0,x=L.length;D<x;D++)L.charCodeAt(D)>127?T+="x":T+=L[D];if(!T.match(d)){var S=w.slice(0,n),Y=w.slice(n+1),O=L.match(h);O&&(S.push(O[1]),Y.unshift(O[2])),Y.length&&(m=Y.join(".")+m),this.hostname=S.join(".");break}}}}this.hostname.length>255&&(this.hostname=""),b&&(this.hostname=this.hostname.substr(1,this.hostname.length-2))}var C=m.indexOf("#");-1!==C&&(this.hash=m.substr(C),m=m.slice(0,C));var E=m.indexOf("?");return-1!==E&&(this.search=m.substr(E),m=m.slice(0,E)),m&&(this.pathname=m),p[i]&&this.hostname&&!this.pathname&&(this.pathname=""),this},r.prototype.parseHost=function(e){var t=i.exec(e);t&&(":"!==(t=t[0])&&(this.port=t.substr(1)),e=e.substr(0,e.length-t.length)),e&&(this.hostname=e)},e.exports=function(e,t){if(e&&e instanceof r)return e;var n=new r;return n.parse(e,t),n}},function(e,t,n){"use strict";t.Any=n(357),t.Cc=n(358),t.Cf=n(573),t.P=n(200),t.Z=n(359)},function(e,t){e.exports=/[\xAD\u0600-\u0605\u061C\u06DD\u070F\u08E2\u180E\u200B-\u200F\u202A-\u202E\u2060-\u2064\u2066-\u206F\uFEFF\uFFF9-\uFFFB]|\uD804[\uDCBD\uDCCD]|\uD82F[\uDCA0-\uDCA3]|\uD834[\uDD73-\uDD7A]|\uDB40[\uDC01\uDC20-\uDC7F]/},function(e,t,n){"use strict";t.parseLinkLabel=n(575),t.parseLinkDestination=n(576),t.parseLinkTitle=n(577)},function(e,t,n){"use strict";e.exports=function(e,t,n){var r,o,i,a,s=-1,u=e.posMax,c=e.pos;for(e.pos=t+1,r=1;e.pos<u;){if(93===(i=e.src.charCodeAt(e.pos))&&0===--r){o=!0;break}if(a=e.pos,e.md.inline.skipToken(e),91===i)if(a===e.pos-1)r++;else if(n)return e.pos=c,-1}return o&&(s=e.pos),e.pos=c,s}},function(e,t,n){"use strict";var r=n(179).unescapeAll;e.exports=function(e,t,n){var o,i,a=t,s={ok:!1,pos:0,lines:0,str:""};if(60===e.charCodeAt(t)){for(t++;t<n;){if(10===(o=e.charCodeAt(t)))return s;if(62===o)return s.pos=t+1,s.str=r(e.slice(a+1,t)),s.ok=!0,s;92===o&&t+1<n?t+=2:t++}return s}for(i=0;t<n&&32!==(o=e.charCodeAt(t))&&!(o<32||127===o);)if(92===o&&t+1<n)t+=2;else{if(40===o&&i++,41===o){if(0===i)break;i--}t++}return a===t||0!==i||(s.str=r(e.slice(a,t)),s.lines=0,s.pos=t,s.ok=!0),s}},function(e,t,n){"use strict";var r=n(179).unescapeAll;e.exports=function(e,t,n){var o,i,a=0,s=t,u={ok:!1,pos:0,lines:0,str:""};if(t>=n)return u;if(34!==(i=e.charCodeAt(t))&&39!==i&&40!==i)return u;for(t++,40===i&&(i=41);t<n;){if((o=e.charCodeAt(t))===i)return u.pos=t+1,u.lines=a,u.str=r(e.slice(s+1,t)),u.ok=!0,u;10===o?a++:92===o&&t+1<n&&(t++,10===e.charCodeAt(t)&&a++),t++}return u}},function(e,t,n){"use strict";var r=n(179).assign,o=n(179).unescapeAll,i=n(179).escapeHtml,a={};function s(){this.rules=r({},a)}a.code_inline=function(e,t,n,r,o){var a=e[t];return"<code"+o.renderAttrs(a)+">"+i(e[t].content)+"</code>"},a.code_block=function(e,t,n,r,o){var a=e[t];return"<pre"+o.renderAttrs(a)+"><code>"+i(e[t].content)+"</code></pre>\n"},a.fence=function(e,t,n,r,a){var s,u,c,l,d=e[t],h=d.info?o(d.info).trim():"",f="";return h&&(f=h.split(/\s+/g)[0]),0===(s=n.highlight&&n.highlight(d.content,f)||i(d.content)).indexOf("<pre")?s+"\n":h?(u=d.attrIndex("class"),c=d.attrs?d.attrs.slice():[],u<0?c.push(["class",n.langPrefix+f]):c[u][1]+=" "+n.langPrefix+f,l={attrs:c},"<pre><code"+a.renderAttrs(l)+">"+s+"</code></pre>\n"):"<pre><code"+a.renderAttrs(d)+">"+s+"</code></pre>\n"},a.image=function(e,t,n,r,o){var i=e[t];return i.attrs[i.attrIndex("alt")][1]=o.renderInlineAsText(i.children,n,r),o.renderToken(e,t,n)},a.hardbreak=function(e,t,n){return n.xhtmlOut?"<br />\n":"<br>\n"},a.softbreak=function(e,t,n){return n.breaks?n.xhtmlOut?"<br />\n":"<br>\n":"\n"},a.text=function(e,t){return i(e[t].content)},a.html_block=function(e,t){return e[t].content},a.html_inline=function(e,t){return e[t].content},s.prototype.renderAttrs=function(e){var t,n,r;if(!e.attrs)return"";for(r="",t=0,n=e.attrs.length;t<n;t++)r+=" "+i(e.attrs[t][0])+'="'+i(e.attrs[t][1])+'"';return r},s.prototype.renderToken=function(e,t,n){var r,o="",i=!1,a=e[t];return a.hidden?"":(a.block&&-1!==a.nesting&&t&&e[t-1].hidden&&(o+="\n"),o+=(-1===a.nesting?"</":"<")+a.tag,o+=this.renderAttrs(a),0===a.nesting&&n.xhtmlOut&&(o+=" /"),a.block&&(i=!0,1===a.nesting&&t+1<e.length&&("inline"===(r=e[t+1]).type||r.hidden||-1===r.nesting&&r.tag===a.tag)&&(i=!1)),o+=i?">\n":">")},s.prototype.renderInline=function(e,t,n){for(var r,o="",i=this.rules,a=0,s=e.length;a<s;a++)void 0!==i[r=e[a].type]?o+=i[r](e,a,t,n,this):o+=this.renderToken(e,a,t);return o},s.prototype.renderInlineAsText=function(e,t,n){for(var r="",o=0,i=e.length;o<i;o++)"text"===e[o].type?r+=e[o].content:"image"===e[o].type&&(r+=this.renderInlineAsText(e[o].children,t,n));return r},s.prototype.render=function(e,t,n){var r,o,i,a="",s=this.rules;for(r=0,o=e.length;r<o;r++)"inline"===(i=e[r].type)?a+=this.renderInline(e[r].children,t,n):void 0!==s[i]?a+=s[e[r].type](e,r,t,n,this):a+=this.renderToken(e,r,t,n);return a},e.exports=s},function(e,t,n){"use strict";var r=n(201),o=[["normalize",n(580)],["block",n(581)],["inline",n(582)],["linkify",n(583)],["replacements",n(584)],["smartquotes",n(585)]];function i(){this.ruler=new r;for(var e=0;e<o.length;e++)this.ruler.push(o[e][0],o[e][1])}i.prototype.process=function(e){var t,n,r;for(t=0,n=(r=this.ruler.getRules("")).length;t<n;t++)r[t](e)},i.prototype.State=n(586),e.exports=i},function(e,t,n){"use strict";var r=/\r\n?|\n/g,o=/\0/g;e.exports=function(e){var t;t=(t=e.src.replace(r,"\n")).replace(o,"�"),e.src=t}},function(e,t,n){"use strict";e.exports=function(e){var t;e.inlineMode?((t=new e.Token("inline","",0)).content=e.src,t.map=[0,1],t.children=[],e.tokens.push(t)):e.md.block.parse(e.src,e.md,e.env,e.tokens)}},function(e,t,n){"use strict";e.exports=function(e){var t,n,r,o=e.tokens;for(n=0,r=o.length;n<r;n++)"inline"===(t=o[n]).type&&e.md.inline.parse(t.content,e.md,e.env,t.children)}},function(e,t,n){"use strict";var r=n(179).arrayReplaceAt;function o(e){return/^<\/a\s*>/i.test(e)}e.exports=function(e){var t,n,i,a,s,u,c,l,d,h,f,p,m,_,g,y,v,M,k=e.tokens;if(e.md.options.linkify)for(n=0,i=k.length;n<i;n++)if("inline"===k[n].type&&e.md.linkify.pretest(k[n].content))for(m=0,t=(a=k[n].children).length-1;t>=0;t--)if("link_close"!==(u=a[t]).type){if("html_inline"===u.type&&(M=u.content,/^<a[>\s]/i.test(M)&&m>0&&m--,o(u.content)&&m++),!(m>0)&&"text"===u.type&&e.md.linkify.test(u.content)){for(d=u.content,v=e.md.linkify.match(d),c=[],p=u.level,f=0,l=0;l<v.length;l++)_=v[l].url,g=e.md.normalizeLink(_),e.md.validateLink(g)&&(y=v[l].text,y=v[l].schema?"mailto:"!==v[l].schema||/^mailto:/i.test(y)?e.md.normalizeLinkText(y):e.md.normalizeLinkText("mailto:"+y).replace(/^mailto:/,""):e.md.normalizeLinkText("http://"+y).replace(/^http:\/\//,""),(h=v[l].index)>f&&((s=new e.Token("text","",0)).content=d.slice(f,h),s.level=p,c.push(s)),(s=new e.Token("link_open","a",1)).attrs=[["href",g]],s.level=p++,s.markup="linkify",s.info="auto",c.push(s),(s=new e.Token("text","",0)).content=y,s.level=p,c.push(s),(s=new e.Token("link_close","a",-1)).level=--p,s.markup="linkify",s.info="auto",c.push(s),f=v[l].lastIndex);f<d.length&&((s=new e.Token("text","",0)).content=d.slice(f),s.level=p,c.push(s)),k[n].children=a=r(a,t,c)}}else for(t--;a[t].level!==u.level&&"link_open"!==a[t].type;)t--}},function(e,t,n){"use strict";var r=/\+-|\.\.|\?\?\?\?|!!!!|,,|--/,o=/\((c|tm|r|p)\)/i,i=/\((c|tm|r|p)\)/gi,a={c:"©",r:"®",p:"§",tm:"™"};function s(e,t){return a[t.toLowerCase()]}function u(e){var t,n,r=0;for(t=e.length-1;t>=0;t--)"text"!==(n=e[t]).type||r||(n.content=n.content.replace(i,s)),"link_open"===n.type&&"auto"===n.info&&r--,"link_close"===n.type&&"auto"===n.info&&r++}function c(e){var t,n,o=0;for(t=e.length-1;t>=0;t--)"text"!==(n=e[t]).type||o||r.test(n.content)&&(n.content=n.content.replace(/\+-/g,"±").replace(/\.{2,}/g,"…").replace(/([?!])…/g,"$1..").replace(/([?!]){4,}/g,"$1$1$1").replace(/,{2,}/g,",").replace(/(^|[^-])---(?=[^-]|$)/gm,"$1—").replace(/(^|\s)--(?=\s|$)/gm,"$1–").replace(/(^|[^-\s])--(?=[^-\s]|$)/gm,"$1–")),"link_open"===n.type&&"auto"===n.info&&o--,"link_close"===n.type&&"auto"===n.info&&o++}e.exports=function(e){var t;if(e.md.options.typographer)for(t=e.tokens.length-1;t>=0;t--)"inline"===e.tokens[t].type&&(o.test(e.tokens[t].content)&&u(e.tokens[t].children),r.test(e.tokens[t].content)&&c(e.tokens[t].children))}},function(e,t,n){"use strict";var r=n(179).isWhiteSpace,o=n(179).isPunctChar,i=n(179).isMdAsciiPunct,a=/['"]/,s=/['"]/g;function u(e,t,n){return e.substr(0,t)+n+e.substr(t+1)}function c(e,t){var n,a,c,l,d,h,f,p,m,_,g,y,v,M,k,b,w,L,T,D,x;for(T=[],n=0;n<e.length;n++){for(a=e[n],f=e[n].level,w=T.length-1;w>=0&&!(T[w].level<=f);w--);if(T.length=w+1,"text"===a.type){d=0,h=(c=a.content).length;e:for(;d<h&&(s.lastIndex=d,l=s.exec(c));){if(k=b=!0,d=l.index+1,L="'"===l[0],m=32,l.index-1>=0)m=c.charCodeAt(l.index-1);else for(w=n-1;w>=0&&("softbreak"!==e[w].type&&"hardbreak"!==e[w].type);w--)if("text"===e[w].type){m=e[w].content.charCodeAt(e[w].content.length-1);break}if(_=32,d<h)_=c.charCodeAt(d);else for(w=n+1;w<e.length&&("softbreak"!==e[w].type&&"hardbreak"!==e[w].type);w++)if("text"===e[w].type){_=e[w].content.charCodeAt(0);break}if(g=i(m)||o(String.fromCharCode(m)),y=i(_)||o(String.fromCharCode(_)),v=r(m),(M=r(_))?k=!1:y&&(v||g||(k=!1)),v?b=!1:g&&(M||y||(b=!1)),34===_&&'"'===l[0]&&m>=48&&m<=57&&(b=k=!1),k&&b&&(k=g,b=y),k||b){if(b)for(w=T.length-1;w>=0&&(p=T[w],!(T[w].level<f));w--)if(p.single===L&&T[w].level===f){p=T[w],L?(D=t.md.options.quotes[2],x=t.md.options.quotes[3]):(D=t.md.options.quotes[0],x=t.md.options.quotes[1]),a.content=u(a.content,l.index,x),e[p.token].content=u(e[p.token].content,p.pos,D),d+=x.length-1,p.token===n&&(d+=D.length-1),h=(c=a.content).length,T.length=w;continue e}k?T.push({token:n,pos:l.index,single:L,level:f}):b&&L&&(a.content=u(a.content,l.index,"’"))}else L&&(a.content=u(a.content,l.index,"’"))}}}}e.exports=function(e){var t;if(e.md.options.typographer)for(t=e.tokens.length-1;t>=0;t--)"inline"===e.tokens[t].type&&a.test(e.tokens[t].content)&&c(e.tokens[t].children,e)}},function(e,t,n){"use strict";var r=n(202);function o(e,t,n){this.src=e,this.env=n,this.tokens=[],this.inlineMode=!1,this.md=t}o.prototype.Token=r,e.exports=o},function(e,t,n){"use strict";var r=n(201),o=[["table",n(588),["paragraph","reference"]],["code",n(589)],["fence",n(590),["paragraph","reference","blockquote","list"]],["blockquote",n(591),["paragraph","reference","blockquote","list"]],["hr",n(592),["paragraph","reference","blockquote","list"]],["list",n(593),["paragraph","reference","blockquote"]],["reference",n(594)],["heading",n(595),["paragraph","reference","blockquote"]],["lheading",n(596)],["html_block",n(597),["paragraph","reference","blockquote"]],["paragraph",n(599)]];function i(){this.ruler=new r;for(var e=0;e<o.length;e++)this.ruler.push(o[e][0],o[e][1],{alt:(o[e][2]||[]).slice()})}i.prototype.tokenize=function(e,t,n){for(var r,o=this.ruler.getRules(""),i=o.length,a=t,s=!1,u=e.md.options.maxNesting;a<n&&(e.line=a=e.skipEmptyLines(a),!(a>=n))&&!(e.sCount[a]<e.blkIndent);){if(e.level>=u){e.line=n;break}for(r=0;r<i&&!o[r](e,a,n,!1);r++);e.tight=!s,e.isEmpty(e.line-1)&&(s=!0),(a=e.line)<n&&e.isEmpty(a)&&(s=!0,a++,e.line=a)}},i.prototype.parse=function(e,t,n,r){var o;e&&(o=new this.State(e,t,n,r),this.tokenize(o,o.line,o.lineMax))},i.prototype.State=n(600),e.exports=i},function(e,t,n){"use strict";var r=n(179).isSpace;function o(e,t){var n=e.bMarks[t]+e.blkIndent,r=e.eMarks[t];return e.src.substr(n,r-n)}function i(e){var t,n=[],r=0,o=e.length,i=0,a=0,s=!1,u=0;for(t=e.charCodeAt(r);r<o;)96===t?s?(s=!1,u=r):i%2==0&&(s=!0,u=r):124!==t||i%2!=0||s||(n.push(e.substring(a,r)),a=r+1),92===t?i++:i=0,++r===o&&s&&(s=!1,r=u+1),t=e.charCodeAt(r);return n.push(e.substring(a)),n}e.exports=function(e,t,n,a){var s,u,c,l,d,h,f,p,m,_,g,y;if(t+2>n)return!1;if(d=t+1,e.sCount[d]<e.blkIndent)return!1;if(e.sCount[d]-e.blkIndent>=4)return!1;if((c=e.bMarks[d]+e.tShift[d])>=e.eMarks[d])return!1;if(124!==(s=e.src.charCodeAt(c++))&&45!==s&&58!==s)return!1;for(;c<e.eMarks[d];){if(124!==(s=e.src.charCodeAt(c))&&45!==s&&58!==s&&!r(s))return!1;c++}for(h=(u=o(e,t+1)).split("|"),m=[],l=0;l<h.length;l++){if(!(_=h[l].trim())){if(0===l||l===h.length-1)continue;return!1}if(!/^:?-+:?$/.test(_))return!1;58===_.charCodeAt(_.length-1)?m.push(58===_.charCodeAt(0)?"center":"right"):58===_.charCodeAt(0)?m.push("left"):m.push("")}if(-1===(u=o(e,t).trim()).indexOf("|"))return!1;if(e.sCount[t]-e.blkIndent>=4)return!1;if((f=(h=i(u.replace(/^\||\|$/g,""))).length)>m.length)return!1;if(a)return!0;for((p=e.push("table_open","table",1)).map=g=[t,0],(p=e.push("thead_open","thead",1)).map=[t,t+1],(p=e.push("tr_open","tr",1)).map=[t,t+1],l=0;l<h.length;l++)(p=e.push("th_open","th",1)).map=[t,t+1],m[l]&&(p.attrs=[["style","text-align:"+m[l]]]),(p=e.push("inline","",0)).content=h[l].trim(),p.map=[t,t+1],p.children=[],p=e.push("th_close","th",-1);for(p=e.push("tr_close","tr",-1),p=e.push("thead_close","thead",-1),(p=e.push("tbody_open","tbody",1)).map=y=[t+2,0],d=t+2;d<n&&!(e.sCount[d]<e.blkIndent)&&-1!==(u=o(e,d).trim()).indexOf("|")&&!(e.sCount[d]-e.blkIndent>=4);d++){for(h=i(u.replace(/^\||\|$/g,"")),p=e.push("tr_open","tr",1),l=0;l<f;l++)p=e.push("td_open","td",1),m[l]&&(p.attrs=[["style","text-align:"+m[l]]]),(p=e.push("inline","",0)).content=h[l]?h[l].trim():"",p.children=[],p=e.push("td_close","td",-1);p=e.push("tr_close","tr",-1)}return p=e.push("tbody_close","tbody",-1),p=e.push("table_close","table",-1),g[1]=y[1]=d,e.line=d,!0}},function(e,t,n){"use strict";e.exports=function(e,t,n){var r,o,i;if(e.sCount[t]-e.blkIndent<4)return!1;for(o=r=t+1;r<n;)if(e.isEmpty(r))r++;else{if(!(e.sCount[r]-e.blkIndent>=4))break;o=++r}return e.line=o,(i=e.push("code_block","code",0)).content=e.getLines(t,o,4+e.blkIndent,!0),i.map=[t,e.line],!0}},function(e,t,n){"use strict";e.exports=function(e,t,n,r){var o,i,a,s,u,c,l,d=!1,h=e.bMarks[t]+e.tShift[t],f=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4)return!1;if(h+3>f)return!1;if(126!==(o=e.src.charCodeAt(h))&&96!==o)return!1;if(u=h,(i=(h=e.skipChars(h,o))-u)<3)return!1;if(l=e.src.slice(u,h),a=e.src.slice(h,f),96===o&&a.indexOf(String.fromCharCode(o))>=0)return!1;if(r)return!0;for(s=t;!(++s>=n)&&!((h=u=e.bMarks[s]+e.tShift[s])<(f=e.eMarks[s])&&e.sCount[s]<e.blkIndent);)if(e.src.charCodeAt(h)===o&&!(e.sCount[s]-e.blkIndent>=4||(h=e.skipChars(h,o))-u<i||(h=e.skipSpaces(h))<f)){d=!0;break}return i=e.sCount[t],e.line=s+(d?1:0),(c=e.push("fence","code",0)).info=a,c.content=e.getLines(t+1,s,i,!0),c.markup=l,c.map=[t,e.line],!0}},function(e,t,n){"use strict";var r=n(179).isSpace;e.exports=function(e,t,n,o){var i,a,s,u,c,l,d,h,f,p,m,_,g,y,v,M,k,b,w,L,T=e.lineMax,D=e.bMarks[t]+e.tShift[t],x=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4)return!1;if(62!==e.src.charCodeAt(D++))return!1;if(o)return!0;for(u=f=e.sCount[t]+D-(e.bMarks[t]+e.tShift[t]),32===e.src.charCodeAt(D)?(D++,u++,f++,i=!1,M=!0):9===e.src.charCodeAt(D)?(M=!0,(e.bsCount[t]+f)%4==3?(D++,u++,f++,i=!1):i=!0):M=!1,p=[e.bMarks[t]],e.bMarks[t]=D;D<x&&(a=e.src.charCodeAt(D),r(a));)9===a?f+=4-(f+e.bsCount[t]+(i?1:0))%4:f++,D++;for(m=[e.bsCount[t]],e.bsCount[t]=e.sCount[t]+1+(M?1:0),l=D>=x,y=[e.sCount[t]],e.sCount[t]=f-u,v=[e.tShift[t]],e.tShift[t]=D-e.bMarks[t],b=e.md.block.ruler.getRules("blockquote"),g=e.parentType,e.parentType="blockquote",L=!1,h=t+1;h<n&&(e.sCount[h]<e.blkIndent&&(L=!0),!((D=e.bMarks[h]+e.tShift[h])>=(x=e.eMarks[h])));h++)if(62!==e.src.charCodeAt(D++)||L){if(l)break;for(k=!1,s=0,c=b.length;s<c;s++)if(b[s](e,h,n,!0)){k=!0;break}if(k){e.lineMax=h,0!==e.blkIndent&&(p.push(e.bMarks[h]),m.push(e.bsCount[h]),v.push(e.tShift[h]),y.push(e.sCount[h]),e.sCount[h]-=e.blkIndent);break}p.push(e.bMarks[h]),m.push(e.bsCount[h]),v.push(e.tShift[h]),y.push(e.sCount[h]),e.sCount[h]=-1}else{for(u=f=e.sCount[h]+D-(e.bMarks[h]+e.tShift[h]),32===e.src.charCodeAt(D)?(D++,u++,f++,i=!1,M=!0):9===e.src.charCodeAt(D)?(M=!0,(e.bsCount[h]+f)%4==3?(D++,u++,f++,i=!1):i=!0):M=!1,p.push(e.bMarks[h]),e.bMarks[h]=D;D<x&&(a=e.src.charCodeAt(D),r(a));)9===a?f+=4-(f+e.bsCount[h]+(i?1:0))%4:f++,D++;l=D>=x,m.push(e.bsCount[h]),e.bsCount[h]=e.sCount[h]+1+(M?1:0),y.push(e.sCount[h]),e.sCount[h]=f-u,v.push(e.tShift[h]),e.tShift[h]=D-e.bMarks[h]}for(_=e.blkIndent,e.blkIndent=0,(w=e.push("blockquote_open","blockquote",1)).markup=">",w.map=d=[t,0],e.md.block.tokenize(e,t,h),(w=e.push("blockquote_close","blockquote",-1)).markup=">",e.lineMax=T,e.parentType=g,d[1]=e.line,s=0;s<v.length;s++)e.bMarks[s+t]=p[s],e.tShift[s+t]=v[s],e.sCount[s+t]=y[s],e.bsCount[s+t]=m[s];return e.blkIndent=_,!0}},function(e,t,n){"use strict";var r=n(179).isSpace;e.exports=function(e,t,n,o){var i,a,s,u,c=e.bMarks[t]+e.tShift[t],l=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4)return!1;if(42!==(i=e.src.charCodeAt(c++))&&45!==i&&95!==i)return!1;for(a=1;c<l;){if((s=e.src.charCodeAt(c++))!==i&&!r(s))return!1;s===i&&a++}return!(a<3)&&(o||(e.line=t+1,(u=e.push("hr","hr",0)).map=[t,e.line],u.markup=Array(a+1).join(String.fromCharCode(i))),!0)}},function(e,t,n){"use strict";var r=n(179).isSpace;function o(e,t){var n,o,i,a;return o=e.bMarks[t]+e.tShift[t],i=e.eMarks[t],42!==(n=e.src.charCodeAt(o++))&&45!==n&&43!==n||o<i&&(a=e.src.charCodeAt(o),!r(a))?-1:o}function i(e,t){var n,o=e.bMarks[t]+e.tShift[t],i=o,a=e.eMarks[t];if(i+1>=a)return-1;if((n=e.src.charCodeAt(i++))<48||n>57)return-1;for(;;){if(i>=a)return-1;if(!((n=e.src.charCodeAt(i++))>=48&&n<=57)){if(41===n||46===n)break;return-1}if(i-o>=10)return-1}return i<a&&(n=e.src.charCodeAt(i),!r(n))?-1:i}e.exports=function(e,t,n,r){var a,s,u,c,l,d,h,f,p,m,_,g,y,v,M,k,b,w,L,T,D,x,S,Y,O,C,E,A,j=!1,H=!0;if(e.sCount[t]-e.blkIndent>=4)return!1;if(e.listIndent>=0&&e.sCount[t]-e.listIndent>=4&&e.sCount[t]<e.blkIndent)return!1;if(r&&"paragraph"===e.parentType&&e.tShift[t]>=e.blkIndent&&(j=!0),(S=i(e,t))>=0){if(h=!0,O=e.bMarks[t]+e.tShift[t],y=Number(e.src.substr(O,S-O-1)),j&&1!==y)return!1}else{if(!((S=o(e,t))>=0))return!1;h=!1}if(j&&e.skipSpaces(S)>=e.eMarks[t])return!1;if(g=e.src.charCodeAt(S-1),r)return!0;for(_=e.tokens.length,h?(A=e.push("ordered_list_open","ol",1),1!==y&&(A.attrs=[["start",y]])):A=e.push("bullet_list_open","ul",1),A.map=m=[t,0],A.markup=String.fromCharCode(g),M=t,Y=!1,E=e.md.block.ruler.getRules("list"),w=e.parentType,e.parentType="list";M<n;){for(x=S,v=e.eMarks[M],d=k=e.sCount[M]+S-(e.bMarks[t]+e.tShift[t]);x<v;){if(9===(a=e.src.charCodeAt(x)))k+=4-(k+e.bsCount[M])%4;else{if(32!==a)break;k++}x++}if((l=(s=x)>=v?1:k-d)>4&&(l=1),c=d+l,(A=e.push("list_item_open","li",1)).markup=String.fromCharCode(g),A.map=f=[t,0],D=e.tight,T=e.tShift[t],L=e.sCount[t],b=e.listIndent,e.listIndent=e.blkIndent,e.blkIndent=c,e.tight=!0,e.tShift[t]=s-e.bMarks[t],e.sCount[t]=k,s>=v&&e.isEmpty(t+1)?e.line=Math.min(e.line+2,n):e.md.block.tokenize(e,t,n,!0),e.tight&&!Y||(H=!1),Y=e.line-t>1&&e.isEmpty(e.line-1),e.blkIndent=e.listIndent,e.listIndent=b,e.tShift[t]=T,e.sCount[t]=L,e.tight=D,(A=e.push("list_item_close","li",-1)).markup=String.fromCharCode(g),M=t=e.line,f[1]=M,s=e.bMarks[t],M>=n)break;if(e.sCount[M]<e.blkIndent)break;if(e.sCount[t]-e.blkIndent>=4)break;for(C=!1,u=0,p=E.length;u<p;u++)if(E[u](e,M,n,!0)){C=!0;break}if(C)break;if(h){if((S=i(e,M))<0)break}else if((S=o(e,M))<0)break;if(g!==e.src.charCodeAt(S-1))break}return(A=h?e.push("ordered_list_close","ol",-1):e.push("bullet_list_close","ul",-1)).markup=String.fromCharCode(g),m[1]=M,e.line=M,e.parentType=w,H&&function(e,t){var n,r,o=e.level+2;for(n=t+2,r=e.tokens.length-2;n<r;n++)e.tokens[n].level===o&&"paragraph_open"===e.tokens[n].type&&(e.tokens[n+2].hidden=!0,e.tokens[n].hidden=!0,n+=2)}(e,_),!0}},function(e,t,n){"use strict";var r=n(179).normalizeReference,o=n(179).isSpace;e.exports=function(e,t,n,i){var a,s,u,c,l,d,h,f,p,m,_,g,y,v,M,k,b=0,w=e.bMarks[t]+e.tShift[t],L=e.eMarks[t],T=t+1;if(e.sCount[t]-e.blkIndent>=4)return!1;if(91!==e.src.charCodeAt(w))return!1;for(;++w<L;)if(93===e.src.charCodeAt(w)&&92!==e.src.charCodeAt(w-1)){if(w+1===L)return!1;if(58!==e.src.charCodeAt(w+1))return!1;break}for(c=e.lineMax,M=e.md.block.ruler.getRules("reference"),m=e.parentType,e.parentType="reference";T<c&&!e.isEmpty(T);T++)if(!(e.sCount[T]-e.blkIndent>3||e.sCount[T]<0)){for(v=!1,d=0,h=M.length;d<h;d++)if(M[d](e,T,c,!0)){v=!0;break}if(v)break}for(L=(y=e.getLines(t,T,e.blkIndent,!1).trim()).length,w=1;w<L;w++){if(91===(a=y.charCodeAt(w)))return!1;if(93===a){p=w;break}(10===a||92===a&&++w<L&&10===y.charCodeAt(w))&&b++}if(p<0||58!==y.charCodeAt(p+1))return!1;for(w=p+2;w<L;w++)if(10===(a=y.charCodeAt(w)))b++;else if(!o(a))break;if(!(_=e.md.helpers.parseLinkDestination(y,w,L)).ok)return!1;if(l=e.md.normalizeLink(_.str),!e.md.validateLink(l))return!1;for(s=w=_.pos,u=b+=_.lines,g=w;w<L;w++)if(10===(a=y.charCodeAt(w)))b++;else if(!o(a))break;for(_=e.md.helpers.parseLinkTitle(y,w,L),w<L&&g!==w&&_.ok?(k=_.str,w=_.pos,b+=_.lines):(k="",w=s,b=u);w<L&&(a=y.charCodeAt(w),o(a));)w++;if(w<L&&10!==y.charCodeAt(w)&&k)for(k="",w=s,b=u;w<L&&(a=y.charCodeAt(w),o(a));)w++;return!(w<L&&10!==y.charCodeAt(w))&&(!!(f=r(y.slice(1,p)))&&(i||(void 0===e.env.references&&(e.env.references={}),void 0===e.env.references[f]&&(e.env.references[f]={title:k,href:l}),e.parentType=m,e.line=t+b+1),!0))}},function(e,t,n){"use strict";var r=n(179).isSpace;e.exports=function(e,t,n,o){var i,a,s,u,c=e.bMarks[t]+e.tShift[t],l=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4)return!1;if(35!==(i=e.src.charCodeAt(c))||c>=l)return!1;for(a=1,i=e.src.charCodeAt(++c);35===i&&c<l&&a<=6;)a++,i=e.src.charCodeAt(++c);return!(a>6||c<l&&!r(i))&&(o||(l=e.skipSpacesBack(l,c),(s=e.skipCharsBack(l,35,c))>c&&r(e.src.charCodeAt(s-1))&&(l=s),e.line=t+1,(u=e.push("heading_open","h"+String(a),1)).markup="########".slice(0,a),u.map=[t,e.line],(u=e.push("inline","",0)).content=e.src.slice(c,l).trim(),u.map=[t,e.line],u.children=[],(u=e.push("heading_close","h"+String(a),-1)).markup="########".slice(0,a)),!0)}},function(e,t,n){"use strict";e.exports=function(e,t,n){var r,o,i,a,s,u,c,l,d,h,f=t+1,p=e.md.block.ruler.getRules("paragraph");if(e.sCount[t]-e.blkIndent>=4)return!1;for(h=e.parentType,e.parentType="paragraph";f<n&&!e.isEmpty(f);f++)if(!(e.sCount[f]-e.blkIndent>3)){if(e.sCount[f]>=e.blkIndent&&(u=e.bMarks[f]+e.tShift[f])<(c=e.eMarks[f])&&(45===(d=e.src.charCodeAt(u))||61===d)&&(u=e.skipChars(u,d),(u=e.skipSpaces(u))>=c)){l=61===d?1:2;break}if(!(e.sCount[f]<0)){for(o=!1,i=0,a=p.length;i<a;i++)if(p[i](e,f,n,!0)){o=!0;break}if(o)break}}return!!l&&(r=e.getLines(t,f,e.blkIndent,!1).trim(),e.line=f+1,(s=e.push("heading_open","h"+String(l),1)).markup=String.fromCharCode(d),s.map=[t,e.line],(s=e.push("inline","",0)).content=r,s.map=[t,e.line-1],s.children=[],(s=e.push("heading_close","h"+String(l),-1)).markup=String.fromCharCode(d),e.parentType=h,!0)}},function(e,t,n){"use strict";var r=n(598),o=n(360).HTML_OPEN_CLOSE_TAG_RE,i=[[/^<(script|pre|style)(?=(\s|>|$))/i,/<\/(script|pre|style)>/i,!0],[/^<!--/,/-->/,!0],[/^<\?/,/\?>/,!0],[/^<![A-Z]/,/>/,!0],[/^<!\[CDATA\[/,/\]\]>/,!0],[new RegExp("^</?("+r.join("|")+")(?=(\\s|/?>|$))","i"),/^$/,!0],[new RegExp(o.source+"\\s*$"),/^$/,!1]];e.exports=function(e,t,n,r){var o,a,s,u,c=e.bMarks[t]+e.tShift[t],l=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4)return!1;if(!e.md.options.html)return!1;if(60!==e.src.charCodeAt(c))return!1;for(u=e.src.slice(c,l),o=0;o<i.length&&!i[o][0].test(u);o++);if(o===i.length)return!1;if(r)return i[o][2];if(a=t+1,!i[o][1].test(u))for(;a<n&&!(e.sCount[a]<e.blkIndent);a++)if(c=e.bMarks[a]+e.tShift[a],l=e.eMarks[a],u=e.src.slice(c,l),i[o][1].test(u)){0!==u.length&&a++;break}return e.line=a,(s=e.push("html_block","",0)).map=[t,a],s.content=e.getLines(t,a,e.blkIndent,!0),!0}},function(e,t,n){"use strict";e.exports=["address","article","aside","base","basefont","blockquote","body","caption","center","col","colgroup","dd","details","dialog","dir","div","dl","dt","fieldset","figcaption","figure","footer","form","frame","frameset","h1","h2","h3","h4","h5","h6","head","header","hr","html","iframe","legend","li","link","main","menu","menuitem","meta","nav","noframes","ol","optgroup","option","p","param","section","source","summary","table","tbody","td","tfoot","th","thead","title","tr","track","ul"]},function(e,t,n){"use strict";e.exports=function(e,t){var n,r,o,i,a,s,u=t+1,c=e.md.block.ruler.getRules("paragraph"),l=e.lineMax;for(s=e.parentType,e.parentType="paragraph";u<l&&!e.isEmpty(u);u++)if(!(e.sCount[u]-e.blkIndent>3||e.sCount[u]<0)){for(r=!1,o=0,i=c.length;o<i;o++)if(c[o](e,u,l,!0)){r=!0;break}if(r)break}return n=e.getLines(t,u,e.blkIndent,!1).trim(),e.line=u,(a=e.push("paragraph_open","p",1)).map=[t,e.line],(a=e.push("inline","",0)).content=n,a.map=[t,e.line],a.children=[],a=e.push("paragraph_close","p",-1),e.parentType=s,!0}},function(e,t,n){"use strict";var r=n(202),o=n(179).isSpace;function i(e,t,n,r){var i,a,s,u,c,l,d,h;for(this.src=e,this.md=t,this.env=n,this.tokens=r,this.bMarks=[],this.eMarks=[],this.tShift=[],this.sCount=[],this.bsCount=[],this.blkIndent=0,this.line=0,this.lineMax=0,this.tight=!1,this.ddIndent=-1,this.listIndent=-1,this.parentType="root",this.level=0,this.result="",h=!1,s=u=l=d=0,c=(a=this.src).length;u<c;u++){if(i=a.charCodeAt(u),!h){if(o(i)){l++,9===i?d+=4-d%4:d++;continue}h=!0}10!==i&&u!==c-1||(10!==i&&u++,this.bMarks.push(s),this.eMarks.push(u),this.tShift.push(l),this.sCount.push(d),this.bsCount.push(0),h=!1,l=0,d=0,s=u+1)}this.bMarks.push(a.length),this.eMarks.push(a.length),this.tShift.push(0),this.sCount.push(0),this.bsCount.push(0),this.lineMax=this.bMarks.length-1}i.prototype.push=function(e,t,n){var o=new r(e,t,n);return o.block=!0,n<0&&this.level--,o.level=this.level,n>0&&this.level++,this.tokens.push(o),o},i.prototype.isEmpty=function(e){return this.bMarks[e]+this.tShift[e]>=this.eMarks[e]},i.prototype.skipEmptyLines=function(e){for(var t=this.lineMax;e<t&&!(this.bMarks[e]+this.tShift[e]<this.eMarks[e]);e++);return e},i.prototype.skipSpaces=function(e){for(var t,n=this.src.length;e<n&&(t=this.src.charCodeAt(e),o(t));e++);return e},i.prototype.skipSpacesBack=function(e,t){if(e<=t)return e;for(;e>t;)if(!o(this.src.charCodeAt(--e)))return e+1;return e},i.prototype.skipChars=function(e,t){for(var n=this.src.length;e<n&&this.src.charCodeAt(e)===t;e++);return e},i.prototype.skipCharsBack=function(e,t,n){if(e<=n)return e;for(;e>n;)if(t!==this.src.charCodeAt(--e))return e+1;return e},i.prototype.getLines=function(e,t,n,r){var i,a,s,u,c,l,d,h=e;if(e>=t)return"";for(l=new Array(t-e),i=0;h<t;h++,i++){for(a=0,d=u=this.bMarks[h],c=h+1<t||r?this.eMarks[h]+1:this.eMarks[h];u<c&&a<n;){if(s=this.src.charCodeAt(u),o(s))9===s?a+=4-(a+this.bsCount[h])%4:a++;else{if(!(u-d<this.tShift[h]))break;a++}u++}l[i]=a>n?new Array(a-n+1).join(" ")+this.src.slice(u,c):this.src.slice(u,c)}return l.join("")},i.prototype.Token=r,e.exports=i},function(e,t,n){"use strict";var r=n(201),o=[["text",n(602)],["newline",n(603)],["escape",n(604)],["backticks",n(605)],["strikethrough",n(361).tokenize],["emphasis",n(362).tokenize],["link",n(606)],["image",n(607)],["autolink",n(608)],["html_inline",n(609)],["entity",n(610)]],i=[["balance_pairs",n(611)],["strikethrough",n(361).postProcess],["emphasis",n(362).postProcess],["text_collapse",n(612)]];function a(){var e;for(this.ruler=new r,e=0;e<o.length;e++)this.ruler.push(o[e][0],o[e][1]);for(this.ruler2=new r,e=0;e<i.length;e++)this.ruler2.push(i[e][0],i[e][1])}a.prototype.skipToken=function(e){var t,n,r=e.pos,o=this.ruler.getRules(""),i=o.length,a=e.md.options.maxNesting,s=e.cache;if(void 0===s[r]){if(e.level<a)for(n=0;n<i&&(e.level++,t=o[n](e,!0),e.level--,!t);n++);else e.pos=e.posMax;t||e.pos++,s[r]=e.pos}else e.pos=s[r]},a.prototype.tokenize=function(e){for(var t,n,r=this.ruler.getRules(""),o=r.length,i=e.posMax,a=e.md.options.maxNesting;e.pos<i;){if(e.level<a)for(n=0;n<o&&!(t=r[n](e,!1));n++);if(t){if(e.pos>=i)break}else e.pending+=e.src[e.pos++]}e.pending&&e.pushPending()},a.prototype.parse=function(e,t,n,r){var o,i,a,s=new this.State(e,t,n,r);for(this.tokenize(s),a=(i=this.ruler2.getRules("")).length,o=0;o<a;o++)i[o](s)},a.prototype.State=n(613),e.exports=a},function(e,t,n){"use strict";function r(e){switch(e){case 10:case 33:case 35:case 36:case 37:case 38:case 42:case 43:case 45:case 58:case 60:case 61:case 62:case 64:case 91:case 92:case 93:case 94:case 95:case 96:case 123:case 125:case 126:return!0;default:return!1}}e.exports=function(e,t){for(var n=e.pos;n<e.posMax&&!r(e.src.charCodeAt(n));)n++;return n!==e.pos&&(t||(e.pending+=e.src.slice(e.pos,n)),e.pos=n,!0)}},function(e,t,n){"use strict";var r=n(179).isSpace;e.exports=function(e,t){var n,o,i=e.pos;if(10!==e.src.charCodeAt(i))return!1;for(n=e.pending.length-1,o=e.posMax,t||(n>=0&&32===e.pending.charCodeAt(n)?n>=1&&32===e.pending.charCodeAt(n-1)?(e.pending=e.pending.replace(/ +$/,""),e.push("hardbreak","br",0)):(e.pending=e.pending.slice(0,-1),e.push("softbreak","br",0)):e.push("softbreak","br",0)),i++;i<o&&r(e.src.charCodeAt(i));)i++;return e.pos=i,!0}},function(e,t,n){"use strict";for(var r=n(179).isSpace,o=[],i=0;i<256;i++)o.push(0);"\\!\"#$%&'()*+,./:;<=>?@[]^_`{|}~-".split("").forEach((function(e){o[e.charCodeAt(0)]=1})),e.exports=function(e,t){var n,i=e.pos,a=e.posMax;if(92!==e.src.charCodeAt(i))return!1;if(++i<a){if((n=e.src.charCodeAt(i))<256&&0!==o[n])return t||(e.pending+=e.src[i]),e.pos+=2,!0;if(10===n){for(t||e.push("hardbreak","br",0),i++;i<a&&(n=e.src.charCodeAt(i),r(n));)i++;return e.pos=i,!0}}return t||(e.pending+="\\"),e.pos++,!0}},function(e,t,n){"use strict";e.exports=function(e,t){var n,r,o,i,a,s,u=e.pos;if(96!==e.src.charCodeAt(u))return!1;for(n=u,u++,r=e.posMax;u<r&&96===e.src.charCodeAt(u);)u++;for(o=e.src.slice(n,u),i=a=u;-1!==(i=e.src.indexOf("`",a));){for(a=i+1;a<r&&96===e.src.charCodeAt(a);)a++;if(a-i===o.length)return t||((s=e.push("code_inline","code",0)).markup=o,s.content=e.src.slice(u,i).replace(/\n/g," ").replace(/^ (.+) $/,"$1")),e.pos=a,!0}return t||(e.pending+=o),e.pos+=o.length,!0}},function(e,t,n){"use strict";var r=n(179).normalizeReference,o=n(179).isSpace;e.exports=function(e,t){var n,i,a,s,u,c,l,d,h,f="",p=e.pos,m=e.posMax,_=e.pos,g=!0;if(91!==e.src.charCodeAt(e.pos))return!1;if(u=e.pos+1,(s=e.md.helpers.parseLinkLabel(e,e.pos,!0))<0)return!1;if((c=s+1)<m&&40===e.src.charCodeAt(c)){for(g=!1,c++;c<m&&(i=e.src.charCodeAt(c),o(i)||10===i);c++);if(c>=m)return!1;for(_=c,(l=e.md.helpers.parseLinkDestination(e.src,c,e.posMax)).ok&&(f=e.md.normalizeLink(l.str),e.md.validateLink(f)?c=l.pos:f=""),_=c;c<m&&(i=e.src.charCodeAt(c),o(i)||10===i);c++);if(l=e.md.helpers.parseLinkTitle(e.src,c,e.posMax),c<m&&_!==c&&l.ok)for(h=l.str,c=l.pos;c<m&&(i=e.src.charCodeAt(c),o(i)||10===i);c++);else h="";(c>=m||41!==e.src.charCodeAt(c))&&(g=!0),c++}if(g){if(void 0===e.env.references)return!1;if(c<m&&91===e.src.charCodeAt(c)?(_=c+1,(c=e.md.helpers.parseLinkLabel(e,c))>=0?a=e.src.slice(_,c++):c=s+1):c=s+1,a||(a=e.src.slice(u,s)),!(d=e.env.references[r(a)]))return e.pos=p,!1;f=d.href,h=d.title}return t||(e.pos=u,e.posMax=s,e.push("link_open","a",1).attrs=n=[["href",f]],h&&n.push(["title",h]),e.md.inline.tokenize(e),e.push("link_close","a",-1)),e.pos=c,e.posMax=m,!0}},function(e,t,n){"use strict";var r=n(179).normalizeReference,o=n(179).isSpace;e.exports=function(e,t){var n,i,a,s,u,c,l,d,h,f,p,m,_,g="",y=e.pos,v=e.posMax;if(33!==e.src.charCodeAt(e.pos))return!1;if(91!==e.src.charCodeAt(e.pos+1))return!1;if(c=e.pos+2,(u=e.md.helpers.parseLinkLabel(e,e.pos+1,!1))<0)return!1;if((l=u+1)<v&&40===e.src.charCodeAt(l)){for(l++;l<v&&(i=e.src.charCodeAt(l),o(i)||10===i);l++);if(l>=v)return!1;for(_=l,(h=e.md.helpers.parseLinkDestination(e.src,l,e.posMax)).ok&&(g=e.md.normalizeLink(h.str),e.md.validateLink(g)?l=h.pos:g=""),_=l;l<v&&(i=e.src.charCodeAt(l),o(i)||10===i);l++);if(h=e.md.helpers.parseLinkTitle(e.src,l,e.posMax),l<v&&_!==l&&h.ok)for(f=h.str,l=h.pos;l<v&&(i=e.src.charCodeAt(l),o(i)||10===i);l++);else f="";if(l>=v||41!==e.src.charCodeAt(l))return e.pos=y,!1;l++}else{if(void 0===e.env.references)return!1;if(l<v&&91===e.src.charCodeAt(l)?(_=l+1,(l=e.md.helpers.parseLinkLabel(e,l))>=0?s=e.src.slice(_,l++):l=u+1):l=u+1,s||(s=e.src.slice(c,u)),!(d=e.env.references[r(s)]))return e.pos=y,!1;g=d.href,f=d.title}return t||(a=e.src.slice(c,u),e.md.inline.parse(a,e.md,e.env,m=[]),(p=e.push("image","img",0)).attrs=n=[["src",g],["alt",""]],p.children=m,p.content=a,f&&n.push(["title",f])),e.pos=l,e.posMax=v,!0}},function(e,t,n){"use strict";var r=/^<([a-zA-Z0-9.!#$%&'*+\/=?^_`{|}~-]+@[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)*)>/,o=/^<([a-zA-Z][a-zA-Z0-9+.\-]{1,31}):([^<>\x00-\x20]*)>/;e.exports=function(e,t){var n,i,a,s,u,c,l=e.pos;return 60===e.src.charCodeAt(l)&&(!((n=e.src.slice(l)).indexOf(">")<0)&&(o.test(n)?(s=(i=n.match(o))[0].slice(1,-1),u=e.md.normalizeLink(s),!!e.md.validateLink(u)&&(t||((c=e.push("link_open","a",1)).attrs=[["href",u]],c.markup="autolink",c.info="auto",(c=e.push("text","",0)).content=e.md.normalizeLinkText(s),(c=e.push("link_close","a",-1)).markup="autolink",c.info="auto"),e.pos+=i[0].length,!0)):!!r.test(n)&&(s=(a=n.match(r))[0].slice(1,-1),u=e.md.normalizeLink("mailto:"+s),!!e.md.validateLink(u)&&(t||((c=e.push("link_open","a",1)).attrs=[["href",u]],c.markup="autolink",c.info="auto",(c=e.push("text","",0)).content=e.md.normalizeLinkText(s),(c=e.push("link_close","a",-1)).markup="autolink",c.info="auto"),e.pos+=a[0].length,!0))))}},function(e,t,n){"use strict";var r=n(360).HTML_TAG_RE;e.exports=function(e,t){var n,o,i,a=e.pos;return!!e.md.options.html&&(i=e.posMax,!(60!==e.src.charCodeAt(a)||a+2>=i)&&(!(33!==(n=e.src.charCodeAt(a+1))&&63!==n&&47!==n&&!function(e){var t=32|e;return t>=97&&t<=122}(n))&&(!!(o=e.src.slice(a).match(r))&&(t||(e.push("html_inline","",0).content=e.src.slice(a,a+o[0].length)),e.pos+=o[0].length,!0))))}},function(e,t,n){"use strict";var r=n(355),o=n(179).has,i=n(179).isValidEntityCode,a=n(179).fromCodePoint,s=/^&#((?:x[a-f0-9]{1,6}|[0-9]{1,7}));/i,u=/^&([a-z][a-z0-9]{1,31});/i;e.exports=function(e,t){var n,c,l=e.pos,d=e.posMax;if(38!==e.src.charCodeAt(l))return!1;if(l+1<d)if(35===e.src.charCodeAt(l+1)){if(c=e.src.slice(l).match(s))return t||(n="x"===c[1][0].toLowerCase()?parseInt(c[1].slice(1),16):parseInt(c[1],10),e.pending+=i(n)?a(n):a(65533)),e.pos+=c[0].length,!0}else if((c=e.src.slice(l).match(u))&&o(r,c[1]))return t||(e.pending+=r[c[1]]),e.pos+=c[0].length,!0;return t||(e.pending+="&"),e.pos++,!0}},function(e,t,n){"use strict";function r(e,t){var n,r,o,i,a,s,u,c,l={},d=t.length;for(n=0;n<d;n++)if((o=t[n]).length=o.length||0,o.close){for(l.hasOwnProperty(o.marker)||(l[o.marker]=[-1,-1,-1]),a=l[o.marker][o.length%3],s=-1,r=n-o.jump-1;r>a;r-=i.jump+1)if((i=t[r]).marker===o.marker&&(-1===s&&(s=r),i.open&&i.end<0&&i.level===o.level&&(u=!1,(i.close||o.open)&&(i.length+o.length)%3==0&&(i.length%3==0&&o.length%3==0||(u=!0)),!u))){c=r>0&&!t[r-1].open?t[r-1].jump+1:0,o.jump=n-r+c,o.open=!1,i.end=n,i.jump=c,i.close=!1,s=-1;break}-1!==s&&(l[o.marker][(o.length||0)%3]=s)}}e.exports=function(e){var t,n=e.tokens_meta,o=e.tokens_meta.length;for(r(0,e.delimiters),t=0;t<o;t++)n[t]&&n[t].delimiters&&r(0,n[t].delimiters)}},function(e,t,n){"use strict";e.exports=function(e){var t,n,r=0,o=e.tokens,i=e.tokens.length;for(t=n=0;t<i;t++)o[t].nesting<0&&r--,o[t].level=r,o[t].nesting>0&&r++,"text"===o[t].type&&t+1<i&&"text"===o[t+1].type?o[t+1].content=o[t].content+o[t+1].content:(t!==n&&(o[n]=o[t]),n++);t!==n&&(o.length=n)}},function(e,t,n){"use strict";var r=n(202),o=n(179).isWhiteSpace,i=n(179).isPunctChar,a=n(179).isMdAsciiPunct;function s(e,t,n,r){this.src=e,this.env=n,this.md=t,this.tokens=r,this.tokens_meta=Array(r.length),this.pos=0,this.posMax=this.src.length,this.level=0,this.pending="",this.pendingLevel=0,this.cache={},this.delimiters=[],this._prev_delimiters=[]}s.prototype.pushPending=function(){var e=new r("text","",0);return e.content=this.pending,e.level=this.pendingLevel,this.tokens.push(e),this.pending="",e},s.prototype.push=function(e,t,n){this.pending&&this.pushPending();var o=new r(e,t,n),i=null;return n<0&&(this.level--,this.delimiters=this._prev_delimiters.pop()),o.level=this.level,n>0&&(this.level++,this._prev_delimiters.push(this.delimiters),this.delimiters=[],i={delimiters:this.delimiters}),this.pendingLevel=this.level,this.tokens.push(o),this.tokens_meta.push(i),o},s.prototype.scanDelims=function(e,t){var n,r,s,u,c,l,d,h,f,p=e,m=!0,_=!0,g=this.posMax,y=this.src.charCodeAt(e);for(n=e>0?this.src.charCodeAt(e-1):32;p<g&&this.src.charCodeAt(p)===y;)p++;return s=p-e,r=p<g?this.src.charCodeAt(p):32,d=a(n)||i(String.fromCharCode(n)),f=a(r)||i(String.fromCharCode(r)),l=o(n),(h=o(r))?m=!1:f&&(l||d||(m=!1)),l?_=!1:d&&(h||f||(_=!1)),t?(u=m,c=_):(u=m&&(!_||d),c=_&&(!m||f)),{can_open:u,can_close:c,length:s}},s.prototype.Token=r,e.exports=s},function(e,t,n){"use strict";function r(e){var t=Array.prototype.slice.call(arguments,1);return t.forEach((function(t){t&&Object.keys(t).forEach((function(n){e[n]=t[n]}))})),e}function o(e){return Object.prototype.toString.call(e)}function i(e){return"[object Function]"===o(e)}function a(e){return e.replace(/[.?*+^$[\]\\(){}|-]/g,"\\$&")}var s={fuzzyLink:!0,fuzzyEmail:!0,fuzzyIP:!1};var u={"http:":{validate:function(e,t,n){var r=e.slice(t);return n.re.http||(n.re.http=new RegExp("^\\/\\/"+n.re.src_auth+n.re.src_host_port_strict+n.re.src_path,"i")),n.re.http.test(r)?r.match(n.re.http)[0].length:0}},"https:":"http:","ftp:":"http:","//":{validate:function(e,t,n){var r=e.slice(t);return n.re.no_http||(n.re.no_http=new RegExp("^"+n.re.src_auth+"(?:localhost|(?:(?:"+n.re.src_domain+")\\.)+"+n.re.src_domain_root+")"+n.re.src_port+n.re.src_host_terminator+n.re.src_path,"i")),n.re.no_http.test(r)?t>=3&&":"===e[t-3]||t>=3&&"/"===e[t-3]?0:r.match(n.re.no_http)[0].length:0}},"mailto:":{validate:function(e,t,n){var r=e.slice(t);return n.re.mailto||(n.re.mailto=new RegExp("^"+n.re.src_email_name+"@"+n.re.src_host_strict,"i")),n.re.mailto.test(r)?r.match(n.re.mailto)[0].length:0}}},c="biz|com|edu|gov|net|org|pro|web|xxx|aero|asia|coop|info|museum|name|shop|рф".split("|");function l(e){var t=e.re=n(615)(e.__opts__),r=e.__tlds__.slice();function s(e){return e.replace("%TLDS%",t.src_tlds)}e.onCompile(),e.__tlds_replaced__||r.push("a[cdefgilmnoqrstuwxz]|b[abdefghijmnorstvwyz]|c[acdfghiklmnoruvwxyz]|d[ejkmoz]|e[cegrstu]|f[ijkmor]|g[abdefghilmnpqrstuwy]|h[kmnrtu]|i[delmnoqrst]|j[emop]|k[eghimnprwyz]|l[abcikrstuvy]|m[acdeghklmnopqrstuvwxyz]|n[acefgilopruz]|om|p[aefghklmnrstwy]|qa|r[eosuw]|s[abcdeghijklmnortuvxyz]|t[cdfghjklmnortvwz]|u[agksyz]|v[aceginu]|w[fs]|y[et]|z[amw]"),r.push(t.src_xn),t.src_tlds=r.join("|"),t.email_fuzzy=RegExp(s(t.tpl_email_fuzzy),"i"),t.link_fuzzy=RegExp(s(t.tpl_link_fuzzy),"i"),t.link_no_ip_fuzzy=RegExp(s(t.tpl_link_no_ip_fuzzy),"i"),t.host_fuzzy_test=RegExp(s(t.tpl_host_fuzzy_test),"i");var u=[];function c(e,t){throw new Error('(LinkifyIt) Invalid schema "'+e+'": '+t)}e.__compiled__={},Object.keys(e.__schemas__).forEach((function(t){var n=e.__schemas__[t];if(null!==n){var r={validate:null,link:null};if(e.__compiled__[t]=r,"[object Object]"===o(n))return!function(e){return"[object RegExp]"===o(e)}(n.validate)?i(n.validate)?r.validate=n.validate:c(t,n):r.validate=function(e){return function(t,n){var r=t.slice(n);return e.test(r)?r.match(e)[0].length:0}}(n.validate),void(i(n.normalize)?r.normalize=n.normalize:n.normalize?c(t,n):r.normalize=function(e,t){t.normalize(e)});!function(e){return"[object String]"===o(e)}(n)?c(t,n):u.push(t)}})),u.forEach((function(t){e.__compiled__[e.__schemas__[t]]&&(e.__compiled__[t].validate=e.__compiled__[e.__schemas__[t]].validate,e.__compiled__[t].normalize=e.__compiled__[e.__schemas__[t]].normalize)})),e.__compiled__[""]={validate:null,normalize:function(e,t){t.normalize(e)}};var l=Object.keys(e.__compiled__).filter((function(t){return t.length>0&&e.__compiled__[t]})).map(a).join("|");e.re.schema_test=RegExp("(^|(?!_)(?:[><|]|"+t.src_ZPCc+"))("+l+")","i"),e.re.schema_search=RegExp("(^|(?!_)(?:[><|]|"+t.src_ZPCc+"))("+l+")","ig"),e.re.pretest=RegExp("("+e.re.schema_test.source+")|("+e.re.host_fuzzy_test.source+")|@","i"),function(e){e.__index__=-1,e.__text_cache__=""}(e)}function d(e,t){var n=e.__index__,r=e.__last_index__,o=e.__text_cache__.slice(n,r);this.schema=e.__schema__.toLowerCase(),this.index=n+t,this.lastIndex=r+t,this.raw=o,this.text=o,this.url=o}function h(e,t){var n=new d(e,t);return e.__compiled__[n.schema].normalize(n,e),n}function f(e,t){if(!(this instanceof f))return new f(e,t);var n;t||(n=e,Object.keys(n||{}).reduce((function(e,t){return e||s.hasOwnProperty(t)}),!1)&&(t=e,e={})),this.__opts__=r({},s,t),this.__index__=-1,this.__last_index__=-1,this.__schema__="",this.__text_cache__="",this.__schemas__=r({},u,e),this.__compiled__={},this.__tlds__=c,this.__tlds_replaced__=!1,this.re={},l(this)}f.prototype.add=function(e,t){return this.__schemas__[e]=t,l(this),this},f.prototype.set=function(e){return this.__opts__=r(this.__opts__,e),this},f.prototype.test=function(e){if(this.__text_cache__=e,this.__index__=-1,!e.length)return!1;var t,n,r,o,i,a,s,u;if(this.re.schema_test.test(e))for((s=this.re.schema_search).lastIndex=0;null!==(t=s.exec(e));)if(o=this.testSchemaAt(e,t[2],s.lastIndex)){this.__schema__=t[2],this.__index__=t.index+t[1].length,this.__last_index__=t.index+t[0].length+o;break}return this.__opts__.fuzzyLink&&this.__compiled__["http:"]&&(u=e.search(this.re.host_fuzzy_test))>=0&&(this.__index__<0||u<this.__index__)&&null!==(n=e.match(this.__opts__.fuzzyIP?this.re.link_fuzzy:this.re.link_no_ip_fuzzy))&&(i=n.index+n[1].length,(this.__index__<0||i<this.__index__)&&(this.__schema__="",this.__index__=i,this.__last_index__=n.index+n[0].length)),this.__opts__.fuzzyEmail&&this.__compiled__["mailto:"]&&e.indexOf("@")>=0&&null!==(r=e.match(this.re.email_fuzzy))&&(i=r.index+r[1].length,a=r.index+r[0].length,(this.__index__<0||i<this.__index__||i===this.__index__&&a>this.__last_index__)&&(this.__schema__="mailto:",this.__index__=i,this.__last_index__=a)),this.__index__>=0},f.prototype.pretest=function(e){return this.re.pretest.test(e)},f.prototype.testSchemaAt=function(e,t,n){return this.__compiled__[t.toLowerCase()]?this.__compiled__[t.toLowerCase()].validate(e,n,this):0},f.prototype.match=function(e){var t=0,n=[];this.__index__>=0&&this.__text_cache__===e&&(n.push(h(this,t)),t=this.__last_index__);for(var r=t?e.slice(t):e;this.test(r);)n.push(h(this,t)),r=r.slice(this.__last_index__),t+=this.__last_index__;return n.length?n:null},f.prototype.tlds=function(e,t){return e=Array.isArray(e)?e:[e],t?(this.__tlds__=this.__tlds__.concat(e).sort().filter((function(e,t,n){return e!==n[t-1]})).reverse(),l(this),this):(this.__tlds__=e.slice(),this.__tlds_replaced__=!0,l(this),this)},f.prototype.normalize=function(e){e.schema||(e.url="http://"+e.url),"mailto:"!==e.schema||/^mailto:/i.test(e.url)||(e.url="mailto:"+e.url)},f.prototype.onCompile=function(){},e.exports=f},function(e,t,n){"use strict";e.exports=function(e){var t={};t.src_Any=n(357).source,t.src_Cc=n(358).source,t.src_Z=n(359).source,t.src_P=n(200).source,t.src_ZPCc=[t.src_Z,t.src_P,t.src_Cc].join("|"),t.src_ZCc=[t.src_Z,t.src_Cc].join("|");return t.src_pseudo_letter="(?:(?![><|]|"+t.src_ZPCc+")"+t.src_Any+")",t.src_ip4="(?:(25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\\.){3}(25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)",t.src_auth="(?:(?:(?!"+t.src_ZCc+"|[@/\\[\\]()]).)+@)?",t.src_port="(?::(?:6(?:[0-4]\\d{3}|5(?:[0-4]\\d{2}|5(?:[0-2]\\d|3[0-5])))|[1-5]?\\d{1,4}))?",t.src_host_terminator="(?=$|[><|]|"+t.src_ZPCc+")(?!-|_|:\\d|\\.-|\\.(?!$|"+t.src_ZPCc+"))",t.src_path="(?:[/?#](?:(?!"+t.src_ZCc+"|[><|]|[()[\\]{}.,\"'?!\\-]).|\\[(?:(?!"+t.src_ZCc+"|\\]).)*\\]|\\((?:(?!"+t.src_ZCc+"|[)]).)*\\)|\\{(?:(?!"+t.src_ZCc+'|[}]).)*\\}|\\"(?:(?!'+t.src_ZCc+'|["]).)+\\"|\\\'(?:(?!'+t.src_ZCc+"|[']).)+\\'|\\'(?="+t.src_pseudo_letter+"|[-]).|\\.{2,4}[a-zA-Z0-9%/]|\\.(?!"+t.src_ZCc+"|[.]).|"+(e&&e["---"]?"\\-(?!--(?:[^-]|$))(?:-*)|":"\\-+|")+"\\,(?!"+t.src_ZCc+").|\\!(?!"+t.src_ZCc+"|[!]).|\\?(?!"+t.src_ZCc+"|[?]).)+|\\/)?",t.src_email_name='[\\-;:&=\\+\\$,\\.a-zA-Z0-9_][\\-;:&=\\+\\$,\\"\\.a-zA-Z0-9_]*',t.src_xn="xn--[a-z0-9\\-]{1,59}",t.src_domain_root="(?:"+t.src_xn+"|"+t.src_pseudo_letter+"{1,63})",t.src_domain="(?:"+t.src_xn+"|(?:"+t.src_pseudo_letter+")|(?:"+t.src_pseudo_letter+"(?:-|"+t.src_pseudo_letter+"){0,61}"+t.src_pseudo_letter+"))",t.src_host="(?:(?:(?:(?:"+t.src_domain+")\\.)*"+t.src_domain+"))",t.tpl_host_fuzzy="(?:"+t.src_ip4+"|(?:(?:(?:"+t.src_domain+")\\.)+(?:%TLDS%)))",t.tpl_host_no_ip_fuzzy="(?:(?:(?:"+t.src_domain+")\\.)+(?:%TLDS%))",t.src_host_strict=t.src_host+t.src_host_terminator,t.tpl_host_fuzzy_strict=t.tpl_host_fuzzy+t.src_host_terminator,t.src_host_port_strict=t.src_host+t.src_port+t.src_host_terminator,t.tpl_host_port_fuzzy_strict=t.tpl_host_fuzzy+t.src_port+t.src_host_terminator,t.tpl_host_port_no_ip_fuzzy_strict=t.tpl_host_no_ip_fuzzy+t.src_port+t.src_host_terminator,t.tpl_host_fuzzy_test="localhost|www\\.|\\.\\d{1,3}\\.|(?:\\.(?:%TLDS%)(?:"+t.src_ZPCc+"|>|$))",t.tpl_email_fuzzy='(^|[><|]|"|\\(|'+t.src_ZCc+")("+t.src_email_name+"@"+t.tpl_host_fuzzy_strict+")",t.tpl_link_fuzzy="(^|(?![.:/\\-_@])(?:[$+<=>^`||]|"+t.src_ZPCc+"))((?![$+<=>^`||])"+t.tpl_host_port_fuzzy_strict+t.src_path+")",t.tpl_link_no_ip_fuzzy="(^|(?![.:/\\-_@])(?:[$+<=>^`||]|"+t.src_ZPCc+"))((?![$+<=>^`||])"+t.tpl_host_port_no_ip_fuzzy_strict+t.src_path+")",t}},function(e,t,n){(function(e,r){var o;/*! https://mths.be/punycode v1.4.1 by @mathias */!function(i){t&&t.nodeType,e&&e.nodeType;var a="object"==typeof r&&r;a.global!==a&&a.window!==a&&a.self;var s,u=2147483647,c=/^xn--/,l=/[^\x20-\x7E]/,d=/[\x2E\u3002\uFF0E\uFF61]/g,h={overflow:"Overflow: input needs wider integers to process","not-basic":"Illegal input >= 0x80 (not a basic code point)","invalid-input":"Invalid input"},f=Math.floor,p=String.fromCharCode;function m(e){throw new RangeError(h[e])}function _(e,t){for(var n=e.length,r=[];n--;)r[n]=t(e[n]);return r}function g(e,t){var n=e.split("@"),r="";return n.length>1&&(r=n[0]+"@",e=n[1]),r+_((e=e.replace(d,".")).split("."),t).join(".")}function y(e){for(var t,n,r=[],o=0,i=e.length;o<i;)(t=e.charCodeAt(o++))>=55296&&t<=56319&&o<i?56320==(64512&(n=e.charCodeAt(o++)))?r.push(((1023&t)<<10)+(1023&n)+65536):(r.push(t),o--):r.push(t);return r}function v(e){return _(e,(function(e){var t="";return e>65535&&(t+=p((e-=65536)>>>10&1023|55296),e=56320|1023&e),t+=p(e)})).join("")}function M(e,t){return e+22+75*(e<26)-((0!=t)<<5)}function k(e,t,n){var r=0;for(e=n?f(e/700):e>>1,e+=f(e/t);e>455;r+=36)e=f(e/35);return f(r+36*e/(e+38))}function b(e){var t,n,r,o,i,a,s,c,l,d,h,p=[],_=e.length,g=0,y=128,M=72;for((n=e.lastIndexOf("-"))<0&&(n=0),r=0;r<n;++r)e.charCodeAt(r)>=128&&m("not-basic"),p.push(e.charCodeAt(r));for(o=n>0?n+1:0;o<_;){for(i=g,a=1,s=36;o>=_&&m("invalid-input"),((c=(h=e.charCodeAt(o++))-48<10?h-22:h-65<26?h-65:h-97<26?h-97:36)>=36||c>f((u-g)/a))&&m("overflow"),g+=c*a,!(c<(l=s<=M?1:s>=M+26?26:s-M));s+=36)a>f(u/(d=36-l))&&m("overflow"),a*=d;M=k(g-i,t=p.length+1,0==i),f(g/t)>u-y&&m("overflow"),y+=f(g/t),g%=t,p.splice(g++,0,y)}return v(p)}function w(e){var t,n,r,o,i,a,s,c,l,d,h,_,g,v,b,w=[];for(_=(e=y(e)).length,t=128,n=0,i=72,a=0;a<_;++a)(h=e[a])<128&&w.push(p(h));for(r=o=w.length,o&&w.push("-");r<_;){for(s=u,a=0;a<_;++a)(h=e[a])>=t&&h<s&&(s=h);for(s-t>f((u-n)/(g=r+1))&&m("overflow"),n+=(s-t)*g,t=s,a=0;a<_;++a)if((h=e[a])<t&&++n>u&&m("overflow"),h==t){for(c=n,l=36;!(c<(d=l<=i?1:l>=i+26?26:l-i));l+=36)b=c-d,v=36-d,w.push(p(M(d+b%v,0))),c=f(b/v);w.push(p(M(c,0))),i=k(n,g,r==o),n=0,++r}++n,++t}return w.join("")}s={version:"1.4.1",ucs2:{decode:y,encode:v},decode:b,encode:w,toASCII:function(e){return g(e,(function(e){return l.test(e)?"xn--"+w(e):e}))},toUnicode:function(e){return g(e,(function(e){return c.test(e)?b(e.slice(4).toLowerCase()):e}))}},void 0===(o=function(){return s}.call(t,n,t,e))||(e.exports=o)}()}).call(this,n(224)(e),n(46))},function(e,t,n){"use strict";e.exports={options:{html:!1,xhtmlOut:!1,breaks:!1,langPrefix:"language-",linkify:!1,typographer:!1,quotes:"“”‘’",highlight:null,maxNesting:100},components:{core:{},block:{},inline:{}}}},function(e,t,n){"use strict";e.exports={options:{html:!1,xhtmlOut:!1,breaks:!1,langPrefix:"language-",linkify:!1,typographer:!1,quotes:"“”‘’",highlight:null,maxNesting:20},components:{core:{rules:["normalize","block","inline"]},block:{rules:["paragraph"]},inline:{rules:["text"],rules2:["balance_pairs","text_collapse"]}}}},function(e,t,n){"use strict";e.exports={options:{html:!0,xhtmlOut:!0,breaks:!1,langPrefix:"language-",linkify:!1,typographer:!1,quotes:"“”‘’",highlight:null,maxNesting:20},components:{core:{rules:["normalize","block","inline"]},block:{rules:["blockquote","code","fence","heading","hr","html_block","lheading","list","reference","paragraph"]},inline:{rules:["autolink","backticks","emphasis","entity","escape","html_inline","image","link","newline","text"],rules2:["balance_pairs","emphasis","text_collapse"]}}}},function(e,t){var n=!0,r=!1,o=!1;function i(e,t,n){var r=e.attrIndex(t),o=[t,n];r<0?e.attrPush(o):e.attrs[r]=o}function a(e,t){for(var n=e[t].level-1,r=t-1;r>=0;r--)if(e[r].level===n)return r;return-1}function s(e,t){return"inline"===e[t].type&&function(e){return"paragraph_open"===e.type}(e[t-1])&&function(e){return"list_item_open"===e.type}(e[t-2])&&function(e){return 0===e.content.indexOf("[ ] ")||0===e.content.indexOf("[x] ")||0===e.content.indexOf("[X] ")}(e[t])}function u(e,t){if(e.children.unshift(function(e,t){var r=new t("html_inline","",0),o=n?' disabled="" ':"";0===e.content.indexOf("[ ] ")?r.content='<input class="task-list-item-checkbox"'+o+'type="checkbox">':0!==e.content.indexOf("[x] ")&&0!==e.content.indexOf("[X] ")||(r.content='<input class="task-list-item-checkbox" checked=""'+o+'type="checkbox">');return r}(e,t)),e.children[1].content=e.children[1].content.slice(3),e.content=e.content.slice(3),r)if(o){e.children.pop();var i="task-item-"+Math.ceil(1e7*Math.random()-1e3);e.children[0].content=e.children[0].content.slice(0,-1)+' id="'+i+'">',e.children.push(function(e,t,n){var r=new n("html_inline","",0);return r.content='<label class="task-list-item-label" for="'+t+'">'+e+"</label>",r.attrs=[{for:t}],r}(e.content,i,t))}else e.children.unshift(function(e){var t=new e("html_inline","",0);return t.content="<label>",t}(t)),e.children.push(function(e){var t=new e("html_inline","",0);return t.content="</label>",t}(t))}e.exports=function(e,t){t&&(n=!t.enabled,r=!!t.label,o=!!t.labelAfter),e.core.ruler.after("inline","github-task-lists",(function(e){for(var t=e.tokens,r=2;r<t.length;r++)s(t,r)&&(u(t[r],e.Token),i(t[r-2],"class","task-list-item"+(n?"":" enabled")),i(t[a(t,r-2)],"class","contains-task-list"))}))}},function(e,t,n){"use strict";(function(e,t){var r;(r=void 0!==e&&"[object process]"==={}.toString.call(e)||"undefined"!=typeof navigator&&"ReactNative"===navigator.product?t:self).Proxy||(r.Proxy=n(622)(),r.Proxy.revocable=r.Proxy.revocable)}).call(this,n(47),n(46))},function(e,t){function n(e){return(n="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e})(e)}e.exports=function(){var e,t=null;function r(e){return!!e&&("object"===n(e)||"function"==typeof e)}function o(e){if(null!==e&&!r(e))throw new TypeError("Object prototype may only be an Object or null: "+e)}var i=Object,a=Boolean(i.create)||!({__proto__:null}instanceof i),s=i.create||(a?function(e){return o(e),{__proto__:e}}:function(e){if(o(e),null===e)throw new SyntaxError("Native Object.create is required to create objects with null prototype");var t=function(){};return t.prototype=e,new t}),u=function(){return null},c=i.getPrototypeOf||([].__proto__===Array.prototype?function(e){var t=e.__proto__;return r(t)?t:null}:u);return(e=function(n,l){if(void 0===(this&&this instanceof e?this.constructor:void 0))throw new TypeError("Constructor Proxy requires 'new'");if(!r(n)||!r(l))throw new TypeError("Cannot create proxy with a non-object as target or handler");var d=function(){};t=function(){n=null,d=function(e){throw new TypeError("Cannot perform '".concat(e,"' on a proxy that has been revoked"))}},setTimeout((function(){t=null}),0);var h=l;for(var f in l={get:null,set:null,apply:null,construct:null},h){if(!(f in l))throw new TypeError("Proxy polyfill does not support trap '".concat(f,"'"));l[f]=h[f]}"function"==typeof h&&(l.apply=h.apply.bind(h));var p,m=c(n),_=!1,g=!1;"function"==typeof n?(p=function(){var e=this&&this.constructor===p,t=Array.prototype.slice.call(arguments);if(d(e?"construct":"apply"),e&&l.construct)return l.construct.call(this,n,t);if(!e&&l.apply)return l.apply(n,this,t);if(e){t.unshift(n);var r=n.bind.apply(n,t);return new r}return n.apply(this,t)},_=!0):n instanceof Array?(p=[],g=!0):p=a||null!==m?s(m):{};var y=l.get?function(e){return d("get"),l.get(this,e,p)}:function(e){return d("get"),this[e]},v=l.set?function(e,t){d("set");l.set(this,e,t,p)}:function(e,t){d("set"),this[e]=t},M=i.getOwnPropertyNames(n),k={};M.forEach((function(e){if(!_&&!g||!(e in p)){var t=i.getOwnPropertyDescriptor(n,e),r={enumerable:Boolean(t.enumerable),get:y.bind(n,e),set:v.bind(n,e)};i.defineProperty(p,e,r),k[e]=!0}}));var b=!0;if(_||g){var w=i.setPrototypeOf||([].__proto__===Array.prototype?function(e,t){return o(t),e.__proto__=t,e}:u);m&&w(p,m)||(b=!1)}if(l.get||!b)for(var L in n)k[L]||i.defineProperty(p,L,{get:y.bind(n,L)});return i.seal(n),i.seal(p),p}).revocable=function(n,r){return{proxy:new e(n,r),revoke:t}},e}},function(e,t,n){"use strict";n.d(t,"a",(function(){return f})),n.d(t,"b",(function(){return p}));var r=n(182),o=n(354),i=n.n(o),a=new r.Schema({nodes:{doc:{content:"block+"},paragraph:{content:"inline*",group:"block",parseDOM:[{tag:"p"}],toDOM:function(){return["p",0]}},blockquote:{content:"block+",group:"block",parseDOM:[{tag:"blockquote"}],toDOM:function(){return["blockquote",0]}},horizontal_rule:{group:"block",parseDOM:[{tag:"hr"}],toDOM:function(){return["div",["hr"]]}},heading:{attrs:{level:{default:1}},content:"(text | image)*",group:"block",defining:!0,parseDOM:[{tag:"h1",attrs:{level:1}},{tag:"h2",attrs:{level:2}},{tag:"h3",attrs:{level:3}},{tag:"h4",attrs:{level:4}},{tag:"h5",attrs:{level:5}},{tag:"h6",attrs:{level:6}}],toDOM:function(e){return["h"+e.attrs.level,0]}},code_block:{content:"text*",group:"block",code:!0,defining:!0,marks:"",attrs:{params:{default:""}},parseDOM:[{tag:"pre",preserveWhitespace:"full",getAttrs:function(e){return{params:e.getAttribute("data-params")||""}}}],toDOM:function(e){return["pre",e.attrs.params?{"data-params":e.attrs.params}:{},["code",0]]}},ordered_list:{content:"list_item+",group:"block",attrs:{order:{default:1},tight:{default:!1}},parseDOM:[{tag:"ol",getAttrs:function(e){return{order:e.hasAttribute("start")?+e.getAttribute("start"):1,tight:e.hasAttribute("data-tight")}}}],toDOM:function(e){return["ol",{start:1==e.attrs.order?null:e.attrs.order,"data-tight":e.attrs.tight?"true":null},0]}},bullet_list:{content:"list_item+",group:"block",attrs:{tight:{default:!1}},parseDOM:[{tag:"ul",getAttrs:function(e){return{tight:e.hasAttribute("data-tight")}}}],toDOM:function(e){return["ul",{"data-tight":e.attrs.tight?"true":null},0]}},list_item:{content:"paragraph block*",defining:!0,parseDOM:[{tag:"li"}],toDOM:function(){return["li",0]}},text:{group:"inline"},image:{inline:!0,attrs:{src:{},alt:{default:null},title:{default:null}},group:"inline",draggable:!0,parseDOM:[{tag:"img[src]",getAttrs:function(e){return{src:e.getAttribute("src"),title:e.getAttribute("title"),alt:e.getAttribute("alt")}}}],toDOM:function(e){return["img",e.attrs]}},hard_break:{inline:!0,group:"inline",selectable:!1,parseDOM:[{tag:"br"}],toDOM:function(){return["br"]}}},marks:{em:{parseDOM:[{tag:"i"},{tag:"em"},{style:"font-style",getAttrs:function(e){return"italic"==e&&null}}],toDOM:function(){return["em"]}},strong:{parseDOM:[{tag:"b"},{tag:"strong"},{style:"font-weight",getAttrs:function(e){return/^(bold(er)?|[5-9]\d{2,})$/.test(e)&&null}}],toDOM:function(){return["strong"]}},link:{attrs:{href:{},title:{default:null}},inclusive:!1,parseDOM:[{tag:"a[href]",getAttrs:function(e){return{href:e.getAttribute("href"),title:e.getAttribute("title")}}}],toDOM:function(e){return["a",e.attrs]}},code:{parseDOM:[{tag:"code"}],toDOM:function(){return["code"]}}}});var s=function(e,t){this.schema=e,this.stack=[{type:e.topNodeType,content:[]}],this.marks=r.Mark.none,this.tokenHandlers=t};function u(e,t){return e.getAttrs?e.getAttrs(t):e.attrs instanceof Function?e.attrs(t):e.attrs}function c(e,t){return e.noCloseToken||"code_inline"==t||"code_block"==t||"fence"==t}function l(e){return"\n"==e[e.length-1]?e.slice(0,e.length-1):e}function d(){}s.prototype.top=function(){return this.stack[this.stack.length-1]},s.prototype.push=function(e){this.stack.length&&this.top().content.push(e)},s.prototype.addText=function(e){if(e){var t,n=this.top().content,o=n[n.length-1],i=this.schema.text(e,this.marks);o&&(t=function(e,t){if(e.isText&&t.isText&&r.Mark.sameSet(e.marks,t.marks))return e.withText(e.text+t.text)}(o,i))?n[n.length-1]=t:n.push(i)}},s.prototype.openMark=function(e){this.marks=e.addToSet(this.marks)},s.prototype.closeMark=function(e){this.marks=e.removeFromSet(this.marks)},s.prototype.parseTokens=function(e){for(var t=0;t<e.length;t++){var n=e[t],r=this.tokenHandlers[n.type];if(!r)throw new Error("Token type `"+n.type+"` not supported by Markdown parser");r(this,n)}},s.prototype.addNode=function(e,t,n){var r=e.createAndFill(t,n,this.marks);return r?(this.push(r),r):null},s.prototype.openNode=function(e,t){this.stack.push({type:e,attrs:t,content:[]})},s.prototype.closeNode=function(){this.marks.length&&(this.marks=r.Mark.none);var e=this.stack.pop();return this.addNode(e.type,e.attrs,e.content)};var h=function(e,t,n){this.tokens=n,this.schema=e,this.tokenizer=t,this.tokenHandlers=function(e,t){var n=Object.create(null),r=function(r){var o=t[r];if(o.block){var i=e.nodeType(o.block);c(o,r)?n[r]=function(e,t){e.openNode(i,u(o,t)),e.addText(l(t.content)),e.closeNode()}:(n[r+"_open"]=function(e,t){return e.openNode(i,u(o,t))},n[r+"_close"]=function(e){return e.closeNode()})}else if(o.node){var a=e.nodeType(o.node);n[r]=function(e,t){return e.addNode(a,u(o,t))}}else if(o.mark){var s=e.marks[o.mark];c(o,r)?n[r]=function(e,t){e.openMark(s.create(u(o,t))),e.addText(l(t.content)),e.closeMark(s)}:(n[r+"_open"]=function(e,t){return e.openMark(s.create(u(o,t)))},n[r+"_close"]=function(e){return e.closeMark(s)})}else{if(!o.ignore)throw new RangeError("Unrecognized parsing spec "+JSON.stringify(o));c(o,r)?n[r]=d:(n[r+"_open"]=d,n[r+"_close"]=d)}};for(var o in t)r(o);return n.text=function(e,t){return e.addText(t.content)},n.inline=function(e,t){return e.parseTokens(t.children)},n.softbreak=n.softbreak||function(e){return e.addText("\n")},n}(e,n)};h.prototype.parse=function(e){var t,n=new s(this.schema,this.tokenHandlers);n.parseTokens(this.tokenizer.parse(e,{}));do{t=n.closeNode()}while(n.stack.length);return t};new h(a,i()("commonmark",{html:!1}),{blockquote:{block:"blockquote"},paragraph:{block:"paragraph"},list_item:{block:"list_item"},bullet_list:{block:"bullet_list"},ordered_list:{block:"ordered_list",getAttrs:function(e){return{order:+e.attrGet("start")||1}}},heading:{block:"heading",getAttrs:function(e){return{level:+e.tag.slice(1)}}},code_block:{block:"code_block",noCloseToken:!0},fence:{block:"code_block",getAttrs:function(e){return{params:e.info||""}},noCloseToken:!0},hr:{node:"horizontal_rule"},image:{node:"image",getAttrs:function(e){return{src:e.attrGet("src"),title:e.attrGet("title")||null,alt:e.children[0]&&e.children[0].content||null}}},hardbreak:{node:"hard_break"},em:{mark:"em"},strong:{mark:"strong"},link:{mark:"link",getAttrs:function(e){return{href:e.attrGet("href"),title:e.attrGet("title")||null}}},code_inline:{mark:"code",noCloseToken:!0}});var f=function(e,t){this.nodes=e,this.marks=t};f.prototype.serialize=function(e,t){var n=new g(this.nodes,this.marks,t);return n.renderContent(e),n.out};var p=new f({blockquote:function(e,t){e.wrapBlock("> ",null,t,(function(){return e.renderContent(t)}))},code_block:function(e,t){e.write("```"+(t.attrs.params||"")+"\n"),e.text(t.textContent,!1),e.ensureNewLine(),e.write("```"),e.closeBlock(t)},heading:function(e,t){e.write(e.repeat("#",t.attrs.level)+" "),e.renderInline(t),e.closeBlock(t)},horizontal_rule:function(e,t){e.write(t.attrs.markup||"---"),e.closeBlock(t)},bullet_list:function(e,t){e.renderList(t," ",(function(){return(t.attrs.bullet||"*")+" "}))},ordered_list:function(e,t){var n=t.attrs.order||1,r=String(n+t.childCount-1).length,o=e.repeat(" ",r+2);e.renderList(t,o,(function(t){var o=String(n+t);return e.repeat(" ",r-o.length)+o+". "}))},list_item:function(e,t){e.renderContent(t)},paragraph:function(e,t){e.renderInline(t),e.closeBlock(t)},image:function(e,t){e.write("!["+e.esc(t.attrs.alt||"")+"]("+e.esc(t.attrs.src)+(t.attrs.title?" "+e.quote(t.attrs.title):"")+")")},hard_break:function(e,t,n,r){for(var o=r+1;o<n.childCount;o++)if(n.child(o).type!=t.type)return void e.write("\\\n")},text:function(e,t){e.text(t.text)}},{em:{open:"*",close:"*",mixable:!0,expelEnclosingWhitespace:!0},strong:{open:"**",close:"**",mixable:!0,expelEnclosingWhitespace:!0},link:{open:function(e,t,n,r){return _(t,n,r,1)?"<":"["},close:function(e,t,n,r){return _(t,n,r,-1)?">":"]("+e.esc(t.attrs.href)+(t.attrs.title?" "+e.quote(t.attrs.title):"")+")"}},code:{open:function(e,t,n,r){return m(n.child(r),-1)},close:function(e,t,n,r){return m(n.child(r-1),1)},escape:!1}});function m(e,t){var n,r=/`+/g,o=0;if(e.isText)for(;n=r.exec(e.text);)o=Math.max(o,n[0].length);for(var i=o>0&&t>0?" `":"`",a=0;a<o;a++)i+="`";return o>0&&t<0&&(i+=" "),i}function _(e,t,n,r){if(e.attrs.title||!/^\w+:/.test(e.attrs.href))return!1;var o=t.child(n+(r<0?-1:0));if(!o.isText||o.text!=e.attrs.href||o.marks[o.marks.length-1]!=e)return!1;if(n==(r<0?1:t.childCount-1))return!0;var i=t.child(n+(r<0?-2:1));return!e.isInSet(i.marks)}var g=function(e,t,n){this.nodes=e,this.marks=t,this.delim=this.out="",this.closed=!1,this.inTightList=!1,this.options=n||{},void 0===this.options.tightLists&&(this.options.tightLists=!1)};g.prototype.flushClose=function(e){if(this.closed){if(this.atBlank()||(this.out+="\n"),null==e&&(e=2),e>1){var t=this.delim,n=/\s+$/.exec(t);n&&(t=t.slice(0,t.length-n[0].length));for(var r=1;r<e;r++)this.out+=t+"\n"}this.closed=!1}},g.prototype.wrapBlock=function(e,t,n,r){var o=this.delim;this.write(t||e),this.delim+=e,r(),this.delim=o,this.closeBlock(n)},g.prototype.atBlank=function(){return/(^|\n)$/.test(this.out)},g.prototype.ensureNewLine=function(){this.atBlank()||(this.out+="\n")},g.prototype.write=function(e){this.flushClose(),this.delim&&this.atBlank()&&(this.out+=this.delim),e&&(this.out+=e)},g.prototype.closeBlock=function(e){this.closed=e},g.prototype.text=function(e,t){for(var n=e.split("\n"),r=0;r<n.length;r++){var o=this.atBlank()||this.closed;this.write(),this.out+=!1!==t?this.esc(n[r],o):n[r],r!=n.length-1&&(this.out+="\n")}},g.prototype.render=function(e,t,n){if("number"==typeof t)throw new Error("!");this.nodes[e.type.name](this,e,t,n)},g.prototype.renderContent=function(e){var t=this;e.forEach((function(n,r,o){return t.render(n,e,o)}))},g.prototype.renderInline=function(e){var t=this,n=[],r="",o=function(o,i,a){var s=o?o.marks:[];o&&"hard_break"===o.type.name&&(s=s.filter((function(t){if(a+1==e.childCount)return!1;var n=e.child(a+1);return t.isInSet(n.marks)&&(!n.isText||/\S/.test(n.text))})));var u=r;if(r="",o&&o.isText&&s.some((function(e){var n=t.marks[e.type.name];return n&&n.expelEnclosingWhitespace}))){var c=/^(\s*)(.*?)(\s*)$/m.exec(o.text),l=(c[0],c[1]),d=c[2],h=c[3];u+=l,r=h,(l||h)&&((o=d?o.withText(d):null)||(s=n))}var f=s.length&&s[s.length-1],p=f&&!1===t.marks[f.type.name].escape,m=s.length-(p?1:0);e:for(var _=0;_<m;_++){var g=s[_];if(!t.marks[g.type.name].mixable)break;for(var y=0;y<n.length;y++){var v=n[y];if(!t.marks[v.type.name].mixable)break;if(g.eq(v)){_>y?s=s.slice(0,y).concat(g).concat(s.slice(y,_)).concat(s.slice(_+1,m)):y>_&&(s=s.slice(0,_).concat(s.slice(_+1,y)).concat(g).concat(s.slice(y,m)));continue e}}}for(var M=0;M<Math.min(n.length,m)&&s[M].eq(n[M]);)++M;for(;M<n.length;)t.text(t.markString(n.pop(),!1,e,a),!1);if(u&&t.text(u),o){for(;n.length<m;){var k=s[n.length];n.push(k),t.text(t.markString(k,!0,e,a),!1)}p&&o.isText?t.text(t.markString(f,!0,e,a)+o.text+t.markString(f,!1,e,a+1),!1):t.render(o,e,a)}};e.forEach(o),o(null,0,e.childCount)},g.prototype.renderList=function(e,t,n){var r=this;this.closed&&this.closed.type==e.type?this.flushClose(3):this.inTightList&&this.flushClose(1);var o=void 0!==e.attrs.tight?e.attrs.tight:this.options.tightLists,i=this.inTightList;this.inTightList=o,e.forEach((function(i,a,s){s&&o&&r.flushClose(1),r.wrapBlock(t,n(s),e,(function(){return r.render(i,e,s)}))})),this.inTightList=i},g.prototype.esc=function(e,t){return e=e.replace(/[`*\\~\[\]]/g,"\\$&"),t&&(e=e.replace(/^[:#\-*+]/,"\\$&").replace(/^(\d+)\./,"$1\\.")),e},g.prototype.quote=function(e){var t=-1==e.indexOf('"')?'""':-1==e.indexOf("'")?"''":"()";return t[0]+e+t[1]},g.prototype.repeat=function(e,t){for(var n="",r=0;r<t;r++)n+=e;return n},g.prototype.markString=function(e,t,n,r){var o=this.marks[e.type.name],i=t?o.open:o.close;return"string"==typeof i?i:i(this,e,n,r)},g.prototype.getEnclosingWhitespace=function(e){return{leading:(e.match(/^(\s+)/)||[])[0],trailing:(e.match(/(\s+)$/)||[])[0]}}}]]);
+//# sourceMappingURL=vendors~editor.js.map?v=4ca0f6ac0902477b585a \ No newline at end of file
diff --git a/js/vendors~editor.js.map b/js/vendors~editor.js.map
index 8e6fc8240..2cd95da62 100644
--- a/js/vendors~editor.js.map
+++ b/js/vendors~editor.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/core-js/internals/fails.js","webpack:///./node_modules/core-js/internals/well-known-symbol.js","webpack:///./node_modules/core-js/internals/global.js","webpack:///./node_modules/axios/lib/utils.js","webpack:///./node_modules/core-js/internals/has.js","webpack:///./node_modules/core-js/internals/an-object.js","webpack:///./node_modules/core-js/internals/is-object.js","webpack:///./node_modules/core-js/internals/descriptors.js","webpack:///./node_modules/core-js/internals/object-define-property.js","webpack:///./node_modules/core-js/internals/create-non-enumerable-property.js","webpack:///./node_modules/core-js/internals/export.js","webpack:///./node_modules/@nextcloud/router/dist/index.js","webpack:///./node_modules/core-js/internals/redefine.js","webpack:///./node_modules/@nextcloud/event-bus/dist/index.js","webpack:///./node_modules/core-js/internals/to-length.js","webpack:///./node_modules/core-js/internals/to-object.js","webpack:///./node_modules/core-js/internals/iterators.js","webpack:///./node_modules/vue-loader/lib/runtime/componentNormalizer.js","webpack:///./node_modules/core-js/internals/classof-raw.js","webpack:///./node_modules/core-js/internals/internal-state.js","webpack:///./node_modules/core-js/internals/require-object-coercible.js","webpack:///./node_modules/core-js/internals/get-built-in.js","webpack:///./node_modules/core-js/internals/to-integer.js","webpack:///./node_modules/core-js/internals/create-property-descriptor.js","webpack:///./node_modules/core-js/internals/to-indexed-object.js","webpack:///./node_modules/core-js/internals/hidden-keys.js","webpack:///./node_modules/@nextcloud/axios/dist/index.js","webpack:///./node_modules/core-js/internals/function-bind-context.js","webpack:///./node_modules/core-js/internals/array-method-uses-to-length.js","webpack:///./node_modules/core-js/internals/object-create.js","webpack:///./node_modules/core-js/internals/set-to-string-tag.js","webpack:///./node_modules/core-js/internals/to-primitive.js","webpack:///./node_modules/core-js/internals/is-pure.js","webpack:///./node_modules/core-js/internals/indexed-object.js","webpack:///./node_modules/core-js/internals/set-global.js","webpack:///./node_modules/core-js/internals/shared-key.js","webpack:///./node_modules/core-js/internals/uid.js","webpack:///./node_modules/core-js/internals/enum-bug-keys.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/constants.js","webpack:///./node_modules/core-js/internals/define-iterator.js","webpack:///./node_modules/core-js/internals/to-string-tag-support.js","webpack:///./node_modules/core-js/internals/regexp-exec.js","webpack:///(webpack)/buildin/global.js","webpack:///./node_modules/process/browser.js","webpack:///./node_modules/css-loader/dist/runtime/api.js","webpack:///./node_modules/vue-style-loader/lib/listToStyles.js","webpack:///./node_modules/vue-style-loader/lib/addStylesClient.js","webpack:///./node_modules/core-js/modules/es.object.to-string.js","webpack:///./node_modules/core-js/modules/es.array.iterator.js","webpack:///./node_modules/core-js/modules/es.regexp.exec.js","webpack:///./node_modules/core-js/internals/object-get-own-property-descriptor.js","webpack:///./node_modules/core-js/internals/is-forced.js","webpack:///./node_modules/core-js/internals/array-method-has-species-support.js","webpack:///./node_modules/core-js/internals/an-instance.js","webpack:///./node_modules/core-js/modules/es.object.assign.js","webpack:///./node_modules/core-js/internals/array-species-create.js","webpack:///./node_modules/core-js/modules/es.array.concat.js","webpack:///./node_modules/core-js/internals/document-create-element.js","webpack:///./node_modules/core-js/internals/inspect-source.js","webpack:///./node_modules/core-js/internals/array-iteration.js","webpack:///./node_modules/core-js/internals/array-method-is-strict.js","webpack:///./node_modules/core-js/internals/engine-v8-version.js","webpack:///./node_modules/core-js/internals/iterate.js","webpack:///./node_modules/core-js/internals/classof.js","webpack:///./node_modules/core-js/internals/string-multibyte.js","webpack:///./node_modules/core-js/internals/object-property-is-enumerable.js","webpack:///./node_modules/core-js/internals/ie8-dom-define.js","webpack:///./node_modules/core-js/internals/shared-store.js","webpack:///./node_modules/core-js/internals/shared.js","webpack:///./node_modules/core-js/internals/object-keys-internal.js","webpack:///./node_modules/core-js/internals/array-includes.js","webpack:///./node_modules/core-js/internals/object-get-own-property-symbols.js","webpack:///./node_modules/core-js/internals/object-keys.js","webpack:///./node_modules/axios/lib/helpers/bind.js","webpack:///./node_modules/axios/lib/helpers/buildURL.js","webpack:///./node_modules/axios/lib/cancel/isCancel.js","webpack:///./node_modules/axios/lib/defaults.js","webpack:///./node_modules/axios/lib/adapters/xhr.js","webpack:///./node_modules/axios/lib/core/createError.js","webpack:///./node_modules/axios/lib/core/mergeConfig.js","webpack:///./node_modules/axios/lib/cancel/Cancel.js","webpack:///./node_modules/core-js/modules/es.array.for-each.js","webpack:///./node_modules/core-js/internals/array-for-each.js","webpack:///./node_modules/core-js/internals/is-array.js","webpack:///./node_modules/core-js/internals/native-symbol.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/re.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/debug.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/classes/semver.js","webpack:///./node_modules/core-js/internals/iterators-core.js","webpack:///./node_modules/core-js/internals/object-get-prototype-of.js","webpack:///./node_modules/core-js/internals/object-set-prototype-of.js","webpack:///./node_modules/core-js/internals/internal-metadata.js","webpack:///./node_modules/core-js/internals/dom-iterables.js","webpack:///./node_modules/core-js/internals/regexp-flags.js","webpack:///./node_modules/core-js/modules/es.regexp.to-string.js","webpack:///./node_modules/core-js/modules/es.string.iterator.js","webpack:///./node_modules/core-js/modules/es.string.replace.js","webpack:///./node_modules/core-js/modules/web.dom-collections.iterator.js","webpack:///./node_modules/core-js/modules/es.array.index-of.js","webpack:///./node_modules/core-js/internals/a-function.js","webpack:///./node_modules/core-js/internals/create-property.js","webpack:///./node_modules/core-js/internals/get-iterator-method.js","webpack:///./node_modules/core-js/internals/object-get-own-property-names.js","webpack:///./node_modules/core-js/internals/to-absolute-index.js","webpack:///./node_modules/@nextcloud/auth/dist/index.js","webpack:///./node_modules/core-js/modules/es.array.filter.js","webpack:///./node_modules/core-js/internals/inherit-if-required.js","webpack:///./node_modules/core-js/internals/redefine-all.js","webpack:///./node_modules/core-js/internals/object-assign.js","webpack:///./node_modules/core-js/internals/engine-user-agent.js","webpack:///./node_modules/core-js/internals/object-define-properties.js","webpack:///./node_modules/core-js/internals/html.js","webpack:///./node_modules/core-js/internals/create-iterator-constructor.js","webpack:///./node_modules/core-js/internals/is-array-iterator-method.js","webpack:///./node_modules/core-js/internals/call-with-safe-iteration-closing.js","webpack:///./node_modules/core-js/internals/check-correctness-of-iteration.js","webpack:///./node_modules/core-js/internals/set-species.js","webpack:///./node_modules/core-js/internals/fix-regexp-well-known-symbol-logic.js","webpack:///./node_modules/core-js/internals/advance-string-index.js","webpack:///./node_modules/core-js/internals/regexp-exec-abstract.js","webpack:///./node_modules/core-js/internals/native-weak-map.js","webpack:///./node_modules/core-js/internals/copy-constructor-properties.js","webpack:///./node_modules/core-js/internals/own-keys.js","webpack:///./node_modules/core-js/internals/path.js","webpack:///./node_modules/axios/index.js","webpack:///./node_modules/axios/lib/axios.js","webpack:///./node_modules/axios/lib/core/Axios.js","webpack:///./node_modules/axios/lib/core/InterceptorManager.js","webpack:///./node_modules/axios/lib/core/dispatchRequest.js","webpack:///./node_modules/axios/lib/core/transformData.js","webpack:///./node_modules/axios/lib/helpers/normalizeHeaderName.js","webpack:///./node_modules/axios/lib/core/settle.js","webpack:///./node_modules/axios/lib/core/enhanceError.js","webpack:///./node_modules/axios/lib/core/buildFullPath.js","webpack:///./node_modules/axios/lib/helpers/isAbsoluteURL.js","webpack:///./node_modules/axios/lib/helpers/combineURLs.js","webpack:///./node_modules/axios/lib/helpers/parseHeaders.js","webpack:///./node_modules/axios/lib/helpers/isURLSameOrigin.js","webpack:///./node_modules/axios/lib/helpers/cookies.js","webpack:///./node_modules/axios/lib/cancel/CancelToken.js","webpack:///./node_modules/axios/lib/helpers/spread.js","webpack:///./node_modules/@nextcloud/auth/dist/requesttoken.js","webpack:///./node_modules/core-js/internals/use-symbol-as-uid.js","webpack:///./node_modules/@nextcloud/event-bus/dist/ProxyBus.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/valid.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/parse.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/identifiers.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/major.js","webpack:///./node_modules/@nextcloud/event-bus/dist/SimpleBus.js","webpack:///./node_modules/core-js/internals/add-to-unscopables.js","webpack:///./node_modules/core-js/internals/correct-prototype-getter.js","webpack:///./node_modules/core-js/internals/a-possible-prototype.js","webpack:///./node_modules/core-js/modules/es.map.js","webpack:///./node_modules/core-js/internals/collection.js","webpack:///./node_modules/core-js/internals/freezing.js","webpack:///./node_modules/core-js/internals/collection-strong.js","webpack:///./node_modules/core-js/internals/object-to-string.js","webpack:///./node_modules/core-js/modules/web.dom-collections.for-each.js","webpack:///./node_modules/@nextcloud/auth/dist/user.js","webpack:///./node_modules/core-js/internals/regexp-sticky-helpers.js","webpack:///./node_modules/moment/moment.js","webpack:///./node_modules/markdown-it/lib/common/utils.js","webpack:///./node_modules/prosemirror-commands/dist/index.es.js","webpack:///./node_modules/prosemirror-state/dist/index.es.js","webpack:///./node_modules/orderedmap/index.es.js","webpack:///./node_modules/prosemirror-model/dist/index.es.js","webpack:///./node_modules/prosemirror-dropcursor/dist/index.es.js","webpack:///./node_modules/prosemirror-gapcursor/dist/index.es.js","webpack:///./node_modules/tiptap/dist/tiptap.esm.js","webpack:///./node_modules/prosemirror-transform/dist/index.es.js","webpack:///./node_modules/prosemirror-inputrules/dist/index.es.js","webpack:///./node_modules/@nextcloud/vue/dist/Directives/Tooltip.js","webpack:///./node_modules/rope-sequence/dist/index.es.js","webpack:///./node_modules/prosemirror-history/dist/index.es.js","webpack:///./node_modules/tiptap-extensions/dist/extensions.esm.js","webpack:///./node_modules/prosemirror-view/dist/index.es.js","webpack:///./node_modules/tiptap-utils/dist/utils.esm.js","webpack:///./node_modules/prosemirror-utils/dist/index.js","webpack:///./node_modules/prosemirror-schema-list/dist/index.es.js","webpack:///./node_modules/tiptap-commands/dist/commands.esm.js","webpack:///./node_modules/prosemirror-collab/dist/index.es.js","webpack:///./node_modules/uc.micro/categories/P/regex.js","webpack:///./node_modules/markdown-it/lib/ruler.js","webpack:///./node_modules/markdown-it/lib/token.js","webpack:///./node_modules/w3c-keyname/index.es.js","webpack:///./node_modules/prosemirror-keymap/dist/index.es.js","webpack:///./node_modules/escape-html/index.js","webpack:///(webpack)/buildin/module.js","webpack:///./node_modules/moment/locale/af.js","webpack:///./node_modules/moment/locale/ar.js","webpack:///./node_modules/moment/locale/ar-dz.js","webpack:///./node_modules/moment/locale/ar-kw.js","webpack:///./node_modules/moment/locale/ar-ly.js","webpack:///./node_modules/moment/locale/ar-ma.js","webpack:///./node_modules/moment/locale/ar-sa.js","webpack:///./node_modules/moment/locale/ar-tn.js","webpack:///./node_modules/moment/locale/az.js","webpack:///./node_modules/moment/locale/be.js","webpack:///./node_modules/moment/locale/bg.js","webpack:///./node_modules/moment/locale/bm.js","webpack:///./node_modules/moment/locale/bn.js","webpack:///./node_modules/moment/locale/bo.js","webpack:///./node_modules/moment/locale/br.js","webpack:///./node_modules/moment/locale/bs.js","webpack:///./node_modules/moment/locale/ca.js","webpack:///./node_modules/moment/locale/cs.js","webpack:///./node_modules/moment/locale/cv.js","webpack:///./node_modules/moment/locale/cy.js","webpack:///./node_modules/moment/locale/da.js","webpack:///./node_modules/moment/locale/de.js","webpack:///./node_modules/moment/locale/de-at.js","webpack:///./node_modules/moment/locale/de-ch.js","webpack:///./node_modules/moment/locale/dv.js","webpack:///./node_modules/moment/locale/el.js","webpack:///./node_modules/moment/locale/en-SG.js","webpack:///./node_modules/moment/locale/en-au.js","webpack:///./node_modules/moment/locale/en-ca.js","webpack:///./node_modules/moment/locale/en-gb.js","webpack:///./node_modules/moment/locale/en-ie.js","webpack:///./node_modules/moment/locale/en-il.js","webpack:///./node_modules/moment/locale/en-nz.js","webpack:///./node_modules/moment/locale/eo.js","webpack:///./node_modules/moment/locale/es.js","webpack:///./node_modules/moment/locale/es-do.js","webpack:///./node_modules/moment/locale/es-us.js","webpack:///./node_modules/moment/locale/et.js","webpack:///./node_modules/moment/locale/eu.js","webpack:///./node_modules/moment/locale/fa.js","webpack:///./node_modules/moment/locale/fi.js","webpack:///./node_modules/moment/locale/fo.js","webpack:///./node_modules/moment/locale/fr.js","webpack:///./node_modules/moment/locale/fr-ca.js","webpack:///./node_modules/moment/locale/fr-ch.js","webpack:///./node_modules/moment/locale/fy.js","webpack:///./node_modules/moment/locale/ga.js","webpack:///./node_modules/moment/locale/gd.js","webpack:///./node_modules/moment/locale/gl.js","webpack:///./node_modules/moment/locale/gom-latn.js","webpack:///./node_modules/moment/locale/gu.js","webpack:///./node_modules/moment/locale/he.js","webpack:///./node_modules/moment/locale/hi.js","webpack:///./node_modules/moment/locale/hr.js","webpack:///./node_modules/moment/locale/hu.js","webpack:///./node_modules/moment/locale/hy-am.js","webpack:///./node_modules/moment/locale/id.js","webpack:///./node_modules/moment/locale/is.js","webpack:///./node_modules/moment/locale/it.js","webpack:///./node_modules/moment/locale/it-ch.js","webpack:///./node_modules/moment/locale/ja.js","webpack:///./node_modules/moment/locale/jv.js","webpack:///./node_modules/moment/locale/ka.js","webpack:///./node_modules/moment/locale/kk.js","webpack:///./node_modules/moment/locale/km.js","webpack:///./node_modules/moment/locale/kn.js","webpack:///./node_modules/moment/locale/ko.js","webpack:///./node_modules/moment/locale/ku.js","webpack:///./node_modules/moment/locale/ky.js","webpack:///./node_modules/moment/locale/lb.js","webpack:///./node_modules/moment/locale/lo.js","webpack:///./node_modules/moment/locale/lt.js","webpack:///./node_modules/moment/locale/lv.js","webpack:///./node_modules/moment/locale/me.js","webpack:///./node_modules/moment/locale/mi.js","webpack:///./node_modules/moment/locale/mk.js","webpack:///./node_modules/moment/locale/ml.js","webpack:///./node_modules/moment/locale/mn.js","webpack:///./node_modules/moment/locale/mr.js","webpack:///./node_modules/moment/locale/ms.js","webpack:///./node_modules/moment/locale/ms-my.js","webpack:///./node_modules/moment/locale/mt.js","webpack:///./node_modules/moment/locale/my.js","webpack:///./node_modules/moment/locale/nb.js","webpack:///./node_modules/moment/locale/ne.js","webpack:///./node_modules/moment/locale/nl.js","webpack:///./node_modules/moment/locale/nl-be.js","webpack:///./node_modules/moment/locale/nn.js","webpack:///./node_modules/moment/locale/pa-in.js","webpack:///./node_modules/moment/locale/pl.js","webpack:///./node_modules/moment/locale/pt.js","webpack:///./node_modules/moment/locale/pt-br.js","webpack:///./node_modules/moment/locale/ro.js","webpack:///./node_modules/moment/locale/ru.js","webpack:///./node_modules/moment/locale/sd.js","webpack:///./node_modules/moment/locale/se.js","webpack:///./node_modules/moment/locale/si.js","webpack:///./node_modules/moment/locale/sk.js","webpack:///./node_modules/moment/locale/sl.js","webpack:///./node_modules/moment/locale/sq.js","webpack:///./node_modules/moment/locale/sr.js","webpack:///./node_modules/moment/locale/sr-cyrl.js","webpack:///./node_modules/moment/locale/ss.js","webpack:///./node_modules/moment/locale/sv.js","webpack:///./node_modules/moment/locale/sw.js","webpack:///./node_modules/moment/locale/ta.js","webpack:///./node_modules/moment/locale/te.js","webpack:///./node_modules/moment/locale/tet.js","webpack:///./node_modules/moment/locale/tg.js","webpack:///./node_modules/moment/locale/th.js","webpack:///./node_modules/moment/locale/tl-ph.js","webpack:///./node_modules/moment/locale/tlh.js","webpack:///./node_modules/moment/locale/tr.js","webpack:///./node_modules/moment/locale/tzl.js","webpack:///./node_modules/moment/locale/tzm.js","webpack:///./node_modules/moment/locale/tzm-latn.js","webpack:///./node_modules/moment/locale/ug-cn.js","webpack:///./node_modules/moment/locale/uk.js","webpack:///./node_modules/moment/locale/ur.js","webpack:///./node_modules/moment/locale/uz.js","webpack:///./node_modules/moment/locale/uz-latn.js","webpack:///./node_modules/moment/locale/vi.js","webpack:///./node_modules/moment/locale/x-pseudo.js","webpack:///./node_modules/moment/locale/yo.js","webpack:///./node_modules/moment/locale/zh-cn.js","webpack:///./node_modules/moment/locale/zh-hk.js","webpack:///./node_modules/moment/locale/zh-tw.js","webpack:///./node_modules/prosemirror-tables/dist/index.es.js","webpack:///./node_modules/markdown-it/index.js","webpack:///./node_modules/markdown-it/lib/common/entities.js","webpack:///./node_modules/mdurl/index.js","webpack:///./node_modules/uc.micro/properties/Any/regex.js","webpack:///./node_modules/uc.micro/categories/Cc/regex.js","webpack:///./node_modules/uc.micro/categories/Z/regex.js","webpack:///./node_modules/markdown-it/lib/common/html_re.js","webpack:///./node_modules/markdown-it/lib/rules_inline/strikethrough.js","webpack:///./node_modules/markdown-it/lib/rules_inline/emphasis.js","webpack:///./node_modules/@nextcloud/moment/dist/index.js","webpack:///./node_modules/lowlight/lib/core.js","webpack:///./node_modules/highlight.js/lib/highlight.js","webpack:///./node_modules/fault/index.js","webpack:///./node_modules/format/format.js","webpack:///./node_modules/path-browserify/index.js","webpack:///./node_modules/markdown-it/lib/index.js","webpack:///./node_modules/mdurl/encode.js","webpack:///./node_modules/mdurl/decode.js","webpack:///./node_modules/mdurl/format.js","webpack:///./node_modules/mdurl/parse.js","webpack:///./node_modules/uc.micro/index.js","webpack:///./node_modules/uc.micro/categories/Cf/regex.js","webpack:///./node_modules/markdown-it/lib/helpers/index.js","webpack:///./node_modules/markdown-it/lib/helpers/parse_link_label.js","webpack:///./node_modules/markdown-it/lib/helpers/parse_link_destination.js","webpack:///./node_modules/markdown-it/lib/helpers/parse_link_title.js","webpack:///./node_modules/markdown-it/lib/renderer.js","webpack:///./node_modules/markdown-it/lib/parser_core.js","webpack:///./node_modules/markdown-it/lib/rules_core/normalize.js","webpack:///./node_modules/markdown-it/lib/rules_core/block.js","webpack:///./node_modules/markdown-it/lib/rules_core/inline.js","webpack:///./node_modules/markdown-it/lib/rules_core/linkify.js","webpack:///./node_modules/markdown-it/lib/rules_core/replacements.js","webpack:///./node_modules/markdown-it/lib/rules_core/smartquotes.js","webpack:///./node_modules/markdown-it/lib/rules_core/state_core.js","webpack:///./node_modules/markdown-it/lib/parser_block.js","webpack:///./node_modules/markdown-it/lib/rules_block/table.js","webpack:///./node_modules/markdown-it/lib/rules_block/code.js","webpack:///./node_modules/markdown-it/lib/rules_block/fence.js","webpack:///./node_modules/markdown-it/lib/rules_block/blockquote.js","webpack:///./node_modules/markdown-it/lib/rules_block/hr.js","webpack:///./node_modules/markdown-it/lib/rules_block/list.js","webpack:///./node_modules/markdown-it/lib/rules_block/reference.js","webpack:///./node_modules/markdown-it/lib/rules_block/heading.js","webpack:///./node_modules/markdown-it/lib/rules_block/lheading.js","webpack:///./node_modules/markdown-it/lib/rules_block/html_block.js","webpack:///./node_modules/markdown-it/lib/common/html_blocks.js","webpack:///./node_modules/markdown-it/lib/rules_block/paragraph.js","webpack:///./node_modules/markdown-it/lib/rules_block/state_block.js","webpack:///./node_modules/markdown-it/lib/parser_inline.js","webpack:///./node_modules/markdown-it/lib/rules_inline/text.js","webpack:///./node_modules/markdown-it/lib/rules_inline/newline.js","webpack:///./node_modules/markdown-it/lib/rules_inline/escape.js","webpack:///./node_modules/markdown-it/lib/rules_inline/backticks.js","webpack:///./node_modules/markdown-it/lib/rules_inline/link.js","webpack:///./node_modules/markdown-it/lib/rules_inline/image.js","webpack:///./node_modules/markdown-it/lib/rules_inline/autolink.js","webpack:///./node_modules/markdown-it/lib/rules_inline/html_inline.js","webpack:///./node_modules/markdown-it/lib/rules_inline/entity.js","webpack:///./node_modules/markdown-it/lib/rules_inline/balance_pairs.js","webpack:///./node_modules/markdown-it/lib/rules_inline/text_collapse.js","webpack:///./node_modules/markdown-it/lib/rules_inline/state_inline.js","webpack:///./node_modules/linkify-it/index.js","webpack:///./node_modules/linkify-it/lib/re.js","webpack:///./node_modules/punycode/punycode.js","webpack:///./node_modules/markdown-it/lib/presets/default.js","webpack:///./node_modules/markdown-it/lib/presets/zero.js","webpack:///./node_modules/markdown-it/lib/presets/commonmark.js","webpack:///./node_modules/markdown-it-task-lists/index.js","webpack:///./node_modules/proxy-polyfill/src/index.js","webpack:///./node_modules/proxy-polyfill/src/proxy.js","webpack:///./node_modules/prosemirror-markdown/dist/index.es.js"],"names":["module","exports","exec","error","global","shared","has","uid","NATIVE_SYMBOL","USE_SYMBOL_AS_UID","WellKnownSymbolsStore","Symbol","createWellKnownSymbol","withoutSetter","name","it","Math","check","globalThis","window","self","Function","bind","toString","Object","prototype","isArray","val","call","isUndefined","isObject","isFunction","forEach","obj","fn","i","l","length","key","hasOwnProperty","isArrayBuffer","isBuffer","constructor","isFormData","FormData","isArrayBufferView","ArrayBuffer","isView","buffer","isString","isNumber","isDate","isFile","isBlob","isStream","pipe","isURLSearchParams","URLSearchParams","isStandardBrowserEnv","navigator","product","document","merge","result","assignValue","arguments","deepMerge","extend","a","b","thisArg","trim","str","replace","TypeError","String","fails","defineProperty","get","DESCRIPTORS","IE8_DOM_DEFINE","anObject","toPrimitive","nativeDefineProperty","f","O","P","Attributes","value","definePropertyModule","createPropertyDescriptor","object","getOwnPropertyDescriptor","createNonEnumerableProperty","redefine","setGlobal","copyConstructorProperties","isForced","options","source","target","targetProperty","sourceProperty","descriptor","TARGET","GLOBAL","STATIC","stat","noTargetGet","forced","undefined","sham","getRootUrl","generateFilePath","imagePath","generateUrl","generateOcsUrl","generateRemoteUrl","linkTo","app","file","service","location","protocol","host","linkToRemoteBase","version","url","params","allOptions","assign","escape","noRewrite","_build","text","vars","r","encodeURIComponent","charAt","OC","config","modRewriteWorking","indexOf","type","isCore","coreApps","link","substring","appswebroots","encodeURI","webroot","inspectSource","InternalStateModule","getInternalState","enforceInternalState","enforce","TEMPLATE","split","unsafe","simple","enumerable","join","this","subscribe","handler","bus","unsubscribe","emit","event","_ProxyBus","_SimpleBus","_eventBus","_nc_event_bus","console","warn","ProxyBus","SimpleBus","toInteger","min","argument","requireObjectCoercible","normalizeComponent","scriptExports","render","staticRenderFns","functionalTemplate","injectStyles","scopeId","moduleIdentifier","shadowMode","hook","_compiled","functional","_scopeId","context","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","originalRender","h","existing","beforeCreate","concat","slice","set","NATIVE_WEAK_MAP","objectHas","sharedKey","hiddenKeys","WeakMap","store","wmget","wmhas","wmset","metadata","STATE","getterFor","TYPE","state","path","aFunction","variable","namespace","method","ceil","floor","isNaN","bitmap","configurable","writable","IndexedObject","default","_axios","__esModule","_auth","client","create","headers","requesttoken","getRequestToken","cancelableClient","CancelToken","isCancel","onRequestTokenUpdate","token","defaults","_default","that","c","apply","cache","thrower","METHOD_NAME","ACCESSORS","argument0","argument1","activeXDocument","defineProperties","enumBugKeys","html","documentCreateElement","IE_PROTO","EmptyConstructor","scriptTag","content","LT","NullProtoObject","domain","ActiveXObject","iframeDocument","iframe","write","close","temp","parentWindow","NullProtoObjectViaActiveX","style","display","appendChild","src","contentWindow","open","F","Properties","TO_STRING_TAG","wellKnownSymbol","TAG","input","PREFERRED_STRING","valueOf","classof","propertyIsEnumerable","keys","id","postfix","random","MAX_SAFE_INTEGER","Number","SEMVER_SPEC_VERSION","MAX_LENGTH","MAX_SAFE_COMPONENT_LENGTH","$","createIteratorConstructor","getPrototypeOf","setPrototypeOf","setToStringTag","IS_PURE","Iterators","IteratorsCore","IteratorPrototype","BUGGY_SAFARI_ITERATORS","ITERATOR","returnThis","Iterable","NAME","IteratorConstructor","next","DEFAULT","IS_SET","FORCED","CurrentIteratorPrototype","methods","KEY","getIterationMethod","KIND","defaultIterator","IterablePrototype","INCORRECT_VALUES_NAME","nativeIterator","anyNativeIterator","entries","values","proto","test","re1","re2","regexpFlags","stickyHelpers","nativeExec","RegExp","nativeReplace","patchedExec","UPDATES_LAST_INDEX_WRONG","lastIndex","UNSUPPORTED_Y","BROKEN_CARET","NPCG_INCLUDED","reCopy","match","re","sticky","flags","charsAdded","strCopy","multiline","index","g","e","cachedSetTimeout","cachedClearTimeout","process","defaultSetTimout","Error","defaultClearTimeout","runTimeout","fun","setTimeout","clearTimeout","currentQueue","queue","draining","queueIndex","cleanUpNextTick","drainQueue","timeout","len","run","marker","runClearTimeout","Item","array","noop","nextTick","args","Array","push","title","browser","env","argv","versions","on","addListener","once","off","removeListener","removeAllListeners","prependListener","prependOnceListener","listeners","binding","cwd","chdir","dir","umask","useSourceMap","list","map","item","cssMapping","btoa","sourceMapping","sourceMap","base64","unescape","JSON","stringify","data","sourceURLs","sources","sourceRoot","cssWithMappingToString","modules","mediaQuery","dedupe","alreadyImportedModules","_i","listToStyles","parentId","styles","newStyles","part","css","media","parts","hasDocument","DEBUG","stylesInDom","head","getElementsByTagName","singletonElement","singletonCounter","isProduction","isOldIE","userAgent","toLowerCase","addStylesClient","_isProduction","_options","addStylesToDom","newList","mayRemove","domStyle","refs","j","addStyle","createStyleElement","styleElement","createElement","update","remove","querySelector","parentNode","removeChild","styleIndex","applyToSingletonTag","applyToTag","newObj","textStore","replaceText","replacement","filter","Boolean","styleSheet","cssText","cssNode","createTextNode","childNodes","insertBefore","setAttribute","ssrId","firstChild","TO_STRING_TAG_SUPPORT","toIndexedObject","addToUnscopables","defineIterator","setInternalState","iterated","kind","done","Arguments","propertyIsEnumerableModule","nativeGetOwnPropertyDescriptor","feature","detection","normalize","POLYFILL","NATIVE","string","V8_VERSION","SPECIES","foo","Constructor","originalArray","C","toObject","toLength","createProperty","arraySpeciesCreate","arrayMethodHasSpeciesSupport","IS_CONCAT_SPREADABLE","IS_CONCAT_SPREADABLE_SUPPORT","SPECIES_SUPPORT","isConcatSpreadable","spreadable","arg","k","E","A","n","EXISTS","functionToString","createMethod","IS_MAP","IS_FILTER","IS_SOME","IS_EVERY","IS_FIND_INDEX","NO_HOLES","$this","callbackfn","specificCreate","boundFunction","some","every","find","findIndex","v8","isArrayIteratorMethod","getIteratorMethod","callWithSafeIterationClosing","Result","stopped","iterable","AS_ENTRIES","IS_ITERATOR","iterator","iterFn","step","stop","classofRaw","CORRECT_ARGUMENTS","tag","tryGet","callee","CONVERT_TO_STRING","pos","first","second","S","position","size","charCodeAt","codeAt","nativePropertyIsEnumerable","NASHORN_BUG","1","V","mode","copyright","names","toAbsoluteIndex","IS_INCLUDES","el","fromIndex","includes","getOwnPropertySymbols","internalObjectKeys","utils","encode","paramsSerializer","serializedParams","v","toISOString","hashmarkIndex","__CANCEL__","normalizeHeaderName","DEFAULT_CONTENT_TYPE","setContentTypeIfUnset","adapter","XMLHttpRequest","transformRequest","transformResponse","parse","xsrfCookieName","xsrfHeaderName","maxContentLength","validateStatus","status","common","settle","buildURL","buildFullPath","parseHeaders","isURLSameOrigin","createError","Promise","resolve","reject","requestData","requestHeaders","request","auth","username","password","Authorization","fullPath","baseURL","toUpperCase","onreadystatechange","readyState","responseURL","responseHeaders","getAllResponseHeaders","response","responseType","responseText","statusText","onabort","onerror","ontimeout","timeoutErrorMessage","cookies","xsrfValue","withCredentials","read","setRequestHeader","onDownloadProgress","addEventListener","onUploadProgress","upload","cancelToken","promise","then","cancel","abort","send","enhanceError","message","code","config1","config2","valueFromConfig2Keys","mergeDeepPropertiesKeys","defaultToConfig2Keys","prop","axiosKeys","otherKeys","Cancel","$forEach","arrayMethodIsStrict","arrayMethodUsesToLength","STRICT_METHOD","USES_TO_LENGTH","debug","t","R","createToken","isGlobal","NUMERICIDENTIFIER","NUMERICIDENTIFIERLOOSE","NONNUMERICIDENTIFIER","PRERELEASEIDENTIFIER","PRERELEASEIDENTIFIERLOOSE","BUILDIDENTIFIER","MAINVERSION","PRERELEASE","BUILD","FULLPLAIN","MAINVERSIONLOOSE","PRERELEASELOOSE","LOOSEPLAIN","XRANGEIDENTIFIER","XRANGEIDENTIFIERLOOSE","GTLT","XRANGEPLAIN","XRANGEPLAINLOOSE","COERCE","LONETILDE","tildeTrimReplace","LONECARET","caretTrimReplace","comparatorTrimReplace","NODE_DEBUG","compareIdentifiers","SemVer","loose","includePrerelease","m","LOOSE","FULL","raw","major","minor","patch","prerelease","num","build","format","other","compareMain","comparePre","release","identifier","inc","PrototypeOfArrayIteratorPrototype","arrayIterator","CORRECT_PROTOTYPE_GETTER","ObjectPrototype","aPossiblePrototype","setter","CORRECT_SETTER","__proto__","FREEZING","METADATA","isExtensible","setMetadata","objectID","weakData","meta","REQUIRED","fastKey","getWeakData","onFreeze","CSSRuleList","CSSStyleDeclaration","CSSValueList","ClientRectList","DOMRectList","DOMStringList","DOMTokenList","DataTransferItemList","FileList","HTMLAllCollection","HTMLCollection","HTMLFormElement","HTMLSelectElement","MediaList","MimeTypeArray","NamedNodeMap","NodeList","PaintRequestList","Plugin","PluginArray","SVGLengthList","SVGNumberList","SVGPathSegList","SVGPointList","SVGStringList","SVGTransformList","SourceBufferList","StyleSheetList","TextTrackCueList","TextTrackList","TouchList","ignoreCase","dotAll","unicode","RegExpPrototype","nativeToString","NOT_GENERIC","INCORRECT_NAME","p","rf","point","fixRegExpWellKnownSymbolLogic","advanceStringIndex","regExpExec","max","SUBSTITUTION_SYMBOLS","SUBSTITUTION_SYMBOLS_NO_NAMED","REPLACE","maybeCallNative","reason","REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE","REPLACE_KEEPS_$0","UNSAFE_SUBSTITUTE","searchValue","replaceValue","replacer","regexp","res","rx","functionalReplace","fullUnicode","results","accumulatedResult","nextSourcePosition","matched","captures","namedCaptures","groups","replacerArgs","getSubstitution","tailPos","symbols","ch","capture","DOMIterables","ArrayIteratorMethods","ArrayValues","COLLECTION_NAME","Collection","CollectionPrototype","$indexOf","nativeIndexOf","NEGATIVE_ZERO","searchElement","propertyKey","getOwnPropertyNames","integer","_requesttoken","_user","getCurrentUser","$filter","HAS_SPECIES_SUPPORT","dummy","Wrapper","NewTarget","NewTargetPrototype","objectKeys","getOwnPropertySymbolsModule","nativeAssign","B","symbol","chr","T","argumentsLength","getBuiltIn","ArrayPrototype","ENTRIES","returnMethod","SAFE_CLOSING","called","iteratorWithReturn","from","SKIP_CLOSING","ITERATION_SUPPORT","CONSTRUCTOR_NAME","regexpExec","REPLACE_SUPPORTS_NAMED_GROUPS","SPLIT_WORKS_WITH_OVERWRITTEN_EXEC","originalExec","SYMBOL","DELEGATES_TO_SYMBOL","DELEGATES_TO_EXEC","execCalled","nativeRegExpMethod","nativeMethod","arg2","forceStringMethod","stringMethod","regexMethod","ownKeys","getOwnPropertyDescriptorModule","getOwnPropertyNamesModule","Axios","mergeConfig","createInstance","defaultConfig","instance","axios","instanceConfig","all","promises","spread","InterceptorManager","dispatchRequest","interceptors","chain","interceptor","unshift","fulfilled","rejected","shift","getUri","handlers","use","eject","transformData","throwIfCancellationRequested","throwIfRequested","fns","normalizedName","isAxiosError","toJSON","description","number","fileName","lineNumber","columnNumber","stack","isAbsoluteURL","combineURLs","requestedURL","relativeURL","ignoreDuplicateOf","parsed","line","substr","originURL","msie","urlParsingNode","resolveURL","href","search","hash","hostname","port","pathname","requestURL","expires","secure","cookie","Date","toGMTString","decodeURIComponent","now","executor","resolvePromise","callback","arr","observer","observers","tokenElement","getAttribute","_valid","_interopRequireDefault","_major","_defineProperties","props","packageJson","_classCallCheck","getVersion","protoProps","staticProps","er","numeric","anum","bnum","rcompareIdentifiers","Map","UNSCOPABLES","collection","collectionStrong","init","InternalMetadataModule","iterate","anInstance","checkCorrectnessOfIteration","inheritIfRequired","wrapper","IS_WEAK","ADDER","NativeConstructor","NativePrototype","exported","fixMethod","getConstructor","HASNT_CHAINING","THROWS_ON_PRIMITIVES","ACCEPT_ITERABLES","BUGGY_ZERO","$instance","clear","setStrong","preventExtensions","redefineAll","setSpecies","internalStateGetterFor","last","define","previous","entry","getEntry","removed","prev","ITERATOR_NAME","getInternalCollectionState","getInternalIteratorState","displayName","uidElement","displayNameElement","RE","s","hookCallback","hooks","hasOwnProp","createUTC","locale","strict","createLocalOrUTC","utc","getParsingFlags","_pf","empty","unusedTokens","unusedInput","overflow","charsLeftOver","nullInput","invalidMonth","invalidFormat","userInvalidated","iso","parsedDateParts","meridiem","rfc2822","weekdayMismatch","isValid","_isValid","parsedParts","isNowValid","_d","getTime","invalidWeekday","_strict","bigHour","isFrozen","createInvalid","NaN","momentProperties","copyConfig","to","_isAMomentObject","_f","_l","_tzm","_isUTC","_offset","_locale","updateInProgress","Moment","updateOffset","isMoment","absFloor","toInt","argumentForCoercion","coercedNumber","isFinite","compareArrays","array1","array2","dontConvert","lengthDiff","abs","diffs","msg","suppressDeprecationWarnings","deprecate","firstTime","deprecationHandler","deprecations","deprecateSimple","mergeConfigs","parentConfig","childConfig","Locale","aliases","addUnitAlias","unit","shorthand","lowerCase","normalizeUnits","units","normalizeObjectUnits","inputObject","normalizedProp","normalizedInput","priorities","addUnitPriority","priority","zeroFill","targetLength","forceSign","absNumber","zerosToFill","pow","formattingTokens","localFormattingTokens","formatFunctions","formatTokenFunctions","addFormatToken","padded","ordinal","func","localeData","formatMoment","expandFormat","mom","output","makeFormatFunction","invalidDate","replaceLongDateFormatTokens","longDateFormat","match1","match2","match3","match4","match6","match1to2","match3to4","match5to6","match1to3","match1to4","match1to6","matchUnsigned","matchSigned","matchOffset","matchShortOffset","matchWord","regexes","addRegexToken","regex","strictRegex","isStrict","getParseRegexForToken","regexEscape","p1","p2","p3","p4","tokens","addParseToken","addWeekParseToken","_w","addTimeToArrayFromToken","_a","daysInYear","year","isLeapYear","y","parseTwoDigitYear","parseInt","getSetYear","makeGetSet","keepTime","set$1","month","date","daysInMonth","x","modMonth","o","monthsShort","months","monthsShortRegex","monthsRegex","monthsParse","MONTHS_IN_FORMAT","defaultLocaleMonths","defaultLocaleMonthsShort","handleStrictParse","monthName","ii","llc","toLocaleLowerCase","_monthsParse","_longMonthsParse","_shortMonthsParse","setMonth","dayOfMonth","getSetMonth","defaultMonthsShortRegex","defaultMonthsRegex","computeMonthsParse","cmpLenRev","shortPieces","longPieces","mixedPieces","sort","_monthsRegex","_monthsShortRegex","_monthsStrictRegex","_monthsShortStrictRegex","createDate","d","M","ms","getFullYear","setFullYear","createUTCDate","UTC","getUTCFullYear","setUTCFullYear","firstWeekOffset","dow","doy","fwd","getUTCDay","dayOfYearFromWeeks","week","weekday","resYear","resDayOfYear","dayOfYear","weekOfYear","resWeek","weekOffset","weeksInYear","weekOffsetNext","shiftWeekdays","ws","weekdaysMin","weekdaysShort","weekdays","weekdaysMinRegex","weekdaysShortRegex","weekdaysRegex","weekdaysParse","defaultLocaleWeekdays","defaultLocaleWeekdaysShort","defaultLocaleWeekdaysMin","handleStrictParse$1","weekdayName","_weekdaysParse","_shortWeekdaysParse","_minWeekdaysParse","day","defaultWeekdaysRegex","defaultWeekdaysShortRegex","defaultWeekdaysMinRegex","computeWeekdaysParse","minp","shortp","longp","minPieces","_weekdaysRegex","_weekdaysShortRegex","_weekdaysMinRegex","_weekdaysStrictRegex","_weekdaysShortStrictRegex","_weekdaysMinStrictRegex","hFormat","hours","lowercase","minutes","matchMeridiem","_meridiemParse","seconds","kInput","_isPm","isPM","_meridiem","pos1","pos2","globalLocale","getSetHour","baseConfig","calendar","sameDay","nextDay","nextWeek","lastDay","lastWeek","sameElse","LTS","L","LL","LLL","LLLL","dayOfMonthOrdinalParse","relativeTime","future","past","ss","mm","hh","dd","MM","yy","meridiemParse","locales","localeFamilies","normalizeLocale","loadLocale","oldLocale","_abbr","getSetGlobalLocale","getLocale","defineLocale","abbr","_config","parentLocale","chooseLocale","checkOverflow","_overflowDayOfYear","_overflowWeeks","_overflowWeekday","configFromArray","currentDate","expectedWeekday","yearToUse","nowValue","_useUTC","getUTCMonth","getUTCDate","getMonth","getDate","currentDateArray","w","weekYear","weekdayOverflow","GG","W","createLocal","_week","curWeek","gg","_dayOfYear","dayOfYearFromWeekInfo","_nextDay","getDay","setUTCMinutes","getUTCMinutes","extendedIsoRegex","basicIsoRegex","tzRegex","isoDates","isoTimes","aspNetJsonRegex","configFromISO","allowTime","dateFormat","timeFormat","tzFormat","configFromStringAndFormat","untruncateYear","yearStr","obsOffsets","UT","GMT","EDT","EST","CDT","CST","MDT","MST","PDT","PST","configFromRFC2822","monthStr","dayStr","hourStr","minuteStr","secondStr","parsedArray","weekdayStr","parsedInput","checkWeekday","obsOffset","militaryOffset","numOffset","hm","calculateOffset","ISO_8601","RFC_2822","skipped","stringLength","totalParsedInputLength","hour","isPm","meridiemHour","meridiemFixWrap","prepareConfig","preparse","tempConfig","bestMoment","scoreToBeat","currentScore","score","configFromStringAndArray","createFromInputFallback","configFromString","minute","millisecond","configFromObject","configFromInput","isUTC","isObjectEmpty","prototypeMin","prototypeMax","pickBy","moments","ordering","Duration","duration","years","quarters","quarter","weeks","isoWeek","days","milliseconds","unitHasDecimal","parseFloat","isDurationValid","_milliseconds","_days","_months","_data","_bubble","isDuration","absRound","round","offset","separator","utcOffset","sign","offsetFromString","chunkOffset","matcher","matches","cloneWithOffset","model","diff","clone","setTime","local","getDateOffset","getTimezoneOffset","isUtc","aspNetRegex","isoRegex","createDuration","ret","diffRes","base","parseIso","isBefore","positiveMomentsDifference","inp","isAfter","createAdder","direction","period","tmp","addSubtract","isAdding","invalid","subtract","monthDiff","wholeMonthDiff","anchor","newLocaleData","defaultFormat","defaultFormatUtc","lang","mod$1","dividend","divisor","localStartOfDate","utcStartOfDate","addWeekYearFormatToken","getter","getSetWeekYearHelper","weeksTarget","setWeekAll","dayOfYearData","isoWeekYear","_dayOfMonthOrdinalParse","_ordinalParse","_dayOfMonthOrdinalParseLenient","getSetDayOfMonth","getSetMinute","getSetSecond","parseMs","getSetMillisecond","preParsePostFormat","time","formats","sod","startOf","calendarFormat","asFloat","zoneDelta","endOf","startOfDate","isoWeekday","inputString","postformat","withoutSuffix","humanize","fromNow","toNow","invalidAt","localInput","isBetween","inclusivity","localFrom","localTo","isSame","inputMs","isSameOrAfter","isSameOrBefore","parsingFlags","prioritized","unitsObj","u","getPrioritizedUnits","toArray","toDate","keepOffset","inspect","zone","isLocal","prefix","suffix","unix","creationData","isoWeeks","weekInfo","isoWeeksInYear","parseWeekday","parseIsoWeekday","keepLocalTime","keepMinutes","localAdjust","_changeInProgress","parseZone","tZone","hasAlignedHourOffset","isDST","isUtcOffset","zoneAbbr","zoneName","dates","isDSTShifted","_isDSTShifted","proto$1","get$1","field","listMonthsImpl","out","listWeekdaysImpl","localeSorted","_calendar","_longDateFormat","formatUpper","_invalidDate","_ordinal","isFuture","_relativeTime","pastFuture","isFormat","_monthsShort","_monthsParseExact","firstDayOfYear","firstDayOfWeek","_weekdays","_weekdaysMin","_weekdaysShort","_weekdaysParseExact","_fullWeekdaysParse","isLower","langData","mathAbs","addSubtract$1","absCeil","daysToMonths","monthsToDays","makeAs","alias","as","asMilliseconds","asSeconds","asMinutes","asHours","asDays","asWeeks","asMonths","asQuarters","asYears","makeGetter","thresholds","substituteTimeAgo","abs$1","toISOString$1","Y","D","toFixed","total","totalSign","ymSign","daysSign","hmsSign","proto$2","monthsFromDays","withSuffix","posNegDuration","relativeTime$1","toIsoString","updateLocale","tmpLocale","relativeTimeRounding","roundingFunction","relativeTimeThreshold","threshold","limit","myMoment","HTML5_FMT","DATETIME_LOCAL","DATETIME_LOCAL_SECONDS","DATETIME_LOCAL_MS","DATE","TIME","TIME_SECONDS","TIME_MS","WEEK","MONTH","factory","_hasOwnProperty","isValidEntityCode","fromCodePoint","surrogate1","surrogate2","fromCharCode","UNESCAPE_MD_RE","UNESCAPE_ALL_RE","DIGITAL_ENTITY_TEST_RE","entities","HTML_ESCAPE_TEST_RE","HTML_ESCAPE_REPLACE_RE","HTML_REPLACEMENTS","replaceUnsafeChar","REGEXP_ESCAPE_RE","UNICODE_PUNCT_RE","lib","mdurl","ucmicro","_class","unescapeMd","unescapeAll","escaped","entity","replaceEntityPattern","escapeHtml","arrayReplaceAt","newElements","isSpace","isWhiteSpace","isMdAsciiPunct","isPunctChar","escapeRE","normalizeReference","deleteSelection","dispatch","selection","tr","scrollIntoView","textblockAt","node","side","lastChild","isTextblock","findCutBefore","$pos","spec","isolating","depth","doc","before","findCutAfter","childCount","after","lift","ref","$from","$to","range","blockRange","defaultBlockAt","edgeCount","edge","hasRequiredAttrs","exitCode","$head","$anchor","sameParent","above","indexAfter","contentMatchAt","canReplaceWith","replaceWith","createAndFill","setSelection","near","splitBlock","isBlock","parentOffset","atEnd","deflt","types","can","mapping","canReplace","setNodeMarkup","deleteBarrier","$cut","conn","nodeBefore","nodeAfter","compatibleContent","delete","nodeSize","clearIncompatible","joinMaybeClear","findWrapping","matchType","validEnd","end","wrap","copy","joinAt","selAfter","findFrom","wrapIn","nodeType","attrs","wrapping","setBlockType","applicable","nodesBetween","hasMarkup","toggleMark","markType","$cursor","ranges","loop","allowsMarkType","inlineContent","returned","markApplies","isInSet","storedMarks","marks","removeStoredMark","addStoredMark","ref$1","rangeHasMark","i$1","ref$2","$from$1","$to$1","removeMark","addMark","chainCommands","commands","view","backspace","endOfTextblock","isSelectable","deleteRange","isAtom","del","pcBaseKeymap","insertText","insert","macBaseKeymap","baseKeymap","platform","os","classesById","Selection","SelectionRange","prototypeAccessors","lastNode","lastParent","openEnd","mapFrom","steps","replaceRange","selectionToInsertionEnd","isInline","replaceRangeWith","textOnly","inner","TextSelection","findSelectionIn","found","bias","AllSelection","atStart","fromJSON","json","RangeError","cls","jsonID","selectionClass","getBookmark","between","visible","prototypeAccessors$1","marksAcross","ensureMarks","eq","TextBookmark","dPos","NodeSelection","$end","mapResult","deleted","NodeBookmark","isText","selectable","sel","AllBookmark","child","startLen","maps","_from","_to","_newFrom","newTo","Transaction","Transform","curSelection","curSelectionFor","updated","selectionSet","storedMarksSet","isGeneric","scrolledIntoView","setStoredMarks","sameSet","mark","addToSet","removeFromSet","addStep","replaceSelection","replaceSelectionWith","inheritMarks","none","schema","setMeta","getMeta","_","FieldDesc","desc","baseFields","topNodeType","_marks","_old","Configuration","plugins","this$1","fields","pluginsByKey","plugin","EditorState","applyTransaction","filterTransaction","ignore","rootTr","transactions","trs","newState","applyInner","seen","haveNew","appendTransaction","oldState","newInstance","applyListeners","$config","reconfigure","pluginFields","markFromJSON","addApplyListener","removeApplyListener","splice","bindProps","createKey","getState","PluginKey","OrderedMap","newKey","addToStart","addToEnd","addBefore","place","without","prepend","append","Fragment","nodeStart","start","descendants","textBetween","blockSeparator","leafText","separated","isLeaf","sameMarkup","withText","cut","cutByIndex","replaceChild","current","maybeChild","findDiffStart","childA","childB","findDiffEnd","otherPos","posA","posB","iA","iB","same","minSize","retIndex","curPos","toStringInner","nodeFromJSON","fromArray","joined","nodes","compareDeep","p$1","Mark","ReplaceError","err","placed","excludes","rank","setFrom","Slice","openStart","replaceOuter","$along","extra","resolveNoCache","prepareSliceForReplace","replaceThreeWay","$start","joinable","addRange","checkJoin","addNode","replaceTwoWay","main","sub","$before","$after","startIndex","endIndex","textOffset","validContent","insertAt","fragment","insertInto","dist","removeBetween","removeRange","indexTo","offsetTo","maxOpen","openIsolating","n$1","ResolvedPos","prototypeAccessors$2","resolveDepth","dOff","inclusive","sharedDepth","pred","NodeRange","rem","resolveCached","resolveCache","cached","resolveCachePos","resolveCacheSize","prototypeAccessors$1$1","emptyAttrs","Node","prototypeAccessors$3","textContent","startPos","defaultAttrs","includeParents","nodeAt","childAfter","childBefore","toDebugString","wrapMarks","contentMatch","matchFragment","one","two","allowsMarks","canAppend","TextNode","ContentMatch","wrapCache","prototypeAccessors$4","defaultType","nodeTypes","stream","TokenStream","expr","parseExpr","nfa","labeled","explore","states","term","known","nullFrom","states$1","cmp","dfa","connect","compile","exprs","reduce","loop$1","cur","next$1","i$2","next$2","edges","work","dead","checkForDeadEnds","frag","compatible","fillBefore","toEnd","finished","tp","computed","computeWrapping","active","via","reverse","scan","inline","pop","prototypeAccessors$1$2","parseExprSeq","eat","parseExprSubscript","typeName","type$1","resolveName","parseExprAtom","parseExprRange","parseNum","attrName","attr","hasDefault","computeAttrs","built","given","initAttrs","Attribute","tok","SyntaxError","NodeType","group","markSet","prototypeAccessors$5","atom","isRequired","createChecked","allowedMarks","topType","topNode","prototypeAccessors$1$3","MarkType","excluded","contentExprCache","prop$1","contentExpr","markExpr","gatherMarks","prop$2","excl","wrappings","ok","mark$1","text$1","DOMParser","rules","tags","rule","normalizeLists","dom","ParseContext","addAll","finish","parseSlice","matchTag","namespaceURI","matchesContext","getAttrs","matchStyle","schemaRules","parseDOM","rules$1","name$1","fromSchema","domParser","blockTags","address","article","aside","blockquote","canvas","div","dl","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","hr","li","noscript","ol","pre","section","table","tfoot","ul","ignoreTags","script","listTags","wsOptionsFor","preserveWhitespace","NodeContext","pendingMarks","solid","activeMarks","fill","applyPending","nextType","pending","markMayApply","parser","isOpen","topContext","topOptions","topMatch","findPositions","needsBlock","prototypeAccessors$6","top","currentPos","selector","msMatchesSelector","webkitMatchesSelector","mozMatchesSelector","addDOM","addTextNode","readStyles","parseStyles","addPendingMark","addElement","removePendingMark","nodeValue","domNodeBefore","previousSibling","nodeName","insertNode","findInText","findInside","prevItem","nextSibling","normalizeList","ruleFromNode","skip","closeParent","sync","oldNeedsBlock","leafFallback","addElementByRule","ownerDocument","enter","startIn","getContent","contentDOM","contentElement","findAround","findAtPoint","findPlace","route","cx","enterInner","block","textblockFromContext","closeExtra","preserveWS","topOpen","contains","compareDocumentPosition","textNode","option","useRoot","minDepth","$context","upto","level","lastIndexOf","DOMSerializer","gatherToDOM","toDOM","serializeFragment","createDocumentFragment","keep","rendered","spanning","markDOM","serializeMark","serializeNode","renderSpec","onContent","serializeNodeAndMarks","structure","xmlNS","tagName","space","createElementNS","space$1","setAttributeNS","innerContent","domSerializer","nodesFromSchema","marksFromSchema","DropCursorView","editorView","width","color","class","cursorPos","element","destroy","removeEventListener","prevState","updateOverlay","setCursor","rect","nodeRect","nodeDOM","getBoundingClientRect","bottom","left","right","coords","coordsAtPos","offsetParent","className","parentRect","body","getComputedStyle","pageXOffset","pageYOffset","height","scheduleRemoval","dragover","editable","posAtCoords","clientX","clientY","dragging","dragend","drop","dragleave","relatedTarget","GapCursor","valid","GapBookmark","closedBefore","closedAfter","override","allowGapCursor","mustMove","$cur","inside","$cur$1","handleKeyDown","arrow","axis","dirStr","$found","handleClick","drawGapCursor","widget","_typeof","_createClass","_defineProperty","enumerableOnly","sym","_objectSpread2","getOwnPropertyDescriptors","_inherits","subClass","superClass","_setPrototypeOf","_getPrototypeOf","_isNativeReflectConstruct","Reflect","construct","Proxy","_possibleConstructorReturn","ReferenceError","_assertThisInitialized","_createSuper","Derived","Super","_slicedToArray","_arrayWithHoles","_arr","_n","_e","_s","_iterableToArrayLimit","_unsupportedIterableToArray","_nonIterableRest","_toConsumableArray","_arrayLikeToArray","_arrayWithoutHoles","iter","_iterableToArray","_nonIterableSpread","minLen","arr2","camelCase","word","ComponentView","component","_ref","editor","extension","decorations","getPos","isNode","isMark","getMarkPos","captureEvents","createDOM","vm","$refs","_this","Component","selected","updateAttrs","propsData","$mount","$el","updateComponentProps","_this2","_props","originalSilent","silent","_ref2","_ref3","newAttrs","transaction","mutation","_this3","stopEvent","draggable","dragHandle","closest","isCopy","isPaste","isCut","isDrag","startsWith","posAtDOM","resolvedPos","$destroy","Emitter","_callbacks","_len","_key","callbacks","cb","Extension","defaultOptions","ExtensionManager","extensions","bindEditor","extensionKeymaps","nodeMarkKeymaps","excludedExtensions","allowedExtensions","extensionInputRules","inputRules","nodeMarkInputRules","allInputRules","extensionPasteRules","pasteRules","nodeMarkPasteRules","allPasteRules","_ref4","allCommands","focus","handle","_name","_value","_ref5","_ref6","commandName","commandValue","_ref7","changed","updateState","_ref8","allPlugins","_ref9","injectCSS","_Extension","_super","minMax","Doc","_Node","Paragraph","Text","_Emitter","Editor","editorProps","autoFocus","emptyDocument","useBuiltInExtensions","disableInputRules","disablePasteRules","dropCursor","parseOptions","onInit","onTransaction","onUpdate","onFocus","onBlur","onPaste","onDrop","events","setOptions","focused","createExtensions","createNodes","createMarks","createSchema","createPlugins","keymaps","createKeymaps","createInputRules","createPasteRules","createView","createCommands","setActiveNodesAndMarks","builtInExtensions","createDocument","Backspace","createSelectionBetween","_view","attributes","tabindex","handleDOMEvents","blur","innerHTML","_this4","createState","handlePaste","handleDrop","_len2","_key2","dispatchTransaction","setProps","nodeViews","initNodeViews","_this5","getHTML","getJSON","docChanged","emitUpdate","_this6","_this$resolveSelectio","resolveSelection","_this$state","resolvedFrom","resolvedEnd","_this$state2","setContent","_this7","activeMarkAttrs","activeNodes","handlePlugins","docView","EditorContent","watch","immediate","$nextTick","setParentComponent","beforeDestroy","Menu","preventHide","mousedownHandler","blurHandler","EditorMenuBar","registerPlugin","$scopedSlots","isActive","getMarkAttrs","getNodeAttrs","textRange","createRange","setEnd","setStart","singleRect","rects","getClientRects","_view$docView$domFrom","domFromPos","_child","Menu$1","keepInBounds","focusHandler","hide","lastState","composing","_state$selection","box","sendUpdate","EditorMenuBubble","menu","$emit","unregisterPlugin","factor16","recoverIndex","MapResult","recover","StepMap","inverted","recoverOffset","assoc","_map","oldIndex","newIndex","oldSize","newSize","touches","oldStart","newStart","invert","Mapping","mirror","TransformError","appendMap","mirrors","setMirror","appendMapping","startSize","mirr","getMirror","appendMappingInverted","totalSize","inverse","corr","docs","mustOverride","maybeStep","failed","getMap","stepsByID","Step","_doc","_mapping","_other","stepType","stepClass","StepResult","fail","fromReplace","ReplaceStep","contentBetween","slice$1","ReplaceAroundStep","gapFrom","gapTo","gap","inserted","canCut","liftTarget","innerRange","around","outer","findWrappingOutside","innerMatch","findWrappingInside","withAttrs","canSplit","typesAfter","innerType","index$1","rest","baseType","canJoin","joinPoint","dropPoint","pass","insertPos","mapFragment","mapped","gapStart","gapEnd","splitting","d$1","splitting$1","wrappers","canChangeType","startM","endM","newNode","typeAfter","AddMarkStep","oldSlice","RemoveMarkStep","fitsTrivially","added","removing","adding","newSet","toRemove","found$1","parentType","delSteps","allowed","Fitter","fit","replaceStep","unplaced","frontier","dropFromFragment","count","addToFragment","contentAt","closeNodeStart","contentAfterFits","invalidMarks","closeFragment","oldOpen","newOpen","coveredDepths","findFittable","placeNodes","openMore","dropNode","moveInline","mustMoveInline","placedSize","sliceDepth","frontierDepth","inject","openAtEnd","closeFrontierNode","openFrontierNode","taken","openEndCount","findCloseLevel","dropInner","match$1","move","targetDepths","preferredTarget","defining","preferredTargetIndex","leftNodes","preferredDepth","openDepth","targetDepth","expand","startSteps","insertPoint","covered","InputRule","cutOff","stored","handleTextInput","compositionend","isInputRules","textBefore","transform","undoInputRule","undoable","toUndo","wrappingInputRule","joinPredicate","textblockTypeInputRule","toStringTag","13","VTooltip","defaultTemplate","defaultHtml","2","4","42","locals","43","RopeSequence","leafAppend","leafPrepend","appendInner","Append","sliceInner","getInner","forEachInner","forEachInvertedInner","elt","Leaf","flatten","leftLen","Branch","items","eventCount","popEvent","preserveItems","remap","remapping","remaining","addAfter","addTransform","histOptions","newItems","oldItems","lastItem","merged","cutPoint","DEPTH_OVERFLOW","mirrorPos","mirrorOffset","addMaps","rebased","rebasedTransform","rebasedCount","rebasedItems","newUntil","iRebased","newMaps","branch","emptyItemCount","compress","newItem","HistoryState","undone","prevRanges","prevTime","rangesFor","mapRanges","histTransaction","history","redo","mustPreserveItems","historyKey","newHist","historyState","cachedPreserveItems","cachedPreserveItemsPlugins","historyPreserveItems","closeHistoryKey","newGroupDelay","hist","historyTr","appended","newGroup","adjacent","isAdjacentTo","undo","undoDepth","redoDepth","Blockquote","paragraph","BulletList","list_item","CodeBlock","getDecorations","blocks","parseNodes","classes","properties","children","highlightAuto","decoration","CodeBlockHighlight","languages","registerLanguage","decorationSet","oldNodeName","newNodeName","oldNodes","newNodes","HardBreak","command","Heading","levels","HorizontalRule","IMAGE_INPUT_REGEX","Image","_match","alt","dataTransfer","files","images","preventDefault","coordinates","image","reader","FileReader","onload","readerEvent","readAsDataURL","ListItem","Enter","Tab","SuggestionsPlugin","_ref2$matcher","char","allowSpaces","startOfLine","_ref2$appendText","appendText","_ref2$suggestionClass","suggestionClass","_ref2$command","_command","_ref2$items","_ref2$onEnter","onEnter","_ref2$onChange","onChange","_ref2$onExit","onExit","_ref2$onKeyDown","onKeyDown","_ref2$onFilter","onFilter","searchItems","query","moved","started","handleStart","handleChange","handleExit","decorationNode","decorationId","virtualNode","clientWidth","clientHeight","_ref$char","_ref$allowSpaces","_ref$startOfLine","$position","escapedChar","textFrom","textTo","matchPrefix","_this$getState","editorState","_this$getState2","OrderedList","order","hasAttribute","TableNodes","tableGroup","cellContent","cellAttributes","background","getFromDOM","backgroundColor","setDOMAttr","_Mark","Bold","fontWeight","Code","Italic","Link","openOnClick","rel","HTMLAnchorElement","stopPropagation","Strike","Collaboration","getSendableSteps","debounce","sendable","onSendable","clientID","delay","_this2$editor","History","Placeholder","emptyEditorClass","emptyNodeClass","emptyNodeText","showOnlyWhenEditable","showOnlyCurrent","isEditorEmpty","hasAnchor","isNodeEmpty","ie_edge","ie_upto10","ie_11up","mac","ie","ie_version","documentMode","gecko","gecko_version","chrome","chrome_version","ios","android","webkit","documentElement","safari","vendor","webkit_version","domIndex","isEquivalentPosition","targetNode","targetOff","scanFor","atomElements","hasBlockDesc","contentEditable","pmViewDesc","selectionCollapsed","domSel","collapsed","isCollapsed","rangeCount","getRangeAt","keyEvent","keyCode","createEvent","initEvent","windowRect","getSide","clientRect","scrollRectIntoView","startDOM","scrollThreshold","someProp","scrollMargin","atTop","bounding","moveX","moveY","defaultView","scrollBy","startX","scrollLeft","startY","scrollTop","dX","dY","scrollStack","restoreScrollStack","dTop","preventScrollSupported","findOffsetInNode","coordsClosest","dxClosest","rowBot","rowTop","childIndex","dx","inRect","findOffsetInText","assign$1","root","caretPositionFromPoint","pos$1","offsetNode","caretRangeFromPoint","startContainer","startOffset","elementFromPoint","startI","targetKludge","box$1","outside","nearestDesc","posBefore","posAfter","posFromDOM","posFromCaret","posFromElement","posAtStart","border","rectBefore","rectAfter","flattenV","rect$1","flattenH","rect$2","target$1","rect$3","withFlushedState","viewState","activeElement","maybeRTL","cachedState","cachedDir","cachedResult","nearest","boxes","endOfTextblockVertical","getSelection","modify","oldRange","oldNode","focusNode","oldOff","focusOffset","oldBidiLevel","caretBidiLevel","domAfterPos","removeAllRanges","endOfTextblockHorizontal","ViewDesc","dirty","beforePosition","posAtEnd","contentLost","matchesWidget","matchesMark","matchesNode","matchesHack","parseRule","posBeforeChild","localPosFromDOM","domBefore","domAfter","desc$1","search$1","onlyNodes","getDesc","descAt","parseRange","fromOffset","toOffset","childBase","emptyChildAt","j$1","force","anchorDOM","headDOM","anchorNode","anchorOffset","domSelExtended","collapse","DOMException","ignoreMutation","markDirty","startInside","endInside","markParentsDirty","nothing","WidgetViewDesc","classList","ignoreSelection","CompositionViewDesc","textDOM","mut","oldValue","MarkViewDesc","custom","replaceNodes","NodeViewDesc","outerDeco","innerDeco","updateChildren","descObj","applyOuterDeco","CustomNodeViewDesc","TextViewDesc","reparseInView","sameOuterDeco","composition","localCompositionNode","updater","ViewTreeUpdater","deco","onWidget","onNode","forChild","decoIndex","restNode","parentIndex","widgets","compareSide","child$1","cutAt","i$3","iterDeco","insideNode","syncToMarks","placeWidget","findNodeMatch","updateNextNode","addTextblockHacks","destroyRest","protectLocalComposition","renderChildren","renderDescs","parentDOM","descs","childDOM","rm","oldCSS","listStyle","iosHacks","nearbyTextNode","textPos","childStart","findTextInFragment","compositionNodes","updateInner","updateOuterDeco","needsWrap","oldDOM","patchOuterDeco","computeOuterDeco","selectNode","deselectNode","removeAttribute","docViewDesc","pmIsDeco","inParent","BRHackViewDesc","OuterDecoLevel","noDeco","outerDOM","prevComputed","curComputed","curDOM","patchAttributes","prevList","curList","removeProperty","lockedNode","lock","preMatch","preMatched","preMatchOffset","moveSelectionBlock","$side","selectHorizontally","mods","nodePos","nodeLen","isIgnorable","skipIgnoredNodesLeft","moveNode","moveOffset","isBlockNode","setSelFocus","skipIgnoredNodesRight","domObserver","setCurSelection","selectVertically","beyond","stopNativeHorizontalDelete","nextNode","switchEditable","captureKeyDown","ctrlKey","metaKey","altKey","shiftKey","getMods","chromeDownArrowBug","selectionFromDOM","origin","inWidget","isOnEdge","selectionBetween","selectionToDOM","syncNodeSelection","hasFocus","hasSelection","disconnectSelection","cursorWrapper","img","disabled","selectCursorWrapper","resetEditableFrom","resetEditableTo","brokenSelectBetweenUneditable","temporarilyEditableNear","resetEditable","hideSelectionGuard","removeClassOnSelectionChange","connectSelection","getPreMatch","destroyBetween","maxKeep","markDesc","nextDOM","setEditable","wasDraggable","lastSelectedViewDesc","clearNodeSelection","readDOMChange","typeOver","addedNodes","lastSelectionTime","lastSelectionOrigin","newSel","tr$1","preferredPos","preferredSide","from_","to_","lastKeyCode","startDoc","editableContent","anchor$1","parseBetween","compare","lastKeyCodeTime","change","endA","endB","adjust","findDiff","sel$1","domChangeCount","nextSel","inlineChange","lastIOSEnter","old","$newStart","$newEnd","skipClosingAndOpening","$next","looksLikeJoin","suppressSelectionUpdates","markChange","$from1","chFrom","chTo","curMarks","prevMarks","isMarkChange","sel$2","parsedSel","fromEnd","mayOpen","serializeForClipboard","serializer","detachedDoc","wrapMap","parseFromClipboard","plainText","inCode","asText","metas","firstTag","readHTML","contextNode","sliceData","addContext","closeRange","closeSlice","lastWrap","inLast","addToSibling","sibling","withWrappers","closeRight","wrapped","normalizeSiblings","thead","tbody","caption","colgroup","col","td","th","_detachedDoc","implementation","createHTMLDocument","observeOptions","childList","characterData","characterDataOldValue","attributeOldValue","subtree","useCharData","SelectionState","DOMObserver","handleDOMChange","flushingSoon","MutationObserver","mutations","removedNodes","flushSoon","flush","currentSelection","onCharData","prevValue","onSelectionChange","suppressingSelectionUpdates","forceFlush","observe","take","takeRecords","disconnect","ignoreSelectionChange","container","commonAncestorContainer","result$1","registerMutation","brs","cssChecked","whiteSpace","checkCSS","attributeName","editHandlers","setSelectionOrigin","ensureListeners","currentHandlers","eventHandlers","runCustomHandler","defaultPrevented","eventCoords","runHandlerOnContext","propName","updateSelection","handleSingleClick","selectedNode","selectAt","selectClickedNode","selectClickedLeaf","handleDoubleClick","handleTripleClick","defaultTripleClick","forceDOMFlush","endComposition","keydown","inOrNearComposition","keyup","keypress","charCode","selectNodeModifier","mousedown","flushed","lastClick","click","dy","isNear","mouseDown","MouseDown","targetPos","allowDefault","mightDrag","targetDesc","addAttr","setUneditable","up","timeStamp","compositionEndedAt","touchdown","contextmenu","timeoutComposition","scheduleComposeEnd","composingTimeout","forceUpdate","compositionstart","compositionupdate","markCursor","brokenClipboardAPI","doPaste","singleNode","sliceSingleNode","clipboardData","clearData","setData","selectNodeContents","captureCopy","paste","getData","capturePaste","Dragging","dragCopyModifier","dragstart","dragenter","eventPos","$mouse","beforeInsert","beforeinput","inputType","compareObjs","WidgetType","noSpec","span","oldOffset","Decoration","InlineType","inclusiveStart","inclusiveEnd","is","DecorationSet","buildTree","predicate","findInner","childOff","mapInner","newLocal","onRemove","oldChildren","oldEnd","newEnd","dSize","mustRebuild","fromLocal","toLocal","childOffset","childNode","gather","mapAndGatherRemainingDecorations","from$1","byPos","mapChildren","addInner","baseOffset","takeSpansForNode","moveSpans","withoutNulls","removeInner","span$1","dec","localSet","DecorationGroup","removeOverlap","localsInner","members","spans","hasNulls","localStart","working","insertAhead","viewDecorations","sorted","EditorView","_root","mount","mounted","getEditable","updateCursorWrapper","buildNodeViews","computeDocDeco","bubbles","eventBelongsToView","initInput","pluginViews","updatePluginViews","contenteditable","selectionContext","updateStateInner","reconfigured","redraw","nA","nB","changedNodeViews","sel1","sel2","refDOM","refTop","newRefTop","scroll","scrollToSelection","updateDoc","updateSel","oldScrollPos","overflowAnchor","innerHeight","localRect","storeScrollPos","forceSelUpdate","selContext","newContext","needChromeSelectionReset","destroyPluginViews","pluginView","setActive","preventScroll","focusPreventScroll","domAtPos","destroyInput","dispatchEvent","markItem","nodeItem","getMarkRange","endPos","markIsActive","nodeEqualsType","nodeIsActive","prosemirrorState","prosemirrorModel","prosemirrorTables","replaceParentNodeOfType","findParentNodeOfType","newTr","replaceNodeAtPos","replaceSelectedNode","isNodeSelection","_tr$selection","cloneTr","setTextSelection","nextSelection","isSelectableNode","shouldSelectNode","safeInsert","tryToReplace","hasPosition","$insertPos","isEmptyParagraph","canInsert","_pos","_pos2","equalNodeType","removeNodeAtPos","tableNodeTypes","roles","tableRole","createCell","cellType","isRectSelected","TableMap","$anchorCell","cells","cellsInRect","selectedCells","rectBetween","$headCell","transpose","column","convertTableNodeToArrayOfRows","tableNode","rows","rowIndex","rowCells","colIndex","cellPos","cell","findCell","convertArrayOfRowsToTableNode","arrayOfNodes","rowsPM","row","newCell","moveRowInArrayOfRows","indexesOrigin","indexesTarget","directionOverride","rowsExtracted","positionOffset","checkInvalidMovements","originIndex","targetIndex","targets","errorMessage","findParentNode","findParentNodeClosestToPos","findParentDomRef","findDomRefAtPos","hasParentNode","findPositionOfNodeBefore","maybeSelection","TEXT_NODE","descend","findChildren","findChildrenByType","findTable","isCellSelection","CellSelection","isTableSelected","getCellsInColumn","columnIndex","acc","getCellsInRow","select","isRowSelection","findCellClosestToPos","selRect","cellsInFirstRow","cellsInLastRow","selectColumn","selectRow","cloneRowAt","tableNodes","rowPos","cloneRow","rowWidth","rowspan","colspan","rowSpanCells","_loop","foundCells","filterCellsInRow","setCellAttrs","removeColumnAt","removeTable","removeColumn","tableStart","removeRowAt","removeRow","findCellRectClosestToPos","findTableClosestToPos","getSelectionRangeInColumn","_loop2","maybeEndIndex","_loop3","indexes","maybeCells","firstSelectedColumnCells","firstRowCells","headCell","_i3","columnCells","getSelectionRangeInRow","_loop4","_loop5","firstSelectedRowCells","firstColumnCells","_i4","findParentNodeOfTypeClosestToPos","hasParentNodeOfType","findParentDomRefOfType","findSelectedNodeOfType","findTextNodes","findInlineNodes","findBlockNodes","findChildrenByAttr","findChildrenByMark","getSelectionRect","isColumnSelected","isRowSelected","getCellsInTable","selectTable","emptyCell","addColumnAt","addColumn","moveRow","originRowIndex","targetRowIndex","opts","tryToFit","indexesOriginRow","indexesTargetRow","newTable","moveTableRow","moveColumn","originColumnIndex","targetColumnIndex","indexesOriginColumn","indexesTargetColumn","moveTableColumn","addRowAt","clonePreviousRow","cloneRowIndex","addRow","removeSelectedColumns","pmTableRect","removeSelectedRows","removeColumnClosestToPos","removeRowClosestToPos","forEachCellInColumn","cellTransform","setCursorToLastCell","forEachCellInRow","createTable","rowsCount","colsCount","withHeaderRow","_tableNodeTypes","tableCell","tableHeader","header_cell","tableRow","headerCells","_i2","removeParentNodeOfType","removeSelectedNode","setParentNodeMarkup","selectParentNodeOfType","removeNodeBefore","wrapInList","listType","doJoin","outerRange","$insert","joinBefore","splitDepth","splitPos","doWrapInList","splitListItem","itemType","grandParent","keepItem","liftListItem","endOfList","liftToOuterList","indexBefore","liftOutOfList","sinkListItem","nestedBefore","markInputRule","markEnd","markStart","matchStart","matchEnd","textStart","textEnd","getMarksBetween","nodeInputRule","pasteRule","transformPasted","markPasteRule","isLink","splitToDefaultListItem","_tr","_index","toggleBlockType","toggletype","isList","bullet_list","ordered_list","todo_list","toggleList","parentList","toggleWrap","updateMark","Rebaseable","CollabState","unconfirmed","collabKey","collab","unconfirmedFrom","receiveTransaction","clientIDs","collabState","ourID","ours","nUnconfirmed","over","rebaseSteps","newCollabState","mapSelectionBackward","sendableSteps","_origins","Ruler","__rules__","__cache__","__find__","__compile__","chains","enabled","altName","at","opt","beforeName","ruleName","afterName","enable","ignoreInvalid","idx","enableOnly","disable","getRules","chainName","Token","nesting","markup","info","hidden","attrIndex","attrPush","attrData","attrSet","attrGet","attrJoin","8","9","10","12","16","17","18","20","27","32","33","34","35","36","37","38","39","40","44","45","46","59","61","91","92","106","107","108","109","110","111","144","145","160","161","162","163","164","165","173","186","187","188","189","190","191","192","219","220","221","222","229","48","49","50","51","52","53","54","55","56","57","brokenModifierNames","normalizeKeyName","ctrl","mod","modifiers","keymap","bindings","keydownHandler","baseName","keyName","isChar","direct","fromCode","withShift","matchHtmlRegExp","webpackPolyfill","paths","moment","symbolMap","numberMap","pluralForm","plurals","pluralize","weekdaysParseExact","suffixes","5","70","80","7","3","100","6","30","60","90","relativeTimeWithPlural","forms","standalone","lastDigit","last2Digits","relativeTimeWithMutation","mutationTable","softMutation","lastNumber","translate","monthsParseExact","ll","lll","llll","plural","monthsStrictRegex","monthsShortStrictRegex","longMonthsParse","shortMonthsParse","processRelativeTime","monthsNominativeEl","monthsGenitiveEl","momentToFormat","_monthsGenitiveEl","_monthsNominativeEl","calendarEl","_calendarEl","monthsShortDot","numbersPast","numbersFuture","verbalNumber","monthsShortWithDots","monthsShortWithoutDots","weekEndings","0","isUpper","eifelerRegelAppliesToNumber","translateSingular","special","relativeTimeWithSingular","translator","words","correctGrammaticalCase","wordKey","relativeTimeMr","monthsNominative","monthsSubjective","numbersNouns","numberNoun","hundred","ten","numberAsNoun","processHoursFunction","readFromCache","addToCache","cache$1","cachePos","Rect","problems","freshColWidth","colwidth","colCount","nextCell","leftA","rightA","topA","bottomA","leftB","rightB","topB","bottomB","positionAt","rowStart","rowEnd","rowEndIndex","hasRowSpan","rowNode","prevRow","cell$1","findWidth","mapPos","colWidths","cellNode","colW","widthIndex","expectedPos","missing","tableMap","badWidths","colWidth","findBadColWidths","computeMap","cellAround","isInTable","selectionCell","role","role$1","cellNear","pointsAtCell","moveCellForward","inSameTable","$a","$b","setAttr","rmColSpan","addColSpan","tableChanged","rowSelection","isColSelection","colSelection","rowContent","cellRect","extraLeft","extraRight","attrs$1","forEachCell","anchorTop","headTop","anchorBot","headBot","anchorRect","headRect","anchorLeft","headLeft","anchorRight","headRight","anchorCell","CellBookmark","drawCellSelection","getCellAttrs","extraAttrs","widthAttr","widths","cellAttrs","table_row","table_cell","table_header","pastedCells","fitSlice","r$1","r$2","ensureRectangular","clipCells","newWidth","newHeight","newRows","newRows$1","row$1","cells$1","isolateHorizontal","cellTop","cellLeft","isolateVertical","updatePos","insertCells","recomp","emptyHead","start$1","emptyRow","growTable","shiftArrow","deleteCellSelection","maybeSetSelection","atEndOfCell","$cell","baseContent","handleMouseDown","startEvent","startDOMCell","domInCell","setCellSelection","cellUnderMouse","starting","mousePos","fixTablesKey","fixTables","tablePos","mustAdd","prob","cell$2","tableNodeType","fixTable","changedDescendants","curSize","selectedRect","refColumn","headerCell","columnIsHeader","addColumnBefore","addColumnAfter","mapStart","deleteColumn","rowIsHeader","refRow","addRowBefore","addRowAfter","nextRow","newPos","deleteRow","isEmpty","mergeCells","indexTop","indexLeft","indexBottom","indexRight","cellsOverlapRectangle","mergedPos","mergedCell","splitCell","splitCellWithType","getCellType","cellWrapping","baseAttrs","lastCell","setCellAttr","isHeaderEnabledByType","cellPositions","toggleHeader","useDeprecatedLogic","deprecated_toggleHeader","isHeaderRowEnabled","isHeaderColumnEnabled","selectionStartsAt","cellsRect","newType","relativeCellPos","toggleHeaderRow","toggleHeaderColumn","toggleHeaderCell","goToNextCell","rowNode$1","findNextCell","deleteTable","TableView","cellMinWidth","updateColumns","overrideCol","overrideValue","totalWidth","fixedWidth","hasWidth","cssWidth","minWidth","record","key$1","columnResizing","handleWidth","View","lastColumnResizable","ResizeState","activeHandle","mousemove","pluginState","domCellAround","edgeCell","updateHandle","handleMouseMove","mouseleave","handleMouseLeave","domWidth","offsetWidth","currentColWidth","mapIndex","zeroes","updateColumnWidth","draggedWidth","setDragging","which","dragged","displayColumnWidth","startWidth","handleMouseDown$1","handleDecorations","setHandle","tableEditing","allowTableNodeSelection","afterFrom","beforeTo","isCellBoundarySelection","fromCellBoundaryNode","toCellBoundaryNode","node$1","isTextSelectionAcrossCells","normalizeSelection","action","decode","open_tag","close_tag","HTML_TAG_RE","HTML_OPEN_CLOSE_TAG_RE","tokenize","scanned","scanDelims","delimiters","jump","can_open","can_close","postProcess","startDelim","endDelim","loneMarkers","isStrong","charset","Language","translations","msgid","comments","msgstr","reference","addTranslations","setLocale","gettext","high","fault","High","low","highlight","language","coreHighlight","autoHighlight","syntax","rawDefinition","languageNames","registerAlias","listLanguages","getLanguage","inherit","own","commonKeywords","secondBest","settings","subset","relevance","continuation","currentChildren","lastMatch","continuations","modeBuffer","compileMode","compiled","keywords","beginKeywords","caseInsensitive","pair","compileKeywords","lexemesRe","langRe","lexemes","begin","beginRe","endSameAsBegin","endsWithParent","endRe","illegal","illegalRe","contained","expandOrCloneMode","compileContains","starts","terminators","expression","indices","matchAt","addRule","backreferenceRe","joinRe","terminator_end","buildModeRegex","compileLanguage","processLexeme","addText","doBeginMatch","lexeme","newMode","escapeRe","excludeBegin","addSiblings","processBuffer","returnBegin","startNewMode","doEndMatch","matchPlusRemainder","endMode","endOfMode","endsParent","returnEnd","excludeEnd","subLanguage","textBeforeMatch","processed","subvalue","explicit","buildText","processSubLanguage","keyword","submatch","keywordMatch","processKeywords","siblings","tail","contents","noPrefix","variants","cached_variants","dependencyOnParent","globalObject","hljs","ArrayProto","noHighlightRe","languagePrefixRe","fixMarkupRe","classPrefix","tabReplace","useBR","COMMON_KEYWORDS","isNotHighlighted","objects","nodeStream","_nodeStream","expand_or_clone_mode","variant","scoreForKeyword","providedScore","reStr","case_insensitive","matcherRe","matchIndexes","reCountMatchGroups","regexps","numCaptures","rawKeywords","compiled_keywords","splitAndCompile","ignore_illegals","match_str","buildSpan","classname","insideSpan","leaveOpen","openSpan","mode_buffer","keyword_match","last_index","new_mode","end_mode","testRe","text_before_match","languageSubset","second_best","autoDetection","fixMarkup","highlightBlock","originalStream","resultNode","blockLanguage","original","highlighted","nodeStack","selectStream","mergeStreams","prevClassName","currentLang","resultLang","buildClassName","initHighlighting","querySelectorAll","disableAutodetect","configure","user_options","initHighlightingOnLoad","IDENT_RE","UNDERSCORE_IDENT_RE","NUMBER_RE","C_NUMBER_RE","BINARY_NUMBER_RE","RE_STARTERS_RE","BACKSLASH_ESCAPE","APOS_STRING_MODE","QUOTE_STRING_MODE","PHRASAL_WORDS_MODE","COMMENT","inherits","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","HASH_COMMENT_MODE","NUMBER_MODE","C_NUMBER_MODE","BINARY_NUMBER_MODE","CSS_NUMBER_MODE","REGEXP_MODE","TITLE_MODE","UNDERSCORE_TITLE_MODE","METHOD_GUARD","formatter","EConstructor","FormattedError","eval","EvalError","uri","URIError","fmt","precision","argIndex","leadingZero","nextArg","slurpNumber","digits","vsprintf","replacements","log","printf","normalizeArray","allowAboveRoot","xs","resolvedPath","resolvedAbsolute","isAbsolute","trailingSlash","relative","fromParts","toParts","samePartsLength","outputParts","sep","delimiter","dirname","hasRoot","matchedSlash","basename","ext","extname","startDot","startPart","preDotState","helpers","Renderer","ParserCore","ParserBlock","ParserInline","LinkifyIt","punycode","zero","commonmark","BAD_PROTO_RE","GOOD_DATA_RE","validateLink","RECODE_HOSTNAME_FOR","normalizeLink","toASCII","normalizeLinkText","toUnicode","MarkdownIt","presetName","core","renderer","linkify","presets","components","ruler","rules2","ruler2","missed","State","parseInline","inlineMode","renderInline","encodeCache","exclude","keepEscaped","nextCode","defaultChars","getEncodeCache","componentChars","decodeCache","getDecodeCache","seq","b1","b2","b3","b4","slashes","Url","protocolPattern","portPattern","simplePathPattern","unwise","autoEscape","nonHostChars","hostEndingChars","hostnamePartPattern","hostnamePartStart","hostlessProtocol","slashedProtocol","slashesDenoteHost","lowerProto","hec","simplePath","atSign","hostEnd","parseHost","ipv6Hostname","hostparts","newpart","validParts","notHost","bit","qm","Any","Cc","Cf","Z","parseLinkLabel","parseLinkDestination","parseLinkTitle","disableNested","prevPos","labelEnd","posMax","oldPos","md","skipToken","lines","default_rules","code_inline","slf","renderAttrs","code_block","fence","tmpAttrs","tmpToken","langName","langPrefix","renderInlineAsText","renderToken","hardbreak","xhtmlOut","softbreak","breaks","html_block","html_inline","nextToken","needLf","_rules","Core","NEWLINES_RE","NULL_RE","isLinkClose","currentToken","ln","lastPos","htmlLinkLevel","fullUrl","urlText","links","blockTokens","pretest","RARE_RE","SCOPED_ABBR_TEST_RE","SCOPED_ABBR_RE","SCOPED_ABBR","tm","replaceFn","replace_scoped","inlineTokens","inside_autolink","replace_rare","blkIdx","typographer","QUOTE_TEST_RE","QUOTE_RE","replaceAt","process_inlines","thisLevel","lastChar","nextChar","isLastPunctChar","isNextPunctChar","isLastWhiteSpace","isNextWhiteSpace","canOpen","canClose","isSingle","openQuote","closeQuote","OUTER","single","quotes","StateCore","startLine","endLine","hasEmptyLines","maxNesting","skipEmptyLines","sCount","blkIndent","tight","outTokens","lineMax","getLine","bMarks","eMarks","escapedSplit","escapes","backTicked","lastBackTick","lineText","nextLine","columns","columnCount","aligns","tableLines","tbodyLines","tShift","getLines","mem","haveEndMarker","skipChars","skipSpaces","adjustTab","initial","lastLineEmpty","oldBMarks","oldBSCount","oldIndent","oldParentType","oldSCount","oldTShift","spaceAfterMarker","terminate","terminatorRules","wasOutdented","oldLineMax","bsCount","cnt","skipBulletListMarker","skipOrderedListMarker","contentStart","indent","indentAfterMarker","isOrdered","itemLines","listLines","listTokIdx","markerCharCode","markerValue","oldLIndent","oldTight","posAfterMarker","prevEmptyEnd","isTerminatingParagraph","markTightParagraphs","_endLine","destEndPos","destEndLineNo","label","references","skipSpacesBack","skipCharsBack","block_names","HTML_SEQUENCES","StateBlock","indent_found","ddIndent","keepLastLF","lineIndent","lineStart","_rules2","pushPending","isTerminatorChar","pmax","ESCAPED","labelStart","parseReference","EMAIL_RE","AUTOLINK_RE","linkMatch","emailMatch","lc","isLetter","DIGITAL_RE","NAMED_RE","lastDelim","currDelim","curr","StateInline","pendingLevel","canSplitWord","left_flanking","right_flanking","fuzzyLink","fuzzyEmail","fuzzyIP","defaultSchemas","validate","http","src_auth","src_host_port_strict","src_path","no_http","src_domain","src_domain_root","src_port","src_host_terminator","mailto","src_email_name","src_host_strict","tlds_default","__opts__","tlds","__tlds__","untpl","tpl","src_tlds","onCompile","__tlds_replaced__","src_xn","email_fuzzy","tpl_email_fuzzy","link_fuzzy","tpl_link_fuzzy","link_no_ip_fuzzy","tpl_link_no_ip_fuzzy","host_fuzzy_test","tpl_host_fuzzy_test","schemaError","__compiled__","__schemas__","isRegExp","createValidator","slist","schema_test","src_ZPCc","schema_search","__index__","__text_cache__","resetScanCache","Match","__last_index__","__schema__","createMatch","schemas","definition","ml","me","tld_pos","testSchemaAt","keepOld","src_Any","src_Cc","src_Z","src_P","src_ZCc","src_pseudo_letter","src_ip4","src_host","tpl_host_fuzzy","tpl_host_no_ip_fuzzy","tpl_host_fuzzy_strict","tpl_host_port_fuzzy_strict","tpl_host_port_no_ip_fuzzy_strict","freeGlobal","maxInt","regexPunycode","regexNonASCII","regexSeparators","errors","stringFromCharCode","mapDomain","ucs2decode","counter","ucs2encode","digitToBasic","digit","flag","adapt","delta","numPoints","baseMinusTMin","basic","oldi","baseMinusT","codePoint","inputLength","handledCPCount","basicLength","q","currentValue","handledCPCountPlusOne","qMinusT","disableCheckboxes","useLabelWrapper","useLabelAfter","parentToken","targetLevel","isTodoItem","isParagraph","isListItem","startsWithTodoMarkdown","todoify","TokenConstructor","checkbox","disabledAttr","makeCheckbox","for","afterLabel","beginLabel","endLabel","labelAfter","scope","require","revocable","ProxyPolyfill","lastRevokeFn","throwRevoked","trap","unsafeHandler","proxy","isMethod","usingNew","propertyNames","propertyMap","prototypeOk","seal","horizontal_rule","heading","hard_break","em","strong","MarkdownParseState","tokenHandlers","noOpenClose","withoutTrailingNewline","noOp","maybeMerge","openMark","closeMark","parseTokens","toks","openNode","closeNode","MarkdownParser","tokenizer","nodeType$1","MarkdownSerializer","serialize","MarkdownSerializerState","renderContent","defaultMarkdownSerializer","wrapBlock","ensureNewLine","closeBlock","repeat","renderList","bullet","maxW","nStr","esc","quote","mixable","expelEnclosingWhitespace","_state","isPlainURL","_mark","backticksFor","ticks","delim","closed","inTightList","tightLists","flushClose","atBlank","delimMin","firstDelim","trailing","progress","leading","lead","inner$1","trail","noEsc","markString","isTight","prevTight","getEnclosingWhitespace"],"mappings":"iFAAAA,EAAOC,QAAU,SAAUC,GACzB,IACE,QAASA,IACT,MAAOC,GACP,OAAO,K,gBCJX,IAAIC,EAAS,EAAQ,GACjBC,EAAS,EAAQ,IACjBC,EAAM,EAAQ,GACdC,EAAM,EAAQ,IACdC,EAAgB,EAAQ,IACxBC,EAAoB,EAAQ,KAE5BC,EAAwBL,EAAO,OAC/BM,EAASP,EAAOO,OAChBC,EAAwBH,EAAoBE,EAASA,GAAUA,EAAOE,eAAiBN,EAE3FP,EAAOC,QAAU,SAAUa,GAIvB,OAHGR,EAAII,EAAuBI,KAC1BN,GAAiBF,EAAIK,EAAQG,GAAOJ,EAAsBI,GAAQH,EAAOG,GACxEJ,EAAsBI,GAAQF,EAAsB,UAAYE,IAC9DJ,EAAsBI,K,iBCfjC,8BACE,OAAOC,GAAMA,EAAGC,MAAQA,MAAQD,GAIlCf,EAAOC,QAELgB,EAA2B,iBAAdC,YAA0BA,aACvCD,EAAuB,iBAAVE,QAAsBA,SACnCF,EAAqB,iBAARG,MAAoBA,OACjCH,EAAuB,iBAAVb,GAAsBA,IAEnCiB,SAAS,cAATA,K,+CCVF,IAAIC,EAAO,EAAQ,IAMfC,EAAWC,OAAOC,UAAUF,SAQhC,SAASG,EAAQC,GACf,MAA8B,mBAAvBJ,EAASK,KAAKD,GASvB,SAASE,EAAYF,GACnB,YAAsB,IAARA,EA4EhB,SAASG,EAASH,GAChB,OAAe,OAARA,GAA+B,iBAARA,EAuChC,SAASI,EAAWJ,GAClB,MAA8B,sBAAvBJ,EAASK,KAAKD,GAwEvB,SAASK,EAAQC,EAAKC,GAEpB,GAAID,QAUJ,GALmB,iBAARA,IAETA,EAAM,CAACA,IAGLP,EAAQO,GAEV,IAAK,IAAIE,EAAI,EAAGC,EAAIH,EAAII,OAAQF,EAAIC,EAAGD,IACrCD,EAAGN,KAAK,KAAMK,EAAIE,GAAIA,EAAGF,QAI3B,IAAK,IAAIK,KAAOL,EACVT,OAAOC,UAAUc,eAAeX,KAAKK,EAAKK,IAC5CJ,EAAGN,KAAK,KAAMK,EAAIK,GAAMA,EAAKL,GAoFrCjC,EAAOC,QAAU,CACfyB,QAASA,EACTc,cApRF,SAAuBb,GACrB,MAA8B,yBAAvBJ,EAASK,KAAKD,IAoRrBc,SAhSF,SAAkBd,GAChB,OAAe,OAARA,IAAiBE,EAAYF,IAA4B,OAApBA,EAAIe,cAAyBb,EAAYF,EAAIe,cAChD,mBAA7Bf,EAAIe,YAAYD,UAA2Bd,EAAIe,YAAYD,SAASd,IA+RhFgB,WA5QF,SAAoBhB,GAClB,MAA4B,oBAAbiB,UAA8BjB,aAAeiB,UA4Q5DC,kBAnQF,SAA2BlB,GAOzB,MAL4B,oBAAhBmB,aAAiCA,YAAkB,OACpDA,YAAYC,OAAOpB,GAEnB,GAAUA,EAAU,QAAMA,EAAIqB,kBAAkBF,aA+P3DG,SApPF,SAAkBtB,GAChB,MAAsB,iBAARA,GAoPduB,SA3OF,SAAkBvB,GAChB,MAAsB,iBAARA,GA2OdG,SAAUA,EACVD,YAAaA,EACbsB,OA1NF,SAAgBxB,GACd,MAA8B,kBAAvBJ,EAASK,KAAKD,IA0NrByB,OAjNF,SAAgBzB,GACd,MAA8B,kBAAvBJ,EAASK,KAAKD,IAiNrB0B,OAxMF,SAAgB1B,GACd,MAA8B,kBAAvBJ,EAASK,KAAKD,IAwMrBI,WAAYA,EACZuB,SAtLF,SAAkB3B,GAChB,OAAOG,EAASH,IAAQI,EAAWJ,EAAI4B,OAsLvCC,kBA7KF,SAA2B7B,GACzB,MAAkC,oBAApB8B,iBAAmC9B,aAAe8B,iBA6KhEC,qBAjJF,WACE,OAAyB,oBAAdC,WAAoD,gBAAtBA,UAAUC,SACY,iBAAtBD,UAAUC,SACY,OAAtBD,UAAUC,WAI/B,oBAAXzC,QACa,oBAAb0C,WA0IT7B,QAASA,EACT8B,MA/EF,SAASA,IACP,IAAIC,EAAS,GACb,SAASC,EAAYrC,EAAKW,GACG,iBAAhByB,EAAOzB,IAAoC,iBAARX,EAC5CoC,EAAOzB,GAAOwB,EAAMC,EAAOzB,GAAMX,GAEjCoC,EAAOzB,GAAOX,EAIlB,IAAK,IAAIQ,EAAI,EAAGC,EAAI6B,UAAU5B,OAAQF,EAAIC,EAAGD,IAC3CH,EAAQiC,UAAU9B,GAAI6B,GAExB,OAAOD,GAmEPG,UAxDF,SAASA,IACP,IAAIH,EAAS,GACb,SAASC,EAAYrC,EAAKW,GACG,iBAAhByB,EAAOzB,IAAoC,iBAARX,EAC5CoC,EAAOzB,GAAO4B,EAAUH,EAAOzB,GAAMX,GAErCoC,EAAOzB,GADiB,iBAARX,EACFuC,EAAU,GAAIvC,GAEdA,EAIlB,IAAK,IAAIQ,EAAI,EAAGC,EAAI6B,UAAU5B,OAAQF,EAAIC,EAAGD,IAC3CH,EAAQiC,UAAU9B,GAAI6B,GAExB,OAAOD,GA0CPI,OA/BF,SAAgBC,EAAGC,EAAGC,GAQpB,OAPAtC,EAAQqC,GAAG,SAAqB1C,EAAKW,GAEjC8B,EAAE9B,GADAgC,GAA0B,mBAAR3C,EACXL,EAAKK,EAAK2C,GAEV3C,KAGNyC,GAwBPG,KAzKF,SAAcC,GACZ,OAAOA,EAAIC,QAAQ,OAAQ,IAAIA,QAAQ,OAAQ,O,cC9KjD,IAAIlC,EAAiB,GAAGA,eAExBvC,EAAOC,QAAU,SAAUc,EAAIuB,GAC7B,OAAOC,EAAeX,KAAKb,EAAIuB,K,gBCHjC,IAAIR,EAAW,EAAQ,GAEvB9B,EAAOC,QAAU,SAAUc,GACzB,IAAKe,EAASf,GACZ,MAAM2D,UAAUC,OAAO5D,GAAM,qBAC7B,OAAOA,I,cCLXf,EAAOC,QAAU,SAAUc,GACzB,MAAqB,iBAAPA,EAAyB,OAAPA,EAA4B,mBAAPA,I,gBCDvD,IAAI6D,EAAQ,EAAQ,GAGpB5E,EAAOC,SAAW2E,GAAM,WACtB,OAA8E,GAAvEpD,OAAOqD,eAAe,GAAI,EAAG,CAAEC,IAAK,WAAc,OAAO,KAAQ,O,gBCJ1E,IAAIC,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,IACzBC,EAAW,EAAQ,GACnBC,EAAc,EAAQ,IAEtBC,EAAuB3D,OAAOqD,eAIlC5E,EAAQmF,EAAIL,EAAcI,EAAuB,SAAwBE,EAAGC,EAAGC,GAI7E,GAHAN,EAASI,GACTC,EAAIJ,EAAYI,GAAG,GACnBL,EAASM,GACLP,EAAgB,IAClB,OAAOG,EAAqBE,EAAGC,EAAGC,GAClC,MAAOpF,IACT,GAAI,QAASoF,GAAc,QAASA,EAAY,MAAMb,UAAU,2BAEhE,MADI,UAAWa,IAAYF,EAAEC,GAAKC,EAAWC,OACtCH,I,gBClBT,IAAIN,EAAc,EAAQ,GACtBU,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC1F,EAAOC,QAAU8E,EAAc,SAAUY,EAAQrD,EAAKkD,GACpD,OAAOC,EAAqBL,EAAEO,EAAQrD,EAAKoD,EAAyB,EAAGF,KACrE,SAAUG,EAAQrD,EAAKkD,GAEzB,OADAG,EAAOrD,GAAOkD,EACPG,I,gBCRT,IAAIvF,EAAS,EAAQ,GACjBwF,EAA2B,EAAQ,IAAmDR,EACtFS,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBC,EAAY,EAAQ,IACpBC,EAA4B,EAAQ,KACpCC,EAAW,EAAQ,IAgBvBjG,EAAOC,QAAU,SAAUiG,EAASC,GAClC,IAGYC,EAAQ9D,EAAK+D,EAAgBC,EAAgBC,EAHrDC,EAASN,EAAQE,OACjBK,EAASP,EAAQ9F,OACjBsG,EAASR,EAAQS,KASrB,GANEP,EADEK,EACOrG,EACAsG,EACAtG,EAAOoG,IAAWT,EAAUS,EAAQ,KAEnCpG,EAAOoG,IAAW,IAAI/E,UAEtB,IAAKa,KAAO6D,EAAQ,CAQ9B,GAPAG,EAAiBH,EAAO7D,GAGtB+D,EAFEH,EAAQU,aACVL,EAAaX,EAAyBQ,EAAQ9D,KACfiE,EAAWf,MACpBY,EAAO9D,IACtB2D,EAASQ,EAASnE,EAAMkE,GAAUE,EAAS,IAAM,KAAOpE,EAAK4D,EAAQW,cAE5CC,IAAnBT,EAA8B,CAC3C,UAAWC,UAA0BD,EAAgB,SACrDL,EAA0BM,EAAgBD,IAGxCH,EAAQa,MAASV,GAAkBA,EAAeU,OACpDlB,EAA4BS,EAAgB,QAAQ,GAGtDR,EAASM,EAAQ9D,EAAKgE,EAAgBJ,M,6BCjD1C,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAER1E,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQ+G,WAAa/G,EAAQgH,iBAAmBhH,EAAQiH,UAAYjH,EAAQkH,YAAclH,EAAQmH,eAAiBnH,EAAQoH,kBAAoBpH,EAAQqH,YAAS,EAsBhKrH,EAAQqH,OAXK,SAAgBC,EAAKC,GAChC,OAAOP,EAAiBM,EAAK,GAAIC,IAkCnCvH,EAAQoH,kBAZgB,SAA2BI,GACjD,OAAOtG,OAAOuG,SAASC,SAAW,KAAOxG,OAAOuG,SAASE,KAXpC,SAA0BH,GAC/C,OAAOT,IAAe,eAAiBS,EAUyBI,CAAiBJ,IAkBnFxH,EAAQmH,eALa,SAAwBK,EAASK,GAEpD,OADAA,EAAsB,IAAZA,EAAgB,EAAI,EACvB3G,OAAOuG,SAASC,SAAW,KAAOxG,OAAOuG,SAASE,KAAOZ,IAAe,SAAWc,EAAU,QAAUL,EAAU,KAoD1HxH,EAAQkH,YAxCU,SAAqBY,EAAKC,EAAQ9B,GAClD,IAAI+B,EAAazG,OAAO0G,OAAO,CAC7BC,QAAQ,EACRC,WAAW,GACVlC,GAAW,IAEVmC,EAAS,SAAgBC,EAAMC,GAEjC,OADAA,EAAOA,GAAQ,GACRD,EAAK7D,QAAQ,eAAe,SAAUL,EAAGC,GAC9C,IAAImE,EAAID,EAAKlE,GAEb,OAAI4D,EAAWE,OACO,iBAANK,GAA+B,iBAANA,EAAiBC,mBAAmBD,EAAEjH,YAAckH,mBAAmBrE,GAE1F,iBAANoE,GAA+B,iBAANA,EAAiBA,EAAEjH,WAAa6C,MAS7E,MAJsB,MAAlB2D,EAAIW,OAAO,KACbX,EAAM,IAAMA,IAGsB,IAAhCY,GAAGC,OAAOC,mBAA+BZ,EAAWG,UAIjDpB,IAAe,aAAeqB,EAAON,EAAKC,GAAU,IAHlDhB,IAAeqB,EAAON,EAAKC,GAAU,KAoChD/H,EAAQiH,UAlBQ,SAAmBK,EAAKC,GACtC,OAA2B,IAAvBA,EAAKsB,QAAQ,KAER7B,EAAiBM,EAAK,MAAOC,EAAO,QAGtCP,EAAiBM,EAAK,MAAOC,IActC,IAAIP,EAAmB,SAA0BM,EAAKwB,EAAMvB,GAC1D,IAAIwB,GAAuC,IAA9BL,GAAGM,SAASH,QAAQvB,GAC7B2B,EAAOlC,IAiDX,MA/CwC,QAApCQ,EAAK2B,UAAU3B,EAAKnF,OAAS,IAAiB2G,EAYH,QAApCxB,EAAK2B,UAAU3B,EAAKnF,OAAS,IAAiB2G,GAgBrDE,GAHW,aAAR3B,GAA8B,SAARA,GAA0B,WAARA,GAA8B,SAATwB,EAGxD,IAFA,cAKLC,IACHE,GAAQ,SAGE,KAAR3B,IAEF2B,GADA3B,GAAO,KAILwB,IACFG,GAAQH,EAAO,KAGjBG,GAAQ1B,IA/BR0B,EAAOP,GAAGS,aAAa7B,GAEnBwB,IACFG,GAAQ,IAAMH,EAAO,KAGiB,MAApCG,EAAKC,UAAUD,EAAK7G,OAAS,KAC/B6G,GAAQ,KAGVA,GAAQ1B,IAtBR0B,GAAQ,mBAAqB3B,EAEhB,cAATC,IACF0B,GAAQ,IAEJH,IACFG,GAAQG,UAAUN,EAAO,MAG3BG,GAAQ1B,IAqCL0B,GAWTjJ,EAAQgH,iBAAmBA,EAE3B,IAAID,EAAa,WACf,OAAO2B,GAAGW,SAGZrJ,EAAQ+G,WAAaA,G,gBCjNrB,IAAI5G,EAAS,EAAQ,GACjByF,EAA8B,EAAQ,GACtCvF,EAAM,EAAQ,GACdyF,EAAY,EAAQ,IACpBwD,EAAgB,EAAQ,IACxBC,EAAsB,EAAQ,IAE9BC,EAAmBD,EAAoB1E,IACvC4E,EAAuBF,EAAoBG,QAC3CC,EAAWjF,OAAOA,QAAQkF,MAAM,WAEnC7J,EAAOC,QAAU,SAAUoF,EAAG/C,EAAKkD,EAAOU,GACzC,IAAI4D,IAAS5D,KAAYA,EAAQ4D,OAC7BC,IAAS7D,KAAYA,EAAQ8D,WAC7BpD,IAAcV,KAAYA,EAAQU,YAClB,mBAATpB,IACS,iBAAPlD,GAAoBhC,EAAIkF,EAAO,SAASK,EAA4BL,EAAO,OAAQlD,GAC9FoH,EAAqBlE,GAAOW,OAASyD,EAASK,KAAmB,iBAAP3H,EAAkBA,EAAM,KAEhF+C,IAAMjF,GAIE0J,GAEAlD,GAAevB,EAAE/C,KAC3ByH,GAAS,UAFF1E,EAAE/C,GAIPyH,EAAQ1E,EAAE/C,GAAOkD,EAChBK,EAA4BR,EAAG/C,EAAKkD,IATnCuE,EAAQ1E,EAAE/C,GAAOkD,EAChBO,EAAUzD,EAAKkD,KAUrBnE,SAASI,UAAW,YAAY,WACjC,MAAsB,mBAARyI,MAAsBT,EAAiBS,MAAM/D,QAAUoD,EAAcW,U,8BC9BrF1I,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQkK,UA8BR,SAAmBrJ,EAAMsJ,GACvBC,EAAIF,UAAUrJ,EAAMsJ,IA9BtBnK,EAAQqK,YA0CR,SAAqBxJ,EAAMsJ,GACzBC,EAAIC,YAAYxJ,EAAMsJ,IA1CxBnK,EAAQsK,KAoDR,SAAczJ,EAAM0J,GAClBH,EAAIE,KAAKzJ,EAAM0J,IAnDjB,IAAIC,EAAY,EAAQ,KAEpBC,EAAa,EAAQ,KAgBzB,IAAIL,QAbuB,IAAdlJ,OAAOwH,IAAsBxH,OAAOwH,GAAGgC,gBAA6C,IAAzBxJ,OAAOyJ,gBAC3EC,QAAQC,KAAK,sEACb3J,OAAOyJ,cAAgBzJ,OAAOwH,GAAGgC,gBAIC,IAAzBxJ,OAAOyJ,cACT,IAAIH,EAAUM,SAAS5J,OAAOyJ,eAE9BzJ,OAAOyJ,cAAgB,IAAIF,EAAWM,Y,gBCvBjD,IAAIC,EAAY,EAAQ,IAEpBC,EAAMlK,KAAKkK,IAIflL,EAAOC,QAAU,SAAUkL,GACzB,OAAOA,EAAW,EAAID,EAAID,EAAUE,GAAW,kBAAoB,I,gBCPrE,IAAIC,EAAyB,EAAQ,IAIrCpL,EAAOC,QAAU,SAAUkL,GACzB,OAAO3J,OAAO4J,EAAuBD,M,cCLvCnL,EAAOC,QAAU,I,6BCMF,SAASoL,EACtBC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,GAGA,IAqBIC,EArBA5F,EAAmC,mBAAlBoF,EACjBA,EAAcpF,QACdoF,EAsDJ,GAnDIC,IACFrF,EAAQqF,OAASA,EACjBrF,EAAQsF,gBAAkBA,EAC1BtF,EAAQ6F,WAAY,GAIlBN,IACFvF,EAAQ8F,YAAa,GAInBL,IACFzF,EAAQ+F,SAAW,UAAYN,GAI7BC,GACFE,EAAO,SAAUI,IAEfA,EACEA,GACChC,KAAKiC,QAAUjC,KAAKiC,OAAOC,YAC3BlC,KAAKmC,QAAUnC,KAAKmC,OAAOF,QAAUjC,KAAKmC,OAAOF,OAAOC,aAEZ,oBAAxBE,sBACrBJ,EAAUI,qBAGRZ,GACFA,EAAa9J,KAAKsI,KAAMgC,GAGtBA,GAAWA,EAAQK,uBACrBL,EAAQK,sBAAsBC,IAAIZ,IAKtC1F,EAAQuG,aAAeX,GACdJ,IACTI,EAAOD,EACH,WACAH,EAAa9J,KACXsI,MACChE,EAAQ8F,WAAa9B,KAAKmC,OAASnC,MAAMwC,MAAMC,SAASC,aAG3DlB,GAGFI,EACF,GAAI5F,EAAQ8F,WAAY,CAGtB9F,EAAQ2G,cAAgBf,EAExB,IAAIgB,EAAiB5G,EAAQqF,OAC7BrF,EAAQqF,OAAS,SAAmCwB,EAAGb,GAErD,OADAJ,EAAKlK,KAAKsK,GACHY,EAAeC,EAAGb,QAEtB,CAEL,IAAIc,EAAW9G,EAAQ+G,aACvB/G,EAAQ+G,aAAeD,EACnB,GAAGE,OAAOF,EAAUlB,GACpB,CAACA,GAIT,MAAO,CACL7L,QAASqL,EACTpF,QAASA,GA/Fb,mC,cCAA,IAAI3E,EAAW,GAAGA,SAElBvB,EAAOC,QAAU,SAAUc,GACzB,OAAOQ,EAASK,KAAKb,GAAIoM,MAAM,GAAI,K,gBCHrC,IASIC,EAAKtI,EAAKxE,EATV+M,EAAkB,EAAQ,KAC1BjN,EAAS,EAAQ,GACjB0B,EAAW,EAAQ,GACnB+D,EAA8B,EAAQ,GACtCyH,EAAY,EAAQ,GACpBC,EAAY,EAAQ,IACpBC,EAAa,EAAQ,IAErBC,EAAUrN,EAAOqN,QAgBrB,GAAIJ,EAAiB,CACnB,IAAIK,EAAQ,IAAID,EACZE,EAAQD,EAAM5I,IACd8I,EAAQF,EAAMpN,IACduN,EAAQH,EAAMN,IAClBA,EAAM,SAAUrM,EAAI+M,GAElB,OADAD,EAAMjM,KAAK8L,EAAO3M,EAAI+M,GACfA,GAEThJ,EAAM,SAAU/D,GACd,OAAO4M,EAAM/L,KAAK8L,EAAO3M,IAAO,IAElCT,EAAM,SAAUS,GACd,OAAO6M,EAAMhM,KAAK8L,EAAO3M,QAEtB,CACL,IAAIgN,EAAQR,EAAU,SACtBC,EAAWO,IAAS,EACpBX,EAAM,SAAUrM,EAAI+M,GAElB,OADAjI,EAA4B9E,EAAIgN,EAAOD,GAChCA,GAEThJ,EAAM,SAAU/D,GACd,OAAOuM,EAAUvM,EAAIgN,GAAShN,EAAGgN,GAAS,IAE5CzN,EAAM,SAAUS,GACd,OAAOuM,EAAUvM,EAAIgN,IAIzB/N,EAAOC,QAAU,CACfmN,IAAKA,EACLtI,IAAKA,EACLxE,IAAKA,EACLqJ,QA/CY,SAAU5I,GACtB,OAAOT,EAAIS,GAAM+D,EAAI/D,GAAMqM,EAAIrM,EAAI,KA+CnCiN,UA5Cc,SAAUC,GACxB,OAAO,SAAUlN,GACf,IAAImN,EACJ,IAAKpM,EAASf,KAAQmN,EAAQpJ,EAAI/D,IAAKgI,OAASkF,EAC9C,MAAMvJ,UAAU,0BAA4BuJ,EAAO,aACnD,OAAOC,M,cClBblO,EAAOC,QAAU,SAAUc,GACzB,GAAU+F,MAAN/F,EAAiB,MAAM2D,UAAU,wBAA0B3D,GAC/D,OAAOA,I,gBCJT,IAAIoN,EAAO,EAAQ,KACf/N,EAAS,EAAQ,GAEjBgO,EAAY,SAAUC,GACxB,MAA0B,mBAAZA,EAAyBA,OAAWvH,GAGpD9G,EAAOC,QAAU,SAAUqO,EAAWC,GACpC,OAAOtK,UAAU5B,OAAS,EAAI+L,EAAUD,EAAKG,KAAeF,EAAUhO,EAAOkO,IACzEH,EAAKG,IAAcH,EAAKG,GAAWC,IAAWnO,EAAOkO,IAAclO,EAAOkO,GAAWC,K,cCT3F,IAAIC,EAAOxN,KAAKwN,KACZC,EAAQzN,KAAKyN,MAIjBzO,EAAOC,QAAU,SAAUkL,GACzB,OAAOuD,MAAMvD,GAAYA,GAAY,GAAKA,EAAW,EAAIsD,EAAQD,GAAMrD,K,cCNzEnL,EAAOC,QAAU,SAAU0O,EAAQnJ,GACjC,MAAO,CACLwE,aAAuB,EAAT2E,GACdC,eAAyB,EAATD,GAChBE,WAAqB,EAATF,GACZnJ,MAAOA,K,gBCJX,IAAIsJ,EAAgB,EAAQ,IACxB1D,EAAyB,EAAQ,IAErCpL,EAAOC,QAAU,SAAUc,GACzB,OAAO+N,EAAc1D,EAAuBrK,M,cCL9Cf,EAAOC,QAAU,I,+BCEjB,EAAQ,IAERuB,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQ8O,aAAU,EAElB,IAIgC9M,EAJ5B+M,GAI4B/M,EAJI,EAAQ,OAISA,EAAIgN,WAAahN,EAAM,CAAE8M,QAAS9M,GAFnFiN,EAAQ,EAAQ,KAIpB,IAAIC,EAASH,EAAOD,QAAQK,OAAO,CACjCC,QAAS,CACPC,cAAc,EAAIJ,EAAMK,sBAIxBC,EAAmBhO,OAAO0G,OAAOiH,EAAQ,CAC3CM,YAAaT,EAAOD,QAAQU,YAC5BC,SAAUV,EAAOD,QAAQW,YAE3B,EAAIR,EAAMS,uBAAsB,SAAUC,GACxC,OAAOT,EAAOU,SAASR,QAAQC,aAAeM,KAEhD,IAAIE,EAAWN,EACfvP,EAAQ8O,QAAUe,G,gBC7BlB,IAAI1B,EAAY,EAAQ,KAGxBpO,EAAOC,QAAU,SAAUiC,EAAI6N,EAAM1N,GAEnC,GADA+L,EAAUlM,QACG4E,IAATiJ,EAAoB,OAAO7N,EAC/B,OAAQG,GACN,KAAK,EAAG,OAAO,WACb,OAAOH,EAAGN,KAAKmO,IAEjB,KAAK,EAAG,OAAO,SAAU3L,GACvB,OAAOlC,EAAGN,KAAKmO,EAAM3L,IAEvB,KAAK,EAAG,OAAO,SAAUA,EAAGC,GAC1B,OAAOnC,EAAGN,KAAKmO,EAAM3L,EAAGC,IAE1B,KAAK,EAAG,OAAO,SAAUD,EAAGC,EAAG2L,GAC7B,OAAO9N,EAAGN,KAAKmO,EAAM3L,EAAGC,EAAG2L,IAG/B,OAAO,WACL,OAAO9N,EAAG+N,MAAMF,EAAM9L,c,gBCrB1B,IAAIc,EAAc,EAAQ,GACtBH,EAAQ,EAAQ,GAChBtE,EAAM,EAAQ,GAEduE,EAAiBrD,OAAOqD,eACxBqL,EAAQ,GAERC,EAAU,SAAUpP,GAAM,MAAMA,GAEpCf,EAAOC,QAAU,SAAUmQ,EAAalK,GACtC,GAAI5F,EAAI4P,EAAOE,GAAc,OAAOF,EAAME,GACrClK,IAASA,EAAU,IACxB,IAAIqI,EAAS,GAAG6B,GACZC,IAAY/P,EAAI4F,EAAS,cAAeA,EAAQmK,UAChDC,EAAYhQ,EAAI4F,EAAS,GAAKA,EAAQ,GAAKiK,EAC3CI,EAAYjQ,EAAI4F,EAAS,GAAKA,EAAQ,QAAKY,EAE/C,OAAOoJ,EAAME,KAAiB7B,IAAW3J,GAAM,WAC7C,GAAIyL,IAActL,EAAa,OAAO,EACtC,IAAIM,EAAI,CAAEhD,QAAS,GAEfgO,EAAWxL,EAAeQ,EAAG,EAAG,CAAE2E,YAAY,EAAMlF,IAAKqL,IACxD9K,EAAE,GAAK,EAEZkJ,EAAO3M,KAAKyD,EAAGiL,EAAWC,Q,gBCxB9B,IAmDIC,EAnDAvL,EAAW,EAAQ,GACnBwL,EAAmB,EAAQ,KAC3BC,EAAc,EAAQ,IACtBlD,EAAa,EAAQ,IACrBmD,EAAO,EAAQ,KACfC,EAAwB,EAAQ,IAChCrD,EAAY,EAAQ,IAMpBsD,EAAWtD,EAAU,YAErBuD,EAAmB,aAEnBC,EAAY,SAAUC,GACxB,MAAOC,WAAmBD,EAAnBC,cAmCLC,EAAkB,WACpB,IAEEV,EAAkB3M,SAASsN,QAAU,IAAIC,cAAc,YACvD,MAAOjR,IA1BoB,IAIzBkR,EAFAC,EAyBJJ,EAAkBV,EApCY,SAAUA,GACxCA,EAAgBe,MAAMR,EAAU,KAChCP,EAAgBgB,QAChB,IAAIC,EAAOjB,EAAgBkB,aAAalQ,OAExC,OADAgP,EAAkB,KACXiB,EA+B6BE,CAA0BnB,KAzB1Dc,EAASV,EAAsB,WAG5BgB,MAAMC,QAAU,OACvBlB,EAAKmB,YAAYR,GAEjBA,EAAOS,IAAMpN,OALJ,gBAMT0M,EAAiBC,EAAOU,cAAcnO,UACvBoO,OACfZ,EAAeE,MAAMR,EAAU,sBAC/BM,EAAeG,QACRH,EAAea,GAgBtB,IADA,IAAI7P,EAASqO,EAAYrO,OAClBA,YAAiB6O,EAAyB,UAAER,EAAYrO,IAC/D,OAAO6O,KAGT1D,EAAWqD,IAAY,EAIvB7Q,EAAOC,QAAUuB,OAAO4N,QAAU,SAAgB/J,EAAG8M,GACnD,IAAIpO,EAQJ,OAPU,OAANsB,GACFyL,EAA0B,UAAI7L,EAASI,GACvCtB,EAAS,IAAI+M,EACbA,EAA0B,UAAI,KAE9B/M,EAAO8M,GAAYxL,GACdtB,EAASmN,SACMpK,IAAfqL,EAA2BpO,EAAS0M,EAAiB1M,EAAQoO,K,gBC5EtE,IAAItN,EAAiB,EAAQ,GAAuCO,EAChE9E,EAAM,EAAQ,GAGd8R,EAFkB,EAAQ,EAEVC,CAAgB,eAEpCrS,EAAOC,QAAU,SAAUc,EAAIuR,EAAK5L,GAC9B3F,IAAOT,EAAIS,EAAK2F,EAAS3F,EAAKA,EAAGU,UAAW2Q,IAC9CvN,EAAe9D,EAAIqR,EAAe,CAAExD,cAAc,EAAMpJ,MAAO8M,M,gBCRnE,IAAIxQ,EAAW,EAAQ,GAMvB9B,EAAOC,QAAU,SAAUsS,EAAOC,GAChC,IAAK1Q,EAASyQ,GAAQ,OAAOA,EAC7B,IAAIrQ,EAAIP,EACR,GAAI6Q,GAAoD,mBAAxBtQ,EAAKqQ,EAAMhR,YAA4BO,EAASH,EAAMO,EAAGN,KAAK2Q,IAAS,OAAO5Q,EAC9G,GAAmC,mBAAvBO,EAAKqQ,EAAME,WAA2B3Q,EAASH,EAAMO,EAAGN,KAAK2Q,IAAS,OAAO5Q,EACzF,IAAK6Q,GAAoD,mBAAxBtQ,EAAKqQ,EAAMhR,YAA4BO,EAASH,EAAMO,EAAGN,KAAK2Q,IAAS,OAAO5Q,EAC/G,MAAM+C,UAAU,6C,cCZlB1E,EAAOC,SAAU,G,gBCAjB,IAAI2E,EAAQ,EAAQ,GAChB8N,EAAU,EAAQ,IAElB7I,EAAQ,GAAGA,MAGf7J,EAAOC,QAAU2E,GAAM,WAGrB,OAAQpD,OAAO,KAAKmR,qBAAqB,MACtC,SAAU5R,GACb,MAAsB,UAAf2R,EAAQ3R,GAAkB8I,EAAMjI,KAAKb,EAAI,IAAMS,OAAOT,IAC3DS,Q,gBCZJ,IAAIpB,EAAS,EAAQ,GACjByF,EAA8B,EAAQ,GAE1C7F,EAAOC,QAAU,SAAUqC,EAAKkD,GAC9B,IACEK,EAA4BzF,EAAQkC,EAAKkD,GACzC,MAAOrF,GACPC,EAAOkC,GAAOkD,EACd,OAAOA,I,gBCRX,IAAInF,EAAS,EAAQ,IACjBE,EAAM,EAAQ,IAEdqS,EAAOvS,EAAO,QAElBL,EAAOC,QAAU,SAAUqC,GACzB,OAAOsQ,EAAKtQ,KAASsQ,EAAKtQ,GAAO/B,EAAI+B,M,cCNvC,IAAIuQ,EAAK,EACLC,EAAU9R,KAAK+R,SAEnB/S,EAAOC,QAAU,SAAUqC,GACzB,MAAO,UAAYqC,YAAemC,IAARxE,EAAoB,GAAKA,GAAO,QAAUuQ,EAAKC,GAASvR,SAAS,M,cCH7FvB,EAAOC,QAAU,CACf,cACA,iBACA,gBACA,uBACA,iBACA,WACA,Y,cCNF,MAGM+S,EAAmBC,OAAOD,kBACH,iBAK7BhT,EAAOC,QAAU,CACfiT,oBAV0B,QAW1BC,WATiB,IAUjBH,mBACAI,0BANgC,K,6BCRlC,IAAIC,EAAI,EAAQ,IACZC,EAA4B,EAAQ,KACpCC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzB5N,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBuM,EAAkB,EAAQ,GAC1BqB,EAAU,EAAQ,IAClBC,EAAY,EAAQ,IACpBC,EAAgB,EAAQ,IAExBC,EAAoBD,EAAcC,kBAClCC,EAAyBF,EAAcE,uBACvCC,EAAW1B,EAAgB,YAK3B2B,EAAa,WAAc,OAAO9J,MAEtClK,EAAOC,QAAU,SAAUgU,EAAUC,EAAMC,EAAqBC,EAAMC,EAASC,EAAQC,GACrFjB,EAA0Ba,EAAqBD,EAAME,GAErD,IAkBII,EAA0BC,EAASC,EAlBnCC,EAAqB,SAAUC,GACjC,GAAIA,IAASP,GAAWQ,EAAiB,OAAOA,EAChD,IAAKf,GAA0Bc,KAAQE,EAAmB,OAAOA,EAAkBF,GACnF,OAAQA,GACN,IAbK,OAcL,IAbO,SAcP,IAbQ,UAaM,OAAO,WAAqB,OAAO,IAAIT,EAAoBjK,KAAM0K,IAC/E,OAAO,WAAc,OAAO,IAAIT,EAAoBjK,QAGpDkI,EAAgB8B,EAAO,YACvBa,GAAwB,EACxBD,EAAoBb,EAASxS,UAC7BuT,EAAiBF,EAAkBf,IAClCe,EAAkB,eAClBT,GAAWS,EAAkBT,GAC9BQ,GAAmBf,GAA0BkB,GAAkBL,EAAmBN,GAClFY,EAA4B,SAARf,GAAkBY,EAAkBI,SAA4BF,EAiCxF,GA7BIC,IACFT,EAA2BjB,EAAe0B,EAAkBrT,KAAK,IAAIqS,IACjEJ,IAAsBrS,OAAOC,WAAa+S,EAAyBJ,OAChEV,GAAWH,EAAeiB,KAA8BX,IACvDL,EACFA,EAAegB,EAA0BX,GACa,mBAAtCW,EAAyBT,IACzClO,EAA4B2O,EAA0BT,EAAUC,IAIpEP,EAAee,EAA0BpC,GAAe,GAAM,GAC1DsB,IAASC,EAAUvB,GAAiB4B,KAzCjC,UA8CPK,GAAqBW,GA9Cd,WA8CgCA,EAAelU,OACxDiU,GAAwB,EACxBF,EAAkB,WAAoB,OAAOG,EAAepT,KAAKsI,QAI7DwJ,IAAWa,GAAWO,EAAkBf,KAAcc,GAC1DhP,EAA4BiP,EAAmBf,EAAUc,GAE3DlB,EAAUO,GAAQW,EAGdR,EAMF,GALAI,EAAU,CACRU,OAAQR,EA5DD,UA6DP/B,KAAM0B,EAASO,EAAkBF,EA9D5B,QA+DLO,QAASP,EA7DD,YA+DNJ,EAAQ,IAAKG,KAAOD,GAClBX,GAA0BiB,KAA2BL,KAAOI,KAC9DhP,EAASgP,EAAmBJ,EAAKD,EAAQC,SAEtCrB,EAAE,CAAEjN,OAAQ8N,EAAMkB,OAAO,EAAMvO,OAAQiN,GAA0BiB,GAAyBN,GAGnG,OAAOA,I,gBCxFT,IAGIY,EAAO,GAEXA,EALsB,EAAQ,EAEVhD,CAAgB,gBAGd,IAEtBrS,EAAOC,QAA2B,eAAjB0E,OAAO0Q,I,6BCNxB,IAYMC,EACAC,EAbFC,EAAc,EAAQ,IACtBC,EAAgB,EAAQ,KAExBC,EAAaC,OAAOlU,UAAUvB,KAI9B0V,EAAgBjR,OAAOlD,UAAUgD,QAEjCoR,EAAcH,EAEdI,GACER,EAAM,IACNC,EAAM,MACVG,EAAW9T,KAAK0T,EAAK,KACrBI,EAAW9T,KAAK2T,EAAK,KACI,IAAlBD,EAAIS,WAAqC,IAAlBR,EAAIQ,WAGhCC,EAAgBP,EAAcO,eAAiBP,EAAcQ,aAG7DC,OAAuCpP,IAAvB,OAAO5G,KAAK,IAAI,IAExB4V,GAA4BI,GAAiBF,KAGvDH,EAAc,SAAcrR,GAC1B,IACIuR,EAAWI,EAAQC,EAAOjU,EAD1BkU,EAAKnM,KAELoM,EAASN,GAAiBK,EAAGC,OAC7BC,EAAQf,EAAY5T,KAAKyU,GACzBlQ,EAASkQ,EAAGlQ,OACZqQ,EAAa,EACbC,EAAUjS,EA+Cd,OA7CI8R,KAE0B,KAD5BC,EAAQA,EAAM9R,QAAQ,IAAK,KACjBqE,QAAQ,OAChByN,GAAS,KAGXE,EAAU9R,OAAOH,GAAK2I,MAAMkJ,EAAGN,WAE3BM,EAAGN,UAAY,KAAOM,EAAGK,WAAaL,EAAGK,WAAuC,OAA1BlS,EAAI6R,EAAGN,UAAY,MAC3E5P,EAAS,OAASA,EAAS,IAC3BsQ,EAAU,IAAMA,EAChBD,KAIFL,EAAS,IAAIR,OAAO,OAASxP,EAAS,IAAKoQ,IAGzCL,IACFC,EAAS,IAAIR,OAAO,IAAMxP,EAAS,WAAYoQ,IAE7CT,IAA0BC,EAAYM,EAAGN,WAE7CK,EAAQV,EAAW9T,KAAK0U,EAASH,EAASE,EAAII,GAE1CH,EACEF,GACFA,EAAM7D,MAAQ6D,EAAM7D,MAAMpF,MAAMqJ,GAChCJ,EAAM,GAAKA,EAAM,GAAGjJ,MAAMqJ,GAC1BJ,EAAMO,MAAQN,EAAGN,UACjBM,EAAGN,WAAaK,EAAM,GAAG/T,QACpBgU,EAAGN,UAAY,EACbD,GAA4BM,IACrCC,EAAGN,UAAYM,EAAGjW,OAASgW,EAAMO,MAAQP,EAAM,GAAG/T,OAAS0T,GAEzDG,GAAiBE,GAASA,EAAM/T,OAAS,GAG3CuT,EAAchU,KAAKwU,EAAM,GAAID,GAAQ,WACnC,IAAKhU,EAAI,EAAGA,EAAI8B,UAAU5B,OAAS,EAAGF,SACf2E,IAAjB7C,UAAU9B,KAAkBiU,EAAMjU,QAAK2E,MAK1CsP,IAIXpW,EAAOC,QAAU4V,G,eCtFjB,IAAIe,EAGJA,EAAI,WACH,OAAO1M,KADJ,GAIJ,IAEC0M,EAAIA,GAAK,IAAIvV,SAAS,cAAb,GACR,MAAOwV,GAEc,iBAAX1V,SAAqByV,EAAIzV,QAOrCnB,EAAOC,QAAU2W,G,cClBjB,IAOIE,EACAC,EARAC,EAAUhX,EAAOC,QAAU,GAU/B,SAASgX,IACL,MAAM,IAAIC,MAAM,mCAEpB,SAASC,IACL,MAAM,IAAID,MAAM,qCAsBpB,SAASE,EAAWC,GAChB,GAAIP,IAAqBQ,WAErB,OAAOA,WAAWD,EAAK,GAG3B,IAAKP,IAAqBG,IAAqBH,IAAqBQ,WAEhE,OADAR,EAAmBQ,WACZA,WAAWD,EAAK,GAE3B,IAEI,OAAOP,EAAiBO,EAAK,GAC/B,MAAMR,GACJ,IAEI,OAAOC,EAAiBlV,KAAK,KAAMyV,EAAK,GAC1C,MAAMR,GAEJ,OAAOC,EAAiBlV,KAAKsI,KAAMmN,EAAK,MAvCnD,WACG,IAEQP,EADsB,mBAAfQ,WACYA,WAEAL,EAEzB,MAAOJ,GACLC,EAAmBG,EAEvB,IAEQF,EADwB,mBAAjBQ,aACcA,aAEAJ,EAE3B,MAAON,GACLE,EAAqBI,GAjB7B,GAwEA,IAEIK,EAFAC,EAAQ,GACRC,GAAW,EAEXC,GAAc,EAElB,SAASC,IACAF,GAAaF,IAGlBE,GAAW,EACPF,EAAanV,OACboV,EAAQD,EAAatK,OAAOuK,GAE5BE,GAAc,EAEdF,EAAMpV,QACNwV,KAIR,SAASA,IACL,IAAIH,EAAJ,CAGA,IAAII,EAAUV,EAAWQ,GACzBF,GAAW,EAGX,IADA,IAAIK,EAAMN,EAAMpV,OACV0V,GAAK,CAGP,IAFAP,EAAeC,EACfA,EAAQ,KACCE,EAAaI,GACdP,GACAA,EAAaG,GAAYK,MAGjCL,GAAc,EACdI,EAAMN,EAAMpV,OAEhBmV,EAAe,KACfE,GAAW,EAnEf,SAAyBO,GACrB,GAAIlB,IAAuBQ,aAEvB,OAAOA,aAAaU,GAGxB,IAAKlB,IAAuBI,IAAwBJ,IAAuBQ,aAEvE,OADAR,EAAqBQ,aACdA,aAAaU,GAExB,IAEWlB,EAAmBkB,GAC5B,MAAOpB,GACL,IAEI,OAAOE,EAAmBnV,KAAK,KAAMqW,GACvC,MAAOpB,GAGL,OAAOE,EAAmBnV,KAAKsI,KAAM+N,KAgD7CC,CAAgBJ,IAiBpB,SAASK,EAAKd,EAAKe,GACflO,KAAKmN,IAAMA,EACXnN,KAAKkO,MAAQA,EAYjB,SAASC,KA5BTrB,EAAQsB,SAAW,SAAUjB,GACzB,IAAIkB,EAAO,IAAIC,MAAMvU,UAAU5B,OAAS,GACxC,GAAI4B,UAAU5B,OAAS,EACnB,IAAK,IAAIF,EAAI,EAAGA,EAAI8B,UAAU5B,OAAQF,IAClCoW,EAAKpW,EAAI,GAAK8B,UAAU9B,GAGhCsV,EAAMgB,KAAK,IAAIN,EAAKd,EAAKkB,IACJ,IAAjBd,EAAMpV,QAAiBqV,GACvBN,EAAWS,IASnBM,EAAK1W,UAAUuW,IAAM,WACjB9N,KAAKmN,IAAIpH,MAAM,KAAM/F,KAAKkO,QAE9BpB,EAAQ0B,MAAQ,UAChB1B,EAAQ2B,SAAU,EAClB3B,EAAQ4B,IAAM,GACd5B,EAAQ6B,KAAO,GACf7B,EAAQlP,QAAU,GAClBkP,EAAQ8B,SAAW,GAInB9B,EAAQ+B,GAAKV,EACbrB,EAAQgC,YAAcX,EACtBrB,EAAQiC,KAAOZ,EACfrB,EAAQkC,IAAMb,EACdrB,EAAQmC,eAAiBd,EACzBrB,EAAQoC,mBAAqBf,EAC7BrB,EAAQzM,KAAO8N,EACfrB,EAAQqC,gBAAkBhB,EAC1BrB,EAAQsC,oBAAsBjB,EAE9BrB,EAAQuC,UAAY,SAAUzY,GAAQ,MAAO,IAE7CkW,EAAQwC,QAAU,SAAU1Y,GACxB,MAAM,IAAIoW,MAAM,qCAGpBF,EAAQyC,IAAM,WAAc,MAAO,KACnCzC,EAAQ0C,MAAQ,SAAUC,GACtB,MAAM,IAAIzC,MAAM,mCAEpBF,EAAQ4C,MAAQ,WAAa,OAAO,I,8BC/KpC5Z,EAAOC,QAAU,SAAU4Z,GACzB,IAAIC,EAAO,GAuDX,OArDAA,EAAKvY,SAAW,WACd,OAAO2I,KAAK6P,KAAI,SAAUC,GACxB,IAAIhJ,EAsDV,SAAgCgJ,EAAMH,GACpC,IAAI7I,EAAUgJ,EAAK,IAAM,GAErBC,EAAaD,EAAK,GAEtB,IAAKC,EACH,OAAOjJ,EAGT,GAAI6I,GAAgC,mBAATK,KAAqB,CAC9C,IAAIC,GAWWC,EAXeH,EAa5BI,EAASH,KAAKI,SAAS7R,mBAAmB8R,KAAKC,UAAUJ,MACzDK,EAAO,+DAA+DvN,OAAOmN,GAC1E,OAAOnN,OAAOuN,EAAM,QAdrBC,EAAaT,EAAWU,QAAQZ,KAAI,SAAU5T,GAChD,MAAO,iBAAiB+G,OAAO+M,EAAWW,YAAc,IAAI1N,OAAO/G,EAAQ,UAE7E,MAAO,CAAC6K,GAAS9D,OAAOwN,GAAYxN,OAAO,CAACiN,IAAgBlQ,KAAK,MAOrE,IAAmBmQ,EAEbC,EACAI,EAPJ,MAAO,CAACzJ,GAAS/G,KAAK,MAvEJ4Q,CAAuBb,EAAMH,GAE3C,OAAIG,EAAK,GACA,UAAU9M,OAAO8M,EAAK,GAAI,MAAM9M,OAAO8D,EAAS,KAGlDA,KACN/G,KAAK,KAKV6P,EAAK3X,EAAI,SAAU2Y,EAASC,EAAYC,GACf,iBAAZF,IAETA,EAAU,CAAC,CAAC,KAAMA,EAAS,MAG7B,IAAIG,EAAyB,GAE7B,GAAID,EACF,IAAK,IAAI7Y,EAAI,EAAGA,EAAI+H,KAAK7H,OAAQF,IAAK,CAEpC,IAAI0Q,EAAK3I,KAAK/H,GAAG,GAEP,MAAN0Q,IACFoI,EAAuBpI,IAAM,GAKnC,IAAK,IAAIqI,EAAK,EAAGA,EAAKJ,EAAQzY,OAAQ6Y,IAAM,CAC1C,IAAIlB,EAAO,GAAG9M,OAAO4N,EAAQI,IAEzBF,GAAUC,EAAuBjB,EAAK,MAKtCe,IACGf,EAAK,GAGRA,EAAK,GAAK,GAAG9M,OAAO6N,EAAY,SAAS7N,OAAO8M,EAAK,IAFrDA,EAAK,GAAKe,GAMdjB,EAAKrB,KAAKuB,MAIPF,I,6BC5DM,SAASqB,EAAcC,EAAUtB,GAG9C,IAFA,IAAIuB,EAAS,GACTC,EAAY,GACPnZ,EAAI,EAAGA,EAAI2X,EAAKzX,OAAQF,IAAK,CACpC,IAAI6X,EAAOF,EAAK3X,GACZ0Q,EAAKmH,EAAK,GAIVuB,EAAO,CACT1I,GAAIuI,EAAW,IAAMjZ,EACrBqZ,IALQxB,EAAK,GAMbyB,MALUzB,EAAK,GAMfI,UALcJ,EAAK,IAOhBsB,EAAUzI,GAGbyI,EAAUzI,GAAI6I,MAAMjD,KAAK8C,GAFzBF,EAAO5C,KAAK6C,EAAUzI,GAAM,CAAEA,GAAIA,EAAI6I,MAAO,CAACH,KAKlD,OAAOF,E,+CCjBT,IAAIM,EAAkC,oBAAb9X,SAEzB,GAAqB,oBAAV+X,OAAyBA,QAC7BD,EACH,MAAM,IAAIzE,MACV,2JAkBJ,IAAI2E,EAAc,GAQdC,EAAOH,IAAgB9X,SAASiY,MAAQjY,SAASkY,qBAAqB,QAAQ,IAC9EC,EAAmB,KACnBC,EAAmB,EACnBC,GAAe,EACf7D,EAAO,aACPnS,EAAU,KAKViW,EAA+B,oBAAdxY,WAA6B,eAAe0R,KAAK1R,UAAUyY,UAAUC,eAE3E,SAASC,EAAiBlB,EAAUtB,EAAMyC,EAAeC,GACtEN,EAAeK,EAEfrW,EAAUsW,GAAY,GAEtB,IAAInB,EAASF,EAAaC,EAAUtB,GAGpC,OAFA2C,EAAepB,GAER,SAAiBqB,GAEtB,IADA,IAAIC,EAAY,GACPxa,EAAI,EAAGA,EAAIkZ,EAAOhZ,OAAQF,IAAK,CACtC,IAAI6X,EAAOqB,EAAOlZ,IACdya,EAAWf,EAAY7B,EAAKnH,KACvBgK,OACTF,EAAUlE,KAAKmE,GAEbF,EAEFD,EADApB,EAASF,EAAaC,EAAUsB,IAGhCrB,EAAS,GAEX,IAASlZ,EAAI,EAAGA,EAAIwa,EAAUta,OAAQF,IAAK,CACzC,IAAIya,EACJ,GAAsB,KADlBA,EAAWD,EAAUxa,IACZ0a,KAAY,CACvB,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASlB,MAAMrZ,OAAQya,IACzCF,EAASlB,MAAMoB,YAEVjB,EAAYe,EAAS/J,OAMpC,SAAS4J,EAAgBpB,GACvB,IAAK,IAAIlZ,EAAI,EAAGA,EAAIkZ,EAAOhZ,OAAQF,IAAK,CACtC,IAAI6X,EAAOqB,EAAOlZ,GACdya,EAAWf,EAAY7B,EAAKnH,IAChC,GAAI+J,EAAU,CACZA,EAASC,OACT,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASlB,MAAMrZ,OAAQya,IACzCF,EAASlB,MAAMoB,GAAG9C,EAAK0B,MAAMoB,IAE/B,KAAOA,EAAI9C,EAAK0B,MAAMrZ,OAAQya,IAC5BF,EAASlB,MAAMjD,KAAKsE,EAAS/C,EAAK0B,MAAMoB,KAEtCF,EAASlB,MAAMrZ,OAAS2X,EAAK0B,MAAMrZ,SACrCua,EAASlB,MAAMrZ,OAAS2X,EAAK0B,MAAMrZ,YAEhC,CACL,IAAIqZ,EAAQ,GACZ,IAASoB,EAAI,EAAGA,EAAI9C,EAAK0B,MAAMrZ,OAAQya,IACrCpB,EAAMjD,KAAKsE,EAAS/C,EAAK0B,MAAMoB,KAEjCjB,EAAY7B,EAAKnH,IAAM,CAAEA,GAAImH,EAAKnH,GAAIgK,KAAM,EAAGnB,MAAOA,KAK5D,SAASsB,IACP,IAAIC,EAAepZ,SAASqZ,cAAc,SAG1C,OAFAD,EAAalU,KAAO,WACpB+S,EAAKhK,YAAYmL,GACVA,EAGT,SAASF,EAAU9a,GACjB,IAAIkb,EAAQC,EACRH,EAAepZ,SAASwZ,cAAc,2BAA8Bpb,EAAI4Q,GAAK,MAEjF,GAAIoK,EAAc,CAChB,GAAIf,EAGF,OAAO7D,EAOP4E,EAAaK,WAAWC,YAAYN,GAIxC,GAAId,EAAS,CAEX,IAAIqB,EAAavB,IACjBgB,EAAejB,IAAqBA,EAAmBgB,KACvDG,EAASM,EAAoBnc,KAAK,KAAM2b,EAAcO,GAAY,GAClEJ,EAASK,EAAoBnc,KAAK,KAAM2b,EAAcO,GAAY,QAGlEP,EAAeD,IACfG,EAASO,EAAWpc,KAAK,KAAM2b,GAC/BG,EAAS,WACPH,EAAaK,WAAWC,YAAYN,IAMxC,OAFAE,EAAOlb,GAEA,SAAsB0b,GAC3B,GAAIA,EAAQ,CACV,GAAIA,EAAOnC,MAAQvZ,EAAIuZ,KACnBmC,EAAOlC,QAAUxZ,EAAIwZ,OACrBkC,EAAOvD,YAAcnY,EAAImY,UAC3B,OAEF+C,EAAOlb,EAAM0b,QAEbP,KAKN,IACMQ,EADFC,GACED,EAAY,GAET,SAAUjH,EAAOmH,GAEtB,OADAF,EAAUjH,GAASmH,EACZF,EAAUG,OAAOC,SAAS/T,KAAK,QAI1C,SAASwT,EAAqBR,EAActG,EAAOyG,EAAQnb,GACzD,IAAIuZ,EAAM4B,EAAS,GAAKnb,EAAIuZ,IAE5B,GAAIyB,EAAagB,WACfhB,EAAagB,WAAWC,QAAUL,EAAYlH,EAAO6E,OAChD,CACL,IAAI2C,EAAUta,SAASua,eAAe5C,GAClC6C,EAAapB,EAAaoB,WAC1BA,EAAW1H,IAAQsG,EAAaM,YAAYc,EAAW1H,IACvD0H,EAAWhc,OACb4a,EAAaqB,aAAaH,EAASE,EAAW1H,IAE9CsG,EAAanL,YAAYqM,IAK/B,SAAST,EAAYT,EAAchb,GACjC,IAAIuZ,EAAMvZ,EAAIuZ,IACVC,EAAQxZ,EAAIwZ,MACZrB,EAAYnY,EAAImY,UAiBpB,GAfIqB,GACFwB,EAAasB,aAAa,QAAS9C,GAEjCvV,EAAQsY,OACVvB,EAAasB,aA7JF,kBA6JyBtc,EAAI4Q,IAGtCuH,IAGFoB,GAAO,mBAAqBpB,EAAUO,QAAQ,GAAK,MAEnDa,GAAO,uDAAyDtB,KAAKI,SAAS7R,mBAAmB8R,KAAKC,UAAUJ,MAAgB,OAG9H6C,EAAagB,WACfhB,EAAagB,WAAWC,QAAU1C,MAC7B,CACL,KAAOyB,EAAawB,YAClBxB,EAAaM,YAAYN,EAAawB,YAExCxB,EAAanL,YAAYjO,SAASua,eAAe5C,O,gBC3NrD,IAAIkD,EAAwB,EAAQ,IAChC5Y,EAAW,EAAQ,IACnBvE,EAAW,EAAQ,KAIlBmd,GACH5Y,EAAStE,OAAOC,UAAW,WAAYF,EAAU,CAAEuI,QAAQ,K,6BCN7D,IAAI6U,EAAkB,EAAQ,IAC1BC,EAAmB,EAAQ,KAC3BjL,EAAY,EAAQ,IACpBnK,EAAsB,EAAQ,IAC9BqV,EAAiB,EAAQ,IAGzBC,EAAmBtV,EAAoB4D,IACvC3D,EAAmBD,EAAoBwE,UAFtB,kBAcrBhO,EAAOC,QAAU4e,EAAerG,MAAO,SAAS,SAAUuG,EAAUC,GAClEF,EAAiB5U,KAAM,CACrBnB,KAhBiB,iBAiBjB3C,OAAQuY,EAAgBI,GACxBpI,MAAO,EACPqI,KAAMA,OAIP,WACD,IAAI9Q,EAAQzE,EAAiBS,MACzB9D,EAAS8H,EAAM9H,OACf4Y,EAAO9Q,EAAM8Q,KACbrI,EAAQzI,EAAMyI,QAClB,OAAKvQ,GAAUuQ,GAASvQ,EAAO/D,QAC7B6L,EAAM9H,YAASU,EACR,CAAEtB,WAAOsB,EAAWmY,MAAM,IAEvB,QAARD,EAAuB,CAAExZ,MAAOmR,EAAOsI,MAAM,GACrC,UAARD,EAAyB,CAAExZ,MAAOY,EAAOuQ,GAAQsI,MAAM,GACpD,CAAEzZ,MAAO,CAACmR,EAAOvQ,EAAOuQ,IAASsI,MAAM,KAC7C,UAKHtL,EAAUuL,UAAYvL,EAAU6E,MAGhCoG,EAAiB,QACjBA,EAAiB,UACjBA,EAAiB,Y,6BCnDjB,IAAIvL,EAAI,EAAQ,IACZnT,EAAO,EAAQ,IAEnBmT,EAAE,CAAEjN,OAAQ,SAAUgP,OAAO,EAAMvO,OAAQ,IAAI3G,OAASA,GAAQ,CAC9DA,KAAMA,K,gBCLR,IAAI6E,EAAc,EAAQ,GACtBoa,EAA6B,EAAQ,IACrCzZ,EAA2B,EAAQ,IACnCiZ,EAAkB,EAAQ,IAC1BzZ,EAAc,EAAQ,IACtB5E,EAAM,EAAQ,GACd0E,EAAiB,EAAQ,IAEzBoa,EAAiC5d,OAAOoE,yBAI5C3F,EAAQmF,EAAIL,EAAcqa,EAAiC,SAAkC/Z,EAAGC,GAG9F,GAFAD,EAAIsZ,EAAgBtZ,GACpBC,EAAIJ,EAAYI,GAAG,GACfN,EAAgB,IAClB,OAAOoa,EAA+B/Z,EAAGC,GACzC,MAAOnF,IACT,GAAIG,EAAI+E,EAAGC,GAAI,OAAOI,GAA0ByZ,EAA2B/Z,EAAExD,KAAKyD,EAAGC,GAAID,EAAEC,M,gBClB7F,IAAIV,EAAQ,EAAQ,GAEhBkZ,EAAc,kBAEd7X,EAAW,SAAUoZ,EAASC,GAChC,IAAI9Z,EAAQiV,EAAK8E,EAAUF,IAC3B,OAAO7Z,GAASga,GACZha,GAASia,IACW,mBAAbH,EAA0B1a,EAAM0a,KACrCA,IAGJC,EAAYtZ,EAASsZ,UAAY,SAAUG,GAC7C,OAAO/a,OAAO+a,GAAQjb,QAAQqZ,EAAa,KAAKzB,eAG9C5B,EAAOxU,EAASwU,KAAO,GACvBgF,EAASxZ,EAASwZ,OAAS,IAC3BD,EAAWvZ,EAASuZ,SAAW,IAEnCxf,EAAOC,QAAUgG,G,gBCpBjB,IAAIrB,EAAQ,EAAQ,GAChByN,EAAkB,EAAQ,GAC1BsN,EAAa,EAAQ,IAErBC,EAAUvN,EAAgB,WAE9BrS,EAAOC,QAAU,SAAUmQ,GAIzB,OAAOuP,GAAc,KAAO/a,GAAM,WAChC,IAAIwT,EAAQ,GAKZ,OAJkBA,EAAM1V,YAAc,IAC1Bkd,GAAW,WACrB,MAAO,CAAEC,IAAK,IAE2B,IAApCzH,EAAMhI,GAAa4N,SAAS6B,S,cChBvC7f,EAAOC,QAAU,SAAUc,EAAI+e,EAAahf,GAC1C,KAAMC,aAAc+e,GAClB,MAAMpb,UAAU,cAAgB5D,EAAOA,EAAO,IAAM,IAAM,cAC1D,OAAOC,I,gBCHX,IAAIsS,EAAI,EAAQ,IACZnL,EAAS,EAAQ,KAIrBmL,EAAE,CAAEjN,OAAQ,SAAUO,MAAM,EAAME,OAAQrF,OAAO0G,SAAWA,GAAU,CACpEA,OAAQA,K,gBCNV,IAAIpG,EAAW,EAAQ,GACnBJ,EAAU,EAAQ,IAGlBke,EAFkB,EAAQ,EAEhBvN,CAAgB,WAI9BrS,EAAOC,QAAU,SAAU8f,EAAe1d,GACxC,IAAI2d,EASF,OAREte,EAAQqe,KAGM,mBAFhBC,EAAID,EAAcrd,cAEasd,IAAMxH,QAAS9W,EAAQse,EAAEve,WAC/CK,EAASke,IAEN,QADVA,EAAIA,EAAEJ,MACUI,OAAIlZ,GAH+CkZ,OAAIlZ,GAKlE,SAAWA,IAANkZ,EAAkBxH,MAAQwH,GAAc,IAAX3d,EAAe,EAAIA,K,6BCjBhE,IAAIgR,EAAI,EAAQ,IACZzO,EAAQ,EAAQ,GAChBlD,EAAU,EAAQ,IAClBI,EAAW,EAAQ,GACnBme,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAiB,EAAQ,KACzBC,EAAqB,EAAQ,IAC7BC,EAA+B,EAAQ,IACvChO,EAAkB,EAAQ,GAC1BsN,EAAa,EAAQ,IAErBW,EAAuBjO,EAAgB,sBAOvCkO,EAA+BZ,GAAc,KAAO/a,GAAM,WAC5D,IAAIwT,EAAQ,GAEZ,OADAA,EAAMkI,IAAwB,EACvBlI,EAAMlL,SAAS,KAAOkL,KAG3BoI,EAAkBH,EAA6B,UAE/CI,EAAqB,SAAUpb,GACjC,IAAKvD,EAASuD,GAAI,OAAO,EACzB,IAAIqb,EAAarb,EAAEib,GACnB,YAAsBxZ,IAAf4Z,IAA6BA,EAAahf,EAAQ2D,IAQ3DgO,EAAE,CAAEjN,OAAQ,QAASgP,OAAO,EAAMvO,QALpB0Z,IAAiCC,GAKK,CAClDtT,OAAQ,SAAgByT,GACtB,IAGIxe,EAAGye,EAAGve,EAAQ0V,EAAK8I,EAHnBxb,EAAI4a,EAAS/V,MACb4W,EAAIV,EAAmB/a,EAAG,GAC1B0b,EAAI,EAER,IAAK5e,GAAK,EAAGE,EAAS4B,UAAU5B,OAAQF,EAAIE,EAAQF,IAElD,GAAIse,EADJI,GAAW,IAAP1e,EAAWkD,EAAIpB,UAAU9B,IACF,CAEzB,GAAI4e,GADJhJ,EAAMmI,EAASW,EAAExe,SAlCF,iBAmCiB,MAAMqC,UAlCT,kCAmC7B,IAAKkc,EAAI,EAAGA,EAAI7I,EAAK6I,IAAKG,IAASH,KAAKC,GAAGV,EAAeW,EAAGC,EAAGF,EAAED,QAC7D,CACL,GAAIG,GAtCW,iBAsCY,MAAMrc,UArCJ,kCAsC7Byb,EAAeW,EAAGC,IAAKF,GAI3B,OADAC,EAAEze,OAAS0e,EACJD,M,gBCzDX,IAAI1gB,EAAS,EAAQ,GACjB0B,EAAW,EAAQ,GAEnB+B,EAAWzD,EAAOyD,SAElBmd,EAASlf,EAAS+B,IAAa/B,EAAS+B,EAASqZ,eAErDld,EAAOC,QAAU,SAAUc,GACzB,OAAOigB,EAASnd,EAASqZ,cAAcnc,GAAM,K,gBCR/C,IAAI2M,EAAQ,EAAQ,IAEhBuT,EAAmB5f,SAASE,SAGE,mBAAvBmM,EAAMnE,gBACfmE,EAAMnE,cAAgB,SAAUxI,GAC9B,OAAOkgB,EAAiBrf,KAAKb,KAIjCf,EAAOC,QAAUyN,EAAMnE,e,gBCXvB,IAAIjI,EAAO,EAAQ,IACfwN,EAAgB,EAAQ,IACxBmR,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBE,EAAqB,EAAQ,IAE7B3H,EAAO,GAAGA,KAGVyI,EAAe,SAAUjT,GAC3B,IAAIkT,EAAiB,GAARlT,EACTmT,EAAoB,GAARnT,EACZoT,EAAkB,GAARpT,EACVqT,EAAmB,GAARrT,EACXsT,EAAwB,GAARtT,EAChBuT,EAAmB,GAARvT,GAAasT,EAC5B,OAAO,SAAUE,EAAOC,EAAY3R,EAAM4R,GASxC,IARA,IAOInc,EAAOzB,EAPPsB,EAAI4a,EAASwB,GACbrgB,EAAO0N,EAAczJ,GACrBuc,EAAgBtgB,EAAKogB,EAAY3R,EAAM,GACvC1N,EAAS6d,EAAS9e,EAAKiB,QACvBsU,EAAQ,EACRvH,EAASuS,GAAkBvB,EAC3Bha,EAAS+a,EAAS/R,EAAOqS,EAAOpf,GAAU+e,EAAYhS,EAAOqS,EAAO,QAAK3a,EAEvEzE,EAASsU,EAAOA,IAAS,IAAI6K,GAAY7K,KAASvV,KAEtD2C,EAAS6d,EADTpc,EAAQpE,EAAKuV,GACiBA,EAAOtR,GACjC4I,GACF,GAAIkT,EAAQ/a,EAAOuQ,GAAS5S,OACvB,GAAIA,EAAQ,OAAQkK,GACvB,KAAK,EAAG,OAAO,EACf,KAAK,EAAG,OAAOzI,EACf,KAAK,EAAG,OAAOmR,EACf,KAAK,EAAG8B,EAAK7W,KAAKwE,EAAQZ,QACrB,GAAI8b,EAAU,OAAO,EAGhC,OAAOC,GAAiB,EAAIF,GAAWC,EAAWA,EAAWlb,IAIjEpG,EAAOC,QAAU,CAGf+B,QAASkf,EAAa,GAGtBnH,IAAKmH,EAAa,GAGlBnD,OAAQmD,EAAa,GAGrBW,KAAMX,EAAa,GAGnBY,MAAOZ,EAAa,GAGpBa,KAAMb,EAAa,GAGnBc,UAAWd,EAAa,K,6BC9D1B,IAAItc,EAAQ,EAAQ,GAEpB5E,EAAOC,QAAU,SAAUmQ,EAAajF,GACtC,IAAIoD,EAAS,GAAG6B,GAChB,QAAS7B,GAAU3J,GAAM,WAEvB2J,EAAO3M,KAAK,KAAMuJ,GAAY,WAAc,MAAM,GAAM,Q,gBCP5D,IAMIiL,EAAOtO,EANP1H,EAAS,EAAQ,GACjBgc,EAAY,EAAQ,KAEpBpF,EAAU5W,EAAO4W,QACjB8B,EAAW9B,GAAWA,EAAQ8B,SAC9BmJ,EAAKnJ,GAAYA,EAASmJ,GAG1BA,EAEFna,GADAsO,EAAQ6L,EAAGpY,MAAM,MACD,GAAKuM,EAAM,GAClBgG,MACThG,EAAQgG,EAAUhG,MAAM,iBACVA,EAAM,IAAM,MACxBA,EAAQgG,EAAUhG,MAAM,oBACbtO,EAAUsO,EAAM,IAI/BpW,EAAOC,QAAU6H,IAAYA,G,gBCnB7B,IAAI7C,EAAW,EAAQ,GACnBid,EAAwB,EAAQ,KAChChC,EAAW,EAAQ,IACnB5e,EAAO,EAAQ,IACf6gB,EAAoB,EAAQ,KAC5BC,EAA+B,EAAQ,KAEvCC,EAAS,SAAUC,EAASve,GAC9BmG,KAAKoY,QAAUA,EACfpY,KAAKnG,OAASA,IAGF/D,EAAOC,QAAU,SAAUsiB,EAAUrgB,EAAI6N,EAAMyS,EAAYC,GACvE,IACIC,EAAUC,EAAQhM,EAAOtU,EAAQ0B,EAAQqQ,EAAMwO,EAD/ChB,EAAgBtgB,EAAKY,EAAI6N,EAAMyS,EAAa,EAAI,GAGpD,GAAIC,EACFC,EAAWH,MACN,CAEL,GAAqB,mBADrBI,EAASR,EAAkBI,IACM,MAAM7d,UAAU,0BAEjD,GAAIwd,EAAsBS,GAAS,CACjC,IAAKhM,EAAQ,EAAGtU,EAAS6d,EAASqC,EAASlgB,QAASA,EAASsU,EAAOA,IAIlE,IAHA5S,EAASye,EACLZ,EAAc3c,EAAS2d,EAAOL,EAAS5L,IAAQ,GAAIiM,EAAK,IACxDhB,EAAcW,EAAS5L,MACb5S,aAAkBse,EAAQ,OAAOte,EAC/C,OAAO,IAAIse,GAAO,GAEtBK,EAAWC,EAAO/gB,KAAK2gB,GAIzB,IADAnO,EAAOsO,EAAStO,OACPwO,EAAOxO,EAAKxS,KAAK8gB,IAAWzD,MAEnC,GAAqB,iBADrBlb,EAASqe,EAA6BM,EAAUd,EAAegB,EAAKpd,MAAOgd,KAC1Cze,GAAUA,aAAkBse,EAAQ,OAAOte,EAC5E,OAAO,IAAIse,GAAO,KAGdQ,KAAO,SAAU9e,GACvB,OAAO,IAAIse,GAAO,EAAMte,K,gBCzC1B,IAAI2a,EAAwB,EAAQ,IAChCoE,EAAa,EAAQ,IAGrB1Q,EAFkB,EAAQ,EAEVC,CAAgB,eAEhC0Q,EAAuE,aAAnDD,EAAW,WAAc,OAAO7e,UAArB,IAUnCjE,EAAOC,QAAUye,EAAwBoE,EAAa,SAAU/hB,GAC9D,IAAIsE,EAAG2d,EAAKjf,EACZ,YAAc+C,IAAP/F,EAAmB,YAAqB,OAAPA,EAAc,OAEM,iBAAhDiiB,EAXD,SAAUjiB,EAAIuB,GACzB,IACE,OAAOvB,EAAGuB,GACV,MAAOnC,KAQS8iB,CAAO5d,EAAI7D,OAAOT,GAAKqR,IAA8B4Q,EAEnED,EAAoBD,EAAWzd,GAEH,WAA3BtB,EAAS+e,EAAWzd,KAAsC,mBAAZA,EAAE6d,OAAuB,YAAcnf,I,gBCxB5F,IAAIkH,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IAGjC8V,EAAe,SAAUiC,GAC3B,OAAO,SAAU1B,EAAO2B,GACtB,IAGIC,EAAOC,EAHPC,EAAI5e,OAAOyG,EAAuBqW,IAClC+B,EAAWvY,EAAUmY,GACrBK,EAAOF,EAAElhB,OAEb,OAAImhB,EAAW,GAAKA,GAAYC,EAAaN,EAAoB,QAAKrc,GACtEuc,EAAQE,EAAEG,WAAWF,IACN,OAAUH,EAAQ,OAAUG,EAAW,IAAMC,IACtDH,EAASC,EAAEG,WAAWF,EAAW,IAAM,OAAUF,EAAS,MAC1DH,EAAoBI,EAAE7a,OAAO8a,GAAYH,EACzCF,EAAoBI,EAAEpW,MAAMqW,EAAUA,EAAW,GAA+BF,EAAS,OAAlCD,EAAQ,OAAU,IAA0B,QAI7GrjB,EAAOC,QAAU,CAGf0jB,OAAQzC,GAAa,GAGrBxY,OAAQwY,GAAa,K,6BCxBvB,IAAI0C,EAA6B,GAAGjR,qBAChC/M,EAA2BpE,OAAOoE,yBAGlCie,EAAcje,IAA6Bge,EAA2BhiB,KAAK,CAAEkiB,EAAG,GAAK,GAIzF7jB,EAAQmF,EAAIye,EAAc,SAA8BE,GACtD,IAAIxd,EAAaX,EAAyBsE,KAAM6Z,GAChD,QAASxd,GAAcA,EAAWyD,YAChC4Z,G,gBCZJ,IAAI7e,EAAc,EAAQ,GACtBH,EAAQ,EAAQ,GAChBsY,EAAgB,EAAQ,IAG5Bld,EAAOC,SAAW8E,IAAgBH,GAAM,WACtC,OAEQ,GAFDpD,OAAOqD,eAAeqY,EAAc,OAAQ,IAAK,CACtDpY,IAAK,WAAc,OAAO,KACzBV,M,gBCRL,IAAIhE,EAAS,EAAQ,GACjB2F,EAAY,EAAQ,IAGpB2H,EAAQtN,EADC,uBACiB2F,EADjB,qBACmC,IAEhD/F,EAAOC,QAAUyN,G,gBCNjB,IAAIgG,EAAU,EAAQ,IAClBhG,EAAQ,EAAQ,KAEnB1N,EAAOC,QAAU,SAAUqC,EAAKkD,GAC/B,OAAOkI,EAAMpL,KAASoL,EAAMpL,QAAiBwE,IAAVtB,EAAsBA,EAAQ,MAChE,WAAY,IAAIiT,KAAK,CACtB3Q,QAAS,QACTkc,KAAMtQ,EAAU,OAAS,SACzBuQ,UAAW,0C,gBCRb,IAAI3jB,EAAM,EAAQ,GACdqe,EAAkB,EAAQ,IAC1B7V,EAAU,EAAQ,IAA+BA,QACjD0E,EAAa,EAAQ,IAEzBxN,EAAOC,QAAU,SAAU0F,EAAQue,GACjC,IAGI5hB,EAHA+C,EAAIsZ,EAAgBhZ,GACpBxD,EAAI,EACJ4B,EAAS,GAEb,IAAKzB,KAAO+C,GAAI/E,EAAIkN,EAAYlL,IAAQhC,EAAI+E,EAAG/C,IAAQyB,EAAO0U,KAAKnW,GAEnE,KAAO4hB,EAAM7hB,OAASF,GAAO7B,EAAI+E,EAAG/C,EAAM4hB,EAAM/hB,SAC7C2G,EAAQ/E,EAAQzB,IAAQyB,EAAO0U,KAAKnW,IAEvC,OAAOyB,I,gBCfT,IAAI4a,EAAkB,EAAQ,IAC1BuB,EAAW,EAAQ,IACnBiE,EAAkB,EAAQ,KAG1BjD,EAAe,SAAUkD,GAC3B,OAAO,SAAU3C,EAAO4C,EAAIC,GAC1B,IAGI9e,EAHAH,EAAIsZ,EAAgB8C,GACpBpf,EAAS6d,EAAS7a,EAAEhD,QACpBsU,EAAQwN,EAAgBG,EAAWjiB,GAIvC,GAAI+hB,GAAeC,GAAMA,GAAI,KAAOhiB,EAASsU,GAG3C,IAFAnR,EAAQH,EAAEsR,OAEGnR,EAAO,OAAO,OAEtB,KAAMnD,EAASsU,EAAOA,IAC3B,IAAKyN,GAAezN,KAAStR,IAAMA,EAAEsR,KAAW0N,EAAI,OAAOD,GAAezN,GAAS,EACnF,OAAQyN,IAAgB,IAI9BpkB,EAAOC,QAAU,CAGfskB,SAAUrD,GAAa,GAGvBpY,QAASoY,GAAa,K,cC9BxBjhB,EAAQmF,EAAI5D,OAAOgjB,uB,gBCAnB,IAAIC,EAAqB,EAAQ,IAC7B/T,EAAc,EAAQ,IAI1B1Q,EAAOC,QAAUuB,OAAOoR,MAAQ,SAAcvN,GAC5C,OAAOof,EAAmBpf,EAAGqL,K,6BCJ/B1Q,EAAOC,QAAU,SAAciC,EAAIoC,GACjC,OAAO,WAEL,IADA,IAAIiU,EAAO,IAAIC,MAAMvU,UAAU5B,QACtBF,EAAI,EAAGA,EAAIoW,EAAKlW,OAAQF,IAC/BoW,EAAKpW,GAAK8B,UAAU9B,GAEtB,OAAOD,EAAG+N,MAAM3L,EAASiU,M,6BCN7B,IAAImM,EAAQ,EAAQ,GAEpB,SAASC,EAAOhjB,GACd,OAAO8G,mBAAmB9G,GACxB8C,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KAUrBzE,EAAOC,QAAU,SAAkB8H,EAAKC,EAAQ4c,GAE9C,IAAK5c,EACH,OAAOD,EAGT,IAAI8c,EACJ,GAAID,EACFC,EAAmBD,EAAiB5c,QAC/B,GAAI0c,EAAMlhB,kBAAkBwE,GACjC6c,EAAmB7c,EAAOzG,eACrB,CACL,IAAIma,EAAQ,GAEZgJ,EAAM1iB,QAAQgG,GAAQ,SAAmBrG,EAAKW,GACxCX,UAIA+iB,EAAMhjB,QAAQC,GAChBW,GAAY,KAEZX,EAAM,CAACA,GAGT+iB,EAAM1iB,QAAQL,GAAK,SAAoBmjB,GACjCJ,EAAMvhB,OAAO2hB,GACfA,EAAIA,EAAEC,cACGL,EAAM5iB,SAASgjB,KACxBA,EAAIvK,KAAKC,UAAUsK,IAErBpJ,EAAMjD,KAAKkM,EAAOriB,GAAO,IAAMqiB,EAAOG,WAI1CD,EAAmBnJ,EAAMzR,KAAK,KAGhC,GAAI4a,EAAkB,CACpB,IAAIG,EAAgBjd,EAAIe,QAAQ,MACT,IAAnBkc,IACFjd,EAAMA,EAAIoF,MAAM,EAAG6X,IAGrBjd,KAA8B,IAAtBA,EAAIe,QAAQ,KAAc,IAAM,KAAO+b,EAGjD,OAAO9c,I,6BCnET/H,EAAOC,QAAU,SAAkBuF,GACjC,SAAUA,IAASA,EAAMyf,c,8BCH3B,YAEA,IAAIP,EAAQ,EAAQ,GAChBQ,EAAsB,EAAQ,KAE9BC,EAAuB,CACzB,eAAgB,qCAGlB,SAASC,EAAsB/V,EAAS7J,IACjCkf,EAAM7iB,YAAYwN,IAAYqV,EAAM7iB,YAAYwN,EAAQ,mBAC3DA,EAAQ,gBAAkB7J,GAgB9B,IAXM6f,EAWFxV,EAAW,CACbwV,UAX8B,oBAAnBC,qBAGmB,IAAZtO,GAAuE,qBAA5CxV,OAAOC,UAAUF,SAASK,KAAKoV,MAD1EqO,EAAU,EAAQ,KAKbA,GAMPE,iBAAkB,CAAC,SAA0B9K,EAAMpL,GAGjD,OAFA6V,EAAoB7V,EAAS,UAC7B6V,EAAoB7V,EAAS,gBACzBqV,EAAM/hB,WAAW8X,IACnBiK,EAAMliB,cAAciY,IACpBiK,EAAMjiB,SAASgY,IACfiK,EAAMphB,SAASmX,IACfiK,EAAMthB,OAAOqX,IACbiK,EAAMrhB,OAAOoX,GAENA,EAELiK,EAAM7hB,kBAAkB4X,GACnBA,EAAKzX,OAEV0hB,EAAMlhB,kBAAkBiX,IAC1B2K,EAAsB/V,EAAS,mDACxBoL,EAAKlZ,YAEVmjB,EAAM5iB,SAAS2Y,IACjB2K,EAAsB/V,EAAS,kCACxBkL,KAAKC,UAAUC,IAEjBA,IAGT+K,kBAAmB,CAAC,SAA2B/K,GAE7C,GAAoB,iBAATA,EACT,IACEA,EAAOF,KAAKkL,MAAMhL,GAClB,MAAO5D,IAEX,OAAO4D,IAOT3C,QAAS,EAET4N,eAAgB,aAChBC,eAAgB,eAEhBC,kBAAmB,EAEnBC,eAAgB,SAAwBC,GACtC,OAAOA,GAAU,KAAOA,EAAS,MAIrCjW,EAASR,QAAU,CACjB0W,OAAQ,CACN,OAAU,sCAIdrB,EAAM1iB,QAAQ,CAAC,SAAU,MAAO,SAAS,SAA6BuM,GACpEsB,EAASR,QAAQd,GAAU,MAG7BmW,EAAM1iB,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BuM,GACrEsB,EAASR,QAAQd,GAAUmW,EAAM5gB,MAAMqhB,MAGzCnlB,EAAOC,QAAU4P,I,+CC9FjB,IAAI6U,EAAQ,EAAQ,GAChBsB,EAAS,EAAQ,KACjBC,EAAW,EAAQ,IACnBC,EAAgB,EAAQ,KACxBC,EAAe,EAAQ,KACvBC,EAAkB,EAAQ,KAC1BC,EAAc,EAAQ,IAE1BrmB,EAAOC,QAAU,SAAoB2I,GACnC,OAAO,IAAI0d,SAAQ,SAA4BC,EAASC,GACtD,IAAIC,EAAc7d,EAAO6R,KACrBiM,EAAiB9d,EAAOyG,QAExBqV,EAAM/hB,WAAW8jB,WACZC,EAAe,gBAGxB,IAAIC,EAAU,IAAIrB,eAGlB,GAAI1c,EAAOge,KAAM,CACf,IAAIC,EAAWje,EAAOge,KAAKC,UAAY,GACnCC,EAAWle,EAAOge,KAAKE,UAAY,GACvCJ,EAAeK,cAAgB,SAAW7M,KAAK2M,EAAW,IAAMC,GAGlE,IAAIE,EAAWd,EAActd,EAAOqe,QAASre,EAAOb,KA4EpD,GA3EA4e,EAAQ1U,KAAKrJ,EAAO2F,OAAO2Y,cAAejB,EAASe,EAAUpe,EAAOZ,OAAQY,EAAOgc,mBAAmB,GAGtG+B,EAAQ7O,QAAUlP,EAAOkP,QAGzB6O,EAAQQ,mBAAqB,WAC3B,GAAKR,GAAkC,IAAvBA,EAAQS,aAQD,IAAnBT,EAAQb,QAAkBa,EAAQU,aAAwD,IAAzCV,EAAQU,YAAYve,QAAQ,UAAjF,CAKA,IAAIwe,EAAkB,0BAA2BX,EAAUR,EAAaQ,EAAQY,yBAA2B,KAEvGC,EAAW,CACb/M,KAFkB7R,EAAO6e,cAAwC,SAAxB7e,EAAO6e,aAAiDd,EAAQa,SAA/Bb,EAAQe,aAGlF5B,OAAQa,EAAQb,OAChB6B,WAAYhB,EAAQgB,WACpBtY,QAASiY,EACT1e,OAAQA,EACR+d,QAASA,GAGXX,EAAOO,EAASC,EAAQgB,GAGxBb,EAAU,OAIZA,EAAQiB,QAAU,WACXjB,IAILH,EAAOH,EAAY,kBAAmBzd,EAAQ,eAAgB+d,IAG9DA,EAAU,OAIZA,EAAQkB,QAAU,WAGhBrB,EAAOH,EAAY,gBAAiBzd,EAAQ,KAAM+d,IAGlDA,EAAU,MAIZA,EAAQmB,UAAY,WAClB,IAAIC,EAAsB,cAAgBnf,EAAOkP,QAAU,cACvDlP,EAAOmf,sBACTA,EAAsBnf,EAAOmf,qBAE/BvB,EAAOH,EAAY0B,EAAqBnf,EAAQ,eAC9C+d,IAGFA,EAAU,MAMRjC,EAAMhhB,uBAAwB,CAChC,IAAIskB,EAAU,EAAQ,KAGlBC,GAAarf,EAAOsf,iBAAmB9B,EAAgBY,KAAcpe,EAAO8c,eAC9EsC,EAAQG,KAAKvf,EAAO8c,qBACpB5e,EAEEmhB,IACFvB,EAAe9d,EAAO+c,gBAAkBsC,GAuB5C,GAlBI,qBAAsBtB,GACxBjC,EAAM1iB,QAAQ0kB,GAAgB,SAA0B/kB,EAAKW,QAChC,IAAhBmkB,GAAqD,iBAAtBnkB,EAAI+Z,qBAErCqK,EAAepkB,GAGtBqkB,EAAQyB,iBAAiB9lB,EAAKX,MAM/B+iB,EAAM7iB,YAAY+G,EAAOsf,mBAC5BvB,EAAQuB,kBAAoBtf,EAAOsf,iBAIjCtf,EAAO6e,aACT,IACEd,EAAQc,aAAe7e,EAAO6e,aAC9B,MAAO5Q,GAGP,GAA4B,SAAxBjO,EAAO6e,aACT,MAAM5Q,EAM6B,mBAA9BjO,EAAOyf,oBAChB1B,EAAQ2B,iBAAiB,WAAY1f,EAAOyf,oBAIP,mBAA5Bzf,EAAO2f,kBAAmC5B,EAAQ6B,QAC3D7B,EAAQ6B,OAAOF,iBAAiB,WAAY1f,EAAO2f,kBAGjD3f,EAAO6f,aAET7f,EAAO6f,YAAYC,QAAQC,MAAK,SAAoBC,GAC7CjC,IAILA,EAAQkC,QACRrC,EAAOoC,GAEPjC,EAAU,cAIM7f,IAAhB2f,IACFA,EAAc,MAIhBE,EAAQmC,KAAKrC,Q,6BC/KjB,IAAIsC,EAAe,EAAQ,KAY3B/oB,EAAOC,QAAU,SAAqB+oB,EAASpgB,EAAQqgB,EAAMtC,EAASa,GACpE,IAAIrnB,EAAQ,IAAI+W,MAAM8R,GACtB,OAAOD,EAAa5oB,EAAOyI,EAAQqgB,EAAMtC,EAASa,K,6BCdpD,IAAI9C,EAAQ,EAAQ,GAUpB1kB,EAAOC,QAAU,SAAqBipB,EAASC,GAE7CA,EAAUA,GAAW,GACrB,IAAIvgB,EAAS,GAETwgB,EAAuB,CAAC,MAAO,SAAU,SAAU,QACnDC,EAA0B,CAAC,UAAW,OAAQ,SAC9CC,EAAuB,CACzB,UAAW,MAAO,mBAAoB,oBAAqB,mBAC3D,UAAW,kBAAmB,UAAW,eAAgB,iBACzD,iBAAkB,mBAAoB,qBACtC,mBAAoB,iBAAkB,eAAgB,YACtD,aAAc,cAAe,cAG/B5E,EAAM1iB,QAAQonB,GAAsB,SAA0BG,QAC/B,IAAlBJ,EAAQI,KACjB3gB,EAAO2gB,GAAQJ,EAAQI,OAI3B7E,EAAM1iB,QAAQqnB,GAAyB,SAA6BE,GAC9D7E,EAAM5iB,SAASqnB,EAAQI,IACzB3gB,EAAO2gB,GAAQ7E,EAAMxgB,UAAUglB,EAAQK,GAAOJ,EAAQI,SACpB,IAAlBJ,EAAQI,GACxB3gB,EAAO2gB,GAAQJ,EAAQI,GACd7E,EAAM5iB,SAASonB,EAAQK,IAChC3gB,EAAO2gB,GAAQ7E,EAAMxgB,UAAUglB,EAAQK,SACL,IAAlBL,EAAQK,KACxB3gB,EAAO2gB,GAAQL,EAAQK,OAI3B7E,EAAM1iB,QAAQsnB,GAAsB,SAA0BC,QAC/B,IAAlBJ,EAAQI,GACjB3gB,EAAO2gB,GAAQJ,EAAQI,QACW,IAAlBL,EAAQK,KACxB3gB,EAAO2gB,GAAQL,EAAQK,OAI3B,IAAIC,EAAYJ,EACblc,OAAOmc,GACPnc,OAAOoc,GAENG,EAAYjoB,OACboR,KAAKuW,GACLpL,QAAO,SAAyBzb,GAC/B,OAAmC,IAA5BknB,EAAU1gB,QAAQxG,MAW7B,OARAoiB,EAAM1iB,QAAQynB,GAAW,SAAmCF,QAC7B,IAAlBJ,EAAQI,GACjB3gB,EAAO2gB,GAAQJ,EAAQI,QACW,IAAlBL,EAAQK,KACxB3gB,EAAO2gB,GAAQL,EAAQK,OAIpB3gB,I,6BC/DT,SAAS8gB,EAAOV,GACd9e,KAAK8e,QAAUA,EAGjBU,EAAOjoB,UAAUF,SAAW,WAC1B,MAAO,UAAY2I,KAAK8e,QAAU,KAAO9e,KAAK8e,QAAU,KAG1DU,EAAOjoB,UAAUwjB,YAAa,EAE9BjlB,EAAOC,QAAUypB,G,6BCjBjB,IAAIrW,EAAI,EAAQ,IACZrR,EAAU,EAAQ,IAItBqR,EAAE,CAAEjN,OAAQ,QAASgP,OAAO,EAAMvO,OAAQ,GAAG7E,SAAWA,GAAW,CACjEA,QAASA,K,6BCNX,IAAI2nB,EAAW,EAAQ,IAAgC3nB,QACnD4nB,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElCC,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,WAI7C7pB,EAAOC,QAAY6pB,GAAkBC,EAEjC,GAAG/nB,QAFgD,SAAiB0f,GACtE,OAAOiI,EAASzf,KAAMwX,EAAYzd,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,K,gBCX1E,IAAI4L,EAAU,EAAQ,IAItB1S,EAAOC,QAAUuY,MAAM9W,SAAW,SAAiBif,GACjD,MAAuB,SAAhBjO,EAAQiO,K,gBCLjB,IAAI/b,EAAQ,EAAQ,GAEpB5E,EAAOC,UAAYuB,OAAOgjB,wBAA0B5f,GAAM,WAGxD,OAAQD,OAAOhE,c,gBCLjB,MAAM,0BAAEyS,GAA8B,EAAQ,IACxC4W,EAAQ,EAAQ,IAIhB3T,GAHNpW,EAAUD,EAAOC,QAAU,IAGRoW,GAAK,GAClBtE,EAAM9R,EAAQ8R,IAAM,GACpBkY,EAAIhqB,EAAQgqB,EAAI,GACtB,IAAIC,EAAI,EAER,MAAMC,EAAc,CAACrpB,EAAM0E,EAAO4kB,KAChC,MAAMzT,EAAQuT,IACdF,EAAMrT,EAAOnR,GACbykB,EAAEnpB,GAAQ6V,EACV5E,EAAI4E,GAASnR,EACb6Q,EAAGM,GAAS,IAAIhB,OAAOnQ,EAAO4kB,EAAW,SAAMtjB,IASjDqjB,EAAY,oBAAqB,eACjCA,EAAY,yBAA0B,UAMtCA,EAAY,uBAAwB,8BAKpCA,EAAY,cAAe,IAAIpY,EAAIkY,EAAEI,0BACdtY,EAAIkY,EAAEI,0BACNtY,EAAIkY,EAAEI,uBAE7BF,EAAY,mBAAoB,IAAIpY,EAAIkY,EAAEK,+BACdvY,EAAIkY,EAAEK,+BACNvY,EAAIkY,EAAEK,4BAKlCH,EAAY,uBAAwB,MAAMpY,EAAIkY,EAAEI,sBAC5CtY,EAAIkY,EAAEM,0BAEVJ,EAAY,4BAA6B,MAAMpY,EAAIkY,EAAEK,2BACjDvY,EAAIkY,EAAEM,0BAMVJ,EAAY,aAAc,QAAQpY,EAAIkY,EAAEO,8BAC/BzY,EAAIkY,EAAEO,6BAEfL,EAAY,kBAAmB,SAASpY,EAAIkY,EAAEQ,mCACrC1Y,EAAIkY,EAAEQ,kCAKfN,EAAY,kBAAmB,iBAM/BA,EAAY,QAAS,UAAUpY,EAAIkY,EAAES,yBAC5B3Y,EAAIkY,EAAES,wBAWfP,EAAY,YAAa,KAAKpY,EAAIkY,EAAEU,eACjC5Y,EAAIkY,EAAEW,eACP7Y,EAAIkY,EAAEY,WAERV,EAAY,OAAQ,IAAIpY,EAAIkY,EAAEa,eAK9BX,EAAY,aAAc,WAAWpY,EAAIkY,EAAEc,oBACxChZ,EAAIkY,EAAEe,oBACPjZ,EAAIkY,EAAEY,WAERV,EAAY,QAAS,IAAIpY,EAAIkY,EAAEgB,gBAE/Bd,EAAY,OAAQ,gBAKpBA,EAAY,wBAA4BpY,EAAIkY,EAAEK,wBAAT,YACrCH,EAAY,mBAAuBpY,EAAIkY,EAAEI,mBAAT,YAEhCF,EAAY,cAAe,YAAYpY,EAAIkY,EAAEiB,4BAChBnZ,EAAIkY,EAAEiB,4BACNnZ,EAAIkY,EAAEiB,wBACVnZ,EAAIkY,EAAEW,gBACV7Y,EAAIkY,EAAEY,eAG3BV,EAAY,mBAAoB,YAAYpY,EAAIkY,EAAEkB,iCAChBpZ,EAAIkY,EAAEkB,iCACNpZ,EAAIkY,EAAEkB,6BACVpZ,EAAIkY,EAAEe,qBACVjZ,EAAIkY,EAAEY,eAGhCV,EAAY,SAAU,IAAIpY,EAAIkY,EAAEmB,YAAYrZ,EAAIkY,EAAEoB,iBAClDlB,EAAY,cAAe,IAAIpY,EAAIkY,EAAEmB,YAAYrZ,EAAIkY,EAAEqB,sBAIvDnB,EAAY,SAAU,oBACI/W,mBACIA,qBACAA,qBAE9B+W,EAAY,YAAapY,EAAIkY,EAAEsB,SAAS,GAIxCpB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAASpY,EAAIkY,EAAEuB,kBAAkB,GAC1DvrB,EAAQwrB,iBAAmB,MAE3BtB,EAAY,QAAS,IAAIpY,EAAIkY,EAAEuB,aAAazZ,EAAIkY,EAAEoB,iBAClDlB,EAAY,aAAc,IAAIpY,EAAIkY,EAAEuB,aAAazZ,EAAIkY,EAAEqB,sBAIvDnB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAASpY,EAAIkY,EAAEyB,kBAAkB,GAC1DzrB,EAAQ0rB,iBAAmB,MAE3BxB,EAAY,QAAS,IAAIpY,EAAIkY,EAAEyB,aAAa3Z,EAAIkY,EAAEoB,iBAClDlB,EAAY,aAAc,IAAIpY,EAAIkY,EAAEyB,aAAa3Z,EAAIkY,EAAEqB,sBAGvDnB,EAAY,kBAAmB,IAAIpY,EAAIkY,EAAEmB,aAAarZ,EAAIkY,EAAEgB,oBAC5Dd,EAAY,aAAc,IAAIpY,EAAIkY,EAAEmB,aAAarZ,EAAIkY,EAAEa,mBAIvDX,EAAY,iBAAkB,SAASpY,EAAIkY,EAAEmB,aACrCrZ,EAAIkY,EAAEgB,eAAelZ,EAAIkY,EAAEoB,iBAAiB,GACpDprB,EAAQ2rB,sBAAwB,SAMhCzB,EAAY,cAAe,SAASpY,EAAIkY,EAAEoB,0BAEnBtZ,EAAIkY,EAAEoB,sBAG7BlB,EAAY,mBAAoB,SAASpY,EAAIkY,EAAEqB,+BAEnBvZ,EAAIkY,EAAEqB,2BAIlCnB,EAAY,OAAQ,mBAEpBA,EAAY,OAAQ,yBACpBA,EAAY,UAAW,4B,iBCrLvB,oBACqB,iBAAZnT,GACPA,EAAQ4B,KACR5B,EAAQ4B,IAAIiT,YACZ,cAAcxW,KAAK2B,EAAQ4B,IAAIiT,YAC7B,IAAItT,IAAS1N,QAAQ1K,MAAM,YAAaoY,GACxC,OAEJvY,EAAOC,QAAU+pB,I,kCCRjB,MAAMA,EAAQ,EAAQ,KAChB,WAAE7W,EAAU,iBAAEH,GAAqB,EAAQ,KAC3C,GAAEqD,EAAE,EAAE4T,GAAM,EAAQ,KAEpB,mBAAE6B,GAAuB,EAAQ,KACvC,MAAMC,EACJ,YAAajkB,EAAS5B,GAOpB,GANKA,GAA8B,iBAAZA,IACrBA,EAAU,CACR8lB,QAAS9lB,EACT+lB,mBAAmB,IAGnBnkB,aAAmBikB,EAAQ,CAC7B,GAAIjkB,EAAQkkB,UAAY9lB,EAAQ8lB,OAC5BlkB,EAAQmkB,sBAAwB/lB,EAAQ+lB,kBAC1C,OAAOnkB,EAEPA,EAAUA,EAAQA,aAEf,GAAuB,iBAAZA,EAChB,MAAM,IAAIpD,UAAU,oBAAoBoD,GAG1C,GAAIA,EAAQzF,OAAS8Q,EACnB,MAAM,IAAIzO,UACR,0BAA0ByO,gBAI9B6W,EAAM,SAAUliB,EAAS5B,GACzBgE,KAAKhE,QAAUA,EACfgE,KAAK8hB,QAAU9lB,EAAQ8lB,MAGvB9hB,KAAK+hB,oBAAsB/lB,EAAQ+lB,kBAEnC,MAAMC,EAAIpkB,EAAQvD,OAAO6R,MAAMlQ,EAAQ8lB,MAAQ3V,EAAG4T,EAAEkC,OAAS9V,EAAG4T,EAAEmC,OAElE,IAAKF,EACH,MAAM,IAAIxnB,UAAU,oBAAoBoD,GAU1C,GAPAoC,KAAKmiB,IAAMvkB,EAGXoC,KAAKoiB,OAASJ,EAAE,GAChBhiB,KAAKqiB,OAASL,EAAE,GAChBhiB,KAAKsiB,OAASN,EAAE,GAEZhiB,KAAKoiB,MAAQtZ,GAAoB9I,KAAKoiB,MAAQ,EAChD,MAAM,IAAI5nB,UAAU,yBAGtB,GAAIwF,KAAKqiB,MAAQvZ,GAAoB9I,KAAKqiB,MAAQ,EAChD,MAAM,IAAI7nB,UAAU,yBAGtB,GAAIwF,KAAKsiB,MAAQxZ,GAAoB9I,KAAKsiB,MAAQ,EAChD,MAAM,IAAI9nB,UAAU,yBAIjBwnB,EAAE,GAGLhiB,KAAKuiB,WAAaP,EAAE,GAAGriB,MAAM,KAAKkQ,IAAKlH,IACrC,GAAI,WAAWwC,KAAKxC,GAAK,CACvB,MAAM6Z,GAAO7Z,EACb,GAAI6Z,GAAO,GAAKA,EAAM1Z,EACpB,OAAO0Z,EAGX,OAAO7Z,IATT3I,KAAKuiB,WAAa,GAapBviB,KAAKyiB,MAAQT,EAAE,GAAKA,EAAE,GAAGriB,MAAM,KAAO,GACtCK,KAAK0iB,SAGP,SAKE,OAJA1iB,KAAKpC,QAAU,GAAGoC,KAAKoiB,SAASpiB,KAAKqiB,SAASriB,KAAKsiB,QAC/CtiB,KAAKuiB,WAAWpqB,SAClB6H,KAAKpC,SAAW,IAAIoC,KAAKuiB,WAAWxiB,KAAK,MAEpCC,KAAKpC,QAGd,WACE,OAAOoC,KAAKpC,QAGd,QAAS+kB,GAEP,GADA7C,EAAM,iBAAkB9f,KAAKpC,QAASoC,KAAKhE,QAAS2mB,KAC9CA,aAAiBd,GAAS,CAC9B,GAAqB,iBAAVc,GAAsBA,IAAU3iB,KAAKpC,QAC9C,OAAO,EAET+kB,EAAQ,IAAId,EAAOc,EAAO3iB,KAAKhE,SAGjC,OAAI2mB,EAAM/kB,UAAYoC,KAAKpC,QAClB,EAGFoC,KAAK4iB,YAAYD,IAAU3iB,KAAK6iB,WAAWF,GAGpD,YAAaA,GAKX,OAJMA,aAAiBd,IACrBc,EAAQ,IAAId,EAAOc,EAAO3iB,KAAKhE,UAI/B4lB,EAAmB5hB,KAAKoiB,MAAOO,EAAMP,QACrCR,EAAmB5hB,KAAKqiB,MAAOM,EAAMN,QACrCT,EAAmB5hB,KAAKsiB,MAAOK,EAAML,OAIzC,WAAYK,GAMV,GALMA,aAAiBd,IACrBc,EAAQ,IAAId,EAAOc,EAAO3iB,KAAKhE,UAI7BgE,KAAKuiB,WAAWpqB,SAAWwqB,EAAMJ,WAAWpqB,OAC9C,OAAQ,EACH,IAAK6H,KAAKuiB,WAAWpqB,QAAUwqB,EAAMJ,WAAWpqB,OACrD,OAAO,EACF,IAAK6H,KAAKuiB,WAAWpqB,SAAWwqB,EAAMJ,WAAWpqB,OACtD,OAAO,EAGT,IAAIF,EAAI,EACR,EAAG,CACD,MAAMiC,EAAI8F,KAAKuiB,WAAWtqB,GACpBkC,EAAIwoB,EAAMJ,WAAWtqB,GAE3B,GADA6nB,EAAM,qBAAsB7nB,EAAGiC,EAAGC,QACxByC,IAAN1C,QAAyB0C,IAANzC,EACrB,OAAO,EACF,QAAUyC,IAANzC,EACT,OAAO,EACF,QAAUyC,IAAN1C,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAOynB,EAAmB1nB,EAAGC,WAEtBlC,GAGb,aAAc0qB,GACNA,aAAiBd,IACrBc,EAAQ,IAAId,EAAOc,EAAO3iB,KAAKhE,UAGjC,IAAI/D,EAAI,EACR,EAAG,CACD,MAAMiC,EAAI8F,KAAKyiB,MAAMxqB,GACfkC,EAAIwoB,EAAMF,MAAMxqB,GAEtB,GADA6nB,EAAM,qBAAsB7nB,EAAGiC,EAAGC,QACxByC,IAAN1C,QAAyB0C,IAANzC,EACrB,OAAO,EACF,QAAUyC,IAANzC,EACT,OAAO,EACF,QAAUyC,IAAN1C,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAOynB,EAAmB1nB,EAAGC,WAEtBlC,GAKb,IAAK6qB,EAASC,GACZ,OAAQD,GACN,IAAK,WACH9iB,KAAKuiB,WAAWpqB,OAAS,EACzB6H,KAAKsiB,MAAQ,EACbtiB,KAAKqiB,MAAQ,EACbriB,KAAKoiB,QACLpiB,KAAKgjB,IAAI,MAAOD,GAChB,MACF,IAAK,WACH/iB,KAAKuiB,WAAWpqB,OAAS,EACzB6H,KAAKsiB,MAAQ,EACbtiB,KAAKqiB,QACLriB,KAAKgjB,IAAI,MAAOD,GAChB,MACF,IAAK,WAIH/iB,KAAKuiB,WAAWpqB,OAAS,EACzB6H,KAAKgjB,IAAI,QAASD,GAClB/iB,KAAKgjB,IAAI,MAAOD,GAChB,MAGF,IAAK,aAC4B,IAA3B/iB,KAAKuiB,WAAWpqB,QAClB6H,KAAKgjB,IAAI,QAASD,GAEpB/iB,KAAKgjB,IAAI,MAAOD,GAChB,MAEF,IAAK,QAMc,IAAf/iB,KAAKqiB,OACU,IAAfriB,KAAKsiB,OACsB,IAA3BtiB,KAAKuiB,WAAWpqB,QAEhB6H,KAAKoiB,QAEPpiB,KAAKqiB,MAAQ,EACbriB,KAAKsiB,MAAQ,EACbtiB,KAAKuiB,WAAa,GAClB,MACF,IAAK,QAKgB,IAAfviB,KAAKsiB,OAA0C,IAA3BtiB,KAAKuiB,WAAWpqB,QACtC6H,KAAKqiB,QAEPriB,KAAKsiB,MAAQ,EACbtiB,KAAKuiB,WAAa,GAClB,MACF,IAAK,QAK4B,IAA3BviB,KAAKuiB,WAAWpqB,QAClB6H,KAAKsiB,QAEPtiB,KAAKuiB,WAAa,GAClB,MAGF,IAAK,MACH,GAA+B,IAA3BviB,KAAKuiB,WAAWpqB,OAClB6H,KAAKuiB,WAAa,CAAC,OACd,CACL,IAAItqB,EAAI+H,KAAKuiB,WAAWpqB,OACxB,OAASF,GAAK,GACsB,iBAAvB+H,KAAKuiB,WAAWtqB,KACzB+H,KAAKuiB,WAAWtqB,KAChBA,GAAK,IAGE,IAAPA,GAEF+H,KAAKuiB,WAAWhU,KAAK,GAGrBwU,IAGE/iB,KAAKuiB,WAAW,KAAOQ,EACrBve,MAAMxE,KAAKuiB,WAAW,MACxBviB,KAAKuiB,WAAa,CAACQ,EAAY,IAGjC/iB,KAAKuiB,WAAa,CAACQ,EAAY,IAGnC,MAEF,QACE,MAAM,IAAI/V,MAAM,+BAA+B8V,GAInD,OAFA9iB,KAAK0iB,SACL1iB,KAAKmiB,IAAMniB,KAAKpC,QACToC,MAIXlK,EAAOC,QAAU8rB,G,6BChSjB,IAaIlY,EAAmBsZ,EAAmCC,EAbtD7Z,EAAiB,EAAQ,IACzB1N,EAA8B,EAAQ,GACtCvF,EAAM,EAAQ,GACd+R,EAAkB,EAAQ,GAC1BqB,EAAU,EAAQ,IAElBK,EAAW1B,EAAgB,YAC3ByB,GAAyB,EAQzB,GAAGlB,OAGC,SAFNwa,EAAgB,GAAGxa,SAIjBua,EAAoC5Z,EAAeA,EAAe6Z,OACxB5rB,OAAOC,YAAWoS,EAAoBsZ,GAHlDrZ,GAAyB,GAOlChN,MAArB+M,IAAgCA,EAAoB,IAGnDH,GAAYpT,EAAIuT,EAAmBE,IACtClO,EAA4BgO,EAAmBE,GApBhC,WAAc,OAAO7J,QAuBtClK,EAAOC,QAAU,CACf4T,kBAAmBA,EACnBC,uBAAwBA,I,gBCnC1B,IAAIxT,EAAM,EAAQ,GACd2f,EAAW,EAAQ,IACnB1S,EAAY,EAAQ,IACpB8f,EAA2B,EAAQ,KAEnCxc,EAAWtD,EAAU,YACrB+f,EAAkB9rB,OAAOC,UAI7BzB,EAAOC,QAAUotB,EAA2B7rB,OAAO+R,eAAiB,SAAUlO,GAE5E,OADAA,EAAI4a,EAAS5a,GACT/E,EAAI+E,EAAGwL,GAAkBxL,EAAEwL,GACH,mBAAjBxL,EAAE3C,aAA6B2C,aAAaA,EAAE3C,YAChD2C,EAAE3C,YAAYjB,UACd4D,aAAa7D,OAAS8rB,EAAkB,O,gBCfnD,IAAIroB,EAAW,EAAQ,GACnBsoB,EAAqB,EAAQ,KAMjCvtB,EAAOC,QAAUuB,OAAOgS,iBAAmB,aAAe,GAAK,WAC7D,IAEIga,EAFAC,GAAiB,EACjBpY,EAAO,GAEX,KACEmY,EAAShsB,OAAOoE,yBAAyBpE,OAAOC,UAAW,aAAa2L,KACjExL,KAAKyT,EAAM,IAClBoY,EAAiBpY,aAAgBmD,MACjC,MAAOrY,IACT,OAAO,SAAwBkF,EAAG+P,GAKhC,OAJAnQ,EAASI,GACTkoB,EAAmBnY,GACfqY,EAAgBD,EAAO5rB,KAAKyD,EAAG+P,GAC9B/P,EAAEqoB,UAAYtY,EACZ/P,GAdoD,QAgBzDyB,I,gBCvBN,IAAI0G,EAAa,EAAQ,IACrB1L,EAAW,EAAQ,GACnBxB,EAAM,EAAQ,GACduE,EAAiB,EAAQ,GAAuCO,EAChE7E,EAAM,EAAQ,IACdotB,EAAW,EAAQ,KAEnBC,EAAWrtB,EAAI,QACfsS,EAAK,EAELgb,EAAersB,OAAOqsB,cAAgB,WACxC,OAAO,GAGLC,EAAc,SAAU/sB,GAC1B8D,EAAe9D,EAAI6sB,EAAU,CAAEpoB,MAAO,CACpCuoB,SAAU,OAAQlb,EAClBmb,SAAU,OAoCVC,EAAOjuB,EAAOC,QAAU,CAC1BiuB,UAAU,EACVC,QAlCY,SAAUptB,EAAIqO,GAE1B,IAAKtN,EAASf,GAAK,MAAoB,iBAANA,EAAiBA,GAAmB,iBAANA,EAAiB,IAAM,KAAOA,EAC7F,IAAKT,EAAIS,EAAI6sB,GAAW,CAEtB,IAAKC,EAAa9sB,GAAK,MAAO,IAE9B,IAAKqO,EAAQ,MAAO,IAEpB0e,EAAY/sB,GAEZ,OAAOA,EAAG6sB,GAAUG,UAwBtBK,YArBgB,SAAUrtB,EAAIqO,GAC9B,IAAK9O,EAAIS,EAAI6sB,GAAW,CAEtB,IAAKC,EAAa9sB,GAAK,OAAO,EAE9B,IAAKqO,EAAQ,OAAO,EAEpB0e,EAAY/sB,GAEZ,OAAOA,EAAG6sB,GAAUI,UAatBK,SATa,SAAUttB,GAEvB,OADI4sB,GAAYM,EAAKC,UAAYL,EAAa9sB,KAAQT,EAAIS,EAAI6sB,IAAWE,EAAY/sB,GAC9EA,IAUTyM,EAAWogB,IAAY,G,cC1DvB5tB,EAAOC,QAAU,CACfquB,YAAa,EACbC,oBAAqB,EACrBC,aAAc,EACdC,eAAgB,EAChBC,YAAa,EACbC,cAAe,EACfC,aAAc,EACdC,qBAAsB,EACtBC,SAAU,EACVC,kBAAmB,EACnBC,eAAgB,EAChBC,gBAAiB,EACjBC,kBAAmB,EACnBC,UAAW,EACXC,cAAe,EACfC,aAAc,EACdC,SAAU,EACVC,iBAAkB,EAClBC,OAAQ,EACRC,YAAa,EACbC,cAAe,EACfC,cAAe,EACfC,eAAgB,EAChBC,aAAc,EACdC,cAAe,EACfC,iBAAkB,EAClBC,iBAAkB,EAClBC,eAAgB,EAChBC,iBAAkB,EAClBC,cAAe,EACfC,UAAW,I,6BChCb,IAAInrB,EAAW,EAAQ,GAIvBjF,EAAOC,QAAU,WACf,IAAI8P,EAAO9K,EAASiF,MAChBnG,EAAS,GAOb,OANIgM,EAAK3P,SAAQ2D,GAAU,KACvBgM,EAAKsgB,aAAYtsB,GAAU,KAC3BgM,EAAK2G,YAAW3S,GAAU,KAC1BgM,EAAKugB,SAAQvsB,GAAU,KACvBgM,EAAKwgB,UAASxsB,GAAU,KACxBgM,EAAKuG,SAAQvS,GAAU,KACpBA,I,8BCbT,IAAI+B,EAAW,EAAQ,IACnBb,EAAW,EAAQ,GACnBL,EAAQ,EAAQ,GAChB2R,EAAQ,EAAQ,IAGhBia,EAAkB7a,OAAOlU,UACzBgvB,EAAiBD,EAAyB,SAE1CE,EAAc9rB,GAAM,WAAc,MAA2D,QAApD6rB,EAAe7uB,KAAK,CAAEuE,OAAQ,IAAKoQ,MAAO,SAEnFoa,EANY,YAMKF,EAAe3vB,MAIhC4vB,GAAeC,IACjB7qB,EAAS6P,OAAOlU,UAXF,YAWwB,WACpC,IAAIyoB,EAAIjlB,EAASiF,MACb0mB,EAAIjsB,OAAOulB,EAAE/jB,QACb0qB,EAAK3G,EAAE3T,MAEX,MAAO,IAAMqa,EAAI,IADTjsB,YAAcmC,IAAP+pB,GAAoB3G,aAAavU,UAAY,UAAW6a,GAAmBja,EAAM3U,KAAKsoB,GAAK2G,KAEzG,CAAE/mB,QAAQ,K,6BCtBf,IAAIpB,EAAS,EAAQ,IAAiCA,OAClDc,EAAsB,EAAQ,IAC9BqV,EAAiB,EAAQ,IAGzBC,EAAmBtV,EAAoB4D,IACvC3D,EAAmBD,EAAoBwE,UAFrB,mBAMtB6Q,EAAela,OAAQ,UAAU,SAAUoa,GACzCD,EAAiB5U,KAAM,CACrBnB,KARkB,kBASlB2W,OAAQ/a,OAAOoa,GACfpI,MAAO,OAIR,WACD,IAGIma,EAHA5iB,EAAQzE,EAAiBS,MACzBwV,EAASxR,EAAMwR,OACf/I,EAAQzI,EAAMyI,MAElB,OAAIA,GAAS+I,EAAOrd,OAAe,CAAEmD,WAAOsB,EAAWmY,MAAM,IAC7D6R,EAAQpoB,EAAOgX,EAAQ/I,GACvBzI,EAAMyI,OAASma,EAAMzuB,OACd,CAAEmD,MAAOsrB,EAAO7R,MAAM,Q,6BC1B/B,IAAI8R,EAAgC,EAAQ,KACxC9rB,EAAW,EAAQ,GACnBgb,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBjV,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IACjC4lB,EAAqB,EAAQ,KAC7BC,EAAa,EAAQ,KAErBC,EAAMlwB,KAAKkwB,IACXhmB,EAAMlK,KAAKkK,IACXuD,EAAQzN,KAAKyN,MACb0iB,EAAuB,4BACvBC,EAAgC,oBAOpCL,EAA8B,UAAW,GAAG,SAAUM,EAASzb,EAAe0b,EAAiBC,GAC7F,IAAIC,EAA+CD,EAAOC,6CACtDC,EAAmBF,EAAOE,iBAC1BC,EAAoBF,EAA+C,IAAM,KAE7E,MAAO,CAGL,SAAiBG,EAAaC,GAC5B,IAAIvsB,EAAI+F,EAAuBlB,MAC3B2nB,EAA0B/qB,MAAf6qB,OAA2B7qB,EAAY6qB,EAAYN,GAClE,YAAoBvqB,IAAb+qB,EACHA,EAASjwB,KAAK+vB,EAAatsB,EAAGusB,GAC9Bhc,EAAchU,KAAK+C,OAAOU,GAAIssB,EAAaC,IAIjD,SAAUE,EAAQF,GAChB,IACIJ,GAAgDC,GACzB,iBAAjBG,IAA0E,IAA7CA,EAAa9oB,QAAQ4oB,GAC1D,CACA,IAAIK,EAAMT,EAAgB1b,EAAekc,EAAQ5nB,KAAM0nB,GACvD,GAAIG,EAAI9S,KAAM,OAAO8S,EAAIvsB,MAG3B,IAAIwsB,EAAK/sB,EAAS6sB,GACdvO,EAAI5e,OAAOuF,MAEX+nB,EAA4C,mBAAjBL,EAC1BK,IAAmBL,EAAejtB,OAAOitB,IAE9C,IAAIxxB,EAAS4xB,EAAG5xB,OAChB,GAAIA,EAAQ,CACV,IAAI8xB,EAAcF,EAAGzB,QACrByB,EAAGjc,UAAY,EAGjB,IADA,IAAIoc,EAAU,KACD,CACX,IAAIpuB,EAASktB,EAAWe,EAAIzO,GAC5B,GAAe,OAAXxf,EAAiB,MAGrB,GADAouB,EAAQ1Z,KAAK1U,IACR3D,EAAQ,MAGI,KADFuE,OAAOZ,EAAO,MACRiuB,EAAGjc,UAAYib,EAAmBzN,EAAGrD,EAAS8R,EAAGjc,WAAYmc,IAKpF,IAFA,IAtDwBnxB,EAsDpBqxB,EAAoB,GACpBC,EAAqB,EAChBlwB,EAAI,EAAGA,EAAIgwB,EAAQ9vB,OAAQF,IAAK,CACvC4B,EAASouB,EAAQhwB,GAUjB,IARA,IAAImwB,EAAU3tB,OAAOZ,EAAO,IACxByf,EAAW0N,EAAIhmB,EAAID,EAAUlH,EAAO4S,OAAQ4M,EAAElhB,QAAS,GACvDkwB,EAAW,GAMNzV,EAAI,EAAGA,EAAI/Y,EAAO1B,OAAQya,IAAKyV,EAAS9Z,UAlEzC3R,KADc/F,EAmE8CgD,EAAO+Y,IAlEvD/b,EAAK4D,OAAO5D,IAmEhC,IAAIyxB,EAAgBzuB,EAAO0uB,OAC3B,GAAIR,EAAmB,CACrB,IAAIS,EAAe,CAACJ,GAASplB,OAAOqlB,EAAU/O,EAAUD,QAClCzc,IAAlB0rB,GAA6BE,EAAaja,KAAK+Z,GACnD,IAAI1U,EAAcnZ,OAAOitB,EAAa3hB,WAAMnJ,EAAW4rB,SAEvD5U,EAAc6U,EAAgBL,EAAS/O,EAAGC,EAAU+O,EAAUC,EAAeZ,GAE3EpO,GAAY6O,IACdD,GAAqB7O,EAAEpW,MAAMklB,EAAoB7O,GAAY1F,EAC7DuU,EAAqB7O,EAAW8O,EAAQjwB,QAG5C,OAAO+vB,EAAoB7O,EAAEpW,MAAMklB,KAKvC,SAASM,EAAgBL,EAAS9tB,EAAKgf,EAAU+O,EAAUC,EAAe1U,GACxE,IAAI8U,EAAUpP,EAAW8O,EAAQjwB,OAC7B6pB,EAAIqG,EAASlwB,OACbwwB,EAAUzB,EAKd,YAJsBtqB,IAAlB0rB,IACFA,EAAgBvS,EAASuS,GACzBK,EAAU1B,GAELvb,EAAchU,KAAKkc,EAAa+U,GAAS,SAAUzc,EAAO0c,GAC/D,IAAIC,EACJ,OAAQD,EAAGpqB,OAAO,IAChB,IAAK,IAAK,MAAO,IACjB,IAAK,IAAK,OAAO4pB,EACjB,IAAK,IAAK,OAAO9tB,EAAI2I,MAAM,EAAGqW,GAC9B,IAAK,IAAK,OAAOhf,EAAI2I,MAAMylB,GAC3B,IAAK,IACHG,EAAUP,EAAcM,EAAG3lB,MAAM,GAAI,IACrC,MACF,QACE,IAAI4T,GAAK+R,EACT,GAAU,IAAN/R,EAAS,OAAO3K,EACpB,GAAI2K,EAAImL,EAAG,CACT,IAAI9mB,EAAIqJ,EAAMsS,EAAI,IAClB,OAAU,IAAN3b,EAAgBgR,EAChBhR,GAAK8mB,OAA8BplB,IAApByrB,EAASntB,EAAI,GAAmB0tB,EAAGpqB,OAAO,GAAK6pB,EAASntB,EAAI,GAAK0tB,EAAGpqB,OAAO,GACvF0N,EAET2c,EAAUR,EAASxR,EAAI,GAE3B,YAAmBja,IAAZisB,EAAwB,GAAKA,U,gBCnI1C,IAAI3yB,EAAS,EAAQ,GACjB4yB,EAAe,EAAQ,IACvBC,EAAuB,EAAQ,IAC/BptB,EAA8B,EAAQ,GACtCwM,EAAkB,EAAQ,GAE1B0B,EAAW1B,EAAgB,YAC3BD,EAAgBC,EAAgB,eAChC6gB,EAAcD,EAAqB9d,OAEvC,IAAK,IAAIge,KAAmBH,EAAc,CACxC,IAAII,EAAahzB,EAAO+yB,GACpBE,EAAsBD,GAAcA,EAAW3xB,UACnD,GAAI4xB,EAAqB,CAEvB,GAAIA,EAAoBtf,KAAcmf,EAAa,IACjDrtB,EAA4BwtB,EAAqBtf,EAAUmf,GAC3D,MAAO/yB,GACPkzB,EAAoBtf,GAAYmf,EAKlC,GAHKG,EAAoBjhB,IACvBvM,EAA4BwtB,EAAqBjhB,EAAe+gB,GAE9DH,EAAaG,GAAkB,IAAK,IAAI/iB,KAAe6iB,EAEzD,GAAII,EAAoBjjB,KAAiB6iB,EAAqB7iB,GAAc,IAC1EvK,EAA4BwtB,EAAqBjjB,EAAa6iB,EAAqB7iB,IACnF,MAAOjQ,GACPkzB,EAAoBjjB,GAAe6iB,EAAqB7iB,O,6BC3BhE,IAAIiD,EAAI,EAAQ,IACZigB,EAAW,EAAQ,IAA+BxqB,QAClD8gB,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElC0J,EAAgB,GAAGzqB,QAEnB0qB,IAAkBD,GAAiB,EAAI,CAAC,GAAGzqB,QAAQ,GAAI,GAAK,EAC5DghB,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,UAAW,CAAExZ,WAAW,EAAMyT,EAAG,IAI9EzQ,EAAE,CAAEjN,OAAQ,QAASgP,OAAO,EAAMvO,OAAQ2sB,IAAkB1J,IAAkBC,GAAkB,CAC9FjhB,QAAS,SAAiB2qB,GACxB,OAAOD,EAEHD,EAActjB,MAAM/F,KAAMjG,YAAc,EACxCqvB,EAASppB,KAAMupB,EAAexvB,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,O,cCnB5E9G,EAAOC,QAAU,SAAUc,GACzB,GAAiB,mBAANA,EACT,MAAM2D,UAAUC,OAAO5D,GAAM,sBAC7B,OAAOA,I,6BCFX,IAAImE,EAAc,EAAQ,IACtBO,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC1F,EAAOC,QAAU,SAAU0F,EAAQrD,EAAKkD,GACtC,IAAIkuB,EAAcxuB,EAAY5C,GAC1BoxB,KAAe/tB,EAAQF,EAAqBL,EAAEO,EAAQ+tB,EAAahuB,EAAyB,EAAGF,IAC9FG,EAAO+tB,GAAeluB,I,gBCR7B,IAAIkN,EAAU,EAAQ,IAClBiB,EAAY,EAAQ,IAGpBI,EAFkB,EAAQ,EAEf1B,CAAgB,YAE/BrS,EAAOC,QAAU,SAAUc,GACzB,GAAU+F,MAAN/F,EAAiB,OAAOA,EAAGgT,IAC1BhT,EAAG,eACH4S,EAAUjB,EAAQ3R,M,gBCTzB,IAAI0jB,EAAqB,EAAQ,IAG7BjX,EAFc,EAAQ,IAEGN,OAAO,SAAU,aAI9CjN,EAAQmF,EAAI5D,OAAOmyB,qBAAuB,SAA6BtuB,GACrE,OAAOof,EAAmBpf,EAAGmI,K,gBCR/B,IAAIvC,EAAY,EAAQ,IAEpBimB,EAAMlwB,KAAKkwB,IACXhmB,EAAMlK,KAAKkK,IAKflL,EAAOC,QAAU,SAAU0W,EAAOtU,GAChC,IAAIuxB,EAAU3oB,EAAU0L,GACxB,OAAOid,EAAU,EAAI1C,EAAI0C,EAAUvxB,EAAQ,GAAK6I,EAAI0oB,EAASvxB,K,6BCR/Db,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAEThE,OAAOqD,eAAe5E,EAAS,kBAAmB,CAChD+J,YAAY,EACZlF,IAAK,WACH,OAAO+uB,EAActkB,mBAGzB/N,OAAOqD,eAAe5E,EAAS,uBAAwB,CACrD+J,YAAY,EACZlF,IAAK,WACH,OAAO+uB,EAAclkB,wBAGzBnO,OAAOqD,eAAe5E,EAAS,iBAAkB,CAC/C+J,YAAY,EACZlF,IAAK,WACH,OAAOgvB,EAAMC,kBAIjB,IAAIF,EAAgB,EAAQ,KAExBC,EAAQ,EAAQ,M,6BCzBpB,IAAIzgB,EAAI,EAAQ,IACZ2gB,EAAU,EAAQ,IAAgCjW,OAClDsC,EAA+B,EAAQ,IACvCwJ,EAA0B,EAAQ,IAElCoK,EAAsB5T,EAA6B,UAEnD0J,EAAiBF,EAAwB,UAK7CxW,EAAE,CAAEjN,OAAQ,QAASgP,OAAO,EAAMvO,QAASotB,IAAwBlK,GAAkB,CACnFhM,OAAQ,SAAgB2D,GACtB,OAAOsS,EAAQ9pB,KAAMwX,EAAYzd,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,O,gBCf3E,IAAIhF,EAAW,EAAQ,GACnB0R,EAAiB,EAAQ,IAG7BxT,EAAOC,QAAU,SAAUwhB,EAAOyS,EAAOC,GACvC,IAAIC,EAAWC,EAUf,OAPE7gB,GAE0C,mBAAlC4gB,EAAYF,EAAMxxB,cAC1B0xB,IAAcD,GACdryB,EAASuyB,EAAqBD,EAAU3yB,YACxC4yB,IAAuBF,EAAQ1yB,WAC/B+R,EAAeiO,EAAO4S,GACjB5S,I,gBCfT,IAAI3b,EAAW,EAAQ,IAEvB9F,EAAOC,QAAU,SAAUmG,EAAQ2L,EAAK7L,GACtC,IAAK,IAAI5D,KAAOyP,EAAKjM,EAASM,EAAQ9D,EAAKyP,EAAIzP,GAAM4D,GACrD,OAAOE,I,6BCHT,IAAIrB,EAAc,EAAQ,GACtBH,EAAQ,EAAQ,GAChB0vB,EAAa,EAAQ,IACrBC,EAA8B,EAAQ,IACtCpV,EAA6B,EAAQ,IACrCc,EAAW,EAAQ,IACnBnR,EAAgB,EAAQ,IAExB0lB,EAAehzB,OAAO0G,OACtBrD,EAAiBrD,OAAOqD,eAI5B7E,EAAOC,SAAWu0B,GAAgB5vB,GAAM,WAEtC,GAAIG,GAQiB,IARFyvB,EAAa,CAAEnwB,EAAG,GAAKmwB,EAAa3vB,EAAe,GAAI,IAAK,CAC7EmF,YAAY,EACZlF,IAAK,WACHD,EAAeqF,KAAM,IAAK,CACxB1E,MAAO,EACPwE,YAAY,OAGd,CAAE3F,EAAG,KAAMA,EAAS,OAAO,EAE/B,IAAIyc,EAAI,GACJ2T,EAAI,GAEJC,EAAS/zB,SAIb,OAFAmgB,EAAE4T,GAAU,EADG,uBAEN7qB,MAAM,IAAI7H,SAAQ,SAAU2yB,GAAOF,EAAEE,GAAOA,KACf,GAA/BH,EAAa,GAAI1T,GAAG4T,IAHZ,wBAG4BJ,EAAWE,EAAa,GAAIC,IAAIxqB,KAAK,OAC7E,SAAgB7D,EAAQD,GAM3B,IALA,IAAIyuB,EAAI3U,EAAS7Z,GACbyuB,EAAkB5wB,UAAU5B,OAC5BsU,EAAQ,EACR6N,EAAwB+P,EAA4BnvB,EACpDuN,EAAuBwM,EAA2B/Z,EAC/CyvB,EAAkBle,GAMvB,IALA,IAIIrU,EAJAihB,EAAIzU,EAAc7K,UAAU0S,MAC5B/D,EAAO4R,EAAwB8P,EAAW/Q,GAAGrW,OAAOsX,EAAsBjB,IAAM+Q,EAAW/Q,GAC3FlhB,EAASuQ,EAAKvQ,OACdya,EAAI,EAEDza,EAASya,GACdxa,EAAMsQ,EAAKkK,KACN/X,IAAe4N,EAAqB/Q,KAAK2hB,EAAGjhB,KAAMsyB,EAAEtyB,GAAOihB,EAAEjhB,IAEpE,OAAOsyB,GACPJ,G,gBCnDJ,IAAIM,EAAa,EAAQ,IAEzB90B,EAAOC,QAAU60B,EAAW,YAAa,cAAgB,I,gBCFzD,IAAI/vB,EAAc,EAAQ,GACtBU,EAAuB,EAAQ,GAC/BR,EAAW,EAAQ,GACnBqvB,EAAa,EAAQ,IAIzBt0B,EAAOC,QAAU8E,EAAcvD,OAAOiP,iBAAmB,SAA0BpL,EAAG8M,GACpFlN,EAASI,GAKT,IAJA,IAGI/C,EAHAsQ,EAAO0hB,EAAWniB,GAClB9P,EAASuQ,EAAKvQ,OACdsU,EAAQ,EAELtU,EAASsU,GAAOlR,EAAqBL,EAAEC,EAAG/C,EAAMsQ,EAAK+D,KAAUxE,EAAW7P,IACjF,OAAO+C,I,gBCdT,IAAIyvB,EAAa,EAAQ,IAEzB90B,EAAOC,QAAU60B,EAAW,WAAY,oB,6BCDxC,IAAIjhB,EAAoB,EAAQ,IAA+BA,kBAC3DzE,EAAS,EAAQ,IACjB1J,EAA2B,EAAQ,IACnC+N,EAAiB,EAAQ,IACzBE,EAAY,EAAQ,IAEpBK,EAAa,WAAc,OAAO9J,MAEtClK,EAAOC,QAAU,SAAUkU,EAAqBD,EAAME,GACpD,IAAIhC,EAAgB8B,EAAO,YAI3B,OAHAC,EAAoB1S,UAAY2N,EAAOyE,EAAmB,CAAEO,KAAM1O,EAAyB,EAAG0O,KAC9FX,EAAeU,EAAqB/B,GAAe,GAAO,GAC1DuB,EAAUvB,GAAiB4B,EACpBG,I,gBCdT,IAAI9B,EAAkB,EAAQ,GAC1BsB,EAAY,EAAQ,IAEpBI,EAAW1B,EAAgB,YAC3B0iB,EAAiBvc,MAAM/W,UAG3BzB,EAAOC,QAAU,SAAUc,GACzB,YAAc+F,IAAP/F,IAAqB4S,EAAU6E,QAAUzX,GAAMg0B,EAAehhB,KAAchT,K,gBCRrF,IAAIkE,EAAW,EAAQ,GAGvBjF,EAAOC,QAAU,SAAUyiB,EAAUxgB,EAAIsD,EAAOwvB,GAC9C,IACE,OAAOA,EAAU9yB,EAAG+C,EAASO,GAAO,GAAIA,EAAM,IAAMtD,EAAGsD,GAEvD,MAAOrF,GACP,IAAI80B,EAAevS,EAAiB,OAEpC,WADqB5b,IAAjBmuB,GAA4BhwB,EAASgwB,EAAarzB,KAAK8gB,IACrDviB,K,gBCVV,IAEI4T,EAFkB,EAAQ,EAEf1B,CAAgB,YAC3B6iB,GAAe,EAEnB,IACE,IAAIC,EAAS,EACTC,EAAqB,CACvBhhB,KAAM,WACJ,MAAO,CAAE6K,OAAQkW,MAEnB,OAAU,WACRD,GAAe,IAGnBE,EAAmBrhB,GAAY,WAC7B,OAAO7J,MAGTsO,MAAM6c,KAAKD,GAAoB,WAAc,MAAM,KACnD,MAAOj1B,IAETH,EAAOC,QAAU,SAAUC,EAAMo1B,GAC/B,IAAKA,IAAiBJ,EAAc,OAAO,EAC3C,IAAIK,GAAoB,EACxB,IACE,IAAI5vB,EAAS,GACbA,EAAOoO,GAAY,WACjB,MAAO,CACLK,KAAM,WACJ,MAAO,CAAE6K,KAAMsW,GAAoB,MAIzCr1B,EAAKyF,GACL,MAAOxF,IACT,OAAOo1B,I,6BCnCT,IAAIT,EAAa,EAAQ,IACrBrvB,EAAuB,EAAQ,GAC/B4M,EAAkB,EAAQ,GAC1BtN,EAAc,EAAQ,GAEtB6a,EAAUvN,EAAgB,WAE9BrS,EAAOC,QAAU,SAAUu1B,GACzB,IAAI1V,EAAcgV,EAAWU,GACzB3wB,EAAiBY,EAAqBL,EAEtCL,GAAe+a,IAAgBA,EAAYF,IAC7C/a,EAAeib,EAAaF,EAAS,CACnChR,cAAc,EACd9J,IAAK,WAAc,OAAOoF,U,6BCbhC,EAAQ,IACR,IAAIpE,EAAW,EAAQ,IACnBlB,EAAQ,EAAQ,GAChByN,EAAkB,EAAQ,GAC1BojB,EAAa,EAAQ,IACrB5vB,EAA8B,EAAQ,GAEtC+Z,EAAUvN,EAAgB,WAE1BqjB,GAAiC9wB,GAAM,WAIzC,IAAIyR,EAAK,IAMT,OALAA,EAAGnW,KAAO,WACR,IAAI6D,EAAS,GAEb,OADAA,EAAO0uB,OAAS,CAAEruB,EAAG,KACdL,GAEyB,MAA3B,GAAGU,QAAQ4R,EAAI,WAKpBob,EACgC,OAA3B,IAAIhtB,QAAQ,IAAK,MAGtB4sB,EAAUhf,EAAgB,WAE1Bmf,IACE,IAAIH,IAC6B,KAA5B,IAAIA,GAAS,IAAK,MAOzBsE,GAAqC/wB,GAAM,WAC7C,IAAIyR,EAAK,OACLuf,EAAevf,EAAGnW,KACtBmW,EAAGnW,KAAO,WAAc,OAAO01B,EAAa3lB,MAAM/F,KAAMjG,YACxD,IAAIF,EAAS,KAAK8F,MAAMwM,GACxB,OAAyB,IAAlBtS,EAAO1B,QAA8B,MAAd0B,EAAO,IAA4B,MAAdA,EAAO,MAG5D/D,EAAOC,QAAU,SAAUyU,EAAKrS,EAAQnC,EAAM6G,GAC5C,IAAI8uB,EAASxjB,EAAgBqC,GAEzBohB,GAAuBlxB,GAAM,WAE/B,IAAIS,EAAI,GAER,OADAA,EAAEwwB,GAAU,WAAc,OAAO,GACZ,GAAd,GAAGnhB,GAAKrP,MAGb0wB,EAAoBD,IAAwBlxB,GAAM,WAEpD,IAAIoxB,GAAa,EACb3f,EAAK,IAkBT,MAhBY,UAAR3B,KAIF2B,EAAK,IAGF3T,YAAc,GACjB2T,EAAG3T,YAAYkd,GAAW,WAAc,OAAOvJ,GAC/CA,EAAGE,MAAQ,GACXF,EAAGwf,GAAU,IAAIA,IAGnBxf,EAAGnW,KAAO,WAAiC,OAAnB81B,GAAa,EAAa,MAElD3f,EAAGwf,GAAQ,KACHG,KAGV,IACGF,IACAC,GACQ,YAARrhB,KACCghB,IACAjE,GACCD,IAEM,UAAR9c,IAAoBihB,EACrB,CACA,IAAIM,EAAqB,IAAIJ,GACzBphB,EAAUvU,EAAK21B,EAAQ,GAAGnhB,IAAM,SAAUwhB,EAAcpE,EAAQttB,EAAK2xB,EAAMC,GAC7E,OAAItE,EAAO5xB,OAASu1B,EACdK,IAAwBM,EAInB,CAAEnX,MAAM,EAAMzZ,MAAOywB,EAAmBr0B,KAAKkwB,EAAQttB,EAAK2xB,IAE5D,CAAElX,MAAM,EAAMzZ,MAAO0wB,EAAat0B,KAAK4C,EAAKstB,EAAQqE,IAEtD,CAAElX,MAAM,KACd,CACDwS,iBAAkBA,EAClBD,6CAA8CA,IAE5C6E,EAAe5hB,EAAQ,GACvB6hB,EAAc7hB,EAAQ,GAE1B3O,EAASnB,OAAOlD,UAAWiT,EAAK2hB,GAChCvwB,EAAS6P,OAAOlU,UAAWo0B,EAAkB,GAAVxzB,EAG/B,SAAUqd,EAAQiB,GAAO,OAAO2V,EAAY10B,KAAK8d,EAAQxV,KAAMyW,IAG/D,SAAUjB,GAAU,OAAO4W,EAAY10B,KAAK8d,EAAQxV,QAItDnD,GAAMlB,EAA4B8P,OAAOlU,UAAUo0B,GAAS,QAAQ,K,6BC1H1E,IAAIntB,EAAS,EAAQ,IAAiCA,OAItD1I,EAAOC,QAAU,SAAUsjB,EAAG5M,EAAO4Z,GACnC,OAAO5Z,GAAS4Z,EAAU7nB,EAAO6a,EAAG5M,GAAOtU,OAAS,K,gBCNtD,IAAIqQ,EAAU,EAAQ,IAClB+iB,EAAa,EAAQ,IAIzBz1B,EAAOC,QAAU,SAAUiqB,EAAG3G,GAC5B,IAAIrjB,EAAOgqB,EAAEhqB,KACb,GAAoB,mBAATA,EAAqB,CAC9B,IAAI6D,EAAS7D,EAAK0B,KAAKsoB,EAAG3G,GAC1B,GAAsB,iBAAXxf,EACT,MAAMW,UAAU,sEAElB,OAAOX,EAGT,GAAmB,WAAf2O,EAAQwX,GACV,MAAMxlB,UAAU,+CAGlB,OAAO+wB,EAAW7zB,KAAKsoB,EAAG3G,K,gBCnB5B,IAAInjB,EAAS,EAAQ,GACjBmJ,EAAgB,EAAQ,IAExBkE,EAAUrN,EAAOqN,QAErBzN,EAAOC,QAA6B,mBAAZwN,GAA0B,cAAc4H,KAAK9L,EAAckE,K,gBCLnF,IAAInN,EAAM,EAAQ,GACdi2B,EAAU,EAAQ,KAClBC,EAAiC,EAAQ,IACzC/wB,EAAuB,EAAQ,GAEnCzF,EAAOC,QAAU,SAAUmG,EAAQD,GAIjC,IAHA,IAAIyM,EAAO2jB,EAAQpwB,GACftB,EAAiBY,EAAqBL,EACtCQ,EAA2B4wB,EAA+BpxB,EACrDjD,EAAI,EAAGA,EAAIyQ,EAAKvQ,OAAQF,IAAK,CACpC,IAAIG,EAAMsQ,EAAKzQ,GACV7B,EAAI8F,EAAQ9D,IAAMuC,EAAeuB,EAAQ9D,EAAKsD,EAAyBO,EAAQ7D,O,gBCXxF,IAAIwyB,EAAa,EAAQ,IACrB2B,EAA4B,EAAQ,KACpClC,EAA8B,EAAQ,IACtCtvB,EAAW,EAAQ,GAGvBjF,EAAOC,QAAU60B,EAAW,UAAW,YAAc,SAAiB/zB,GACpE,IAAI6R,EAAO6jB,EAA0BrxB,EAAEH,EAASlE,IAC5CyjB,EAAwB+P,EAA4BnvB,EACxD,OAAOof,EAAwB5R,EAAK1F,OAAOsX,EAAsBzjB,IAAO6R,I,gBCT1E,IAAIxS,EAAS,EAAQ,GAErBJ,EAAOC,QAAUG,G,gBCFjBJ,EAAOC,QAAU,EAAQ,M,6BCEzB,IAAIykB,EAAQ,EAAQ,GAChBpjB,EAAO,EAAQ,IACfo1B,EAAQ,EAAQ,KAChBC,EAAc,EAAQ,IAS1B,SAASC,EAAeC,GACtB,IAAI3qB,EAAU,IAAIwqB,EAAMG,GACpBC,EAAWx1B,EAAKo1B,EAAMj1B,UAAUklB,QAASza,GAQ7C,OALAwY,EAAMvgB,OAAO2yB,EAAUJ,EAAMj1B,UAAWyK,GAGxCwY,EAAMvgB,OAAO2yB,EAAU5qB,GAEhB4qB,EAIT,IAAIC,EAAQH,EAtBG,EAAQ,KAyBvBG,EAAML,MAAQA,EAGdK,EAAM3nB,OAAS,SAAgB4nB,GAC7B,OAAOJ,EAAeD,EAAYI,EAAMlnB,SAAUmnB,KAIpDD,EAAMrN,OAAS,EAAQ,IACvBqN,EAAMtnB,YAAc,EAAQ,KAC5BsnB,EAAMrnB,SAAW,EAAQ,IAGzBqnB,EAAME,IAAM,SAAaC,GACvB,OAAO5Q,QAAQ2Q,IAAIC,IAErBH,EAAMI,OAAS,EAAQ,KAEvBn3B,EAAOC,QAAU82B,EAGjB/2B,EAAOC,QAAQ8O,QAAUgoB,G,6BClDzB,IAAIrS,EAAQ,EAAQ,GAChBuB,EAAW,EAAQ,IACnBmR,EAAqB,EAAQ,KAC7BC,EAAkB,EAAQ,KAC1BV,EAAc,EAAQ,IAO1B,SAASD,EAAMM,GACb9sB,KAAK2F,SAAWmnB,EAChB9sB,KAAKotB,aAAe,CAClB3Q,QAAS,IAAIyQ,EACb5P,SAAU,IAAI4P,GASlBV,EAAMj1B,UAAUklB,QAAU,SAAiB/d,GAGnB,iBAAXA,GACTA,EAAS3E,UAAU,IAAM,IAClB8D,IAAM9D,UAAU,GAEvB2E,EAASA,GAAU,IAGrBA,EAAS+tB,EAAYzsB,KAAK2F,SAAUjH,IAGzB2F,OACT3F,EAAO2F,OAAS3F,EAAO2F,OAAO8N,cACrBnS,KAAK2F,SAAStB,OACvB3F,EAAO2F,OAASrE,KAAK2F,SAAStB,OAAO8N,cAErCzT,EAAO2F,OAAS,MAIlB,IAAIgpB,EAAQ,CAACF,OAAiBvwB,GAC1B4hB,EAAUpC,QAAQC,QAAQ3d,GAU9B,IARAsB,KAAKotB,aAAa3Q,QAAQ3kB,SAAQ,SAAoCw1B,GACpED,EAAME,QAAQD,EAAYE,UAAWF,EAAYG,aAGnDztB,KAAKotB,aAAa9P,SAASxlB,SAAQ,SAAkCw1B,GACnED,EAAM9e,KAAK+e,EAAYE,UAAWF,EAAYG,aAGzCJ,EAAMl1B,QACXqmB,EAAUA,EAAQC,KAAK4O,EAAMK,QAASL,EAAMK,SAG9C,OAAOlP,GAGTgO,EAAMj1B,UAAUo2B,OAAS,SAAgBjvB,GAEvC,OADAA,EAAS+tB,EAAYzsB,KAAK2F,SAAUjH,GAC7Bqd,EAASrd,EAAOb,IAAKa,EAAOZ,OAAQY,EAAOgc,kBAAkBngB,QAAQ,MAAO,KAIrFigB,EAAM1iB,QAAQ,CAAC,SAAU,MAAO,OAAQ,YAAY,SAA6BuM,GAE/EmoB,EAAMj1B,UAAU8M,GAAU,SAASxG,EAAKa,GACtC,OAAOsB,KAAKyc,QAAQjC,EAAM5gB,MAAM8E,GAAU,GAAI,CAC5C2F,OAAQA,EACRxG,IAAKA,SAKX2c,EAAM1iB,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BuM,GAErEmoB,EAAMj1B,UAAU8M,GAAU,SAASxG,EAAK0S,EAAM7R,GAC5C,OAAOsB,KAAKyc,QAAQjC,EAAM5gB,MAAM8E,GAAU,GAAI,CAC5C2F,OAAQA,EACRxG,IAAKA,EACL0S,KAAMA,SAKZza,EAAOC,QAAUy2B,G,6BC3FjB,IAAIhS,EAAQ,EAAQ,GAEpB,SAAS0S,IACPltB,KAAK4tB,SAAW,GAWlBV,EAAmB31B,UAAUs2B,IAAM,SAAaL,EAAWC,GAKzD,OAJAztB,KAAK4tB,SAASrf,KAAK,CACjBif,UAAWA,EACXC,SAAUA,IAELztB,KAAK4tB,SAASz1B,OAAS,GAQhC+0B,EAAmB31B,UAAUu2B,MAAQ,SAAenlB,GAC9C3I,KAAK4tB,SAASjlB,KAChB3I,KAAK4tB,SAASjlB,GAAM,OAYxBukB,EAAmB31B,UAAUO,QAAU,SAAiBE,GACtDwiB,EAAM1iB,QAAQkI,KAAK4tB,UAAU,SAAwB/qB,GACzC,OAANA,GACF7K,EAAG6K,OAKT/M,EAAOC,QAAUm3B,G,6BCjDjB,IAAI1S,EAAQ,EAAQ,GAChBuT,EAAgB,EAAQ,KACxBvoB,EAAW,EAAQ,IACnBG,EAAW,EAAQ,IAKvB,SAASqoB,EAA6BtvB,GAChCA,EAAO6f,aACT7f,EAAO6f,YAAY0P,mBAUvBn4B,EAAOC,QAAU,SAAyB2I,GA6BxC,OA5BAsvB,EAA6BtvB,GAG7BA,EAAOyG,QAAUzG,EAAOyG,SAAW,GAGnCzG,EAAO6R,KAAOwd,EACZrvB,EAAO6R,KACP7R,EAAOyG,QACPzG,EAAO2c,kBAIT3c,EAAOyG,QAAUqV,EAAM5gB,MACrB8E,EAAOyG,QAAQ0W,QAAU,GACzBnd,EAAOyG,QAAQzG,EAAO2F,SAAW,GACjC3F,EAAOyG,SAGTqV,EAAM1iB,QACJ,CAAC,SAAU,MAAO,OAAQ,OAAQ,MAAO,QAAS,WAClD,SAA2BuM,UAClB3F,EAAOyG,QAAQd,OAIZ3F,EAAOyc,SAAWxV,EAASwV,SAE1Bzc,GAAQ+f,MAAK,SAA6BnB,GAUvD,OATA0Q,EAA6BtvB,GAG7B4e,EAAS/M,KAAOwd,EACdzQ,EAAS/M,KACT+M,EAASnY,QACTzG,EAAO4c,mBAGFgC,KACN,SAA4B+J,GAc7B,OAbK7hB,EAAS6hB,KACZ2G,EAA6BtvB,GAGzB2oB,GAAUA,EAAO/J,WACnB+J,EAAO/J,SAAS/M,KAAOwd,EACrB1G,EAAO/J,SAAS/M,KAChB8W,EAAO/J,SAASnY,QAChBzG,EAAO4c,qBAKNc,QAAQE,OAAO+K,Q,6BC1E1B,IAAI7M,EAAQ,EAAQ,GAUpB1kB,EAAOC,QAAU,SAAuBwa,EAAMpL,EAAS+oB,GAMrD,OAJA1T,EAAM1iB,QAAQo2B,GAAK,SAAmBl2B,GACpCuY,EAAOvY,EAAGuY,EAAMpL,MAGXoL,I,6BChBT,IAAIiK,EAAQ,EAAQ,GAEpB1kB,EAAOC,QAAU,SAA6BoP,EAASgpB,GACrD3T,EAAM1iB,QAAQqN,GAAS,SAAuB7J,EAAO1E,GAC/CA,IAASu3B,GAAkBv3B,EAAKomB,gBAAkBmR,EAAenR,gBACnE7X,EAAQgpB,GAAkB7yB,SACnB6J,EAAQvO,S,6BCNrB,IAAIulB,EAAc,EAAQ,IAS1BrmB,EAAOC,QAAU,SAAgBsmB,EAASC,EAAQgB,GAChD,IAAI3B,EAAiB2B,EAAS5e,OAAOid,gBAChCA,GAAkBA,EAAe2B,EAAS1B,QAC7CS,EAAQiB,GAERhB,EAAOH,EACL,mCAAqCmB,EAAS1B,OAC9C0B,EAAS5e,OACT,KACA4e,EAASb,QACTa,M,6BCTNxnB,EAAOC,QAAU,SAAsBE,EAAOyI,EAAQqgB,EAAMtC,EAASa,GA4BnE,OA3BArnB,EAAMyI,OAASA,EACXqgB,IACF9oB,EAAM8oB,KAAOA,GAGf9oB,EAAMwmB,QAAUA,EAChBxmB,EAAMqnB,SAAWA,EACjBrnB,EAAMm4B,cAAe,EAErBn4B,EAAMo4B,OAAS,WACb,MAAO,CAELvP,QAAS9e,KAAK8e,QACdloB,KAAMoJ,KAAKpJ,KAEX03B,YAAatuB,KAAKsuB,YAClBC,OAAQvuB,KAAKuuB,OAEbC,SAAUxuB,KAAKwuB,SACfC,WAAYzuB,KAAKyuB,WACjBC,aAAc1uB,KAAK0uB,aACnBC,MAAO3uB,KAAK2uB,MAEZjwB,OAAQsB,KAAKtB,OACbqgB,KAAM/e,KAAK+e,OAGR9oB,I,6BCtCT,IAAI24B,EAAgB,EAAQ,KACxBC,EAAc,EAAQ,KAW1B/4B,EAAOC,QAAU,SAAuBgnB,EAAS+R,GAC/C,OAAI/R,IAAY6R,EAAcE,GACrBD,EAAY9R,EAAS+R,GAEvBA,I,6BCVTh5B,EAAOC,QAAU,SAAuB8H,GAItC,MAAO,gCAAgCsN,KAAKtN,K,6BCH9C/H,EAAOC,QAAU,SAAqBgnB,EAASgS,GAC7C,OAAOA,EACHhS,EAAQxiB,QAAQ,OAAQ,IAAM,IAAMw0B,EAAYx0B,QAAQ,OAAQ,IAChEwiB,I,6BCVN,IAAIvC,EAAQ,EAAQ,GAIhBwU,EAAoB,CACtB,MAAO,gBAAiB,iBAAkB,eAAgB,OAC1D,UAAW,OAAQ,OAAQ,oBAAqB,sBAChD,gBAAiB,WAAY,eAAgB,sBAC7C,UAAW,cAAe,cAgB5Bl5B,EAAOC,QAAU,SAAsBoP,GACrC,IACI/M,EACAX,EACAQ,EAHAg3B,EAAS,GAKb,OAAK9pB,GAELqV,EAAM1iB,QAAQqN,EAAQxF,MAAM,OAAO,SAAgBuvB,GAKjD,GAJAj3B,EAAIi3B,EAAKtwB,QAAQ,KACjBxG,EAAMoiB,EAAMngB,KAAK60B,EAAKC,OAAO,EAAGl3B,IAAIka,cACpC1a,EAAM+iB,EAAMngB,KAAK60B,EAAKC,OAAOl3B,EAAI,IAE7BG,EAAK,CACP,GAAI62B,EAAO72B,IAAQ42B,EAAkBpwB,QAAQxG,IAAQ,EACnD,OAGA62B,EAAO72B,GADG,eAARA,GACa62B,EAAO72B,GAAO62B,EAAO72B,GAAO,IAAI4K,OAAO,CAACvL,IAEzCw3B,EAAO72B,GAAO62B,EAAO72B,GAAO,KAAOX,EAAMA,MAKtDw3B,GAnBgBA,I,6BC9BzB,IAAIzU,EAAQ,EAAQ,GAEpB1kB,EAAOC,QACLykB,EAAMhhB,uBAIJ,WACE,IAEI41B,EAFAC,EAAO,kBAAkBlkB,KAAK1R,UAAUyY,WACxCod,EAAiB31B,SAASqZ,cAAc,KAS5C,SAASuc,EAAW1xB,GAClB,IAAI2xB,EAAO3xB,EAWX,OATIwxB,IAEFC,EAAejb,aAAa,OAAQmb,GACpCA,EAAOF,EAAeE,MAGxBF,EAAejb,aAAa,OAAQmb,GAG7B,CACLA,KAAMF,EAAeE,KACrB/xB,SAAU6xB,EAAe7xB,SAAW6xB,EAAe7xB,SAASlD,QAAQ,KAAM,IAAM,GAChFmD,KAAM4xB,EAAe5xB,KACrB+xB,OAAQH,EAAeG,OAASH,EAAeG,OAAOl1B,QAAQ,MAAO,IAAM,GAC3Em1B,KAAMJ,EAAeI,KAAOJ,EAAeI,KAAKn1B,QAAQ,KAAM,IAAM,GACpEo1B,SAAUL,EAAeK,SACzBC,KAAMN,EAAeM,KACrBC,SAAiD,MAAtCP,EAAeO,SAASrxB,OAAO,GACxC8wB,EAAeO,SACf,IAAMP,EAAeO,UAY3B,OARAT,EAAYG,EAAWt4B,OAAOuG,SAASgyB,MAQhC,SAAyBM,GAC9B,IAAIb,EAAUzU,EAAMzhB,SAAS+2B,GAAeP,EAAWO,GAAcA,EACrE,OAAQb,EAAOxxB,WAAa2xB,EAAU3xB,UAClCwxB,EAAOvxB,OAAS0xB,EAAU1xB,MAhDlC,GAsDS,WACL,OAAO,I,6BC9Df,IAAI8c,EAAQ,EAAQ,GAEpB1kB,EAAOC,QACLykB,EAAMhhB,uBAIK,CACL6N,MAAO,SAAezQ,EAAM0E,EAAOy0B,EAAS9rB,EAAMgD,EAAQ+oB,GACxD,IAAIC,EAAS,GACbA,EAAO1hB,KAAK3X,EAAO,IAAM2H,mBAAmBjD,IAExCkf,EAAMxhB,SAAS+2B,IACjBE,EAAO1hB,KAAK,WAAa,IAAI2hB,KAAKH,GAASI,eAGzC3V,EAAMzhB,SAASkL,IACjBgsB,EAAO1hB,KAAK,QAAUtK,GAGpBuW,EAAMzhB,SAASkO,IACjBgpB,EAAO1hB,KAAK,UAAYtH,IAGX,IAAX+oB,GACFC,EAAO1hB,KAAK,UAGd5U,SAASs2B,OAASA,EAAOlwB,KAAK,OAGhCke,KAAM,SAAcrnB,GAClB,IAAIsV,EAAQvS,SAASs2B,OAAO/jB,MAAM,IAAIT,OAAO,aAAe7U,EAAO,cACnE,OAAQsV,EAAQkkB,mBAAmBlkB,EAAM,IAAM,MAGjDgH,OAAQ,SAAgBtc,GACtBoJ,KAAKqH,MAAMzQ,EAAM,GAAIs5B,KAAKG,MAAQ,SAO/B,CACLhpB,MAAO,aACP4W,KAAM,WAAkB,OAAO,MAC/B/K,OAAQ,e,6BC/ChB,IAAIsM,EAAS,EAAQ,IAQrB,SAASja,EAAY+qB,GACnB,GAAwB,mBAAbA,EACT,MAAM,IAAI91B,UAAU,gCAGtB,IAAI+1B,EACJvwB,KAAKwe,QAAU,IAAIpC,SAAQ,SAAyBC,GAClDkU,EAAiBlU,KAGnB,IAAI3W,EAAQ1F,KACZswB,GAAS,SAAgBxR,GACnBpZ,EAAM2hB,SAKV3hB,EAAM2hB,OAAS,IAAI7H,EAAOV,GAC1ByR,EAAe7qB,EAAM2hB,YAOzB9hB,EAAYhO,UAAU02B,iBAAmB,WACvC,GAAIjuB,KAAKqnB,OACP,MAAMrnB,KAAKqnB,QAQf9hB,EAAYtJ,OAAS,WACnB,IAAIyiB,EAIJ,MAAO,CACLhZ,MAJU,IAAIH,GAAY,SAAkBO,GAC5C4Y,EAAS5Y,KAIT4Y,OAAQA,IAIZ5oB,EAAOC,QAAUwP,G,6BClCjBzP,EAAOC,QAAU,SAAgBy6B,GAC/B,OAAO,SAAcC,GACnB,OAAOD,EAASzqB,MAAM,KAAM0qB,M,6BCtBhC,EAAQ,IAERn5B,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQsP,gBASR,WACE,OAAOK,GATT3P,EAAQ0P,qBAYR,SAA8BirB,GAC5BC,EAAUpiB,KAAKmiB,IAXjB,IAAIjwB,EAAY,EAAQ,IAEpBmwB,EAAej3B,SAASkY,qBAAqB,QAAQ,GACrDnM,EAAQkrB,EAAeA,EAAaC,aAAa,qBAAuB,KACxEF,EAAY,IAWhB,EAAIlwB,EAAUR,WAAW,qBAAqB,SAAU0M,GACtDjH,EAAQiH,EAAEjH,MACVirB,EAAU74B,SAAQ,SAAU44B,GAC1B,IACEA,EAAS/jB,EAAEjH,OACX,MAAOiH,GACPhM,QAAQ1K,MAAM,qCAAsC0W,W,gBC/B1D,IAAIrW,EAAgB,EAAQ,IAE5BR,EAAOC,QAAUO,IAEXG,OAAOoG,MAEkB,iBAAnBpG,OAAO+hB,U,6BCJnBlhB,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQ8K,cAAW,EAEnB,IAAIiwB,EAASC,EAAuB,EAAQ,MAExCC,EAASD,EAAuB,EAAQ,MAE5C,SAASA,EAAuBh5B,GAAO,OAAOA,GAAOA,EAAIgN,WAAahN,EAAM,CAAE8M,QAAS9M,GAIvF,SAASk5B,EAAkB/0B,EAAQg1B,GAAS,IAAK,IAAIj5B,EAAI,EAAGA,EAAIi5B,EAAM/4B,OAAQF,IAAK,CAAE,IAAIoE,EAAa60B,EAAMj5B,GAAIoE,EAAWyD,WAAazD,EAAWyD,aAAc,EAAOzD,EAAWqI,cAAe,EAAU,UAAWrI,IAAYA,EAAWsI,UAAW,GAAMrN,OAAOqD,eAAeuB,EAAQG,EAAWjE,IAAKiE,IAM7S,IAAI80B,EAEO,QAyCPtwB,EAAwB,WAC1B,SAASA,EAASV,GA9CpB,IAAyBpI,EAAKK,EAAKkD,GANnC,SAAyBsxB,EAAUhX,GAAe,KAAMgX,aAAoBhX,GAAgB,MAAM,IAAIpb,UAAU,qCAqD5G42B,CAAgBpxB,KAAMa,GA/CSvF,OAiDF,GAjDHlD,EAiDJ,SAjDDL,EAiDLiI,MAjD0C1I,OAAOqD,eAAe5C,EAAKK,EAAK,CAAEkD,MAAOA,EAAOwE,YAAY,EAAM4E,cAAc,EAAMC,UAAU,IAAkB5M,EAAIK,GAAOkD,EAmDzJ,mBAAnB6E,EAAIkxB,aAA8B,EAAIP,EAAOjsB,SAAS1E,EAAIkxB,eAE1D,EAAIL,EAAOnsB,SAAS1E,EAAIkxB,iBAAkB,EAAIL,EAAOnsB,SAAS7E,KAAKqxB,eAC5E1wB,QAAQC,KAAK,oCAAsCT,EAAIkxB,aAAe,SAAWrxB,KAAKqxB,cAFtF1wB,QAAQC,KAAK,4DAKfZ,KAAKG,IAAMA,EA3Df,IAAsByV,EAAa0b,EAAYC,EAoF7C,OApFoB3b,EA8DP/U,GA9DoBywB,EA8DV,CAAC,CACtBl5B,IAAK,aACLkD,MAAO,WACL,OAAO61B,IAER,CACD/4B,IAAK,YACLkD,MAAO,SAAmB1E,EAAMsJ,GAC9BF,KAAKG,IAAIF,UAAUrJ,EAAMsJ,KAE1B,CACD9H,IAAK,cACLkD,MAAO,SAAqB1E,EAAMsJ,GAChCF,KAAKG,IAAIC,YAAYxJ,EAAMsJ,KAE5B,CACD9H,IAAK,OACLkD,MAAO,SAAc1E,EAAM0J,GACzBN,KAAKG,IAAIE,KAAKzJ,EAAM0J,QAhFoD2wB,EAAkBrb,EAAYre,UAAW+5B,GAAiBC,GAAaN,EAAkBrb,EAAa2b,GAoF3K1wB,EArCmB,GAwC5B9K,EAAQ8K,SAAWA,G,gBCxGnB,MAAM0a,EAAQ,EAAQ,KAKtBzlB,EAAOC,QAJO,CAAC6H,EAAS5B,KACtB,MAAM4e,EAAIW,EAAM3d,EAAS5B,GACzB,OAAO4e,EAAIA,EAAEhd,QAAU,O,gBCHzB,MAAM,WAACqL,GAAc,EAAQ,KACvB,GAAEkD,EAAE,EAAE4T,GAAM,EAAQ,IACpB8B,EAAS,EAAQ,IAkCvB/rB,EAAOC,QAhCO,CAAC6H,EAAS5B,KAQtB,GAPKA,GAA8B,iBAAZA,IACrBA,EAAU,CACR8lB,QAAS9lB,EACT+lB,mBAAmB,IAInBnkB,aAAmBikB,EACrB,OAAOjkB,EAGT,GAAuB,iBAAZA,EACT,OAAO,KAGT,GAAIA,EAAQzF,OAAS8Q,EACnB,OAAO,KAIT,KADUjN,EAAQ8lB,MAAQ3V,EAAG4T,EAAEkC,OAAS9V,EAAG4T,EAAEmC,OACtC/W,KAAKvN,GACV,OAAO,KAGT,IACE,OAAO,IAAIikB,EAAOjkB,EAAS5B,GAC3B,MAAOw1B,GACP,OAAO,Q,cChCX,MAAMC,EAAU,WACV7P,EAAqB,CAAC1nB,EAAGC,KAC7B,MAAMu3B,EAAOD,EAAQtmB,KAAKjR,GACpBy3B,EAAOF,EAAQtmB,KAAKhR,GAO1B,OALIu3B,GAAQC,IACVz3B,GAAKA,EACLC,GAAKA,GAGAD,IAAMC,EAAI,EACZu3B,IAASC,GAAS,EAClBA,IAASD,EAAQ,EAClBx3B,EAAIC,GAAK,EACT,GAKNrE,EAAOC,QAAU,CACf6rB,qBACAgQ,oBAJ0B,CAAC13B,EAAGC,IAAMynB,EAAmBznB,EAAGD,K,gBCjB5D,MAAM2nB,EAAS,EAAQ,IAEvB/rB,EAAOC,QADO,CAACmE,EAAG4nB,IAAU,IAAID,EAAO3nB,EAAG4nB,GAAOM,O,6BC0BjD,SAAS6O,EAAkB/0B,EAAQg1B,GAAS,IAAK,IAAIj5B,EAAI,EAAGA,EAAIi5B,EAAM/4B,OAAQF,IAAK,CAAE,IAAIoE,EAAa60B,EAAMj5B,GAAIoE,EAAWyD,WAAazD,EAAWyD,aAAc,EAAOzD,EAAWqI,cAAe,EAAU,UAAWrI,IAAYA,EAAWsI,UAAW,GAAMrN,OAAOqD,eAAeuB,EAAQG,EAAWjE,IAAKiE,IAzB7S,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,KAER,EAAQ,KAER,EAAQ,KAER/E,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQ+K,eAAY,EAUpB,IAAIqwB,EAEO,QAyCPrwB,EAAyB,WAC3B,SAASA,IA9CX,IAAyB/I,EAAKK,EAAKkD,GANnC,SAAyBsxB,EAAUhX,GAAe,KAAMgX,aAAoBhX,GAAgB,MAAM,IAAIpb,UAAU,qCAqD5G42B,CAAgBpxB,KAAMc,GA/CD/I,EAiDLiI,KAjDU5H,EAiDJ,WAjDSkD,EAiDG,IAAIu2B,IAjDMz5B,KAAOL,EAAOT,OAAOqD,eAAe5C,EAAKK,EAAK,CAAEkD,MAAOA,EAAOwE,YAAY,EAAM4E,cAAc,EAAMC,UAAU,IAAkB5M,EAAIK,GAAOkD,EAF3L,IAAsBsa,EAAa0b,EAAYC,EAoF7C,OApFoB3b,EAsDP9U,GAtDoBwwB,EAsDT,CAAC,CACvBl5B,IAAK,aACLkD,MAAO,WACL,OAAO61B,IAER,CACD/4B,IAAK,YACLkD,MAAO,SAAmB1E,EAAMsJ,GAC9BF,KAAK4tB,SAAS1qB,IAAItM,GAAOoJ,KAAK4tB,SAAShzB,IAAIhE,IAAS,IAAIoM,OAAO9C,MAEhE,CACD9H,IAAK,cACLkD,MAAO,SAAqB1E,EAAMsJ,GAChCF,KAAK4tB,SAAS1qB,IAAItM,GAAOoJ,KAAK4tB,SAAShzB,IAAIhE,IAAS,IAAIid,QAAO,SAAUhR,GACvE,OAAOA,GAAK3C,QAGf,CACD9H,IAAK,OACLkD,MAAO,SAAc1E,EAAM0J,IACxBN,KAAK4tB,SAAShzB,IAAIhE,IAAS,IAAIkB,SAAQ,SAAU+K,GAChD,IACEA,EAAEvC,GACF,MAAOqM,GACPhM,QAAQ1K,MAAM,kCAAmC0W,YA9EmBskB,EAAkBrb,EAAYre,UAAW+5B,GAAiBC,GAAaN,EAAkBrb,EAAa2b,GAoF3KzwB,EArCoB,GAwC7B/K,EAAQ+K,UAAYA,G,gBCpHpB,IAAIqH,EAAkB,EAAQ,GAC1BjD,EAAS,EAAQ,IACjB3J,EAAuB,EAAQ,GAE/Bu2B,EAAc3pB,EAAgB,eAC9B0iB,EAAiBvc,MAAM/W,UAIQqF,MAA/BiuB,EAAeiH,IACjBv2B,EAAqBL,EAAE2vB,EAAgBiH,EAAa,CAClDptB,cAAc,EACdpJ,MAAO4J,EAAO,QAKlBpP,EAAOC,QAAU,SAAUqC,GACzByyB,EAAeiH,GAAa15B,IAAO,I,gBClBrC,IAAIsC,EAAQ,EAAQ,GAEpB5E,EAAOC,SAAW2E,GAAM,WACtB,SAASsN,KAET,OADAA,EAAEzQ,UAAUiB,YAAc,KACnBlB,OAAO+R,eAAe,IAAIrB,KAASA,EAAEzQ,c,gBCL9C,IAAIK,EAAW,EAAQ,GAEvB9B,EAAOC,QAAU,SAAUc,GACzB,IAAKe,EAASf,IAAc,OAAPA,EACnB,MAAM2D,UAAU,aAAeC,OAAO5D,GAAM,mBAC5C,OAAOA,I,6BCJX,IAAIk7B,EAAa,EAAQ,KACrBC,EAAmB,EAAQ,KAI/Bl8B,EAAOC,QAAUg8B,EAAW,OAAO,SAAUE,GAC3C,OAAO,WAAiB,OAAOA,EAAKjyB,KAAMjG,UAAU5B,OAAS4B,UAAU,QAAK6C,MAC3Eo1B,I,6BCPH,IAAI7oB,EAAI,EAAQ,IACZjT,EAAS,EAAQ,GACjB6F,EAAW,EAAQ,IACnBH,EAAW,EAAQ,IACnBs2B,EAAyB,EAAQ,IACjCC,EAAU,EAAQ,IAClBC,EAAa,EAAQ,IACrBx6B,EAAW,EAAQ,GACnB8C,EAAQ,EAAQ,GAChB23B,EAA8B,EAAQ,KACtC9oB,EAAiB,EAAQ,IACzB+oB,EAAoB,EAAQ,KAEhCx8B,EAAOC,QAAU,SAAUu1B,EAAkBiH,EAAS1W,GACpD,IAAI5E,GAA8C,IAArCqU,EAAiB1sB,QAAQ,OAClC4zB,GAAgD,IAAtClH,EAAiB1sB,QAAQ,QACnC6zB,EAAQxb,EAAS,MAAQ,MACzByb,EAAoBx8B,EAAOo1B,GAC3BqH,EAAkBD,GAAqBA,EAAkBn7B,UACzDqe,EAAc8c,EACdE,EAAW,GAEXC,EAAY,SAAUroB,GACxB,IAAIwhB,EAAe2G,EAAgBnoB,GACnC5O,EAAS+2B,EAAiBnoB,EACjB,OAAPA,EAAe,SAAalP,GAE1B,OADA0wB,EAAat0B,KAAKsI,KAAgB,IAAV1E,EAAc,EAAIA,GACnC0E,MACE,UAAPwK,EAAkB,SAAUpS,GAC9B,QAAOo6B,IAAY56B,EAASQ,KAAe4zB,EAAat0B,KAAKsI,KAAc,IAAR5H,EAAY,EAAIA,IAC1E,OAAPoS,EAAe,SAAapS,GAC9B,OAAOo6B,IAAY56B,EAASQ,QAAOwE,EAAYovB,EAAat0B,KAAKsI,KAAc,IAAR5H,EAAY,EAAIA,IAC9E,OAAPoS,EAAe,SAAapS,GAC9B,QAAOo6B,IAAY56B,EAASQ,KAAe4zB,EAAat0B,KAAKsI,KAAc,IAAR5H,EAAY,EAAIA,IACjF,SAAaA,EAAKkD,GAEpB,OADA0wB,EAAat0B,KAAKsI,KAAc,IAAR5H,EAAY,EAAIA,EAAKkD,GACtC0E,QAMb,GAAIjE,EAASuvB,EAA8C,mBAArBoH,KAAqCF,GAAWG,EAAgB76B,UAAY4C,GAAM,YACtH,IAAIg4B,GAAoB1nB,UAAUd,YAGlC0L,EAAciG,EAAOiX,eAAeP,EAASjH,EAAkBrU,EAAQwb,GACvEP,EAAuBlO,UAAW,OAC7B,GAAIjoB,EAASuvB,GAAkB,GAAO,CAC3C,IAAIsB,EAAW,IAAIhX,EAEfmd,EAAiBnG,EAAS6F,GAAOD,EAAU,IAAM,EAAG,IAAM5F,EAE1DoG,EAAuBt4B,GAAM,WAAckyB,EAASx2B,IAAI,MAGxD68B,EAAmBZ,GAA4B,SAAUha,GAAY,IAAIqa,EAAkBra,MAE3F6a,GAAcV,GAAW93B,GAAM,WAIjC,IAFA,IAAIy4B,EAAY,IAAIT,EAChBjmB,EAAQ,EACLA,KAAS0mB,EAAUV,GAAOhmB,EAAOA,GACxC,OAAQ0mB,EAAU/8B,KAAK,MAGpB68B,KACHrd,EAAc2c,GAAQ,SAAUvI,EAAO3R,GACrC+Z,EAAWpI,EAAOpU,EAAa0V,GAC/B,IAAIzlB,EAAOysB,EAAkB,IAAII,EAAqB1I,EAAOpU,GAE7D,OADgBhZ,MAAZyb,GAAuB8Z,EAAQ9Z,EAAUxS,EAAK4sB,GAAQ5sB,EAAMoR,GACzDpR,MAEGtO,UAAYo7B,EACxBA,EAAgBn6B,YAAcod,IAG5Bod,GAAwBE,KAC1BL,EAAU,UACVA,EAAU,OACV5b,GAAU4b,EAAU,SAGlBK,GAAcH,IAAgBF,EAAUJ,GAGxCD,GAAWG,EAAgBS,cAAcT,EAAgBS,MAU/D,OAPAR,EAAStH,GAAoB1V,EAC7BzM,EAAE,CAAEjT,QAAQ,EAAMyG,OAAQiZ,GAAe8c,GAAqBE,GAE9DrpB,EAAeqM,EAAa0V,GAEvBkH,GAAS3W,EAAOwX,UAAUzd,EAAa0V,EAAkBrU,GAEvDrB,I,gBCjGT,IAAIlb,EAAQ,EAAQ,GAEpB5E,EAAOC,SAAW2E,GAAM,WACtB,OAAOpD,OAAOqsB,aAAarsB,OAAOg8B,kBAAkB,S,6BCFtD,IAAI34B,EAAiB,EAAQ,GAAuCO,EAChEgK,EAAS,EAAQ,IACjBquB,EAAc,EAAQ,KACtBn8B,EAAO,EAAQ,IACfg7B,EAAa,EAAQ,IACrBD,EAAU,EAAQ,IAClBxd,EAAiB,EAAQ,IACzB6e,EAAa,EAAQ,KACrB34B,EAAc,EAAQ,GACtBopB,EAAU,EAAQ,IAAkCA,QACpD3kB,EAAsB,EAAQ,IAE9BsV,EAAmBtV,EAAoB4D,IACvCuwB,EAAyBn0B,EAAoBwE,UAEjDhO,EAAOC,QAAU,CACf+8B,eAAgB,SAAUP,EAASjH,EAAkBrU,EAAQwb,GAC3D,IAAI3c,EAAIyc,GAAQ,SAAU1sB,EAAMwS,GAC9B+Z,EAAWvsB,EAAMiQ,EAAGwV,GACpB1W,EAAiB/O,EAAM,CACrBhH,KAAMysB,EACN7e,MAAOvH,EAAO,MACdiU,WAAOvc,EACP82B,UAAM92B,EACN2c,KAAM,IAEH1e,IAAagL,EAAK0T,KAAO,GACd3c,MAAZyb,GAAuB8Z,EAAQ9Z,EAAUxS,EAAK4sB,GAAQ5sB,EAAMoR,MAG9D1X,EAAmBk0B,EAAuBnI,GAE1CqI,EAAS,SAAU9tB,EAAMzN,EAAKkD,GAChC,IAEIs4B,EAAUnnB,EAFVzI,EAAQzE,EAAiBsG,GACzBguB,EAAQC,EAASjuB,EAAMzN,GAqBzB,OAlBEy7B,EACFA,EAAMv4B,MAAQA,GAGd0I,EAAM0vB,KAAOG,EAAQ,CACnBpnB,MAAOA,EAAQwX,EAAQ7rB,GAAK,GAC5BA,IAAKA,EACLkD,MAAOA,EACPs4B,SAAUA,EAAW5vB,EAAM0vB,KAC3BxpB,UAAMtN,EACNm3B,SAAS,GAEN/vB,EAAMmV,QAAOnV,EAAMmV,MAAQ0a,GAC5BD,IAAUA,EAAS1pB,KAAO2pB,GAC1Bh5B,EAAamJ,EAAMuV,OAClB1T,EAAK0T,OAEI,MAAV9M,IAAezI,EAAMyI,MAAMA,GAASonB,IACjChuB,GAGPiuB,EAAW,SAAUjuB,EAAMzN,GAC7B,IAGIy7B,EAHA7vB,EAAQzE,EAAiBsG,GAEzB4G,EAAQwX,EAAQ7rB,GAEpB,GAAc,MAAVqU,EAAe,OAAOzI,EAAMyI,MAAMA,GAEtC,IAAKonB,EAAQ7vB,EAAMmV,MAAO0a,EAAOA,EAAQA,EAAM3pB,KAC7C,GAAI2pB,EAAMz7B,KAAOA,EAAK,OAAOy7B,GAiFjC,OA7EAN,EAAYzd,EAAEve,UAAW,CAGvB67B,MAAO,WAKL,IAJA,IACIpvB,EAAQzE,EADDS,MAEPuQ,EAAOvM,EAAMyI,MACbonB,EAAQ7vB,EAAMmV,MACX0a,GACLA,EAAME,SAAU,EACZF,EAAMD,WAAUC,EAAMD,SAAWC,EAAMD,SAAS1pB,UAAOtN,UACpD2T,EAAKsjB,EAAMpnB,OAClBonB,EAAQA,EAAM3pB,KAEhBlG,EAAMmV,MAAQnV,EAAM0vB,UAAO92B,EACvB/B,EAAamJ,EAAMuV,KAAO,EAXnBvZ,KAYDuZ,KAAO,GAInB,OAAU,SAAUnhB,GAClB,IACI4L,EAAQzE,EADDS,MAEP6zB,EAAQC,EAFD9zB,KAEgB5H,GAC3B,GAAIy7B,EAAO,CACT,IAAI3pB,EAAO2pB,EAAM3pB,KACb8pB,EAAOH,EAAMD,gBACV5vB,EAAMyI,MAAMonB,EAAMpnB,OACzBonB,EAAME,SAAU,EACZC,IAAMA,EAAK9pB,KAAOA,GAClBA,IAAMA,EAAK0pB,SAAWI,GACtBhwB,EAAMmV,OAAS0a,IAAO7vB,EAAMmV,MAAQjP,GACpClG,EAAM0vB,MAAQG,IAAO7vB,EAAM0vB,KAAOM,GAClCn5B,EAAamJ,EAAMuV,OAZdvZ,KAaCuZ,OACV,QAASsa,GAIb/7B,QAAS,SAAiB0f,GAIxB,IAHA,IAEIqc,EAFA7vB,EAAQzE,EAAiBS,MACzB0X,EAAgBtgB,EAAKogB,EAAYzd,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EAAW,GAE/Ei3B,EAAQA,EAAQA,EAAM3pB,KAAOlG,EAAMmV,OAGxC,IAFAzB,EAAcmc,EAAMv4B,MAAOu4B,EAAMz7B,IAAK4H,MAE/B6zB,GAASA,EAAME,SAASF,EAAQA,EAAMD,UAKjDx9B,IAAK,SAAagC,GAChB,QAAS07B,EAAS9zB,KAAM5H,MAI5Bm7B,EAAYzd,EAAEve,UAAW0f,EAAS,CAEhCrc,IAAK,SAAaxC,GAChB,IAAIy7B,EAAQC,EAAS9zB,KAAM5H,GAC3B,OAAOy7B,GAASA,EAAMv4B,OAGxB4H,IAAK,SAAa9K,EAAKkD,GACrB,OAAOq4B,EAAO3zB,KAAc,IAAR5H,EAAY,EAAIA,EAAKkD,KAEzC,CAEFgH,IAAK,SAAahH,GAChB,OAAOq4B,EAAO3zB,KAAM1E,EAAkB,IAAVA,EAAc,EAAIA,EAAOA,MAGrDT,GAAaF,EAAemb,EAAEve,UAAW,OAAQ,CACnDqD,IAAK,WACH,OAAO2E,EAAiBS,MAAMuZ,QAG3BzD,GAETud,UAAW,SAAUvd,EAAGwV,EAAkBrU,GACxC,IAAIgd,EAAgB3I,EAAmB,YACnC4I,EAA6BT,EAAuBnI,GACpD6I,EAA2BV,EAAuBQ,GAGtDtf,EAAemB,EAAGwV,GAAkB,SAAUzW,EAAUC,GACtDF,EAAiB5U,KAAM,CACrBnB,KAAMo1B,EACN/3B,OAAQ2Y,EACR7Q,MAAOkwB,EAA2Brf,GAClCC,KAAMA,EACN4e,UAAM92B,OAEP,WAKD,IAJA,IAAIoH,EAAQmwB,EAAyBn0B,MACjC8U,EAAO9Q,EAAM8Q,KACb+e,EAAQ7vB,EAAM0vB,KAEXG,GAASA,EAAME,SAASF,EAAQA,EAAMD,SAE7C,OAAK5vB,EAAM9H,SAAY8H,EAAM0vB,KAAOG,EAAQA,EAAQA,EAAM3pB,KAAOlG,EAAMA,MAAMmV,OAMjE,QAARrE,EAAuB,CAAExZ,MAAOu4B,EAAMz7B,IAAK2c,MAAM,GACzC,UAARD,EAAyB,CAAExZ,MAAOu4B,EAAMv4B,MAAOyZ,MAAM,GAClD,CAAEzZ,MAAO,CAACu4B,EAAMz7B,IAAKy7B,EAAMv4B,OAAQyZ,MAAM,IAN9C/Q,EAAM9H,YAASU,EACR,CAAEtB,WAAOsB,EAAWmY,MAAM,MAMlCkC,EAAS,UAAY,UAAWA,GAAQ,GAG3Cuc,EAAWlI,M,6BCtLf,IAAI9W,EAAwB,EAAQ,IAChChM,EAAU,EAAQ,IAItB1S,EAAOC,QAAUye,EAAwB,GAAGnd,SAAW,WACrD,MAAO,WAAamR,EAAQxI,MAAQ,M,gBCPtC,IAAI9J,EAAS,EAAQ,GACjB4yB,EAAe,EAAQ,IACvBhxB,EAAU,EAAQ,IAClB6D,EAA8B,EAAQ,GAE1C,IAAK,IAAIstB,KAAmBH,EAAc,CACxC,IAAII,EAAahzB,EAAO+yB,GACpBE,EAAsBD,GAAcA,EAAW3xB,UAEnD,GAAI4xB,GAAuBA,EAAoBrxB,UAAYA,EAAS,IAClE6D,EAA4BwtB,EAAqB,UAAWrxB,GAC5D,MAAO7B,GACPkzB,EAAoBrxB,QAAUA,K,6BCVlCR,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQ8zB,eAMR,WACE,GAAY,OAARxzB,EACF,OAAO,KAGT,MAAO,CACLA,IAAKA,EACL+9B,YAAaA,IAZjB,IAAIC,EAAa16B,SAASkY,qBAAqB,QAAQ,GACnDxb,EAAMg+B,EAAaA,EAAWxD,aAAa,aAAe,KAC1DyD,EAAqB36B,SAASkY,qBAAqB,QAAQ,GAC3DuiB,EAAcE,EAAqBA,EAAmBzD,aAAa,yBAA2B,M,6BCPlG,IAAIn2B,EAAQ,EAAQ,GAIpB,SAAS65B,EAAGC,EAAGt5B,GACb,OAAOuQ,OAAO+oB,EAAGt5B,GAGnBnF,EAAQ+V,cAAgBpR,GAAM,WAE5B,IAAIyR,EAAKooB,EAAG,IAAK,KAEjB,OADApoB,EAAGN,UAAY,EACW,MAAnBM,EAAGnW,KAAK,WAGjBD,EAAQgW,aAAerR,GAAM,WAE3B,IAAIyR,EAAKooB,EAAG,KAAM,MAElB,OADApoB,EAAGN,UAAY,EACU,MAAlBM,EAAGnW,KAAK,W,8BCrBjB,YAGmEF,EAAOC,QAGlE,WAAe,aAEnB,IAAI0+B,EA6GA9c,EA3GJ,SAAS+c,IACL,OAAOD,EAAa1uB,MAAM,KAAMhM,WASpC,SAASvC,EAAQ6Q,GACb,OAAOA,aAAiBiG,OAAmD,mBAA1ChX,OAAOC,UAAUF,SAASK,KAAK2Q,GAGpE,SAASzQ,EAASyQ,GAGd,OAAgB,MAATA,GAA2D,oBAA1C/Q,OAAOC,UAAUF,SAASK,KAAK2Q,GAiB3D,SAAS1Q,EAAY0Q,GACjB,YAAiB,IAAVA,EAGX,SAASrP,EAASqP,GACd,MAAwB,iBAAVA,GAAgE,oBAA1C/Q,OAAOC,UAAUF,SAASK,KAAK2Q,GAGvE,SAASpP,EAAOoP,GACZ,OAAOA,aAAiB6nB,MAAkD,kBAA1C54B,OAAOC,UAAUF,SAASK,KAAK2Q,GAGnE,SAASwH,EAAI4gB,EAAKz4B,GACd,IAAcC,EAAV4vB,EAAM,GACV,IAAK5vB,EAAI,EAAGA,EAAIw4B,EAAIt4B,SAAUF,EAC1B4vB,EAAItZ,KAAKvW,EAAGy4B,EAAIx4B,GAAIA,IAExB,OAAO4vB,EAGX,SAAS8M,EAAWz6B,EAAGC,GACnB,OAAO7C,OAAOC,UAAUc,eAAeX,KAAKwC,EAAGC,GAGnD,SAASF,EAAOC,EAAGC,GACf,IAAK,IAAIlC,KAAKkC,EACNw6B,EAAWx6B,EAAGlC,KACdiC,EAAEjC,GAAKkC,EAAElC,IAYjB,OARI08B,EAAWx6B,EAAG,cACdD,EAAE7C,SAAW8C,EAAE9C,UAGfs9B,EAAWx6B,EAAG,aACdD,EAAEqO,QAAUpO,EAAEoO,SAGXrO,EAGX,SAAS06B,EAAWvsB,EAAOqa,EAAQmS,EAAQC,GACvC,OAAOC,GAAiB1sB,EAAOqa,EAAQmS,EAAQC,GAAQ,GAAME,MAuBjE,SAASC,EAAgBjT,GAIrB,OAHa,MAATA,EAAEkT,MACFlT,EAAEkT,IApBC,CACHC,OAAkB,EAClBC,aAAkB,GAClBC,YAAkB,GAClBC,UAAmB,EACnBC,cAAkB,EAClBC,WAAkB,EAClBC,aAAkB,KAClBC,eAAkB,EAClBC,iBAAkB,EAClBC,KAAkB,EAClBC,gBAAkB,GAClBC,SAAkB,KAClBC,SAAkB,EAClBC,iBAAkB,IAQfhU,EAAEkT,IAqBb,SAASe,EAAQjU,GACb,GAAkB,MAAdA,EAAEkU,SAAkB,CACpB,IAAI7pB,EAAQ4oB,EAAgBjT,GACxBmU,EAAcxe,EAAKjgB,KAAK2U,EAAMwpB,iBAAiB,SAAU59B,GACzD,OAAY,MAALA,KAEPm+B,GAAc5xB,MAAMwd,EAAEqU,GAAGC,YACzBjqB,EAAMipB,SAAW,IAChBjpB,EAAM8oB,QACN9oB,EAAMopB,eACNppB,EAAMkqB,iBACNlqB,EAAM2pB,kBACN3pB,EAAMmpB,YACNnpB,EAAMqpB,gBACNrpB,EAAMspB,mBACLtpB,EAAMypB,UAAazpB,EAAMypB,UAAYK,GAS3C,GAPInU,EAAEwU,UACFJ,EAAaA,GACe,IAAxB/pB,EAAMkpB,eACwB,IAA9BlpB,EAAM+oB,aAAaj9B,aACDyE,IAAlByP,EAAMoqB,SAGS,MAAnBn/B,OAAOo/B,UAAqBp/B,OAAOo/B,SAAS1U,GAI5C,OAAOoU,EAHPpU,EAAEkU,SAAWE,EAMrB,OAAOpU,EAAEkU,SAGb,SAASS,EAAetqB,GACpB,IAAI2V,EAAI4S,EAAUgC,KAQlB,OAPa,MAATvqB,EACApS,EAAOg7B,EAAgBjT,GAAI3V,GAG3B4oB,EAAgBjT,GAAG2T,iBAAkB,EAGlC3T,EA3DPrK,EADArJ,MAAM/W,UAAUogB,KACTrJ,MAAM/W,UAAUogB,KAEhB,SAAUxK,GAIb,IAHA,IAAI4S,EAAIzoB,OAAO0I,MACX6N,EAAMkS,EAAE5nB,SAAW,EAEdF,EAAI,EAAGA,EAAI4V,EAAK5V,IACrB,GAAIA,KAAK8nB,GAAK5S,EAAIzV,KAAKsI,KAAM+f,EAAE9nB,GAAIA,EAAG8nB,GAClC,OAAO,EAIf,OAAO,GAoDf,IAAI8W,EAAmBnC,EAAMmC,iBAAmB,GAEhD,SAASC,EAAWC,EAAI5L,GACpB,IAAIlzB,EAAGonB,EAAM5nB,EAiCb,GA/BKE,EAAYwzB,EAAK6L,oBAClBD,EAAGC,iBAAmB7L,EAAK6L,kBAE1Br/B,EAAYwzB,EAAKna,MAClB+lB,EAAG/lB,GAAKma,EAAKna,IAEZrZ,EAAYwzB,EAAK8L,MAClBF,EAAGE,GAAK9L,EAAK8L,IAEZt/B,EAAYwzB,EAAK+L,MAClBH,EAAGG,GAAK/L,EAAK+L,IAEZv/B,EAAYwzB,EAAKqL,WAClBO,EAAGP,QAAUrL,EAAKqL,SAEjB7+B,EAAYwzB,EAAKgM,QAClBJ,EAAGI,KAAOhM,EAAKgM,MAEdx/B,EAAYwzB,EAAKiM,UAClBL,EAAGK,OAASjM,EAAKiM,QAEhBz/B,EAAYwzB,EAAKkM,WAClBN,EAAGM,QAAUlM,EAAKkM,SAEjB1/B,EAAYwzB,EAAK+J,OAClB6B,EAAG7B,IAAMD,EAAgB9J,IAExBxzB,EAAYwzB,EAAKmM,WAClBP,EAAGO,QAAUnM,EAAKmM,SAGlBT,EAAiB1+B,OAAS,EAC1B,IAAKF,EAAI,EAAGA,EAAI4+B,EAAiB1+B,OAAQF,IAGhCN,EADLF,EAAM0zB,EADN9L,EAAOwX,EAAiB5+B,OAGpB8+B,EAAG1X,GAAQ5nB,GAKvB,OAAOs/B,EAGX,IAAIQ,GAAmB,EAGvB,SAASC,EAAO94B,GACZo4B,EAAW92B,KAAMtB,GACjBsB,KAAKq2B,GAAK,IAAInG,KAAkB,MAAbxxB,EAAO23B,GAAa33B,EAAO23B,GAAGC,UAAYM,KACxD52B,KAAKi2B,YACNj2B,KAAKq2B,GAAK,IAAInG,KAAK0G,OAIE,IAArBW,IACAA,GAAmB,EACnB7C,EAAM+C,aAAaz3B,MACnBu3B,GAAmB,GAI3B,SAASG,EAAU3/B,GACf,OAAOA,aAAey/B,GAAkB,MAAPz/B,GAAuC,MAAxBA,EAAIi/B,iBAGxD,SAASW,EAAUpJ,GACf,OAAIA,EAAS,EAEFz3B,KAAKwN,KAAKiqB,IAAW,EAErBz3B,KAAKyN,MAAMgqB,GAI1B,SAASqJ,EAAMC,GACX,IAAIC,GAAiBD,EACjBv8B,EAAQ,EAMZ,OAJsB,IAAlBw8B,GAAuBC,SAASD,KAChCx8B,EAAQq8B,EAASG,IAGdx8B,EAIX,SAAS08B,EAAcC,EAAQC,EAAQC,GACnC,IAGIlgC,EAHA4V,EAAM/W,KAAKkK,IAAIi3B,EAAO9/B,OAAQ+/B,EAAO//B,QACrCigC,EAAathC,KAAKuhC,IAAIJ,EAAO9/B,OAAS+/B,EAAO//B,QAC7CmgC,EAAQ,EAEZ,IAAKrgC,EAAI,EAAGA,EAAI4V,EAAK5V,KACZkgC,GAAeF,EAAOhgC,KAAOigC,EAAOjgC,KACnCkgC,GAAeP,EAAMK,EAAOhgC,MAAQ2/B,EAAMM,EAAOjgC,MACnDqgC,IAGR,OAAOA,EAAQF,EAGnB,SAASx3B,EAAK23B,IACgC,IAAtC7D,EAAM8D,6BACmB,oBAAb73B,SAA6BA,QAAQC,MACjDD,QAAQC,KAAK,wBAA0B23B,GAI/C,SAASE,EAAUF,EAAKvgC,GACpB,IAAI0gC,GAAY,EAEhB,OAAOz+B,GAAO,WAIV,GAHgC,MAA5By6B,EAAMiE,oBACNjE,EAAMiE,mBAAmB,KAAMJ,GAE/BG,EAAW,CAGX,IAFA,IACIjiB,EADApI,EAAO,GAEFpW,EAAI,EAAGA,EAAI8B,UAAU5B,OAAQF,IAAK,CAEvC,GADAwe,EAAM,GACsB,iBAAjB1c,UAAU9B,GAAiB,CAElC,IAAK,IAAIG,KADTqe,GAAO,MAAQxe,EAAI,KACH8B,UAAU,GACtB0c,GAAOre,EAAM,KAAO2B,UAAU,GAAG3B,GAAO,KAE5Cqe,EAAMA,EAAIxT,MAAM,GAAI,QAEpBwT,EAAM1c,UAAU9B,GAEpBoW,EAAKE,KAAKkI,GAEd7V,EAAK23B,EAAM,gBAAkBjqB,MAAM/W,UAAU0L,MAAMvL,KAAK2W,GAAMtO,KAAK,IAAM,MAAO,IAAKiN,OAAS2hB,OAC9F+J,GAAY,EAEhB,OAAO1gC,EAAG+N,MAAM/F,KAAMjG,aACvB/B,GAGP,IAsEI0Q,EAtEAkwB,EAAe,GAEnB,SAASC,EAAgBjiC,EAAM2hC,GACK,MAA5B7D,EAAMiE,oBACNjE,EAAMiE,mBAAmB/hC,EAAM2hC,GAE9BK,EAAahiC,KACdgK,EAAK23B,GACLK,EAAahiC,IAAQ,GAO7B,SAASiB,EAAWwQ,GAChB,OAAOA,aAAiBlR,UAAsD,sBAA1CG,OAAOC,UAAUF,SAASK,KAAK2Q,GAsBvE,SAASywB,EAAaC,EAAcC,GAChC,IAAoC3Z,EAAhCwI,EAAM5tB,EAAO,GAAI8+B,GACrB,IAAK1Z,KAAQ2Z,EACLrE,EAAWqE,EAAa3Z,KACpBznB,EAASmhC,EAAa1Z,KAAUznB,EAASohC,EAAY3Z,KACrDwI,EAAIxI,GAAQ,GACZplB,EAAO4tB,EAAIxI,GAAO0Z,EAAa1Z,IAC/BplB,EAAO4tB,EAAIxI,GAAO2Z,EAAY3Z,KACF,MAArB2Z,EAAY3Z,GACnBwI,EAAIxI,GAAQ2Z,EAAY3Z,UAEjBwI,EAAIxI,IAIvB,IAAKA,KAAQ0Z,EACLpE,EAAWoE,EAAc1Z,KACpBsV,EAAWqE,EAAa3Z,IACzBznB,EAASmhC,EAAa1Z,MAE1BwI,EAAIxI,GAAQplB,EAAO,GAAI4tB,EAAIxI,KAGnC,OAAOwI,EAGX,SAASoR,EAAOv6B,GACE,MAAVA,GACAsB,KAAKkD,IAAIxE,GAtDjBg2B,EAAM8D,6BAA8B,EACpC9D,EAAMiE,mBAAqB,KA4DvBjwB,EADApR,OAAOoR,KACApR,OAAOoR,KAEP,SAAU3Q,GACb,IAAIE,EAAG4vB,EAAM,GACb,IAAK5vB,KAAKF,EACF48B,EAAW58B,EAAKE,IAChB4vB,EAAItZ,KAAKtW,GAGjB,OAAO4vB,GAoFf,IAAIqR,EAAU,GAEd,SAASC,EAAcC,EAAMC,GACzB,IAAIC,EAAYF,EAAKjnB,cACrB+mB,EAAQI,GAAaJ,EAAQI,EAAY,KAAOJ,EAAQG,GAAaD,EAGzE,SAASG,EAAeC,GACpB,MAAwB,iBAAVA,EAAqBN,EAAQM,IAAUN,EAAQM,EAAMrnB,oBAAiBvV,EAGxF,SAAS68B,EAAqBC,GAC1B,IACIC,EACAta,EAFAua,EAAkB,GAItB,IAAKva,KAAQqa,EACL/E,EAAW+E,EAAara,KACxBsa,EAAiBJ,EAAela,MAE5Bua,EAAgBD,GAAkBD,EAAYra,IAK1D,OAAOua,EAGX,IAAIC,EAAa,GAEjB,SAASC,EAAgBV,EAAMW,GAC3BF,EAAWT,GAAQW,EAcvB,SAASC,EAASzL,EAAQ0L,EAAcC,GACpC,IAAIC,EAAY,GAAKrjC,KAAKuhC,IAAI9J,GAC1B6L,EAAcH,EAAeE,EAAUhiC,OAE3C,OADWo2B,GAAU,EACL2L,EAAY,IAAM,GAAM,KACpCpjC,KAAKujC,IAAI,GAAIvjC,KAAKkwB,IAAI,EAAGoT,IAAc/iC,WAAW83B,OAAO,GAAKgL,EAGtE,IAAIG,EAAmB,uLAEnBC,EAAwB,6CAExBC,EAAkB,GAElBC,EAAuB,GAM3B,SAASC,EAAgBh1B,EAAOi1B,EAAQC,EAASpK,GAC7C,IAAIqK,EAAOrK,EACa,iBAAbA,IACPqK,EAAO,WACH,OAAO76B,KAAKwwB,OAGhB9qB,IACA+0B,EAAqB/0B,GAASm1B,GAE9BF,IACAF,EAAqBE,EAAO,IAAM,WAC9B,OAAOX,EAASa,EAAK90B,MAAM/F,KAAMjG,WAAY4gC,EAAO,GAAIA,EAAO,MAGnEC,IACAH,EAAqBG,GAAW,WAC5B,OAAO56B,KAAK86B,aAAaF,QAAQC,EAAK90B,MAAM/F,KAAMjG,WAAY2L,KAiC1E,SAASq1B,EAAa/Y,EAAGU,GACrB,OAAKV,EAAEiU,WAIPvT,EAASsY,EAAatY,EAAQV,EAAE8Y,cAChCN,EAAgB9X,GAAU8X,EAAgB9X,IA3B9C,SAA4BA,GACxB,IAA4CzqB,EAAGE,EARnBkQ,EAQxB6F,EAAQwU,EAAOxW,MAAMouB,GAEzB,IAAKriC,EAAI,EAAGE,EAAS+V,EAAM/V,OAAQF,EAAIE,EAAQF,IACvCwiC,EAAqBvsB,EAAMjW,IAC3BiW,EAAMjW,GAAKwiC,EAAqBvsB,EAAMjW,IAEtCiW,EAAMjW,IAdcoQ,EAcc6F,EAAMjW,IAbtCiU,MAAM,YACL7D,EAAM9N,QAAQ,WAAY,IAE9B8N,EAAM9N,QAAQ,MAAO,IAc5B,OAAO,SAAU0gC,GACb,IAAiBhjC,EAAbijC,EAAS,GACb,IAAKjjC,EAAI,EAAGA,EAAIE,EAAQF,IACpBijC,GAAUrjC,EAAWqW,EAAMjW,IAAMiW,EAAMjW,GAAGP,KAAKujC,EAAKvY,GAAUxU,EAAMjW,GAExE,OAAOijC,GAW0CC,CAAmBzY,GAEjE8X,EAAgB9X,GAAQV,IANpBA,EAAE8Y,aAAaM,cAS9B,SAASJ,EAAatY,EAAQmS,GAC1B,IAAI58B,EAAI,EAER,SAASojC,EAA4BhzB,GACjC,OAAOwsB,EAAOyG,eAAejzB,IAAUA,EAI3C,IADAkyB,EAAsB1uB,UAAY,EAC3B5T,GAAK,GAAKsiC,EAAsBpvB,KAAKuX,IACxCA,EAASA,EAAOnoB,QAAQggC,EAAuBc,GAC/Cd,EAAsB1uB,UAAY,EAClC5T,GAAK,EAGT,OAAOyqB,EAGX,IAAI6Y,EAAiB,KACjBC,EAAiB,OACjBC,EAAiB,QACjBC,EAAiB,QACjBC,EAAiB,aACjBC,EAAiB,QACjBC,EAAiB,YACjBC,GAAiB,gBACjBC,GAAiB,UACjBC,GAAiB,UACjBC,GAAiB,eAEjBC,GAAiB,MACjBC,GAAiB,WAEjBC,GAAiB,qBACjBC,GAAmB,0BAMnBC,GAAY,wJAEZC,GAAU,GAEd,SAASC,GAAe92B,EAAO+2B,EAAOC,GAClCH,GAAQ72B,GAAS7N,EAAW4kC,GAASA,EAAQ,SAAUE,EAAU7B,GAC7D,OAAQ6B,GAAYD,EAAeA,EAAcD,GAIzD,SAASG,GAAuBl3B,EAAOhH,GACnC,OAAKi2B,EAAW4H,GAAS72B,GAIlB62B,GAAQ72B,GAAOhH,EAAO83B,QAAS93B,EAAO44B,SAHlC,IAAI7rB,OAQRoxB,GAR8Bn3B,EAQhBnL,QAAQ,KAAM,IAAIA,QAAQ,uCAAuC,SAAU6tB,EAAS0U,EAAIC,EAAIC,EAAIC,GACjH,OAAOH,GAAMC,GAAMC,GAAMC,OAIjC,SAASJ,GAAYrI,GACjB,OAAOA,EAAEj6B,QAAQ,yBAA0B,QAG/C,IAAI2iC,GAAS,GAEb,SAASC,GAAez3B,EAAO8qB,GAC3B,IAAIv4B,EAAG4iC,EAAOrK,EASd,IARqB,iBAAV9qB,IACPA,EAAQ,CAACA,IAET1M,EAASw3B,KACTqK,EAAO,SAAUxyB,EAAO6F,GACpBA,EAAMsiB,GAAYoH,EAAMvvB,KAG3BpQ,EAAI,EAAGA,EAAIyN,EAAMvN,OAAQF,IAC1BilC,GAAOx3B,EAAMzN,IAAM4iC,EAI3B,SAASuC,GAAmB13B,EAAO8qB,GAC/B2M,GAAcz3B,GAAO,SAAU2C,EAAO6F,EAAOxP,EAAQgH,GACjDhH,EAAO2+B,GAAK3+B,EAAO2+B,IAAM,GACzB7M,EAASnoB,EAAO3J,EAAO2+B,GAAI3+B,EAAQgH,MAI3C,SAAS43B,GAAwB53B,EAAO2C,EAAO3J,GAC9B,MAAT2J,GAAiBssB,EAAWuI,GAAQx3B,IACpCw3B,GAAOx3B,GAAO2C,EAAO3J,EAAO6+B,GAAI7+B,EAAQgH,GA0DhD,SAAS83B,GAAWC,GAChB,OAAOC,GAAWD,GAAQ,IAAM,IAGpC,SAASC,GAAWD,GAChB,OAAQA,EAAO,GAAM,GAAKA,EAAO,KAAQ,GAAMA,EAAO,KAAQ,EA/ClE/C,EAAe,IAAK,EAAG,GAAG,WACtB,IAAIiD,EAAI39B,KAAKy9B,OACb,OAAOE,GAAK,KAAO,GAAKA,EAAI,IAAMA,KAGtCjD,EAAe,EAAG,CAAC,KAAM,GAAI,GAAG,WAC5B,OAAO16B,KAAKy9B,OAAS,OAGzB/C,EAAe,EAAG,CAAC,OAAU,GAAU,EAAG,QAC1CA,EAAe,EAAG,CAAC,QAAU,GAAU,EAAG,QAC1CA,EAAe,EAAG,CAAC,SAAU,GAAG,GAAO,EAAG,QAI1CvB,EAAa,OAAQ,KAIrBW,EAAgB,OAAQ,GAIxB0C,GAAc,IAAUL,IACxBK,GAAc,KAAUZ,EAAWJ,GACnCgB,GAAc,OAAUR,GAAWN,GACnCc,GAAc,QAAUP,GAAWN,GACnCa,GAAc,SAAUP,GAAWN,GAEnCwB,GAAc,CAAC,QAAS,UAzCb,GA0CXA,GAAc,QAAQ,SAAU90B,EAAO6F,GACnCA,EA3CO,GA2CwB,IAAjB7F,EAAMlQ,OAAeu8B,EAAMkJ,kBAAkBv1B,GAASuvB,EAAMvvB,MAE9E80B,GAAc,MAAM,SAAU90B,EAAO6F,GACjCA,EA9CO,GA8COwmB,EAAMkJ,kBAAkBv1B,MAE1C80B,GAAc,KAAK,SAAU90B,EAAO6F,GAChCA,EAjDO,GAiDO2vB,SAASx1B,EAAO,OAelCqsB,EAAMkJ,kBAAoB,SAAUv1B,GAChC,OAAOuvB,EAAMvvB,IAAUuvB,EAAMvvB,GAAS,GAAK,KAAO,MAKtD,IAiEIzJ,GAjEAk/B,GAAaC,GAAW,YAAY,GAMxC,SAASA,GAAY3E,EAAM4E,GACvB,OAAO,SAAU1iC,GACb,OAAa,MAATA,GACA2iC,GAAMj+B,KAAMo5B,EAAM99B,GAClBo5B,EAAM+C,aAAaz3B,KAAMg+B,GAClBh+B,MAEApF,GAAIoF,KAAMo5B,IAK7B,SAASx+B,GAAKqgC,EAAK7B,GACf,OAAO6B,EAAIhF,UACPgF,EAAI5E,GAAG,OAAS4E,EAAI7D,OAAS,MAAQ,IAAMgC,KAAUxC,IAG7D,SAASqH,GAAOhD,EAAK7B,EAAM99B,GACnB2/B,EAAIhF,YAAczxB,MAAMlJ,KACX,aAAT89B,GAAuBsE,GAAWzC,EAAIwC,SAA2B,IAAhBxC,EAAIiD,SAAgC,KAAfjD,EAAIkD,OAC1ElD,EAAI5E,GAAG,OAAS4E,EAAI7D,OAAS,MAAQ,IAAMgC,GAAM99B,EAAO2/B,EAAIiD,QAASE,GAAY9iC,EAAO2/B,EAAIiD,UAG5FjD,EAAI5E,GAAG,OAAS4E,EAAI7D,OAAS,MAAQ,IAAMgC,GAAM99B,IAqD7D,SAAS8iC,GAAYX,EAAMS,GACvB,GAAI15B,MAAMi5B,IAASj5B,MAAM05B,GACrB,OAAOtH,IAEX,IAzBYyH,EAyBRC,GAAeJ,GAzBPG,EAyBc,IAxBRA,GAAKA,EA0BvB,OADAZ,IAASS,EAAQI,GAAY,GACT,IAAbA,EAAkBZ,GAAWD,GAAQ,GAAK,GAAO,GAAKa,EAAW,EAAI,EApB5E1/B,GADA0P,MAAM/W,UAAUqH,QACN0P,MAAM/W,UAAUqH,QAEhB,SAAU2/B,GAEhB,IAAItmC,EACJ,IAAKA,EAAI,EAAGA,EAAI+H,KAAK7H,SAAUF,EAC3B,GAAI+H,KAAK/H,KAAOsmC,EACZ,OAAOtmC,EAGf,OAAQ,GAehByiC,EAAe,IAAK,CAAC,KAAM,GAAI,MAAM,WACjC,OAAO16B,KAAKk+B,QAAU,KAG1BxD,EAAe,MAAO,EAAG,GAAG,SAAUhY,GAClC,OAAO1iB,KAAK86B,aAAa0D,YAAYx+B,KAAM0iB,MAG/CgY,EAAe,OAAQ,EAAG,GAAG,SAAUhY,GACnC,OAAO1iB,KAAK86B,aAAa2D,OAAOz+B,KAAM0iB,MAK1CyW,EAAa,QAAS,KAItBW,EAAgB,QAAS,GAIzB0C,GAAc,IAAQZ,GACtBY,GAAc,KAAQZ,EAAWJ,GACjCgB,GAAc,OAAQ,SAAUG,EAAU9H,GACtC,OAAOA,EAAO6J,iBAAiB/B,MAEnCH,GAAc,QAAQ,SAAUG,EAAU9H,GACtC,OAAOA,EAAO8J,YAAYhC,MAG9BQ,GAAc,CAAC,IAAK,OAAO,SAAU90B,EAAO6F,GACxCA,EAlMQ,GAkMO0pB,EAAMvvB,GAAS,KAGlC80B,GAAc,CAAC,MAAO,SAAS,SAAU90B,EAAO6F,EAAOxP,EAAQgH,GAC3D,IAAIw4B,EAAQx/B,EAAO44B,QAAQsH,YAAYv2B,EAAO3C,EAAOhH,EAAO83B,SAE/C,MAAT0H,EACAhwB,EAzMI,GAyMWgwB,EAEfjJ,EAAgBv2B,GAAQ+2B,aAAeptB,KAM/C,IAAIw2B,GAAmB,gCACnBC,GAAsB,wFAAwFn/B,MAAM,KAUpHo/B,GAA2B,kDAAkDp/B,MAAM,KAUvF,SAASq/B,GAAkBC,EAAWvc,EAAQoS,GAC1C,IAAI78B,EAAGinC,EAAIjE,EAAKkE,EAAMF,EAAUG,oBAChC,IAAKp/B,KAAKq/B,aAKN,IAHAr/B,KAAKq/B,aAAe,GACpBr/B,KAAKs/B,iBAAmB,GACxBt/B,KAAKu/B,kBAAoB,GACpBtnC,EAAI,EAAGA,EAAI,KAAMA,EAClBgjC,EAAMrG,EAAU,CAAC,IAAM38B,IACvB+H,KAAKu/B,kBAAkBtnC,GAAK+H,KAAKw+B,YAAYvD,EAAK,IAAImE,oBACtDp/B,KAAKs/B,iBAAiBrnC,GAAK+H,KAAKy+B,OAAOxD,EAAK,IAAImE,oBAIxD,OAAItK,EACe,QAAXpS,GAEe,KADfwc,EAAKtgC,GAAQlH,KAAKsI,KAAKu/B,kBAAmBJ,IACvBD,EAAK,MAGT,KADfA,EAAKtgC,GAAQlH,KAAKsI,KAAKs/B,iBAAkBH,IACtBD,EAAK,KAGb,QAAXxc,GAEY,KADZwc,EAAKtgC,GAAQlH,KAAKsI,KAAKu/B,kBAAmBJ,MAK3B,KADfD,EAAKtgC,GAAQlH,KAAKsI,KAAKs/B,iBAAkBH,IAF9BD,EAGa,MAGZ,KADZA,EAAKtgC,GAAQlH,KAAKsI,KAAKs/B,iBAAkBH,MAK1B,KADfD,EAAKtgC,GAAQlH,KAAKsI,KAAKu/B,kBAAmBJ,IAF/BD,EAGa,KA6CpC,SAASM,GAAUvE,EAAK3/B,GACpB,IAAImkC,EAEJ,IAAKxE,EAAIhF,UAEL,OAAOgF,EAGX,GAAqB,iBAAV3/B,EACP,GAAI,QAAQ6P,KAAK7P,GACbA,EAAQs8B,EAAMt8B,QAId,IAAKtC,EAFLsC,EAAQ2/B,EAAIH,aAAa8D,YAAYtjC,IAGjC,OAAO2/B,EAOnB,OAFAwE,EAAa3oC,KAAKkK,IAAIi6B,EAAIkD,OAAQC,GAAYnD,EAAIwC,OAAQniC,IAC1D2/B,EAAI5E,GAAG,OAAS4E,EAAI7D,OAAS,MAAQ,IAAM,SAAS97B,EAAOmkC,GACpDxE,EAGX,SAASyE,GAAapkC,GAClB,OAAa,MAATA,GACAkkC,GAASx/B,KAAM1E,GACfo5B,EAAM+C,aAAaz3B,MAAM,GAClBA,MAEApF,GAAIoF,KAAM,SAQzB,IAAI2/B,GAA0BrD,GAoB1BsD,GAAqBtD,GAoBzB,SAASuD,KACL,SAASC,EAAU5lC,EAAGC,GAClB,OAAOA,EAAEhC,OAAS+B,EAAE/B,OAGxB,IACIF,EAAGgjC,EADH8E,EAAc,GAAIC,EAAa,GAAIC,EAAc,GAErD,IAAKhoC,EAAI,EAAGA,EAAI,GAAIA,IAEhBgjC,EAAMrG,EAAU,CAAC,IAAM38B,IACvB8nC,EAAYxxB,KAAKvO,KAAKw+B,YAAYvD,EAAK,KACvC+E,EAAWzxB,KAAKvO,KAAKy+B,OAAOxD,EAAK,KACjCgF,EAAY1xB,KAAKvO,KAAKy+B,OAAOxD,EAAK,KAClCgF,EAAY1xB,KAAKvO,KAAKw+B,YAAYvD,EAAK,KAO3C,IAHA8E,EAAYG,KAAKJ,GACjBE,EAAWE,KAAKJ,GAChBG,EAAYC,KAAKJ,GACZ7nC,EAAI,EAAGA,EAAI,GAAIA,IAChB8nC,EAAY9nC,GAAK4kC,GAAYkD,EAAY9nC,IACzC+nC,EAAW/nC,GAAK4kC,GAAYmD,EAAW/nC,IAE3C,IAAKA,EAAI,EAAGA,EAAI,GAAIA,IAChBgoC,EAAYhoC,GAAK4kC,GAAYoD,EAAYhoC,IAG7C+H,KAAKmgC,aAAe,IAAI10B,OAAO,KAAOw0B,EAAYlgC,KAAK,KAAO,IAAK,KACnEC,KAAKogC,kBAAoBpgC,KAAKmgC,aAC9BngC,KAAKqgC,mBAAqB,IAAI50B,OAAO,KAAOu0B,EAAWjgC,KAAK,KAAO,IAAK,KACxEC,KAAKsgC,wBAA0B,IAAI70B,OAAO,KAAOs0B,EAAYhgC,KAAK,KAAO,IAAK,KAGlF,SAASwgC,GAAY5C,EAAG3b,EAAGwe,EAAG39B,EAAG49B,EAAGjM,EAAGkM,GAGnC,IAAIvC,EAYJ,OAVIR,EAAI,KAAOA,GAAK,GAEhBQ,EAAO,IAAIjO,KAAKyN,EAAI,IAAK3b,EAAGwe,EAAG39B,EAAG49B,EAAGjM,EAAGkM,GACpC3I,SAASoG,EAAKwC,gBACdxC,EAAKyC,YAAYjD,IAGrBQ,EAAO,IAAIjO,KAAKyN,EAAG3b,EAAGwe,EAAG39B,EAAG49B,EAAGjM,EAAGkM,GAG/BvC,EAGX,SAAS0C,GAAelD,GACpB,IAAIQ,EAEJ,GAAIR,EAAI,KAAOA,GAAK,EAAG,CACnB,IAAItvB,EAAOC,MAAM/W,UAAU0L,MAAMvL,KAAKqC,WAEtCsU,EAAK,GAAKsvB,EAAI,IACdQ,EAAO,IAAIjO,KAAKA,KAAK4Q,IAAI/6B,MAAM,KAAMsI,IACjC0pB,SAASoG,EAAK4C,mBACd5C,EAAK6C,eAAerD,QAGxBQ,EAAO,IAAIjO,KAAKA,KAAK4Q,IAAI/6B,MAAM,KAAMhM,YAGzC,OAAOokC,EAIX,SAAS8C,GAAgBxD,EAAMyD,EAAKC,GAChC,IACIC,EAAM,EAAIF,EAAMC,EAIpB,QAFa,EAAIN,GAAcpD,EAAM,EAAG2D,GAAKC,YAAcH,GAAO,EAElDE,EAAM,EAI1B,SAASE,GAAmB7D,EAAM8D,EAAMC,EAASN,EAAKC,GAClD,IAGIM,EAASC,EADTC,EAAY,EAAI,GAAKJ,EAAO,IAFZ,EAAIC,EAAUN,GAAO,EACxBD,GAAgBxD,EAAMyD,EAAKC,GAe5C,OAXIQ,GAAa,EAEbD,EAAelE,GADfiE,EAAUhE,EAAO,GACoBkE,EAC9BA,EAAYnE,GAAWC,IAC9BgE,EAAUhE,EAAO,EACjBiE,EAAeC,EAAYnE,GAAWC,KAEtCgE,EAAUhE,EACViE,EAAeC,GAGZ,CACHlE,KAAMgE,EACNE,UAAWD,GAInB,SAASE,GAAW3G,EAAKiG,EAAKC,GAC1B,IAEIU,EAASJ,EAFTK,EAAab,GAAgBhG,EAAIwC,OAAQyD,EAAKC,GAC9CI,EAAOzqC,KAAKyN,OAAO02B,EAAI0G,YAAcG,EAAa,GAAK,GAAK,EAchE,OAXIP,EAAO,EAEPM,EAAUN,EAAOQ,GADjBN,EAAUxG,EAAIwC,OAAS,EACeyD,EAAKC,GACpCI,EAAOQ,GAAY9G,EAAIwC,OAAQyD,EAAKC,IAC3CU,EAAUN,EAAOQ,GAAY9G,EAAIwC,OAAQyD,EAAKC,GAC9CM,EAAUxG,EAAIwC,OAAS,IAEvBgE,EAAUxG,EAAIwC,OACdoE,EAAUN,GAGP,CACHA,KAAMM,EACNpE,KAAMgE,GAId,SAASM,GAAYtE,EAAMyD,EAAKC,GAC5B,IAAIW,EAAab,GAAgBxD,EAAMyD,EAAKC,GACxCa,EAAiBf,GAAgBxD,EAAO,EAAGyD,EAAKC,GACpD,OAAQ3D,GAAWC,GAAQqE,EAAaE,GAAkB,EAoJ9D,SAASC,GAAeC,EAAIrrB,GACxB,OAAOqrB,EAAGj/B,MAAM4T,EAAG,GAAG7T,OAAOk/B,EAAGj/B,MAAM,EAAG4T,IAhJ7C6jB,EAAe,IAAK,CAAC,KAAM,GAAI,KAAM,QACrCA,EAAe,IAAK,CAAC,KAAM,GAAI,KAAM,WAIrCvB,EAAa,OAAQ,KACrBA,EAAa,UAAW,KAIxBW,EAAgB,OAAQ,GACxBA,EAAgB,UAAW,GAI3B0C,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAC/BgB,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAE/B4B,GAAkB,CAAC,IAAK,KAAM,IAAK,OAAO,SAAU/0B,EAAOk5B,EAAM7iC,EAAQgH,GACrE67B,EAAK77B,EAAMypB,OAAO,EAAG,IAAMyI,EAAMvvB,MAsCrCqyB,EAAe,IAAK,EAAG,KAAM,OAE7BA,EAAe,KAAM,EAAG,GAAG,SAAUhY,GACjC,OAAO1iB,KAAK86B,aAAaqH,YAAYniC,KAAM0iB,MAG/CgY,EAAe,MAAO,EAAG,GAAG,SAAUhY,GAClC,OAAO1iB,KAAK86B,aAAasH,cAAcpiC,KAAM0iB,MAGjDgY,EAAe,OAAQ,EAAG,GAAG,SAAUhY,GACnC,OAAO1iB,KAAK86B,aAAauH,SAASriC,KAAM0iB,MAG5CgY,EAAe,IAAK,EAAG,EAAG,WAC1BA,EAAe,IAAK,EAAG,EAAG,cAI1BvB,EAAa,MAAO,KACpBA,EAAa,UAAW,KACxBA,EAAa,aAAc,KAG3BW,EAAgB,MAAO,IACvBA,EAAgB,UAAW,IAC3BA,EAAgB,aAAc,IAI9B0C,GAAc,IAAQZ,GACtBY,GAAc,IAAQZ,GACtBY,GAAc,IAAQZ,GACtBY,GAAc,MAAQ,SAAUG,EAAU9H,GACtC,OAAOA,EAAOyN,iBAAiB3F,MAEnCH,GAAc,OAAS,SAAUG,EAAU9H,GACvC,OAAOA,EAAO0N,mBAAmB5F,MAErCH,GAAc,QAAU,SAAUG,EAAU9H,GACxC,OAAOA,EAAO2N,cAAc7F,MAGhCS,GAAkB,CAAC,KAAM,MAAO,SAAS,SAAU/0B,EAAOk5B,EAAM7iC,EAAQgH,GACpE,IAAI87B,EAAU9iC,EAAO44B,QAAQmL,cAAcp6B,EAAO3C,EAAOhH,EAAO83B,SAEjD,MAAXgL,EACAD,EAAKf,EAAIgB,EAETvM,EAAgBv2B,GAAQ63B,eAAiBluB,KAIjD+0B,GAAkB,CAAC,IAAK,IAAK,MAAM,SAAU/0B,EAAOk5B,EAAM7iC,EAAQgH,GAC9D67B,EAAK77B,GAASkyB,EAAMvvB,MAkCxB,IAAIq6B,GAAwB,2DAA2D/iC,MAAM,KAQzFgjC,GAA6B,8BAA8BhjC,MAAM,KAMjEijC,GAA2B,uBAAuBjjC,MAAM,KAM5D,SAASkjC,GAAoBC,EAAapgB,EAAQoS,GAC9C,IAAI78B,EAAGinC,EAAIjE,EAAKkE,EAAM2D,EAAY1D,oBAClC,IAAKp/B,KAAK+iC,eAKN,IAJA/iC,KAAK+iC,eAAiB,GACtB/iC,KAAKgjC,oBAAsB,GAC3BhjC,KAAKijC,kBAAoB,GAEpBhrC,EAAI,EAAGA,EAAI,IAAKA,EACjBgjC,EAAMrG,EAAU,CAAC,IAAM,IAAIsO,IAAIjrC,GAC/B+H,KAAKijC,kBAAkBhrC,GAAK+H,KAAKmiC,YAAYlH,EAAK,IAAImE,oBACtDp/B,KAAKgjC,oBAAoB/qC,GAAK+H,KAAKoiC,cAAcnH,EAAK,IAAImE,oBAC1Dp/B,KAAK+iC,eAAe9qC,GAAK+H,KAAKqiC,SAASpH,EAAK,IAAImE,oBAIxD,OAAItK,EACe,SAAXpS,GAEe,KADfwc,EAAKtgC,GAAQlH,KAAKsI,KAAK+iC,eAAgB5D,IACpBD,EAAK,KACN,QAAXxc,GAEQ,KADfwc,EAAKtgC,GAAQlH,KAAKsI,KAAKgjC,oBAAqB7D,IACzBD,EAAK,MAGT,KADfA,EAAKtgC,GAAQlH,KAAKsI,KAAKijC,kBAAmB9D,IACvBD,EAAK,KAGb,SAAXxc,GAEY,KADZwc,EAAKtgC,GAAQlH,KAAKsI,KAAK+iC,eAAgB5D,MAK3B,KADZD,EAAKtgC,GAAQlH,KAAKsI,KAAKgjC,oBAAqB7D,MAK7B,KADfD,EAAKtgC,GAAQlH,KAAKsI,KAAKijC,kBAAmB9D,IAN/BD,EAOa,KACN,QAAXxc,GAEK,KADZwc,EAAKtgC,GAAQlH,KAAKsI,KAAKgjC,oBAAqB7D,MAKhC,KADZD,EAAKtgC,GAAQlH,KAAKsI,KAAK+iC,eAAgB5D,MAKxB,KADfD,EAAKtgC,GAAQlH,KAAKsI,KAAKijC,kBAAmB9D,IAN/BD,EAOa,MAGZ,KADZA,EAAKtgC,GAAQlH,KAAKsI,KAAKijC,kBAAmB9D,MAK9B,KADZD,EAAKtgC,GAAQlH,KAAKsI,KAAK+iC,eAAgB5D,MAKxB,KADfD,EAAKtgC,GAAQlH,KAAKsI,KAAKgjC,oBAAqB7D,IANjCD,EAOa,KAqFpC,IAAIiE,GAAuB7G,GAoBvB8G,GAA4B9G,GAoB5B+G,GAA0B/G,GAqB9B,SAASgH,KACL,SAASxD,EAAU5lC,EAAGC,GAClB,OAAOA,EAAEhC,OAAS+B,EAAE/B,OAGxB,IACIF,EAAGgjC,EAAKsI,EAAMC,EAAQC,EADtBC,EAAY,GAAI3D,EAAc,GAAIC,EAAa,GAAIC,EAAc,GAErE,IAAKhoC,EAAI,EAAGA,EAAI,EAAGA,IAEfgjC,EAAMrG,EAAU,CAAC,IAAM,IAAIsO,IAAIjrC,GAC/BsrC,EAAOvjC,KAAKmiC,YAAYlH,EAAK,IAC7BuI,EAASxjC,KAAKoiC,cAAcnH,EAAK,IACjCwI,EAAQzjC,KAAKqiC,SAASpH,EAAK,IAC3ByI,EAAUn1B,KAAKg1B,GACfxD,EAAYxxB,KAAKi1B,GACjBxD,EAAWzxB,KAAKk1B,GAChBxD,EAAY1xB,KAAKg1B,GACjBtD,EAAY1xB,KAAKi1B,GACjBvD,EAAY1xB,KAAKk1B,GAQrB,IAJAC,EAAUxD,KAAKJ,GACfC,EAAYG,KAAKJ,GACjBE,EAAWE,KAAKJ,GAChBG,EAAYC,KAAKJ,GACZ7nC,EAAI,EAAGA,EAAI,EAAGA,IACf8nC,EAAY9nC,GAAK4kC,GAAYkD,EAAY9nC,IACzC+nC,EAAW/nC,GAAK4kC,GAAYmD,EAAW/nC,IACvCgoC,EAAYhoC,GAAK4kC,GAAYoD,EAAYhoC,IAG7C+H,KAAK2jC,eAAiB,IAAIl4B,OAAO,KAAOw0B,EAAYlgC,KAAK,KAAO,IAAK,KACrEC,KAAK4jC,oBAAsB5jC,KAAK2jC,eAChC3jC,KAAK6jC,kBAAoB7jC,KAAK2jC,eAE9B3jC,KAAK8jC,qBAAuB,IAAIr4B,OAAO,KAAOu0B,EAAWjgC,KAAK,KAAO,IAAK,KAC1EC,KAAK+jC,0BAA4B,IAAIt4B,OAAO,KAAOs0B,EAAYhgC,KAAK,KAAO,IAAK,KAChFC,KAAKgkC,wBAA0B,IAAIv4B,OAAO,KAAOi4B,EAAU3jC,KAAK,KAAO,IAAK,KAKhF,SAASkkC,KACL,OAAOjkC,KAAKkkC,QAAU,IAAM,GA6BhC,SAASpO,GAAUpwB,EAAOy+B,GACtBzJ,EAAeh1B,EAAO,EAAG,GAAG,WACxB,OAAO1F,KAAK86B,aAAahF,SAAS91B,KAAKkkC,QAASlkC,KAAKokC,UAAWD,MAgBxE,SAASE,GAAe1H,EAAU9H,GAC9B,OAAOA,EAAOyP,eAzClB5J,EAAe,IAAK,CAAC,KAAM,GAAI,EAAG,QAClCA,EAAe,IAAK,CAAC,KAAM,GAAI,EAAGuJ,IAClCvJ,EAAe,IAAK,CAAC,KAAM,GAAI,GAN/B,WACI,OAAO16B,KAAKkkC,SAAW,MAO3BxJ,EAAe,MAAO,EAAG,GAAG,WACxB,MAAO,GAAKuJ,GAAQl+B,MAAM/F,MAAQg6B,EAASh6B,KAAKokC,UAAW,MAG/D1J,EAAe,QAAS,EAAG,GAAG,WAC1B,MAAO,GAAKuJ,GAAQl+B,MAAM/F,MAAQg6B,EAASh6B,KAAKokC,UAAW,GACvDpK,EAASh6B,KAAKukC,UAAW,MAGjC7J,EAAe,MAAO,EAAG,GAAG,WACxB,MAAO,GAAK16B,KAAKkkC,QAAUlK,EAASh6B,KAAKokC,UAAW,MAGxD1J,EAAe,QAAS,EAAG,GAAG,WAC1B,MAAO,GAAK16B,KAAKkkC,QAAUlK,EAASh6B,KAAKokC,UAAW,GAChDpK,EAASh6B,KAAKukC,UAAW,MASjCzO,GAAS,KAAK,GACdA,GAAS,KAAK,GAIdqD,EAAa,OAAQ,KAGrBW,EAAgB,OAAQ,IAQxB0C,GAAc,IAAM6H,IACpB7H,GAAc,IAAM6H,IACpB7H,GAAc,IAAMZ,GACpBY,GAAc,IAAMZ,GACpBY,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAC/BgB,GAAc,KAAMZ,EAAWJ,GAC/BgB,GAAc,KAAMZ,EAAWJ,GAE/BgB,GAAc,MAAOX,GACrBW,GAAc,QAASV,IACvBU,GAAc,MAAOX,GACrBW,GAAc,QAASV,IAEvBqB,GAAc,CAAC,IAAK,MA3+BT,GA4+BXA,GAAc,CAAC,IAAK,OAAO,SAAU90B,EAAO6F,EAAOxP,GAC/C,IAAI8lC,EAAS5M,EAAMvvB,GACnB6F,EA9+BO,GA8+BkB,KAAXs2B,EAAgB,EAAIA,KAEtCrH,GAAc,CAAC,IAAK,MAAM,SAAU90B,EAAO6F,EAAOxP,GAC9CA,EAAO+lC,MAAQ/lC,EAAO44B,QAAQoN,KAAKr8B,GACnC3J,EAAOimC,UAAYt8B,KAEvB80B,GAAc,CAAC,IAAK,OAAO,SAAU90B,EAAO6F,EAAOxP,GAC/CwP,EAr/BO,GAq/BO0pB,EAAMvvB,GACpB4sB,EAAgBv2B,GAAQ+3B,SAAU,KAEtC0G,GAAc,OAAO,SAAU90B,EAAO6F,EAAOxP,GACzC,IAAIwa,EAAM7Q,EAAMlQ,OAAS,EACzB+V,EA1/BO,GA0/BO0pB,EAAMvvB,EAAM8mB,OAAO,EAAGjW,IACpChL,EA1/BS,GA0/BO0pB,EAAMvvB,EAAM8mB,OAAOjW,IACnC+b,EAAgBv2B,GAAQ+3B,SAAU,KAEtC0G,GAAc,SAAS,SAAU90B,EAAO6F,EAAOxP,GAC3C,IAAIkmC,EAAOv8B,EAAMlQ,OAAS,EACtB0sC,EAAOx8B,EAAMlQ,OAAS,EAC1B+V,EAjgCO,GAigCO0pB,EAAMvvB,EAAM8mB,OAAO,EAAGyV,IACpC12B,EAjgCS,GAigCO0pB,EAAMvvB,EAAM8mB,OAAOyV,EAAM,IACzC12B,EAjgCS,GAigCO0pB,EAAMvvB,EAAM8mB,OAAO0V,IACnC5P,EAAgBv2B,GAAQ+3B,SAAU,KAEtC0G,GAAc,OAAO,SAAU90B,EAAO6F,EAAOxP,GACzC,IAAIwa,EAAM7Q,EAAMlQ,OAAS,EACzB+V,EAxgCO,GAwgCO0pB,EAAMvvB,EAAM8mB,OAAO,EAAGjW,IACpChL,EAxgCS,GAwgCO0pB,EAAMvvB,EAAM8mB,OAAOjW,OAEvCikB,GAAc,SAAS,SAAU90B,EAAO6F,EAAOxP,GAC3C,IAAIkmC,EAAOv8B,EAAMlQ,OAAS,EACtB0sC,EAAOx8B,EAAMlQ,OAAS,EAC1B+V,EA9gCO,GA8gCO0pB,EAAMvvB,EAAM8mB,OAAO,EAAGyV,IACpC12B,EA9gCS,GA8gCO0pB,EAAMvvB,EAAM8mB,OAAOyV,EAAM,IACzC12B,EA9gCS,GA8gCO0pB,EAAMvvB,EAAM8mB,OAAO0V,OA2BvC,IAyBIC,GAzBAC,GAAahH,GAAW,SAAS,GAEjCiH,GAAa,CACbC,SAj2CkB,CAClBC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KA41CXjK,eAp1CwB,CACxBkK,IAAO,YACPz+B,GAAO,SACP0+B,EAAO,aACPC,GAAO,eACPC,IAAO,sBACPC,KAAO,6BA+0CPxK,YA7zCqB,eA8zCrBR,QAxzCiB,KAyzCjBiL,uBAxzCgC,UAyzChCC,aAnzCsB,CACtBC,OAAS,QACTC,KAAS,SACTxR,EAAK,gBACLyR,GAAK,aACLjkB,EAAK,WACLkkB,GAAK,aACLrjC,EAAK,UACLsjC,GAAK,WACL3F,EAAK,QACL4F,GAAK,UACL3F,EAAK,UACL4F,GAAK,YACL1I,EAAK,SACL2I,GAAK,YAuyCL7H,OAAQK,GACRN,YAAaO,GAEbwC,KA9gBoB,CACpBL,IAAM,EACNC,IAAM,GA8gBNkB,SAAUK,GACVP,YAAaS,GACbR,cAAeO,GAEf4D,cAnC6B,iBAuC7BC,GAAU,GACVC,GAAiB,GAGrB,SAASC,GAAgBtuC,GACrB,OAAOA,EAAMA,EAAI+Z,cAAc5X,QAAQ,IAAK,KAAOnC,EA8BvD,SAASuuC,GAAW/vC,GAChB,IAAIgwC,EAAY,KAEhB,IAAKJ,GAAQ5vC,SAA4B,IAAXd,GACtBA,GAAUA,EAAOC,QACrB,IACI6wC,EAAY9B,GAAa+B,MAEzB,OAAe,KAAcjwC,GAC7BkwC,GAAmBF,GACrB,MAAOj6B,IAEb,OAAO65B,GAAQ5vC,GAMnB,SAASkwC,GAAoB1uC,EAAK6S,GAC9B,IAAIsF,EAqBJ,OApBInY,KAEImY,EADA5Y,EAAYsT,GACL87B,GAAU3uC,GAGV4uC,GAAa5uC,EAAK6S,IAKzB65B,GAAev0B,EAGU,oBAAb5P,SAA6BA,QAAQC,MAE7CD,QAAQC,KAAK,UAAYxI,EAAO,2CAKrC0sC,GAAa+B,MAGxB,SAASG,GAAcpwC,EAAM8H,GACzB,GAAe,OAAXA,EAAiB,CACjB,IAAIm2B,EAAQkE,EAAeiM,GAE3B,GADAtmC,EAAOuoC,KAAOrwC,EACO,MAAjB4vC,GAAQ5vC,GACRiiC,EAAgB,uBACR,2OAIRE,EAAeyN,GAAQ5vC,GAAMswC,aAC1B,GAA2B,MAAvBxoC,EAAOyoC,aACd,GAAoC,MAAhCX,GAAQ9nC,EAAOyoC,cACfpO,EAAeyN,GAAQ9nC,EAAOyoC,cAAcD,YACzC,CAEH,GAAc,OADdrS,EAAS8R,GAAWjoC,EAAOyoC,eAWvB,OAPKV,GAAe/nC,EAAOyoC,gBACvBV,GAAe/nC,EAAOyoC,cAAgB,IAE1CV,GAAe/nC,EAAOyoC,cAAc54B,KAAK,CACrC3X,KAAMA,EACN8H,OAAQA,IAEL,KATPq6B,EAAelE,EAAOqS,QA2BlC,OAdAV,GAAQ5vC,GAAQ,IAAIqiC,EAAOH,EAAaC,EAAcr6B,IAElD+nC,GAAe7vC,IACf6vC,GAAe7vC,GAAMkB,SAAQ,SAAUumC,GACnC2I,GAAa3I,EAAEznC,KAAMynC,EAAE3/B,WAO/BooC,GAAmBlwC,GAGZ4vC,GAAQ5vC,GAIf,cADO4vC,GAAQ5vC,GACR,KAiCf,SAASmwC,GAAW3uC,GAChB,IAAIy8B,EAMJ,GAJIz8B,GAAOA,EAAIk/B,SAAWl/B,EAAIk/B,QAAQuP,QAClCzuC,EAAMA,EAAIk/B,QAAQuP,QAGjBzuC,EACD,OAAO0sC,GAGX,IAAKttC,EAAQY,GAAM,CAGf,GADAy8B,EAAS8R,GAAWvuC,GAEhB,OAAOy8B,EAEXz8B,EAAM,CAACA,GAGX,OAxKJ,SAAsB4hB,GAGlB,IAFA,IAAWpH,EAAG1I,EAAM2qB,EAAQl1B,EAAxB1H,EAAI,EAEDA,EAAI+hB,EAAM7hB,QAAQ,CAKrB,IAHAya,GADAjT,EAAQ+mC,GAAgB1sB,EAAM/hB,IAAI0H,MAAM,MAC9BxH,OAEV+R,GADAA,EAAOw8B,GAAgB1sB,EAAM/hB,EAAI,KACnBiS,EAAKvK,MAAM,KAAO,KACzBiT,EAAI,GAAG,CAEV,GADAiiB,EAAS8R,GAAWhnC,EAAMsD,MAAM,EAAG2P,GAAG7S,KAAK,MAEvC,OAAO80B,EAEX,GAAI3qB,GAAQA,EAAK/R,QAAUya,GAAKolB,EAAcr4B,EAAOuK,GAAM,IAAS0I,EAAI,EAEpE,MAEJA,IAEJ3a,IAEJ,OAAO6sC,GAmJAsC,CAAahvC,GAOxB,SAASivC,GAAerlB,GACpB,IAAIsT,EACAp7B,EAAI8nB,EAAEub,GAyBV,OAvBIrjC,IAAsC,IAAjC+6B,EAAgBjT,GAAGsT,WACxBA,EACIp7B,EApwCA,GAowCiB,GAAKA,EApwCtB,GAowCuC,GApwCvC,EAqwCAA,EApwCD,GAowCkB,GAAKA,EApwCvB,GAowCwCkkC,GAAYlkC,EAtwCpD,GAswC6DA,EArwC5D,IACD,EAqwCCA,EApwCD,GAowCkB,GAAKA,EApwCvB,GAowCwC,IAAmB,KAAZA,EApwC/C,KAowCgF,IAAdA,EAnwChE,IAmwCiG,IAAdA,EAlwCnF,IAkwCyH,IAAnBA,EAjwCjG,IAHP,EAqwCCA,EApwCC,GAowCgB,GAAKA,EApwCrB,GAowCsC,GApwCtC,EAqwCDA,EApwCC,GAowCgB,GAAKA,EApwCrB,GAowCsC,GApwCtC,EAqwCDA,EApwCM,GAowCW,GAAKA,EApwChB,GAowCiC,IApwCjC,GAqwCL,EAED+6B,EAAgBjT,GAAGslB,qBAAuBhS,EA7wC3C,GA6wC8DA,EA3wC9D,KA4wCCA,EA5wCD,GA8wCCL,EAAgBjT,GAAGulB,iBAAgC,IAAdjS,IACrCA,EA1wCD,GA4wCCL,EAAgBjT,GAAGwlB,mBAAkC,IAAdlS,IACvCA,EA5wCE,GA+wCNL,EAAgBjT,GAAGsT,SAAWA,GAG3BtT,EAIX,SAASrc,GAASzL,EAAGC,EAAG2L,GACpB,OAAS,MAAL5L,EACOA,EAEF,MAALC,EACOA,EAEJ2L,EAgBX,SAAS2hC,GAAiB/oC,GACtB,IAAIzG,EAAGkmC,EAAkBuJ,EAAaC,EAAiBC,EAA1Cv/B,EAAQ,GAErB,IAAI3J,EAAO23B,GAAX,CA6BA,IAzBAqR,EApBJ,SAA0BhpC,GAEtB,IAAImpC,EAAW,IAAI3X,KAAKwE,EAAMrE,OAC9B,OAAI3xB,EAAOopC,QACA,CAACD,EAAS9G,iBAAkB8G,EAASE,cAAeF,EAASG,cAEjE,CAACH,EAASlH,cAAekH,EAASI,WAAYJ,EAASK,WAchDC,CAAiBzpC,GAG3BA,EAAO2+B,IAAyB,MAAnB3+B,EAAO6+B,GA7zCjB,IA6zCyD,MAApB7+B,EAAO6+B,GA9zC3C,IAy3CZ,SAA+B7+B,GAC3B,IAAI0pC,EAAGC,EAAU9G,EAAMC,EAASN,EAAKC,EAAK55B,EAAM+gC,EAGhD,GAAY,OADZF,EAAI1pC,EAAO2+B,IACLkL,IAAqB,MAAPH,EAAEI,GAAoB,MAAPJ,EAAEzxB,EACjCuqB,EAAM,EACNC,EAAM,EAMNkH,EAAW1iC,GAASyiC,EAAEG,GAAI7pC,EAAO6+B,GAt4C9B,GAs4CwCqE,GAAW6G,KAAe,EAAG,GAAGhL,MAC3E8D,EAAO57B,GAASyiC,EAAEI,EAAG,KACrBhH,EAAU77B,GAASyiC,EAAEzxB,EAAG,IACV,GAAK6qB,EAAU,KACzB8G,GAAkB,OAEnB,CACHpH,EAAMxiC,EAAO44B,QAAQoR,MAAMxH,IAC3BC,EAAMziC,EAAO44B,QAAQoR,MAAMvH,IAE3B,IAAIwH,EAAU/G,GAAW6G,KAAevH,EAAKC,GAE7CkH,EAAW1iC,GAASyiC,EAAEQ,GAAIlqC,EAAO6+B,GAl5C9B,GAk5CwCoL,EAAQlL,MAGnD8D,EAAO57B,GAASyiC,EAAEA,EAAGO,EAAQpH,MAElB,MAAP6G,EAAE5H,IAEFgB,EAAU4G,EAAE5H,GACE,GAAKgB,EAAU,KACzB8G,GAAkB,GAER,MAAPF,EAAEz7B,GAET60B,EAAU4G,EAAEz7B,EAAIu0B,GACZkH,EAAEz7B,EAAI,GAAKy7B,EAAEz7B,EAAI,KACjB27B,GAAkB,IAItB9G,EAAUN,EAGdK,EAAO,GAAKA,EAAOQ,GAAYsG,EAAUnH,EAAKC,GAC9ClM,EAAgBv2B,GAAQ6oC,gBAAiB,EACf,MAAnBe,EACPrT,EAAgBv2B,GAAQ8oC,kBAAmB,GAE3CjgC,EAAO+5B,GAAmB+G,EAAU9G,EAAMC,EAASN,EAAKC,GACxDziC,EAAO6+B,GA96CJ,GA86Ceh2B,EAAKk2B,KACvB/+B,EAAOmqC,WAAathC,EAAKo6B,WA/GzBmH,CAAsBpqC,GAID,MAArBA,EAAOmqC,aACPjB,EAAYjiC,GAASjH,EAAO6+B,GAr0CzB,GAq0CmCmK,EAr0CnC,KAu0CChpC,EAAOmqC,WAAarL,GAAWoK,IAAoC,IAAtBlpC,EAAOmqC,cACpD5T,EAAgBv2B,GAAQ4oC,oBAAqB,GAGjDnJ,EAAO0C,GAAc+G,EAAW,EAAGlpC,EAAOmqC,YAC1CnqC,EAAO6+B,GA30CH,GA20CeY,EAAK4J,cACxBrpC,EAAO6+B,GA30CJ,GA20CeY,EAAK6J,cAQtB/vC,EAAI,EAAGA,EAAI,GAAqB,MAAhByG,EAAO6+B,GAAGtlC,KAAcA,EACzCyG,EAAO6+B,GAAGtlC,GAAKoQ,EAAMpQ,GAAKyvC,EAAYzvC,GAI1C,KAAOA,EAAI,EAAGA,IACVyG,EAAO6+B,GAAGtlC,GAAKoQ,EAAMpQ,GAAsB,MAAhByG,EAAO6+B,GAAGtlC,GAAqB,IAANA,EAAU,EAAI,EAAKyG,EAAO6+B,GAAGtlC,GAI7D,KAApByG,EAAO6+B,GA51CJ,IA61CuB,IAAtB7+B,EAAO6+B,GA51CN,IA61CqB,IAAtB7+B,EAAO6+B,GA51CN,IA61C0B,IAA3B7+B,EAAO6+B,GA51CD,KA61CV7+B,EAAOqqC,UAAW,EAClBrqC,EAAO6+B,GAj2CJ,GAi2Ce,GAGtB7+B,EAAO23B,IAAM33B,EAAOopC,QAAUjH,GAAgBN,IAAYx6B,MAAM,KAAMsC,GACtEs/B,EAAkBjpC,EAAOopC,QAAUppC,EAAO23B,GAAGgL,YAAc3iC,EAAO23B,GAAG2S,SAIlD,MAAftqC,EAAOy4B,MACPz4B,EAAO23B,GAAG4S,cAAcvqC,EAAO23B,GAAG6S,gBAAkBxqC,EAAOy4B,MAG3Dz4B,EAAOqqC,WACPrqC,EAAO6+B,GA92CJ,GA82Ce,IAIlB7+B,EAAO2+B,SAA6B,IAAhB3+B,EAAO2+B,GAAGmD,GAAqB9hC,EAAO2+B,GAAGmD,IAAMmH,IACnE1S,EAAgBv2B,GAAQs3B,iBAAkB,IA+DlD,IAAImT,GAAmB,mJACnBC,GAAgB,8IAEhBC,GAAU,wBAEVC,GAAW,CACX,CAAC,eAAgB,uBACjB,CAAC,aAAc,mBACf,CAAC,eAAgB,kBACjB,CAAC,aAAc,eAAe,GAC9B,CAAC,WAAY,eACb,CAAC,UAAW,cAAc,GAC1B,CAAC,aAAc,cACf,CAAC,WAAY,SAEb,CAAC,aAAc,eACf,CAAC,YAAa,eAAe,GAC7B,CAAC,UAAW,UAIZC,GAAW,CACX,CAAC,gBAAiB,uBAClB,CAAC,gBAAiB,sBAClB,CAAC,WAAY,kBACb,CAAC,QAAS,aACV,CAAC,cAAe,qBAChB,CAAC,cAAe,oBAChB,CAAC,SAAU,gBACX,CAAC,OAAQ,YACT,CAAC,KAAM,SAGPC,GAAkB,sBAGtB,SAASC,GAAc/qC,GACnB,IAAIzG,EAAGC,EAGHwxC,EAAWC,EAAYC,EAAYC,EAFnCr0B,EAAS9W,EAAOsS,GAChB9E,EAAQi9B,GAAiBnzC,KAAKwf,IAAW4zB,GAAcpzC,KAAKwf,GAGhE,GAAItJ,EAAO,CAGP,IAFA+oB,EAAgBv2B,GAAQk3B,KAAM,EAEzB39B,EAAI,EAAGC,EAAIoxC,GAASnxC,OAAQF,EAAIC,EAAGD,IACpC,GAAIqxC,GAASrxC,GAAG,GAAGjC,KAAKkW,EAAM,IAAK,CAC/By9B,EAAaL,GAASrxC,GAAG,GACzByxC,GAA+B,IAAnBJ,GAASrxC,GAAG,GACxB,MAGR,GAAkB,MAAd0xC,EAEA,YADAjrC,EAAOw3B,UAAW,GAGtB,GAAIhqB,EAAM,GAAI,CACV,IAAKjU,EAAI,EAAGC,EAAIqxC,GAASpxC,OAAQF,EAAIC,EAAGD,IACpC,GAAIsxC,GAAStxC,GAAG,GAAGjC,KAAKkW,EAAM,IAAK,CAE/B09B,GAAc19B,EAAM,IAAM,KAAOq9B,GAAStxC,GAAG,GAC7C,MAGR,GAAkB,MAAd2xC,EAEA,YADAlrC,EAAOw3B,UAAW,GAI1B,IAAKwT,GAA2B,MAAdE,EAEd,YADAlrC,EAAOw3B,UAAW,GAGtB,GAAIhqB,EAAM,GAAI,CACV,IAAIm9B,GAAQrzC,KAAKkW,EAAM,IAInB,YADAxN,EAAOw3B,UAAW,GAFlB2T,EAAW,IAMnBnrC,EAAOu4B,GAAK0S,GAAcC,GAAc,KAAOC,GAAY,IAC3DC,GAA0BprC,QAE1BA,EAAOw3B,UAAW,EAK1B,IAAIH,GAAU,0LAkBd,SAASgU,GAAeC,GACpB,IAAIvM,EAAOI,SAASmM,EAAS,IAC7B,OAAIvM,GAAQ,GACD,IAAOA,EACPA,GAAQ,IACR,KAAOA,EAEXA,EAsBX,IAAIwM,GAAa,CACbC,GAAI,EACJC,IAAK,EACLC,KAAK,IACLC,KAAK,IACLC,KAAK,IACLC,KAAK,IACLC,KAAK,IACLC,KAAK,IACLC,KAAK,IACLC,KAAK,KAiBT,SAASC,GAAkBlsC,GACvB,IAzE+BsrC,EAASa,EAAUC,EAAQC,EAASC,EAAWC,EAC1EpxC,EAwEAqS,EAAQ6pB,GAAQ//B,KAAuB0I,EAAOsS,GA7CzCzW,QAAQ,oBAAqB,KAAKA,QAAQ,WAAY,KAAKA,QAAQ,SAAU,IAAIA,QAAQ,SAAU,KA8C5G,GAAI2R,EAAO,CACP,IAAIg/B,GA3EuBlB,EA2EiB99B,EAAM,GA3Ed2+B,EA2EkB3+B,EAAM,GA3Ed4+B,EA2EkB5+B,EAAM,GA3EhB6+B,EA2EoB7+B,EAAM,GA3EjB8+B,EA2EqB9+B,EAAM,GA3EhB++B,EA2EoB/+B,EAAM,GA1EpGrS,EAAS,CACTkwC,GAAeC,GACfjL,GAAyBngC,QAAQisC,GACjChN,SAASiN,EAAQ,IACjBjN,SAASkN,EAAS,IAClBlN,SAASmN,EAAW,KAGpBC,GACApxC,EAAO0U,KAAKsvB,SAASoN,EAAW,KAG7BpxC,GA+DH,IA7CR,SAAsBsxC,EAAYC,EAAa1sC,GAC3C,OAAIysC,GAEsBxI,GAA2B/jC,QAAQusC,KACrC,IAAIjb,KAAKkb,EAAY,GAAIA,EAAY,GAAIA,EAAY,IAAIpC,WAEzE/T,EAAgBv2B,GAAQs3B,iBAAkB,EAC1Ct3B,EAAOw3B,UAAW,GACX,GAqCNmV,CAAan/B,EAAM,GAAIg/B,EAAaxsC,GACrC,OAGJA,EAAO6+B,GAAK2N,EACZxsC,EAAOy4B,KAvBf,SAAyBmU,EAAWC,EAAgBC,GAChD,GAAIF,EACA,OAAOrB,GAAWqB,GACf,GAAIC,EAEP,OAAO,EAEP,IAAIE,EAAK5N,SAAS2N,EAAW,IACzBxpB,EAAIypB,EAAK,IACb,OADuBA,EAAKzpB,GAAK,IACtB,GAAKA,EAcF0pB,CAAgBx/B,EAAM,GAAIA,EAAM,GAAIA,EAAM,KAExDxN,EAAO23B,GAAKwK,GAAc96B,MAAM,KAAMrH,EAAO6+B,IAC7C7+B,EAAO23B,GAAG4S,cAAcvqC,EAAO23B,GAAG6S,gBAAkBxqC,EAAOy4B,MAE3DlC,EAAgBv2B,GAAQq3B,SAAU,OAElCr3B,EAAOw3B,UAAW,EAgD1B,SAAS4T,GAA0BprC,GAE/B,GAAIA,EAAOu4B,KAAOvC,EAAMiX,SAIxB,GAAIjtC,EAAOu4B,KAAOvC,EAAMkX,SAAxB,CAIAltC,EAAO6+B,GAAK,GACZtI,EAAgBv2B,GAAQy2B,OAAQ,EAGhC,IACIl9B,EAAGmzC,EAAalO,EAAQx3B,EAAOmmC,EAD/Br2B,EAAS,GAAK9W,EAAOsS,GAErB86B,EAAet2B,EAAOrd,OACtB4zC,EAAyB,EAI7B,IAFA7O,EAASlC,EAAat8B,EAAOu4B,GAAIv4B,EAAO44B,SAASprB,MAAMouB,IAAqB,GAEvEriC,EAAI,EAAGA,EAAIilC,EAAO/kC,OAAQF,IAC3ByN,EAAQw3B,EAAOjlC,IACfmzC,GAAe51B,EAAOtJ,MAAM0wB,GAAsBl3B,EAAOhH,KAAY,IAAI,OAIrEmtC,EAAUr2B,EAAO2Z,OAAO,EAAG3Z,EAAO5W,QAAQwsC,KAC9BjzC,OAAS,GACjB88B,EAAgBv2B,GAAQ22B,YAAY9mB,KAAKs9B,GAE7Cr2B,EAASA,EAAOvS,MAAMuS,EAAO5W,QAAQwsC,GAAeA,EAAYjzC,QAChE4zC,GAA0BX,EAAYjzC,QAGtCsiC,EAAqB/0B,IACjB0lC,EACAnW,EAAgBv2B,GAAQy2B,OAAQ,EAGhCF,EAAgBv2B,GAAQ02B,aAAa7mB,KAAK7I,GAE9C43B,GAAwB53B,EAAO0lC,EAAa1sC,IAEvCA,EAAO83B,UAAY4U,GACxBnW,EAAgBv2B,GAAQ02B,aAAa7mB,KAAK7I,GAKlDuvB,EAAgBv2B,GAAQ62B,cAAgBuW,EAAeC,EACnDv2B,EAAOrd,OAAS,GAChB88B,EAAgBv2B,GAAQ22B,YAAY9mB,KAAKiH,GAIzC9W,EAAO6+B,GA7sDJ,IA6sDgB,KACiB,IAApCtI,EAAgBv2B,GAAQ+3B,SACxB/3B,EAAO6+B,GA/sDJ,GA+sDe,IAClBtI,EAAgBv2B,GAAQ+3B,aAAU75B,GAGtCq4B,EAAgBv2B,GAAQm3B,gBAAkBn3B,EAAO6+B,GAAGt6B,MAAM,GAC1DgyB,EAAgBv2B,GAAQo3B,SAAWp3B,EAAOimC,UAE1CjmC,EAAO6+B,GAttDA,GA6tDX,SAA0B1I,EAAQmX,EAAMlW,GACpC,IAAImW,EAEJ,OAAgB,MAAZnW,EAEOkW,EAEgB,MAAvBnX,EAAOqX,aACArX,EAAOqX,aAAaF,EAAMlW,GACX,MAAfjB,EAAO6P,OAEduH,EAAOpX,EAAO6P,KAAK5O,KACPkW,EAAO,KACfA,GAAQ,IAEPC,GAAiB,KAATD,IACTA,EAAO,GAEJA,GAGAA,EA5BOG,CAAgBztC,EAAO44B,QAAS54B,EAAO6+B,GAttDlD,GAstD4D7+B,EAAOimC,WAE1E8C,GAAgB/oC,GAChB2oC,GAAc3oC,QA7DVksC,GAAkBlsC,QAJlB+qC,GAAc/qC,GAmKtB,SAAS0tC,GAAe1tC,GACpB,IAAI2J,EAAQ3J,EAAOsS,GACf0R,EAAShkB,EAAOu4B,GAIpB,OAFAv4B,EAAO44B,QAAU54B,EAAO44B,SAAWyP,GAAUroC,EAAOw4B,IAEtC,OAAV7uB,QAA8BzL,IAAX8lB,GAAkC,KAAVra,EACpCsuB,EAAc,CAACnB,WAAW,KAGhB,iBAAVntB,IACP3J,EAAOsS,GAAK3I,EAAQ3J,EAAO44B,QAAQ+U,SAAShkC,IAG5CqvB,EAASrvB,GACF,IAAImvB,EAAO6P,GAAch/B,KACzBpP,EAAOoP,GACd3J,EAAO23B,GAAKhuB,EACL7Q,EAAQkrB,GAtFvB,SAAkChkB,GAC9B,IAAI4tC,EACAC,EAEAC,EACAv0C,EACAw0C,EAEJ,GAAyB,IAArB/tC,EAAOu4B,GAAG9+B,OAGV,OAFA88B,EAAgBv2B,GAAQg3B,eAAgB,OACxCh3B,EAAO23B,GAAK,IAAInG,KAAK0G,MAIzB,IAAK3+B,EAAI,EAAGA,EAAIyG,EAAOu4B,GAAG9+B,OAAQF,IAC9Bw0C,EAAe,EACfH,EAAaxV,EAAW,GAAIp4B,GACN,MAAlBA,EAAOopC,UACPwE,EAAWxE,QAAUppC,EAAOopC,SAEhCwE,EAAWrV,GAAKv4B,EAAOu4B,GAAGh/B,GAC1B6xC,GAA0BwC,GAErBrW,EAAQqW,KAKbG,GAAgBxX,EAAgBqX,GAAY/W,cAG5CkX,GAAkE,GAAlDxX,EAAgBqX,GAAYlX,aAAaj9B,OAEzD88B,EAAgBqX,GAAYI,MAAQD,GAEjB,MAAfD,GAAuBC,EAAeD,KACtCA,EAAcC,EACdF,EAAaD,IAIrBryC,EAAOyE,EAAQ6tC,GAAcD,GA8CzBK,CAAyBjuC,GAClBgkB,EACPonB,GAA0BprC,GAYlC,SAAyBA,GACrB,IAAI2J,EAAQ3J,EAAOsS,GACfrZ,EAAY0Q,GACZ3J,EAAO23B,GAAK,IAAInG,KAAKwE,EAAMrE,OACpBp3B,EAAOoP,GACd3J,EAAO23B,GAAK,IAAInG,KAAK7nB,EAAME,WACH,iBAAVF,EAxPtB,SAA0B3J,GACtB,IAAI0pB,EAAUohB,GAAgBxzC,KAAK0I,EAAOsS,IAE1B,OAAZoX,GAKJqhB,GAAc/qC,IACU,IAApBA,EAAOw3B,kBACAx3B,EAAOw3B,SAKlB0U,GAAkBlsC,IACM,IAApBA,EAAOw3B,kBACAx3B,EAAOw3B,SAMlBxB,EAAMkY,wBAAwBluC,MAnB1BA,EAAO23B,GAAK,IAAInG,MAAM9H,EAAQ,IAqP9BykB,CAAiBnuC,GACVlH,EAAQ6Q,IACf3J,EAAO6+B,GAAK1tB,EAAIxH,EAAMpF,MAAM,IAAI,SAAUlL,GACtC,OAAO8lC,SAAS9lC,EAAK,OAEzB0vC,GAAgB/oC,IACT9G,EAASyQ,GAtExB,SAA0B3J,GACtB,IAAIA,EAAO23B,GAAX,CAIA,IAAIp+B,EAAIwhC,EAAqB/6B,EAAOsS,IACpCtS,EAAO6+B,GAAK1tB,EAAI,CAAC5X,EAAEwlC,KAAMxlC,EAAEimC,MAAOjmC,EAAEirC,KAAOjrC,EAAEkmC,KAAMlmC,EAAE+zC,KAAM/zC,EAAE60C,OAAQ70C,EAAEmhB,OAAQnhB,EAAE80C,cAAc,SAAUh1C,GACrG,OAAOA,GAAO8lC,SAAS9lC,EAAK,OAGhC0vC,GAAgB/oC,IA6DZsuC,CAAiBtuC,GACV1F,EAASqP,GAEhB3J,EAAO23B,GAAK,IAAInG,KAAK7nB,GAErBqsB,EAAMkY,wBAAwBluC,GA7B9BuuC,CAAgBvuC,GAGfu3B,EAAQv3B,KACTA,EAAO23B,GAAK,MAGT33B,IA0BX,SAASq2B,GAAkB1sB,EAAOqa,EAAQmS,EAAQC,EAAQoY,GACtD,IAnEIrlB,EAmEA/hB,EAAI,GAoBR,OAlBe,IAAX+uB,IAA8B,IAAXA,IACnBC,EAASD,EACTA,OAASj4B,IAGRhF,EAASyQ,IA5iFlB,SAAuBtQ,GACnB,GAAIT,OAAOmyB,oBACP,OAAmD,IAA3CnyB,OAAOmyB,oBAAoB1xB,GAAKI,OAExC,IAAIue,EACJ,IAAKA,KAAK3e,EACN,GAAIA,EAAIM,eAAeqe,GACnB,OAAO,EAGf,OAAO,EAkiFay2B,CAAc9kC,IAC7B7Q,EAAQ6Q,IAA2B,IAAjBA,EAAMlQ,UAC7BkQ,OAAQzL,GAIZkJ,EAAEkxB,kBAAmB,EACrBlxB,EAAEgiC,QAAUhiC,EAAEsxB,OAAS8V,EACvBpnC,EAAEoxB,GAAKrC,EACP/uB,EAAEkL,GAAK3I,EACPvC,EAAEmxB,GAAKvU,EACP5c,EAAE0wB,QAAU1B,GArFRjN,EAAM,IAAI2P,EAAO6P,GAAc+E,GAuFXtmC,MAtFhBijC,WAEJlhB,EAAIvlB,IAAI,EAAG,KACXulB,EAAIkhB,cAAWnsC,GAGZirB,EAmFX,SAAS4gB,GAAapgC,EAAOqa,EAAQmS,EAAQC,GACzC,OAAOC,GAAiB1sB,EAAOqa,EAAQmS,EAAQC,GAAQ,GAxQ3DJ,EAAMkY,wBAA0BnU,EAC5B,kVAIA,SAAU/5B,GACNA,EAAO23B,GAAK,IAAInG,KAAKxxB,EAAOsS,IAAMtS,EAAOopC,QAAU,OAAS,QAKpEpT,EAAMiX,SAAW,aAGjBjX,EAAMkX,SAAW,aA6PjB,IAAIwB,GAAe3U,EACf,sGACA,WACI,IAAI9V,EAAQ8lB,GAAY1iC,MAAM,KAAMhM,WACpC,OAAIiG,KAAKi2B,WAAatT,EAAMsT,UACjBtT,EAAQ3iB,KAAOA,KAAO2iB,EAEtBgU,OAKf0W,GAAe5U,EACf,sGACA,WACI,IAAI9V,EAAQ8lB,GAAY1iC,MAAM,KAAMhM,WACpC,OAAIiG,KAAKi2B,WAAatT,EAAMsT,UACjBtT,EAAQ3iB,KAAOA,KAAO2iB,EAEtBgU,OAUnB,SAAS2W,GAAOt1C,EAAIu1C,GAChB,IAAI1lB,EAAK5vB,EAIT,GAHuB,IAAnBs1C,EAAQp1C,QAAgBX,EAAQ+1C,EAAQ,MACxCA,EAAUA,EAAQ,KAEjBA,EAAQp1C,OACT,OAAOswC,KAGX,IADA5gB,EAAM0lB,EAAQ,GACTt1C,EAAI,EAAGA,EAAIs1C,EAAQp1C,SAAUF,EACzBs1C,EAAQt1C,GAAGg+B,YAAasX,EAAQt1C,GAAGD,GAAI6vB,KACxCA,EAAM0lB,EAAQt1C,IAGtB,OAAO4vB,EAgBX,IAII2lB,GAAW,CAAC,OAAQ,UAAW,QAAS,OAAQ,MAAO,OAAQ,SAAU,SAAU,eAgCvF,SAASC,GAAUC,GACf,IAAI9T,EAAkBH,EAAqBiU,GACvCC,EAAQ/T,EAAgB6D,MAAQ,EAChCmQ,EAAWhU,EAAgBiU,SAAW,EACtCpP,EAAS7E,EAAgBsE,OAAS,EAClC4P,EAAQlU,EAAgB2H,MAAQ3H,EAAgBmU,SAAW,EAC3DC,EAAOpU,EAAgBsJ,KAAO,EAC9BgB,EAAQtK,EAAgBoS,MAAQ,EAChC5H,EAAUxK,EAAgBkT,QAAU,EACpCvI,EAAU3K,EAAgBxgB,QAAU,EACpC60B,EAAerU,EAAgBmT,aAAe,EAElD/sC,KAAKk2B,SA1CT,SAAyBlU,GACrB,IAAK,IAAI5pB,KAAO4pB,EACZ,IAAuC,IAAjCpjB,GAAQlH,KAAK81C,GAAUp1C,IAA0B,MAAV4pB,EAAE5pB,IAAiBoM,MAAMwd,EAAE5pB,IACpE,OAAO,EAKf,IADA,IAAI81C,GAAiB,EACZj2C,EAAI,EAAGA,EAAIu1C,GAASr1C,SAAUF,EACnC,GAAI+pB,EAAEwrB,GAASv1C,IAAK,CAChB,GAAIi2C,EACA,OAAO,EAEPC,WAAWnsB,EAAEwrB,GAASv1C,OAAS2/B,EAAM5V,EAAEwrB,GAASv1C,OAChDi2C,GAAiB,GAK7B,OAAO,EAuBSE,CAAgBxU,GAGhC55B,KAAKquC,eAAiBJ,EACR,IAAV1J,EACU,IAAVH,EACQ,IAARF,EAAe,GAAK,GAGxBlkC,KAAKsuC,OAASN,EACF,EAARF,EAIJ9tC,KAAKuuC,SAAW9P,EACD,EAAXmP,EACQ,GAARD,EAEJ3tC,KAAKwuC,MAAQ,GAEbxuC,KAAKs3B,QAAUyP,KAEf/mC,KAAKyuC,UAGT,SAASC,GAAY32C,GACjB,OAAOA,aAAe01C,GAG1B,SAASkB,GAAUpgB,GACf,OAAIA,EAAS,GACyB,EAA3Bz3B,KAAK83C,OAAO,EAAIrgB,GAEhBz3B,KAAK83C,MAAMrgB,GAM1B,SAASsgB,GAAQnpC,EAAOopC,GACpBpU,EAAeh1B,EAAO,EAAG,GAAG,WACxB,IAAImpC,EAAS7uC,KAAK+uC,YACdC,EAAO,IAKX,OAJIH,EAAS,IACTA,GAAUA,EACVG,EAAO,KAEJA,EAAOhV,KAAY6U,EAAS,IAAK,GAAKC,EAAY9U,IAAW,EAAW,GAAI,MAI3F6U,GAAO,IAAK,KACZA,GAAO,KAAM,IAIbrS,GAAc,IAAMH,IACpBG,GAAc,KAAMH,IACpBc,GAAc,CAAC,IAAK,OAAO,SAAU90B,EAAO6F,EAAOxP,GAC/CA,EAAOopC,SAAU,EACjBppC,EAAOy4B,KAAO8X,GAAiB5S,GAAkBh0B,MAQrD,IAAI6mC,GAAc,kBAElB,SAASD,GAAiBE,EAAS35B,GAC/B,IAAI45B,GAAW55B,GAAU,IAAItJ,MAAMijC,GAEnC,GAAgB,OAAZC,EACA,OAAO,KAGX,IACI59B,IADU49B,EAAQA,EAAQj3C,OAAS,IAAM,IACtB,IAAI+T,MAAMgjC,KAAgB,CAAC,IAAK,EAAG,GACtD9K,EAAuB,GAAX5yB,EAAM,GAAWomB,EAAMpmB,EAAM,IAE7C,OAAmB,IAAZ4yB,EACL,EACa,MAAb5yB,EAAM,GAAa4yB,GAAWA,EAIpC,SAASiL,GAAgBhnC,EAAOinC,GAC5B,IAAIznB,EAAK0nB,EACT,OAAID,EAAMlY,QACNvP,EAAMynB,EAAME,QACZD,GAAQ7X,EAASrvB,IAAUpP,EAAOoP,GAASA,EAAME,UAAYkgC,GAAYpgC,GAAOE,WAAasf,EAAItf,UAEjGsf,EAAIwO,GAAGoZ,QAAQ5nB,EAAIwO,GAAG9tB,UAAYgnC,GAClC7a,EAAM+C,aAAa5P,GAAK,GACjBA,GAEA4gB,GAAYpgC,GAAOqnC,QAIlC,SAASC,GAAe3tB,GAGpB,OAAoD,IAA5ClrB,KAAK83C,MAAM5sB,EAAEqU,GAAGuZ,oBAAsB,IAqJlD,SAASC,KACL,QAAO7vC,KAAKi2B,WAAYj2B,KAAKo3B,QAA2B,IAAjBp3B,KAAKq3B,QA/IhD3C,EAAM+C,aAAe,aAmJrB,IAAIqY,GAAc,2DAKdC,GAAW,sKAEf,SAASC,GAAgB3nC,EAAOjQ,GAC5B,IAGI42C,EACAiB,EACAC,EAiFmBC,EAAMxtB,EACzBkF,EAvFA6lB,EAAWrlC,EAEX6D,EAAQ,KAuDZ,OAlDIwiC,GAAWrmC,GACXqlC,EAAW,CACPhN,GAAKr4B,EAAMgmC,cACX7N,EAAKn4B,EAAMimC,MACX7N,EAAKp4B,EAAMkmC,SAERv1C,EAASqP,IAChBqlC,EAAW,GACPt1C,EACAs1C,EAASt1C,GAAOiQ,EAEhBqlC,EAASO,aAAe5lC,IAElB6D,EAAQ4jC,GAAY95C,KAAKqS,KACnC2mC,EAAqB,MAAb9iC,EAAM,IAAe,EAAI,EACjCwhC,EAAW,CACP/P,EAAK,EACL6C,EAAK5I,EAAM1rB,EA7xEZ,IA6xEmD8iC,EAClDnsC,EAAK+0B,EAAM1rB,EA7xEZ,IA6xEmD8iC,EAClDhtB,EAAK4V,EAAM1rB,EA7xEV,IA6xEiD8iC,EAClDxa,EAAKoD,EAAM1rB,EA7xEV,IA6xEiD8iC,EAClDtO,GAAK9I,EAAM+W,GAA8B,IAArBziC,EA7xEd,KA6xE4C8iC,KAE5C9iC,EAAQ6jC,GAAS/5C,KAAKqS,KAChC2mC,EAAqB,MAAb9iC,EAAM,IAAe,EAAI,EACjCwhC,EAAW,CACP/P,EAAIyS,GAASlkC,EAAM,GAAI8iC,GACvBvO,EAAI2P,GAASlkC,EAAM,GAAI8iC,GACvB5G,EAAIgI,GAASlkC,EAAM,GAAI8iC,GACvBxO,EAAI4P,GAASlkC,EAAM,GAAI8iC,GACvBnsC,EAAIutC,GAASlkC,EAAM,GAAI8iC,GACvBhtB,EAAIouB,GAASlkC,EAAM,GAAI8iC,GACvBxa,EAAI4b,GAASlkC,EAAM,GAAI8iC,KAER,MAAZtB,EACPA,EAAW,GACgB,iBAAbA,IAA0B,SAAUA,GAAY,OAAQA,KA2CnDyC,EA1CS1H,GAAYiF,EAASviB,MA0CxBxI,EA1C+B8lB,GAAYiF,EAAS3W,IAA7EmZ,EA4CEC,EAAKla,WAAatT,EAAMsT,WAI9BtT,EAAQ0sB,GAAgB1sB,EAAOwtB,GAC3BA,EAAKE,SAAS1tB,GACdkF,EAAMyoB,GAA0BH,EAAMxtB,KAEtCkF,EAAMyoB,GAA0B3tB,EAAOwtB,IACnClC,cAAgBpmB,EAAIomB,aACxBpmB,EAAI4W,QAAU5W,EAAI4W,QAGf5W,GAZI,CAAComB,aAAc,EAAGxP,OAAQ,IA3CjCiP,EAAW,IACFhN,GAAKwP,EAAQjC,aACtBP,EAASjN,EAAIyP,EAAQzR,QAGzBwR,EAAM,IAAIxC,GAASC,GAEfgB,GAAWrmC,IAAUssB,EAAWtsB,EAAO,aACvC4nC,EAAI3Y,QAAUjvB,EAAMivB,SAGjB2Y,EAMX,SAASG,GAAUG,EAAKvB,GAIpB,IAAInnB,EAAM0oB,GAAOpC,WAAWoC,EAAIh2C,QAAQ,IAAK,MAE7C,OAAQiK,MAAMqjB,GAAO,EAAIA,GAAOmnB,EAGpC,SAASsB,GAA0BH,EAAMxtB,GACrC,IAAIkF,EAAM,GAUV,OARAA,EAAI4W,OAAS9b,EAAMub,QAAUiS,EAAKjS,QACC,IAA9Bvb,EAAM8a,OAAS0S,EAAK1S,QACrB0S,EAAKX,QAAQltC,IAAIulB,EAAI4W,OAAQ,KAAK+R,QAAQ7tB,MACxCkF,EAAI4W,OAGV5W,EAAIomB,cAAgBtrB,GAAUwtB,EAAKX,QAAQltC,IAAIulB,EAAI4W,OAAQ,KAEpD5W,EAsBX,SAAS4oB,GAAYC,EAAW95C,GAC5B,OAAO,SAAUa,EAAKk5C,GAClB,IAASC,EAWT,OATe,OAAXD,GAAoBnsC,OAAOmsC,KAC3B9X,EAAgBjiC,EAAM,YAAcA,EAAQ,uDAAyDA,EAA/E,kGAEtBg6C,EAAMn5C,EAAKA,EAAMk5C,EAAQA,EAASC,GAKtCC,GAAY7wC,KADNgwC,GADNv4C,EAAqB,iBAARA,GAAoBA,EAAMA,EACbk5C,GACHD,GAChB1wC,MAIf,SAAS6wC,GAAa5V,EAAKyS,EAAUoD,EAAUrZ,GAC3C,IAAIwW,EAAeP,EAASW,cACxBL,EAAOW,GAASjB,EAASY,OACzB7P,EAASkQ,GAASjB,EAASa,SAE1BtT,EAAIhF,YAKTwB,EAA+B,MAAhBA,GAA8BA,EAEzCgH,GACAe,GAASvE,EAAKrgC,GAAIqgC,EAAK,SAAWwD,EAASqS,GAE3C9C,GACA/P,GAAMhD,EAAK,OAAQrgC,GAAIqgC,EAAK,QAAU+S,EAAO8C,GAE7C7C,GACAhT,EAAI5E,GAAGoZ,QAAQxU,EAAI5E,GAAG9tB,UAAY0lC,EAAe6C,GAEjDrZ,GACA/C,EAAM+C,aAAawD,EAAK+S,GAAQvP,IApFxCuR,GAAeh4C,GAAKy1C,GAASl2C,UAC7By4C,GAAee,QAvVf,WACI,OAAOf,GAAepZ,MA6a1B,IAAIt0B,GAAWmuC,GAAY,EAAG,OAC1BO,GAAWP,IAAa,EAAG,YA0H/B,SAASQ,GAAW/2C,EAAGC,GAEnB,IAAI+2C,EAA0C,IAAvB/2C,EAAEsjC,OAASvjC,EAAEujC,SAAiBtjC,EAAE+jC,QAAUhkC,EAAEgkC,SAE/DiT,EAASj3C,EAAEs1C,QAAQltC,IAAI4uC,EAAgB,UAc3C,QAASA,GAXL/2C,EAAIg3C,EAAS,GAGHh3C,EAAIg3C,IAAWA,EAFfj3C,EAAEs1C,QAAQltC,IAAI4uC,EAAiB,EAAG,YAMlC/2C,EAAIg3C,IAFJj3C,EAAEs1C,QAAQltC,IAAI4uC,EAAiB,EAAG,UAETC,MAIF,EA6FzC,SAAStc,GAAQz8B,GACb,IAAIg5C,EAEJ,YAAYx0C,IAARxE,EACO4H,KAAKs3B,QAAQuP,OAGC,OADrBuK,EAAgBrK,GAAU3uC,MAEtB4H,KAAKs3B,QAAU8Z,GAEZpxC,MApGf00B,EAAM2c,cAAgB,uBACtB3c,EAAM4c,iBAAmB,yBAuGzB,IAAIC,GAAO9Y,EACP,mJACA,SAAUrgC,GACN,YAAYwE,IAARxE,EACO4H,KAAK86B,aAEL96B,KAAK60B,OAAOz8B,MAK/B,SAAS0iC,KACL,OAAO96B,KAAKs3B,QAShB,SAASka,GAAMC,EAAUC,GACrB,OAAQD,EAAWC,EAAUA,GAAWA,EAG5C,SAASC,GAAiBhU,EAAG3b,EAAGwe,GAE5B,OAAI7C,EAAI,KAAOA,GAAK,EAET,IAAIzN,KAAKyN,EAAI,IAAK3b,EAAGwe,GAXb,YAaR,IAAItQ,KAAKyN,EAAG3b,EAAGwe,GAAGj4B,UAIjC,SAASqpC,GAAejU,EAAG3b,EAAGwe,GAE1B,OAAI7C,EAAI,KAAOA,GAAK,EAETzN,KAAK4Q,IAAInD,EAAI,IAAK3b,EAAGwe,GArBb,YAuBRtQ,KAAK4Q,IAAInD,EAAG3b,EAAGwe,GAuK9B,SAASqR,GAAwBnsC,EAAOosC,GACpCpX,EAAe,EAAG,CAACh1B,EAAOA,EAAMvN,QAAS,EAAG25C,GA+DhD,SAASC,GAAqB1pC,EAAOk5B,EAAMC,EAASN,EAAKC,GACrD,IAAI6Q,EACJ,OAAa,MAAT3pC,EACOu5B,GAAW5hC,KAAMkhC,EAAKC,GAAK1D,MAG9B8D,GADJyQ,EAAcjQ,GAAY15B,EAAO64B,EAAKC,MAElCI,EAAOyQ,GAEJC,GAAWv6C,KAAKsI,KAAMqI,EAAOk5B,EAAMC,EAASN,EAAKC,IAIhE,SAAS8Q,GAAW5J,EAAU9G,EAAMC,EAASN,EAAKC,GAC9C,IAAI+Q,EAAgB5Q,GAAmB+G,EAAU9G,EAAMC,EAASN,EAAKC,GACjEhD,EAAO0C,GAAcqR,EAAczU,KAAM,EAAGyU,EAAcvQ,WAK9D,OAHA3hC,KAAKy9B,KAAKU,EAAK4C,kBACf/gC,KAAKk+B,MAAMC,EAAK4J,eAChB/nC,KAAKm+B,KAAKA,EAAK6J,cACRhoC,KA5FX06B,EAAe,EAAG,CAAC,KAAM,GAAI,GAAG,WAC5B,OAAO16B,KAAKqoC,WAAa,OAG7B3N,EAAe,EAAG,CAAC,KAAM,GAAI,GAAG,WAC5B,OAAO16B,KAAKmyC,cAAgB,OAOhCN,GAAuB,OAAY,YACnCA,GAAuB,QAAY,YACnCA,GAAuB,OAAS,eAChCA,GAAuB,QAAS,eAIhC1Y,EAAa,WAAY,MACzBA,EAAa,cAAe,MAI5BW,EAAgB,WAAY,GAC5BA,EAAgB,cAAe,GAK/B0C,GAAc,IAAUL,IACxBK,GAAc,IAAUL,IACxBK,GAAc,KAAUZ,EAAWJ,GACnCgB,GAAc,KAAUZ,EAAWJ,GACnCgB,GAAc,OAAUR,GAAWN,GACnCc,GAAc,OAAUR,GAAWN,GACnCc,GAAc,QAAUP,GAAWN,GACnCa,GAAc,QAAUP,GAAWN,GAEnCyB,GAAkB,CAAC,OAAQ,QAAS,OAAQ,UAAU,SAAU/0B,EAAOk5B,EAAM7iC,EAAQgH,GACjF67B,EAAK77B,EAAMypB,OAAO,EAAG,IAAMyI,EAAMvvB,MAGrC+0B,GAAkB,CAAC,KAAM,OAAO,SAAU/0B,EAAOk5B,EAAM7iC,EAAQgH,GAC3D67B,EAAK77B,GAASgvB,EAAMkJ,kBAAkBv1B,MAqD1CqyB,EAAe,IAAK,EAAG,KAAM,WAI7BvB,EAAa,UAAW,KAIxBW,EAAgB,UAAW,GAI3B0C,GAAc,IAAKjB,GACnB4B,GAAc,KAAK,SAAU90B,EAAO6F,GAChCA,EAz8FQ,GAy8F4B,GAApB0pB,EAAMvvB,GAAS,MAWnCqyB,EAAe,IAAK,CAAC,KAAM,GAAI,KAAM,QAIrCvB,EAAa,OAAQ,KAGrBW,EAAgB,OAAQ,GAIxB0C,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAC/BgB,GAAc,MAAM,SAAUG,EAAU9H,GAEpC,OAAO8H,EACJ9H,EAAOud,yBAA2Bvd,EAAOwd,cAC1Cxd,EAAOyd,kCAGbnV,GAAc,CAAC,IAAK,MAv+FT,GAw+FXA,GAAc,MAAM,SAAU90B,EAAO6F,GACjCA,EAz+FO,GAy+FO0pB,EAAMvvB,EAAM6D,MAAM0vB,GAAW,OAK/C,IAAI2W,GAAmBxU,GAAW,QAAQ,GAI1CrD,EAAe,MAAO,CAAC,OAAQ,GAAI,OAAQ,aAI3CvB,EAAa,YAAa,OAG1BW,EAAgB,YAAa,GAI7B0C,GAAc,MAAQT,IACtBS,GAAc,OAAQf,GACtB0B,GAAc,CAAC,MAAO,SAAS,SAAU90B,EAAO6F,EAAOxP,GACnDA,EAAOmqC,WAAajR,EAAMvvB,MAc9BqyB,EAAe,IAAK,CAAC,KAAM,GAAI,EAAG,UAIlCvB,EAAa,SAAU,KAIvBW,EAAgB,SAAU,IAI1B0C,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAC/B2B,GAAc,CAAC,IAAK,MA1hGP,GA8hGb,IAAIqV,GAAezU,GAAW,WAAW,GAIzCrD,EAAe,IAAK,CAAC,KAAM,GAAI,EAAG,UAIlCvB,EAAa,SAAU,KAIvBW,EAAgB,SAAU,IAI1B0C,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAC/B2B,GAAc,CAAC,IAAK,MA/iGP,GAmjGb,IA+CIz3B,GA/CA+sC,GAAe1U,GAAW,WAAW,GAgDzC,IA5CArD,EAAe,IAAK,EAAG,GAAG,WACtB,SAAU16B,KAAK+sC,cAAgB,QAGnCrS,EAAe,EAAG,CAAC,KAAM,GAAI,GAAG,WAC5B,SAAU16B,KAAK+sC,cAAgB,OAGnCrS,EAAe,EAAG,CAAC,MAAO,GAAI,EAAG,eACjCA,EAAe,EAAG,CAAC,OAAQ,GAAI,GAAG,WAC9B,OAA4B,GAArB16B,KAAK+sC,iBAEhBrS,EAAe,EAAG,CAAC,QAAS,GAAI,GAAG,WAC/B,OAA4B,IAArB16B,KAAK+sC,iBAEhBrS,EAAe,EAAG,CAAC,SAAU,GAAI,GAAG,WAChC,OAA4B,IAArB16B,KAAK+sC,iBAEhBrS,EAAe,EAAG,CAAC,UAAW,GAAI,GAAG,WACjC,OAA4B,IAArB16B,KAAK+sC,iBAEhBrS,EAAe,EAAG,CAAC,WAAY,GAAI,GAAG,WAClC,OAA4B,IAArB16B,KAAK+sC,iBAEhBrS,EAAe,EAAG,CAAC,YAAa,GAAI,GAAG,WACnC,OAA4B,IAArB16B,KAAK+sC,iBAMhB5T,EAAa,cAAe,MAI5BW,EAAgB,cAAe,IAI/B0C,GAAc,IAAQT,GAAWR,GACjCiB,GAAc,KAAQT,GAAWP,GACjCgB,GAAc,MAAQT,GAAWN,GAG5B/1B,GAAQ,OAAQA,GAAMvN,QAAU,EAAGuN,IAAS,IAC7C82B,GAAc92B,GAAOw2B,IAGzB,SAASwW,GAAQrqC,EAAO6F,GACpBA,EAvmGc,GAumGO0pB,EAAuB,KAAhB,KAAOvvB,IAGvC,IAAK3C,GAAQ,IAAKA,GAAMvN,QAAU,EAAGuN,IAAS,IAC1Cy3B,GAAcz3B,GAAOgtC,IAIzB,IAAIC,GAAoB5U,GAAW,gBAAgB,GAInDrD,EAAe,IAAM,EAAG,EAAG,YAC3BA,EAAe,KAAM,EAAG,EAAG,YAY3B,IAAIxvB,GAAQssB,EAAOjgC,UAsFnB,SAASq7C,GAAoBp9B,GACzB,OAAOA,EArFXtK,GAAM5I,IAAoBA,GAC1B4I,GAAM+5B,SAjuBN,SAAqB4N,EAAMC,GAGvB,IAAIziB,EAAMwiB,GAAQpK,KACdsK,EAAM1D,GAAgBhf,EAAKrwB,MAAMgzC,QAAQ,OACzCtwB,EAASgS,EAAMue,eAAejzC,KAAM+yC,IAAQ,WAE5C7X,EAAS4X,IAAYj7C,EAAWi7C,EAAQpwB,IAAWowB,EAAQpwB,GAAQhrB,KAAKsI,KAAMqwB,GAAOyiB,EAAQpwB,IAEjG,OAAO1iB,KAAK0iB,OAAOwY,GAAUl7B,KAAK86B,aAAamK,SAASviB,EAAQ1iB,KAAMyoC,GAAYpY,MAytBtFnlB,GAAMskC,MAttBN,WACI,OAAO,IAAIhY,EAAOx3B,OAstBtBkL,GAAMqkC,KAvpBN,SAAelnC,EAAOmxB,EAAO0Z,GACzB,IAAIrtC,EACAstC,EACAjY,EAEJ,IAAKl7B,KAAKi2B,UACN,OAAOW,IAKX,KAFA/wB,EAAOwpC,GAAgBhnC,EAAOrI,OAEpBi2B,UACN,OAAOW,IAOX,OAJAuc,EAAoD,KAAvCttC,EAAKkpC,YAAc/uC,KAAK+uC,aAErCvV,EAAQD,EAAeC,IAGnB,IAAK,OAAQ0B,EAAS+V,GAAUjxC,KAAM6F,GAAQ,GAAI,MAClD,IAAK,QAASq1B,EAAS+V,GAAUjxC,KAAM6F,GAAO,MAC9C,IAAK,UAAWq1B,EAAS+V,GAAUjxC,KAAM6F,GAAQ,EAAG,MACpD,IAAK,SAAUq1B,GAAUl7B,KAAO6F,GAAQ,IAAK,MAC7C,IAAK,SAAUq1B,GAAUl7B,KAAO6F,GAAQ,IAAK,MAC7C,IAAK,OAAQq1B,GAAUl7B,KAAO6F,GAAQ,KAAM,MAC5C,IAAK,MAAOq1B,GAAUl7B,KAAO6F,EAAOstC,GAAa,MAAO,MACxD,IAAK,OAAQjY,GAAUl7B,KAAO6F,EAAOstC,GAAa,OAAQ,MAC1D,QAASjY,EAASl7B,KAAO6F,EAG7B,OAAOqtC,EAAUhY,EAASvD,EAASuD,IAynBvChwB,GAAMkoC,MA5ZN,SAAgB5Z,GACZ,IAAIqZ,EAEJ,QAAcj2C,KADd48B,EAAQD,EAAeC,KACc,gBAAVA,IAA4Bx5B,KAAKi2B,UACxD,OAAOj2B,KAGX,IAAIqzC,EAAcrzC,KAAKo3B,OAASwa,GAAiBD,GAEjD,OAAQnY,GACJ,IAAK,OACDqZ,EAAOQ,EAAYrzC,KAAKy9B,OAAS,EAAG,EAAG,GAAK,EAC5C,MACJ,IAAK,UACDoV,EAAOQ,EAAYrzC,KAAKy9B,OAAQz9B,KAAKk+B,QAAUl+B,KAAKk+B,QAAU,EAAI,EAAG,GAAK,EAC1E,MACJ,IAAK,QACD2U,EAAOQ,EAAYrzC,KAAKy9B,OAAQz9B,KAAKk+B,QAAU,EAAG,GAAK,EACvD,MACJ,IAAK,OACD2U,EAAOQ,EAAYrzC,KAAKy9B,OAAQz9B,KAAKk+B,QAASl+B,KAAKm+B,OAASn+B,KAAKwhC,UAAY,GAAK,EAClF,MACJ,IAAK,UACDqR,EAAOQ,EAAYrzC,KAAKy9B,OAAQz9B,KAAKk+B,QAASl+B,KAAKm+B,QAAUn+B,KAAKszC,aAAe,GAAK,GAAK,EAC3F,MACJ,IAAK,MACL,IAAK,OACDT,EAAOQ,EAAYrzC,KAAKy9B,OAAQz9B,KAAKk+B,QAASl+B,KAAKm+B,OAAS,GAAK,EACjE,MACJ,IAAK,OACD0U,EAAO7yC,KAAKq2B,GAAG9tB,UACfsqC,GA3GM,KA2GgBrB,GAAMqB,GAAQ7yC,KAAKo3B,OAAS,EA5G1C,IA4G8Cp3B,KAAK+uC,aA3GrD,MA2GkG,EACxG,MACJ,IAAK,SACD8D,EAAO7yC,KAAKq2B,GAAG9tB,UACfsqC,GAhHQ,IAgHgBrB,GAAMqB,EAhHtB,KAgH6C,EACrD,MACJ,IAAK,SACDA,EAAO7yC,KAAKq2B,GAAG9tB,UACfsqC,GArHQ,IAqHgBrB,GAAMqB,EArHtB,KAqH6C,EAM7D,OAFA7yC,KAAKq2B,GAAGoZ,QAAQoD,GAChBne,EAAM+C,aAAaz3B,MAAM,GAClBA,MAgXXkL,GAAMwX,OA/iBN,SAAiB6wB,GACRA,IACDA,EAAcvzC,KAAK6vC,QAAUnb,EAAM4c,iBAAmB5c,EAAM2c,eAEhE,IAAInW,EAASH,EAAa/6B,KAAMuzC,GAChC,OAAOvzC,KAAK86B,aAAa0Y,WAAWtY,IA2iBxChwB,GAAMigB,KAxiBN,SAAe0nB,EAAMY,GACjB,OAAIzzC,KAAKi2B,YACCyB,EAASmb,IAASA,EAAK5c,WACxBwS,GAAYoK,GAAM5c,WAChB+Z,GAAe,CAACjZ,GAAI/2B,KAAMmrB,KAAM0nB,IAAOhe,OAAO70B,KAAK60B,UAAU6e,UAAUD,GAEvEzzC,KAAK86B,aAAaM,eAmiBjClwB,GAAMyoC,QA/hBN,SAAkBF,GACd,OAAOzzC,KAAKmrB,KAAKsd,KAAegL,IA+hBpCvoC,GAAM6rB,GA5hBN,SAAa8b,EAAMY,GACf,OAAIzzC,KAAKi2B,YACCyB,EAASmb,IAASA,EAAK5c,WACxBwS,GAAYoK,GAAM5c,WAChB+Z,GAAe,CAAC7kB,KAAMnrB,KAAM+2B,GAAI8b,IAAOhe,OAAO70B,KAAK60B,UAAU6e,UAAUD,GAEvEzzC,KAAK86B,aAAaM,eAuhBjClwB,GAAM0oC,MAnhBN,SAAgBH,GACZ,OAAOzzC,KAAK+2B,GAAG0R,KAAegL,IAmhBlCvoC,GAAMtQ,IAxiGN,SAAoB4+B,GAEhB,OAAI3hC,EAAWmI,KADfw5B,EAAQD,EAAeC,KAEZx5B,KAAKw5B,KAETx5B,MAoiGXkL,GAAM2oC,UAxUN,WACI,OAAO5e,EAAgBj1B,MAAMs1B,UAwUjCpqB,GAAMslC,QA5tBN,SAAkBnoC,EAAOmxB,GACrB,IAAIsa,EAAapc,EAASrvB,GAASA,EAAQogC,GAAYpgC,GACvD,SAAMrI,KAAKi2B,YAAa6d,EAAW7d,aAIrB,iBADduD,EAAQD,EAAeC,IAAU,eAEtBx5B,KAAKuI,UAAYurC,EAAWvrC,UAE5BurC,EAAWvrC,UAAYvI,KAAKwvC,QAAQwD,QAAQxZ,GAAOjxB,YAotBlE2C,GAAMmlC,SAhtBN,SAAmBhoC,EAAOmxB,GACtB,IAAIsa,EAAapc,EAASrvB,GAASA,EAAQogC,GAAYpgC,GACvD,SAAMrI,KAAKi2B,YAAa6d,EAAW7d,aAIrB,iBADduD,EAAQD,EAAeC,IAAU,eAEtBx5B,KAAKuI,UAAYurC,EAAWvrC,UAE5BvI,KAAKwvC,QAAQ4D,MAAM5Z,GAAOjxB,UAAYurC,EAAWvrC,YAwsBhE2C,GAAM6oC,UApsBN,SAAoB5oB,EAAM4L,EAAIyC,EAAOwa,GACjC,IAAIC,EAAYvc,EAASvM,GAAQA,EAAOsd,GAAYtd,GAChD+oB,EAAUxc,EAASX,GAAMA,EAAK0R,GAAY1R,GAC9C,SAAM/2B,KAAKi2B,WAAage,EAAUhe,WAAaie,EAAQje,aAI5B,OAD3B+d,EAAcA,GAAe,MACT,GAAah0C,KAAKwwC,QAAQyD,EAAWza,IAAUx5B,KAAKqwC,SAAS4D,EAAWza,MACpE,MAAnBwa,EAAY,GAAah0C,KAAKqwC,SAAS6D,EAAS1a,IAAUx5B,KAAKwwC,QAAQ0D,EAAS1a,KA6rBzFtuB,GAAMipC,OA1rBN,SAAiB9rC,EAAOmxB,GACpB,IACI4a,EADAN,EAAapc,EAASrvB,GAASA,EAAQogC,GAAYpgC,GAEvD,SAAMrI,KAAKi2B,YAAa6d,EAAW7d,aAIrB,iBADduD,EAAQD,EAAeC,IAAU,eAEtBx5B,KAAKuI,YAAcurC,EAAWvrC,WAErC6rC,EAAUN,EAAWvrC,UACdvI,KAAKwvC,QAAQwD,QAAQxZ,GAAOjxB,WAAa6rC,GAAWA,GAAWp0C,KAAKwvC,QAAQ4D,MAAM5Z,GAAOjxB,aAgrBxG2C,GAAMmpC,cA5qBN,SAAwBhsC,EAAOmxB,GAC3B,OAAOx5B,KAAKm0C,OAAO9rC,EAAOmxB,IAAUx5B,KAAKwwC,QAAQnoC,EAAOmxB,IA4qB5DtuB,GAAMopC,eAzqBN,SAAyBjsC,EAAOmxB,GAC5B,OAAOx5B,KAAKm0C,OAAO9rC,EAAOmxB,IAAUx5B,KAAKqwC,SAAShoC,EAAOmxB,IAyqB7DtuB,GAAM+qB,QAvVN,WACI,OAAOA,EAAQj2B,OAuVnBkL,GAAMqmC,KAAoBA,GAC1BrmC,GAAM2pB,OAAoBA,GAC1B3pB,GAAM4vB,WAAoBA,GAC1B5vB,GAAM8b,IAAoBqmB,GAC1BniC,GAAMlK,IAAoBosC,GAC1BliC,GAAMqpC,aAzVN,WACI,OAAOt6C,EAAO,GAAIg7B,EAAgBj1B,QAyVtCkL,GAAMhI,IA9iGN,SAAoBs2B,EAAOl+B,GACvB,GAAqB,iBAAVk+B,EAGP,IADA,IAAIgb,EApTZ,SAA6BC,GACzB,IAAIjb,EAAQ,GACZ,IAAK,IAAIkb,KAAKD,EACVjb,EAAMjrB,KAAK,CAAC6qB,KAAMsb,EAAG3a,SAAUF,EAAW6a,KAK9C,OAHAlb,EAAM0G,MAAK,SAAUhmC,EAAGC,GACpB,OAAOD,EAAE6/B,SAAW5/B,EAAE4/B,YAEnBP,EA4Semb,CADlBnb,EAAQC,EAAqBD,IAEpBvhC,EAAI,EAAGA,EAAIu8C,EAAYr8C,OAAQF,IACpC+H,KAAKw0C,EAAYv8C,GAAGmhC,MAAMI,EAAMgb,EAAYv8C,GAAGmhC,YAInD,GAAIvhC,EAAWmI,KADfw5B,EAAQD,EAAeC,KAEnB,OAAOx5B,KAAKw5B,GAAOl+B,GAG3B,OAAO0E,MAkiGXkL,GAAM8nC,QAleN,SAAkBxZ,GACd,IAAIqZ,EAEJ,QAAcj2C,KADd48B,EAAQD,EAAeC,KACc,gBAAVA,IAA4Bx5B,KAAKi2B,UACxD,OAAOj2B,KAGX,IAAIqzC,EAAcrzC,KAAKo3B,OAASwa,GAAiBD,GAEjD,OAAQnY,GACJ,IAAK,OACDqZ,EAAOQ,EAAYrzC,KAAKy9B,OAAQ,EAAG,GACnC,MACJ,IAAK,UACDoV,EAAOQ,EAAYrzC,KAAKy9B,OAAQz9B,KAAKk+B,QAAUl+B,KAAKk+B,QAAU,EAAG,GACjE,MACJ,IAAK,QACD2U,EAAOQ,EAAYrzC,KAAKy9B,OAAQz9B,KAAKk+B,QAAS,GAC9C,MACJ,IAAK,OACD2U,EAAOQ,EAAYrzC,KAAKy9B,OAAQz9B,KAAKk+B,QAASl+B,KAAKm+B,OAASn+B,KAAKwhC,WACjE,MACJ,IAAK,UACDqR,EAAOQ,EAAYrzC,KAAKy9B,OAAQz9B,KAAKk+B,QAASl+B,KAAKm+B,QAAUn+B,KAAKszC,aAAe,IACjF,MACJ,IAAK,MACL,IAAK,OACDT,EAAOQ,EAAYrzC,KAAKy9B,OAAQz9B,KAAKk+B,QAASl+B,KAAKm+B,QACnD,MACJ,IAAK,OACD0U,EAAO7yC,KAAKq2B,GAAG9tB,UACfsqC,GAAQrB,GAAMqB,GAAQ7yC,KAAKo3B,OAAS,EA5D5B,IA4DgCp3B,KAAK+uC,aA3DvC,MA4DN,MACJ,IAAK,SACD8D,EAAO7yC,KAAKq2B,GAAG9tB,UACfsqC,GAAQrB,GAAMqB,EAhEN,KAiER,MACJ,IAAK,SACDA,EAAO7yC,KAAKq2B,GAAG9tB,UACfsqC,GAAQrB,GAAMqB,EArEN,KA2EhB,OAFA7yC,KAAKq2B,GAAGoZ,QAAQoD,GAChBne,EAAM+C,aAAaz3B,MAAM,GAClBA,MAsbXkL,GAAM8lC,SAAoBA,GAC1B9lC,GAAM0pC,QAxXN,WACI,IAAI5yB,EAAIhiB,KACR,MAAO,CAACgiB,EAAEyb,OAAQzb,EAAEkc,QAASlc,EAAEmc,OAAQnc,EAAEgqB,OAAQhqB,EAAE8qB,SAAU9qB,EAAE5I,SAAU4I,EAAE+qB,gBAuX/E7hC,GAAM6K,SApXN,WACI,IAAIiM,EAAIhiB,KACR,MAAO,CACH2tC,MAAO3rB,EAAEyb,OACTgB,OAAQzc,EAAEkc,QACVC,KAAMnc,EAAEmc,OACR+F,MAAOliB,EAAEkiB,QACTE,QAASpiB,EAAEoiB,UACXG,QAASviB,EAAEuiB,UACX0J,aAAcjsB,EAAEisB,iBA4WxB/iC,GAAM2pC,OA9XN,WACI,OAAO,IAAI3kB,KAAKlwB,KAAKuI,YA8XzB2C,GAAM2P,YArnBN,SAAqBi6B,GACjB,IAAK90C,KAAKi2B,UACN,OAAO,KAEX,IAAIjB,GAAqB,IAAf8f,EACN9yB,EAAIgT,EAAMh1B,KAAKwvC,QAAQxa,MAAQh1B,KACnC,OAAIgiB,EAAEyb,OAAS,GAAKzb,EAAEyb,OAAS,KACpB1C,EAAa/Y,EAAGgT,EAAM,iCAAmC,gCAEhEn9B,EAAWq4B,KAAK34B,UAAUsjB,aAEtBma,EACOh1B,KAAK60C,SAASh6B,cAEd,IAAIqV,KAAKlwB,KAAKuI,UAA+B,GAAnBvI,KAAK+uC,YAAmB,KAAMl0B,cAActgB,QAAQ,IAAKwgC,EAAa/Y,EAAG,MAG3G+Y,EAAa/Y,EAAGgT,EAAM,+BAAiC,+BAqmBlE9pB,GAAM6pC,QA5lBN,WACI,IAAK/0C,KAAKi2B,UACN,MAAO,qBAAuBj2B,KAAKgR,GAAK,OAE5C,IAAI6pB,EAAO,SACPma,EAAO,GACNh1C,KAAKi1C,YACNpa,EAA4B,IAArB76B,KAAK+uC,YAAoB,aAAe,mBAC/CiG,EAAO,KAEX,IAAIE,EAAS,IAAMra,EAAO,MACtB4C,EAAQ,GAAKz9B,KAAKy9B,QAAUz9B,KAAKy9B,QAAU,KAAQ,OAAS,SAE5D0X,EAASH,EAAO,OAEpB,OAAOh1C,KAAK0iB,OAAOwyB,EAASzX,EAHb,wBAG+B0X,IA8kBlDjqC,GAAMmjB,OA3WN,WAEI,OAAOruB,KAAKi2B,UAAYj2B,KAAK6a,cAAgB,MA0WjD3P,GAAM7T,SA5nBN,WACI,OAAO2I,KAAKwvC,QAAQ3a,OAAO,MAAMnS,OAAO,qCA4nB5CxX,GAAMkqC,KAvYN,WACI,OAAOt+C,KAAKyN,MAAMvE,KAAKuI,UAAY,MAuYvC2C,GAAM3C,QA5YN,WACI,OAAOvI,KAAKq2B,GAAG9tB,UAAmC,KAArBvI,KAAKq3B,SAAW,IA4YjDnsB,GAAMmqC,aA9VN,WACI,MAAO,CACHhtC,MAAOrI,KAAKgR,GACZ0R,OAAQ1iB,KAAKi3B,GACbpC,OAAQ70B,KAAKs3B,QACb4V,MAAOltC,KAAKo3B,OACZtC,OAAQ90B,KAAKw2B,UAyVrBtrB,GAAMuyB,KAAaK,GACnB5yB,GAAMwyB,WAvmGN,WACI,OAAOA,GAAW19B,KAAKy9B,SAumG3BvyB,GAAMm9B,SApSN,SAAyBhgC,GACrB,OAAO0pC,GAAqBr6C,KAAKsI,KACzBqI,EACArI,KAAKuhC,OACLvhC,KAAKwhC,UACLxhC,KAAK86B,aAAa4N,MAAMxH,IACxBlhC,KAAK86B,aAAa4N,MAAMvH,MA+RpCj2B,GAAMinC,YA5RN,SAA4B9pC,GACxB,OAAO0pC,GAAqBr6C,KAAKsI,KACzBqI,EAAOrI,KAAK+tC,UAAW/tC,KAAKszC,aAAc,EAAG,IA2RzDpoC,GAAM2iC,QAAU3iC,GAAM0iC,SAnOtB,SAAwBvlC,GACpB,OAAgB,MAATA,EAAgBvR,KAAKwN,MAAMtE,KAAKk+B,QAAU,GAAK,GAAKl+B,KAAKk+B,MAAoB,GAAb71B,EAAQ,GAASrI,KAAKk+B,QAAU,IAmO3GhzB,GAAMgzB,MAAcwB,GACpBx0B,GAAMkzB,YAz1FN,WACI,OAAOA,GAAYp+B,KAAKy9B,OAAQz9B,KAAKk+B,UAy1FzChzB,GAAMq2B,KAAiBr2B,GAAM4iC,MAznF7B,SAAqBzlC,GACjB,IAAIk5B,EAAOvhC,KAAK86B,aAAayG,KAAKvhC,MAClC,OAAgB,MAATqI,EAAgBk5B,EAAOvhC,KAAKsC,IAAqB,GAAhB+F,EAAQk5B,GAAW,MAwnF/Dr2B,GAAM6iC,QAAiB7iC,GAAMoqC,SArnF7B,SAAwBjtC,GACpB,IAAIk5B,EAAOK,GAAW5hC,KAAM,EAAG,GAAGuhC,KAClC,OAAgB,MAATl5B,EAAgBk5B,EAAOvhC,KAAKsC,IAAqB,GAAhB+F,EAAQk5B,GAAW,MAonF/Dr2B,GAAM62B,YAzRN,WACI,IAAIwT,EAAWv1C,KAAK86B,aAAa4N,MACjC,OAAO3G,GAAY/hC,KAAKy9B,OAAQ8X,EAASrU,IAAKqU,EAASpU,MAwR3Dj2B,GAAMsqC,eA9RN,WACI,OAAOzT,GAAY/hC,KAAKy9B,OAAQ,EAAG,IA8RvCvyB,GAAMizB,KAAaoU,GACnBrnC,GAAMg4B,IAAah4B,GAAM8iC,KA55EzB,SAA0B3lC,GACtB,IAAKrI,KAAKi2B,UACN,OAAgB,MAAT5tB,EAAgBrI,KAAO42B,IAElC,IAAIsM,EAAMljC,KAAKo3B,OAASp3B,KAAKq2B,GAAGgL,YAAcrhC,KAAKq2B,GAAG2S,SACtD,OAAa,MAAT3gC,GACAA,EAjKR,SAAsBA,EAAOwsB,GACzB,MAAqB,iBAAVxsB,EACAA,EAGN7D,MAAM6D,GAKU,iBADrBA,EAAQwsB,EAAO4N,cAAcp6B,IAElBA,EAGJ,KARIw1B,SAASx1B,EAAO,IA2JfotC,CAAaptC,EAAOrI,KAAK86B,cAC1B96B,KAAKsC,IAAI+F,EAAQ66B,EAAK,MAEtBA,GAo5Efh4B,GAAMs2B,QAh5EN,SAAgCn5B,GAC5B,IAAKrI,KAAKi2B,UACN,OAAgB,MAAT5tB,EAAgBrI,KAAO42B,IAElC,IAAI4K,GAAWxhC,KAAKkjC,MAAQ,EAAIljC,KAAK86B,aAAa4N,MAAMxH,KAAO,EAC/D,OAAgB,MAAT74B,EAAgBm5B,EAAUxhC,KAAKsC,IAAI+F,EAAQm5B,EAAS,MA44E/Dt2B,GAAMooC,WAz4EN,SAA6BjrC,GACzB,IAAKrI,KAAKi2B,UACN,OAAgB,MAAT5tB,EAAgBrI,KAAO42B,IAOlC,GAAa,MAATvuB,EAAe,CACf,IAAIm5B,EAzKZ,SAAyBn5B,EAAOwsB,GAC5B,MAAqB,iBAAVxsB,EACAwsB,EAAO4N,cAAcp6B,GAAS,GAAK,EAEvC7D,MAAM6D,GAAS,KAAOA,EAqKXqtC,CAAgBrtC,EAAOrI,KAAK86B,cAC1C,OAAO96B,KAAKkjC,IAAIljC,KAAKkjC,MAAQ,EAAI1B,EAAUA,EAAU,GAErD,OAAOxhC,KAAKkjC,OAAS,GA63E7Bh4B,GAAMy2B,UApLN,SAA0Bt5B,GACtB,IAAIs5B,EAAY7qC,KAAK83C,OAAO5uC,KAAKwvC,QAAQwD,QAAQ,OAAShzC,KAAKwvC,QAAQwD,QAAQ,SAAW,OAAS,EACnG,OAAgB,MAAT3qC,EAAgBs5B,EAAY3hC,KAAKsC,IAAK+F,EAAQs5B,EAAY,MAmLrEz2B,GAAM8gC,KAAO9gC,GAAMg5B,MAAQa,GAC3B75B,GAAM4hC,OAAS5hC,GAAMk5B,QAAUoO,GAC/BtnC,GAAMkO,OAASlO,GAAMq5B,QAAUkO,GAC/BvnC,GAAM6hC,YAAc7hC,GAAM+iC,aAAe0E,GACzCznC,GAAM6jC,UAxkCN,SAAuB1mC,EAAOstC,EAAeC,GACzC,IACIC,EADAhH,EAAS7uC,KAAKq3B,SAAW,EAE7B,IAAKr3B,KAAKi2B,UACN,OAAgB,MAAT5tB,EAAgBrI,KAAO42B,IAElC,GAAa,MAATvuB,EAAe,CACf,GAAqB,iBAAVA,GAEP,GAAc,QADdA,EAAQ4mC,GAAiB5S,GAAkBh0B,IAEvC,OAAOrI,UAEJlJ,KAAKuhC,IAAIhwB,GAAS,KAAOutC,IAChCvtC,GAAgB,IAmBpB,OAjBKrI,KAAKo3B,QAAUue,IAChBE,EAAclG,GAAc3vC,OAEhCA,KAAKq3B,QAAUhvB,EACfrI,KAAKo3B,QAAS,EACK,MAAfye,GACA71C,KAAKsC,IAAIuzC,EAAa,KAEtBhH,IAAWxmC,KACNstC,GAAiB31C,KAAK81C,kBACvBjF,GAAY7wC,KAAMgwC,GAAe3nC,EAAQwmC,EAAQ,KAAM,GAAG,GAClD7uC,KAAK81C,oBACb91C,KAAK81C,mBAAoB,EACzBphB,EAAM+C,aAAaz3B,MAAM,GACzBA,KAAK81C,kBAAoB,OAG1B91C,KAEP,OAAOA,KAAKo3B,OAASyX,EAASc,GAAc3vC,OAuiCpDkL,GAAM8pB,IArhCN,SAAyB2gB,GACrB,OAAO31C,KAAK+uC,UAAU,EAAG4G,IAqhC7BzqC,GAAMwkC,MAlhCN,SAA2BiG,GASvB,OARI31C,KAAKo3B,SACLp3B,KAAK+uC,UAAU,EAAG4G,GAClB31C,KAAKo3B,QAAS,EAEVue,GACA31C,KAAKgxC,SAASrB,GAAc3vC,MAAO,MAGpCA,MA0gCXkL,GAAM6qC,UAvgCN,WACI,GAAiB,MAAb/1C,KAAKm3B,KACLn3B,KAAK+uC,UAAU/uC,KAAKm3B,MAAM,GAAO,QAC9B,GAAuB,iBAAZn3B,KAAKgR,GAAiB,CACpC,IAAIglC,EAAQ/G,GAAiB7S,GAAap8B,KAAKgR,IAClC,MAATglC,EACAh2C,KAAK+uC,UAAUiH,GAGfh2C,KAAK+uC,UAAU,GAAG,GAG1B,OAAO/uC,MA4/BXkL,GAAM+qC,qBAz/BN,SAA+B5tC,GAC3B,QAAKrI,KAAKi2B,YAGV5tB,EAAQA,EAAQogC,GAAYpgC,GAAO0mC,YAAc,GAEzC/uC,KAAK+uC,YAAc1mC,GAAS,IAAO,IAo/B/C6C,GAAMgrC,MAj/BN,WACI,OACIl2C,KAAK+uC,YAAc/uC,KAAKwvC,QAAQtR,MAAM,GAAG6Q,aACzC/uC,KAAK+uC,YAAc/uC,KAAKwvC,QAAQtR,MAAM,GAAG6Q,aA++BjD7jC,GAAM+pC,QAt9BN,WACI,QAAOj1C,KAAKi2B,YAAaj2B,KAAKo3B,QAs9BlClsB,GAAMirC,YAn9BN,WACI,QAAOn2C,KAAKi2B,WAAYj2B,KAAKo3B,QAm9BjClsB,GAAM2kC,MAAuBA,GAC7B3kC,GAAMgiC,MAAuB2C,GAC7B3kC,GAAMkrC,SA9EN,WACI,OAAOp2C,KAAKo3B,OAAS,MAAQ,IA8EjClsB,GAAMmrC,SA3EN,WACI,OAAOr2C,KAAKo3B,OAAS,6BAA+B,IA2ExDlsB,GAAMorC,MAAS7d,EAAU,kDAAmD8Z,IAC5ErnC,GAAMuzB,OAAShG,EAAU,mDAAoDiH,IAC7Ex0B,GAAMyiC,MAASlV,EAAU,iDAAkDqF,IAC3E5yB,GAAM8pC,KAASvc,EAAU,4GAjjCzB,SAAqBpwB,EAAOstC,GACxB,OAAa,MAATttC,GACqB,iBAAVA,IACPA,GAASA,GAGbrI,KAAK+uC,UAAU1mC,EAAOstC,GAEf31C,OAECA,KAAK+uC,eAwiCrB7jC,GAAMqrC,aAAe9d,EAAU,2GAr/B/B,WACI,IAAK9gC,EAAYqI,KAAKw2C,eAClB,OAAOx2C,KAAKw2C,cAGhB,IAAI1wC,EAAI,GAKR,GAHAgxB,EAAWhxB,EAAG9F,OACd8F,EAAIsmC,GAActmC,IAEZy3B,GAAI,CACN,IAAI5a,EAAQ7c,EAAEsxB,OAASxC,EAAU9uB,EAAEy3B,IAAMkL,GAAY3iC,EAAEy3B,IACvDv9B,KAAKw2C,cAAgBx2C,KAAKi2B,WACtB+B,EAAclyB,EAAEy3B,GAAI5a,EAAMiyB,WAAa,OAE3C50C,KAAKw2C,eAAgB,EAGzB,OAAOx2C,KAAKw2C,iBAi/BhB,IAAIC,GAAUxd,EAAO1hC,UAiCrB,SAASm/C,GAAOh0B,EAAQjW,EAAOkqC,EAAOrzB,GAClC,IAAIuR,EAASkS,KACT/R,EAAMJ,IAAY1xB,IAAIogB,EAAQ7W,GAClC,OAAOooB,EAAO8hB,GAAO3hB,EAAKtS,GAG9B,SAASk0B,GAAgBl0B,EAAQjW,EAAOkqC,GAQpC,GAPI39C,EAAS0pB,KACTjW,EAAQiW,EACRA,OAAS9lB,GAGb8lB,EAASA,GAAU,GAEN,MAATjW,EACA,OAAOiqC,GAAMh0B,EAAQjW,EAAOkqC,EAAO,SAGvC,IAAI1+C,EACA4+C,EAAM,GACV,IAAK5+C,EAAI,EAAGA,EAAI,GAAIA,IAChB4+C,EAAI5+C,GAAKy+C,GAAMh0B,EAAQzqB,EAAG0+C,EAAO,SAErC,OAAOE,EAWX,SAASC,GAAkBC,EAAcr0B,EAAQjW,EAAOkqC,GACxB,kBAAjBI,GACH/9C,EAAS0pB,KACTjW,EAAQiW,EACRA,OAAS9lB,GAGb8lB,EAASA,GAAU,KAGnBjW,EADAiW,EAASq0B,EAETA,GAAe,EAEX/9C,EAAS0pB,KACTjW,EAAQiW,EACRA,OAAS9lB,GAGb8lB,EAASA,GAAU,IAGvB,IAOIzqB,EAPA48B,EAASkS,KACTrZ,EAAQqpB,EAAeliB,EAAO6T,MAAMxH,IAAM,EAE9C,GAAa,MAATz0B,EACA,OAAOiqC,GAAMh0B,GAASjW,EAAQihB,GAAS,EAAGipB,EAAO,OAIrD,IAAIE,EAAM,GACV,IAAK5+C,EAAI,EAAGA,EAAI,EAAGA,IACf4+C,EAAI5+C,GAAKy+C,GAAMh0B,GAASzqB,EAAIy1B,GAAS,EAAGipB,EAAO,OAEnD,OAAOE,EAlGXJ,GAAQxR,SAzgHR,SAAmB7sC,EAAK6iC,EAAK5K,GACzB,IAAI6K,EAASl7B,KAAKg3C,UAAU5+C,IAAQ4H,KAAKg3C,UAAoB,SAC7D,OAAOn/C,EAAWqjC,GAAUA,EAAOxjC,KAAKujC,EAAK5K,GAAO6K,GAwgHxDub,GAAQnb,eA5/GR,SAAyBljC,GACrB,IAAIsqB,EAAS1iB,KAAKi3C,gBAAgB7+C,GAC9B8+C,EAAcl3C,KAAKi3C,gBAAgB7+C,EAAI4kB,eAE3C,OAAI0F,IAAWw0B,EACJx0B,GAGX1iB,KAAKi3C,gBAAgB7+C,GAAO8+C,EAAY38C,QAAQ,oBAAoB,SAAU9C,GAC1E,OAAOA,EAAIwL,MAAM,MAGdjD,KAAKi3C,gBAAgB7+C,KAi/GhCq+C,GAAQrb,YA5+GR,WACI,OAAOp7B,KAAKm3C,cA4+GhBV,GAAQ7b,QAt+GR,SAAkBrM,GACd,OAAOvuB,KAAKo3C,SAAS78C,QAAQ,KAAMg0B,IAs+GvCkoB,GAAQpK,SAAkBuG,GAC1B6D,GAAQjD,WAAkBZ,GAC1B6D,GAAQ3Q,aAp9GR,SAAuBvX,EAAQklB,EAAej+B,EAAQ6hC,GAClD,IAAInc,EAASl7B,KAAKs3C,cAAc9hC,GAChC,OAAQ3d,EAAWqjC,GACfA,EAAO3M,EAAQklB,EAAej+B,EAAQ6hC,GACtCnc,EAAO3gC,QAAQ,MAAOg0B,IAi9G9BkoB,GAAQc,WA98GR,SAAqBhI,EAAMrU,GACvB,IAAIxY,EAAS1iB,KAAKs3C,cAAc/H,EAAO,EAAI,SAAW,QACtD,OAAO13C,EAAW6qB,GAAUA,EAAOwY,GAAUxY,EAAOnoB,QAAQ,MAAO2gC,IA68GvEub,GAAQvzC,IA7lHR,SAAcxE,GACV,IAAI2gB,EAAMpnB,EACV,IAAKA,KAAKyG,EAEF7G,EADJwnB,EAAO3gB,EAAOzG,IAEV+H,KAAK/H,GAAKonB,EAEVrf,KAAK,IAAM/H,GAAKonB,EAGxBrf,KAAKknC,QAAUxoC,EAIfsB,KAAKsyC,+BAAiC,IAAI7mC,QACrCzL,KAAKoyC,wBAAwBn2C,QAAU+D,KAAKqyC,cAAcp2C,QACvD,IAAM,UAAYA,SA+kH9Bw6C,GAAQhY,OAxhGR,SAAuBzc,EAAGU,GACtB,OAAKV,EAIExqB,EAAQwI,KAAKuuC,SAAWvuC,KAAKuuC,QAAQvsB,EAAEkc,SAC1Cl+B,KAAKuuC,SAASvuC,KAAKuuC,QAAQiJ,UAAY3Y,IAAkB1zB,KAAKuX,GAAU,SAAW,cAAcV,EAAEkc,SAJ5F1mC,EAAQwI,KAAKuuC,SAAWvuC,KAAKuuC,QAChCvuC,KAAKuuC,QAAoB,YAshGrCkI,GAAQjY,YA/gGR,SAA4Bxc,EAAGU,GAC3B,OAAKV,EAIExqB,EAAQwI,KAAKy3C,cAAgBz3C,KAAKy3C,aAAaz1B,EAAEkc,SACpDl+B,KAAKy3C,aAAa5Y,GAAiB1zB,KAAKuX,GAAU,SAAW,cAAcV,EAAEkc,SAJtE1mC,EAAQwI,KAAKy3C,cAAgBz3C,KAAKy3C,aACrCz3C,KAAKy3C,aAAyB,YA6gG1ChB,GAAQ7X,YA99FR,SAA4BK,EAAWvc,EAAQoS,GAC3C,IAAI78B,EAAGgjC,EAAKwB,EAEZ,GAAIz8B,KAAK03C,kBACL,OAAO1Y,GAAkBtnC,KAAKsI,KAAMi/B,EAAWvc,EAAQoS,GAY3D,IATK90B,KAAKq/B,eACNr/B,KAAKq/B,aAAe,GACpBr/B,KAAKs/B,iBAAmB,GACxBt/B,KAAKu/B,kBAAoB,IAMxBtnC,EAAI,EAAGA,EAAI,GAAIA,IAAK,CAYrB,GAVAgjC,EAAMrG,EAAU,CAAC,IAAM38B,IACnB68B,IAAW90B,KAAKs/B,iBAAiBrnC,KACjC+H,KAAKs/B,iBAAiBrnC,GAAK,IAAIwT,OAAO,IAAMzL,KAAKy+B,OAAOxD,EAAK,IAAI1gC,QAAQ,IAAK,IAAM,IAAK,KACzFyF,KAAKu/B,kBAAkBtnC,GAAK,IAAIwT,OAAO,IAAMzL,KAAKw+B,YAAYvD,EAAK,IAAI1gC,QAAQ,IAAK,IAAM,IAAK,MAE9Fu6B,GAAW90B,KAAKq/B,aAAapnC,KAC9BwkC,EAAQ,IAAMz8B,KAAKy+B,OAAOxD,EAAK,IAAM,KAAOj7B,KAAKw+B,YAAYvD,EAAK,IAClEj7B,KAAKq/B,aAAapnC,GAAK,IAAIwT,OAAOgxB,EAAMliC,QAAQ,IAAK,IAAK,MAG1Du6B,GAAqB,SAAXpS,GAAqB1iB,KAAKs/B,iBAAiBrnC,GAAGkT,KAAK8zB,GAC7D,OAAOhnC,EACJ,GAAI68B,GAAqB,QAAXpS,GAAoB1iB,KAAKu/B,kBAAkBtnC,GAAGkT,KAAK8zB,GACpE,OAAOhnC,EACJ,IAAK68B,GAAU90B,KAAKq/B,aAAapnC,GAAGkT,KAAK8zB,GAC5C,OAAOhnC,IA87FnBw+C,GAAQ9X,YA33FR,SAAsBhC,GAClB,OAAI38B,KAAK03C,mBACA/iB,EAAW30B,KAAM,iBAClB6/B,GAAmBnoC,KAAKsI,MAExB28B,EACO38B,KAAKqgC,mBAELrgC,KAAKmgC,eAGXxL,EAAW30B,KAAM,kBAClBA,KAAKmgC,aAAeP,IAEjB5/B,KAAKqgC,oBAAsB1D,EAC9B38B,KAAKqgC,mBAAqBrgC,KAAKmgC,eA62F3CsW,GAAQ/X,iBAh5FR,SAA2B/B,GACvB,OAAI38B,KAAK03C,mBACA/iB,EAAW30B,KAAM,iBAClB6/B,GAAmBnoC,KAAKsI,MAExB28B,EACO38B,KAAKsgC,wBAELtgC,KAAKogC,oBAGXzL,EAAW30B,KAAM,uBAClBA,KAAKogC,kBAAoBT,IAEtB3/B,KAAKsgC,yBAA2B3D,EACnC38B,KAAKsgC,wBAA0BtgC,KAAKogC,oBAk4FhDqW,GAAQlV,KAxsFR,SAAqBtG,GACjB,OAAO2G,GAAW3G,EAAKj7B,KAAK0oC,MAAMxH,IAAKlhC,KAAK0oC,MAAMvH,KAAKI,MAwsF3DkV,GAAQkB,eA5rFR,WACI,OAAO33C,KAAK0oC,MAAMvH,KA4rFtBsV,GAAQmB,eAjsFR,WACI,OAAO53C,KAAK0oC,MAAMxH,KAksFtBuV,GAAQpU,SAplFR,SAAyBrgB,EAAGU,GACxB,IAAI2f,EAAW7qC,EAAQwI,KAAK63C,WAAa73C,KAAK63C,UAC1C73C,KAAK63C,UAAW71B,IAAW,IAANA,GAAchiB,KAAK63C,UAAUL,SAASrsC,KAAKuX,GAAW,SAAW,cAC1F,OAAc,IAANV,EAAcigB,GAAcI,EAAUriC,KAAK0oC,MAAMxH,KACnD,EAAMmB,EAASrgB,EAAEkhB,OAASb,GAilFpCoU,GAAQtU,YAvkFR,SAA4BngB,GACxB,OAAc,IAANA,EAAcigB,GAAcjiC,KAAK83C,aAAc93C,KAAK0oC,MAAMxH,KAC5D,EAAMlhC,KAAK83C,aAAa91B,EAAEkhB,OAASljC,KAAK83C,cAskFlDrB,GAAQrU,cA9kFR,SAA8BpgB,GAC1B,OAAc,IAANA,EAAcigB,GAAcjiC,KAAK+3C,eAAgB/3C,KAAK0oC,MAAMxH,KAC9D,EAAMlhC,KAAK+3C,eAAe/1B,EAAEkhB,OAASljC,KAAK+3C,gBA6kFpDtB,GAAQhU,cApgFR,SAA8BK,EAAapgB,EAAQoS,GAC/C,IAAI78B,EAAGgjC,EAAKwB,EAEZ,GAAIz8B,KAAKg4C,oBACL,OAAOnV,GAAoBnrC,KAAKsI,KAAM8iC,EAAapgB,EAAQoS,GAU/D,IAPK90B,KAAK+iC,iBACN/iC,KAAK+iC,eAAiB,GACtB/iC,KAAKijC,kBAAoB,GACzBjjC,KAAKgjC,oBAAsB,GAC3BhjC,KAAKi4C,mBAAqB,IAGzBhgD,EAAI,EAAGA,EAAI,EAAGA,IAAK,CAcpB,GAXAgjC,EAAMrG,EAAU,CAAC,IAAM,IAAIsO,IAAIjrC,GAC3B68B,IAAW90B,KAAKi4C,mBAAmBhgD,KACnC+H,KAAKi4C,mBAAmBhgD,GAAK,IAAIwT,OAAO,IAAMzL,KAAKqiC,SAASpH,EAAK,IAAI1gC,QAAQ,IAAK,QAAU,IAAK,KACjGyF,KAAKgjC,oBAAoB/qC,GAAK,IAAIwT,OAAO,IAAMzL,KAAKoiC,cAAcnH,EAAK,IAAI1gC,QAAQ,IAAK,QAAU,IAAK,KACvGyF,KAAKijC,kBAAkBhrC,GAAK,IAAIwT,OAAO,IAAMzL,KAAKmiC,YAAYlH,EAAK,IAAI1gC,QAAQ,IAAK,QAAU,IAAK,MAElGyF,KAAK+iC,eAAe9qC,KACrBwkC,EAAQ,IAAMz8B,KAAKqiC,SAASpH,EAAK,IAAM,KAAOj7B,KAAKoiC,cAAcnH,EAAK,IAAM,KAAOj7B,KAAKmiC,YAAYlH,EAAK,IACzGj7B,KAAK+iC,eAAe9qC,GAAK,IAAIwT,OAAOgxB,EAAMliC,QAAQ,IAAK,IAAK,MAG5Du6B,GAAqB,SAAXpS,GAAqB1iB,KAAKi4C,mBAAmBhgD,GAAGkT,KAAK23B,GAC/D,OAAO7qC,EACJ,GAAI68B,GAAqB,QAAXpS,GAAoB1iB,KAAKgjC,oBAAoB/qC,GAAGkT,KAAK23B,GACtE,OAAO7qC,EACJ,GAAI68B,GAAqB,OAAXpS,GAAmB1iB,KAAKijC,kBAAkBhrC,GAAGkT,KAAK23B,GACnE,OAAO7qC,EACJ,IAAK68B,GAAU90B,KAAK+iC,eAAe9qC,GAAGkT,KAAK23B,GAC9C,OAAO7qC,IAm+EnBw+C,GAAQjU,cAr7ER,SAAwB7F,GACpB,OAAI38B,KAAKg4C,qBACArjB,EAAW30B,KAAM,mBAClBsjC,GAAqB5rC,KAAKsI,MAE1B28B,EACO38B,KAAK8jC,qBAEL9jC,KAAK2jC,iBAGXhP,EAAW30B,KAAM,oBAClBA,KAAK2jC,eAAiBR,IAEnBnjC,KAAK8jC,sBAAwBnH,EAChC38B,KAAK8jC,qBAAuB9jC,KAAK2jC,iBAu6E7C8S,GAAQlU,mBAl6ER,SAA6B5F,GACzB,OAAI38B,KAAKg4C,qBACArjB,EAAW30B,KAAM,mBAClBsjC,GAAqB5rC,KAAKsI,MAE1B28B,EACO38B,KAAK+jC,0BAEL/jC,KAAK4jC,sBAGXjP,EAAW30B,KAAM,yBAClBA,KAAK4jC,oBAAsBR,IAExBpjC,KAAK+jC,2BAA6BpH,EACrC38B,KAAK+jC,0BAA4B/jC,KAAK4jC,sBAo5ElD6S,GAAQnU,iBA/4ER,SAA2B3F,GACvB,OAAI38B,KAAKg4C,qBACArjB,EAAW30B,KAAM,mBAClBsjC,GAAqB5rC,KAAKsI,MAE1B28B,EACO38B,KAAKgkC,wBAELhkC,KAAK6jC,oBAGXlP,EAAW30B,KAAM,uBAClBA,KAAK6jC,kBAAoBR,IAEtBrjC,KAAKgkC,yBAA2BrH,EACnC38B,KAAKgkC,wBAA0BhkC,KAAK6jC,oBAk4EhD4S,GAAQ/R,KAtuER,SAAqBr8B,GAGjB,MAAiD,OAAxCA,EAAQ,IAAI8J,cAAc3T,OAAO,IAouE9Ci4C,GAAQ3gB,SAhuER,SAAyBoO,EAAOE,EAAS8T,GACrC,OAAIhU,EAAQ,GACDgU,EAAU,KAAO,KAEjBA,EAAU,KAAO,MAwzEhCpR,GAAmB,KAAM,CACrBjB,uBAAwB,uBACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJoC,IAA7BqJ,EAAMrJ,EAAS,IAAM,IAAa,KACrC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,SAO/Bu6B,EAAM6c,KAAO9Y,EAAU,wDAAyDqO,IAChFpS,EAAMyjB,SAAW1f,EAAU,gEAAiEsO,IAE5F,IAAIqR,GAAUthD,KAAKuhC,IAmBnB,SAASggB,GAAe3K,EAAUrlC,EAAO/M,EAAOo1C,GAC5C,IAAI/tB,EAAQqtB,GAAe3nC,EAAO/M,GAMlC,OAJAoyC,EAASW,eAAiBqC,EAAY/tB,EAAM0rB,cAC5CX,EAASY,OAAiBoC,EAAY/tB,EAAM2rB,MAC5CZ,EAASa,SAAiBmC,EAAY/tB,EAAM4rB,QAErCb,EAASe,UAapB,SAAS6J,GAAS/pB,GACd,OAAIA,EAAS,EACFz3B,KAAKyN,MAAMgqB,GAEXz3B,KAAKwN,KAAKiqB,GAmDzB,SAASgqB,GAAcvK,GAGnB,OAAc,KAAPA,EAAc,OAGzB,SAASwK,GAAc/Z,GAEnB,OAAgB,OAATA,EAAkB,KAkD7B,SAASga,GAAQC,GACb,OAAO,WACH,OAAO14C,KAAK24C,GAAGD,IAIvB,IAAIE,GAAiBH,GAAO,MACxBI,GAAiBJ,GAAO,KACxBK,GAAiBL,GAAO,KACxBM,GAAiBN,GAAO,KACxBO,GAAiBP,GAAO,KACxBQ,GAAiBR,GAAO,KACxBS,GAAiBT,GAAO,KACxBU,GAAiBV,GAAO,KACxBW,GAAiBX,GAAO,KAW5B,SAASY,GAAWziD,GAChB,OAAO,WACH,OAAOoJ,KAAKi2B,UAAYj2B,KAAKwuC,MAAM53C,GAAQggC,KAInD,IAAIqX,GAAeoL,GAAW,gBAC1B9U,GAAe8U,GAAW,WAC1BjV,GAAeiV,GAAW,WAC1BnV,GAAemV,GAAW,SAC1BrL,GAAeqL,GAAW,QAC1B5a,GAAe4a,GAAW,UAC1B1L,GAAe0L,GAAW,SAM1BzK,GAAQ93C,KAAK83C,MACb0K,GAAa,CACbrT,GAAI,GACJzR,EAAI,GACJxS,EAAI,GACJnf,EAAI,GACJ29B,EAAI,GACJC,EAAI,IAIR,SAAS8Y,GAAkB/jC,EAAQ+Y,EAAQklB,EAAe4D,EAAUxiB,GAChE,OAAOA,EAAOiR,aAAavX,GAAU,IAAKklB,EAAej+B,EAAQ6hC,GAwErE,IAAImC,GAAQ1iD,KAAKuhC,IAEjB,SAAS2W,GAAK3Q,GACV,OAASA,EAAI,IAAMA,EAAI,KAAQA,EAGnC,SAASob,KAQL,IAAKz5C,KAAKi2B,UACN,OAAOj2B,KAAK86B,aAAaM,cAG7B,IAGIgJ,EAASF,EAHTK,EAAUiV,GAAMx5C,KAAKquC,eAAiB,IACtCL,EAAewL,GAAMx5C,KAAKsuC,OAC1B7P,EAAe+a,GAAMx5C,KAAKuuC,SAI9BnK,EAAoBzM,EAAS4M,EAAU,IACvCL,EAAoBvM,EAASyM,EAAU,IACvCG,GAAW,GACXH,GAAW,GAQX,IAAIsV,EALK/hB,EAAS8G,EAAS,IAMvBgC,EALJhC,GAAU,GAMNkb,EAAI3L,EACJnrC,EAAIqhC,EACJliB,EAAIoiB,EACJ5P,EAAI+P,EAAUA,EAAQqV,QAAQ,GAAGr/C,QAAQ,SAAU,IAAM,GACzDs/C,EAAQ75C,KAAK64C,YAEjB,IAAKgB,EAGD,MAAO,MAGX,IAAIC,EAAYD,EAAQ,EAAI,IAAM,GAC9BE,EAAS/K,GAAKhvC,KAAKuuC,WAAaS,GAAK6K,GAAS,IAAM,GACpDG,EAAWhL,GAAKhvC,KAAKsuC,SAAWU,GAAK6K,GAAS,IAAM,GACpDI,EAAUjL,GAAKhvC,KAAKquC,iBAAmBW,GAAK6K,GAAS,IAAM,GAE/D,OAAOC,EAAY,KACdJ,EAAIK,EAASL,EAAI,IAAM,KACvBjZ,EAAIsZ,EAAStZ,EAAI,IAAM,KACvBkZ,EAAIK,EAAWL,EAAI,IAAM,KACxB92C,GAAKmf,GAAKwS,EAAK,IAAM,KACtB3xB,EAAIo3C,EAAUp3C,EAAI,IAAM,KACxBmf,EAAIi4B,EAAUj4B,EAAI,IAAM,KACxBwS,EAAIylB,EAAUzlB,EAAI,IAAM,IAGjC,IAAI0lB,GAAUzM,GAASl2C,UAwGvB,OAtGA2iD,GAAQjkB,QA5tDR,WACI,OAAOj2B,KAAKk2B,UA4tDhBgkB,GAAQ7hB,IAxVR,WACI,IAAI9nB,EAAiBvQ,KAAKwuC,MAa1B,OAXAxuC,KAAKquC,cAAgB+J,GAAQp4C,KAAKquC,eAClCruC,KAAKsuC,MAAgB8J,GAAQp4C,KAAKsuC,OAClCtuC,KAAKuuC,QAAgB6J,GAAQp4C,KAAKuuC,SAElCh+B,EAAK09B,aAAgBmK,GAAQ7nC,EAAK09B,cAClC19B,EAAKg0B,QAAgB6T,GAAQ7nC,EAAKg0B,SAClCh0B,EAAK6zB,QAAgBgU,GAAQ7nC,EAAK6zB,SAClC7zB,EAAK2zB,MAAgBkU,GAAQ7nC,EAAK2zB,OAClC3zB,EAAKkuB,OAAgB2Z,GAAQ7nC,EAAKkuB,QAClCluB,EAAKo9B,MAAgByK,GAAQ7nC,EAAKo9B,OAE3B3tC,MA2UXk6C,GAAQ53C,IA7TR,SAAgB+F,EAAO/M,GACnB,OAAO+8C,GAAcr4C,KAAMqI,EAAO/M,EAAO,IA6T7C4+C,GAAQlJ,SAzTR,SAAqB3oC,EAAO/M,GACxB,OAAO+8C,GAAcr4C,KAAMqI,EAAO/M,GAAQ,IAyT9C4+C,GAAQvB,GApPR,SAAanf,GACT,IAAKx5B,KAAKi2B,UACN,OAAOW,IAEX,IAAIoX,EACAvP,EACAwP,EAAejuC,KAAKquC,cAIxB,GAAc,WAFd7U,EAAQD,EAAeC,KAEY,YAAVA,GAAiC,SAAVA,EAG5C,OAFAwU,EAAOhuC,KAAKsuC,MAAQL,EAAe,MACnCxP,EAASz+B,KAAKuuC,QAAUgK,GAAavK,GAC7BxU,GACJ,IAAK,QAAW,OAAOiF,EACvB,IAAK,UAAW,OAAOA,EAAS,EAChC,IAAK,OAAW,OAAOA,EAAS,QAKpC,OADAuP,EAAOhuC,KAAKsuC,MAAQx3C,KAAK83C,MAAM4J,GAAax4C,KAAKuuC,UACzC/U,GACJ,IAAK,OAAW,OAAOwU,EAAO,EAAQC,EAAe,OACrD,IAAK,MAAW,OAAOD,EAAeC,EAAe,MACrD,IAAK,OAAW,OAAc,GAAPD,EAAeC,EAAe,KACrD,IAAK,SAAW,OAAc,KAAPD,EAAeC,EAAe,IACrD,IAAK,SAAW,OAAc,MAAPD,EAAeC,EAAe,IAErD,IAAK,cAAe,OAAOn3C,KAAKyN,MAAa,MAAPypC,GAAgBC,EACtD,QAAS,MAAM,IAAIjhC,MAAM,gBAAkBwsB,KAwNvD0gB,GAAQtB,eAAiBA,GACzBsB,GAAQrB,UAAiBA,GACzBqB,GAAQpB,UAAiBA,GACzBoB,GAAQnB,QAAiBA,GACzBmB,GAAQlB,OAAiBA,GACzBkB,GAAQjB,QAAiBA,GACzBiB,GAAQhB,SAAiBA,GACzBgB,GAAQf,WAAiBA,GACzBe,GAAQd,QAAiBA,GACzBc,GAAQ3xC,QA3NR,WACI,OAAKvI,KAAKi2B,UAINj2B,KAAKquC,cACQ,MAAbruC,KAAKsuC,MACJtuC,KAAKuuC,QAAU,GAAM,OACK,QAA3B3W,EAAM53B,KAAKuuC,QAAU,IANd3X,KA0NfsjB,GAAQzL,QAzTR,WACI,IAIIlK,EAASH,EAASF,EAAOyJ,EAAOwM,EAJhClM,EAAejuC,KAAKquC,cACpBL,EAAehuC,KAAKsuC,MACpB7P,EAAez+B,KAAKuuC,QACpBh+B,EAAevQ,KAAKwuC,MAwCxB,OAnCOP,GAAgB,GAAKD,GAAQ,GAAKvP,GAAU,GAC1CwP,GAAgB,GAAKD,GAAQ,GAAKvP,GAAU,IACjDwP,GAAuD,MAAvCqK,GAAQE,GAAa/Z,GAAUuP,GAC/CA,EAAO,EACPvP,EAAS,GAKbluB,EAAK09B,aAAeA,EAAe,IAEnC1J,EAAoB5M,EAASsW,EAAe,KAC5C19B,EAAKg0B,QAAeA,EAAU,GAE9BH,EAAoBzM,EAAS4M,EAAU,IACvCh0B,EAAK6zB,QAAeA,EAAU,GAE9BF,EAAoBvM,EAASyM,EAAU,IACvC7zB,EAAK2zB,MAAeA,EAAQ,GAE5B8J,GAAQrW,EAASuM,EAAQ,IAGzBiW,EAAiBxiB,EAAS4gB,GAAavK,IACvCvP,GAAU0b,EACVnM,GAAQsK,GAAQE,GAAa2B,IAG7BxM,EAAQhW,EAAS8G,EAAS,IAC1BA,GAAU,GAEVluB,EAAKy9B,KAASA,EACdz9B,EAAKkuB,OAASA,EACdluB,EAAKo9B,MAASA,EAEP3tC,MA8QXk6C,GAAQ1K,MAjMR,WACI,OAAOQ,GAAehwC,OAiM1Bk6C,GAAQt/C,IA9LR,SAAgB4+B,GAEZ,OADAA,EAAQD,EAAeC,GAChBx5B,KAAKi2B,UAAYj2B,KAAKw5B,EAAQ,OAAS5C,KA6LlDsjB,GAAQjM,aAAiBA,GACzBiM,GAAQ3V,QAAiBA,GACzB2V,GAAQ9V,QAAiBA,GACzB8V,GAAQhW,MAAiBA,GACzBgW,GAAQlM,KAAiBA,GACzBkM,GAAQpM,MAjLR,WACI,OAAOnW,EAAS33B,KAAKguC,OAAS,IAiLlCkM,GAAQzb,OAAiBA,GACzByb,GAAQvM,MAAiBA,GACzBuM,GAAQxG,SA3GR,SAAmB0G,GACf,IAAKp6C,KAAKi2B,UACN,OAAOj2B,KAAK86B,aAAaM,cAG7B,IAAIvG,EAAS70B,KAAK86B,aACdI,EA5DR,SAAyBmf,EAAgB5G,EAAe5e,GACpD,IAAI6Y,EAAWsC,GAAeqK,GAAgBhiB,MAC1CkM,EAAWqK,GAAMlB,EAASiL,GAAG,MAC7BvU,EAAWwK,GAAMlB,EAASiL,GAAG,MAC7BzU,EAAW0K,GAAMlB,EAASiL,GAAG,MAC7B3K,EAAWY,GAAMlB,EAASiL,GAAG,MAC7Bla,EAAWmQ,GAAMlB,EAASiL,GAAG,MAC7BhL,EAAWiB,GAAMlB,EAASiL,GAAG,MAE7Bz+C,EAAIqqC,GAAW+U,GAAWrT,IAAM,CAAC,IAAK1B,IAClCA,EAAU+U,GAAW9kB,GAAO,CAAC,KAAM+P,IACnCH,GAAW,GAAiB,CAAC,MAC7BA,EAAUkV,GAAWt3B,GAAO,CAAC,KAAMoiB,IACnCF,GAAW,GAAiB,CAAC,MAC7BA,EAAUoV,GAAWz2C,GAAO,CAAC,KAAMqhC,IACnC8J,GAAW,GAAiB,CAAC,MAC7BA,EAAUsL,GAAW9Y,GAAO,CAAC,KAAMwN,IACnCvP,GAAW,GAAiB,CAAC,MAC7BA,EAAU6a,GAAW7Y,GAAO,CAAC,KAAMhC,IACnCkP,GAAW,GAAiB,CAAC,MAAkB,CAAC,KAAMA,GAK9D,OAHAzzC,EAAE,GAAKu5C,EACPv5C,EAAE,IAAMmgD,EAAiB,EACzBngD,EAAE,GAAK26B,EACA0kB,GAAkBxzC,MAAM,KAAM7L,GAoCxBogD,CAAet6C,MAAOo6C,EAAYvlB,GAM/C,OAJIulB,IACAlf,EAASrG,EAAO0iB,YAAYv3C,KAAMk7B,IAG/BrG,EAAO2e,WAAWtY,IAgG7Bgf,GAAQr/B,YAAiB4+B,GACzBS,GAAQ7iD,SAAiBoiD,GACzBS,GAAQ7rB,OAAiBorB,GACzBS,GAAQrlB,OAAiBA,GACzBqlB,GAAQpf,WAAiBA,GAEzBof,GAAQK,YAAc9hB,EAAU,sFAAuFghB,IACvHS,GAAQ3I,KAAOA,GAMf7W,EAAe,IAAK,EAAG,EAAG,QAC1BA,EAAe,IAAK,EAAG,EAAG,WAI1B8B,GAAc,IAAKL,IACnBK,GAAc,IAlzHO,wBAmzHrBW,GAAc,KAAK,SAAU90B,EAAO6F,EAAOxP,GACvCA,EAAO23B,GAAK,IAAInG,KAA6B,IAAxBie,WAAW9lC,EAAO,QAE3C80B,GAAc,KAAK,SAAU90B,EAAO6F,EAAOxP,GACvCA,EAAO23B,GAAK,IAAInG,KAAK0H,EAAMvvB,OAM/BqsB,EAAM92B,QAAU,SAz7IZ62B,EA27IYgU,GAEhB/T,EAAM18B,GAAwBkT,GAC9BwpB,EAAM1zB,IAl0DN,WACI,IAAIqN,EAAO,GAAGpL,MAAMvL,KAAKqC,UAAW,GAEpC,OAAOuzC,GAAO,WAAYj/B,IAg0D9BqmB,EAAM1N,IA7zDN,WACI,IAAI3Y,EAAO,GAAGpL,MAAMvL,KAAKqC,UAAW,GAEpC,OAAOuzC,GAAO,UAAWj/B,IA2zD7BqmB,EAAMrE,IAxzDI,WACN,OAAOH,KAAKG,IAAMH,KAAKG,OAAS,IAAKH,MAwzDzCwE,EAAMM,IAAwBJ,EAC9BF,EAAM0gB,KAnjBN,SAAqB/sC,GACjB,OAAOogC,GAAoB,IAARpgC,IAmjBvBqsB,EAAM+J,OAjcN,SAAqB/b,EAAQjW,GACzB,OAAOmqC,GAAel0B,EAAQjW,EAAO,WAiczCioB,EAAMz7B,OAAwBA,EAC9By7B,EAAMG,OAAwBiS,GAC9BpS,EAAMqc,QAAwBpa,EAC9BjC,EAAMgZ,SAAwBsC,GAC9Btb,EAAMgD,SAAwBA,EAC9BhD,EAAM2N,SA/bN,SAAuB0U,EAAcr0B,EAAQjW,GACzC,OAAOqqC,GAAiBC,EAAcr0B,EAAQjW,EAAO,aA+bzDioB,EAAMqhB,UAvjBN,WACI,OAAOtN,GAAY1iC,MAAM,KAAMhM,WAAWg8C,aAujB9CrhB,EAAMoG,WAAwBiM,GAC9BrS,EAAMga,WAAwBA,GAC9Bha,EAAM8J,YAvcN,SAA0B9b,EAAQjW,GAC9B,OAAOmqC,GAAel0B,EAAQjW,EAAO,gBAuczCioB,EAAMyN,YA5bN,SAA0B4U,EAAcr0B,EAAQjW,GAC5C,OAAOqqC,GAAiBC,EAAcr0B,EAAQjW,EAAO,gBA4bzDioB,EAAMsS,aAAwBA,GAC9BtS,EAAM8lB,aA9kFN,SAAsB5jD,EAAM8H,GACxB,GAAc,MAAVA,EAAgB,CAChB,IAAIm2B,EAAQ4lB,EAAW1hB,EAAeiM,GAGrB,OADjByV,EAAY9T,GAAW/vC,MAEnBmiC,EAAe0hB,EAAUvT,SAE7BxoC,EAASo6B,EAAaC,EAAcr6B,IACpCm2B,EAAS,IAAIoE,EAAOv6B,IACbyoC,aAAeX,GAAQ5vC,GAC9B4vC,GAAQ5vC,GAAQi+B,EAGhBiS,GAAmBlwC,QAGE,MAAjB4vC,GAAQ5vC,KAC0B,MAA9B4vC,GAAQ5vC,GAAMuwC,aACdX,GAAQ5vC,GAAQ4vC,GAAQ5vC,GAAMuwC,aACN,MAAjBX,GAAQ5vC,WACR4vC,GAAQ5vC,IAI3B,OAAO4vC,GAAQ5vC,IAsjFnB89B,EAAM8R,QA3hFN,WACI,OAAO99B,EAAK89B,KA2hFhB9R,EAAM0N,cApcN,SAA4B2U,EAAcr0B,EAAQjW,GAC9C,OAAOqqC,GAAiBC,EAAcr0B,EAAQjW,EAAO,kBAoczDioB,EAAM6E,eAAwBA,EAC9B7E,EAAMgmB,qBA/LN,SAAqCC,GACjC,YAAyB/9C,IAArB+9C,EACO/L,GAEsB,mBAAvB,IACNA,GAAQ+L,GACD,IA0LfjmB,EAAMkmB,sBApLN,SAAsCC,EAAWC,GAC7C,YAA8Bl+C,IAA1B08C,GAAWuB,UAGDj+C,IAAVk+C,EACOxB,GAAWuB,IAEtBvB,GAAWuB,GAAaC,EACN,MAAdD,IACAvB,GAAWrT,GAAK6U,EAAQ,IAErB,KA0KXpmB,EAAMue,eA73CN,SAA2B8H,EAAU1qB,GACjC,IAAIkf,EAAOwL,EAASxL,KAAKlf,EAAK,QAAQ,GACtC,OAAOkf,GAAQ,EAAI,WACXA,GAAQ,EAAI,WACZA,EAAO,EAAI,UACXA,EAAO,EAAI,UACXA,EAAO,EAAI,UACXA,EAAO,EAAI,WAAa,YAu3CpC7a,EAAMn9B,UAAwB2T,GAG9BwpB,EAAMsmB,UAAY,CACdC,eAAgB,mBAChBC,uBAAwB,sBACxBC,kBAAmB,0BACnBC,KAAM,aACNC,KAAM,QACNC,aAAc,WACdC,QAAS,eACTC,KAAM,aACNC,MAAO,WAGJ/mB,EAp/IyEgnB,K,mDCMpF,IAAIC,EAAkBrkD,OAAOC,UAAUc,eAEvC,SAASjC,EAAIqF,EAAQrD,GACnB,OAAOujD,EAAgBjkD,KAAK+D,EAAQrD,GA+BtC,SAASwjD,EAAkB91C,GAGzB,QAAIA,GAAK,OAAUA,GAAK,WAEpBA,GAAK,OAAUA,GAAK,SACH,QAAZ,MAAJA,IAA2C,QAAZ,MAAJA,OAE5BA,GAAK,GAAQA,GAAK,KACZ,KAANA,MACAA,GAAK,IAAQA,GAAK,QAClBA,GAAK,KAAQA,GAAK,QAElBA,EAAI,eAIV,SAAS+1C,EAAc/1C,GAErB,GAAIA,EAAI,MAAQ,CAEd,IAAIg2C,EAAa,QADjBh2C,GAAK,QAC2B,IAC5Bi2C,EAAa,OAAc,KAAJj2C,GAE3B,OAAOrL,OAAOuhD,aAAaF,EAAYC,GAEzC,OAAOthD,OAAOuhD,aAAal2C,GAI7B,IAAIm2C,EAAkB,8CAElBC,EAAkB,IAAIzwC,OAAOwwC,EAAehgD,OAAS,IADnC,6BACmDA,OAAQ,MAE7EkgD,EAAyB,qCAEzBC,EAAW,EAAQ,KA4CvB,IAAIC,EAAsB,SACtBC,EAAyB,UACzBC,EAAoB,CACtB,IAAK,QACL,IAAK,OACL,IAAK,OACL,IAAK,UAGP,SAASC,EAAkB5zB,GACzB,OAAO2zB,EAAkB3zB,GAY3B,IAAI6zB,EAAmB,uBAwCvB,IAAIC,EAAmB,EAAQ,KAsE/B3mD,EAAQ4mD,IAAsB,GAC9B5mD,EAAQ4mD,IAAIC,MAAkB,EAAQ,KACtC7mD,EAAQ4mD,IAAIE,QAAkB,EAAQ,KAEtC9mD,EAAQiI,OAlPR,SAAgBjG,GACd,IAAI0Y,EAAUnC,MAAM/W,UAAU0L,MAAMvL,KAAKqC,UAAW,GAcpD,OAZA0W,EAAQ3Y,SAAQ,SAAUmE,GACxB,GAAKA,EAAL,CAEA,GAAsB,iBAAXA,EACT,MAAM,IAAIzB,UAAUyB,EAAS,kBAG/B3E,OAAOoR,KAAKzM,GAAQnE,SAAQ,SAAUM,GACpCL,EAAIK,GAAO6D,EAAO7D,UAIfL,GAoOThC,EAAQgD,SA7PR,SAAkBhB,GAAO,MAAuB,oBAFhD,SAAgBA,GAAO,OAAOT,OAAOC,UAAUF,SAASK,KAAKK,GAE7B+kD,CAAO/kD,IA8PvChC,EAAQK,IAAsBA,EAC9BL,EAAQgnD,WA3JR,SAAoBziD,GAClB,OAAIA,EAAIsE,QAAQ,MAAQ,EAAYtE,EAC7BA,EAAIC,QAAQ0hD,EAAgB,OA0JrClmD,EAAQinD,YAvJR,SAAqB1iD,GACnB,OAAIA,EAAIsE,QAAQ,MAAQ,GAAKtE,EAAIsE,QAAQ,KAAO,EAAYtE,EAErDA,EAAIC,QAAQ2hD,GAAiB,SAAUhwC,EAAO+wC,EAASC,GAC5D,OAAID,GAnCR,SAA8B/wC,EAAOtV,GACnC,IAAImoB,EAAO,EAEX,OAAI3oB,EAAIgmD,EAAUxlD,GACTwlD,EAASxlD,GAGS,KAAvBA,EAAK4iB,WAAW,IAAsB2iC,EAAuBhxC,KAAKvU,IAKhEglD,EAJJ78B,EAAiC,MAA1BnoB,EAAK,GAAGub,cACb0rB,SAASjnC,EAAKqM,MAAM,GAAI,IAExB46B,SAASjnC,EAAKqM,MAAM,GAAI,KAEjB44C,EAAc98B,GAIlB7S,EAmBEixC,CAAqBjxC,EAAOgxC,OAmJvCnnD,EAAQ6lD,kBAAsBA,EAC9B7lD,EAAQ8lD,cAAsBA,EAE9B9lD,EAAQqnD,WAnIR,SAAoB9iD,GAClB,OAAI+hD,EAAoBlxC,KAAK7Q,GACpBA,EAAIC,QAAQ+hD,EAAwBE,GAEtCliD,GAgITvE,EAAQsnD,eAvOR,SAAwBx1C,EAAKqR,EAAKokC,GAChC,MAAO,GAAGt6C,OAAO6E,EAAI5E,MAAM,EAAGiW,GAAMokC,EAAaz1C,EAAI5E,MAAMiW,EAAM,KAuOnEnjB,EAAQwnD,QApHR,SAAiBx+B,GACf,OAAQA,GACN,KAAK,EACL,KAAK,GACH,OAAO,EAEX,OAAO,GA+GThpB,EAAQynD,aA3GR,SAAsBz+B,GACpB,GAAIA,GAAQ,MAAUA,GAAQ,KAAU,OAAO,EAC/C,OAAQA,GACN,KAAK,EACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,IACL,KAAK,KACL,KAAK,KACL,KAAK,KACL,KAAK,MACH,OAAO,EAEX,OAAO,GA4FThpB,EAAQ0nD,eAvER,SAAwB70B,GACtB,OAAQA,GACN,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,IACL,KAAK,IACL,KAAK,IACL,KAAK,IACH,OAAO,EACT,QACE,OAAO,IAoCb7yB,EAAQ2nD,YApFR,SAAqB90B,GACnB,OAAO8zB,EAAiBvxC,KAAKyd,IAoF/B7yB,EAAQ4nD,SA9HR,SAAkBrjD,GAChB,OAAOA,EAAIC,QAAQkiD,EAAkB,SA8HvC1mD,EAAQ6nD,mBAhCR,SAA4BtjD,GAI1B,OAAOA,EAAID,OAAOE,QAAQ,OAAQ,KAAKyiB,gB,6BCtPzC,6QAMA,SAAS6gC,EAAgB75C,EAAO85C,GAC9B,OAAI95C,EAAM+5C,UAAU5oB,QAChB2oB,GAAYA,EAAS95C,EAAMg6C,GAAGH,kBAAkBI,mBAC7C,GAuDT,SAASC,EAAYC,EAAMC,GACzB,KAAOD,EAAMA,EAAgB,SAARC,EAAkBD,EAAK5pC,WAAa4pC,EAAKE,UAC1D,GAAIF,EAAKG,YAAe,OAAO,EACnC,OAAO,EA4BT,SAASC,EAAcC,GACrB,IAAKA,EAAKr8C,OAAOtD,KAAK4/C,KAAKC,UAAa,IAAK,IAAIzmD,EAAIumD,EAAKG,MAAQ,EAAG1mD,GAAK,EAAGA,IAAK,CAChF,GAAIumD,EAAK/xC,MAAMxU,GAAK,EAAK,OAAOumD,EAAKI,IAAIviC,QAAQmiC,EAAKK,OAAO5mD,EAAI,IACjE,GAAIumD,EAAKL,KAAKlmD,GAAG4G,KAAK4/C,KAAKC,UAAa,MAE1C,OAAO,KAwET,SAASI,EAAaN,GACpB,IAAKA,EAAKr8C,OAAOtD,KAAK4/C,KAAKC,UAAa,IAAK,IAAIzmD,EAAIumD,EAAKG,MAAQ,EAAG1mD,GAAK,EAAGA,IAAK,CAChF,IAAIkK,EAASq8C,EAAKL,KAAKlmD,GACvB,GAAIumD,EAAK/xC,MAAMxU,GAAK,EAAIkK,EAAO48C,WAAc,OAAOP,EAAKI,IAAIviC,QAAQmiC,EAAKQ,MAAM/mD,EAAI,IACpF,GAAIkK,EAAOtD,KAAK4/C,KAAKC,UAAa,MAEpC,OAAO,KA4CT,SAASO,EAAKj7C,EAAO85C,GACnB,IAAIoB,EAAMl7C,EAAM+5C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVC,EAAQF,EAAMG,WAAWF,GAAMljD,EAASmjD,GAAS,YAAWA,GAChE,OAAc,MAAVnjD,IACA4hD,GAAYA,EAAS95C,EAAMg6C,GAAGiB,KAAKI,EAAOnjD,GAAQ+hD,mBAC/C,GAgBT,SAASsB,EAAerzC,GACtB,IAAK,IAAIjU,EAAI,EAAGA,EAAIiU,EAAMszC,UAAWvnD,IAAK,CACxC,IACI4G,EADMqN,EAAMuzC,KAAKxnD,GACN4G,KACf,GAAIA,EAAKy/C,cAAgBz/C,EAAK6gD,mBAAsB,OAAO7gD,EAE7D,OAAO,KAOT,SAAS8gD,EAAS37C,EAAO85C,GACvB,IAAIoB,EAAMl7C,EAAM+5C,UACZ6B,EAAQV,EAAIU,MACZC,EAAUX,EAAIW,QAClB,IAAKD,EAAMz9C,OAAOtD,KAAK4/C,KAAK1/B,OAAS6gC,EAAME,WAAWD,GAAY,OAAO,EACzE,IAAIE,EAAQH,EAAMzB,MAAM,GAAIa,EAAQY,EAAMI,YAAY,GAAInhD,EAAO0gD,EAAeQ,EAAME,eAAejB,IACrG,IAAKe,EAAMG,eAAelB,EAAOA,EAAOngD,GAAS,OAAO,EACxD,GAAIi/C,EAAU,CACZ,IAAI5kC,EAAM0mC,EAAMZ,QAAShB,EAAKh6C,EAAMg6C,GAAGmC,YAAYjnC,EAAKA,EAAKra,EAAKuhD,iBAClEpC,EAAGqC,aAAa,YAAUC,KAAKtC,EAAGY,IAAIviC,QAAQnD,GAAM,IACpD4kC,EAASE,EAAGC,kBAEd,OAAO,EA6CT,SAASsC,EAAWv8C,EAAO85C,GACzB,IAAIoB,EAAMl7C,EAAM+5C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACd,GAAIp7C,EAAM+5C,qBAAqB,iBAAiB/5C,EAAM+5C,UAAUI,KAAKqC,QACnE,SAAKrB,EAAMsB,eAAiB,YAASz8C,EAAM46C,IAAKO,EAAMjmC,QAClD4kC,GAAYA,EAAS95C,EAAMg6C,GAAGr+C,MAAMw/C,EAAMjmC,KAAK+kC,mBAC5C,GAGT,IAAKkB,EAAMh9C,OAAOq+C,QAAW,OAAO,EAEpC,GAAI1C,EAAU,CACZ,IAAI4C,EAAQtB,EAAIqB,cAAgBrB,EAAIj9C,OAAO2E,QAAQyS,KAC/CykC,EAAKh6C,EAAMg6C,GACXh6C,EAAM+5C,qBAAqB,iBAAiBC,EAAGH,kBACnD,IAAI8C,EAAuB,GAAfxB,EAAMR,MAAa,KAAOY,EAAeJ,EAAMhB,MAAM,GAAG8B,eAAed,EAAMa,YAAY,KACjGY,EAAQF,GAASC,EAAQ,CAAC,CAAC9hD,KAAM8hD,IAAU,KAC3CE,EAAM,YAAS7C,EAAGY,IAAKZ,EAAG8C,QAAQjxC,IAAIsvC,EAAMjmC,KAAM,EAAG0nC,GACpDA,GAAUC,IAAO,YAAS7C,EAAGY,IAAKZ,EAAG8C,QAAQjxC,IAAIsvC,EAAMjmC,KAAM,EAAGynC,GAAS,CAAC,CAAC9hD,KAAM8hD,OACpFC,EAAQ,CAAC,CAAC/hD,KAAM8hD,IAChBE,GAAM,GAEJA,IACF7C,EAAGr+C,MAAMq+C,EAAG8C,QAAQjxC,IAAIsvC,EAAMjmC,KAAM,EAAG0nC,GAClCF,GAAUvB,EAAMsB,cAAgBtB,EAAMh9C,OAAOtD,MAAQ8hD,IACtDxB,EAAMhB,MAAM,GAAG4C,WAAW5B,EAAM1yC,OAAO,GAAI0yC,EAAMa,YAAY,GAAI,WAAS70B,KAAKw1B,EAAMz7C,SAAUi6C,EAAMh9C,UACrG67C,EAAGgD,cAAchD,EAAG8C,QAAQjxC,IAAIsvC,EAAMN,UAAW8B,IAEvD7C,EAASE,EAAGC,kBAEd,OAAO,EAqDT,SAASgD,EAAcj9C,EAAOk9C,EAAMpD,GAClC,IAAsDqD,EAAMj1C,EAAxD2yC,EAASqC,EAAKE,WAAYpC,EAAQkC,EAAKG,UAC3C,GAAIxC,EAAOhgD,KAAK4/C,KAAKC,WAAaM,EAAMngD,KAAK4/C,KAAKC,UAAa,OAAO,EACtE,GApBF,SAAwB16C,EAAOw6C,EAAMV,GACnC,IAAIe,EAASL,EAAK4C,WAAYpC,EAAQR,EAAK6C,UAAW50C,EAAQ+xC,EAAK/xC,QACnE,SAAKoyC,GAAWG,GAAUH,EAAOhgD,KAAKyiD,kBAAkBtC,EAAMngD,UACzDggD,EAAO/3C,QAAQyS,MAAQilC,EAAKr8C,OAAO4+C,WAAWt0C,EAAQ,EAAGA,IACxDqxC,GAAYA,EAAS95C,EAAMg6C,GAAGuD,OAAO/C,EAAKtlC,IAAM2lC,EAAO2C,SAAUhD,EAAKtlC,KAAK+kC,mBACxE,MAEJO,EAAKr8C,OAAO4+C,WAAWt0C,EAAOA,EAAQ,KAAQuyC,EAAMV,cAAe,YAAQt6C,EAAM46C,IAAKJ,EAAKtlC,QAE5F4kC,GACAA,EAAS95C,EAAMg6C,GACPyD,kBAAkBjD,EAAKtlC,IAAK2lC,EAAOhgD,KAAMggD,EAAOoB,eAAepB,EAAOE,aACtEh/C,KAAKy+C,EAAKtlC,KACV+kC,mBACL,IAMHyD,CAAe19C,EAAOk9C,EAAMpD,GAAa,OAAO,EAEpD,GAAIoD,EAAK/+C,OAAO4+C,WAAWG,EAAKz0C,QAASy0C,EAAKz0C,QAAU,KACnD00C,GAAQj1C,EAAQ2yC,EAAOoB,eAAepB,EAAOE,aAAa4C,aAAa3C,EAAMngD,QAC9EqN,EAAM01C,UAAUT,EAAK,IAAMnC,EAAMngD,MAAMgjD,SAAU,CACnD,GAAI/D,EAAU,CAEZ,IADA,IAAIgE,EAAMZ,EAAKhoC,IAAM8lC,EAAMwC,SAAUO,EAAO,WAAS5sB,MAC5Cl9B,EAAIkpD,EAAKhpD,OAAS,EAAGF,GAAK,EAAGA,IAClC8pD,EAAO,WAAS52B,KAAKg2B,EAAKlpD,GAAGiN,OAAO,KAAM68C,IAC9CA,EAAO,WAAS52B,KAAK0zB,EAAOmD,KAAKD,IACjC,IAAI/D,EAAKh6C,EAAMg6C,GAAGtlC,KAAK,IAAI,IAAkBwoC,EAAKhoC,IAAM,EAAG4oC,EAAKZ,EAAKhoC,IAAK4oC,EAAK,IAAI,QAAMC,EAAM,EAAG,GAAIZ,EAAKhpD,QAAQ,IAC/G8pD,EAASH,EAAM,EAAIX,EAAKhpD,OACxB,YAAQ6lD,EAAGY,IAAKqD,IAAWjE,EAAGj+C,KAAKkiD,GACvCnE,EAASE,EAAGC,kBAEd,OAAO,EAGT,IAAIiE,EAAW,YAAUC,SAASjB,EAAM,GACpC7B,EAAQ6C,GAAYA,EAAS/C,MAAMG,WAAW4C,EAAS9C,KAAMljD,EAASmjD,GAAS,YAAWA,GAC9F,OAAc,MAAVnjD,GAAkBA,GAAUglD,EAAKvC,QAC/Bb,GAAYA,EAAS95C,EAAMg6C,GAAGiB,KAAKI,EAAOnjD,GAAQ+hD,mBAC/C,GAWX,SAASmE,EAAOC,EAAUC,GACxB,OAAO,SAASt+C,EAAO85C,GACrB,IAAIoB,EAAMl7C,EAAM+5C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVC,EAAQF,EAAMG,WAAWF,GAAMmD,EAAWlD,GAAS,YAAaA,EAAOgD,EAAUC,GACrF,QAAKC,IACDzE,GAAYA,EAAS95C,EAAMg6C,GAAG+D,KAAK1C,EAAOkD,GAAUtE,mBACjD,IAOX,SAASuE,EAAaH,EAAUC,GAC9B,OAAO,SAASt+C,EAAO85C,GACrB,IAAIoB,EAAMl7C,EAAM+5C,UACZ5yB,EAAO+zB,EAAI/zB,KACX4L,EAAKmoB,EAAInoB,GACT0rB,GAAa,EAWjB,OAVAz+C,EAAM46C,IAAI8D,aAAav3B,EAAM4L,GAAI,SAAUonB,EAAMjlC,GAC/C,GAAIupC,EAAc,OAAO,EACzB,GAAKtE,EAAKG,cAAeH,EAAKwE,UAAUN,EAAUC,GAClD,GAAInE,EAAKt/C,MAAQwjD,EACfI,GAAa,MACR,CACL,IAAIjE,EAAOx6C,EAAM46C,IAAIviC,QAAQnD,GAAMzM,EAAQ+xC,EAAK/xC,QAChDg2C,EAAajE,EAAKr8C,OAAO+9C,eAAezzC,EAAOA,EAAQ,EAAG41C,SAGzDI,IACD3E,GAAYA,EAAS95C,EAAMg6C,GAAGwE,aAAar3B,EAAM4L,EAAIsrB,EAAUC,GAAOrE,mBACnE,IAiCX,SAAS2E,EAAWC,EAAUP,GAC5B,OAAO,SAASt+C,EAAO85C,GACrB,IAAIoB,EAAMl7C,EAAM+5C,UACZ5oB,EAAQ+pB,EAAI/pB,MACZ2tB,EAAU5D,EAAI4D,QACdC,EAAS7D,EAAI6D,OACjB,GAAK5tB,IAAU2tB,IAnCnB,SAAqBlE,EAAKmE,EAAQlkD,GAahC,IAZA,IAAImkD,EAAO,SAAW/qD,GACpB,IAAIinD,EAAM6D,EAAO9qD,GACbknD,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVyB,EAAqB,GAAf1B,EAAMR,OAAaC,EAAI//C,KAAKokD,eAAepkD,GAKrD,GAJA+/C,EAAI8D,aAAavD,EAAMjmC,IAAKkmC,EAAIlmC,KAAK,SAAUilC,GAC7C,GAAI0C,EAAO,OAAO,EAClBA,EAAM1C,EAAK+E,eAAiB/E,EAAKt/C,KAAKokD,eAAepkD,MAEnDgiD,EAAO,MAAO,CAAEjmC,GAAG,IAGhB3iB,EAAI,EAAGA,EAAI8qD,EAAO5qD,OAAQF,IAAK,CACtC,IAAIkrD,EAAWH,EAAM/qD,GAErB,GAAKkrD,EAAW,OAAOA,EAASvoC,EAElC,OAAO,EAiBuBwoC,CAAYp/C,EAAM46C,IAAKmE,EAAQF,GAAa,OAAO,EAC/E,GAAI/E,EACF,GAAIgF,EACED,EAASQ,QAAQr/C,EAAMs/C,aAAeR,EAAQS,SAC9CzF,EAAS95C,EAAMg6C,GAAGwF,iBAAiBX,IAEnC/E,EAAS95C,EAAMg6C,GAAGyF,cAAcZ,EAAS39C,OAAOo9C,SAC/C,CAEL,IADA,IAAIlsD,GAAM,EAAO4nD,EAAKh6C,EAAMg6C,GACnB/lD,EAAI,GAAI7B,GAAO6B,EAAI8qD,EAAO5qD,OAAQF,IAAK,CAC9C,IAAIyrD,EAAQX,EAAO9qD,GACfknD,EAAQuE,EAAMvE,MACdC,EAAMsE,EAAMtE,IAChBhpD,EAAM4N,EAAM46C,IAAI+E,aAAaxE,EAAMjmC,IAAKkmC,EAAIlmC,IAAK2pC,GAEnD,IAAK,IAAIe,EAAM,EAAGA,EAAMb,EAAO5qD,OAAQyrD,IAAO,CAC5C,IAAIC,EAAQd,EAAOa,GACfE,EAAUD,EAAM1E,MAChB4E,EAAQF,EAAMzE,IACdhpD,EAAO4nD,EAAGgG,WAAWF,EAAQ5qC,IAAK6qC,EAAM7qC,IAAK2pC,GAC1C7E,EAAGiG,QAAQH,EAAQ5qC,IAAK6qC,EAAM7qC,IAAK2pC,EAAS39C,OAAOo9C,IAE5DxE,EAASE,EAAGC,kBAGhB,OAAO,GA4DX,SAASiG,IAEP,IADA,IAAIC,EAAW,GAAIt2C,EAAM9T,UAAU5B,OAC3B0V,KAAQs2C,EAAUt2C,GAAQ9T,UAAW8T,GAE7C,OAAO,SAAS7J,EAAO85C,EAAUsG,GAC/B,IAAK,IAAInsD,EAAI,EAAGA,EAAIksD,EAAShsD,OAAQF,IACjC,GAAIksD,EAASlsD,GAAG+L,EAAO85C,EAAUsG,GAAS,OAAO,EACrD,OAAO,GAIX,IAAIC,EAAYH,EAAcrG,GAvkB9B,SAAsB75C,EAAO85C,EAAUsG,GACrC,IACItB,EADM9+C,EAAM+5C,UACE+E,QAClB,IAAKA,IAAYsB,GAAQA,EAAKE,eAAe,WAAYtgD,GACjC8+C,EAAQrC,aAAe,GAC3C,OAAO,EAEX,IAAIS,EAAO3C,EAAcuE,GAGzB,IAAK5B,EAAM,CACT,IAAI7B,EAAQyD,EAAQxD,aAAcpjD,EAASmjD,GAAS,YAAWA,GAC/D,OAAc,MAAVnjD,IACA4hD,GAAYA,EAAS95C,EAAMg6C,GAAGiB,KAAKI,EAAOnjD,GAAQ+hD,mBAC/C,GAGT,IAAIY,EAASqC,EAAKE,WAElB,IAAKvC,EAAOhgD,KAAK4/C,KAAKC,WAAauC,EAAcj9C,EAAOk9C,EAAMpD,GAC1D,OAAO,EAIX,GAAmC,GAA/BgF,EAAQ3gD,OAAO2E,QAAQyS,OACtB2kC,EAAYW,EAAQ,QAAU,gBAAc0F,aAAa1F,IAAU,CACtE,GAAIf,EAAU,CACZ,IAAIE,EAAKh6C,EAAMg6C,GAAGwG,YAAY1B,EAAQjE,SAAUiE,EAAQ9D,SACxDhB,EAAGqC,aAAanC,EAAYW,EAAQ,OAAS,YAAUsD,SAASnE,EAAGY,IAAIviC,QAAQ2hC,EAAG8C,QAAQjxC,IAAIqxC,EAAKhoC,KAAM,KAAM,GAC7F,gBAAchU,OAAO84C,EAAGY,IAAKsC,EAAKhoC,IAAM2lC,EAAO2C,WACjE1D,EAASE,EAAGC,kBAEd,OAAO,EAIT,SAAIY,EAAO4F,QAAUvD,EAAKvC,OAASmE,EAAQnE,MAAQ,KAC7Cb,GAAYA,EAAS95C,EAAMg6C,GAAGuD,OAAOL,EAAKhoC,IAAM2lC,EAAO2C,SAAUN,EAAKhoC,KAAK+kC,mBACxE,MAmBX,SAA4Bj6C,EAAO85C,EAAUsG,GAC3C,IAAIlF,EAAMl7C,EAAM+5C,UACZ6B,EAAQV,EAAIU,MAEZsB,EAAOtB,EACX,IAFYV,EAAI/pB,MAEF,OAAO,EAErB,GAAIyqB,EAAMz9C,OAAOm8C,YAAa,CAC5B,GAAI8F,GAAQA,EAAKE,eAAe,WAAYtgD,GAAS47C,EAAMa,aAAe,EAAK,OAAO,EACtFS,EAAO3C,EAAcqB,GAEvB,IAAIzB,EAAO+C,GAAQA,EAAKE,WACxB,SAAKjD,IAAS,gBAAcoG,aAAapG,MACrCL,GACAA,EAAS95C,EAAMg6C,GAAGqC,aAAa,gBAAcn7C,OAAOlB,EAAM46C,IAAKsC,EAAKhoC,IAAMilC,EAAKqD,WAAWvD,mBACvF,MAggBLyG,EAAMR,EAAcrG,GA/exB,SAAqB75C,EAAO85C,EAAUsG,GACpC,IACItB,EADM9+C,EAAM+5C,UACE+E,QAClB,IAAKA,IAAYsB,GAAQA,EAAKE,eAAe,UAAWtgD,GAChC8+C,EAAQrC,aAAeqC,EAAQ3gD,OAAO2E,QAAQyS,MAClE,OAAO,EAEX,IAAI2nC,EAAOpC,EAAagE,GAGxB,IAAK5B,EAAQ,OAAO,EAEpB,IAAIlC,EAAQkC,EAAKG,UAEjB,GAAIJ,EAAcj9C,EAAOk9C,EAAMpD,GAAa,OAAO,EAInD,GAAmC,GAA/BgF,EAAQ3gD,OAAO2E,QAAQyS,OACtB2kC,EAAYc,EAAO,UAAY,gBAAcuF,aAAavF,IAAS,CACtE,GAAIlB,EAAU,CACZ,IAAIE,EAAKh6C,EAAMg6C,GAAGwG,YAAY1B,EAAQjE,SAAUiE,EAAQ9D,SACxDhB,EAAGqC,aAAanC,EAAYc,EAAO,SAAW,YAAUmD,SAASnE,EAAGY,IAAIviC,QAAQ2hC,EAAG8C,QAAQjxC,IAAIqxC,EAAKhoC,MAAO,GACzF,gBAAchU,OAAO84C,EAAGY,IAAKZ,EAAG8C,QAAQjxC,IAAIqxC,EAAKhoC,OACnE4kC,EAASE,EAAGC,kBAEd,OAAO,EAIT,SAAIe,EAAMyF,QAAUvD,EAAKvC,OAASmE,EAAQnE,MAAQ,KAC5Cb,GAAYA,EAAS95C,EAAMg6C,GAAGuD,OAAOL,EAAKhoC,IAAKgoC,EAAKhoC,IAAM8lC,EAAMwC,UAAUvD,mBACvE,MAaX,SAA2Bj6C,EAAO85C,EAAUsG,GAC1C,IAAIlF,EAAMl7C,EAAM+5C,UACZ6B,EAAQV,EAAIU,MAEZsB,EAAOtB,EACX,IAFYV,EAAI/pB,MAEF,OAAO,EACrB,GAAIyqB,EAAMz9C,OAAOm8C,YAAa,CAC5B,GAAI8F,GAAQA,EAAKE,eAAe,UAAWtgD,GAAS47C,EAAMa,aAAeb,EAAMz9C,OAAO2E,QAAQyS,KAC1F,OAAO,EACX2nC,EAAOpC,EAAac,GAEtB,IAAIzB,EAAO+C,GAAQA,EAAKG,UACxB,SAAKlD,IAAS,gBAAcoG,aAAapG,MACrCL,GACAA,EAAS95C,EAAMg6C,GAAGqC,aAAa,gBAAcn7C,OAAOlB,EAAM46C,IAAKsC,EAAKhoC,MAAM+kC,mBACvE,MAgcL0G,EAAe,CACjB,MAAST,GA9XX,SAAuBlgD,EAAO85C,GAC5B,IAAIoB,EAAMl7C,EAAM+5C,UACZ6B,EAAQV,EAAIU,MACZC,EAAUX,EAAIW,QAClB,SAAKD,EAAMz9C,OAAOtD,KAAK4/C,KAAK1/B,OAAS6gC,EAAME,WAAWD,MAClD/B,GAAYA,EAAS95C,EAAMg6C,GAAG4G,WAAW,MAAM3G,mBAC5C,MAkCT,SAA6Bj6C,EAAO85C,GAClC,IAAIoB,EAAMl7C,EAAM+5C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACd,GAAID,EAAMh9C,OAAO+gD,eAAiB9D,EAAIj9C,OAAO+gD,cAAiB,OAAO,EACrE,IAAIrkD,EAAO0gD,EAAeJ,EAAMh9C,OAAO89C,eAAeb,EAAIY,eAC1D,IAAKnhD,IAASA,EAAKy/C,YAAe,OAAO,EACzC,GAAIR,EAAU,CACZ,IAAIM,IAASe,EAAMsB,cAAgBrB,EAAI3yC,QAAU2yC,EAAIj9C,OAAO48C,WAAaI,EAAQC,GAAKlmC,IAClF8kC,EAAKh6C,EAAMg6C,GAAG6G,OAAOzG,EAAMv/C,EAAKuhD,iBACpCpC,EAAGqC,aAAa,gBAAcn7C,OAAO84C,EAAGY,IAAKR,EAAO,IACpDN,EAASE,EAAGC,kBAEd,OAAO,KAMT,SAAwBj6C,EAAO85C,GAC7B,IACIgF,EADM9+C,EAAM+5C,UACE+E,QAClB,IAAKA,GAAWA,EAAQ3gD,OAAO2E,QAAQyS,KAAQ,OAAO,EACtD,GAAIupC,EAAQnE,MAAQ,GAAKmE,EAAQ9D,SAAW8D,EAAQhB,KAAK,GAAI,CAC3D,IAAIjD,EAASiE,EAAQjE,SACrB,GAAI,YAAS76C,EAAM46C,IAAKC,GAEtB,OADIf,GAAYA,EAAS95C,EAAMg6C,GAAGr+C,MAAMk/C,GAAQZ,mBACzC,EAGX,IAAIoB,EAAQyD,EAAQxD,aAAcpjD,EAASmjD,GAAS,YAAWA,GAC/D,OAAc,MAAVnjD,IACA4hD,GAAYA,EAAS95C,EAAMg6C,GAAGiB,KAAKI,EAAOnjD,GAAQ+hD,mBAC/C,KAqToEsC,GAC3E,YAAaZ,EACb,UAAa0E,EACb,gBAAiBA,EACjB,OAAUK,EACV,aAAcA,EACd,QAvPF,SAAmB1gD,EAAO85C,GAExB,OADIA,GAAYA,EAAS95C,EAAMg6C,GAAGqC,aAAa,IAAI,eAAar8C,EAAM46C,QAC/D,IA6PLkG,EAAgB,CAClB,SAAUH,EAAwB,UAClC,gBAAiBA,EAAa,iBAC9B,SAAUA,EAAqB,OAC/B,qBAAsBA,EAAa,cACnC,aAAcA,EAAa,cAC3B,QAASA,EAAa,eAExB,IAAK,IAAIvsD,KAAOusD,EAAgBG,EAAc1sD,GAAOusD,EAAavsD,GAGlE,IAOI2sD,GAP0B,oBAAbtrD,UAA2B,MAAM0R,KAAK1R,UAAUurD,UACxC,oBAANC,IAAqC,UAAjBA,GAAGD,YAMnBF,EAAgBH,G,6BC1oBvC,waAGIO,EAAc5tD,OAAO4N,OAAO,MAI5BigD,EAAY,SAAmBtF,EAASD,EAAOmD,GAGjD/iD,KAAK+iD,OAASA,GAAU,CAAC,IAAIqC,EAAevF,EAAQ7+C,IAAI4+C,GAAQC,EAAQ74B,IAAI44B,KAI5E5/C,KAAK6/C,QAAUA,EAIf7/C,KAAK4/C,MAAQA,GAGXyF,EAAqB,CAAElU,OAAQ,CAAEzsC,cAAc,GAAOkN,KAAM,CAAElN,cAAc,GAAOymB,KAAM,CAAEzmB,cAAc,GAAOqyB,GAAI,CAAEryB,cAAc,GAAOy6C,MAAO,CAAEz6C,cAAc,GAAO06C,IAAK,CAAE16C,cAAc,GAAOywB,MAAO,CAAEzwB,cAAc,IAIhO2gD,EAAmBlU,OAAOv2C,IAAM,WAAc,OAAOoF,KAAK6/C,QAAQ3mC,KAIlEmsC,EAAmBzzC,KAAKhX,IAAM,WAAc,OAAOoF,KAAK4/C,MAAM1mC,KAI9DmsC,EAAmBl6B,KAAKvwB,IAAM,WAAc,OAAOoF,KAAKm/C,MAAMjmC,KAI9DmsC,EAAmBtuB,GAAGn8B,IAAM,WAAc,OAAOoF,KAAKo/C,IAAIlmC,KAI1DmsC,EAAmBlG,MAAMvkD,IAAM,WAC7B,OAAOoF,KAAK+iD,OAAO,GAAG5D,OAKxBkG,EAAmBjG,IAAIxkD,IAAM,WAC3B,OAAOoF,KAAK+iD,OAAO,GAAG3D,KAKxBiG,EAAmBlwB,MAAMv6B,IAAM,WAE7B,IADA,IAAImoD,EAAS/iD,KAAK+iD,OACT9qD,EAAI,EAAGA,EAAI8qD,EAAO5qD,OAAQF,IAC/B,GAAI8qD,EAAO9qD,GAAGknD,MAAMjmC,KAAO6pC,EAAO9qD,GAAGmnD,IAAIlmC,IAAO,OAAO,EAC3D,OAAO,GAYTisC,EAAU5tD,UAAUuP,QAAU,WAC5B,OAAO9G,KAAKm/C,MAAMhB,KAAK,GAAGl7C,MAAMjD,KAAKmrB,KAAMnrB,KAAK+2B,IAAI,IAMtDouB,EAAU5tD,UAAUgD,QAAU,SAAkByjD,EAAIl3C,QAC/B,IAAZA,IAAqBA,EAAU,QAAMquB,OAM5C,IADA,IAAImwB,EAAWx+C,EAAQA,QAAQu3C,UAAWkH,EAAa,KAC9CttD,EAAI,EAAGA,EAAI6O,EAAQ0+C,QAASvtD,IACnCstD,EAAaD,EACbA,EAAWA,EAASjH,UAItB,IADA,IAAIoH,EAAUzH,EAAG0H,MAAMvtD,OAAQ4qD,EAAS/iD,KAAK+iD,OACpCa,EAAM,EAAGA,EAAMb,EAAO5qD,OAAQyrD,IAAO,CAC5C,IAAI1E,EAAM6D,EAAOa,GACXzE,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACV0B,EAAU9C,EAAG8C,QAAQ79C,MAAMwiD,GACjCzH,EAAG2H,aAAa7E,EAAQjxC,IAAIsvC,EAAMjmC,KAAM4nC,EAAQjxC,IAAIuvC,EAAIlmC,KAAM0qC,EAAM,QAAMzuB,MAAQruB,GACvE,GAAP88C,GACAgC,EAAwB5H,EAAIyH,GAAUH,EAAWA,EAASO,SAAWN,GAAcA,EAAWjH,cAAgB,EAAI,KAO1H6G,EAAU5tD,UAAU4oD,YAAc,SAAsBnC,EAAIG,GAE1D,IADA,IAAIsH,EAAUzH,EAAG0H,MAAMvtD,OAAQ4qD,EAAS/iD,KAAK+iD,OACpC9qD,EAAI,EAAGA,EAAI8qD,EAAO5qD,OAAQF,IAAK,CACtC,IAAIinD,EAAM6D,EAAO9qD,GACXknD,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACV0B,EAAU9C,EAAG8C,QAAQ79C,MAAMwiD,GAC7Bt6B,EAAO21B,EAAQjxC,IAAIsvC,EAAMjmC,KAAM6d,EAAK+pB,EAAQjxC,IAAIuvC,EAAIlmC,KACpDjhB,EACF+lD,EAAGwG,YAAYr5B,EAAM4L,IAErBinB,EAAG8H,iBAAiB36B,EAAM4L,EAAIonB,GAC9ByH,EAAwB5H,EAAIyH,EAAStH,EAAK0H,UAAY,EAAI,MAiBhEV,EAAUhD,SAAW,SAAmB3D,EAAM/uC,EAAKs2C,GACjD,IAAIC,EAAQxH,EAAKr8C,OAAO+gD,cAAgB,IAAI+C,EAAczH,GACpD0H,EAAgB1H,EAAKL,KAAK,GAAIK,EAAKr8C,OAAQq8C,EAAKtlC,IAAKslC,EAAK/xC,QAASgD,EAAKs2C,GAC9E,GAAIC,EAAS,OAAOA,EAEpB,IAAK,IAAIrH,EAAQH,EAAKG,MAAQ,EAAGA,GAAS,EAAGA,IAAS,CACpD,IAAIwH,EAAQ12C,EAAM,EACZy2C,EAAgB1H,EAAKL,KAAK,GAAIK,EAAKL,KAAKQ,GAAQH,EAAKK,OAAOF,EAAQ,GAAIH,EAAK/xC,MAAMkyC,GAAQlvC,EAAKs2C,GAChGG,EAAgB1H,EAAKL,KAAK,GAAIK,EAAKL,KAAKQ,GAAQH,EAAKQ,MAAML,EAAQ,GAAIH,EAAK/xC,MAAMkyC,GAAS,EAAGlvC,EAAKs2C,GACzG,GAAII,EAAS,OAAOA,IAQxBhB,EAAU7E,KAAO,SAAe9B,EAAM4H,GAGpC,YAFgB,IAATA,IAAkBA,EAAO,GAEzBpmD,KAAKmiD,SAAS3D,EAAM4H,IAASpmD,KAAKmiD,SAAS3D,GAAO4H,IAAS,IAAIC,EAAa7H,EAAKL,KAAK,KAQ/FgH,EAAUmB,QAAU,SAAkB1H,GACpC,OAAOsH,EAAgBtH,EAAKA,EAAK,EAAG,EAAG,IAAM,IAAIyH,EAAazH,IAMhEuG,EAAUzE,MAAQ,SAAgB9B,GAChC,OAAOsH,EAAgBtH,EAAKA,EAAKA,EAAI93C,QAAQyS,KAAMqlC,EAAIG,YAAa,IAAM,IAAIsH,EAAazH,IAM7FuG,EAAUoB,SAAW,SAAmB3H,EAAK4H,GAC3C,IAAKA,IAASA,EAAK3nD,KAAQ,MAAM,IAAI4nD,WAAW,wCAChD,IAAIC,EAAMxB,EAAYsB,EAAK3nD,MAC3B,IAAK6nD,EAAO,MAAM,IAAID,WAAY,qBAAwBD,EAAS,KAAI,YACvE,OAAOE,EAAIH,SAAS3H,EAAK4H,IAQ3BrB,EAAUwB,OAAS,SAAiBh+C,EAAIi+C,GACtC,GAAIj+C,KAAMu8C,EAAe,MAAM,IAAIuB,WAAW,sCAAwC99C,GAGtF,OAFAu8C,EAAYv8C,GAAMi+C,EAClBA,EAAervD,UAAUovD,OAASh+C,EAC3Bi+C,GAWTzB,EAAU5tD,UAAUsvD,YAAc,WAChC,OAAOZ,EAAca,QAAQ9mD,KAAK6/C,QAAS7/C,KAAK4/C,OAAOiH,eAGzDvvD,OAAOiP,iBAAkB4+C,EAAU5tD,UAAW8tD,GAM9CF,EAAU5tD,UAAUwvD,SAAU,EAiB9B,IAAI3B,EAAiB,SAAwBjG,EAAOC,GAGlDp/C,KAAKm/C,MAAQA,EAGbn/C,KAAKo/C,IAAMA,GAOT6G,EAA8B,SAAUd,GAC1C,SAASc,EAAcpG,EAASD,QACf,IAAVA,IAAmBA,EAAQC,GAEhCsF,EAAUztD,KAAKsI,KAAM6/C,EAASD,GAG3BuF,IAAYc,EAAcziC,UAAY2hC,GAC3Cc,EAAc1uD,UAAYD,OAAO4N,OAAQigD,GAAaA,EAAU5tD,WAChE0uD,EAAc1uD,UAAUiB,YAAcytD,EAEtC,IAAIe,EAAuB,CAAElE,QAAS,CAAEp+C,cAAc,IA+EtD,OA1EAsiD,EAAqBlE,QAAQloD,IAAM,WAAc,OAAOoF,KAAK6/C,QAAQ3mC,KAAOlZ,KAAK4/C,MAAM1mC,IAAMlZ,KAAK4/C,MAAQ,MAE1GqG,EAAc1uD,UAAUsY,IAAM,SAAc+uC,EAAKkC,GAC/C,IAAIlB,EAAQhB,EAAIviC,QAAQykC,EAAQjxC,IAAI7P,KAAK4R,OACzC,IAAKguC,EAAMz9C,OAAO+gD,cAAiB,OAAOiC,EAAU7E,KAAKV,GACzD,IAAIC,EAAUjB,EAAIviC,QAAQykC,EAAQjxC,IAAI7P,KAAKmxC,SAC3C,OAAO,IAAI8U,EAAcpG,EAAQ19C,OAAO+gD,cAAgBrD,EAAUD,EAAOA,IAG3EqG,EAAc1uD,UAAUgD,QAAU,SAAkByjD,EAAIl3C,GAItD,QAHiB,IAAZA,IAAqBA,EAAU,QAAMquB,OAE1CgwB,EAAU5tD,UAAUgD,QAAQ7C,KAAKsI,KAAMg+C,EAAIl3C,GACvCA,GAAW,QAAMquB,MAAO,CAC1B,IAAIouB,EAAQvjD,KAAKm/C,MAAM8H,YAAYjnD,KAAKo/C,KACpCmE,GAASvF,EAAGkJ,YAAY3D,KAIhC0C,EAAc1uD,UAAU4vD,GAAK,SAAaxkC,GACxC,OAAOA,aAAiBsjC,GAAiBtjC,EAAMwuB,QAAUnxC,KAAKmxC,QAAUxuB,EAAM/Q,MAAQ5R,KAAK4R,MAG7Fq0C,EAAc1uD,UAAUsvD,YAAc,WACpC,OAAO,IAAIO,EAAapnD,KAAKmxC,OAAQnxC,KAAK4R,OAG5Cq0C,EAAc1uD,UAAU82B,OAAS,WAC/B,MAAO,CAACxvB,KAAM,OAAQsyC,OAAQnxC,KAAKmxC,OAAQv/B,KAAM5R,KAAK4R,OAGxDq0C,EAAcM,SAAW,SAAmB3H,EAAK4H,GAC/C,GAA0B,iBAAfA,EAAKrV,QAA0C,iBAAbqV,EAAK50C,KAC9C,MAAM,IAAI60C,WAAW,4CACzB,OAAO,IAAIR,EAAcrH,EAAIviC,QAAQmqC,EAAKrV,QAASyN,EAAIviC,QAAQmqC,EAAK50C,QAKtEq0C,EAAc/gD,OAAS,SAAiB05C,EAAKzN,EAAQv/B,QACrC,IAATA,IAAkBA,EAAOu/B,GAE9B,IAAI0O,EAAUjB,EAAIviC,QAAQ80B,GAC1B,OAAO,IAAInxC,KAAK6/C,EAASjuC,GAAQu/B,EAAS0O,EAAUjB,EAAIviC,QAAQzK,KAUlEq0C,EAAca,QAAU,SAAkBjH,EAASD,EAAOwG,GACxD,IAAIiB,EAAOxH,EAAQ3mC,IAAM0mC,EAAM1mC,IAE/B,GADKktC,IAAQiB,IAAQjB,EAAOiB,GAAQ,EAAI,GAAK,IACxCzH,EAAMz9C,OAAO+gD,cAAe,CAC/B,IAAIiD,EAAQhB,EAAUhD,SAASvC,EAAOwG,GAAM,IAASjB,EAAUhD,SAASvC,GAAQwG,GAAM,GACtF,IAAID,EACG,OAAOhB,EAAU7E,KAAKV,EAAOwG,GADvBxG,EAAQuG,EAAMvG,MAW7B,OARKC,EAAQ19C,OAAO+gD,gBACN,GAARmE,IAGFxH,GAAWsF,EAAUhD,SAAStC,GAAUuG,GAAM,IAASjB,EAAUhD,SAAStC,EAASuG,GAAM,IAAOvG,SACnF3mC,IAAM0mC,EAAM1mC,KAASmuC,EAAO,KAHzCxH,EAAUD,GAMP,IAAIqG,EAAcpG,EAASD,IAGpCtoD,OAAOiP,iBAAkB0/C,EAAc1uD,UAAWyvD,GAE3Cf,EA1FwB,CA2F/Bd,GAEFA,EAAUwB,OAAO,OAAQV,GAEzB,IAAImB,EAAe,SAAsBjW,EAAQv/B,GAC/C5R,KAAKmxC,OAASA,EACdnxC,KAAK4R,KAAOA,GAEdw1C,EAAa7vD,UAAUsY,IAAM,SAAcixC,GACzC,OAAO,IAAIsG,EAAatG,EAAQjxC,IAAI7P,KAAKmxC,QAAS2P,EAAQjxC,IAAI7P,KAAK4R,QAErEw1C,EAAa7vD,UAAU8kB,QAAU,SAAkBuiC,GACjD,OAAOqH,EAAca,QAAQlI,EAAIviC,QAAQrc,KAAKmxC,QAASyN,EAAIviC,QAAQrc,KAAK4R,QAQ1E,IAAI01C,EAA8B,SAAUnC,GAC1C,SAASmC,EAAc9I,GACrB,IAAIL,EAAOK,EAAK6C,UACZkG,EAAO/I,EAAKL,KAAK,GAAG9hC,QAAQmiC,EAAKtlC,IAAMilC,EAAKqD,UAChD2D,EAAUztD,KAAKsI,KAAMw+C,EAAM+I,GAE3BvnD,KAAKm+C,KAAOA,EAiDd,OA9CKgH,IAAYmC,EAAc9jC,UAAY2hC,GAC3CmC,EAAc/vD,UAAYD,OAAO4N,OAAQigD,GAAaA,EAAU5tD,WAChE+vD,EAAc/vD,UAAUiB,YAAc8uD,EAEtCA,EAAc/vD,UAAUsY,IAAM,SAAc+uC,EAAKkC,GAC/C,IAAI5B,EAAM4B,EAAQ0G,UAAUxnD,KAAKmxC,QAC7BsW,EAAUvI,EAAIuI,QACdvuC,EAAMgmC,EAAIhmC,IACVslC,EAAOI,EAAIviC,QAAQnD,GACvB,OAAIuuC,EAAkBtC,EAAU7E,KAAK9B,GAC9B,IAAI8I,EAAc9I,IAG3B8I,EAAc/vD,UAAUuP,QAAU,WAChC,OAAO,IAAI,QAAM,WAASqkB,KAAKnrB,KAAKm+C,MAAO,EAAG,IAGhDmJ,EAAc/vD,UAAU4vD,GAAK,SAAaxkC,GACxC,OAAOA,aAAiB2kC,GAAiB3kC,EAAMwuB,QAAUnxC,KAAKmxC,QAGhEmW,EAAc/vD,UAAU82B,OAAS,WAC/B,MAAO,CAACxvB,KAAM,OAAQsyC,OAAQnxC,KAAKmxC,SAGrCmW,EAAc/vD,UAAUsvD,YAAc,WAA0B,OAAO,IAAIa,EAAa1nD,KAAKmxC,SAE7FmW,EAAcf,SAAW,SAAmB3H,EAAK4H,GAC/C,GAA0B,iBAAfA,EAAKrV,OACZ,MAAM,IAAIsV,WAAW,4CACzB,OAAO,IAAIa,EAAc1I,EAAIviC,QAAQmqC,EAAKrV,UAK5CmW,EAAcpiD,OAAS,SAAiB05C,EAAKzzB,GAC3C,OAAO,IAAInrB,KAAK4+C,EAAIviC,QAAQ8O,KAM9Bm8B,EAAc/C,aAAe,SAAuBpG,GAClD,OAAQA,EAAKwJ,SAAwC,IAA9BxJ,EAAKt/C,KAAK4/C,KAAKmJ,YAGjCN,EAvDwB,CAwD/BnC,GAEFmC,EAAc/vD,UAAUwvD,SAAU,EAElC5B,EAAUwB,OAAO,OAAQW,GAEzB,IAAII,EAAe,SAAsBvW,GACvCnxC,KAAKmxC,OAASA,GAEhBuW,EAAanwD,UAAUsY,IAAM,SAAcixC,GACzC,IAAI5B,EAAM4B,EAAQ0G,UAAUxnD,KAAKmxC,QAC3BsW,EAAUvI,EAAIuI,QACdvuC,EAAMgmC,EAAIhmC,IAChB,OAAOuuC,EAAU,IAAIL,EAAaluC,EAAKA,GAAO,IAAIwuC,EAAaxuC,IAEjEwuC,EAAanwD,UAAU8kB,QAAU,SAAkBuiC,GACjD,IAAIJ,EAAOI,EAAIviC,QAAQrc,KAAKmxC,QAASgN,EAAOK,EAAK6C,UACjD,OAAIlD,GAAQmJ,EAAc/C,aAAapG,GAAgB,IAAImJ,EAAc9I,GAClE2G,EAAU7E,KAAK9B,IAOxB,IAAI6H,EAA6B,SAAUlB,GACzC,SAASkB,EAAazH,GACpBuG,EAAUztD,KAAKsI,KAAM4+C,EAAIviC,QAAQ,GAAIuiC,EAAIviC,QAAQuiC,EAAI93C,QAAQyS,OA6B/D,OA1BK4rC,IAAYkB,EAAa7iC,UAAY2hC,GAC1CkB,EAAa9uD,UAAYD,OAAO4N,OAAQigD,GAAaA,EAAU5tD,WAC/D8uD,EAAa9uD,UAAUiB,YAAc6tD,EAErCA,EAAa9uD,UAAUgD,QAAU,SAAkByjD,EAAIl3C,GAGrD,QAFiB,IAAZA,IAAqBA,EAAU,QAAMquB,OAEtCruB,GAAW,QAAMquB,MAAO,CAC1B6oB,EAAGuD,OAAO,EAAGvD,EAAGY,IAAI93C,QAAQyS,MAC5B,IAAIsuC,EAAM1C,EAAUmB,QAAQtI,EAAGY,KAC1BiJ,EAAIV,GAAGnJ,EAAGD,YAAcC,EAAGqC,aAAawH,QAE7C1C,EAAU5tD,UAAUgD,QAAQ7C,KAAKsI,KAAMg+C,EAAIl3C,IAI/Cu/C,EAAa9uD,UAAU82B,OAAS,WAAqB,MAAO,CAACxvB,KAAM,QAEnEwnD,EAAaE,SAAW,SAAmB3H,GAAO,OAAO,IAAIyH,EAAazH,IAE1EyH,EAAa9uD,UAAUsY,IAAM,SAAc+uC,GAAO,OAAO,IAAIyH,EAAazH,IAE1EyH,EAAa9uD,UAAU4vD,GAAK,SAAaxkC,GAAS,OAAOA,aAAiB0jC,GAE1EA,EAAa9uD,UAAUsvD,YAAc,WAA0B,OAAOiB,GAE/DzB,EA/BuB,CAgC9BlB,GAEFA,EAAUwB,OAAO,MAAON,GAExB,IAAIyB,EAAc,CAChBj4C,IAAK,WAAiB,OAAO7P,MAC7Bqc,QAAS,SAAiBuiC,GAAO,OAAO,IAAIyH,EAAazH,KAQ3D,SAASsH,EAAgBtH,EAAKT,EAAMjlC,EAAKzM,EAAOgD,EAAKrR,GACnD,GAAI+/C,EAAK+E,cAAiB,OAAO+C,EAAc/gD,OAAO05C,EAAK1lC,GAC3D,IAAK,IAAIjhB,EAAIwU,GAASgD,EAAM,EAAI,EAAI,GAAIA,EAAM,EAAIxX,EAAIkmD,EAAKY,WAAa9mD,GAAK,EAAGA,GAAKwX,EAAK,CACxF,IAAIs4C,EAAQ5J,EAAK4J,MAAM9vD,GACvB,GAAK8vD,EAAMtD,QAGJ,IAAKrmD,GAAQkpD,EAAc/C,aAAawD,GAC7C,OAAOT,EAAcpiD,OAAO05C,EAAK1lC,GAAOzJ,EAAM,EAAIs4C,EAAMvG,SAAW,QAJlD,CACjB,IAAIwE,EAAQE,EAAgBtH,EAAKmJ,EAAO7uC,EAAMzJ,EAAKA,EAAM,EAAIs4C,EAAMhJ,WAAa,EAAGtvC,EAAKrR,GACxF,GAAI4nD,EAAS,OAAOA,EAItB9sC,GAAO6uC,EAAMvG,SAAW/xC,GAI5B,SAASm2C,EAAwB5H,EAAIgK,EAAU5B,GAC7C,IAAI1yB,EAAOsqB,EAAG0H,MAAMvtD,OAAS,EAC7B,KAAIu7B,EAAOs0B,GAAX,CACA,IAEiClG,EAF7BppC,EAAOslC,EAAG0H,MAAMhyB,GACpB,GAAMhb,aAAgB,KAAeA,aAAgB,IAC3CslC,EAAG8C,QAAQmH,KAAKv0B,GACtB57B,SAAQ,SAAUowD,EAAOC,EAAKC,EAAUC,GAAoB,MAAPvG,IAAeA,EAAMuG,MAC9ErK,EAAGqC,aAAa8E,EAAU7E,KAAKtC,EAAGY,IAAIviC,QAAQylC,GAAMsE,KAGtD,IAmBIkC,EAA4B,SAAUC,GACxC,SAASD,EAAYtkD,GACnBukD,EAAU7wD,KAAKsI,KAAMgE,EAAM46C,KAI3B5+C,KAAK6yC,KAAO3iB,KAAKG,MACjBrwB,KAAKwoD,aAAexkD,EAAM+5C,UAE1B/9C,KAAKyoD,gBAAkB,EAGvBzoD,KAAKsjD,YAAct/C,EAAMs/C,YAGzBtjD,KAAK0oD,QAAU,EAEf1oD,KAAK+jB,KAAOzsB,OAAO4N,OAAO,MAGvBqjD,IAAYD,EAAY9kC,UAAY+kC,GACzCD,EAAY/wD,UAAYD,OAAO4N,OAAQqjD,GAAaA,EAAUhxD,WAC9D+wD,EAAY/wD,UAAUiB,YAAc8vD,EAEpC,IAAIjD,EAAqB,CAAEtH,UAAW,CAAEr5C,cAAc,GAAOikD,aAAc,CAAEjkD,cAAc,GAAOkkD,eAAgB,CAAElkD,cAAc,GAAOmkD,UAAW,CAAEnkD,cAAc,GAAOokD,iBAAkB,CAAEpkD,cAAc,IAwK7M,OAjKA2gD,EAAmBtH,UAAUnjD,IAAM,WAKjC,OAJIoF,KAAKyoD,gBAAkBzoD,KAAK0lD,MAAMvtD,SACpC6H,KAAKwoD,aAAexoD,KAAKwoD,aAAa34C,IAAI7P,KAAK4+C,IAAK5+C,KAAK8gD,QAAQ79C,MAAMjD,KAAKyoD,kBAC5EzoD,KAAKyoD,gBAAkBzoD,KAAK0lD,MAAMvtD,QAE7B6H,KAAKwoD,cAMdF,EAAY/wD,UAAU8oD,aAAe,SAAuBtC,GAC1D,GAAIA,EAAUoB,MAAMP,KAAO5+C,KAAK4+C,IAC5B,MAAM,IAAI6H,WAAW,uEAKzB,OAJAzmD,KAAKwoD,aAAezK,EACpB/9C,KAAKyoD,gBAAkBzoD,KAAK0lD,MAAMvtD,OAClC6H,KAAK0oD,SAAyC,GAlEhC,EAkEE1oD,KAAK0oD,SACrB1oD,KAAKsjD,YAAc,KACZtjD,MAKTqlD,EAAmBsD,aAAa/tD,IAAM,WACpC,OA1Ec,EA0ENoF,KAAK0oD,SAAyB,GAKxCJ,EAAY/wD,UAAUwxD,eAAiB,SAAyBxF,GAG9D,OAFAvjD,KAAKsjD,YAAcC,EACnBvjD,KAAK0oD,SAjF4B,EAkF1B1oD,MAOTsoD,EAAY/wD,UAAU2vD,YAAc,SAAsB3D,GAGxD,OAFK,OAAKyF,QAAQhpD,KAAKsjD,aAAetjD,KAAK+9C,UAAUoB,MAAMoE,QAASA,IAChEvjD,KAAK+oD,eAAexF,GACjBvjD,MAKTsoD,EAAY/wD,UAAUksD,cAAgB,SAAwBwF,GAC5D,OAAOjpD,KAAKknD,YAAY+B,EAAKC,SAASlpD,KAAKsjD,aAAetjD,KAAK+9C,UAAU6B,MAAM2D,WAKjF+E,EAAY/wD,UAAUisD,iBAAmB,SAA2ByF,GAClE,OAAOjpD,KAAKknD,YAAY+B,EAAKE,cAAcnpD,KAAKsjD,aAAetjD,KAAK+9C,UAAU6B,MAAM2D,WAKtF8B,EAAmBuD,eAAehuD,IAAM,WACtC,OA9GiC,EA8GzBoF,KAAK0oD,SAA2B,GAG1CJ,EAAY/wD,UAAU6xD,QAAU,SAAkB1wC,EAAMkmC,GACtD2J,EAAUhxD,UAAU6xD,QAAQ1xD,KAAKsI,KAAM0Y,EAAMkmC,GAC7C5+C,KAAK0oD,SAAyB,EAAf1oD,KAAK0oD,QACpB1oD,KAAKsjD,YAAc,MAKrBgF,EAAY/wD,UAAUk4C,QAAU,SAAkBoD,GAEhD,OADA7yC,KAAK6yC,KAAOA,EACL7yC,MAKTsoD,EAAY/wD,UAAU8xD,iBAAmB,SAA2BpmD,GAElE,OADAjD,KAAK+9C,UAAUxjD,QAAQyF,KAAMiD,GACtBjD,MAOTsoD,EAAY/wD,UAAU+xD,qBAAuB,SAA+BnL,EAAMoL,GAChF,IAAIxL,EAAY/9C,KAAK+9C,UAIrB,OAHqB,IAAjBwL,IACApL,EAAOA,EAAK8K,KAAKjpD,KAAKsjD,cAAgBvF,EAAU5oB,MAAQ4oB,EAAUoB,MAAMoE,QAAWxF,EAAUoB,MAAM8H,YAAYlJ,EAAUqB,MAAQ,OAAKoK,QAC1IzL,EAAUoC,YAAYngD,KAAMm+C,GACrBn+C,MAKTsoD,EAAY/wD,UAAUsmD,gBAAkB,WAEtC,OADA79C,KAAK+9C,UAAUxjD,QAAQyF,MAChBA,MAMTsoD,EAAY/wD,UAAUqtD,WAAa,SAAqBxmD,EAAM+sB,EAAM4L,QACtD,IAAPA,IAAgBA,EAAK5L,GAE1B,IAAIs+B,EAASzpD,KAAK4+C,IAAI//C,KAAK4qD,OAC3B,GAAY,MAARt+B,EACF,OAAK/sB,EACE4B,KAAKspD,qBAAqBG,EAAOrrD,KAAKA,IAAO,GADhC4B,KAAK69C,kBAGzB,IAAKz/C,EAAQ,OAAO4B,KAAKwkD,YAAYr5B,EAAM4L,GAC3C,IAAIwsB,EAAQvjD,KAAKsjD,YACjB,IAAKC,EAAO,CACV,IAAIpE,EAAQn/C,KAAK4+C,IAAIviC,QAAQ8O,GAC7Bo4B,EAAQxsB,GAAM5L,EAAOg0B,EAAMoE,QAAUpE,EAAM8H,YAAYjnD,KAAK4+C,IAAIviC,QAAQ0a,IAI1E,OAFA/2B,KAAK8lD,iBAAiB36B,EAAM4L,EAAI0yB,EAAOrrD,KAAKA,EAAMmlD,IAC7CvjD,KAAK+9C,UAAU5oB,OAASn1B,KAAKqgD,aAAa8E,EAAU7E,KAAKtgD,KAAK+9C,UAAUqB,MACtEp/C,MAOXsoD,EAAY/wD,UAAUmyD,QAAU,SAAkBtxD,EAAKkD,GAErD,OADA0E,KAAK+jB,KAAmB,iBAAP3rB,EAAkBA,EAAMA,EAAIA,KAAOkD,EAC7C0E,MAKTsoD,EAAY/wD,UAAUoyD,QAAU,SAAkBvxD,GAChD,OAAO4H,KAAK+jB,KAAmB,iBAAP3rB,EAAkBA,EAAMA,EAAIA,MAMtDitD,EAAmBwD,UAAUjuD,IAAM,WACjC,IAAK,IAAIgvD,KAAK5pD,KAAK+jB,KAAQ,OAAO,EAClC,OAAO,GAMTukC,EAAY/wD,UAAU0mD,eAAiB,WAErC,OADAj+C,KAAK0oD,SAzMgD,EA0M9C1oD,MAGTqlD,EAAmByD,iBAAiBluD,IAAM,WACxC,OA9MqD,EA8M7CoF,KAAK0oD,SAA4B,GAG3CpxD,OAAOiP,iBAAkB+hD,EAAY/wD,UAAW8tD,GAEzCiD,EAhMsB,CAiM7B,KAEF,SAASlxD,EAAK8D,EAAGhE,GACf,OAAQA,GAASgE,EAAQA,EAAE9D,KAAKF,GAAXgE,EAGvB,IAAI2uD,EAAY,SAAmBjzD,EAAMkzD,EAAM5yD,GAC7C8I,KAAKpJ,KAAOA,EACZoJ,KAAKiyB,KAAO76B,EAAK0yD,EAAK73B,KAAM/6B,GAC5B8I,KAAK+F,MAAQ3O,EAAK0yD,EAAK/jD,MAAO7O,IAG5B6yD,EAAa,CACf,IAAIF,EAAU,MAAO,CACnB53B,KAAM,SAAcvzB,GAAU,OAAOA,EAAOkgD,KAAOlgD,EAAO+qD,OAAOO,YAAY5J,iBAC7Er6C,MAAO,SAAei4C,GAAM,OAAOA,EAAGY,OAGxC,IAAIiL,EAAU,YAAa,CACzB53B,KAAM,SAAcvzB,EAAQkuB,GAAY,OAAOluB,EAAOq/C,WAAaoH,EAAUmB,QAAQ15B,EAASgyB,MAC9F74C,MAAO,SAAei4C,GAAM,OAAOA,EAAGD,aAGxC,IAAI8L,EAAU,cAAe,CAC3B53B,KAAM,SAAcvzB,GAAU,OAAOA,EAAO4kD,aAAe,MAC3Dv9C,MAAO,SAAei4C,EAAIiM,EAAQC,EAAMlmD,GAAS,OAAOA,EAAM+5C,UAAU+E,QAAU9E,EAAGsF,YAAc,QAGrG,IAAIuG,EAAU,oBAAqB,CACjC53B,KAAM,WAAkB,OAAO,GAC/BlsB,MAAO,SAAei4C,EAAIhqB,GAAQ,OAAOgqB,EAAG8K,iBAAmB90B,EAAO,EAAIA,MAM1Em2B,EAAgB,SAAuBV,EAAQW,GACjD,IAAIC,EAASrqD,KAEbA,KAAKypD,OAASA,EACdzpD,KAAKsqD,OAASP,EAAW/mD,SACzBhD,KAAKoqD,QAAU,GACfpqD,KAAKuqD,aAAejzD,OAAO4N,OAAO,MAC9BklD,GAAWA,EAAQtyD,SAAQ,SAAU0yD,GACvC,GAAIH,EAAOE,aAAaC,EAAOpyD,KAC3B,MAAM,IAAIquD,WAAW,iDAAmD+D,EAAOpyD,IAAM,KACzFiyD,EAAOD,QAAQ77C,KAAKi8C,GACpBH,EAAOE,aAAaC,EAAOpyD,KAAOoyD,EAC9BA,EAAO/L,KAAKz6C,OACZqmD,EAAOC,OAAO/7C,KAAK,IAAIs7C,EAAUW,EAAOpyD,IAAKoyD,EAAO/L,KAAKz6C,MAAOwmD,QAWpEC,EAAc,SAAqB/rD,GACrCsB,KAAKtB,OAASA,GAGZsoD,EAAuB,CAAEyC,OAAQ,CAAE/kD,cAAc,GAAO0lD,QAAS,CAAE1lD,cAAc,GAAOs5C,GAAI,CAAEt5C,cAAc,IAchHsiD,EAAqByC,OAAO7uD,IAAM,WAChC,OAAOoF,KAAKtB,OAAO+qD,QAKrBzC,EAAqBoD,QAAQxvD,IAAM,WACjC,OAAOoF,KAAKtB,OAAO0rD,SAKrBK,EAAYlzD,UAAUwO,MAAQ,SAAgBi4C,GAC5C,OAAOh+C,KAAK0qD,iBAAiB1M,GAAIh6C,OAInCymD,EAAYlzD,UAAUozD,kBAAoB,SAA4B3M,EAAI4M,QACtD,IAAXA,IAAoBA,GAAU,GAErC,IAAK,IAAI3yD,EAAI,EAAGA,EAAI+H,KAAKtB,OAAO0rD,QAAQjyD,OAAQF,IAAO,GAAIA,GAAK2yD,EAAQ,CACtE,IAAIJ,EAASxqD,KAAKtB,OAAO0rD,QAAQnyD,GACjC,GAAIuyD,EAAO/L,KAAKkM,oBAAsBH,EAAO/L,KAAKkM,kBAAkBjzD,KAAK8yD,EAAQxM,EAAIh+C,MACjF,OAAO,EAEb,OAAO,GASTyqD,EAAYlzD,UAAUmzD,iBAAmB,SAA2BG,GAClE,IAAK7qD,KAAK2qD,kBAAkBE,GAAW,MAAO,CAAC7mD,MAAOhE,KAAM8qD,aAAc,IAMzE,IAJD,IAAIC,EAAM,CAACF,GAASG,EAAWhrD,KAAKirD,WAAWJ,GAASK,EAAO,OAIrD,CAER,IADA,IAAIC,GAAU,EACLlzD,EAAI,EAAGA,EAAI+H,KAAKtB,OAAO0rD,QAAQjyD,OAAQF,IAAK,CACnD,IAAIuyD,EAASxqD,KAAKtB,OAAO0rD,QAAQnyD,GACjC,GAAIuyD,EAAO/L,KAAK2M,kBAAmB,CACjC,IAAIv0C,EAAIq0C,EAAOA,EAAKjzD,GAAG4e,EAAI,EAAGw0C,EAAWH,EAAOA,EAAKjzD,GAAG+L,MAAQhE,KAC5Dg+C,EAAKnnC,EAAIk0C,EAAI5yD,QACbqyD,EAAO/L,KAAK2M,kBAAkB1zD,KAAK8yD,EAAQ3zC,EAAIk0C,EAAI9nD,MAAM4T,GAAKk0C,EAAKM,EAAUL,GACjF,GAAIhN,GAAMgN,EAASL,kBAAkB3M,EAAI/lD,GAAI,CAE3C,GADA+lD,EAAG0L,QAAQ,sBAAuBmB,IAC7BK,EAAM,CACTA,EAAO,GACP,IAAK,IAAIt4C,EAAI,EAAGA,EAAI5S,KAAKtB,OAAO0rD,QAAQjyD,OAAQya,IAC5Cs4C,EAAK38C,KAAKqE,EAAI3a,EAAI,CAAC+L,MAAOgnD,EAAUn0C,EAAGk0C,EAAI5yD,QAAU,CAAC6L,MAAOhE,KAAM6W,EAAG,IAE5Ek0C,EAAIx8C,KAAKyvC,GACTgN,EAAWA,EAASC,WAAWjN,GAC/BmN,GAAU,EAERD,IAAQA,EAAKjzD,GAAK,CAAC+L,MAAOgnD,EAAUn0C,EAAGk0C,EAAI5yD,UAGnD,IAAKgzD,EAAW,MAAO,CAACnnD,MAAOgnD,EAAUF,aAAcC,KAK3DN,EAAYlzD,UAAU0zD,WAAa,SAAqBjN,GACtD,IAAKA,EAAGa,OAAOsI,GAAGnnD,KAAK4+C,KAAQ,MAAM,IAAI6H,WAAW,qCAEpD,IADA,IAAI6E,EAAc,IAAIb,EAAYzqD,KAAKtB,QAAS4rD,EAAStqD,KAAKtB,OAAO4rD,OAC5DryD,EAAI,EAAGA,EAAIqyD,EAAOnyD,OAAQF,IAAK,CACtC,IAAI0+C,EAAQ2T,EAAOryD,GACnBqzD,EAAY3U,EAAM//C,MAAQ+/C,EAAM5wC,MAAMi4C,EAAIh+C,KAAK22C,EAAM//C,MAAOoJ,KAAMsrD,GAEpE,IAAK,IAAI1H,EAAM,EAAGA,EAAM2H,EAAepzD,OAAQyrD,IAAS2H,EAAe3H,GAAK5jD,KAAMg+C,EAAIsN,GACtF,OAAOA,GAKTtE,EAAqBhJ,GAAGpjD,IAAM,WAAc,OAAO,IAAI0tD,EAAYtoD,OAqBnEyqD,EAAYvlD,OAAS,SAAiBxG,GAGpC,IAFA,IAAI8sD,EAAU,IAAIrB,EAAczrD,EAAO+qD,QAAU/qD,EAAOkgD,IAAI//C,KAAK4qD,OAAQ/qD,EAAO0rD,SAC5Ex9B,EAAW,IAAI69B,EAAYe,GACtBvzD,EAAI,EAAGA,EAAIuzD,EAAQlB,OAAOnyD,OAAQF,IACvC20B,EAAS4+B,EAAQlB,OAAOryD,GAAGrB,MAAQ40D,EAAQlB,OAAOryD,GAAGg6B,KAAKvzB,EAAQkuB,GACtE,OAAOA,GAkBT69B,EAAYlzD,UAAUk0D,YAAc,SAAsB/sD,GAGxD,IAFA,IAAI8sD,EAAU,IAAIrB,EAAczrD,EAAO+qD,QAAUzpD,KAAKypD,OAAQ/qD,EAAO0rD,SACjEE,EAASkB,EAAQlB,OAAQ19B,EAAW,IAAI69B,EAAYe,GAC/CvzD,EAAI,EAAGA,EAAIqyD,EAAOnyD,OAAQF,IAAK,CACtC,IAAIrB,EAAO0zD,EAAOryD,GAAGrB,KACrBg2B,EAASh2B,GAAQoJ,KAAK3H,eAAezB,GAAQoJ,KAAKpJ,GAAQ0zD,EAAOryD,GAAGg6B,KAAKvzB,EAAQkuB,GAEnF,OAAOA,GAST69B,EAAYlzD,UAAU82B,OAAS,SAAiBq9B,GAC9C,IAAI7xD,EAAS,CAAC+kD,IAAK5+C,KAAK4+C,IAAIvwB,SAAU0vB,UAAW/9C,KAAK+9C,UAAU1vB,UAEhE,GADIruB,KAAKsjD,cAAezpD,EAAOypD,YAActjD,KAAKsjD,YAAYzzC,KAAI,SAAUmS,GAAK,OAAOA,EAAEqM,aACtFq9B,GAAuC,iBAAhBA,EAA4B,IAAK,IAAIrsC,KAAQqsC,EAAc,CACpF,GAAY,OAARrsC,GAAyB,aAARA,EACjB,MAAM,IAAIonC,WAAW,sDACzB,IAAI+D,EAASkB,EAAarsC,GAAOrb,EAAQwmD,EAAO/L,KAAKz6C,MACjDA,GAASA,EAAMqqB,SAAUx0B,EAAOwlB,GAAQrb,EAAMqqB,OAAO32B,KAAK8yD,EAAQxqD,KAAKwqD,EAAOpyD,OAEpF,OAAOyB,GAiBT4wD,EAAYlE,SAAW,SAAmB7nD,EAAQ8nD,EAAMkF,GACtD,IAAKlF,EAAQ,MAAM,IAAIC,WAAW,0CAClC,IAAK/nD,EAAO+qD,OAAU,MAAM,IAAIhD,WAAW,0CAC3C,IAAI+E,EAAU,IAAIrB,EAAczrD,EAAO+qD,OAAQ/qD,EAAO0rD,SAClDx9B,EAAW,IAAI69B,EAAYe,GAqB/B,OApBAA,EAAQlB,OAAOxyD,SAAQ,SAAU6+C,GAC/B,GAAkB,OAAdA,EAAM//C,KACRg2B,EAASgyB,IAAM,OAAK2H,SAAS7nD,EAAO+qD,OAAQjD,EAAK5H,UAC5C,GAAkB,aAAdjI,EAAM//C,KACfg2B,EAASmxB,UAAYoH,EAAUoB,SAAS35B,EAASgyB,IAAK4H,EAAKzI,gBACtD,GAAkB,eAAdpH,EAAM//C,KACX4vD,EAAKlD,cAAe12B,EAAS02B,YAAckD,EAAKlD,YAAYzzC,IAAInR,EAAO+qD,OAAOkC,mBAC7E,CACL,GAAID,EAAgB,IAAK,IAAIrsC,KAAQqsC,EAAc,CACjD,IAAIlB,EAASkB,EAAarsC,GAAOrb,EAAQwmD,EAAO/L,KAAKz6C,MACrD,GAAIwmD,EAAOpyD,KAAOu+C,EAAM//C,MAAQoN,GAASA,EAAMuiD,UAC3CjvD,OAAOC,UAAUc,eAAeX,KAAK8uD,EAAMnnC,GAG7C,YADAuN,EAAS+pB,EAAM//C,MAAQoN,EAAMuiD,SAAS7uD,KAAK8yD,EAAQ9rD,EAAQ8nD,EAAKnnC,GAAOuN,IAI3EA,EAAS+pB,EAAM//C,MAAQ+/C,EAAM1kB,KAAKvzB,EAAQkuB,OAGvCA,GAST69B,EAAYmB,iBAAmB,SAA2B1wD,GACxDqwD,EAAeh9C,KAAKrT,IAEtBuvD,EAAYoB,oBAAsB,SAA8B3wD,GAC9D,IAAIirD,EAAQoF,EAAe3sD,QAAQ1D,GAC/BirD,GAAS,GAAKoF,EAAeO,OAAO3F,EAAO,IAGjD7uD,OAAOiP,iBAAkBkkD,EAAYlzD,UAAWyvD,GAEhD,IAAIuE,EAAiB,GAiErB,IAAIjmC,EAAS,SAAgBm5B,GAG3Bz+C,KAAKkxB,MAAQ,GACTutB,EAAKvtB,OAjBX,SAAS66B,EAAUh0D,EAAKb,EAAMgF,GAC5B,IAAK,IAAImjB,KAAQtnB,EAAK,CACpB,IAAIN,EAAMM,EAAIsnB,GACV5nB,aAAeN,SAAYM,EAAMA,EAAIL,KAAKF,GAC7B,mBAARmoB,IAA6B5nB,EAAMs0D,EAAUt0D,EAAKP,EAAM,KACjEgF,EAAOmjB,GAAQ5nB,EAEjB,OAAOyE,EAUW6vD,CAAUtN,EAAKvtB,MAAOlxB,KAAMA,KAAKkxB,OAGnDlxB,KAAKy+C,KAAOA,EACZz+C,KAAK5H,IAAMqmD,EAAKrmD,IAAMqmD,EAAKrmD,IAAIA,IAAM4zD,EAAU,WAKjD1mC,EAAO/tB,UAAU00D,SAAW,SAAmBjoD,GAAS,OAAOA,EAAMhE,KAAK5H,MA4B1E,IAAIsQ,EAAOpR,OAAO4N,OAAO,MAEzB,SAAS8mD,EAAUp1D,GACjB,OAAIA,KAAQ8R,EAAe9R,EAAO,OAAQ8R,EAAK9R,IAC/C8R,EAAK9R,GAAQ,EACNA,EAAO,KAOhB,IAAIs1D,EAAY,SAAmBt1D,QACrB,IAATA,IAAkBA,EAAO,OAC7BoJ,KAAK5H,IAAM4zD,EAAUp1D,IAKtBs1D,EAAU30D,UAAUqD,IAAM,SAAcoJ,GAAS,OAAOA,EAAMtF,OAAO6rD,aAAavqD,KAAK5H,MAIvF8zD,EAAU30D,UAAU00D,SAAW,SAAmBjoD,GAAS,OAAOA,EAAMhE,KAAK5H,O,6BC3mC7E,SAAS+zD,EAAWrlD,GAClB9G,KAAK8G,QAAUA,E,miBAGjBqlD,EAAW50D,UAAY,CACrBiB,YAAa2zD,EAEbt0C,KAAM,SAASzf,GACb,IAAK,IAAIH,EAAI,EAAGA,EAAI+H,KAAK8G,QAAQ3O,OAAQF,GAAK,EAC5C,GAAI+H,KAAK8G,QAAQ7O,KAAOG,EAAK,OAAOH,EACtC,OAAQ,GAMV2C,IAAK,SAASxC,GACZ,IAAI+tD,EAAQnmD,KAAK6X,KAAKzf,GACtB,OAAiB,GAAV+tD,OAAcvpD,EAAYoD,KAAK8G,QAAQq/C,EAAQ,IAOxDlzC,OAAQ,SAAS7a,EAAKkD,EAAO8wD,GAC3B,IAAIl1D,EAAOk1D,GAAUA,GAAUh0D,EAAM4H,KAAKkT,OAAOk5C,GAAUpsD,KACvDmmD,EAAQjvD,EAAK2gB,KAAKzf,GAAM0O,EAAU5P,EAAK4P,QAAQ7D,QAOnD,OANc,GAAVkjD,EACFr/C,EAAQyH,KAAK69C,GAAUh0D,EAAKkD,IAE5BwL,EAAQq/C,EAAQ,GAAK7qD,EACjB8wD,IAAQtlD,EAAQq/C,GAASiG,IAExB,IAAID,EAAWrlD,IAKxBoM,OAAQ,SAAS9a,GACf,IAAI+tD,EAAQnmD,KAAK6X,KAAKzf,GACtB,IAAc,GAAV+tD,EAAa,OAAOnmD,KACxB,IAAI8G,EAAU9G,KAAK8G,QAAQ7D,QAE3B,OADA6D,EAAQglD,OAAO3F,EAAO,GACf,IAAIgG,EAAWrlD,IAKxBulD,WAAY,SAASj0D,EAAKkD,GACxB,OAAO,IAAI6wD,EAAW,CAAC/zD,EAAKkD,GAAO0H,OAAOhD,KAAKkT,OAAO9a,GAAK0O,WAK7DwlD,SAAU,SAASl0D,EAAKkD,GACtB,IAAIwL,EAAU9G,KAAKkT,OAAO9a,GAAK0O,QAAQ7D,QAEvC,OADA6D,EAAQyH,KAAKnW,EAAKkD,GACX,IAAI6wD,EAAWrlD,IAMxBylD,UAAW,SAASC,EAAOp0D,EAAKkD,GAC9B,IAAImxD,EAAUzsD,KAAKkT,OAAO9a,GAAM0O,EAAU2lD,EAAQ3lD,QAAQ7D,QACtDkjD,EAAQsG,EAAQ50C,KAAK20C,GAEzB,OADA1lD,EAAQglD,QAAiB,GAAV3F,EAAcr/C,EAAQ3O,OAASguD,EAAO,EAAG/tD,EAAKkD,GACtD,IAAI6wD,EAAWrlD,IAMxBhP,QAAS,SAASoD,GAChB,IAAK,IAAIjD,EAAI,EAAGA,EAAI+H,KAAK8G,QAAQ3O,OAAQF,GAAK,EAC5CiD,EAAE8E,KAAK8G,QAAQ7O,GAAI+H,KAAK8G,QAAQ7O,EAAI,KAMxCy0D,QAAS,SAAS78C,GAEhB,OADAA,EAAMs8C,EAAWhhC,KAAKtb,IACb0J,KACF,IAAI4yC,EAAWt8C,EAAI/I,QAAQ9D,OAAOhD,KAAKgxC,SAASnhC,GAAK/I,UADtC9G,MAOxB2sD,OAAQ,SAAS98C,GAEf,OADAA,EAAMs8C,EAAWhhC,KAAKtb,IACb0J,KACF,IAAI4yC,EAAWnsD,KAAKgxC,SAASnhC,GAAK/I,QAAQ9D,OAAO6M,EAAI/I,UADtC9G,MAOxBgxC,SAAU,SAASnhC,GACjB,IAAIhW,EAASmG,KACb6P,EAAMs8C,EAAWhhC,KAAKtb,GACtB,IAAK,IAAI5X,EAAI,EAAGA,EAAI4X,EAAI/I,QAAQ3O,OAAQF,GAAK,EAC3C4B,EAASA,EAAOqZ,OAAOrD,EAAI/I,QAAQ7O,IACrC,OAAO4B,GAKT,WACE,OAAOmG,KAAK8G,QAAQ3O,QAAU,IAQlCg0D,EAAWhhC,KAAO,SAAS7vB,GACzB,GAAIA,aAAiB6wD,EAAY,OAAO7wD,EACxC,IAAIwL,EAAU,GACd,GAAIxL,EAAO,IAAK,IAAI+jB,KAAQ/jB,EAAOwL,EAAQyH,KAAK8Q,EAAM/jB,EAAM+jB,IAC5D,OAAO,IAAI8sC,EAAWrlD,IAGxB,IAEe,EAFEqlD,ECtEjB,IAAIS,EAAW,SAAkB9lD,EAASyS,GAMxC,GALAvZ,KAAK8G,QAAUA,EAIf9G,KAAKuZ,KAAOA,GAAQ,EACR,MAARA,EAAgB,IAAK,IAAIthB,EAAI,EAAGA,EAAI6O,EAAQ3O,OAAQF,IACpD+H,KAAKuZ,MAAQzS,EAAQ7O,GAAGupD,UAG1B6D,EAAqB,CAAE9wC,WAAY,CAAE7P,cAAc,GAAO25C,UAAW,CAAE35C,cAAc,GAAOq6C,WAAY,CAAEr6C,cAAc,IAM5HkoD,EAASr1D,UAAUmrD,aAAe,SAAuBv3B,EAAM4L,EAAI77B,EAAG2xD,EAAW1qD,QAC1D,IAAd0qD,IAAuBA,EAAY,GAE1C,IAAK,IAAI50D,EAAI,EAAGihB,EAAM,EAAGA,EAAM6d,EAAI9+B,IAAK,CACtC,IAAI8vD,EAAQ/nD,KAAK8G,QAAQ7O,GAAI6pD,EAAM5oC,EAAM6uC,EAAMvG,SAC/C,GAAIM,EAAM32B,IAAiD,IAAzCjwB,EAAE6sD,EAAO8E,EAAY3zC,EAAK/W,EAAQlK,IAAgB8vD,EAAMjhD,QAAQyS,KAAM,CACtF,IAAIuzC,EAAQ5zC,EAAM,EAClB6uC,EAAMrF,aAAa5rD,KAAKkwB,IAAI,EAAGmE,EAAO2hC,GACnBh2D,KAAKkK,IAAI+mD,EAAMjhD,QAAQyS,KAAMwd,EAAK+1B,GAClC5xD,EAAG2xD,EAAYC,GAEpC5zC,EAAM4oC,IAOV8K,EAASr1D,UAAUw1D,YAAc,SAAsB7xD,GACrD8E,KAAK0iD,aAAa,EAAG1iD,KAAKuZ,KAAMre,IAIlC0xD,EAASr1D,UAAUy1D,YAAc,SAAsB7hC,EAAM4L,EAAIk2B,EAAgBC,GAC/E,IAAI9uD,EAAO,GAAI+uD,GAAY,EAa3B,OAZAntD,KAAK0iD,aAAav3B,EAAM4L,GAAI,SAAUonB,EAAMjlC,GACtCilC,EAAKwJ,QACPvpD,GAAQ+/C,EAAK//C,KAAK6E,MAAMnM,KAAKkwB,IAAImE,EAAMjS,GAAOA,EAAK6d,EAAK7d,GACxDi0C,GAAaF,GACJ9O,EAAKiP,QAAUF,GACxB9uD,GAAQ8uD,EACRC,GAAaF,IACHE,GAAahP,EAAKqC,UAC5BpiD,GAAQ6uD,EACRE,GAAY,KAEb,GACI/uD,GAMTwuD,EAASr1D,UAAUo1D,OAAS,SAAiBhqC,GAC3C,IAAKA,EAAMpJ,KAAQ,OAAOvZ,KAC1B,IAAKA,KAAKuZ,KAAQ,OAAOoJ,EACzB,IAAI+Q,EAAO1zB,KAAKq+C,UAAWllC,EAAQwJ,EAAMpO,WAAYzN,EAAU9G,KAAK8G,QAAQ7D,QAAShL,EAAI,EAKzF,IAJIy7B,EAAKi0B,QAAUj0B,EAAK25B,WAAWl0C,KACjCrS,EAAQA,EAAQ3O,OAAS,GAAKu7B,EAAK45B,SAAS55B,EAAKt1B,KAAO+a,EAAM/a,MAC9DnG,EAAI,GAECA,EAAI0qB,EAAM7b,QAAQ3O,OAAQF,IAAO6O,EAAQyH,KAAKoU,EAAM7b,QAAQ7O,IACnE,OAAO,IAAI20D,EAAS9lD,EAAS9G,KAAKuZ,KAAOoJ,EAAMpJ,OAKjDqzC,EAASr1D,UAAUg2D,IAAM,SAAcpiC,EAAM4L,GAE3C,GADU,MAANA,IAAcA,EAAK/2B,KAAKuZ,MAChB,GAAR4R,GAAa4L,GAAM/2B,KAAKuZ,KAAQ,OAAOvZ,KAC3C,IAAInG,EAAS,GAAI0f,EAAO,EACxB,GAAIwd,EAAK5L,EAAQ,IAAK,IAAIlzB,EAAI,EAAGihB,EAAM,EAAGA,EAAM6d,EAAI9+B,IAAK,CACvD,IAAI8vD,EAAQ/nD,KAAK8G,QAAQ7O,GAAI6pD,EAAM5oC,EAAM6uC,EAAMvG,SAC3CM,EAAM32B,KACJjS,EAAMiS,GAAQ22B,EAAM/qB,KAElBgxB,EADAA,EAAMJ,OACEI,EAAMwF,IAAIz2D,KAAKkwB,IAAI,EAAGmE,EAAOjS,GAAMpiB,KAAKkK,IAAI+mD,EAAM3pD,KAAKjG,OAAQ4+B,EAAK7d,IAEpE6uC,EAAMwF,IAAIz2D,KAAKkwB,IAAI,EAAGmE,EAAOjS,EAAM,GAAIpiB,KAAKkK,IAAI+mD,EAAMjhD,QAAQyS,KAAMwd,EAAK7d,EAAM,KAE7Frf,EAAO0U,KAAKw5C,GACZxuC,GAAQwuC,EAAMvG,UAEhBtoC,EAAM4oC,EAER,OAAO,IAAI8K,EAAS/yD,EAAQ0f,IAG9BqzC,EAASr1D,UAAUi2D,WAAa,SAAqBriC,EAAM4L,GACzD,OAAI5L,GAAQ4L,EAAa61B,EAASz3B,MACtB,GAARhK,GAAa4L,GAAM/2B,KAAK8G,QAAQ3O,OAAiB6H,KAC9C,IAAI4sD,EAAS5sD,KAAK8G,QAAQ7D,MAAMkoB,EAAM4L,KAM/C61B,EAASr1D,UAAUk2D,aAAe,SAAuBhhD,EAAO0xC,GAC9D,IAAIuP,EAAU1tD,KAAK8G,QAAQ2F,GAC3B,GAAIihD,GAAWvP,EAAQ,OAAOn+C,KAC9B,IAAIgiD,EAAOhiD,KAAK8G,QAAQ7D,QACpBsW,EAAOvZ,KAAKuZ,KAAO4kC,EAAKqD,SAAWkM,EAAQlM,SAE/C,OADAQ,EAAKv1C,GAAS0xC,EACP,IAAIyO,EAAS5K,EAAMzoC,IAM5BqzC,EAASr1D,UAAU80D,WAAa,SAAqBlO,GACnD,OAAO,IAAIyO,EAAS,CAACzO,GAAMn7C,OAAOhD,KAAK8G,SAAU9G,KAAKuZ,KAAO4kC,EAAKqD,WAMpEoL,EAASr1D,UAAU+0D,SAAW,SAAmBnO,GAC/C,OAAO,IAAIyO,EAAS5sD,KAAK8G,QAAQ9D,OAAOm7C,GAAOn+C,KAAKuZ,KAAO4kC,EAAKqD,WAKlEoL,EAASr1D,UAAU4vD,GAAK,SAAaxkC,GACnC,GAAI3iB,KAAK8G,QAAQ3O,QAAUwqB,EAAM7b,QAAQ3O,OAAU,OAAO,EAC1D,IAAK,IAAIF,EAAI,EAAGA,EAAI+H,KAAK8G,QAAQ3O,OAAQF,IACrC,IAAK+H,KAAK8G,QAAQ7O,GAAGkvD,GAAGxkC,EAAM7b,QAAQ7O,IAAO,OAAO,EACxD,OAAO,GAKTotD,EAAmB9wC,WAAW3Z,IAAM,WAAc,OAAOoF,KAAK8G,QAAQ3O,OAAS6H,KAAK8G,QAAQ,GAAK,MAIjGu+C,EAAmBhH,UAAUzjD,IAAM,WAAc,OAAOoF,KAAK8G,QAAQ3O,OAAS6H,KAAK8G,QAAQ9G,KAAK8G,QAAQ3O,OAAS,GAAK,MAItHktD,EAAmBtG,WAAWnkD,IAAM,WAAc,OAAOoF,KAAK8G,QAAQ3O,QAKtEy0D,EAASr1D,UAAUwwD,MAAQ,SAAgBt7C,GACzC,IAAI05C,EAAQnmD,KAAK8G,QAAQ2F,GACzB,IAAK05C,EAAS,MAAM,IAAIM,WAAW,SAAWh6C,EAAQ,qBAAuBzM,MAC7E,OAAOmmD,GAKTyG,EAASr1D,UAAUo2D,WAAa,SAAqBlhD,GACnD,OAAOzM,KAAK8G,QAAQ2F,IAMtBmgD,EAASr1D,UAAUO,QAAU,SAAkBoD,GAC7C,IAAK,IAAIjD,EAAI,EAAGyuB,EAAI,EAAGzuB,EAAI+H,KAAK8G,QAAQ3O,OAAQF,IAAK,CACnD,IAAI8vD,EAAQ/nD,KAAK8G,QAAQ7O,GACzBiD,EAAE6sD,EAAOrhC,EAAGzuB,GACZyuB,GAAKqhC,EAAMvG,WAOfoL,EAASr1D,UAAUq2D,cAAgB,SAA0BjrC,EAAOzJ,GAGlE,YAFe,IAARA,IAAiBA,EAAM,GAzOhC,SAAS00C,EAAc1zD,EAAGC,EAAG+e,GAC3B,IAAK,IAAIjhB,EAAI,GAAIA,IAAK,CACpB,GAAIA,GAAKiC,EAAE6kD,YAAc9mD,GAAKkC,EAAE4kD,WAC5B,OAAO7kD,EAAE6kD,YAAc5kD,EAAE4kD,WAAa,KAAO7lC,EAEjD,IAAI20C,EAAS3zD,EAAE6tD,MAAM9vD,GAAI61D,EAAS3zD,EAAE4tD,MAAM9vD,GAC1C,GAAI41D,GAAUC,EAAd,CAEA,IAAKD,EAAOR,WAAWS,GAAW,OAAO50C,EAEzC,GAAI20C,EAAOlG,QAAUkG,EAAOzvD,MAAQ0vD,EAAO1vD,KAAM,CAC/C,IAAK,IAAIwU,EAAI,EAAGi7C,EAAOzvD,KAAKwU,IAAMk7C,EAAO1vD,KAAKwU,GAAIA,IAC9CsG,IACJ,OAAOA,EAET,GAAI20C,EAAO/mD,QAAQyS,MAAQu0C,EAAOhnD,QAAQyS,KAAM,CAC9C,IAAIysC,EAAQ4H,EAAcC,EAAO/mD,QAASgnD,EAAOhnD,QAASoS,EAAM,GAChE,GAAa,MAAT8sC,EAAiB,OAAOA,EAE9B9sC,GAAO20C,EAAOrM,cAbUtoC,GAAO20C,EAAOrM,UAqOjCoM,CAAc5tD,KAAM2iB,EAAOzJ,IAQpC0zC,EAASr1D,UAAUw2D,YAAc,SAAwBprC,EAAOzJ,EAAK80C,GAInE,YAHe,IAAR90C,IAAiBA,EAAMlZ,KAAKuZ,WACf,IAAby0C,IAAsBA,EAAWrrC,EAAMpJ,MA9NhD,SAASw0C,EAAY7zD,EAAGC,EAAG8zD,EAAMC,GAC/B,IAAK,IAAIC,EAAKj0D,EAAE6kD,WAAYqP,EAAKj0D,EAAE4kD,aAAc,CAC/C,GAAU,GAANoP,GAAiB,GAANC,EACX,OAAOD,GAAMC,EAAK,KAAO,CAACl0D,EAAG+zD,EAAM9zD,EAAG+zD,GAE1C,IAAIL,EAAS3zD,EAAE6tD,QAAQoG,GAAKL,EAAS3zD,EAAE4tD,QAAQqG,GAAK70C,EAAOs0C,EAAOrM,SAClE,GAAIqM,GAAUC,EAAd,CAKA,IAAKD,EAAOR,WAAWS,GAAW,MAAO,CAAC5zD,EAAG+zD,EAAM9zD,EAAG+zD,GAEtD,GAAIL,EAAOlG,QAAUkG,EAAOzvD,MAAQ0vD,EAAO1vD,KAAM,CAE/C,IADA,IAAIiwD,EAAO,EAAGC,EAAUx3D,KAAKkK,IAAI6sD,EAAOzvD,KAAKjG,OAAQ21D,EAAO1vD,KAAKjG,QAC1Dk2D,EAAOC,GAAWT,EAAOzvD,KAAKyvD,EAAOzvD,KAAKjG,OAASk2D,EAAO,IAAMP,EAAO1vD,KAAK0vD,EAAO1vD,KAAKjG,OAASk2D,EAAO,IAC7GA,IAAQJ,IAAQC,IAElB,MAAO,CAACh0D,EAAG+zD,EAAM9zD,EAAG+zD,GAEtB,GAAIL,EAAO/mD,QAAQyS,MAAQu0C,EAAOhnD,QAAQyS,KAAM,CAC9C,IAAIysC,EAAQ+H,EAAYF,EAAO/mD,QAASgnD,EAAOhnD,QAASmnD,EAAO,EAAGC,EAAO,GACzE,GAAIlI,EAAS,OAAOA,EAEtBiI,GAAQ10C,EAAM20C,GAAQ30C,OAjBpB00C,GAAQ10C,EAAM20C,GAAQ30C,GAyNnBw0C,CAAY/tD,KAAM2iB,EAAOzJ,EAAK80C,IAOvCpB,EAASr1D,UAAUugB,UAAY,SAAoBoB,EAAK01B,GAGtD,QAFiB,IAAVA,IAAmBA,GAAS,GAExB,GAAP11B,EAAY,OAAOq1C,EAAS,EAAGr1C,GACnC,GAAIA,GAAOlZ,KAAKuZ,KAAQ,OAAOg1C,EAASvuD,KAAK8G,QAAQ3O,OAAQ+gB,GAC7D,GAAIA,EAAMlZ,KAAKuZ,MAAQL,EAAM,EAAK,MAAM,IAAIutC,WAAY,YAAcvtC,EAAM,yBAA2B,KAAS,KAChH,IAAK,IAAIjhB,EAAI,EAAGu2D,EAAS,GAAIv2D,IAAK,CAChC,IAAyB6pD,EAAM0M,EAArBxuD,KAAK+nD,MAAM9vD,GAAuBupD,SAC5C,GAAIM,GAAO5oC,EACT,OAAI4oC,GAAO5oC,GAAO01B,EAAQ,EAAY2f,EAASt2D,EAAI,EAAG6pD,GAC/CyM,EAASt2D,EAAGu2D,GAErBA,EAAS1M,IAMb8K,EAASr1D,UAAUF,SAAW,WAAuB,MAAO,IAAM2I,KAAKyuD,gBAAkB,KAEzF7B,EAASr1D,UAAUk3D,cAAgB,WAA4B,OAAOzuD,KAAK8G,QAAQ/G,KAAK,OAIxF6sD,EAASr1D,UAAU82B,OAAS,WAC1B,OAAOruB,KAAK8G,QAAQ3O,OAAS6H,KAAK8G,QAAQ+I,KAAI,SAAUgH,GAAK,OAAOA,EAAEwX,YAAe,MAKvFu+B,EAASrG,SAAW,SAAmBkD,EAAQnuD,GAC7C,IAAKA,EAAS,OAAOsxD,EAASz3B,MAC9B,IAAK7mB,MAAM9W,QAAQ8D,GAAU,MAAM,IAAImrD,WAAW,uCAClD,OAAO,IAAImG,EAAStxD,EAAMuU,IAAI45C,EAAOiF,gBAMvC9B,EAAS+B,UAAY,SAAoBzgD,GACvC,IAAKA,EAAM/V,OAAU,OAAOy0D,EAASz3B,MAErC,IADA,IAAIy5B,EAAQr1C,EAAO,EACVthB,EAAI,EAAGA,EAAIiW,EAAM/V,OAAQF,IAAK,CACrC,IAAIkmD,EAAOjwC,EAAMjW,GACjBshB,GAAQ4kC,EAAKqD,SACTvpD,GAAKkmD,EAAKwJ,QAAUz5C,EAAMjW,EAAI,GAAGo1D,WAAWlP,IACzCyQ,IAAUA,EAAS1gD,EAAMjL,MAAM,EAAGhL,IACvC22D,EAAOA,EAAOz2D,OAAS,GAAKgmD,EAAKmP,SAASsB,EAAOA,EAAOz2D,OAAS,GAAGiG,KAAO+/C,EAAK//C,OACvEwwD,GACTA,EAAOrgD,KAAK4vC,GAGhB,OAAO,IAAIyO,EAASgC,GAAU1gD,EAAOqL,IAQvCqzC,EAASzhC,KAAO,SAAe0jC,GAC7B,IAAKA,EAAS,OAAOjC,EAASz3B,MAC9B,GAAI05B,aAAiBjC,EAAY,OAAOiC,EACxC,GAAIvgD,MAAM9W,QAAQq3D,GAAU,OAAO7uD,KAAK2uD,UAAUE,GAClD,GAAIA,EAAMvM,MAAS,OAAO,IAAIsK,EAAS,CAACiC,GAAQA,EAAMrN,UACtD,MAAM,IAAIiF,WAAW,mBAAqBoI,EAAQ,kBAC5BA,EAAMnM,aAAe,mEAAqE,MAGlHprD,OAAOiP,iBAAkBqmD,EAASr1D,UAAW8tD,GAE7C,IAAIc,EAAQ,CAAC15C,MAAO,EAAGoiC,OAAQ,GAC/B,SAAS0f,EAAS9hD,EAAOoiC,GAGvB,OAFAsX,EAAM15C,MAAQA,EACd05C,EAAMtX,OAASA,EACRsX,EAST,SAAS2I,EAAY50D,EAAGC,GACtB,GAAID,IAAMC,EAAK,OAAO,EACtB,IAAMD,GAAiB,iBAALA,IACZC,GAAiB,iBAALA,EAAkB,OAAO,EAC3C,IAAI+T,EAAQI,MAAM9W,QAAQ0C,GAC1B,GAAIoU,MAAM9W,QAAQ2C,IAAM+T,EAAS,OAAO,EACxC,GAAIA,EAAO,CACT,GAAIhU,EAAE/B,QAAUgC,EAAEhC,OAAU,OAAO,EACnC,IAAK,IAAIF,EAAI,EAAGA,EAAIiC,EAAE/B,OAAQF,IAAO,IAAK62D,EAAY50D,EAAEjC,GAAIkC,EAAElC,IAAO,OAAO,MACvE,CACL,IAAK,IAAIyuB,KAAKxsB,EAAK,KAAMwsB,KAAKvsB,KAAO20D,EAAY50D,EAAEwsB,GAAIvsB,EAAEusB,IAAO,OAAO,EACvE,IAAK,IAAIqoC,KAAO50D,EAAK,KAAM40D,KAAO70D,GAAM,OAAO,EAEjD,OAAO,EAfT0yD,EAASz3B,MAAQ,IAAIy3B,EAAS,GAAI,GAwBlC,IAAIoC,EAAO,SAAcnwD,EAAMyjD,GAG7BtiD,KAAKnB,KAAOA,EAGZmB,KAAKsiD,MAAQA,GAyGf,SAAS2M,EAAanwC,GACpB,IAAIowC,EAAMliD,MAAMtV,KAAKsI,KAAM8e,GAE3B,OADAowC,EAAI1rC,UAAYyrC,EAAa13D,UACtB23D,EAnGTF,EAAKz3D,UAAU2xD,SAAW,SAAmBhmD,GAE3C,IADA,IAAI8+C,EAAMmN,GAAS,EACVl3D,EAAI,EAAGA,EAAIiL,EAAI/K,OAAQF,IAAK,CACnC,IAAI0qB,EAAQzf,EAAIjL,GAChB,GAAI+H,KAAKmnD,GAAGxkC,GAAU,OAAOzf,EAC7B,GAAIlD,KAAKnB,KAAKuwD,SAASzsC,EAAM9jB,MACtBmjD,IAAQA,EAAO9+C,EAAID,MAAM,EAAGhL,QAC5B,IAAI0qB,EAAM9jB,KAAKuwD,SAASpvD,KAAKnB,MAClC,OAAOqE,GAEFisD,GAAUxsC,EAAM9jB,KAAKwwD,KAAOrvD,KAAKnB,KAAKwwD,OACpCrN,IAAQA,EAAO9+C,EAAID,MAAM,EAAGhL,IACjC+pD,EAAKzzC,KAAKvO,MACVmvD,GAAS,GAEPnN,GAAQA,EAAKzzC,KAAKoU,IAK1B,OAFKq/B,IAAQA,EAAO9+C,EAAID,SACnBksD,GAAUnN,EAAKzzC,KAAKvO,MAClBgiD,GAMTgN,EAAKz3D,UAAU4xD,cAAgB,SAAwBjmD,GACrD,IAAK,IAAIjL,EAAI,EAAGA,EAAIiL,EAAI/K,OAAQF,IAC5B,GAAI+H,KAAKmnD,GAAGjkD,EAAIjL,IACd,OAAOiL,EAAID,MAAM,EAAGhL,GAAG+K,OAAOE,EAAID,MAAMhL,EAAI,IAClD,OAAOiL,GAKT8rD,EAAKz3D,UAAU8rD,QAAU,SAAkBngD,GACzC,IAAK,IAAIjL,EAAI,EAAGA,EAAIiL,EAAI/K,OAAQF,IAC5B,GAAI+H,KAAKmnD,GAAGjkD,EAAIjL,IAAO,OAAO,EAClC,OAAO,GAMT+2D,EAAKz3D,UAAU4vD,GAAK,SAAaxkC,GAC/B,OAAO3iB,MAAQ2iB,GACZ3iB,KAAKnB,MAAQ8jB,EAAM9jB,MAAQiwD,EAAY9uD,KAAKsiD,MAAO3/B,EAAM2/B,QAK9D0M,EAAKz3D,UAAU82B,OAAS,WACtB,IAAIt2B,EAAM,CAAC8G,KAAMmB,KAAKnB,KAAKjI,MAC3B,IAAK,IAAIgzD,KAAK5pD,KAAKsiD,MAAO,CACxBvqD,EAAIuqD,MAAQtiD,KAAKsiD,MACjB,MAEF,OAAOvqD,GAITi3D,EAAKzI,SAAW,SAAmBkD,EAAQjD,GACzC,IAAKA,EAAQ,MAAM,IAAIC,WAAW,mCAClC,IAAI5nD,EAAO4qD,EAAOlG,MAAMiD,EAAK3nD,MAC7B,IAAKA,EAAQ,MAAM,IAAI4nD,WAAY,yBAA4BD,EAAS,KAAI,mBAC5E,OAAO3nD,EAAKqG,OAAOshD,EAAKlE,QAK1B0M,EAAKhG,QAAU,SAAkB9uD,EAAGC,GAClC,GAAID,GAAKC,EAAK,OAAO,EACrB,GAAID,EAAE/B,QAAUgC,EAAEhC,OAAU,OAAO,EACnC,IAAK,IAAIF,EAAI,EAAGA,EAAIiC,EAAE/B,OAAQF,IAC1B,IAAKiC,EAAEjC,GAAGkvD,GAAGhtD,EAAElC,IAAO,OAAO,EACjC,OAAO,GAMT+2D,EAAKM,QAAU,SAAkB/L,GAC/B,IAAKA,GAAyB,GAAhBA,EAAMprD,OAAe,OAAO62D,EAAKxF,KAC/C,GAAIjG,aAAiByL,EAAQ,MAAO,CAACzL,GACrC,IAAIvB,EAAOuB,EAAMtgD,QAEjB,OADA++C,EAAK9hB,MAAK,SAAUhmC,EAAGC,GAAK,OAAOD,EAAE2E,KAAKwwD,KAAOl1D,EAAE0E,KAAKwwD,QACjDrN,GAITgN,EAAKxF,KAAO,GAYZyF,EAAa13D,UAAYD,OAAO4N,OAAO8H,MAAMzV,WAC7C03D,EAAa13D,UAAUiB,YAAcy2D,EACrCA,EAAa13D,UAAUX,KAAO,eAK9B,IAAI24D,EAAQ,SAAezoD,EAAS0oD,EAAWhK,GAE7CxlD,KAAK8G,QAAUA,EAEf9G,KAAKwvD,UAAYA,EAEjBxvD,KAAKwlD,QAAUA,GAGbwB,EAAuB,CAAEztC,KAAM,CAAE7U,cAAc,IA8FnD,SAASnK,EAAQ4kD,EAAOC,EAAKn8C,GAC3B,GAAIA,EAAMusD,UAAYrQ,EAAMR,MACxB,MAAM,IAAIsQ,EAAa,mDAC3B,GAAI9P,EAAMR,MAAQ17C,EAAMusD,WAAapQ,EAAIT,MAAQ17C,EAAMuiD,QACnD,MAAM,IAAIyJ,EAAa,4BAC3B,OAGF,SAASQ,EAAatQ,EAAOC,EAAKn8C,EAAO07C,GACvC,IAAIlyC,EAAQ0yC,EAAM1yC,MAAMkyC,GAAQR,EAAOgB,EAAMhB,KAAKQ,GAClD,GAAIlyC,GAAS2yC,EAAI3yC,MAAMkyC,IAAUA,EAAQQ,EAAMR,MAAQ17C,EAAMusD,UAAW,CACtE,IAAIxJ,EAAQyJ,EAAatQ,EAAOC,EAAKn8C,EAAO07C,EAAQ,GACpD,OAAOR,EAAK6D,KAAK7D,EAAKr3C,QAAQ2mD,aAAahhD,EAAOu5C,IAC7C,GAAK/iD,EAAM6D,QAAQyS,KAEnB,IAAKtW,EAAMusD,WAAcvsD,EAAMuiD,SAAWrG,EAAMR,OAASA,GAASS,EAAIT,OAASA,EAG/E,CACL,IAAIO,EAgFR,SAAgCj8C,EAAOysD,GAGrC,IAFA,IAAIC,EAAQD,EAAO/Q,MAAQ17C,EAAMusD,UAC7BrR,EADiDuR,EAAOvR,KAAKwR,GAC/C3N,KAAK/+C,EAAM6D,SACpB7O,EAAI03D,EAAQ,EAAG13D,GAAK,EAAGA,IAC5BkmD,EAAOuR,EAAOvR,KAAKlmD,GAAG+pD,KAAK4K,EAASzhC,KAAKgzB,IAC7C,MAAO,CAAC2O,MAAO3O,EAAKyR,eAAe3sD,EAAMusD,UAAYG,GAC7C7N,IAAK3D,EAAKyR,eAAezR,EAAKr3C,QAAQyS,KAAOtW,EAAMuiD,QAAUmK,IAtFzDE,CAAuB5sD,EAAOk8C,GACpC2N,EAAQ5N,EAAI4N,MACZhL,EAAM5C,EAAI4C,IACd,OAAO,EAAM3D,EA8CjB,SAAS2R,EAAgB3Q,EAAO4Q,EAAQxI,EAAMnI,EAAKT,GACjD,IAAI6Q,EAAYrQ,EAAMR,MAAQA,GAASqR,EAAS7Q,EAAO4Q,EAAQpR,EAAQ,GACnE6G,EAAUpG,EAAIT,MAAQA,GAASqR,EAASzI,EAAMnI,EAAKT,EAAQ,GAE3D73C,EAAU,GACdmpD,EAAS,KAAM9Q,EAAOR,EAAO73C,GACzB0oD,GAAahK,GAAWuK,EAAOtjD,MAAMkyC,IAAU4I,EAAK96C,MAAMkyC,IAC5DuR,EAAUV,EAAWhK,GACrB2K,EAAQ,EAAMX,EAAWM,EAAgB3Q,EAAO4Q,EAAQxI,EAAMnI,EAAKT,EAAQ,IAAK73C,KAE5E0oD,GACAW,EAAQ,EAAMX,EAAWY,EAAcjR,EAAO4Q,EAAQpR,EAAQ,IAAK73C,GACvEmpD,EAASF,EAAQxI,EAAM5I,EAAO73C,GAC1B0+C,GACA2K,EAAQ,EAAM3K,EAAS4K,EAAc7I,EAAMnI,EAAKT,EAAQ,IAAK73C,IAGnE,OADAmpD,EAAS7Q,EAAK,KAAMT,EAAO73C,GACpB,IAAI8lD,EAAS9lD,GA/DCgpD,CAAgB3Q,EAAO2N,EAAOhL,EAAK1C,EAAKT,IAN3D,IAAIx8C,EAASg9C,EAAMh9C,OAAQ2E,EAAU3E,EAAO2E,QAC5C,OAAO,EAAM3E,EAAQ2E,EAAQymD,IAAI,EAAGpO,EAAMsB,cAAckM,OAAO1pD,EAAM6D,SAAS6lD,OAAO7lD,EAAQymD,IAAInO,EAAIqB,gBAHrG,OAAO,EAAMtC,EAAMiS,EAAcjR,EAAOC,EAAKT,IATxC8Q,CAAatQ,EAAOC,EAAKn8C,EAAO,GAqBzC,SAASitD,EAAUG,EAAMC,GACvB,IAAKA,EAAIzxD,KAAKyiD,kBAAkB+O,EAAKxxD,MACjC,MAAM,IAAIowD,EAAa,eAAiBqB,EAAIzxD,KAAKjI,KAAO,SAAWy5D,EAAKxxD,KAAKjI,MAGnF,SAASo5D,EAASO,EAASC,EAAQ7R,GACjC,IAAIR,EAAOoS,EAAQpS,KAAKQ,GAExB,OADAuR,EAAU/R,EAAMqS,EAAOrS,KAAKQ,IACrBR,EAGT,SAASgS,EAAQpI,EAAO7rD,GACtB,IAAIw3B,EAAOx3B,EAAO/D,OAAS,EACvBu7B,GAAQ,GAAKq0B,EAAMJ,QAAUI,EAAMsF,WAAWnxD,EAAOw3B,IACrDx3B,EAAOw3B,GAAQq0B,EAAMuF,SAASpxD,EAAOw3B,GAAMt1B,KAAO2pD,EAAM3pD,MAExDlC,EAAOqS,KAAKw5C,GAGlB,SAASkI,EAASF,EAAQxI,EAAM5I,EAAOziD,GACrC,IAAIiiD,GAAQoJ,GAAQwI,GAAQ5R,KAAKQ,GAC7B8R,EAAa,EAAGC,EAAWnJ,EAAOA,EAAK96C,MAAMkyC,GAASR,EAAKY,WAC3DgR,IACFU,EAAaV,EAAOtjD,MAAMkyC,GACtBoR,EAAOpR,MAAQA,EACjB8R,IACSV,EAAOY,aAChBR,EAAQJ,EAAO1O,UAAWnlD,GAC1Bu0D,MAGJ,IAAK,IAAIx4D,EAAIw4D,EAAYx4D,EAAIy4D,EAAUz4D,IAAOk4D,EAAQhS,EAAK4J,MAAM9vD,GAAIiE,GACjEqrD,GAAQA,EAAK5I,OAASA,GAAS4I,EAAKoJ,YACpCR,EAAQ5I,EAAKnG,WAAYllD,GAG/B,SAAS,EAAMiiD,EAAMr3C,GACnB,IAAKq3C,EAAKt/C,KAAK+xD,aAAa9pD,GACxB,MAAM,IAAImoD,EAAa,4BAA8B9Q,EAAKt/C,KAAKjI,MACnE,OAAOunD,EAAK6D,KAAKl7C,GAuBnB,SAASspD,EAAcjR,EAAOC,EAAKT,GACjC,IAAI73C,EAAU,IACdmpD,EAAS,KAAM9Q,EAAOR,EAAO73C,GACzBq4C,EAAMR,MAAQA,IAEhBwR,EAAQ,EADGH,EAAS7Q,EAAOC,EAAKT,EAAQ,GACpByR,EAAcjR,EAAOC,EAAKT,EAAQ,IAAK73C,GAG7D,OADAmpD,EAAS7Q,EAAK,KAAMT,EAAO73C,GACpB,IAAI8lD,EAAS9lD,GA1LtBkgD,EAAqBztC,KAAK3e,IAAM,WAC9B,OAAOoF,KAAK8G,QAAQyS,KAAOvZ,KAAKwvD,UAAYxvD,KAAKwlD,SAGnD+J,EAAMh4D,UAAUs5D,SAAW,SAAmB33C,EAAK43C,GACjD,IAAIhqD,EAoEN,SAASiqD,EAAWjqD,EAASkqD,EAAMnM,EAAQ1iD,GACzC,IAAI+8C,EAAMp4C,EAAQgR,UAAUk5C,GACxBvkD,EAAQyyC,EAAIzyC,MACZoiC,EAASqQ,EAAIrQ,OACbkZ,EAAQjhD,EAAQ6mD,WAAWlhD,GAC/B,GAAIoiC,GAAUmiB,GAAQjJ,EAAMJ,OAC1B,OAAIxlD,IAAWA,EAAO4+C,WAAWt0C,EAAOA,EAAOo4C,GAAkB,KAC1D/9C,EAAQymD,IAAI,EAAGyD,GAAMrE,OAAO9H,GAAQ8H,OAAO7lD,EAAQymD,IAAIyD,IAEhE,IAAIhL,EAAQ+K,EAAWhJ,EAAMjhD,QAASkqD,EAAOniB,EAAS,EAAGgW,GACzD,OAAOmB,GAASl/C,EAAQ2mD,aAAahhD,EAAOs7C,EAAM/F,KAAKgE,IA9EzC+K,CAAW/wD,KAAK8G,QAASoS,EAAMlZ,KAAKwvD,UAAWsB,EAAU,MACvE,OAAOhqD,GAAW,IAAIyoD,EAAMzoD,EAAS9G,KAAKwvD,UAAWxvD,KAAKwlD,UAG5D+J,EAAMh4D,UAAU05D,cAAgB,SAAwB9lC,EAAM4L,GAC5D,OAAO,IAAIw4B,EA+Cb,SAAS2B,EAAYpqD,EAASqkB,EAAM4L,GAClC,IAAImoB,EAAMp4C,EAAQgR,UAAUqT,GACxB1e,EAAQyyC,EAAIzyC,MACZoiC,EAASqQ,EAAIrQ,OACbkZ,EAAQjhD,EAAQ6mD,WAAWlhD,GAC3Bi3C,EAAQ58C,EAAQgR,UAAUif,GAC1Bo6B,EAAUzN,EAAMj3C,MAChB2kD,EAAW1N,EAAM7U,OACrB,GAAIA,GAAU1jB,GAAQ48B,EAAMJ,OAAQ,CAClC,GAAIyJ,GAAYr6B,IAAOjwB,EAAQihD,MAAMoJ,GAASxJ,OAAU,MAAM,IAAIlB,WAAW,2BAC7E,OAAO3/C,EAAQymD,IAAI,EAAGpiC,GAAMwhC,OAAO7lD,EAAQymD,IAAIx2B,IAEjD,GAAItqB,GAAS0kD,EAAW,MAAM,IAAI1K,WAAW,2BAC7C,OAAO3/C,EAAQ2mD,aAAahhD,EAAOs7C,EAAM/F,KAAKkP,EAAYnJ,EAAMjhD,QAASqkB,EAAO0jB,EAAS,EAAG9X,EAAK8X,EAAS,KA5DzFqiB,CAAYlxD,KAAK8G,QAASqkB,EAAOnrB,KAAKwvD,UAAWz4B,EAAK/2B,KAAKwvD,WAAYxvD,KAAKwvD,UAAWxvD,KAAKwlD,UAK/G+J,EAAMh4D,UAAU4vD,GAAK,SAAaxkC,GAChC,OAAO3iB,KAAK8G,QAAQqgD,GAAGxkC,EAAM7b,UAAY9G,KAAKwvD,WAAa7sC,EAAM6sC,WAAaxvD,KAAKwlD,SAAW7iC,EAAM6iC,SAGtG+J,EAAMh4D,UAAUF,SAAW,WACzB,OAAO2I,KAAK8G,QAAU,IAAM9G,KAAKwvD,UAAY,IAAMxvD,KAAKwlD,QAAU,KAKpE+J,EAAMh4D,UAAU82B,OAAS,WACvB,IAAKruB,KAAK8G,QAAQyS,KAAQ,OAAO,KACjC,IAAIitC,EAAO,CAAC1/C,QAAS9G,KAAK8G,QAAQunB,UAGlC,OAFIruB,KAAKwvD,UAAY,IAAKhJ,EAAKgJ,UAAYxvD,KAAKwvD,WAC5CxvD,KAAKwlD,QAAU,IAAKgB,EAAKhB,QAAUxlD,KAAKwlD,SACrCgB,GAKT+I,EAAMhJ,SAAW,SAAmBkD,EAAQjD,GAC1C,IAAKA,EAAQ,OAAO+I,EAAMp6B,MAC1B,IAAIq6B,EAAYhJ,EAAKgJ,WAAa,EAAGhK,EAAUgB,EAAKhB,SAAW,EAC/D,GAAwB,iBAAbgK,GAA2C,iBAAXhK,EACvC,MAAM,IAAIiB,WAAW,oCACzB,OAAO,IAAI8I,EAAM3C,EAASrG,SAASkD,EAAQjD,EAAK1/C,SAAU0/C,EAAKgJ,WAAa,EAAGhJ,EAAKhB,SAAW,IAMjG+J,EAAM8B,QAAU,SAAkBP,EAAUQ,QACjB,IAAlBA,IAA2BA,GAAc,GAGhD,IADA,IAAI9B,EAAY,EAAGhK,EAAU,EACpB3uC,EAAIi6C,EAASv8C,WAAYsC,IAAMA,EAAEu2C,SAAWkE,IAAkBz6C,EAAEhY,KAAK4/C,KAAKC,WAAY7nC,EAAIA,EAAEtC,WAAci7C,IACnH,IAAK,IAAI+B,EAAMT,EAASzS,UAAWkT,IAAQA,EAAInE,SAAWkE,IAAkBC,EAAI1yD,KAAK4/C,KAAKC,WAAY6S,EAAMA,EAAIlT,UAAamH,IAC7H,OAAO,IAAI+J,EAAMuB,EAAUtB,EAAWhK,IAGxCluD,OAAOiP,iBAAkBgpD,EAAMh4D,UAAWyvD,GAiC1CuI,EAAMp6B,MAAQ,IAAIo6B,EAAM3C,EAASz3B,MAAO,EAAG,GAsH3C,IAAIq8B,EAAc,SAAqBt4C,EAAKjV,EAAMw8C,GAEhDzgD,KAAKkZ,IAAMA,EACXlZ,KAAKiE,KAAOA,EAKZjE,KAAK2+C,MAAQ16C,EAAK9L,OAAS,EAAI,EAE/B6H,KAAKygD,aAAeA,GAGlBgR,EAAuB,CAAEtvD,OAAQ,CAAEuC,cAAc,GAAOk6C,IAAK,CAAEl6C,cAAc,GAAOisD,WAAY,CAAEjsD,cAAc,GAAO28C,UAAW,CAAE38C,cAAc,GAAO08C,WAAY,CAAE18C,cAAc,IAEzL8sD,EAAYj6D,UAAUm6D,aAAe,SAAuBj6D,GAC1D,OAAW,MAAPA,EAAsBuI,KAAK2+C,MAC3BlnD,EAAM,EAAYuI,KAAK2+C,MAAQlnD,EAC5BA,GAOTg6D,EAAqBtvD,OAAOvH,IAAM,WAAc,OAAOoF,KAAKm+C,KAAKn+C,KAAK2+C,QAItE8S,EAAqB7S,IAAIhkD,IAAM,WAAc,OAAOoF,KAAKm+C,KAAK,IAK9DqT,EAAYj6D,UAAU4mD,KAAO,SAAeQ,GAAS,OAAO3+C,KAAKiE,KAAgC,EAA3BjE,KAAK0xD,aAAa/S,KAMxF6S,EAAYj6D,UAAUkV,MAAQ,SAAgBkyC,GAAS,OAAO3+C,KAAKiE,KAAgC,EAA3BjE,KAAK0xD,aAAa/S,GAAa,IAKvG6S,EAAYj6D,UAAUyoD,WAAa,SAAqBrB,GAEtD,OADAA,EAAQ3+C,KAAK0xD,aAAa/S,GACnB3+C,KAAKyM,MAAMkyC,IAAUA,GAAS3+C,KAAK2+C,OAAU3+C,KAAK2wD,WAAiB,EAAJ,IAMxEa,EAAYj6D,UAAUu1D,MAAQ,SAAgBnO,GAE5C,OAAgB,IADhBA,EAAQ3+C,KAAK0xD,aAAa/S,IACN,EAAI3+C,KAAKiE,KAAa,EAAR06C,EAAY,GAAK,GAMrD6S,EAAYj6D,UAAUuqD,IAAM,SAAcnD,GAExC,OADAA,EAAQ3+C,KAAK0xD,aAAa/S,GACnB3+C,KAAK8sD,MAAMnO,GAAS3+C,KAAKm+C,KAAKQ,GAAO73C,QAAQyS,MAOtDi4C,EAAYj6D,UAAUsnD,OAAS,SAAiBF,GAE9C,KADAA,EAAQ3+C,KAAK0xD,aAAa/S,IACZ,MAAM,IAAI8H,WAAW,kDACnC,OAAO9H,GAAS3+C,KAAK2+C,MAAQ,EAAI3+C,KAAKkZ,IAAMlZ,KAAKiE,KAAa,EAAR06C,EAAY,IAMpE6S,EAAYj6D,UAAUynD,MAAQ,SAAgBL,GAE5C,KADAA,EAAQ3+C,KAAK0xD,aAAa/S,IACZ,MAAM,IAAI8H,WAAW,iDACnC,OAAO9H,GAAS3+C,KAAK2+C,MAAQ,EAAI3+C,KAAKkZ,IAAMlZ,KAAKiE,KAAa,EAAR06C,EAAY,GAAK3+C,KAAKiE,KAAa,EAAR06C,GAAW6C,UAO9FiQ,EAAqBd,WAAW/1D,IAAM,WAAc,OAAOoF,KAAKkZ,IAAMlZ,KAAKiE,KAAKjE,KAAKiE,KAAK9L,OAAS,IAMnGs5D,EAAqBpQ,UAAUzmD,IAAM,WACnC,IAAIuH,EAASnC,KAAKmC,OAAQsK,EAAQzM,KAAKyM,MAAMzM,KAAK2+C,OAClD,GAAIlyC,GAAStK,EAAO48C,WAAc,OAAO,KACzC,IAAI4S,EAAO3xD,KAAKkZ,IAAMlZ,KAAKiE,KAAKjE,KAAKiE,KAAK9L,OAAS,GAAI4vD,EAAQ5lD,EAAO4lD,MAAMt7C,GAC5E,OAAOklD,EAAOxvD,EAAO4lD,MAAMt7C,GAAO8gD,IAAIoE,GAAQ5J,GAOhD0J,EAAqBrQ,WAAWxmD,IAAM,WACpC,IAAI6R,EAAQzM,KAAKyM,MAAMzM,KAAK2+C,OACxBgT,EAAO3xD,KAAKkZ,IAAMlZ,KAAKiE,KAAKjE,KAAKiE,KAAK9L,OAAS,GACnD,OAAIw5D,EAAe3xD,KAAKmC,OAAO4lD,MAAMt7C,GAAO8gD,IAAI,EAAGoE,GACnC,GAATllD,EAAa,KAAOzM,KAAKmC,OAAO4lD,MAAMt7C,EAAQ,IAQvD+kD,EAAYj6D,UAAUgsD,MAAQ,WAC5B,IAAIphD,EAASnC,KAAKmC,OAAQsK,EAAQzM,KAAKyM,QAGvC,GAA2B,GAAvBtK,EAAO2E,QAAQyS,KAAa,OAAOy1C,EAAKxF,KAG5C,GAAIxpD,KAAK2wD,WAAc,OAAOxuD,EAAO4lD,MAAMt7C,GAAO82C,MAElD,IAAI8M,EAAOluD,EAAOwrD,WAAWlhD,EAAQ,GAAIkW,EAAQxgB,EAAOwrD,WAAWlhD,GAGnE,IAAK4jD,EAAM,CAAE,IAAIzf,EAAMyf,EAAMA,EAAO1tC,EAAOA,EAAQiuB,EAKnD,IADA,IAAI2S,EAAQ8M,EAAK9M,MACRtrD,EAAI,EAAGA,EAAIsrD,EAAMprD,OAAQF,KACO,IAAjCsrD,EAAMtrD,GAAG4G,KAAK4/C,KAAKmT,WAAyBjvC,GAAU4gC,EAAMtrD,GAAGorD,QAAQ1gC,EAAM4gC,SAC/EA,EAAQA,EAAMtrD,KAAKkxD,cAAc5F,IAEvC,OAAOA,GAUTiO,EAAYj6D,UAAU0vD,YAAc,SAAsBM,GACxD,IAAIvI,EAAQh/C,KAAKmC,OAAOwrD,WAAW3tD,KAAKyM,SACxC,IAAKuyC,IAAUA,EAAM6G,SAAY,OAAO,KAGxC,IADA,IAAItC,EAAQvE,EAAMuE,MAAOr5C,EAAOq9C,EAAKplD,OAAOwrD,WAAWpG,EAAK96C,SACnDxU,EAAI,EAAGA,EAAIsrD,EAAMprD,OAAQF,KACO,IAAjCsrD,EAAMtrD,GAAG4G,KAAK4/C,KAAKmT,WAAyB1nD,GAASq5C,EAAMtrD,GAAGorD,QAAQn5C,EAAKq5C,SAC7EA,EAAQA,EAAMtrD,KAAKkxD,cAAc5F,IACvC,OAAOA,GAMTiO,EAAYj6D,UAAUs6D,YAAc,SAAsB34C,GACxD,IAAK,IAAIylC,EAAQ3+C,KAAK2+C,MAAOA,EAAQ,EAAGA,IACpC,GAAI3+C,KAAK8sD,MAAMnO,IAAUzlC,GAAOlZ,KAAK8hD,IAAInD,IAAUzlC,EAAO,OAAOylC,EACrE,OAAO,GAWT6S,EAAYj6D,UAAU+nD,WAAa,SAAqB38B,EAAOmvC,GAG7D,QAFiB,IAAVnvC,IAAmBA,EAAQ3iB,MAE9B2iB,EAAMzJ,IAAMlZ,KAAKkZ,IAAO,OAAOyJ,EAAM28B,WAAWt/C,MACpD,IAAK,IAAIwgC,EAAIxgC,KAAK2+C,OAAS3+C,KAAKmC,OAAO+gD,eAAiBljD,KAAKkZ,KAAOyJ,EAAMzJ,IAAM,EAAI,GAAIsnB,GAAK,EAAGA,IAC5F,GAAI7d,EAAMzJ,KAAOlZ,KAAK8hD,IAAIthB,MAAQsxB,GAAQA,EAAK9xD,KAAKm+C,KAAK3d,KACvD,OAAO,IAAIuxB,EAAU/xD,KAAM2iB,EAAO6d,IAK1CgxB,EAAYj6D,UAAUuoD,WAAa,SAAqBn9B,GACtD,OAAO3iB,KAAKkZ,IAAMlZ,KAAKygD,cAAgB99B,EAAMzJ,IAAMyJ,EAAM89B,cAK3D+Q,EAAYj6D,UAAUyvB,IAAM,SAAcrE,GACxC,OAAOA,EAAMzJ,IAAMlZ,KAAKkZ,IAAMyJ,EAAQ3iB,MAKxCwxD,EAAYj6D,UAAUyJ,IAAM,SAAc2hB,GACxC,OAAOA,EAAMzJ,IAAMlZ,KAAKkZ,IAAMyJ,EAAQ3iB,MAGxCwxD,EAAYj6D,UAAUF,SAAW,WAE/B,IADA,IAAIiD,EAAM,GACDrC,EAAI,EAAGA,GAAK+H,KAAK2+C,MAAO1mD,IAC7BqC,IAAQA,EAAM,IAAM,IAAM0F,KAAKm+C,KAAKlmD,GAAG4G,KAAKjI,KAAO,IAAMoJ,KAAKyM,MAAMxU,EAAI,GAC5E,OAAOqC,EAAM,IAAM0F,KAAKygD,cAG1B+Q,EAAYn1C,QAAU,SAAkBuiC,EAAK1lC,GAC3C,KAAMA,GAAO,GAAKA,GAAO0lC,EAAI93C,QAAQyS,MAAS,MAAM,IAAIktC,WAAW,YAAcvtC,EAAM,iBAGvF,IAFA,IAAIjV,EAAO,GACP6oD,EAAQ,EAAGrM,EAAevnC,EACrBilC,EAAOS,IAAO,CACrB,IAAIM,EAAMf,EAAKr3C,QAAQgR,UAAU2oC,GAC3Bh0C,EAAQyyC,EAAIzyC,MACZoiC,EAASqQ,EAAIrQ,OACfmjB,EAAMvR,EAAe5R,EAEzB,GADA5qC,EAAKsK,KAAK4vC,EAAM1xC,EAAOqgD,EAAQje,IAC1BmjB,EAAO,MAEZ,IADA7T,EAAOA,EAAK4J,MAAMt7C,IACTk7C,OAAU,MACnBlH,EAAeuR,EAAM,EACrBlF,GAASje,EAAS,EAEpB,OAAO,IAAI2iB,EAAYt4C,EAAKjV,EAAMw8C,IAGpC+Q,EAAYS,cAAgB,SAAwBrT,EAAK1lC,GACvD,IAAK,IAAIjhB,EAAI,EAAGA,EAAIi6D,EAAa/5D,OAAQF,IAAK,CAC5C,IAAIk6D,EAASD,EAAaj6D,GAC1B,GAAIk6D,EAAOj5C,KAAOA,GAAOi5C,EAAOvT,KAAOA,EAAO,OAAOuT,EAEvD,IAAIt4D,EAASq4D,EAAaE,GAAmBZ,EAAYn1C,QAAQuiC,EAAK1lC,GAEtE,OADAk5C,GAAmBA,EAAkB,GAAKC,EACnCx4D,GAGTvC,OAAOiP,iBAAkBirD,EAAYj6D,UAAWk6D,GAEhD,IAAIS,EAAe,GAAIE,EAAkB,EAAGC,EAAmB,GAI3DN,EAAY,SAAmB5S,EAAOC,EAAKT,GAM7C3+C,KAAKm/C,MAAQA,EAGbn/C,KAAKo/C,IAAMA,EAEXp/C,KAAK2+C,MAAQA,GAGX2T,EAAyB,CAAExF,MAAO,CAAEpoD,cAAc,GAAOo9C,IAAK,CAAEp9C,cAAc,GAAOvC,OAAQ,CAAEuC,cAAc,GAAO+rD,WAAY,CAAE/rD,cAAc,GAAOgsD,SAAU,CAAEhsD,cAAc,IAGrL4tD,EAAuBxF,MAAMlyD,IAAM,WAAc,OAAOoF,KAAKm/C,MAAMN,OAAO7+C,KAAK2+C,MAAQ,IAEvF2T,EAAuBxQ,IAAIlnD,IAAM,WAAc,OAAOoF,KAAKo/C,IAAIJ,MAAMh/C,KAAK2+C,MAAQ,IAGlF2T,EAAuBnwD,OAAOvH,IAAM,WAAc,OAAOoF,KAAKm/C,MAAMhB,KAAKn+C,KAAK2+C,QAE9E2T,EAAuB7B,WAAW71D,IAAM,WAAc,OAAOoF,KAAKm/C,MAAM1yC,MAAMzM,KAAK2+C,QAEnF2T,EAAuB5B,SAAS91D,IAAM,WAAc,OAAOoF,KAAKo/C,IAAIY,WAAWhgD,KAAK2+C,QAEpFrnD,OAAOiP,iBAAkBwrD,EAAUx6D,UAAW+6D,GAE9C,IAAIC,EAAaj7D,OAAO4N,OAAO,MAc3BstD,EAAO,SAAc3zD,EAAMyjD,EAAOx7C,EAASy8C,GAG7CvjD,KAAKnB,KAAOA,EAMZmB,KAAKsiD,MAAQA,EAIbtiD,KAAK8G,QAAUA,GAAW8lD,EAASz3B,MAKnCn1B,KAAKujD,MAAQA,GAASyL,EAAKxF,MAGzBiJ,EAAuB,CAAEjR,SAAU,CAAE98C,cAAc,GAAOq6C,WAAY,CAAEr6C,cAAc,GAAOguD,YAAa,CAAEhuD,cAAc,GAAO6P,WAAY,CAAE7P,cAAc,GAAO25C,UAAW,CAAE35C,cAAc,GAAO87C,QAAS,CAAE97C,cAAc,GAAO45C,YAAa,CAAE55C,cAAc,GAAOw+C,cAAe,CAAEx+C,cAAc,GAAOmhD,SAAU,CAAEnhD,cAAc,GAAOijD,OAAQ,CAAEjjD,cAAc,GAAO0oD,OAAQ,CAAE1oD,cAAc,GAAO+/C,OAAQ,CAAE//C,cAAc,IAW3a+tD,EAAqBjR,SAAS5mD,IAAM,WAAc,OAAOoF,KAAKotD,OAAS,EAAI,EAAIptD,KAAK8G,QAAQyS,MAI5Fk5C,EAAqB1T,WAAWnkD,IAAM,WAAc,OAAOoF,KAAK8G,QAAQi4C,YAKxEyT,EAAKj7D,UAAUwwD,MAAQ,SAAgBt7C,GAAS,OAAOzM,KAAK8G,QAAQihD,MAAMt7C,IAI1E+lD,EAAKj7D,UAAUo2D,WAAa,SAAqBlhD,GAAS,OAAOzM,KAAK8G,QAAQ6mD,WAAWlhD,IAKzF+lD,EAAKj7D,UAAUO,QAAU,SAAkBoD,GAAK8E,KAAK8G,QAAQhP,QAAQoD,IAUrEs3D,EAAKj7D,UAAUmrD,aAAe,SAAuBv3B,EAAM4L,EAAI77B,EAAGy3D,QAC5C,IAAbA,IAAsBA,EAAW,GAExC3yD,KAAK8G,QAAQ47C,aAAav3B,EAAM4L,EAAI77B,EAAGy3D,EAAU3yD,OAMnDwyD,EAAKj7D,UAAUw1D,YAAc,SAAsB7xD,GACjD8E,KAAK0iD,aAAa,EAAG1iD,KAAK8G,QAAQyS,KAAMre,IAM1Cu3D,EAAqBC,YAAY93D,IAAM,WAAc,OAAOoF,KAAKgtD,YAAY,EAAGhtD,KAAK8G,QAAQyS,KAAM,KAOnGi5C,EAAKj7D,UAAUy1D,YAAc,SAAsB7hC,EAAM4L,EAAIk2B,EAAgBC,GAC3E,OAAOltD,KAAK8G,QAAQkmD,YAAY7hC,EAAM4L,EAAIk2B,EAAgBC,IAM5DuF,EAAqBl+C,WAAW3Z,IAAM,WAAc,OAAOoF,KAAK8G,QAAQyN,YAKxEk+C,EAAqBpU,UAAUzjD,IAAM,WAAc,OAAOoF,KAAK8G,QAAQu3C,WAIvEmU,EAAKj7D,UAAU4vD,GAAK,SAAaxkC,GAC/B,OAAO3iB,MAAQ2iB,GAAU3iB,KAAKqtD,WAAW1qC,IAAU3iB,KAAK8G,QAAQqgD,GAAGxkC,EAAM7b,UAM3E0rD,EAAKj7D,UAAU81D,WAAa,SAAqB1qC,GAC/C,OAAO3iB,KAAK2iD,UAAUhgC,EAAM9jB,KAAM8jB,EAAM2/B,MAAO3/B,EAAM4gC,QAMvDiP,EAAKj7D,UAAUorD,UAAY,SAAoB9jD,EAAMyjD,EAAOiB,GAC1D,OAAOvjD,KAAKnB,MAAQA,GAClBiwD,EAAY9uD,KAAKsiD,MAAOA,GAASzjD,EAAK+zD,cAAgBL,IACtDvD,EAAKhG,QAAQhpD,KAAKujD,MAAOA,GAASyL,EAAKxF,OAM3CgJ,EAAKj7D,UAAUyqD,KAAO,SAAel7C,GAGnC,YAFmB,IAAZA,IAAqBA,EAAU,MAElCA,GAAW9G,KAAK8G,QAAkB9G,KAC/B,IAAIA,KAAKxH,YAAYwH,KAAKnB,KAAMmB,KAAKsiD,MAAOx7C,EAAS9G,KAAKujD,QAMnEiP,EAAKj7D,UAAU0xD,KAAO,SAAe1F,GACnC,OAAOA,GAASvjD,KAAKujD,MAAQvjD,KAAO,IAAIA,KAAKxH,YAAYwH,KAAKnB,KAAMmB,KAAKsiD,MAAOtiD,KAAK8G,QAASy8C,IAOhGiP,EAAKj7D,UAAUg2D,IAAM,SAAcpiC,EAAM4L,GACvC,OAAY,GAAR5L,GAAa4L,GAAM/2B,KAAK8G,QAAQyS,KAAevZ,KAC5CA,KAAKgiD,KAAKhiD,KAAK8G,QAAQymD,IAAIpiC,EAAM4L,KAM1Cy7B,EAAKj7D,UAAU0L,MAAQ,SAAgBkoB,EAAM4L,EAAI87B,GAI/C,QAHc,IAAP97B,IAAgBA,EAAK/2B,KAAK8G,QAAQyS,WACf,IAAnBs5C,IAA4BA,GAAiB,GAEhD1nC,GAAQ4L,EAAM,OAAOw4B,EAAMp6B,MAE/B,IAAIgqB,EAAQn/C,KAAKqc,QAAQ8O,GAAOi0B,EAAMp/C,KAAKqc,QAAQ0a,GAC/C4nB,EAAQkU,EAAiB,EAAI1T,EAAM0S,YAAY96B,GAC/C+1B,EAAQ3N,EAAM2N,MAAMnO,GACpB73C,EADmCq4C,EAAMhB,KAAKQ,GAC/B73C,QAAQymD,IAAIpO,EAAMjmC,IAAM4zC,EAAO1N,EAAIlmC,IAAM4zC,GAC5D,OAAO,IAAIyC,EAAMzoD,EAASq4C,EAAMR,MAAQA,EAAOS,EAAIT,MAAQA,IAU7D6T,EAAKj7D,UAAUgD,QAAU,SAAoB4wB,EAAM4L,EAAI9zB,GACrD,OAAO1I,EAAQyF,KAAKqc,QAAQ8O,GAAOnrB,KAAKqc,QAAQ0a,GAAK9zB,IAKvDuvD,EAAKj7D,UAAUu7D,OAAS,SAAiB55C,GACvC,IAAK,IAAIilC,EAAOn+C,OAAQ,CACtB,IAAIk/C,EAAMf,EAAKr3C,QAAQgR,UAAUoB,GAC3BzM,EAAQyyC,EAAIzyC,MACZoiC,EAASqQ,EAAIrQ,OAEnB,KADAsP,EAAOA,EAAKwP,WAAWlhD,IACV,OAAO,KACpB,GAAIoiC,GAAU31B,GAAOilC,EAAKwJ,OAAU,OAAOxJ,EAC3CjlC,GAAO21B,EAAS,IAQpB2jB,EAAKj7D,UAAUw7D,WAAa,SAAqB75C,GAC/C,IAAIgmC,EAAMl/C,KAAK8G,QAAQgR,UAAUoB,GAC3BzM,EAAQyyC,EAAIzyC,MACZoiC,EAASqQ,EAAIrQ,OACnB,MAAO,CAACsP,KAAMn+C,KAAK8G,QAAQ6mD,WAAWlhD,GAAQA,MAAOA,EAAOoiC,OAAQA,IAOtE2jB,EAAKj7D,UAAUy7D,YAAc,SAAsB95C,GACjD,GAAW,GAAPA,EAAY,MAAO,CAACilC,KAAM,KAAM1xC,MAAO,EAAGoiC,OAAQ,GACtD,IAAIqQ,EAAMl/C,KAAK8G,QAAQgR,UAAUoB,GAC3BzM,EAAQyyC,EAAIzyC,MACZoiC,EAASqQ,EAAIrQ,OACnB,GAAIA,EAAS31B,EAAO,MAAO,CAACilC,KAAMn+C,KAAK8G,QAAQihD,MAAMt7C,GAAQA,MAAOA,EAAOoiC,OAAQA,GACnF,IAAIsP,EAAOn+C,KAAK8G,QAAQihD,MAAMt7C,EAAQ,GACtC,MAAO,CAAC0xC,KAAMA,EAAM1xC,MAAOA,EAAQ,EAAGoiC,OAAQA,EAASsP,EAAKqD,WAM9DgR,EAAKj7D,UAAU8kB,QAAU,SAAkBnD,GAAO,OAAOs4C,EAAYS,cAAcjyD,KAAMkZ,IAEzFs5C,EAAKj7D,UAAUq4D,eAAiB,SAAyB12C,GAAO,OAAOs4C,EAAYn1C,QAAQrc,KAAMkZ,IAKjGs5C,EAAKj7D,UAAUosD,aAAe,SAAuBx4B,EAAM4L,EAAIl4B,GAC7D,IAAIsnD,GAAQ,EAKZ,OAJIpvB,EAAK5L,GAAQnrB,KAAK0iD,aAAav3B,EAAM4L,GAAI,SAAUonB,GAErD,OADIt/C,EAAKwkD,QAAQlF,EAAKoF,SAAU4C,GAAQ,IAChCA,KAEHA,GAKTsM,EAAqBjS,QAAQ5lD,IAAM,WAAc,OAAOoF,KAAKnB,KAAK2hD,SAKlEiS,EAAqBnU,YAAY1jD,IAAM,WAAc,OAAOoF,KAAKnB,KAAKy/C,aAItEmU,EAAqBvP,cAActoD,IAAM,WAAc,OAAOoF,KAAKnB,KAAKqkD,eAKxEuP,EAAqB5M,SAASjrD,IAAM,WAAc,OAAOoF,KAAKnB,KAAKgnD,UAInE4M,EAAqB9K,OAAO/sD,IAAM,WAAc,OAAOoF,KAAKnB,KAAK8oD,QAIjE8K,EAAqBrF,OAAOxyD,IAAM,WAAc,OAAOoF,KAAKnB,KAAKuuD,QAQjEqF,EAAqBhO,OAAO7pD,IAAM,WAAc,OAAOoF,KAAKnB,KAAK4lD,QAKjE+N,EAAKj7D,UAAUF,SAAW,WACxB,GAAI2I,KAAKnB,KAAK4/C,KAAKwU,cAAiB,OAAOjzD,KAAKnB,KAAK4/C,KAAKwU,cAAcjzD,MACxE,IAAIpJ,EAAOoJ,KAAKnB,KAAKjI,KAGrB,OAFIoJ,KAAK8G,QAAQyS,OACb3iB,GAAQ,IAAMoJ,KAAK8G,QAAQ2nD,gBAAkB,KAC1CyE,EAAUlzD,KAAKujD,MAAO3sD,IAK/B47D,EAAKj7D,UAAU0oD,eAAiB,SAAyBxzC,GACvD,IAAIP,EAAQlM,KAAKnB,KAAKs0D,aAAaC,cAAcpzD,KAAK8G,QAAS,EAAG2F,GAClE,IAAKP,EAAS,MAAM,IAAIc,MAAM,wDAC9B,OAAOd,GASTsmD,EAAKj7D,UAAUwpD,WAAa,SAAqB51B,EAAM4L,EAAInjB,EAAak5C,EAAOhL,QACtD,IAAhBluC,IAAyBA,EAAcg5C,EAASz3B,YACtC,IAAV23B,IAAmBA,EAAQ,QACnB,IAARhL,IAAiBA,EAAMluC,EAAYmrC,YAE1C,IAAIsU,EAAMrzD,KAAKigD,eAAe90B,GAAMioC,cAAcx/C,EAAak5C,EAAOhL,GAClEwR,EAAMD,GAAOA,EAAID,cAAcpzD,KAAK8G,QAASiwB,GACjD,IAAKu8B,IAAQA,EAAIzR,SAAY,OAAO,EACpC,IAAK,IAAI5pD,EAAI60D,EAAO70D,EAAI6pD,EAAK7pD,IAAO,IAAK+H,KAAKnB,KAAK00D,YAAY3/C,EAAYm0C,MAAM9vD,GAAGsrD,OAAU,OAAO,EACrG,OAAO,GAMTiP,EAAKj7D,UAAU2oD,eAAiB,SAAyB/0B,EAAM4L,EAAIl4B,EAAM0kD,GACvE,GAAIA,IAAUvjD,KAAKnB,KAAK00D,YAAYhQ,GAAU,OAAO,EACrD,IAAIuJ,EAAQ9sD,KAAKigD,eAAe90B,GAAMy2B,UAAU/iD,GAC5CijD,EAAMgL,GAASA,EAAMsG,cAAcpzD,KAAK8G,QAASiwB,GACrD,QAAO+qB,GAAMA,EAAID,UAQnB2Q,EAAKj7D,UAAUi8D,UAAY,SAAoB7wC,GAC7C,OAAIA,EAAM7b,QAAQyS,KAAevZ,KAAK+gD,WAAW/gD,KAAK++C,WAAY/+C,KAAK++C,WAAYp8B,EAAM7b,SAC3E9G,KAAKnB,KAAKyiD,kBAAkB3+B,EAAM9jB,OAMlD2zD,EAAKj7D,UAAUR,MAAQ,WACrB,IAAKiJ,KAAKnB,KAAK+xD,aAAa5wD,KAAK8G,SAC7B,MAAM,IAAI2/C,WAAY,4BAA+BzmD,KAAKnB,KAAS,KAAI,KAAQmB,KAAK8G,QAAQzP,WAAW4L,MAAM,EAAG,KACpHjD,KAAK8G,QAAQhP,SAAQ,SAAUqmD,GAAQ,OAAOA,EAAKpnD,YAKrDy7D,EAAKj7D,UAAU82B,OAAS,WACtB,IAAIt2B,EAAM,CAAC8G,KAAMmB,KAAKnB,KAAKjI,MAC3B,IAAK,IAAIgzD,KAAK5pD,KAAKsiD,MAAO,CACxBvqD,EAAIuqD,MAAQtiD,KAAKsiD,MACjB,MAMF,OAJItiD,KAAK8G,QAAQyS,OACbxhB,EAAI+O,QAAU9G,KAAK8G,QAAQunB,UAC3BruB,KAAKujD,MAAMprD,SACXJ,EAAIwrD,MAAQvjD,KAAKujD,MAAM1zC,KAAI,SAAUgH,GAAK,OAAOA,EAAEwX,aAChDt2B,GAKTy6D,EAAKjM,SAAW,SAAmBkD,EAAQjD,GACzC,IAAKA,EAAQ,MAAM,IAAIC,WAAW,mCAClC,IAAIlD,EAAQ,KACZ,GAAIiD,EAAKjD,MAAO,CACd,IAAKj1C,MAAM9W,QAAQgvD,EAAKjD,OAAU,MAAM,IAAIkD,WAAW,uCACvDlD,EAAQiD,EAAKjD,MAAM1zC,IAAI45C,EAAOkC,cAEhC,GAAiB,QAAbnF,EAAK3nD,KAAgB,CACvB,GAAwB,iBAAb2nD,EAAKpoD,KAAoB,MAAM,IAAIqoD,WAAW,6BACzD,OAAOgD,EAAOrrD,KAAKooD,EAAKpoD,KAAMmlD,GAEhC,IAAIz8C,EAAU8lD,EAASrG,SAASkD,EAAQjD,EAAK1/C,SAC7C,OAAO2iD,EAAOpH,SAASmE,EAAK3nD,MAAMqG,OAAOshD,EAAKlE,MAAOx7C,EAASy8C,IAGhEjsD,OAAOiP,iBAAkBisD,EAAKj7D,UAAWk7D,GAEzC,IAAIgB,EAAyB,SAAUjB,GACrC,SAASiB,EAAS50D,EAAMyjD,EAAOx7C,EAASy8C,GAGtC,GAFAiP,EAAK96D,KAAKsI,KAAMnB,EAAMyjD,EAAO,KAAMiB,IAE9Bz8C,EAAW,MAAM,IAAI2/C,WAAW,oCAErCzmD,KAAK5B,KAAO0I,EAGT0rD,IAAOiB,EAASjwC,UAAYgvC,GACjCiB,EAASl8D,UAAYD,OAAO4N,OAAQstD,GAAQA,EAAKj7D,WACjDk8D,EAASl8D,UAAUiB,YAAci7D,EAEjC,IAAIzM,EAAuB,CAAE0L,YAAa,CAAEhuD,cAAc,GAAO88C,SAAU,CAAE98C,cAAc,IA0C3F,OAxCA+uD,EAASl8D,UAAUF,SAAW,WAC5B,OAAI2I,KAAKnB,KAAK4/C,KAAKwU,cAAwBjzD,KAAKnB,KAAK4/C,KAAKwU,cAAcjzD,MACjEkzD,EAAUlzD,KAAKujD,MAAOlzC,KAAKC,UAAUtQ,KAAK5B,QAGnD4oD,EAAqB0L,YAAY93D,IAAM,WAAc,OAAOoF,KAAK5B,MAEjEq1D,EAASl8D,UAAUy1D,YAAc,SAAsB7hC,EAAM4L,GAAM,OAAO/2B,KAAK5B,KAAK6E,MAAMkoB,EAAM4L,IAEhGiwB,EAAqBxF,SAAS5mD,IAAM,WAAc,OAAOoF,KAAK5B,KAAKjG,QAEnEs7D,EAASl8D,UAAU0xD,KAAO,SAAe1F,GACvC,OAAOA,GAASvjD,KAAKujD,MAAQvjD,KAAO,IAAIyzD,EAASzzD,KAAKnB,KAAMmB,KAAKsiD,MAAOtiD,KAAK5B,KAAMmlD,IAGrFkQ,EAASl8D,UAAU+1D,SAAW,SAAmBlvD,GAC/C,OAAIA,GAAQ4B,KAAK5B,KAAe4B,KACzB,IAAIyzD,EAASzzD,KAAKnB,KAAMmB,KAAKsiD,MAAOlkD,EAAM4B,KAAKujD,QAGxDkQ,EAASl8D,UAAUg2D,IAAM,SAAcpiC,EAAM4L,GAI3C,YAHc,IAAT5L,IAAkBA,EAAO,QAClB,IAAP4L,IAAgBA,EAAK/2B,KAAK5B,KAAKjG,QAExB,GAARgzB,GAAa4L,GAAM/2B,KAAK5B,KAAKjG,OAAiB6H,KAC3CA,KAAKstD,SAASttD,KAAK5B,KAAK6E,MAAMkoB,EAAM4L,KAG7C08B,EAASl8D,UAAU4vD,GAAK,SAAaxkC,GACnC,OAAO3iB,KAAKqtD,WAAW1qC,IAAU3iB,KAAK5B,MAAQukB,EAAMvkB,MAGtDq1D,EAASl8D,UAAU82B,OAAS,WAC1B,IAAI8hB,EAAOqiB,EAAKj7D,UAAU82B,OAAO32B,KAAKsI,MAEtC,OADAmwC,EAAK/xC,KAAO4B,KAAK5B,KACV+xC,GAGT74C,OAAOiP,iBAAkBktD,EAASl8D,UAAWyvD,GAEtCyM,EAvDmB,CAwD1BjB,GAEF,SAASU,EAAU3P,EAAOjpD,GACxB,IAAK,IAAIrC,EAAIsrD,EAAMprD,OAAS,EAAGF,GAAK,EAAGA,IACnCqC,EAAMipD,EAAMtrD,GAAG4G,KAAKjI,KAAO,IAAM0D,EAAM,IAC3C,OAAOA,EAOT,IAAIo5D,EAAe,SAAsB7R,GAGvC7hD,KAAK6hD,SAAWA,EAChB7hD,KAAKkK,KAAO,GACZlK,KAAK2zD,UAAY,IAGfC,EAAuB,CAAE1Q,cAAe,CAAEx+C,cAAc,GAAOmvD,YAAa,CAAEnvD,cAAc,GAAO86C,UAAW,CAAE96C,cAAc,IAElIgvD,EAAan4C,MAAQ,SAAgB/F,EAAQs+C,GAC3C,IAAIC,EAAS,IAAIC,EAAYx+C,EAAQs+C,GACrC,GAAmB,MAAfC,EAAO7pD,KAAgB,OAAOwpD,EAAav+B,MAC/C,IAAI8+B,EAAOC,EAAUH,GACjBA,EAAO7pD,MAAQ6pD,EAAO7E,IAAI,4BAC9B,IAAIhjD,EAuVN,SAAaioD,GACX,IAAIC,EAAU98D,OAAO4N,OAAO,MAC5B,OAEA,SAASmvD,EAAQC,GACf,IAAIzd,EAAM,GACVyd,EAAOx8D,SAAQ,SAAUqmD,GACvBgW,EAAIhW,GAAMrmD,SAAQ,SAAUonD,GAC1B,IAAIqV,EAAOrV,EAAIqV,KACXx9B,EAAKmoB,EAAInoB,GAEb,GAAKw9B,EAAL,CACA,IAAIC,EAAQ3d,EAAIj4C,QAAQ21D,GAAOrxD,EAAMsxD,GAAS,GAAK3d,EAAI2d,EAAQ,GAC/DC,EAASN,EAAKp9B,GAAIj/B,SAAQ,SAAUqmD,GAC7Bj7C,GAAO2zC,EAAItoC,KAAKgmD,EAAMrxD,EAAM,KACP,GAAtBA,EAAItE,QAAQu/C,IAAej7C,EAAIqL,KAAK4vC,aAK9C,IADA,IAAIn6C,EAAQowD,EAAQE,EAAOv0D,KAAK,MAAQ,IAAI2zD,EAAaY,EAAO11D,QAAQu1D,EAAIh8D,OAAS,IAAM,GAClFF,EAAI,EAAGA,EAAI4+C,EAAI1+C,OAAQF,GAAK,EAAG,CACtC,IAAIy8D,EAAW7d,EAAI5+C,EAAI,GAAGioC,KAAKy0B,GAC/B3wD,EAAMkG,KAAKqE,KAAKsoC,EAAI5+C,GAAIm8D,EAAQM,EAAS30D,KAAK,OAASs0D,EAAQK,IAEjE,OAAO1wD,EAtBFqwD,CAAQI,EAASN,EAAK,IAzVjBS,CAkQd,SAAaX,GACX,IAAIE,EAAM,CAAC,IAEX,OADAU,EAWA,SAASC,EAAQb,EAAM9oC,GACrB,GAAiB,UAAb8oC,EAAKp1D,KACP,OAAOo1D,EAAKc,MAAMC,QAAO,SAAUne,EAAKod,GAAQ,OAAOpd,EAAI7zC,OAAO8xD,EAAQb,EAAM9oC,MAAW,IACtF,GAAiB,OAAb8oC,EAAKp1D,KACd,IAAK,IAAI5G,EAAI,GAAIA,IAAK,CACpB,IAAIiS,EAAO4qD,EAAQb,EAAKc,MAAM98D,GAAIkzB,GAClC,GAAIlzB,GAAKg8D,EAAKc,MAAM58D,OAAS,EAAK,OAAO+R,EACzC2qD,EAAQ3qD,EAAMihB,EAAOgzB,SAElB,IAAiB,QAAb8V,EAAKp1D,KAAgB,CAC9B,IAAImkD,EAAO7E,IAGX,OAFAsB,EAAKt0B,EAAM63B,GACX6R,EAAQC,EAAQb,EAAKA,KAAMjR,GAAOA,GAC3B,CAACvD,EAAKuD,IACR,GAAiB,QAAbiR,EAAKp1D,KAAgB,CAC9B,IAAIo2D,EAAS9W,IAGb,OAFA0W,EAAQC,EAAQb,EAAKA,KAAM9oC,GAAO8pC,GAClCJ,EAAQC,EAAQb,EAAKA,KAAMgB,GAASA,GAC7B,CAACxV,EAAKwV,IACR,GAAiB,OAAbhB,EAAKp1D,KACd,MAAO,CAAC4gD,EAAKt0B,IAAOnoB,OAAO8xD,EAAQb,EAAKA,KAAM9oC,IACzC,GAAiB,SAAb8oC,EAAKp1D,KAAiB,CAE/B,IADA,IAAIq2D,EAAM/pC,EACDy4B,EAAM,EAAGA,EAAMqQ,EAAKjzD,IAAK4iD,IAAO,CACvC,IAAIuR,EAAShX,IACb0W,EAAQC,EAAQb,EAAKA,KAAMiB,GAAMC,GACjCD,EAAMC,EAER,IAAiB,GAAblB,EAAKjtC,IACP6tC,EAAQC,EAAQb,EAAKA,KAAMiB,GAAMA,QAEjC,IAAK,IAAIE,EAAMnB,EAAKjzD,IAAKo0D,EAAMnB,EAAKjtC,IAAKouC,IAAO,CAC9C,IAAIC,EAASlX,IACbsB,EAAKyV,EAAKG,GACVR,EAAQC,EAAQb,EAAKA,KAAMiB,GAAMG,GACjCH,EAAMG,EAGV,MAAO,CAAC5V,EAAKyV,IACR,GAAiB,QAAbjB,EAAKp1D,KACd,MAAO,CAAC4gD,EAAKt0B,EAAM,KAAM8oC,EAAK34D,SAnD1Bw5D,CAAQb,EAAM,GAAI9V,KACnBgW,EAEP,SAAShW,IAAS,OAAOgW,EAAI5lD,KAAK,IAAM,EACxC,SAASkxC,EAAKt0B,EAAM4L,EAAIw9B,GACtB,IAAI9U,EAAO,CAAC8U,KAAMA,EAAMx9B,GAAIA,GAE5B,OADAo9B,EAAIhpC,GAAM5c,KAAKkxC,GACRA,EAET,SAASoV,EAAQS,EAAOv+B,GAAMu+B,EAAMx9D,SAAQ,SAAU2nD,GAAQ,OAAOA,EAAK1oB,GAAKA,MA7Q/Do9B,CAAIF,IAEpB,OAiXF,SAA0B/nD,EAAO6nD,GAC/B,IAAK,IAAI97D,EAAI,EAAGs9D,EAAO,CAACrpD,GAAQjU,EAAIs9D,EAAKp9D,OAAQF,IAAK,CAEpD,IADA,IAAI+L,EAAQuxD,EAAKt9D,GAAIu9D,GAAQxxD,EAAM69C,SAAUgN,EAAQ,GAC5Cj8C,EAAI,EAAGA,EAAI5O,EAAMkG,KAAK/R,OAAQya,GAAK,EAAG,CAC7C,IAAIurC,EAAOn6C,EAAMkG,KAAK0I,GAAI1I,EAAOlG,EAAMkG,KAAK0I,EAAI,GAChDi8C,EAAMtgD,KAAK4vC,EAAKvnD,OACZ4+D,GAAUrX,EAAKwJ,QAAUxJ,EAAKuB,qBAAuB8V,GAAO,IACrC,GAAvBD,EAAK32D,QAAQsL,IAAeqrD,EAAKhnD,KAAKrE,GAExCsrD,GAAQzB,EAAO7E,IAAI,+BAAiCL,EAAM9uD,KAAK,MAAQ,mFA3X7E01D,CAAiBvpD,EAAO6nD,GACjB7nD,GAMTwnD,EAAan8D,UAAUqqD,UAAY,SAAoB/iD,GACrD,IAAK,IAAI5G,EAAI,EAAGA,EAAI+H,KAAKkK,KAAK/R,OAAQF,GAAK,EACvC,GAAI+H,KAAKkK,KAAKjS,IAAM4G,EAAQ,OAAOmB,KAAKkK,KAAKjS,EAAI,GACrD,OAAO,MAMTy7D,EAAan8D,UAAU67D,cAAgB,SAAwBsC,EAAM5I,EAAOhL,QACzD,IAAVgL,IAAmBA,EAAQ,QACnB,IAARhL,IAAiBA,EAAM4T,EAAK3W,YAGnC,IADA,IAAImW,EAAMl1D,KACD/H,EAAI60D,EAAOoI,GAAOj9D,EAAI6pD,EAAK7pD,IAChCi9D,EAAMA,EAAItT,UAAU8T,EAAK3N,MAAM9vD,GAAG4G,MACtC,OAAOq2D,GAGTtB,EAAqB1Q,cAActoD,IAAM,WACvC,IAAIue,EAAQnZ,KAAKkK,KAAK,GACtB,QAAOiP,GAAQA,EAAM0sC,UAMvB+N,EAAqBC,YAAYj5D,IAAM,WACrC,IAAK,IAAI3C,EAAI,EAAGA,EAAI+H,KAAKkK,KAAK/R,OAAQF,GAAK,EAAG,CAC5C,IAAI4G,EAAOmB,KAAKkK,KAAKjS,GACrB,IAAM4G,EAAK8oD,SAAU9oD,EAAK6gD,mBAAuB,OAAO7gD,IAI5D60D,EAAan8D,UAAUo+D,WAAa,SAAqBhzC,GACvD,IAAK,IAAI1qB,EAAI,EAAGA,EAAI+H,KAAKkK,KAAK/R,OAAQF,GAAK,EACvC,IAAK,IAAI2a,EAAI,EAAGA,EAAI+P,EAAMzY,KAAK/R,OAAQya,GAAK,EAC1C,GAAI5S,KAAKkK,KAAKjS,IAAM0qB,EAAMzY,KAAK0I,GAAM,OAAO,EAClD,OAAO,GAUT8gD,EAAan8D,UAAUq+D,WAAa,SAAqB5W,EAAO6W,EAAOpF,QACpD,IAAVoF,IAAmBA,GAAQ,QACZ,IAAfpF,IAAwBA,EAAa,GAE5C,IAAIvF,EAAO,CAAClrD,MAgBZ,OAfA,SAASyvB,EAAOvjB,EAAO00C,GACrB,IAAIkV,EAAW5pD,EAAMknD,cAAcpU,EAAOyR,GAC1C,GAAIqF,KAAcD,GAASC,EAASjU,UAChC,OAAO+K,EAASzhC,KAAKy1B,EAAM/wC,KAAI,SAAUkmD,GAAM,OAAOA,EAAG3V,oBAE7D,IAAK,IAAInoD,EAAI,EAAGA,EAAIiU,EAAMhC,KAAK/R,OAAQF,GAAK,EAAG,CAC7C,IAAI4G,EAAOqN,EAAMhC,KAAKjS,GAAIiS,EAAOgC,EAAMhC,KAAKjS,EAAI,GAChD,IAAM4G,EAAK8oD,SAAU9oD,EAAK6gD,qBAA8C,GAAvBwL,EAAKtsD,QAAQsL,GAAa,CACzEghD,EAAK38C,KAAKrE,GACV,IAAIi8C,EAAQ12B,EAAOvlB,EAAM02C,EAAM59C,OAAOnE,IACtC,GAAIsnD,EAAS,OAAOA,IAKnB12B,CAAOzvB,KAAM,KAQtB0zD,EAAan8D,UAAUoqD,aAAe,SAAuBzlD,GAC3D,IAAK,IAAIjE,EAAI,EAAGA,EAAI+H,KAAK2zD,UAAUx7D,OAAQF,GAAK,EAC5C,GAAI+H,KAAK2zD,UAAU17D,IAAMiE,EAAU,OAAO8D,KAAK2zD,UAAU17D,EAAI,GACjE,IAAI+9D,EAAWh2D,KAAKi2D,gBAAgB/5D,GAEpC,OADA8D,KAAK2zD,UAAUplD,KAAKrS,EAAQ85D,GACrBA,GAGTtC,EAAan8D,UAAU0+D,gBAAkB,SAA0B/5D,GAEjE,IADA,IAAIgvD,EAAO5zD,OAAO4N,OAAO,MAAOgxD,EAAS,CAAC,CAAChqD,MAAOlM,KAAMnB,KAAM,KAAMs3D,IAAK,OAClED,EAAO/9D,QAAQ,CACpB,IAAIu1D,EAAUwI,EAAOxoC,QAASxhB,EAAQwhD,EAAQxhD,MAC9C,GAAIA,EAAM01C,UAAU1lD,GAAS,CAE3B,IADA,IAAIrC,EAAS,GACJ9B,EAAM21D,EAAS31D,EAAI8G,KAAM9G,EAAMA,EAAIo+D,IACxCt8D,EAAO0U,KAAKxW,EAAI8G,MACpB,OAAOhF,EAAOu8D,UAEhB,IAAK,IAAIn+D,EAAI,EAAGA,EAAIiU,EAAMhC,KAAK/R,OAAQF,GAAK,EAAG,CAC7C,IAAI4G,EAAOqN,EAAMhC,KAAKjS,GACjB4G,EAAKuuD,QAAWvuD,EAAK6gD,oBAAwB7gD,EAAKjI,QAAQs0D,GAAWwC,EAAQ7uD,OAAQqN,EAAMhC,KAAKjS,EAAI,GAAG4pD,WAC1GqU,EAAO3nD,KAAK,CAACrC,MAAOrN,EAAKs0D,aAAct0D,KAAMA,EAAMs3D,IAAKzI,IACxDxC,EAAKrsD,EAAKjI,OAAQ,MAS1Bg9D,EAAqBpU,UAAU5kD,IAAM,WACnC,OAAOoF,KAAKkK,KAAK/R,QAAU,GAM7Bu7D,EAAan8D,UAAUkoD,KAAO,SAAe5oC,GAC3C,IAAI5e,EAAI4e,GAAK,EACb,GAAI5e,GAAK+H,KAAKkK,KAAK/R,OAAU,MAAM,IAAIsuD,WAAY,cAAgB5vC,EAAI,iCACvE,MAAO,CAAChY,KAAMmB,KAAKkK,KAAKjS,GAAIiS,KAAMlK,KAAKkK,KAAKjS,EAAI,KAGlDy7D,EAAan8D,UAAUF,SAAW,WAChC,IAAI6zD,EAAO,GAOX,OANA,SAASmL,EAAKr0C,GACZkpC,EAAK38C,KAAKyT,GACV,IAAK,IAAI/pB,EAAI,EAAGA,EAAI+pB,EAAE9X,KAAK/R,OAAQF,GAAK,GACJ,GAA5BizD,EAAKtsD,QAAQojB,EAAE9X,KAAKjS,KAAao+D,EAAKr0C,EAAE9X,KAAKjS,IAEvDo+D,CAAKr2D,MACEkrD,EAAKr7C,KAAI,SAAUmS,EAAG/pB,GAE3B,IADA,IAAI4+C,EAAM5+C,GAAK+pB,EAAE6/B,SAAW,IAAM,KAAO,IAChC+B,EAAM,EAAGA,EAAM5hC,EAAE9X,KAAK/R,OAAQyrD,GAAO,EAC1C/M,IAAQ+M,EAAM,KAAO,IAAM5hC,EAAE9X,KAAK05C,GAAKhtD,KAAO,KAAOs0D,EAAKtsD,QAAQojB,EAAE9X,KAAK05C,EAAM,IACnF,OAAO/M,KACN92C,KAAK,OAGVzI,OAAOiP,iBAAkBmtD,EAAan8D,UAAWq8D,GAEjDF,EAAav+B,MAAQ,IAAIu+B,GAAa,GAEtC,IAAIM,EAAc,SAAqBx+C,EAAQs+C,GAC7C9zD,KAAKwV,OAASA,EACdxV,KAAK8zD,UAAYA,EACjB9zD,KAAKs2D,OAAS,KACdt2D,KAAKkZ,IAAM,EACXlZ,KAAKk9B,OAAS1nB,EAAO7V,MAAM,kBACgB,IAAvCK,KAAKk9B,OAAOl9B,KAAKk9B,OAAO/kC,OAAS,IAAY6H,KAAKk9B,OAAOq5B,MACvC,IAAlBv2D,KAAKk9B,OAAO,IAAYl9B,KAAKk9B,OAAO3P,WAGtCipC,EAAyB,CAAEtsD,KAAM,CAAExF,cAAc,IAUrD,SAASwvD,EAAUH,GACjB,IAAIgB,EAAQ,GACZ,GAAKA,EAAMxmD,KAAKkoD,EAAa1C,UACtBA,EAAO2C,IAAI,MAClB,OAAuB,GAAhB3B,EAAM58D,OAAc48D,EAAM,GAAK,CAACl2D,KAAM,SAAUk2D,MAAOA,GAGhE,SAAS0B,EAAa1C,GACpB,IAAIgB,EAAQ,GACZ,GAAKA,EAAMxmD,KAAKooD,EAAmB5C,UAC5BA,EAAO7pD,MAAuB,KAAf6pD,EAAO7pD,MAA8B,KAAf6pD,EAAO7pD,MACnD,OAAuB,GAAhB6qD,EAAM58D,OAAc48D,EAAM,GAAK,CAACl2D,KAAM,MAAOk2D,MAAOA,GAG7D,SAAS4B,EAAmB5C,GAE1B,IADA,IAAIE,EA4CN,SAAuBF,GACrB,GAAIA,EAAO2C,IAAI,KAAM,CACnB,IAAIzC,EAAOC,EAAUH,GAErB,OADKA,EAAO2C,IAAI,MAAQ3C,EAAO7E,IAAI,yBAC5B+E,EACF,IAAK,KAAK9oD,KAAK4oD,EAAO7pD,MAAO,CAClC,IAAI6qD,EAlBR,SAAqBhB,EAAQn9D,GAC3B,IAAIgqD,EAAQmT,EAAOD,UAAWj1D,EAAO+hD,EAAMhqD,GAC3C,GAAIiI,EAAQ,MAAO,CAACA,GACpB,IAAIhF,EAAS,GACb,IAAK,IAAI+8D,KAAYhW,EAAO,CAC1B,IAAIiW,EAASjW,EAAMgW,GACfC,EAAOtuC,OAAO3pB,QAAQhI,IAAS,GAAKiD,EAAO0U,KAAKsoD,GAEjC,GAAjBh9D,EAAO1B,QAAe47D,EAAO7E,IAAI,0BAA4Bt4D,EAAO,WACxE,OAAOiD,EASOi9D,CAAY/C,EAAQA,EAAO7pD,MAAM2F,KAAI,SAAUhR,GAGzD,OAFqB,MAAjBk1D,EAAOuC,OAAkBvC,EAAOuC,OAASz3D,EAAKgnD,SACzCkO,EAAOuC,QAAUz3D,EAAKgnD,UAAYkO,EAAO7E,IAAI,mCAC/C,CAACrwD,KAAM,OAAQvD,MAAOuD,MAG/B,OADAk1D,EAAO76C,MACgB,GAAhB67C,EAAM58D,OAAc48D,EAAM,GAAK,CAACl2D,KAAM,SAAUk2D,MAAOA,GAE9DhB,EAAO7E,IAAI,qBAAuB6E,EAAO7pD,KAAO,KA1DvC6sD,CAAchD,KAEvB,GAAIA,EAAO2C,IAAI,KACXzC,EAAO,CAACp1D,KAAM,OAAQo1D,KAAMA,QAC3B,GAAIF,EAAO2C,IAAI,KAChBzC,EAAO,CAACp1D,KAAM,OAAQo1D,KAAMA,QAC3B,GAAIF,EAAO2C,IAAI,KAChBzC,EAAO,CAACp1D,KAAM,MAAOo1D,KAAMA,OAC1B,KAAIF,EAAO2C,IAAI,KAEb,MADHzC,EAAO+C,EAAejD,EAAQE,GAGpC,OAAOA,EAGT,SAASgD,EAASlD,GACZ,KAAK5oD,KAAK4oD,EAAO7pD,OAAS6pD,EAAO7E,IAAI,yBAA2B6E,EAAO7pD,KAAO,KAClF,IAAIrQ,EAASkP,OAAOgrD,EAAO7pD,MAE3B,OADA6pD,EAAO76C,MACArf,EAGT,SAASm9D,EAAejD,EAAQE,GAC9B,IAAIjzD,EAAMi2D,EAASlD,GAAS/sC,EAAMhmB,EAMlC,OALI+yD,EAAO2C,IAAI,OACa1vC,EAAP,KAAf+sC,EAAO7pD,KAAqB+sD,EAASlD,IAC3B,GAEXA,EAAO2C,IAAI,MAAQ3C,EAAO7E,IAAI,yBAC5B,CAACrwD,KAAM,QAASmC,IAAKA,EAAKgmB,IAAKA,EAAKitC,KAAMA,GAwGnD,SAASU,EAAIz6D,EAAGC,GAAK,OAAOA,EAAID,EAKhC,SAASu6D,EAASN,EAAKhW,GACrB,IAAItkD,EAAS,GAEb,OAEA,SAASw8D,EAAKlY,GACZ,IAAImX,EAAQnB,EAAIhW,GAChB,GAAoB,GAAhBmX,EAAMn9D,SAAgBm9D,EAAM,GAAGf,KAAQ,OAAO8B,EAAKf,EAAM,GAAGv+B,IAChEl9B,EAAO0U,KAAK4vC,GACZ,IAAK,IAAIlmD,EAAI,EAAGA,EAAIq9D,EAAMn9D,OAAQF,IAAK,CACrC,IAAIinD,EAAMoW,EAAMr9D,GACZs8D,EAAOrV,EAAIqV,KACXx9B,EAAKmoB,EAAInoB,GACRw9B,IAA+B,GAAvB16D,EAAO+E,QAAQm4B,IAAas/B,EAAKt/B,IAXlDs/B,CAAKlY,GACEtkD,EAAOqmC,KAAKy0B,GAgErB,SAAS/B,EAAatQ,GACpB,IAAI38C,EAAWrO,OAAO4N,OAAO,MAC7B,IAAK,IAAIgyD,KAAY5U,EAAO,CAC1B,IAAI6U,EAAO7U,EAAM4U,GACjB,IAAKC,EAAKC,WAAc,OAAO,KAC/BzxD,EAASuxD,GAAYC,EAAKtyD,QAE5B,OAAOc,EAGT,SAAS0xD,EAAa/U,EAAOhnD,GAC3B,IAAIg8D,EAAQhgE,OAAO4N,OAAO,MAC1B,IAAK,IAAItO,KAAQ0rD,EAAO,CACtB,IAAIiV,EAAQj8D,GAASA,EAAM1E,GAC3B,QAAcgG,IAAV26D,EAAqB,CACvB,IAAIJ,EAAO7U,EAAM1rD,GACjB,IAAIugE,EAAKC,WACF,MAAM,IAAI3Q,WAAW,mCAAqC7vD,GAD1C2gE,EAAQJ,EAAKtyD,QAGtCyyD,EAAM1gE,GAAQ2gE,EAEhB,OAAOD,EAGT,SAASE,EAAUlV,GACjB,IAAIzoD,EAASvC,OAAO4N,OAAO,MAC3B,GAAIo9C,EAAS,IAAK,IAAI1rD,KAAQ0rD,EAASzoD,EAAOjD,GAAQ,IAAI6gE,EAAUnV,EAAM1rD,IAC1E,OAAOiD,EA/PT28D,EAAuBtsD,KAAKtP,IAAM,WAAc,OAAOoF,KAAKk9B,OAAOl9B,KAAKkZ,MAExE86C,EAAYz8D,UAAUm/D,IAAM,SAAcgB,GAAO,OAAO13D,KAAKkK,MAAQwtD,IAAQ13D,KAAKkZ,QAAS,IAE3F86C,EAAYz8D,UAAU23D,IAAM,SAAc50D,GAAO,MAAM,IAAIq9D,YAAYr9D,EAAM,4BAA8B0F,KAAKwV,OAAS,OAEzHle,OAAOiP,iBAAkBytD,EAAYz8D,UAAWi/D,GAgQhD,IAAIoB,EAAW,SAAkBhhE,EAAM6yD,EAAQhL,GAG7Cz+C,KAAKpJ,KAAOA,EAIZoJ,KAAKypD,OAASA,EAIdzpD,KAAKy+C,KAAOA,EAEZz+C,KAAKuoB,OAASk2B,EAAKoZ,MAAQpZ,EAAKoZ,MAAMl4D,MAAM,KAAO,GACnDK,KAAKsiD,MAAQkV,EAAU/Y,EAAK6D,OAE5BtiD,KAAK4yD,aAAeA,EAAa5yD,KAAKsiD,OAItCtiD,KAAKmzD,aAAe,KAKpBnzD,KAAK83D,QAAU,KAIf93D,KAAKkjD,cAAgB,KAIrBljD,KAAKwgD,UAAY/B,EAAK6X,QAAkB,QAAR1/D,GAIhCoJ,KAAK2nD,OAAiB,QAAR/wD,GAGZmhE,EAAuB,CAAElS,SAAU,CAAEnhD,cAAc,GAAO45C,YAAa,CAAE55C,cAAc,GAAO0oD,OAAQ,CAAE1oD,cAAc,GAAO+/C,OAAQ,CAAE//C,cAAc,IAIzJqzD,EAAqBlS,SAASjrD,IAAM,WAAc,OAAQoF,KAAKwgD,SAK/DuX,EAAqBzZ,YAAY1jD,IAAM,WAAc,OAAOoF,KAAKwgD,SAAWxgD,KAAKkjD,eAIjF6U,EAAqB3K,OAAOxyD,IAAM,WAAc,OAAOoF,KAAKmzD,cAAgBO,EAAav+B,OAKzF4iC,EAAqBtT,OAAO7pD,IAAM,WAAc,OAAOoF,KAAKotD,QAAUptD,KAAKy+C,KAAKuZ,MAIhFJ,EAASrgE,UAAUmoD,iBAAmB,WACpC,IAAK,IAAI7oC,KAAK7W,KAAKsiD,MAAS,GAAItiD,KAAKsiD,MAAMzrC,GAAGohD,WAAc,OAAO,EACnE,OAAO,GAGTL,EAASrgE,UAAU+pD,kBAAoB,SAA4B3+B,GACjE,OAAO3iB,MAAQ2iB,GAAS3iB,KAAKmzD,aAAawC,WAAWhzC,EAAMwwC,eAG7DyE,EAASrgE,UAAU8/D,aAAe,SAAyB/U,GACzD,OAAKA,GAAStiD,KAAK4yD,aAAuB5yD,KAAK4yD,aACjCyE,EAAar3D,KAAKsiD,MAAOA,IAUzCsV,EAASrgE,UAAU2N,OAAS,SAAiBo9C,EAAOx7C,EAASy8C,GAC3D,GAAIvjD,KAAK2nD,OAAU,MAAM,IAAI36C,MAAM,8CACnC,OAAO,IAAIwlD,EAAKxyD,KAAMA,KAAKq3D,aAAa/U,GAAQsK,EAASzhC,KAAKrkB,GAAUkoD,EAAKM,QAAQ/L,KAOvFqU,EAASrgE,UAAU2gE,cAAgB,SAAwB5V,EAAOx7C,EAASy8C,GAEzE,GADAz8C,EAAU8lD,EAASzhC,KAAKrkB,IACnB9G,KAAK4wD,aAAa9pD,GACnB,MAAM,IAAI2/C,WAAW,4BAA8BzmD,KAAKpJ,MAC5D,OAAO,IAAI47D,EAAKxyD,KAAMA,KAAKq3D,aAAa/U,GAAQx7C,EAASkoD,EAAKM,QAAQ/L,KAUxEqU,EAASrgE,UAAU6oD,cAAgB,SAAwBkC,EAAOx7C,EAASy8C,GAGzE,GAFAjB,EAAQtiD,KAAKq3D,aAAa/U,IAC1Bx7C,EAAU8lD,EAASzhC,KAAKrkB,IACZyS,KAAM,CAChB,IAAIslC,EAAS7+C,KAAKmzD,aAAayC,WAAW9uD,GAC1C,IAAK+3C,EAAU,OAAO,KACtB/3C,EAAU+3C,EAAO8N,OAAO7lD,GAE1B,IAAIk4C,EAAQh/C,KAAKmzD,aAAaC,cAActsD,GAAS8uD,WAAWhJ,EAASz3B,OAAO,GAChF,OAAK6pB,EACE,IAAIwT,EAAKxyD,KAAMsiD,EAAOx7C,EAAQ6lD,OAAO3N,GAAQgQ,EAAKM,QAAQ/L,IAD5C,MAOvBqU,EAASrgE,UAAUq5D,aAAe,SAAuB9pD,GACvD,IAAIjN,EAASmG,KAAKmzD,aAAaC,cAActsD,GAC7C,IAAKjN,IAAWA,EAAOgoD,SAAY,OAAO,EAC1C,IAAK,IAAI5pD,EAAI,EAAGA,EAAI6O,EAAQi4C,WAAY9mD,IACpC,IAAK+H,KAAKuzD,YAAYzsD,EAAQihD,MAAM9vD,GAAGsrD,OAAU,OAAO,EAC5D,OAAO,GAKTqU,EAASrgE,UAAU0rD,eAAiB,SAAyBJ,GAC3D,OAAuB,MAAhB7iD,KAAK83D,SAAmB93D,KAAK83D,QAAQl5D,QAAQikD,IAAa,GAKnE+U,EAASrgE,UAAUg8D,YAAc,SAAsBhQ,GACrD,GAAoB,MAAhBvjD,KAAK83D,QAAmB,OAAO,EACnC,IAAK,IAAI7/D,EAAI,EAAGA,EAAIsrD,EAAMprD,OAAQF,IAAO,IAAK+H,KAAKijD,eAAeM,EAAMtrD,GAAG4G,MAAS,OAAO,EAC3F,OAAO,GAKT+4D,EAASrgE,UAAU4gE,aAAe,SAAuB5U,GACvD,GAAoB,MAAhBvjD,KAAK83D,QAAmB,OAAOvU,EAEnC,IADA,IAAIvB,EACK/pD,EAAI,EAAGA,EAAIsrD,EAAMprD,OAAQF,IAC3B+H,KAAKijD,eAAeM,EAAMtrD,GAAG4G,MAEvBmjD,GACTA,EAAKzzC,KAAKg1C,EAAMtrD,IAFX+pD,IAAQA,EAAOuB,EAAMtgD,MAAM,EAAGhL,IAKvC,OAAQ+pD,EAAeA,EAAK7pD,OAAS6pD,EAAOgN,EAAK75B,MAAlCouB,GAGjBqU,EAAS9C,QAAU,SAAkBjG,EAAOpF,GAC1C,IAAI5vD,EAASvC,OAAO4N,OAAO,MAC3B2pD,EAAM/2D,SAAQ,SAAUlB,EAAM6nD,GAAQ,OAAO5kD,EAAOjD,GAAQ,IAAIghE,EAAShhE,EAAM6yD,EAAQhL,MAEvF,IAAI2Z,EAAU3O,EAAOhL,KAAK4Z,SAAW,MACrC,IAAKx+D,EAAOu+D,GAAY,MAAM,IAAI3R,WAAW,yCAA2C2R,EAAU,MAClG,IAAKv+D,EAAOuE,KAAQ,MAAM,IAAIqoD,WAAW,oCACzC,IAAK,IAAImD,KAAK/vD,EAAOuE,KAAKkkD,MAAS,MAAM,IAAImE,WAAW,iDAExD,OAAO5sD,GAGTvC,OAAOiP,iBAAkBqxD,EAASrgE,UAAWwgE,GAI7C,IAAIN,EAAY,SAAmBz7D,GACjCgE,KAAKo3D,WAAa9/D,OAAOC,UAAUc,eAAeX,KAAKsE,EAAS,WAChEgE,KAAK6E,QAAU7I,EAAQ6I,SAGrByzD,EAAyB,CAAEL,WAAY,CAAEvzD,cAAc,IAE3D4zD,EAAuBL,WAAWr9D,IAAM,WACtC,OAAQoF,KAAKo3D,YAGf9/D,OAAOiP,iBAAkBkxD,EAAUlgE,UAAW+gE,GAQ9C,IAAIC,EAAW,SAAkB3hE,EAAMy4D,EAAM5F,EAAQhL,GAGnDz+C,KAAKpJ,KAAOA,EAIZoJ,KAAKypD,OAASA,EAIdzpD,KAAKy+C,KAAOA,EAEZz+C,KAAKsiD,MAAQkV,EAAU/Y,EAAK6D,OAE5BtiD,KAAKqvD,KAAOA,EACZrvD,KAAKw4D,SAAW,KAChB,IAAI7yD,EAAWitD,EAAa5yD,KAAKsiD,OACjCtiD,KAAK4sB,SAAWjnB,GAAY,IAAIqpD,EAAKhvD,KAAM2F,IAO7C4yD,EAAShhE,UAAU2N,OAAS,SAAiBo9C,GAC3C,OAAKA,GAAStiD,KAAK4sB,SAAmB5sB,KAAK4sB,SACpC,IAAIoiC,EAAKhvD,KAAMq3D,EAAar3D,KAAKsiD,MAAOA,KAGjDiW,EAASzD,QAAU,SAAkBvR,EAAOkG,GAC1C,IAAI5vD,EAASvC,OAAO4N,OAAO,MAAOmqD,EAAO,EAEzC,OADA9L,EAAMzrD,SAAQ,SAAUlB,EAAM6nD,GAAQ,OAAO5kD,EAAOjD,GAAQ,IAAI2hE,EAAS3hE,EAAMy4D,IAAQ5F,EAAQhL,MACxF5kD,GAMT0+D,EAAShhE,UAAU4xD,cAAgB,SAAwBjmD,GACzD,IAAK,IAAIjL,EAAI,EAAGA,EAAIiL,EAAI/K,OAAQF,IAC5B,GAAIiL,EAAIjL,GAAG4G,MAAQmB,KACjB,OAAOkD,EAAID,MAAM,EAAGhL,GAAG+K,OAAOE,EAAID,MAAMhL,EAAI,IAClD,OAAOiL,GAKTq1D,EAAShhE,UAAU8rD,QAAU,SAAkBngD,GAC7C,IAAK,IAAIjL,EAAI,EAAGA,EAAIiL,EAAI/K,OAAQF,IAC5B,GAAIiL,EAAIjL,GAAG4G,MAAQmB,KAAQ,OAAOkD,EAAIjL,IAM5CsgE,EAAShhE,UAAU63D,SAAW,SAAmBzsC,GAC/C,OAAO3iB,KAAKw4D,SAAS55D,QAAQ+jB,IAAU,GAwKzC,IAAI,EAAS,SAAgB87B,GAQ3B,IAAK,IAAIp/B,KADTrf,KAAKy+C,KAAO,GACKA,EAAQz+C,KAAKy+C,KAAKp/B,GAAQo/B,EAAKp/B,GAChDrf,KAAKy+C,KAAKoQ,MAAQ,EAAW1jC,KAAKszB,EAAKoQ,OACvC7uD,KAAKy+C,KAAK8E,MAAQ,EAAWp4B,KAAKszB,EAAK8E,OAIvCvjD,KAAK6uD,MAAQ+I,EAAS9C,QAAQ90D,KAAKy+C,KAAKoQ,MAAO7uD,MAI/CA,KAAKujD,MAAQgV,EAASzD,QAAQ90D,KAAKy+C,KAAK8E,MAAOvjD,MAE/C,IAAIy4D,EAAmBnhE,OAAO4N,OAAO,MACrC,IAAK,IAAIwzD,KAAU14D,KAAK6uD,MAAO,CAC7B,GAAI6J,KAAU14D,KAAKujD,MACf,MAAM,IAAIkD,WAAWiS,EAAS,sCAClC,IAAI75D,EAAOmB,KAAK6uD,MAAM6J,GAASC,EAAc95D,EAAK4/C,KAAK33C,SAAW,GAAI8xD,EAAW/5D,EAAK4/C,KAAK8E,MAC3F1kD,EAAKs0D,aAAesF,EAAiBE,KAClCF,EAAiBE,GAAejF,EAAan4C,MAAMo9C,EAAa34D,KAAK6uD,QACxEhwD,EAAKqkD,cAAgBrkD,EAAKs0D,aAAajQ,cACvCrkD,EAAKi5D,QAAsB,KAAZc,EAAkB,KAC/BA,EAAWC,EAAY74D,KAAM44D,EAASj5D,MAAM,MAChC,IAAZi5D,GAAmB/5D,EAAKqkD,cAAqB,KAAL,GAE5C,IAAK,IAAI4V,KAAU94D,KAAKujD,MAAO,CAC7B,IAAIsT,EAAS72D,KAAKujD,MAAMuV,GAASC,EAAOlC,EAAOpY,KAAK2Q,SACpDyH,EAAO2B,SAAmB,MAARO,EAAe,CAAClC,GAAkB,IAARkC,EAAa,GAAKF,EAAY74D,KAAM+4D,EAAKp5D,MAAM,MAG7FK,KAAK0uD,aAAe1uD,KAAK0uD,aAAat3D,KAAK4I,MAC3CA,KAAK2rD,aAAe3rD,KAAK2rD,aAAav0D,KAAK4I,MAK3CA,KAAKgqD,YAAchqD,KAAK6uD,MAAM7uD,KAAKy+C,KAAK4Z,SAAW,OAMnDr4D,KAAKmyD,OAAS76D,OAAO4N,OAAO,MAC5BlF,KAAKmyD,OAAO6G,UAAY1hE,OAAO4N,OAAO,OAsDxC,SAAS2zD,EAAYpP,EAAQlG,GAE3B,IADA,IAAI4C,EAAQ,GACHluD,EAAI,EAAGA,EAAIsrD,EAAMprD,OAAQF,IAAK,CACrC,IAAIrB,EAAO2sD,EAAMtrD,GAAIgxD,EAAOQ,EAAOlG,MAAM3sD,GAAOqiE,EAAKhQ,EACrD,GAAIA,EACF9C,EAAM53C,KAAK06C,QAEX,IAAK,IAAI5pC,KAAQoqC,EAAOlG,MAAO,CAC7B,IAAI2V,EAASzP,EAAOlG,MAAMlkC,IACd,KAARzoB,GAAgBsiE,EAAOza,KAAKoZ,OAASqB,EAAOza,KAAKoZ,MAAMl4D,MAAM,KAAKf,QAAQhI,IAAS,IACnFuvD,EAAM53C,KAAK0qD,EAAKC,GAGxB,IAAKD,EAAM,MAAM,IAAItB,YAAY,uBAAyBpU,EAAMtrD,GAAK,KAEvE,OAAOkuD,EA7DT,EAAO5uD,UAAU4mD,KAAO,SAAet/C,EAAMyjD,EAAOx7C,EAASy8C,GAC3D,GAAmB,iBAAR1kD,EACPA,EAAOmB,KAAKqiD,SAASxjD,OACpB,MAAMA,aAAgB+4D,GACvB,MAAM,IAAInR,WAAW,sBAAwB5nD,GAC5C,GAAIA,EAAK4qD,QAAUzpD,KACpB,MAAM,IAAIymD,WAAW,yCAA2C5nD,EAAKjI,KAAO,KAEhF,OAAOiI,EAAKq5D,cAAc5V,EAAOx7C,EAASy8C,IAM5C,EAAOhsD,UAAU6G,KAAO,SAAe+6D,EAAQ5V,GAC7C,IAAI1kD,EAAOmB,KAAK6uD,MAAMzwD,KACtB,OAAO,IAAIq1D,EAAS50D,EAAMA,EAAK+zD,aAAcuG,EAAQnK,EAAKM,QAAQ/L,KAKpE,EAAOhsD,UAAU0xD,KAAO,SAAepqD,EAAMyjD,GAE3C,MADmB,iBAARzjD,IAAoBA,EAAOmB,KAAKujD,MAAM1kD,IAC1CA,EAAKqG,OAAOo9C,IAMrB,EAAO/qD,UAAUm3D,aAAe,SAAuBlI,GACrD,OAAOgM,EAAKjM,SAASvmD,KAAMwmD,IAM7B,EAAOjvD,UAAUo0D,aAAe,SAAuBnF,GACrD,OAAOwI,EAAKzI,SAASvmD,KAAMwmD,IAG7B,EAAOjvD,UAAU8qD,SAAW,SAAmBzrD,GAC7C,IAAIuvD,EAAQnmD,KAAK6uD,MAAMj4D,GACvB,IAAKuvD,EAAS,MAAM,IAAIM,WAAW,sBAAwB7vD,GAC3D,OAAOuvD,GA+JT,IAAIiT,GAAY,SAAmB3P,EAAQ4P,GACzC,IAAIhP,EAASrqD,KAIbA,KAAKypD,OAASA,EAIdzpD,KAAKq5D,MAAQA,EACbr5D,KAAKs5D,KAAO,GACZt5D,KAAKmR,OAAS,GAEdkoD,EAAMvhE,SAAQ,SAAUyhE,GAClBA,EAAKzgD,IAAOuxC,EAAOiP,KAAK/qD,KAAKgrD,GACxBA,EAAK7xD,OAAS2iD,EAAOl5C,OAAO5C,KAAKgrD,MAI5Cv5D,KAAKw5D,gBAAkBx5D,KAAKs5D,KAAK3hD,MAAK,SAAUrZ,GAC9C,IAAK,aAAa6M,KAAK7M,EAAEwa,OAASxa,EAAE6/C,KAAQ,OAAO,EACnD,IAAIA,EAAOsL,EAAOoF,MAAMvwD,EAAE6/C,MAC1B,OAAOA,EAAKgV,aAAavR,UAAUzD,OAMvCib,GAAU7hE,UAAUgkB,MAAQ,SAAgBk+C,EAAKz9D,QAC5B,IAAZA,IAAqBA,EAAU,IAEtC,IAAIgG,EAAU,IAAI03D,GAAa15D,KAAMhE,GAAS,GAE9C,OADAgG,EAAQ23D,OAAOF,EAAK,KAAMz9D,EAAQmvB,KAAMnvB,EAAQ+6B,IACzC/0B,EAAQ43D,UAUjBR,GAAU7hE,UAAUsiE,WAAa,SAAqBJ,EAAKz9D,QACtC,IAAZA,IAAqBA,EAAU,IAEtC,IAAIgG,EAAU,IAAI03D,GAAa15D,KAAMhE,GAAS,GAE9C,OADAgG,EAAQ23D,OAAOF,EAAK,KAAMz9D,EAAQmvB,KAAMnvB,EAAQ+6B,IACzCw4B,EAAM8B,QAAQrvD,EAAQ43D,WAG/BR,GAAU7hE,UAAUuiE,SAAW,SAAmBL,EAAKz3D,GACrD,IAAK,IAAI/J,EAAI,EAAGA,EAAI+H,KAAKs5D,KAAKnhE,OAAQF,IAAK,CACzC,IAAIshE,EAAOv5D,KAAKs5D,KAAKrhE,GACrB,GAAIm3C,GAAQqqB,EAAKF,EAAKzgD,YACElc,IAAnB28D,EAAKn1D,WAA2Bq1D,EAAIM,cAAgBR,EAAKn1D,cACxDm1D,EAAKv3D,SAAWA,EAAQg4D,eAAeT,EAAKv3D,UAAW,CAC3D,GAAIu3D,EAAKU,SAAU,CACjB,IAAIpgE,EAAS0/D,EAAKU,SAASR,GAC3B,IAAe,IAAX5/D,EAAoB,SACxB0/D,EAAKjX,MAAQzoD,EAEf,OAAO0/D,KAKbH,GAAU7hE,UAAU2iE,WAAa,SAAqB76C,EAAM/jB,EAAO0G,GACjE,IAAK,IAAI/J,EAAI,EAAGA,EAAI+H,KAAKmR,OAAOhZ,OAAQF,IAAK,CAC3C,IAAIshE,EAAOv5D,KAAKmR,OAAOlZ,GACvB,KAAgC,GAA5BshE,EAAK7xD,MAAM9I,QAAQygB,IACnBk6C,EAAKv3D,UAAYA,EAAQg4D,eAAeT,EAAKv3D,UAI7Cu3D,EAAK7xD,MAAMvP,OAASknB,EAAKlnB,SACc,IAAtCohE,EAAK7xD,MAAM8R,WAAW6F,EAAKlnB,SAAiBohE,EAAK7xD,MAAMzE,MAAMoc,EAAKlnB,OAAS,IAAMmD,IANtF,CAQA,GAAIi+D,EAAKU,SAAU,CACjB,IAAIpgE,EAAS0/D,EAAKU,SAAS3+D,GAC3B,IAAe,IAAXzB,EAAoB,SACxB0/D,EAAKjX,MAAQzoD,EAEf,OAAO0/D,KAKXH,GAAUe,YAAc,SAAsB1Q,GAC5C,IAAI5vD,EAAS,GACb,SAASgrD,EAAO0U,GAEd,IADA,IAAIx/B,EAA4B,MAAjBw/B,EAAKx/B,SAAmB,GAAKw/B,EAAKx/B,SAAU9hC,EAAI,EACxDA,EAAI4B,EAAO1B,OAAQF,IAAK,CAC7B,IAAIiS,EAAOrQ,EAAO5B,GAClB,IADsD,MAAjBiS,EAAK6vB,SAAmB,GAAK7vB,EAAK6vB,UACpDA,EAAY,MAEjClgC,EAAOiyD,OAAO7zD,EAAG,EAAGshE,GAGtB,IAAIvW,EAAO,SAAWpsD,GACpB,IAAIyiE,EAAQ5P,EAAOlG,MAAM3sD,GAAM6nD,KAAK2b,SAChCf,GAASA,EAAMvhE,SAAQ,SAAUyhE,GACnC1U,EAAO0U,EAAOvX,GAAKuX,IACnBA,EAAKtQ,KAAOryD,MAId,IAAK,IAAIA,KAAQ6yD,EAAOlG,MAAOP,EAAMpsD,GACvC,IACMyjE,EAOJ,IAAK,IAAIC,KAAU7Q,EAAOoF,MAPtBwL,YAAU5Q,EAAOoF,MAAMyL,GAAQ7b,KAAK2b,WACzBC,EAAQviE,SAAQ,SAAUyhE,GACvC1U,EAAO0U,EAAOvX,GAAKuX,IACnBA,EAAKpb,KAAOmc,KAKhB,OAAOzgE,GAOTu/D,GAAUmB,WAAa,SAAqB9Q,GAC1C,OAAOA,EAAO0I,OAAOqI,YAClB/Q,EAAO0I,OAAOqI,UAAY,IAAIpB,GAAU3P,EAAQ2P,GAAUe,YAAY1Q,MAI3E,IAAIgR,GAAY,CACdC,SAAS,EAAMC,SAAS,EAAMC,OAAO,EAAMC,YAAY,EAAMC,QAAQ,EACrE10B,IAAI,EAAM20B,KAAK,EAAMC,IAAI,EAAMC,UAAU,EAAMC,YAAY,EAAMC,QAAQ,EACzEC,QAAQ,EAAMC,MAAM,EAAMC,IAAI,EAAMC,IAAI,EAAMC,IAAI,EAAMC,IAAI,EAAMC,IAAI,EACtEC,IAAI,EAAMC,QAAQ,EAAMC,QAAQ,EAAMC,IAAI,EAAMC,IAAI,EAAMC,UAAU,EAAMC,IAAI,EAC9E/gC,QAAQ,EAAMxU,GAAG,EAAMw1C,KAAK,EAAMC,SAAS,EAAMC,OAAO,EAAMC,OAAO,EAAMC,IAAI,GAI7EC,GAAa,CACf3qD,MAAM,EAAMoqD,UAAU,EAAMvgE,QAAQ,EAAM+gE,QAAQ,EAAM90D,OAAO,EAAM8G,OAAO,GAI1EiuD,GAAW,CAACR,IAAI,EAAMK,IAAI,GAK9B,SAASI,GAAaC,GACpB,OAAQA,EAHY,EAG2B,IAA6B,SAAvBA,EAHP,EAG8D,GAG9G,IAAIC,GAAc,SAAqB/9D,EAAMyjD,EAAOiB,EAAOsZ,EAAcC,EAAO5wD,EAAOlQ,GACrFgE,KAAKnB,KAAOA,EACZmB,KAAKsiD,MAAQA,EACbtiD,KAAK88D,MAAQA,EACb98D,KAAKkM,MAAQA,IAVoD,EAU1ClQ,EAA0B,KAAO6C,EAAKs0D,cAC7DnzD,KAAKhE,QAAUA,EACfgE,KAAK8G,QAAU,GAEf9G,KAAKujD,MAAQA,EAEbvjD,KAAK+8D,YAAc/N,EAAKxF,KAExBxpD,KAAK68D,aAAeA,GAGtBD,GAAYrlE,UAAUoqD,aAAe,SAAuBxD,GAC1D,IAAKn+C,KAAKkM,MAAO,CACf,IAAKlM,KAAKnB,KAAQ,MAAO,GACzB,IAAIm+D,EAAOh9D,KAAKnB,KAAKs0D,aAAayC,WAAWhJ,EAASzhC,KAAKgzB,IAC3D,IAAI6e,EAEG,CACL,IAAoCjb,EAAhC+K,EAAQ9sD,KAAKnB,KAAKs0D,aACtB,OAAIpR,EAAO+K,EAAMnL,aAAaxD,EAAKt/C,QACjCmB,KAAKkM,MAAQ4gD,EACN/K,GAEA,KAPT/hD,KAAKkM,MAAQlM,KAAKnB,KAAKs0D,aAAaC,cAAc4J,GAWtD,OAAOh9D,KAAKkM,MAAMy1C,aAAaxD,EAAKt/C,OAGtC+9D,GAAYrlE,UAAUqiE,OAAS,SAAiBpU,GAC9C,KAzCoB,EAyCdxlD,KAAKhE,SAA4B,CACrC,IAAkDgmB,EAA9C0R,EAAO1zB,KAAK8G,QAAQ9G,KAAK8G,QAAQ3O,OAAS,GAC1Cu7B,GAAQA,EAAKi0B,SAAW3lC,EAAI,oBAAoBhsB,KAAK09B,EAAKt1B,SACxDs1B,EAAKt1B,KAAKjG,QAAU6pB,EAAE,GAAG7pB,OAAU6H,KAAK8G,QAAQyvD,MAC7Cv2D,KAAK8G,QAAQ9G,KAAK8G,QAAQ3O,OAAS,GAAKu7B,EAAK45B,SAAS55B,EAAKt1B,KAAK6E,MAAM,EAAGywB,EAAKt1B,KAAKjG,OAAS6pB,EAAE,GAAG7pB,UAG5G,IAAI2O,EAAU8lD,EAASzhC,KAAKnrB,KAAK8G,SAGjC,OAFK0+C,GAAWxlD,KAAKkM,QACjBpF,EAAUA,EAAQ6lD,OAAO3sD,KAAKkM,MAAM0pD,WAAWhJ,EAASz3B,OAAO,KAC5Dn1B,KAAKnB,KAAOmB,KAAKnB,KAAKqG,OAAOlF,KAAKsiD,MAAOx7C,EAAS9G,KAAKujD,OAASz8C,GAGzE81D,GAAYrlE,UAAU0lE,aAAe,SAAuBC,GAC1D,IAAK,IAAIjlE,EAAI,EAAGklE,EAAUn9D,KAAK68D,aAAc5kE,EAAIklE,EAAQhlE,OAAQF,IAAK,CACpE,IAAIgxD,EAAOkU,EAAQllE,IACd+H,KAAKnB,KAAOmB,KAAKnB,KAAKokD,eAAegG,EAAKpqD,MAAQu+D,GAAanU,EAAKpqD,KAAMq+D,MAC1EjU,EAAK5F,QAAQrjD,KAAK+8D,eACrB/8D,KAAK+8D,YAAc9T,EAAKC,SAASlpD,KAAK+8D,aACtC/8D,KAAK68D,aAAe5T,EAAKE,cAAcnpD,KAAK68D,iBAKlD,IAAInD,GAAe,SAAsB2D,EAAQrhE,EAAS+L,GAExD/H,KAAKq9D,OAASA,EAEdr9D,KAAKhE,QAAUA,EACfgE,KAAKs9D,OAASv1D,EACd,IAA+Bw1D,EAA3BlF,EAAUr8D,EAAQq8D,QAClBmF,EAAad,GAAa1gE,EAAQ2gE,qBAAuB50D,EAxEI,EAwEmB,GAEhFw1D,EADAlF,EACa,IAAIuE,GAAYvE,EAAQx5D,KAAMw5D,EAAQ/V,MAAO0M,EAAKxF,KAAMwF,EAAKxF,MAAM,EACrDxtD,EAAQyhE,UAAYpF,EAAQx5D,KAAKs0D,aAAcqK,GAE7D,IAAIZ,GADZ70D,EACwB,KAEAs1D,EAAO5T,OAAOO,YAFR,KAAMgF,EAAKxF,KAAMwF,EAAKxF,MAAM,EAAM,KAAMgU,GAG/Ex9D,KAAK6uD,MAAQ,CAAC0O,GAEdv9D,KAAK+H,KAAO,EACZ/H,KAAK6X,KAAO7b,EAAQ0hE,cACpB19D,KAAK29D,YAAa,GAGhBC,GAAuB,CAAEC,IAAK,CAAEn5D,cAAc,GAAOo5D,WAAY,CAAEp5D,cAAc,IA6WrF,SAAS0qC,GAAQqqB,EAAKsE,GACpB,OAAQtE,EAAIrqB,SAAWqqB,EAAIuE,mBAAqBvE,EAAIwE,uBAAyBxE,EAAIyE,oBAAoBxmE,KAAK+hE,EAAKsE,GAWjH,SAAS/b,GAAKjqD,GACZ,IAAIiqD,EAAO,GACX,IAAK,IAAI3iC,KAAQtnB,EAAOiqD,EAAK3iC,GAAQtnB,EAAIsnB,GACzC,OAAO2iC,EAMT,SAASob,GAAava,EAAUR,GAC9B,IAAIwM,EAAQxM,EAASoH,OAAOoF,MACxB7L,EAAO,SAAWpsD,GACpB,IAAIuL,EAAS0sD,EAAMj4D,GACnB,GAAKuL,EAAO8gD,eAAeJ,GAA3B,CACA,IAAIqI,EAAO,GAAImL,EAAO,SAAUnqD,GAC9Bg/C,EAAK38C,KAAKrC,GACV,IAAK,IAAIjU,EAAI,EAAGA,EAAIiU,EAAMszC,UAAWvnD,IAAK,CACxC,IAAIinD,EAAMhzC,EAAMuzC,KAAKxnD,GACjB4G,EAAOqgD,EAAIrgD,KACXqL,EAAOg1C,EAAIh1C,KACf,GAAIrL,GAAQwjD,EAAY,OAAO,EAC/B,GAAI6I,EAAKtsD,QAAQsL,GAAQ,GAAKmsD,EAAKnsD,GAAS,OAAO,IAGvD,OAAImsD,EAAKl0D,EAAOgxD,cAAwB,CAAEv4C,GAAG,QAA7C,IAGF,IAAK,IAAIhkB,KAAQi4D,EAAO,CACtB,IAAI1L,EAAWH,EAAMpsD,GAErB,GAAKusD,EAAW,OAAOA,EAASvoC,GArZpCgjD,GAAqBC,IAAIjjE,IAAM,WAC7B,OAAOoF,KAAK6uD,MAAM7uD,KAAK+H,OAOzB2xD,GAAaniE,UAAU4mE,OAAS,SAAiB1E,GAC/C,GAAoB,GAAhBA,EAAIpX,SACNriD,KAAKo+D,YAAY3E,QACZ,GAAoB,GAAhBA,EAAIpX,SAAe,CAC5B,IAAI36C,EAAQ+xD,EAAI5oC,aAAa,SACzB0yB,EAAQ77C,EAAQ1H,KAAKq+D,WAoW7B,SAAqB32D,GACnB,IAAuCsa,EAAnC7V,EAAK,6BAAiCtS,EAAS,GACnD,KAAOmoB,EAAI7V,EAAGnW,KAAK0R,IAAU7N,EAAO0U,KAAKyT,EAAE,GAAIA,EAAE,GAAG3nB,QACpD,OAAOR,EAvW+BykE,CAAY52D,IAAU,KAAMm2D,EAAM79D,KAAK69D,IAC3E,GAAa,MAATta,EAAiB,IAAK,IAAItrD,EAAI,EAAGA,EAAIsrD,EAAMprD,OAAQF,IAAO+H,KAAKu+D,eAAehb,EAAMtrD,IAExF,GADA+H,KAAKw+D,WAAW/E,GACH,MAATlW,EAAiB,IAAK,IAAIK,EAAM,EAAGA,EAAML,EAAMprD,OAAQyrD,IAAS5jD,KAAKy+D,kBAAkBlb,EAAMK,GAAMia,KAI3GnE,GAAaniE,UAAU6mE,YAAc,SAAsB3E,GACzD,IAAIn+D,EAAQm+D,EAAIiF,UACZb,EAAM79D,KAAK69D,IACf,IAAKA,EAAIh/D,KAAOg/D,EAAIh/D,KAAKqkD,cAAgB2a,EAAI/2D,QAAQ3O,QAAU0lE,EAAI/2D,QAAQ,GAAG++C,WAAa,mBAAmB16C,KAAK7P,GAAQ,CACzH,GAjHkB,EAiHZuiE,EAAI7hE,QAjHkC,EA8H/B6hE,EAAI7hE,UACfV,EAAQA,EAAMf,QAAQ,YAAa,WATnC,GAJAe,EAAQA,EAAMf,QAAQ,oBAAqB,KAIvC,mBAAmB4Q,KAAK7P,IAAU0E,KAAK+H,MAAQ/H,KAAK6uD,MAAM12D,OAAS,EAAG,CACxE,IAAIipD,EAAayc,EAAI/2D,QAAQ+2D,EAAI/2D,QAAQ3O,OAAS,GAC9CwmE,EAAgBlF,EAAImF,kBACnBxd,GACAud,GAA2C,MAA1BA,EAAcE,UAC/Bzd,EAAWuG,QAAU,mBAAmBx8C,KAAKi2C,EAAWhjD,SACzD9C,EAAQA,EAAM2H,MAAM,IAKxB3H,GAAS0E,KAAK8+D,WAAW9+D,KAAKq9D,OAAO5T,OAAOrrD,KAAK9C,IACrD0E,KAAK++D,WAAWtF,QAEhBz5D,KAAKg/D,WAAWvF,IAOpBC,GAAaniE,UAAUinE,WAAa,SAAqB/E,GACvD,IAAI7iE,EAAO6iE,EAAIoF,SAAS1sD,cACpBsqD,GAASpkE,eAAezB,IAASoJ,KAAKw5D,gBAwS5C,SAAuBC,GACrB,IAAK,IAAI1R,EAAQ0R,EAAIllD,WAAY0qD,EAAW,KAAMlX,EAAOA,EAAQA,EAAMmX,YAAa,CAClF,IAAItoE,EAAyB,GAAlBmxD,EAAM1F,SAAgB0F,EAAM8W,SAAS1sD,cAAgB,KAC5Dvb,GAAQ6lE,GAASpkE,eAAezB,IAASqoE,GAC3CA,EAASr3D,YAAYmgD,GACrBA,EAAQkX,GACS,MAARroE,EACTqoE,EAAWlX,EACFnxD,IACTqoE,EAAW,OAjT6CE,CAAc1F,GAC1E,IAAIF,EAAQv5D,KAAKhE,QAAQojE,cAAgBp/D,KAAKhE,QAAQojE,aAAa3F,IAASz5D,KAAKq9D,OAAOvD,SAASL,EAAKz5D,MACtG,GAAIu5D,EAAOA,EAAK3O,OAAS2R,GAAWlkE,eAAezB,GACjDoJ,KAAKg/D,WAAWvF,QACX,IAAKF,GAAQA,EAAK8F,MAAQ9F,EAAK+F,YAAa,CAC7C/F,GAAQA,EAAK+F,YAAet/D,KAAK+H,KAAOjR,KAAKkwB,IAAI,EAAGhnB,KAAK+H,KAAO,GAC3DwxD,GAAQA,EAAK8F,KAAKhd,WAAYoX,EAAMF,EAAK8F,MAClD,IAAIE,EAAM1B,EAAM79D,KAAK69D,IAAK2B,EAAgBx/D,KAAK29D,WAC/C,GAAIlD,GAAUpiE,eAAezB,GAC3B2oE,GAAO,EACF1B,EAAIh/D,OAAQmB,KAAK29D,YAAa,QAC9B,IAAKlE,EAAIllD,WAEd,YADAvU,KAAKy/D,aAAahG,GAGpBz5D,KAAK25D,OAAOF,GACR8F,GAAQv/D,KAAKu/D,KAAK1B,GACtB79D,KAAK29D,WAAa6B,OAElBx/D,KAAK0/D,iBAAiBjG,EAAKF,IAK/BG,GAAaniE,UAAUkoE,aAAe,SAAuBhG,GACvC,MAAhBA,EAAIoF,UAAoB7+D,KAAK69D,IAAIh/D,MAAQmB,KAAK69D,IAAIh/D,KAAKqkD,eACvDljD,KAAKo+D,YAAY3E,EAAIkG,cAAczrD,eAAe,QAMxDwlD,GAAaniE,UAAU8mE,WAAa,SAAqBltD,GAEvD,IADA,IAAIoyC,EAAQyL,EAAKxF,KACRvxD,EAAI,EAAGA,EAAIkZ,EAAOhZ,OAAQF,GAAK,EAAG,CACzC,IAAIshE,EAAOv5D,KAAKq9D,OAAOnD,WAAW/oD,EAAOlZ,GAAIkZ,EAAOlZ,EAAI,GAAI+H,MAC5D,GAAKu5D,EAAL,CACA,GAAIA,EAAK3O,OAAU,OAAO,KAC1BrH,EAAQvjD,KAAKq9D,OAAO5T,OAAOlG,MAAMgW,EAAKtQ,MAAM/jD,OAAOq0D,EAAKjX,OAAO4G,SAAS3F,IAE1E,OAAOA,GAOTmW,GAAaniE,UAAUmoE,iBAAmB,SAA2BjG,EAAKF,GACtE,IAEEgG,EAAMld,EAAoB4G,EAFxBoB,EAASrqD,KAGXu5D,EAAKpb,MACPkE,EAAWriD,KAAKq9D,OAAO5T,OAAOoF,MAAM0K,EAAKpb,OAC3BiP,OAEFptD,KAAK8+D,WAAWzc,EAASn9C,OAAOq0D,EAAKjX,SAC/CtiD,KAAKy/D,aAAahG,GAFlB8F,EAAOv/D,KAAK4/D,MAAMvd,EAAUkX,EAAKjX,MAAOiX,EAAKoD,qBAM/C1T,EADWjpD,KAAKq9D,OAAO5T,OAAOlG,MAAMgW,EAAKtQ,MACzB/jD,OAAOq0D,EAAKjX,OAC5BtiD,KAAKu+D,eAAetV,IAEtB,IAAI4W,EAAU7/D,KAAK69D,IAEnB,GAAIxb,GAAYA,EAAS+K,OACvBptD,KAAKg/D,WAAWvF,QACX,GAAIF,EAAKuG,WACd9/D,KAAKg/D,WAAWvF,GAChBF,EAAKuG,WAAWrG,EAAKz5D,KAAKq9D,OAAO5T,QAAQ3xD,SAAQ,SAAUqmD,GAAQ,OAAOkM,EAAOyU,WAAW3gB,UACvF,CACL,IAAI4hB,EAAaxG,EAAKyG,eACG,iBAAdD,EAA0BA,EAAatG,EAAItmD,cAAc4sD,GACtC,mBAAdA,IAA4BA,EAAaA,EAAWtG,IAC/DsG,IAAcA,EAAatG,GAChCz5D,KAAKigE,WAAWxG,EAAKsG,GAAY,GACjC//D,KAAK25D,OAAOoG,EAAYR,GAEtBA,IAAQv/D,KAAKu/D,KAAKM,GAAU7/D,KAAK+H,QACjCkhD,GAAQjpD,KAAKy+D,kBAAkBxV,EAAM4W,IAO3CnG,GAAaniE,UAAUoiE,OAAS,SAAiBx3D,EAAQo9D,EAAM9O,EAAYC,GAEzE,IADA,IAAIjkD,EAAQgkD,GAAc,EACjBgJ,EAAMhJ,EAAatuD,EAAOgS,WAAWs8C,GAActuD,EAAOoS,WAC1DutC,EAAkB,MAAZ4O,EAAmB,KAAOvuD,EAAOgS,WAAWu8C,GACtD+I,GAAO3X,EAAK2X,EAAMA,EAAIyF,cAAezyD,EACxCzM,KAAKkgE,YAAY/9D,EAAQsK,GACzBzM,KAAKm+D,OAAO1E,GACR8F,GAAQ9E,GAAUpiE,eAAeohE,EAAIoF,SAAS1sD,gBAC9CnS,KAAKu/D,KAAKA,GAEhBv/D,KAAKkgE,YAAY/9D,EAAQsK,IAM3BitD,GAAaniE,UAAU4oE,UAAY,SAAoBhiB,GAErD,IADA,IAAIiiB,EAAOb,EACF5gB,EAAQ3+C,KAAK+H,KAAM42C,GAAS,EAAGA,IAAS,CAC/C,IAAI0hB,EAAKrgE,KAAK6uD,MAAMlQ,GAChBwH,EAAQka,EAAG1e,aAAaxD,GAC5B,GAAIgI,KAAWia,GAASA,EAAMjoE,OAASguD,EAAMhuD,UAC3CioE,EAAQja,EACRoZ,EAAOc,GACFla,EAAMhuD,QAAU,MAEvB,GAAIkoE,EAAGvD,MAAS,MAElB,IAAKsD,EAAS,OAAO,EACrBpgE,KAAKu/D,KAAKA,GACV,IAAK,IAAItnE,EAAI,EAAGA,EAAImoE,EAAMjoE,OAAQF,IAC9B+H,KAAKsgE,WAAWF,EAAMnoE,GAAI,MAAM,GACpC,OAAO,GAKTyhE,GAAaniE,UAAUunE,WAAa,SAAqB3gB,GACvD,GAAIA,EAAK0H,UAAY7lD,KAAK29D,aAAe39D,KAAK69D,IAAIh/D,KAAM,CACtD,IAAI0hE,EAAQvgE,KAAKwgE,uBACbD,GAASvgE,KAAKsgE,WAAWC,GAE/B,GAAIvgE,KAAKmgE,UAAUhiB,GAAO,CACxBn+C,KAAKygE,aACL,IAAI5C,EAAM79D,KAAK69D,IACfA,EAAIZ,aAAa9e,EAAKt/C,MAClBg/D,EAAI3xD,QAAS2xD,EAAI3xD,MAAQ2xD,EAAI3xD,MAAM01C,UAAUzD,EAAKt/C,OAEtD,IADA,IAAI0kD,EAAQsa,EAAId,YACP9kE,EAAI,EAAGA,EAAIkmD,EAAKoF,MAAMprD,OAAQF,IAC9B4lE,EAAIh/D,OAAQg/D,EAAIh/D,KAAKokD,eAAe9E,EAAKoF,MAAMtrD,GAAG4G,QACrD0kD,EAAQpF,EAAKoF,MAAMtrD,GAAGixD,SAAS3F,IAErC,OADAsa,EAAI/2D,QAAQyH,KAAK4vC,EAAK8K,KAAK1F,KACpB,EAET,OAAO,GAMTmW,GAAaniE,UAAUqoE,MAAQ,SAAgB/gE,EAAMyjD,EAAOoe,GAC1D,IAAIzH,EAAKj5D,KAAKmgE,UAAUthE,EAAKqG,OAAOo9C,IAEpC,OADI2W,GAAMj5D,KAAKsgE,WAAWzhE,EAAMyjD,GAAO,EAAMoe,GACtCzH,GAITS,GAAaniE,UAAU+oE,WAAa,SAAqBzhE,EAAMyjD,EAAOwa,EAAO4D,GAC3E1gE,KAAKygE,aACL,IAAI5C,EAAM79D,KAAK69D,IACfA,EAAIZ,aAAap+D,GACjBg/D,EAAI3xD,MAAQ2xD,EAAI3xD,OAAS2xD,EAAI3xD,MAAM01C,UAAU/iD,EAAMyjD,GACnD,IAAItmD,EAAwB,MAAd0kE,GAAmC,EAAd7C,EAAI7hE,QAA2B0gE,GAAagE,GA3Sd,EA4S5D7C,EAAI7hE,SAAkD,GAAtB6hE,EAAI/2D,QAAQ3O,SAAe6D,GA5SC,GA6SjEgE,KAAK6uD,MAAMtgD,KAAK,IAAIquD,GAAY/9D,EAAMyjD,EAAOub,EAAId,YAAac,EAAIhB,aAAcC,EAAO,KAAM9gE,IAC7FgE,KAAK+H,QAKP2xD,GAAaniE,UAAUkpE,WAAa,SAAqBjb,GACvD,IAAIvtD,EAAI+H,KAAK6uD,MAAM12D,OAAS,EAC5B,GAAIF,EAAI+H,KAAK+H,KAAM,CACjB,KAAO9P,EAAI+H,KAAK+H,KAAM9P,IAAO+H,KAAK6uD,MAAM52D,EAAI,GAAG6O,QAAQyH,KAAKvO,KAAK6uD,MAAM52D,GAAG2hE,OAAOpU,IACjFxlD,KAAK6uD,MAAM12D,OAAS6H,KAAK+H,KAAO,IAIpC2xD,GAAaniE,UAAUqiE,OAAS,WAG9B,OAFA55D,KAAK+H,KAAO,EACZ/H,KAAKygE,WAAWzgE,KAAKs9D,QACdt9D,KAAK6uD,MAAM,GAAG+K,OAAO55D,KAAKs9D,QAAUt9D,KAAKhE,QAAQ2kE,UAG1DjH,GAAaniE,UAAUgoE,KAAO,SAAexoC,GAC3C,IAAK,IAAI9+B,EAAI+H,KAAK+H,KAAM9P,GAAK,EAAGA,IAAO,GAAI+H,KAAK6uD,MAAM52D,IAAM8+B,EAE1D,YADA/2B,KAAK+H,KAAO9P,IAKhB2lE,GAAqBE,WAAWljE,IAAM,WACpCoF,KAAKygE,aAEL,IADA,IAAIvnD,EAAM,EACDjhB,EAAI+H,KAAK+H,KAAM9P,GAAK,EAAGA,IAAK,CAEnC,IADA,IAAI6O,EAAU9G,KAAK6uD,MAAM52D,GAAG6O,QACnB8L,EAAI9L,EAAQ3O,OAAS,EAAGya,GAAK,EAAGA,IACrCsG,GAAOpS,EAAQ8L,GAAG4uC,SAClBvpD,GAAKihB,IAEX,OAAOA,GAGTwgD,GAAaniE,UAAU2oE,YAAc,SAAsB/9D,EAAQ0sC,GACjE,GAAI7uC,KAAK6X,KAAQ,IAAK,IAAI5f,EAAI,EAAGA,EAAI+H,KAAK6X,KAAK1f,OAAQF,IACjD+H,KAAK6X,KAAK5f,GAAGkmD,MAAQh8C,GAAUnC,KAAK6X,KAAK5f,GAAG42C,QAAUA,IACtD7uC,KAAK6X,KAAK5f,GAAGihB,IAAMlZ,KAAK89D,aAIhCpE,GAAaniE,UAAUynE,WAAa,SAAqB78D,GACvD,GAAInC,KAAK6X,KAAQ,IAAK,IAAI5f,EAAI,EAAGA,EAAI+H,KAAK6X,KAAK1f,OAAQF,IAC7B,MAApB+H,KAAK6X,KAAK5f,GAAGihB,KAAkC,GAAnB/W,EAAOkgD,UAAiBlgD,EAAOy+D,SAAS5gE,KAAK6X,KAAK5f,GAAGkmD,QACjFn+C,KAAK6X,KAAK5f,GAAGihB,IAAMlZ,KAAK89D,aAIhCpE,GAAaniE,UAAU0oE,WAAa,SAAqB99D,EAAQ2E,EAAS+3C,GACxE,GAAI18C,GAAU2E,GAAW9G,KAAK6X,KAAQ,IAAK,IAAI5f,EAAI,EAAGA,EAAI+H,KAAK6X,KAAK1f,OAAQF,IAAK,CAC/E,GAAwB,MAApB+H,KAAK6X,KAAK5f,GAAGihB,KAAkC,GAAnB/W,EAAOkgD,UAAiBlgD,EAAOy+D,SAAS5gE,KAAK6X,KAAK5f,GAAGkmD,MACzEr3C,EAAQ+5D,wBAAwB7gE,KAAK6X,KAAK5f,GAAGkmD,OAC5CU,EAAS,EAAI,KACpB7+C,KAAK6X,KAAK5f,GAAGihB,IAAMlZ,KAAK89D,cAKlCpE,GAAaniE,UAAUwnE,WAAa,SAAqB+B,GACvD,GAAI9gE,KAAK6X,KAAQ,IAAK,IAAI5f,EAAI,EAAGA,EAAI+H,KAAK6X,KAAK1f,OAAQF,IACjD+H,KAAK6X,KAAK5f,GAAGkmD,MAAQ2iB,IACrB9gE,KAAK6X,KAAK5f,GAAGihB,IAAMlZ,KAAK89D,YAAcgD,EAASpC,UAAUvmE,OAAS6H,KAAK6X,KAAK5f,GAAG42C,UAOvF6qB,GAAaniE,UAAUyiE,eAAiB,SAAyBh4D,GAC7D,IAAIqoD,EAASrqD,KAEf,GAAIgC,EAAQpD,QAAQ,MAAQ,EACxB,OAAOoD,EAAQrC,MAAM,YAAYgY,KAAK3X,KAAKg6D,eAAgBh6D,MAE/D,IAAIwR,EAAQxP,EAAQrC,MAAM,KACtBohE,EAAS/gE,KAAKhE,QAAQgG,QACtBg/D,IAAWhhE,KAAKs9D,QAAYyD,GAAUA,EAAO5+D,OAAOtD,MAAQmB,KAAK6uD,MAAM,GAAGhwD,MAC1EoiE,IAAaF,EAASA,EAAOpiB,MAAQ,EAAI,IAAMqiB,EAAU,EAAI,GAC7D90D,EAAQ,SAAUjU,EAAG0mD,GACvB,KAAO1mD,GAAK,EAAGA,IAAK,CAClB,IAAIoZ,EAAOG,EAAMvZ,GACjB,GAAY,IAARoZ,EAAY,CACd,GAAIpZ,GAAKuZ,EAAMrZ,OAAS,GAAU,GAALF,EAAU,SACvC,KAAO0mD,GAASsiB,EAAUtiB,IACtB,GAAIzyC,EAAMjU,EAAI,EAAG0mD,GAAU,OAAO,EACtC,OAAO,EAEP,IAAIz0C,EAAOy0C,EAAQ,GAAe,GAATA,GAAcqiB,EAAW3W,EAAOwE,MAAMlQ,GAAO9/C,KAChEkiE,GAAUpiB,GAASsiB,EAAWF,EAAO5iB,KAAKQ,EAAQsiB,GAAUpiE,KAC5D,KACN,IAAKqL,GAASA,EAAKtT,MAAQya,IAAsC,GAA9BnH,EAAKqe,OAAO3pB,QAAQyS,GACnD,OAAO,EACXstC,IAGJ,OAAO,GAET,OAAOzyC,EAAMsF,EAAMrZ,OAAS,EAAG6H,KAAK+H,OAGtC2xD,GAAaniE,UAAUipE,qBAAuB,WAC5C,IAAIU,EAAWlhE,KAAKhE,QAAQgG,QAC5B,GAAIk/D,EAAY,IAAK,IAAI1gC,EAAI0gC,EAASviB,MAAOne,GAAK,EAAGA,IAAK,CACxD,IAAImgB,EAAQugB,EAAS/iB,KAAK3d,GAAGyf,eAAeihB,EAASlhB,WAAWxf,IAAIqzB,YACpE,GAAIlT,GAASA,EAAMrC,aAAeqC,EAAMiS,aAAgB,OAAOjS,EAEjE,IAAK,IAAI/pD,KAAQoJ,KAAKq9D,OAAO5T,OAAOoF,MAAO,CACzC,IAAIhwD,EAAOmB,KAAKq9D,OAAO5T,OAAOoF,MAAMj4D,GACpC,GAAIiI,EAAKy/C,aAAez/C,EAAK+zD,aAAgB,OAAO/zD,IAIxD66D,GAAaniE,UAAUgnE,eAAiB,SAAyBtV,GAC/DjpD,KAAK69D,IAAIhB,aAAe5T,EAAKC,SAASlpD,KAAK69D,IAAIhB,eAGjDnD,GAAaniE,UAAUknE,kBAAoB,SAA4BxV,EAAMkY,GAC3E,IAAK,IAAIxiB,EAAQ3+C,KAAK+H,KAAM42C,GAAS,EAAGA,IAAS,CAC/C,IAAIyiB,EAAQphE,KAAK6uD,MAAMlQ,GAIvB,GAHYyiB,EAAMvE,aAAawE,YAAYpY,IAC9B,EAAKmY,EAAMvE,aAAe5T,EAAKE,cAAciY,EAAMvE,cACzDuE,EAAMrE,YAAc9T,EAAKE,cAAciY,EAAMrE,aAChDqE,GAASD,EAAQ,QAIzB7pE,OAAOiP,iBAAkBmzD,GAAaniE,UAAWqmE,IAsFjD,IAAI0D,GAAgB,SAAuBzS,EAAOtL,GAGhDvjD,KAAK6uD,MAAQA,GAAS,GAGtB7uD,KAAKujD,MAAQA,GAAS,IA+JxB,SAASge,GAAYxpE,GACnB,IAAI8B,EAAS,GACb,IAAK,IAAIjD,KAAQmB,EAAK,CACpB,IAAIypE,EAAQzpE,EAAInB,GAAM6nD,KAAK+iB,MACvBA,IAAS3nE,EAAOjD,GAAQ4qE,GAE9B,OAAO3nE,EAGT,SAAS+kD,GAAI5iD,GAEX,OAAOA,EAAQrC,UAAY1C,OAAO0C,SAlKpC2nE,GAAc/pE,UAAUkqE,kBAAoB,SAA4B3Q,EAAU90D,EAASE,GACvF,IAAImuD,EAASrqD,UACI,IAAZhE,IAAqBA,EAAU,IAEjCE,IAAUA,EAAS0iD,GAAI5iD,GAAS0lE,0BAErC,IAAI7D,EAAM3hE,EAAQg6D,EAAS,KA4B3B,OA3BApF,EAASh5D,SAAQ,SAAUqmD,GACzB,GAAI+X,GAAU/X,EAAKoF,MAAMprD,OAAQ,CAC1B+9D,IAAUA,EAAS,IAExB,IADA,IAAIyL,EAAO,EAAGC,EAAW,EAClBD,EAAOzL,EAAO/9D,QAAUypE,EAAWzjB,EAAKoF,MAAMprD,QAAQ,CAC3D,IAAI+R,EAAOi0C,EAAKoF,MAAMqe,GACtB,GAAKvX,EAAO9G,MAAMr5C,EAAKrL,KAAKjI,MAA5B,CACA,IAAKsT,EAAKi9C,GAAG+O,EAAOyL,MAAsC,IAA5Bz3D,EAAKrL,KAAK4/C,KAAKojB,SAAsB,MACnEF,GAAQ,EAAGC,SAF0BA,IAIvC,KAAOD,EAAOzL,EAAO/9D,QACnB0lE,EAAM3H,EAAOK,MACbL,EAAOK,MAET,KAAOqL,EAAWzjB,EAAKoF,MAAMprD,QAAQ,CACnC,IAAImK,EAAM67C,EAAKoF,MAAMqe,KACjBE,EAAUzX,EAAO0X,cAAcz/D,EAAK67C,EAAK0H,SAAU7pD,GACnD8lE,IACF5L,EAAO3nD,KAAKjM,EAAKu7D,GACjBA,EAAIj2D,YAAYk6D,EAAQrI,KACxBoE,EAAMiE,EAAQ/B,YAAc+B,EAAQrI,MAI1CoE,EAAIj2D,YAAYyiD,EAAO2X,cAAc7jB,EAAMniD,OAGtCE,GASTolE,GAAc/pE,UAAUyqE,cAAgB,SAAwB7jB,EAAMniD,QACjD,IAAZA,IAAqBA,EAAU,IAEtC,IAAIkjD,EACAoiB,GAAcW,WAAWrjB,GAAI5iD,GAAUgE,KAAK6uD,MAAM1Q,EAAKt/C,KAAKjI,MAAMunD,IAChEsb,EAAMva,EAAIua,IACVsG,EAAa7gB,EAAI6gB,WACvB,GAAIA,EAAY,CACd,GAAI5hB,EAAKiP,OACL,MAAM,IAAI3G,WAAW,gDACrBzqD,EAAQkmE,UACRlmE,EAAQkmE,UAAU/jB,EAAM4hB,EAAY/jE,GAEpCgE,KAAKyhE,kBAAkBtjB,EAAKr3C,QAAS9K,EAAS+jE,GAEpD,OAAOtG,GAGT6H,GAAc/pE,UAAU4qE,sBAAwB,SAAgChkB,EAAMniD,QACjE,IAAZA,IAAqBA,EAAU,IAGtC,IADA,IAAIy9D,EAAMz5D,KAAKgiE,cAAc7jB,EAAMniD,GAC1B/D,EAAIkmD,EAAKoF,MAAMprD,OAAS,EAAGF,GAAK,EAAGA,IAAK,CAC/C,IAAI8pD,EAAO/hD,KAAK+hE,cAAc5jB,EAAKoF,MAAMtrD,GAAIkmD,EAAK0H,SAAU7pD,GACxD+lD,KACPA,EAAKge,YAAche,EAAK0X,KAAK7xD,YAAY6xD,GACpCA,EAAM1X,EAAK0X,KAGf,OAAOA,GAGT6H,GAAc/pE,UAAUwqE,cAAgB,SAAwB9Y,EAAMqN,EAAQt6D,QACzD,IAAZA,IAAqBA,EAAU,IAEtC,IAAIwlE,EAAQxhE,KAAKujD,MAAM0F,EAAKpqD,KAAKjI,MACjC,OAAO4qE,GAASF,GAAcW,WAAWrjB,GAAI5iD,GAAUwlE,EAAMvY,EAAMqN,KAOrEgL,GAAcW,WAAa,SAAqBrjB,EAAKwjB,EAAWC,GAG9D,QAFiB,IAAVA,IAAmBA,EAAQ,MAEV,iBAAbD,EACP,MAAO,CAAC3I,IAAK7a,EAAI1qC,eAAekuD,IACpC,GAA0B,MAAtBA,EAAU/f,SACV,MAAO,CAACoX,IAAK2I,GACjB,IAAIE,EAAUF,EAAU,GAAIG,EAAQD,EAAQ1jE,QAAQ,KAChD2jE,EAAQ,IACVF,EAAQC,EAAQr/D,MAAM,EAAGs/D,GACzBD,EAAUA,EAAQr/D,MAAMs/D,EAAQ,IAElC,IAAIxC,EAAa,KAAMtG,EAAM4I,EAAQzjB,EAAI4jB,gBAAgBH,EAAOC,GAAW1jB,EAAI5rC,cAAcsvD,GACzFhgB,EAAQ8f,EAAU,GAAItV,EAAQ,EAClC,GAAIxK,GAAyB,iBAATA,GAAuC,MAAlBA,EAAMD,WAAqB/zC,MAAM9W,QAAQ8qD,GAEhF,IAAK,IAAI1rD,KADTk2D,EAAQ,EACSxK,EAAS,GAAmB,MAAfA,EAAM1rD,GAAe,CACjD,IAAI6rE,EAAU7rE,EAAKgI,QAAQ,KACvB6jE,EAAU,EAAKhJ,EAAIiJ,eAAe9rE,EAAKqM,MAAM,EAAGw/D,GAAU7rE,EAAKqM,MAAMw/D,EAAU,GAAIngB,EAAM1rD,IACtF6iE,EAAIplD,aAAazd,EAAM0rD,EAAM1rD,IAGxC,IAAK,IAAIqB,EAAI60D,EAAO70D,EAAImqE,EAAUjqE,OAAQF,IAAK,CAC7C,IAAI8vD,EAAQqa,EAAUnqE,GACtB,GAAc,IAAV8vD,EAAa,CACf,GAAI9vD,EAAImqE,EAAUjqE,OAAS,GAAKF,EAAI60D,EAChC,MAAM,IAAIrG,WAAW,0DACzB,MAAO,CAACgT,IAAKA,EAAKsG,WAAYtG,GAE9B,IAAIva,EAAMoiB,GAAcW,WAAWrjB,EAAKmJ,EAAOsa,GACzCrc,EAAQ9G,EAAIua,IACZkJ,EAAezjB,EAAI6gB,WAEzB,GADAtG,EAAI7xD,YAAYo+C,GACZ2c,EAAc,CAChB,GAAI5C,EAAc,MAAM,IAAItZ,WAAW,0BACvCsZ,EAAa4C,GAInB,MAAO,CAAClJ,IAAKA,EAAKsG,WAAYA,IAMhCuB,GAAc/G,WAAa,SAAqB9Q,GAC9C,OAAOA,EAAO0I,OAAOyQ,gBAClBnZ,EAAO0I,OAAOyQ,cAAgB,IAAItB,GAActhE,KAAK6iE,gBAAgBpZ,GAASzpD,KAAK8iE,gBAAgBrZ,MAMxG6X,GAAcuB,gBAAkB,SAA0BpZ,GACxD,IAAI5vD,EAAS0nE,GAAY9X,EAAOoF,OAEhC,OADKh1D,EAAOuE,OAAQvE,EAAOuE,KAAO,SAAU+/C,GAAQ,OAAOA,EAAK//C,OACzDvE,GAKTynE,GAAcwB,gBAAkB,SAA0BrZ,GACxD,OAAO8X,GAAY9X,EAAOlG,S,2aChxG5B,IAAIwf,EAAiB,SAAwBC,EAAYhnE,GACvD,IAAIquD,EAASrqD,KAEbA,KAAKgjE,WAAaA,EAClBhjE,KAAKijE,MAAQjnE,EAAQinE,OAAS,EAC9BjjE,KAAKkjE,MAAQlnE,EAAQknE,OAAS,QAC9BljE,KAAKmjE,MAAQnnE,EAAQmnE,MACrBnjE,KAAKojE,UAAY,KACjBpjE,KAAKqjE,QAAU,KACfrjE,KAAK4N,QAAU,KAEf5N,KAAK4tB,SAAW,CAAC,WAAY,UAAW,OAAQ,aAAa/d,KAAI,SAAUjZ,GACzE,IAAIsJ,EAAU,SAAUyM,GAAK,OAAO09C,EAAOzzD,GAAM+V,IAEjD,OADAq2D,EAAWvJ,IAAIr7C,iBAAiBxnB,EAAMsJ,GAC/B,CAACtJ,KAAMA,EAAMsJ,QAASA,OAIjC6iE,EAAexrE,UAAU+rE,QAAU,WAC/B,IAAIjZ,EAASrqD,KAEfA,KAAK4tB,SAAS91B,SAAQ,SAAUonD,GAC5B,IAAItoD,EAAOsoD,EAAItoD,KACXsJ,EAAUg/C,EAAIh/C,QAElB,OAAOmqD,EAAO2Y,WAAWvJ,IAAI8J,oBAAoB3sE,EAAMsJ,OAI7D6iE,EAAexrE,UAAU0b,OAAS,SAAiB+vD,EAAYQ,GACvC,MAAlBxjE,KAAKojE,WAAqBI,EAAU5kB,KAAOokB,EAAWh/D,MAAM46C,KAAO5+C,KAAKyjE,iBAG9EV,EAAexrE,UAAUmsE,UAAY,SAAoBxqD,GACnDA,GAAOlZ,KAAKojE,YAChBpjE,KAAKojE,UAAYlqD,EACN,MAAPA,GACFlZ,KAAKqjE,QAAQjwD,WAAWC,YAAYrT,KAAKqjE,SACzCrjE,KAAKqjE,QAAU,MAEfrjE,KAAKyjE,kBAITV,EAAexrE,UAAUksE,cAAgB,WACvC,IAA8DE,EAA1DnlB,EAAOx+C,KAAKgjE,WAAWh/D,MAAM46C,IAAIviC,QAAQrc,KAAKojE,WAClD,IAAK5kB,EAAKr8C,OAAO+gD,cAAe,CAC9B,IAAIrE,EAASL,EAAK4C,WAAYpC,EAAQR,EAAK6C,UAC3C,GAAIxC,GAAUG,EAAO,CACnB,IAAI4kB,EAAW5jE,KAAKgjE,WAAWa,QAAQ7jE,KAAKojE,WAAavkB,EAAQA,EAAO2C,SAAW,IAAIsiB,wBACnFjG,EAAMhf,EAAS+kB,EAASG,OAASH,EAAS/F,IAC1Chf,GAAUG,IACV6e,GAAOA,EAAM79D,KAAKgjE,WAAWa,QAAQ7jE,KAAKojE,WAAWU,wBAAwBjG,KAAO,GACxF8F,EAAO,CAACK,KAAMJ,EAASI,KAAMC,MAAOL,EAASK,MAAOpG,IAAKA,EAAM79D,KAAKijE,MAAQ,EAAGc,OAAQlG,EAAM79D,KAAKijE,MAAQ,IAG9G,IAAKU,EAAM,CACT,IAAIO,EAASlkE,KAAKgjE,WAAWmB,YAAYnkE,KAAKojE,WAC9CO,EAAO,CAACK,KAAME,EAAOF,KAAOhkE,KAAKijE,MAAQ,EAAGgB,MAAOC,EAAOF,KAAOhkE,KAAKijE,MAAQ,EAAGpF,IAAKqG,EAAOrG,IAAKkG,OAAQG,EAAOH,QAGnH,IAAI5hE,EAASnC,KAAKgjE,WAAWvJ,IAAI2K,aAC5BpkE,KAAKqjE,UACRrjE,KAAKqjE,QAAUlhE,EAAOyF,YAAYjO,SAASqZ,cAAc,QACrDhT,KAAKmjE,QAASnjE,KAAKqjE,QAAQgB,UAAYrkE,KAAKmjE,OAChDnjE,KAAKqjE,QAAQ37D,MAAMsM,QAAU,4EAA8EhU,KAAKkjE,OAElH,IAAIoB,GAAcniE,GAAUA,GAAUxI,SAAS4qE,MAA6C,UAArCC,iBAAiBriE,GAAQmX,SAC1E,CAAC0qD,MAAOS,YAAa5G,KAAM6G,aAAeviE,EAAO2hE,wBACvD9jE,KAAKqjE,QAAQ37D,MAAMs8D,KAAQL,EAAKK,KAAOM,EAAWN,KAAQ,KAC1DhkE,KAAKqjE,QAAQ37D,MAAMm2D,IAAO8F,EAAK9F,IAAMyG,EAAWzG,IAAO,KACvD79D,KAAKqjE,QAAQ37D,MAAMu7D,MAASU,EAAKM,MAAQN,EAAKK,KAAQ,KACtDhkE,KAAKqjE,QAAQ37D,MAAMi9D,OAAUhB,EAAKI,OAASJ,EAAK9F,IAAO,MAGzDkF,EAAexrE,UAAUqtE,gBAAkB,SAA0Bh3D,GACjE,IAAIy8C,EAASrqD,KAEfqN,aAAarN,KAAK4N,SAClB5N,KAAK4N,QAAUR,YAAW,WAAc,OAAOi9C,EAAOqZ,UAAU,QAAU91D,IAG5Em1D,EAAexrE,UAAUstE,SAAW,SAAmBvkE,GACrD,GAAKN,KAAKgjE,WAAW8B,SAArB,CACA,IAAI5rD,EAAMlZ,KAAKgjE,WAAW+B,YAAY,CAACf,KAAM1jE,EAAM0kE,QAASnH,IAAKv9D,EAAM2kE,UACvE,GAAI/rD,EAAK,CACP,IAAIhd,EAASgd,EAAIA,IACblZ,KAAKgjE,WAAWkC,UAAYllE,KAAKgjE,WAAWkC,SAASjiE,OAEzC,OADd/G,EAAS,YAAU8D,KAAKgjE,WAAWh/D,MAAM46C,IAAK1iD,EAAQ8D,KAAKgjE,WAAWkC,SAASjiE,UACzD/G,EAASgd,EAAIA,KAErClZ,KAAK0jE,UAAUxnE,GACf8D,KAAK4kE,gBAAgB,QAIzB7B,EAAexrE,UAAU4tE,QAAU,WACjCnlE,KAAK4kE,gBAAgB,KAGvB7B,EAAexrE,UAAU6tE,KAAO,WAC9BplE,KAAK4kE,gBAAgB,KAGvB7B,EAAexrE,UAAU8tE,UAAY,SAAoB/kE,GACnDA,EAAMpE,QAAU8D,KAAKgjE,WAAWvJ,KAAQz5D,KAAKgjE,WAAWvJ,IAAImH,SAAStgE,EAAMglE,gBAC3EtlE,KAAK0jE,UAAU,O,aC7HjB,EAA0B,SAAUve,GACtC,SAASogB,EAAU/mB,GACjB2G,EAAUztD,KAAKsI,KAAMw+C,EAAMA,GA6E7B,OA1EK2G,IAAYogB,EAAU/hD,UAAY2hC,GACvCogB,EAAUhuE,UAAYD,OAAO4N,OAAQigD,GAAaA,EAAU5tD,WAC5DguE,EAAUhuE,UAAUiB,YAAc+sE,EAElCA,EAAUhuE,UAAUsY,IAAM,SAAc+uC,EAAKkC,GAC3C,IAAItC,EAAOI,EAAIviC,QAAQykC,EAAQjxC,IAAI7P,KAAK4R,OACxC,OAAO2zD,EAAUC,MAAMhnB,GAAQ,IAAI+mB,EAAU/mB,GAAQ2G,EAAU7E,KAAK9B,IAGtE+mB,EAAUhuE,UAAUuP,QAAU,WAAsB,OAAO,QAAMquB,OAEjEowC,EAAUhuE,UAAU4vD,GAAK,SAAaxkC,GACpC,OAAOA,aAAiB4iD,GAAa5iD,EAAM/Q,MAAQ5R,KAAK4R,MAG1D2zD,EAAUhuE,UAAU82B,OAAS,WAC3B,MAAO,CAACxvB,KAAM,YAAaqa,IAAKlZ,KAAK4R,OAGvC2zD,EAAUhf,SAAW,SAAmB3H,EAAK4H,GAC3C,GAAuB,iBAAZA,EAAKttC,IAAmB,MAAM,IAAIutC,WAAW,wCACxD,OAAO,IAAI8e,EAAU3mB,EAAIviC,QAAQmqC,EAAKttC,OAGxCqsD,EAAUhuE,UAAUsvD,YAAc,WAA0B,OAAO,IAAI4e,EAAYzlE,KAAKmxC,SAExFo0B,EAAUC,MAAQ,SAAgBhnB,GAChC,IAAIr8C,EAASq8C,EAAKr8C,OAClB,GAAIA,EAAOm8C,cAgEf,SAAsBE,GACpB,IAAK,IAAIhe,EAAIge,EAAKG,MAAOne,GAAK,EAAGA,IAAK,CACpC,IAAI/zB,EAAQ+xC,EAAK/xC,MAAM+zB,GAEvB,GAAa,GAAT/zB,EAEJ,IAAK,IAAIoyC,EAASL,EAAKL,KAAK3d,GAAGunB,MAAMt7C,EAAQ,IAAKoyC,EAASA,EAAOR,UAAW,CAC3E,GAA0B,GAArBQ,EAAOE,aAAoBF,EAAOqE,eAAkBrE,EAAO4F,QAAU5F,EAAOhgD,KAAK4/C,KAAKC,UAAa,OAAO,EAC/G,GAAIG,EAAOqE,cAAiB,OAAO,GAIvC,OAAO,EA5EsBwiB,CAAalnB,KA+E5C,SAAqBA,GACnB,IAAK,IAAIhe,EAAIge,EAAKG,MAAOne,GAAK,EAAGA,IAAK,CACpC,IAAI/zB,EAAQ+xC,EAAKwB,WAAWxf,GAAIr+B,EAASq8C,EAAKL,KAAK3d,GACnD,GAAI/zB,GAAStK,EAAO48C,WACpB,IAAK,IAAIC,EAAQ78C,EAAO4lD,MAAMt7C,IAASuyC,EAAQA,EAAMzqC,WAAY,CAC/D,GAAyB,GAApByqC,EAAMD,aAAoBC,EAAMkE,eAAkBlE,EAAMyF,QAAUzF,EAAMngD,KAAK4/C,KAAKC,UAAa,OAAO,EAC3G,GAAIM,EAAMkE,cAAiB,OAAO,GAGtC,OAAO,EAxF6CyiB,CAAYnnB,GAAS,OAAO,EAC9E,IAAIonB,EAAWzjE,EAAOtD,KAAK4/C,KAAKonB,eAChC,GAAgB,MAAZD,EAAoB,OAAOA,EAC/B,IAAIjlB,EAAQx+C,EAAO89C,eAAezB,EAAK/xC,SAASonD,YAChD,OAAOlT,GAASA,EAAMrC,aAGxBinB,EAAUpjB,SAAW,SAAmB3D,EAAM/uC,EAAKq2D,GACjDr2C,EAAQ,OAAS,CACf,IAAKq2C,GAAYP,EAAUC,MAAMhnB,GAAS,OAAOA,EAGjD,IAFA,IAAItlC,EAAMslC,EAAKtlC,IAAKhP,EAAO,KAElBs2B,EAAIge,EAAKG,OAAQne,IAAK,CAC7B,IAAIr+B,EAASq8C,EAAKL,KAAK3d,GACvB,GAAI/wB,EAAM,EAAI+uC,EAAKwB,WAAWxf,GAAKr+B,EAAO48C,WAAaP,EAAK/xC,MAAM+zB,GAAK,EAAG,CACxEt2B,EAAO/H,EAAO4lD,MAAMt4C,EAAM,EAAI+uC,EAAKwB,WAAWxf,GAAKge,EAAK/xC,MAAM+zB,GAAK,GACnE,MACK,GAAS,GAALA,EACT,OAAO,KAETtnB,GAAOzJ,EACP,IAAIs2D,EAAOvnB,EAAKI,IAAIviC,QAAQnD,GAC5B,GAAIqsD,EAAUC,MAAMO,GAAS,OAAOA,EAItC,OAAS,CACP,IAAIC,EAASv2D,EAAM,EAAIvF,EAAKqK,WAAarK,EAAKm0C,UAC9C,IAAK2nB,EAAQ,CACX,GAAI97D,EAAKu6C,SAAWv6C,EAAKy9C,SAAW,gBAAcpD,aAAar6C,GAAO,CACpEs0C,EAAOA,EAAKI,IAAIviC,QAAQnD,EAAMhP,EAAKs3C,SAAW/xC,GAC9Cq2D,GAAW,EACX,SAASr2C,EAEX,MAEFvlB,EAAO87D,EACP9sD,GAAOzJ,EACP,IAAIw2D,EAASznB,EAAKI,IAAIviC,QAAQnD,GAC9B,GAAIqsD,EAAUC,MAAMS,GAAW,OAAOA,EAGxC,OAAO,OAIJV,EA/EoB,CAgF3B,aAEF,EAAUhuE,UAAUwvD,SAAU,EAE9B,YAAUJ,OAAO,YAAa,GAE9B,IAAI8e,EAAc,SAAqBvsD,GACrClZ,KAAKkZ,IAAMA,GAEbusD,EAAYluE,UAAUsY,IAAM,SAAcixC,GACxC,OAAO,IAAI2kB,EAAY3kB,EAAQjxC,IAAI7P,KAAKkZ,OAE1CusD,EAAYluE,UAAU8kB,QAAU,SAAkBuiC,GAChD,IAAIJ,EAAOI,EAAIviC,QAAQrc,KAAKkZ,KAC5B,OAAO,EAAUssD,MAAMhnB,GAAQ,IAAI,EAAUA,GAAQ,YAAU8B,KAAK9B,IAsCtE,IAeI0nB,EAAgB,YAAe,CACjC,UAAaC,EAAM,SAAU,GAC7B,WAAcA,EAAM,QAAS,GAC7B,QAAWA,EAAM,QAAS,GAC1B,UAAaA,EAAM,OAAQ,KAG7B,SAASA,EAAMC,EAAM32D,GACnB,IAAI42D,EAAiB,QAARD,EAAkB32D,EAAM,EAAI,OAAS,KAASA,EAAM,EAAI,QAAU,OAC/E,OAAO,SAASzL,EAAO85C,EAAUsG,GAC/B,IAAIyD,EAAM7jD,EAAM+5C,UACZgS,EAAStgD,EAAM,EAAIo4C,EAAIzI,IAAMyI,EAAI1I,MAAO2mB,EAAWje,EAAI1yB,MAC3D,GAAI0yB,aAAe,gBAAe,CAChC,IAAKzD,EAAKE,eAAe+hB,IAA2B,GAAhBtW,EAAOpR,MAAc,OAAO,EAChEmnB,GAAW,EACX/V,EAAS/rD,EAAM46C,IAAIviC,QAAQ5M,EAAM,EAAIsgD,EAAO/Q,QAAU+Q,EAAOlR,UAE/D,IAAIynB,EAAS,EAAUnkB,SAAS4N,EAAQtgD,EAAKq2D,GAC7C,QAAKQ,IACDxoB,GAAYA,EAAS95C,EAAMg6C,GAAGqC,aAAa,IAAI,EAAUimB,MACtD,IAIX,SAASC,EAAYniB,EAAMlrC,EAAK5Y,GAC9B,IAAK8jD,EAAK0gB,SAAY,OAAO,EAC7B,IAAItmB,EAAO4F,EAAKpgD,MAAM46C,IAAIviC,QAAQnD,GAClC,IAAK,EAAUssD,MAAMhnB,GAAS,OAAO,EACrC,IACIwnB,EADM5hB,EAAK2gB,YAAY,CAACf,KAAM1jE,EAAM0kE,QAASnH,IAAKv9D,EAAM2kE,UAC3Ce,OACjB,QAAIA,GAAU,GAAK,gBAAczhB,aAAaH,EAAKpgD,MAAM46C,IAAIkU,OAAOkT,OACpE5hB,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAGqC,aAAa,IAAI,EAAU7B,MAChD,GAGT,SAASgoB,EAAcxiE,GACrB,KAAMA,EAAM+5C,qBAAqB,GAAc,OAAO,KACtD,IAAII,EAAOxkD,SAASqZ,cAAc,OAElC,OADAmrC,EAAKkmB,UAAY,wBACV,IAAcn/D,OAAOlB,EAAM46C,IAAK,CAAC,IAAW6nB,OAAOziE,EAAM+5C,UAAUnsC,KAAMusC,EAAM,CAAC/lD,IAAK,gB;;;;;;AC7K9F,SAASsuE,EAAQ3uE,GAaf,OATE2uE,EADoB,mBAAXjwE,QAAoD,iBAApBA,OAAO+hB,SACtC,SAAUzgB,GAClB,cAAcA,GAGN,SAAUA,GAClB,OAAOA,GAAyB,mBAAXtB,QAAyBsB,EAAIS,cAAgB/B,QAAUsB,IAAQtB,OAAOc,UAAY,gBAAkBQ,IAI9GA,GAGjB,SAASq5B,EAAgBxE,EAAUhX,GACjC,KAAMgX,aAAoBhX,GACxB,MAAM,IAAIpb,UAAU,qCAIxB,SAASy2B,EAAkB/0B,EAAQg1B,GACjC,IAAK,IAAIj5B,EAAI,EAAGA,EAAIi5B,EAAM/4B,OAAQF,IAAK,CACrC,IAAIoE,EAAa60B,EAAMj5B,GACvBoE,EAAWyD,WAAazD,EAAWyD,aAAc,EACjDzD,EAAWqI,cAAe,EACtB,UAAWrI,IAAYA,EAAWsI,UAAW,GACjDrN,OAAOqD,eAAeuB,EAAQG,EAAWjE,IAAKiE,IAIlD,SAASsqE,EAAa/wD,EAAa0b,EAAYC,GAG7C,OAFID,GAAYL,EAAkBrb,EAAYre,UAAW+5B,GACrDC,GAAaN,EAAkBrb,EAAa2b,GACzC3b,EAGT,SAASgxD,EAAgB7uE,EAAKK,EAAKkD,GAYjC,OAXIlD,KAAOL,EACTT,OAAOqD,eAAe5C,EAAKK,EAAK,CAC9BkD,MAAOA,EACPwE,YAAY,EACZ4E,cAAc,EACdC,UAAU,IAGZ5M,EAAIK,GAAOkD,EAGNvD,EAGT,SAASs0B,EAAQ5wB,EAAQorE,GACvB,IAAIn+D,EAAOpR,OAAOoR,KAAKjN,GAEvB,GAAInE,OAAOgjB,sBAAuB,CAChC,IAAIqO,EAAUrxB,OAAOgjB,sBAAsB7e,GACvCorE,IAAgBl+C,EAAUA,EAAQ9U,QAAO,SAAUizD,GACrD,OAAOxvE,OAAOoE,yBAAyBD,EAAQqrE,GAAKhnE,eAEtD4I,EAAK6F,KAAKxI,MAAM2C,EAAMigB,GAGxB,OAAOjgB,EAGT,SAASq+D,EAAe7qE,GACtB,IAAK,IAAIjE,EAAI,EAAGA,EAAI8B,UAAU5B,OAAQF,IAAK,CACzC,IAAIgE,EAAyB,MAAhBlC,UAAU9B,GAAa8B,UAAU9B,GAAK,GAE/CA,EAAI,EACNo0B,EAAQ/0B,OAAO2E,IAAS,GAAMnE,SAAQ,SAAUM,GAC9CwuE,EAAgB1qE,EAAQ9D,EAAK6D,EAAO7D,OAE7Bd,OAAO0vE,0BAChB1vE,OAAOiP,iBAAiBrK,EAAQ5E,OAAO0vE,0BAA0B/qE,IAEjEowB,EAAQ/0B,OAAO2E,IAASnE,SAAQ,SAAUM,GACxCd,OAAOqD,eAAeuB,EAAQ9D,EAAKd,OAAOoE,yBAAyBO,EAAQ7D,OAKjF,OAAO8D,EAGT,SAAS+qE,EAAUC,EAAUC,GAC3B,GAA0B,mBAAfA,GAA4C,OAAfA,EACtC,MAAM,IAAI3sE,UAAU,sDAGtB0sE,EAAS3vE,UAAYD,OAAO4N,OAAOiiE,GAAcA,EAAW5vE,UAAW,CACrEiB,YAAa,CACX8C,MAAO4rE,EACPviE,UAAU,EACVD,cAAc,KAGdyiE,GAAYC,EAAgBF,EAAUC,GAG5C,SAASE,EAAgB9oC,GAIvB,OAHA8oC,EAAkB/vE,OAAOgS,eAAiBhS,OAAO+R,eAAiB,SAAyBk1B,GACzF,OAAOA,EAAE/a,WAAalsB,OAAO+R,eAAek1B,KAEvBA,GAGzB,SAAS6oC,EAAgB7oC,EAAG7X,GAM1B,OALA0gD,EAAkB9vE,OAAOgS,gBAAkB,SAAyBi1B,EAAG7X,GAErE,OADA6X,EAAE/a,UAAYkD,EACP6X,IAGcA,EAAG7X,GAG5B,SAAS4gD,IACP,GAAuB,oBAAZC,UAA4BA,QAAQC,UAAW,OAAO,EACjE,GAAID,QAAQC,UAAU3qE,KAAM,OAAO,EACnC,GAAqB,mBAAV4qE,MAAsB,OAAO,EAExC,IAEE,OADAv3C,KAAK34B,UAAUF,SAASK,KAAK6vE,QAAQC,UAAUt3C,KAAM,IAAI,iBAClD,EACP,MAAOvjB,GACP,OAAO,GAYX,SAAS+6D,EAA2BxwE,EAAMQ,GACxC,OAAIA,GAAyB,iBAATA,GAAqC,mBAATA,EATlD,SAAgCR,GAC9B,QAAa,IAATA,EACF,MAAM,IAAIywE,eAAe,6DAG3B,OAAOzwE,EAQA0wE,CAAuB1wE,GAHrBQ,EAMX,SAASmwE,EAAaC,GACpB,OAAO,WACL,IACIjuE,EADAkuE,EAAQV,EAAgBS,GAG5B,GAAIR,IAA6B,CAC/B,IAAIp9C,EAAYm9C,EAAgBrnE,MAAMxH,YAEtCqB,EAAS0tE,QAAQC,UAAUO,EAAOhuE,UAAWmwB,QAE7CrwB,EAASkuE,EAAMhiE,MAAM/F,KAAMjG,WAG7B,OAAO2tE,EAA2B1nE,KAAMnG,IAI5C,SAASmuE,EAAev3C,EAAKx4B,GAC3B,OAWF,SAAyBw4B,GACvB,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOA,EAZxBw3C,CAAgBx3C,IAmBzB,SAA+BA,EAAKx4B,GAClC,GAAsB,oBAAXxB,UAA4BA,OAAO+hB,YAAYlhB,OAAOm5B,IAAO,OACxE,IAAIy3C,EAAO,GACPC,GAAK,EACL9xC,GAAK,EACL+xC,OAAKxrE,EAET,IACE,IAAK,IAAiCyrE,EAA7Br3D,EAAKyf,EAAIh6B,OAAO+hB,cAAmB2vD,GAAME,EAAKr3D,EAAG9G,QAAQ6K,QAChEmzD,EAAK35D,KAAK85D,EAAG/sE,QAETrD,GAAKiwE,EAAK/vE,SAAWF,GAH8CkwE,GAAK,IAK9E,MAAOjZ,GACP74B,GAAK,EACL+xC,EAAKlZ,EACL,QACA,IACOiZ,GAAsB,MAAhBn3D,EAAW,QAAWA,EAAW,SAC5C,QACA,GAAIqlB,EAAI,MAAM+xC,GAIlB,OAAOF,EA3CwBI,CAAsB73C,EAAKx4B,IAAMswE,EAA4B93C,EAAKx4B,IAmEnG,WACE,MAAM,IAAIuC,UAAU,6IApEmFguE,GAGzG,SAASC,EAAmBh4C,GAC1B,OAGF,SAA4BA,GAC1B,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOi4C,EAAkBj4C,GAJ1Ck4C,CAAmBl4C,IAW5B,SAA0Bm4C,GACxB,GAAsB,oBAAXnyE,QAA0BA,OAAO+hB,YAAYlhB,OAAOsxE,GAAO,OAAOt6D,MAAM6c,KAAKy9C,GAZtDC,CAAiBp4C,IAAQ83C,EAA4B93C,IA2DzF,WACE,MAAM,IAAIj2B,UAAU,wIA5D2EsuE,GA0CjG,SAASP,EAA4BhqC,EAAGwqC,GACtC,GAAKxqC,EAAL,CACA,GAAiB,iBAANA,EAAgB,OAAOmqC,EAAkBnqC,EAAGwqC,GACvD,IAAIlyD,EAAIvf,OAAOC,UAAUF,SAASK,KAAK6mC,GAAGt7B,MAAM,GAAI,GAEpD,MADU,WAAN4T,GAAkB0nB,EAAE/lC,cAAaqe,EAAI0nB,EAAE/lC,YAAY5B,MAC7C,QAANigB,GAAqB,QAANA,EAAoBvI,MAAM6c,KAAKtU,GACxC,cAANA,GAAqB,2CAA2C1L,KAAK0L,GAAW6xD,EAAkBnqC,EAAGwqC,QAAzG,GAGF,SAASL,EAAkBj4C,EAAK5iB,IACnB,MAAPA,GAAeA,EAAM4iB,EAAIt4B,UAAQ0V,EAAM4iB,EAAIt4B,QAE/C,IAAK,IAAIF,EAAI,EAAG+wE,EAAO,IAAI16D,MAAMT,GAAM5V,EAAI4V,EAAK5V,IAAK+wE,EAAK/wE,GAAKw4B,EAAIx4B,GAEnE,OAAO+wE,EAWT,SAASC,EAAW3uE,GAClB,OAAOA,EAAIC,QAAQ,uBAAuB,SAAU2uE,EAAMz8D,GACxD,OAAiB,IAAVA,EAAcy8D,EAAK/2D,cAAgB+2D,EAAKlsD,iBAC9CziB,QAAQ,OAAQ,IAGrB,IAAI,EAA6B,WAC/B,SAAS4uE,EAAcC,EAAWC,GAChC,IAAIC,EAASD,EAAKC,OACdC,EAAYF,EAAKE,UACjBpnE,EAASknE,EAAKlnE,OACdg8C,EAAOkrB,EAAKlrB,KACZiG,EAAOilB,EAAKjlB,KACZolB,EAAcH,EAAKG,YACnBC,EAASJ,EAAKI,OAElBr4C,EAAgBpxB,KAAMmpE,GAEtBnpE,KAAKopE,UAAYA,EACjBppE,KAAKspE,OAASA,EACdtpE,KAAKupE,UAAYA,EACjBvpE,KAAKmC,OAASA,EACdnC,KAAKm+C,KAAOA,EACZn+C,KAAKokD,KAAOA,EACZpkD,KAAKwpE,YAAcA,EACnBxpE,KAAK0pE,SAAW1pE,KAAKm+C,KAAKoF,MAC1BvjD,KAAK2pE,QAAU3pE,KAAK0pE,OACpB1pE,KAAKypE,OAASzpE,KAAK2pE,OAAS3pE,KAAK4pE,WAAaH,EAC9CzpE,KAAK6pE,eAAgB,EACrB7pE,KAAKy5D,IAAMz5D,KAAK8pE,YAChB9pE,KAAK+/D,WAAa//D,KAAK+pE,GAAGC,MAAMljE,QAoLlC,OAjLA6/D,EAAawC,EAAe,CAAC,CAC3B/wE,IAAK,YACLkD,MAAO,WACL,IAAI2uE,EAAQjqE,KAERkqE,EAAY,UAAIjwE,OAAO+F,KAAKopE,WAC5Bl4C,EAAQ,CACVo4C,OAAQtpE,KAAKspE,OACbnrB,KAAMn+C,KAAKm+C,KACXiG,KAAMpkD,KAAKokD,KACXqlB,OAAQ,WACN,OAAOQ,EAAMR,UAEfD,YAAaxpE,KAAKwpE,YAClBW,UAAU,EACVnuE,QAASgE,KAAKupE,UAAUvtE,QACxBouE,YAAa,SAAqB9nB,GAChC,OAAO2nB,EAAMG,YAAY9nB,KAgB7B,MAZ2C,mBAAhCtiD,KAAKupE,UAAUlpB,eACxBrgD,KAAKqgD,aAAergD,KAAKupE,UAAUlpB,cAGA,mBAA1BrgD,KAAKupE,UAAUt2D,SACxBjT,KAAKiT,OAASjT,KAAKupE,UAAUt2D,QAG/BjT,KAAK+pE,GAAK,IAAIG,EAAU,CACtB/nE,OAAQnC,KAAKmC,OACbkoE,UAAWn5C,IACVo5C,SACItqE,KAAK+pE,GAAGQ,MAEhB,CACDnyE,IAAK,SACLkD,MAAO,SAAgB6iD,EAAMqrB,GAC3B,OAAIrrB,EAAKt/C,OAASmB,KAAKm+C,KAAKt/C,OAIxBs/C,IAASn+C,KAAKm+C,MAAQn+C,KAAKwpE,cAAgBA,IAI/CxpE,KAAKm+C,KAAOA,EACZn+C,KAAKwpE,YAAcA,EACnBxpE,KAAKwqE,qBAAqB,CACxBrsB,KAAMA,EACNqrB,YAAaA,MAPN,KAWV,CACDpxE,IAAK,uBACLkD,MAAO,SAA8B41B,GACnC,IAAIu5C,EAASzqE,KAEb,GAAKA,KAAK+pE,GAAGW,OAAb,CAOA,IAAIC,EAAiB,UAAIjsE,OAAOksE,OAChC,UAAIlsE,OAAOksE,QAAS,EACpBtzE,OAAO0T,QAAQkmB,GAAOp5B,SAAQ,SAAU+yE,GACtC,IAAIC,EAAQ9C,EAAe6C,EAAO,GAC9BzyE,EAAM0yE,EAAM,GACZxvE,EAAQwvE,EAAM,GAElBL,EAAOV,GAAGW,OAAOtyE,GAAOkD,KAI1B,UAAIoD,OAAOksE,OAASD,KAErB,CACDvyE,IAAK,cACLkD,MAAO,SAAqBgnD,GAC1B,GAAKtiD,KAAKokD,KAAK0gB,SAAf,CAIA,IAAI9gE,EAAQhE,KAAKokD,KAAKpgD,MAClBnF,EAAOmB,KAAKm+C,KAAKt/C,KACjBqa,EAAMlZ,KAAKypE,SAEXsB,EAAWhE,EAAe,GAAI/mE,KAAKm+C,KAAKmE,MAAO,GAAIA,GAEnD0oB,EAAchrE,KAAK2pE,OAAS3lE,EAAMg6C,GAAGgG,WAAW9qC,EAAIiS,KAAMjS,EAAI6d,GAAIl4B,GAAMolD,QAAQ/qC,EAAIiS,KAAMjS,EAAI6d,GAAIl4B,EAAKqG,OAAO6lE,IAAa/mE,EAAMg6C,GAAGgD,cAAc9nC,EAAK,KAAM6xD,GACjK/qE,KAAKokD,KAAKtG,SAASktB,MAIpB,CACD5yE,IAAK,iBACLkD,MAAO,SAAwB2vE,GAE7B,MAAsB,cAAlBA,EAASpsE,QAIRmB,KAAK+/D,aAIF//D,KAAK+/D,WAAWa,SAASqK,EAAS/uE,WAG3C,CACD9D,IAAK,YACLkD,MAAO,SAAmBgF,GACxB,IAAI4qE,EAASlrE,KAEb,GAAwC,mBAA7BA,KAAKupE,UAAU4B,UACxB,OAAOnrE,KAAKupE,UAAU4B,UAAU7qE,GAGlC,IAAI8qE,IAAcprE,KAAKupE,UAAU9f,OAAO2hB,UAExC,GAAIA,GAA4B,cAAf9qE,EAAMzB,KAAsB,CAC3C,IAAIwsE,EAAa/qE,EAAMpE,OAAOovE,SAAWhrE,EAAMpE,OAAOovE,QAAQ,sBACtCD,IAAerrE,KAAKy5D,MAAQ4R,GAAcrrE,KAAKy5D,IAAImH,SAASyK,MAGlFrrE,KAAK6pE,eAAgB,EACrBlwE,SAASykB,iBAAiB,WAAW,WACnC8sD,EAAOrB,eAAgB,IACtB,CACD96D,MAAM,KAKZ,IAAIw8D,EAAwB,SAAfjrE,EAAMzB,KACf2sE,EAAyB,UAAflrE,EAAMzB,KAChB4sE,EAAuB,QAAfnrE,EAAMzB,KACd6sE,EAASprE,EAAMzB,KAAK8sE,WAAW,SAA0B,SAAfrrE,EAAMzB,KAEpD,QAAIusE,GAAaM,GAAUH,GAAUC,GAAWC,IAIzCzrE,KAAK6pE,gBAEb,CACDzxE,IAAK,aACLkD,MAAO,WACL0E,KAAKwqE,qBAAqB,CACxBL,UAAU,MAGb,CACD/xE,IAAK,eACLkD,MAAO,WACL0E,KAAKwqE,qBAAqB,CACxBL,UAAU,MAGb,CACD/xE,IAAK,aACLkD,MAAO,WACL,IAAI4d,EAAMlZ,KAAKokD,KAAKwnB,SAAS5rE,KAAKy5D,KAC9BoS,EAAc7rE,KAAKokD,KAAKpgD,MAAM46C,IAAIviC,QAAQnD,GAE9C,OADY,YAAa2yD,EAAa7rE,KAAKm+C,KAAKt/C,QAGjD,CACDzG,IAAK,UACLkD,MAAO,WACL0E,KAAK+pE,GAAG+B,eAIL3C,EA5MwB,GA+M7B4C,EAAuB,WACzB,SAASA,IACP36C,EAAgBpxB,KAAM+rE,GAgExB,OA7DApF,EAAaoF,EAAS,CAAC,CACrB3zE,IAAK,KAELkD,MAAO,SAAYgF,EAAOtI,GASxB,OARAgI,KAAKgsE,WAAahsE,KAAKgsE,YAAc,GAEhChsE,KAAKgsE,WAAW1rE,KACnBN,KAAKgsE,WAAW1rE,GAAS,IAG3BN,KAAKgsE,WAAW1rE,GAAOiO,KAAKvW,GAErBgI,OAER,CACD5H,IAAK,OACLkD,MAAO,SAAcgF,GAGnB,IAFA,IAAI2pE,EAAQjqE,KAEHisE,EAAOlyE,UAAU5B,OAAQkW,EAAO,IAAIC,MAAM29D,EAAO,EAAIA,EAAO,EAAI,GAAIC,EAAO,EAAGA,EAAOD,EAAMC,IAClG79D,EAAK69D,EAAO,GAAKnyE,UAAUmyE,GAG7BlsE,KAAKgsE,WAAahsE,KAAKgsE,YAAc,GACrC,IAAIG,EAAYnsE,KAAKgsE,WAAW1rE,GAQhC,OANI6rE,GACFA,EAAUr0E,SAAQ,SAAU04B,GAC1B,OAAOA,EAASzqB,MAAMkkE,EAAO57D,MAI1BrO,OAKR,CACD5H,IAAK,MACLkD,MAAO,SAAagF,EAAOtI,GACzB,GAAK+B,UAAU5B,OAER,CAEL,IAAIg0E,EAAYnsE,KAAKgsE,WAAahsE,KAAKgsE,WAAW1rE,GAAS,KAEvD6rE,IACEn0E,EACFgI,KAAKgsE,WAAW1rE,GAAS6rE,EAAUt4D,QAAO,SAAUu4D,GAClD,OAAOA,IAAOp0E,YAGTgI,KAAKgsE,WAAW1rE,SAX3BN,KAAKgsE,WAAa,GAgBpB,OAAOhsE,SAIJ+rE,EAlEkB,GAqEvBM,EAAyB,WAC3B,SAASA,IACP,IAAIrwE,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAElFq3B,EAAgBpxB,KAAMqsE,GAEtBrsE,KAAKhE,QAAU+qE,EAAe,GAAI/mE,KAAKssE,eAAgB,GAAItwE,GAmD7D,OAhDA2qE,EAAa0F,EAAW,CAAC,CACvBj0E,IAAK,OACLkD,MAAO,WACL,OAAO,OAER,CACDlD,IAAK,aACLkD,MAAO,WACL,IAAIguE,EAASvvE,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KACjFiG,KAAKspE,OAASA,IAEf,CACDlxE,IAAK,aACLkD,MAAO,WACL,MAAO,KAER,CACDlD,IAAK,aACLkD,MAAO,WACL,MAAO,KAER,CACDlD,IAAK,OACLkD,MAAO,WACL,MAAO,KAER,CACDlD,IAAK,OACLwC,IAAK,WACH,OAAO,OAER,CACDxC,IAAK,OACLwC,IAAK,WACH,MAAO,cAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,KAER,CACDxC,IAAK,UACLwC,IAAK,WACH,MAAO,OAIJyxE,EAzDoB,GA4DzB,EAAgC,WAClC,SAASE,IACP,IAAIC,EAAazyE,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GACjFuvE,EAASvvE,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EAEnDw0B,EAAgBpxB,KAAMusE,GAEtBC,EAAW10E,SAAQ,SAAUyxE,GAC3BA,EAAUkD,WAAWnD,GACrBC,EAAUt3C,UAEZjyB,KAAKwsE,WAAaA,EA0MpB,OAvMA7F,EAAa4F,EAAkB,CAAC,CAC9Bn0E,IAAK,UACLkD,MAAO,SAAiB+tE,GACtB,IAAI5f,EAAS4f,EAAK5f,OACdijB,EAAmB1sE,KAAKwsE,WAAW34D,QAAO,SAAU01D,GACtD,MAAO,CAAC,aAAalvD,SAASkvD,EAAU1qE,SACvCgV,QAAO,SAAU01D,GAClB,OAAOA,EAAU7gE,QAChBmH,KAAI,SAAU05D,GACf,OAAOA,EAAU7gE,KAAK,CACpB+gD,OAAQA,OAGRkjB,EAAkB3sE,KAAKwsE,WAAW34D,QAAO,SAAU01D,GACrD,MAAO,CAAC,OAAQ,QAAQlvD,SAASkvD,EAAU1qE,SAC1CgV,QAAO,SAAU01D,GAClB,OAAOA,EAAU7gE,QAChBmH,KAAI,SAAU05D,GACf,OAAOA,EAAU7gE,KAAK,CACpB7J,KAAM4qD,EAAO,GAAGzmD,OAAOumE,EAAU1qE,KAAM,MAAM0qE,EAAU3yE,MACvD6yD,OAAQA,OAGZ,MAAO,GAAGzmD,OAAOylE,EAAmBiE,GAAmBjE,EAAmBkE,IAAkB98D,KAAI,SAAUnH,GACxG,OAAO,YAAOA,QAGjB,CACDtQ,IAAK,aACLkD,MAAO,SAAoBuvE,GACzB,IAAIphB,EAASohB,EAAMphB,OACfmjB,EAAqB/B,EAAM+B,mBAC/B,KAAMA,aAA8Bt+D,QAAUs+D,EAAoB,MAAO,GACzE,IAAIC,EAAoBD,aAA8Bt+D,MAAQtO,KAAKwsE,WAAW34D,QAAO,SAAU01D,GAC7F,OAAQqD,EAAmBvyD,SAASkvD,EAAU3yE,SAC3CoJ,KAAKwsE,WACNM,EAAsBD,EAAkBh5D,QAAO,SAAU01D,GAC3D,MAAO,CAAC,aAAalvD,SAASkvD,EAAU1qE,SACvCgV,QAAO,SAAU01D,GAClB,OAAOA,EAAUwD,cAChBl9D,KAAI,SAAU05D,GACf,OAAOA,EAAUwD,WAAW,CAC1BtjB,OAAQA,OAGRujB,EAAqBH,EAAkBh5D,QAAO,SAAU01D,GAC1D,MAAO,CAAC,OAAQ,QAAQlvD,SAASkvD,EAAU1qE,SAC1CgV,QAAO,SAAU01D,GAClB,OAAOA,EAAUwD,cAChBl9D,KAAI,SAAU05D,GACf,OAAOA,EAAUwD,WAAW,CAC1BluE,KAAM4qD,EAAO,GAAGzmD,OAAOumE,EAAU1qE,KAAM,MAAM0qE,EAAU3yE,MACvD6yD,OAAQA,OAGZ,MAAO,GAAGzmD,OAAOylE,EAAmBqE,GAAsBrE,EAAmBuE,IAAqBhY,QAAO,SAAUiY,EAAeF,GAChI,MAAO,GAAG/pE,OAAOylE,EAAmBwE,GAAgBxE,EAAmBsE,MACtE,MAEJ,CACD30E,IAAK,aACLkD,MAAO,SAAoBwvE,GACzB,IAAIrhB,EAASqhB,EAAMrhB,OACfmjB,EAAqB9B,EAAM8B,mBAC/B,KAAMA,aAA8Bt+D,QAAUs+D,EAAoB,MAAO,GACzE,IAAIC,EAAoBD,aAA8Bt+D,MAAQtO,KAAKwsE,WAAW34D,QAAO,SAAU01D,GAC7F,OAAQqD,EAAmBvyD,SAASkvD,EAAU3yE,SAC3CoJ,KAAKwsE,WACNU,EAAsBL,EAAkBh5D,QAAO,SAAU01D,GAC3D,MAAO,CAAC,aAAalvD,SAASkvD,EAAU1qE,SACvCgV,QAAO,SAAU01D,GAClB,OAAOA,EAAU4D,cAChBt9D,KAAI,SAAU05D,GACf,OAAOA,EAAU4D,WAAW,CAC1B1jB,OAAQA,OAGR2jB,EAAqBP,EAAkBh5D,QAAO,SAAU01D,GAC1D,MAAO,CAAC,OAAQ,QAAQlvD,SAASkvD,EAAU1qE,SAC1CgV,QAAO,SAAU01D,GAClB,OAAOA,EAAU4D,cAChBt9D,KAAI,SAAU05D,GACf,OAAOA,EAAU4D,WAAW,CAC1BtuE,KAAM4qD,EAAO,GAAGzmD,OAAOumE,EAAU1qE,KAAM,MAAM0qE,EAAU3yE,MACvD6yD,OAAQA,OAGZ,MAAO,GAAGzmD,OAAOylE,EAAmByE,GAAsBzE,EAAmB2E,IAAqBpY,QAAO,SAAUqY,EAAeF,GAChI,MAAO,GAAGnqE,OAAOylE,EAAmB4E,GAAgB5E,EAAmB0E,MACtE,MAEJ,CACD/0E,IAAK,WACLkD,MAAO,SAAkBgyE,GACvB,IAAI7jB,EAAS6jB,EAAM7jB,OACfrF,EAAOkpB,EAAMlpB,KACjB,OAAOpkD,KAAKwsE,WAAW34D,QAAO,SAAU01D,GACtC,OAAOA,EAAUplB,YAChB6Q,QAAO,SAAUuY,EAAahE,GAC/B,IAAI3yE,EAAO2yE,EAAU3yE,KACjBiI,EAAO0qE,EAAU1qE,KACjBslD,EAAW,GACX7oD,EAAQiuE,EAAUplB,SAAS4iB,EAAe,CAC5Ctd,OAAQA,GACP,CAAC,OAAQ,QAAQpvC,SAASxb,GAAQ,CACnCA,KAAM4qD,EAAO,GAAGzmD,OAAOnE,EAAM,MAAMjI,IACjC,KAEAmP,EAAQ,SAAeqmE,EAAI9pB,GAC7B,QAAK8B,EAAK0gB,WAIV1gB,EAAKopB,QACEpB,EAAG9pB,EAAH8pB,CAAUhoB,EAAKpgD,MAAOogD,EAAKtG,SAAUsG,KAG1CqpB,EAAS,SAAgBC,EAAOC,GAC9Br/D,MAAM9W,QAAQm2E,GAChBxpB,EAASupB,GAAS,SAAUprB,GAC1B,OAAOqrB,EAAO71E,SAAQ,SAAU04B,GAC9B,OAAOzqB,EAAMyqB,EAAU8xB,OAGA,mBAAXqrB,IAChBxpB,EAASupB,GAAS,SAAUprB,GAC1B,OAAOv8C,EAAM4nE,EAAQrrB,MAiB3B,MAZuB,WAAnBokB,EAAQprE,GACVhE,OAAO0T,QAAQ1P,GAAOxD,SAAQ,SAAU81E,GACtC,IAAIC,EAAQ7F,EAAe4F,EAAO,GAC9BE,EAAcD,EAAM,GACpBE,EAAeF,EAAM,GAEzBJ,EAAOK,EAAaC,MAGtBN,EAAO72E,EAAM0E,GAGRyrE,EAAe,GAAIwG,EAAa,GAAIppB,KAC1C,MAEJ,CACD/rD,IAAK,QACLwC,IAAK,WACH,OAAOoF,KAAKwsE,WAAW34D,QAAO,SAAU01D,GACtC,MAA0B,SAAnBA,EAAU1qE,QAChBm2D,QAAO,SAAUnG,EAAOmf,GAGzB,OAAOjH,EAAe,GAAIlY,EAAO+X,EAAgB,GAFtCoH,EAAMp3E,KACJo3E,EAAMvkB,WAElB,MAEJ,CACDrxD,IAAK,UACLwC,IAAK,WACH,IAAIwpD,EAAOpkD,KAAKokD,KAChB,OAAOpkD,KAAKwsE,WAAWxX,QAAO,SAAUnG,EAAO0a,GAC7C,OAAOxC,EAAe,GAAIlY,EAAO+X,EAAgB,GAAI2C,EAAU3yE,KAAM,IAAI6wE,MAAM8B,EAAUvtE,QAAS,CAChGkH,IAAK,SAAanL,EAAKsnB,EAAM/jB,GAC3B,IAAI2yE,EAAUl2E,EAAIsnB,KAAU/jB,EAO5B,OANAhE,OAAO0G,OAAOjG,EAAK6uE,EAAgB,GAAIvnD,EAAM/jB,IAEzC2yE,GACF7pB,EAAK8pB,YAAY9pB,EAAKpgD,QAGjB,SAGV,MAEJ,CACD5L,IAAK,QACLwC,IAAK,WACH,OAAOoF,KAAKwsE,WAAW34D,QAAO,SAAU01D,GACtC,MAA0B,SAAnBA,EAAU1qE,QAChBm2D,QAAO,SAAUzR,EAAO4qB,GAGzB,OAAOpH,EAAe,GAAIxjB,EAAOqjB,EAAgB,GAFtCuH,EAAMv3E,KACJu3E,EAAM1kB,WAElB,MAEJ,CACDrxD,IAAK,UACLwC,IAAK,WACH,OAAOoF,KAAKwsE,WAAW34D,QAAO,SAAU01D,GACtC,OAAOA,EAAUnf,WAChB4K,QAAO,SAAUoZ,EAAYC,GAC9B,IAAIjkB,EAAUikB,EAAMjkB,QACpB,MAAO,GAAGpnD,OAAOylE,EAAmB2F,GAAa3F,EAAmBre,MACnE,QAIAmiB,EArN2B,GAwNpC,SAAS+B,EAAWh9D,GAEhB,IAAI5J,EAAQ/N,SAASqZ,cAAc,SACnCtL,EAAM7I,KAAO,WACb6I,EAAMgrD,YAAcphD,EACpB,IACIM,EADYjY,SACKiY,KACjB2C,EAAa3C,EAAK2C,WAElBA,EACF3C,EAAKwC,aAAa1M,EAAO6M,GAEzB3C,EAAKhK,YAAYF,GAKvB,IAAIsnD,EAAoB,SAAUuf,GAChCtH,EAAUjY,EAAMuf,GAEhB,IAAIC,EAAS3G,EAAa7Y,GAE1B,SAASA,IACP,IAAIhzD,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAIlF,OAFAq3B,EAAgBpxB,KAAMgvD,GAEfwf,EAAO92E,KAAKsI,KAAMhE,GAyB3B,OAtBA2qE,EAAa3X,EAAM,CAAC,CAClB52D,IAAK,UACLkD,MAAO,WACL,OAAO,eAER,CACDlD,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,OACLwC,IAAK,WACH,OAAO,OAER,CACDxC,IAAK,SACLwC,IAAK,WACH,OAAO,SAIJo0D,EAnCe,CAoCtBqd,GAEF,SAASoC,IACP,IAAInzE,EAAQvB,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC5EiH,EAAMjH,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC1EitB,EAAMjtB,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC9E,OAAOjD,KAAKkK,IAAIlK,KAAKkwB,IAAI6W,SAASviC,EAAO,IAAK0F,GAAMgmB,GAGtD,IAAIwrC,EAAoB,SAAU+b,GAChCtH,EAAUzU,EAAM+b,GAEhB,IAAIC,EAAS3G,EAAarV,GAE1B,SAASA,IACP,IAAIx2D,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAIlF,OAFAq3B,EAAgBpxB,KAAMwyD,GAEfgc,EAAO92E,KAAKsI,KAAMhE,GAyB3B,OAtBA2qE,EAAanU,EAAM,CAAC,CAClBp6D,IAAK,UACLkD,MAAO,WACL,OAAO,eAER,CACDlD,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,OACLwC,IAAK,WACH,OAAO,OAER,CACDxC,IAAK,SACLwC,IAAK,WACH,OAAO,SAIJ43D,EAnCe,CAoCtB6Z,GAEEqC,EAAmB,SAAUC,GAC/B1H,EAAUyH,EAAKC,GAEf,IAAIH,EAAS3G,EAAa6G,GAE1B,SAASA,IAGP,OAFAt9C,EAAgBpxB,KAAM0uE,GAEfF,EAAOzoE,MAAM/F,KAAMjG,WAiB5B,OAdA4sE,EAAa+H,EAAK,CAAC,CACjBt2E,IAAK,OACLwC,IAAK,WACH,MAAO,QAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,cAKR4nE,EAzBc,CA0BrBlc,GAEE,EAAyB,SAAUmc,GACrC1H,EAAU2H,EAAWD,GAErB,IAAIH,EAAS3G,EAAa+G,GAE1B,SAASA,IAGP,OAFAx9C,EAAgBpxB,KAAM4uE,GAEfJ,EAAOzoE,MAAM/F,KAAMjG,WAiC5B,OA9BA4sE,EAAaiI,EAAW,CAAC,CACvBx2E,IAAK,WACLkD,MAAO,SAAkB+tE,GACvB,IAAIxqE,EAAOwqE,EAAKxqE,KAChB,OAAO,WACL,OAAO,YAAaA,MAGvB,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,cAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,UACT+wD,MAAO,QACPuT,WAAW,EACXhR,SAAU,CAAC,CACTthD,IAAK,MAEP0oD,MAAO,WACL,MAAO,CAAC,IAAK,SAMdoN,EAzCoB,CA0C3Bpc,GAEEqc,EAAoB,SAAUF,GAChC1H,EAAU4H,EAAMF,GAEhB,IAAIH,EAAS3G,EAAagH,GAE1B,SAASA,IAGP,OAFAz9C,EAAgBpxB,KAAM6uE,GAEfL,EAAOzoE,MAAM/F,KAAMjG,WAiB5B,OAdA4sE,EAAakI,EAAM,CAAC,CAClBz2E,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLi9D,MAAO,cAKNgX,EAzBe,CA0BtBrc,GAEElhD,EAAM,+6BAEN,EAAsB,SAAUw9D,GAClC7H,EAAU8H,EAAQD,GAElB,IAAIN,EAAS3G,EAAakH,GAE1B,SAASA,IACP,IAAI9E,EAEAjuE,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAoClF,OAlCAq3B,EAAgBpxB,KAAM+uE,IAEtB9E,EAAQuE,EAAO92E,KAAKsI,OACdssE,eAAiB,CACrB0C,YAAa,GACblK,UAAU,EACVmK,UAAW,KACXzC,WAAY,GACZ1lE,QAAS,GACTuxD,QAAS,MACT6W,cAAe,CACbrwE,KAAM,MACNiI,QAAS,CAAC,CACRjI,KAAM,eAGVswE,sBAAsB,EACtBC,mBAAmB,EACnBC,mBAAmB,EACnBC,WAAY,GACZC,aAAc,GACdjB,WAAW,EACXkB,OAAQ,aACRC,cAAe,aACfC,SAAU,aACVC,QAAS,aACTC,OAAQ,aACRC,QAAS,aACTC,OAAQ,cAEV7F,EAAM8F,OAAS,CAAC,OAAQ,cAAe,SAAU,QAAS,OAAQ,QAAS,QAE3E9F,EAAMh4C,KAAKj2B,GAEJiuE,EAwgBT,OArgBAtD,EAAaoI,EAAQ,CAAC,CACpB32E,IAAK,OACLkD,MAAO,WACL,IAAImvE,EAASzqE,KAEThE,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAClFiG,KAAKgwE,WAAWjJ,EAAe,GAAI/mE,KAAKssE,eAAgB,GAAItwE,IAC5DgE,KAAKiwE,SAAU,EACfjwE,KAAK+9C,UAAY,CACf5yB,KAAM,EACN4L,GAAI,GAEN/2B,KAAKqjE,QAAU1pE,SAASqZ,cAAc,OACtChT,KAAKwsE,WAAaxsE,KAAKkwE,mBACvBlwE,KAAK6uD,MAAQ7uD,KAAKmwE,cAClBnwE,KAAKujD,MAAQvjD,KAAKowE,cAClBpwE,KAAKypD,OAASzpD,KAAKqwE,eACnBrwE,KAAKoqD,QAAUpqD,KAAKswE,gBACpBtwE,KAAKuwE,QAAUvwE,KAAKwwE,gBACpBxwE,KAAK+sE,WAAa/sE,KAAKywE,mBACvBzwE,KAAKmtE,WAAantE,KAAK0wE,mBACvB1wE,KAAKokD,KAAOpkD,KAAK2wE,aACjB3wE,KAAKmkD,SAAWnkD,KAAK4wE,iBACrB5wE,KAAK6wE,yBAED7wE,KAAKhE,QAAQsyE,WACfA,EAAUh9D,GAGmB,OAA3BtR,KAAKhE,QAAQizE,WACfjvE,KAAKwtE,MAAMxtE,KAAKhE,QAAQizE,WAG1BjvE,KAAK+vE,OAAOj4E,SAAQ,SAAUlB,GAC5B6zE,EAAO57D,GAAGjY,EAAM6zE,EAAOzuE,QAAQitE,EAAU,MAAMjmE,OAAOpM,MAAW,iBAEnEoJ,KAAKK,KAAK,OAAQ,CAChB+jD,KAAMpkD,KAAKokD,KACXpgD,MAAOhE,KAAKgE,QAGdhE,KAAKwsE,WAAWpoB,KAAOpkD,KAAKokD,OAE7B,CACDhsD,IAAK,aACLkD,MAAO,SAAoBU,GACzBgE,KAAKhE,QAAU+qE,EAAe,GAAI/mE,KAAKhE,QAAS,GAAIA,GAEhDgE,KAAKokD,MAAQpkD,KAAKgE,OACpBhE,KAAKokD,KAAK8pB,YAAYluE,KAAKgE,SAG9B,CACD5L,IAAK,mBACLkD,MAAO,WACL,OAAO,IAAI,EAAiB,GAAG0H,OAAOylE,EAAmBzoE,KAAK8wE,mBAAoBrI,EAAmBzoE,KAAKhE,QAAQwwE,aAAcxsE,QAEjI,CACD5H,IAAK,gBACLkD,MAAO,WACL,OAAO0E,KAAKwsE,WAAWpiB,UAExB,CACDhyD,IAAK,gBACLkD,MAAO,WACL,OAAO0E,KAAKwsE,WAAW+D,QAAQ,CAC7B9mB,OAAQzpD,KAAKypD,WAGhB,CACDrxD,IAAK,mBACLkD,MAAO,WACL,OAAO0E,KAAKwsE,WAAWO,WAAW,CAChCtjB,OAAQzpD,KAAKypD,OACbmjB,mBAAoB5sE,KAAKhE,QAAQozE,sBAGpC,CACDh3E,IAAK,mBACLkD,MAAO,WACL,OAAO0E,KAAKwsE,WAAWW,WAAW,CAChC1jB,OAAQzpD,KAAKypD,OACbmjB,mBAAoB5sE,KAAKhE,QAAQqzE,sBAGpC,CACDj3E,IAAK,iBACLkD,MAAO,WACL,OAAO0E,KAAKwsE,WAAWroB,SAAS,CAC9BsF,OAAQzpD,KAAKypD,OACbrF,KAAMpkD,KAAKokD,SAGd,CACDhsD,IAAK,cACLkD,MAAO,WACL,OAAO0E,KAAKwsE,WAAW3d,QAExB,CACDz2D,IAAK,cACLkD,MAAO,WACL,OAAO0E,KAAKwsE,WAAWjpB,QAExB,CACDnrD,IAAK,eACLkD,MAAO,WACL,OAAO,IAAI,SAAO,CAChB+8D,QAASr4D,KAAKhE,QAAQq8D,QACtBxJ,MAAO7uD,KAAK6uD,MACZtL,MAAOvjD,KAAKujD,UAGf,CACDnrD,IAAK,cACLkD,MAAO,WACL,IFxoCcU,EEwoCVkvE,EAASlrE,KAEb,OAAO,cAAYkF,OAAO,CACxBukD,OAAQzpD,KAAKypD,OACb7K,IAAK5+C,KAAK+wE,eAAe/wE,KAAKhE,QAAQ8K,SACtCsjD,QAAS,GAAGpnD,OAAOylE,EAAmBzoE,KAAKoqD,SAAU,CAAC,YAAW,CAC/DiP,MAAOr5D,KAAK+sE,cACTtE,EAAmBzoE,KAAKmtE,YAAa1E,EAAmBzoE,KAAKuwE,SAAU,CAAC,YAAO,CAClFS,UAAW,MACT,YAAO,MFjpCCh1E,EEipCuBgE,KAAKhE,QAAQszE,gBFhpCrC,IAAZtzE,IAAqBA,EAAU,IAE7B,IAAI,SAAO,CAChBooD,KAAM,SAAc4e,GAAc,OAAO,IAAID,EAAeC,EAAYhnE,OCsHnE,IAAI,SAAO,CAChBk1B,MAAO,CACLs4C,YAAahD,EAEbyK,uBAAwB,SAAgCC,EAAOrxB,EAASD,GACtE,GAAIC,EAAQ3mC,KAAO0mC,EAAM1mC,KAAO,EAAUssD,MAAM5lB,GAAU,OAAO,IAAI,EAAUA,IAGjF2mB,YAAaA,EACbL,cAAeA,KC8gC6D,IAAI,SAAO,CACnF9tE,IAAK,IAAI,YAAU,YACnB84B,MAAO,CACL4zC,SAAU,WACR,OAAOoG,EAAOlvE,QAAQ8oE,aAGxB,IAAI,SAAO,CACb5zC,MAAO,CACLigD,WAAY,CACVC,SAAU,GAEZC,gBAAiB,CACf7D,MAAO,SAAeppB,EAAM9jD,GAC1B4qE,EAAO+E,SAAU,EAEjB/E,EAAO7qE,KAAK,QAAS,CACnBC,MAAOA,EACP0D,MAAOogD,EAAKpgD,MACZogD,KAAMA,IAGR,IAAI4mB,EAAcE,EAAOlnE,MAAMg6C,GAAG0L,QAAQ,WAAW,GAErDwhB,EAAO9mB,KAAKtG,SAASktB,IAEvBsG,KAAM,SAAcltB,EAAM9jD,GACxB4qE,EAAO+E,SAAU,EAEjB/E,EAAO7qE,KAAK,OAAQ,CAClBC,MAAOA,EACP0D,MAAOogD,EAAKpgD,MACZogD,KAAMA,IAGR,IAAI4mB,EAAcE,EAAOlnE,MAAMg6C,GAAG0L,QAAQ,WAAW,GAErDwhB,EAAO9mB,KAAKtG,SAASktB,QAIzB,IAAI,SAAO,CACb95C,MAAOlxB,KAAKhE,QAAQgzE,oBAIzB,CACD52E,IAAK,iBACLkD,MAAO,SAAwBwL,GAC7B,IAAIyoE,EAAex1E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAKiG,KAAKhE,QAAQuzE,aAEpG,GAAgB,OAAZzoE,EACF,OAAO9G,KAAKypD,OAAOiF,aAAa1uD,KAAKhE,QAAQkzE,eAG/C,GAAyB,WAArBxI,EAAQ5/D,GACV,IACE,OAAO9G,KAAKypD,OAAOiF,aAAa5nD,GAChC,MAAO7Q,GAEP,OADA0K,QAAQC,KAAK,kCAAmC,gBAAiBkG,EAAS,SAAU7Q,GAC7E+J,KAAKypD,OAAOiF,aAAa1uD,KAAKhE,QAAQkzE,eAIjD,GAAuB,iBAAZpoE,EAAsB,CAC/B,IAAIu8D,EAAU1pE,SAASqZ,cAAc,OAErC,OADAqwD,EAAQkO,UAAYzqE,EAAQzM,OACrB,YAAUkgE,WAAWv6D,KAAKypD,QAAQluC,MAAM8nD,EAASkM,GAG1D,OAAO,IAER,CACDn3E,IAAK,aACLkD,MAAO,WACL,IAAIk2E,EAASxxE,KAEb,OAAO,IAAI,IAAWA,KAAKqjE,QAAS,CAClCr/D,MAAOhE,KAAKyxE,cACZC,YAAa,WACX,IAAK,IAAIzF,EAAOlyE,UAAU5B,OAAQkW,EAAO,IAAIC,MAAM29D,GAAOC,EAAO,EAAGA,EAAOD,EAAMC,IAC/E79D,EAAK69D,GAAQnyE,UAAUmyE,GAGzBsF,EAAOnxE,KAAK0F,MAAMyrE,EAAQ,CAAC,SAASxuE,OAAOqL,KAE7CsjE,WAAY,WACV,IAAK,IAAIC,EAAQ73E,UAAU5B,OAAQkW,EAAO,IAAIC,MAAMsjE,GAAQC,EAAQ,EAAGA,EAAQD,EAAOC,IACpFxjE,EAAKwjE,GAAS93E,UAAU83E,GAG1BL,EAAOnxE,KAAK0F,MAAMyrE,EAAQ,CAAC,QAAQxuE,OAAOqL,KAE5CyjE,oBAAqB9xE,KAAK8xE,oBAAoB16E,KAAK4I,UAGtD,CACD5H,IAAK,qBACLkD,MAAO,WACL,IAAI8tE,EAAYrvE,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAE/EqvE,GAILppE,KAAKokD,KAAK2tB,SAAS,CACjBC,UAAWhyE,KAAKiyE,cAAc,CAC5B9vE,OAAQinE,EACRoD,WAAY,GAAGxpE,OAAOylE,EAAmBzoE,KAAK8wE,mBAAoBrI,EAAmBzoE,KAAKhE,QAAQwwE,mBAIvG,CACDp0E,IAAK,gBACLkD,MAAO,SAAuB+tE,GAC5B,IAAI6I,EAASlyE,KAETmC,EAASknE,EAAKlnE,OAElB,OADiBknE,EAAKmD,WACJ34D,QAAO,SAAU01D,GACjC,MAAO,CAAC,OAAQ,QAAQlvD,SAASkvD,EAAU1qE,SAC1CgV,QAAO,SAAU01D,GAClB,OAAOA,EAAUnlB,QAChB4Q,QAAO,SAAUgd,EAAWzI,GAc7B,OAAOxC,EAAe,GAAIiL,EAAWpL,EAAgB,GAAI2C,EAAU3yE,MAbpD,SAAkBunD,EAAMiG,EAAMqlB,EAAQD,GACnD,IAAIJ,EAAYG,EAAUnlB,KAC1B,OAAO,IAAI,EAAcglB,EAAW,CAClCE,OAAQ4I,EACR3I,UAAWA,EACXpnE,OAAQA,EACRg8C,KAAMA,EACNiG,KAAMA,EACNqlB,OAAQA,EACRD,YAAaA,UAKhB,MAEJ,CACDpxE,IAAK,sBACLkD,MAAO,SAA6B0vE,GAClC,IAAIhgB,EAAWhrD,KAAKgE,MAAM+B,MAAMilE,GAChChrE,KAAKokD,KAAK8pB,YAAYljB,GACtBhrD,KAAK+9C,UAAY,CACf5yB,KAAMnrB,KAAKgE,MAAM+5C,UAAU5yB,KAC3B4L,GAAI/2B,KAAKgE,MAAM+5C,UAAUhnB,IAE3B/2B,KAAK6wE,yBACL7wE,KAAKK,KAAK,cAAe,CACvB8xE,QAASnyE,KAAKmyE,QAAQ/6E,KAAK4I,MAC3BoyE,QAASpyE,KAAKoyE,QAAQh7E,KAAK4I,MAC3BgE,MAAOhE,KAAKgE,MACZgnE,YAAaA,IAGVA,EAAYqH,aAAcrH,EAAYrhB,QAAQ,kBAInD3pD,KAAKsyE,WAAWtH,KAEjB,CACD5yE,IAAK,aACLkD,MAAO,SAAoB0vE,GACzBhrE,KAAKK,KAAK,SAAU,CAClB8xE,QAASnyE,KAAKmyE,QAAQ/6E,KAAK4I,MAC3BoyE,QAASpyE,KAAKoyE,QAAQh7E,KAAK4I,MAC3BgE,MAAOhE,KAAKgE,MACZgnE,YAAaA,MAGhB,CACD5yE,IAAK,mBACLkD,MAAO,WACL,IAAIge,EAAWvf,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAEnF,GAAIiG,KAAK+9C,WAA0B,OAAbzkC,EACpB,OAAOtZ,KAAK+9C,UAGd,GAAiB,UAAbzkC,IAAqC,IAAbA,EAC1B,MAAO,CACL6R,KAAM,EACN4L,GAAI,GAIR,GAAiB,QAAbzd,EAAoB,CACtB,IAAIslC,EAAM5+C,KAAKgE,MAAM46C,IACrB,MAAO,CACLzzB,KAAMyzB,EAAI93C,QAAQyS,KAClBwd,GAAI6nB,EAAI93C,QAAQyS,MAIpB,MAAO,CACL4R,KAAM7R,EACNyd,GAAIzd,KAGP,CACDlhB,IAAK,QACLkD,MAAO,WACL,IAAIi3E,EAASvyE,KAETsZ,EAAWvf,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAEnF,KAAIiG,KAAKokD,KAAK6rB,SAAwB,OAAb32D,IAAkC,IAAbA,GAA9C,CAIA,IAAIk5D,EAAwBxyE,KAAKyyE,iBAAiBn5D,GAC9C6R,EAAOqnD,EAAsBrnD,KAC7B4L,EAAKy7C,EAAsBz7C,GAE/B/2B,KAAKqgD,aAAal1B,EAAM4L,GACxB3pB,YAAW,WACT,OAAOmlE,EAAOnuB,KAAKopB,UAClB,OAEJ,CACDp1E,IAAK,eACLkD,MAAO,WACL,IAAI6vB,EAAOpxB,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC3Eg9B,EAAKh9B,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EACzE24E,EAAc1yE,KAAKgE,MACnB46C,EAAM8zB,EAAY9zB,IAClBZ,EAAK00B,EAAY10B,GACjB20B,EAAelE,EAAOtjD,EAAM,EAAGyzB,EAAI93C,QAAQyS,MAC3Cq5D,EAAcnE,EAAO13C,EAAI,EAAG6nB,EAAI93C,QAAQyS,MACxCwkC,EAAY,gBAAc74C,OAAO05C,EAAK+zB,EAAcC,GACpD5H,EAAchtB,EAAGqC,aAAatC,GAClC/9C,KAAKokD,KAAKtG,SAASktB,KAEpB,CACD5yE,IAAK,OACLkD,MAAO,WACL0E,KAAKokD,KAAKqV,IAAI6X,SAEf,CACDl5E,IAAK,gBACLkD,MAAO,WACL,OAAO+U,KAAKkL,MAAMlL,KAAKC,UAAU,CAC/Bu+C,MAAO7uD,KAAKwsE,WAAW3d,MACvBtL,MAAOvjD,KAAKwsE,WAAWjpB,WAG1B,CACDnrD,IAAK,UACLkD,MAAO,WACL,IAAIy/D,EAAMphE,SAASqZ,cAAc,OAC7B89C,EAAW,gBAAcyJ,WAAWv6D,KAAKypD,QAAQgY,kBAAkBzhE,KAAKgE,MAAM46C,IAAI93C,SAEtF,OADAi0D,EAAInzD,YAAYkpD,GACTiK,EAAIwW,YAEZ,CACDn5E,IAAK,UACLkD,MAAO,WACL,OAAO0E,KAAKgE,MAAM46C,IAAIvwB,WAEvB,CACDj2B,IAAK,aACLkD,MAAO,WACL,IAAIwL,EAAU/M,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAC9Eu4E,EAAav4E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,IAAmBA,UAAU,GAC5Ew1E,EAAex1E,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EACrDi2E,EAAe7yE,KAAKgE,MACpB46C,EAAMi0B,EAAaj0B,IACnBZ,EAAK60B,EAAa70B,GAClBrkD,EAAWqG,KAAK+wE,eAAejqE,EAASyoE,GACxCxxB,EAAY,gBAAc74C,OAAO05C,EAAK,EAAGA,EAAI93C,QAAQyS,MACrDyxD,EAAchtB,EAAGqC,aAAatC,GAAWuL,qBAAqB3vD,GAAU,GAAO+vD,QAAQ,iBAAkB4oB,GAC7GtyE,KAAKokD,KAAKtG,SAASktB,KAEpB,CACD5yE,IAAK,eACLkD,MAAO,WACL,IAAIg3E,EAAav4E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,IAAmBA,UAAU,GAChFiG,KAAK8yE,WAAW9yE,KAAKhE,QAAQkzE,cAAeoD,KAE7C,CACDl6E,IAAK,yBACLkD,MAAO,WACL,IAAIy3E,EAAS/yE,KAEbA,KAAK+8D,YAAczlE,OAAO0T,QAAQhL,KAAKypD,OAAOlG,OAAOyR,QAAO,SAAUzR,EAAOsnB,GAC3E,IAAIC,EAAQ9C,EAAe6C,EAAO,GAC9Bj0E,EAAOk0E,EAAM,GACb7hB,EAAO6hB,EAAM,GAEjB,OAAO/D,EAAe,GAAIxjB,EAAOqjB,EAAgB,GAAIhwE,GAAM,WACzD,IAAI0rD,EAAQvoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAO,YAAag5E,EAAO/uE,MAAOilD,EAAM3G,SAEzC,IACHtiD,KAAKgzE,gBAAkB17E,OAAO0T,QAAQhL,KAAKypD,OAAOlG,OAAOyR,QAAO,SAAUzR,EAAO+pB,GAC/E,IAAIM,EAAQ5F,EAAesF,EAAO,GAC9B12E,EAAOg3E,EAAM,GACb3kB,EAAO2kB,EAAM,GAEjB,OAAO7G,EAAe,GAAIxjB,EAAOqjB,EAAgB,GAAIhwE,EAAM,YAAam8E,EAAO/uE,MAAOilD,OACrF,IACHjpD,KAAKizE,YAAc37E,OAAO0T,QAAQhL,KAAKypD,OAAOoF,OAAOmG,QAAO,SAAUnG,EAAOgf,GAC3E,IAAIG,EAAQhG,EAAe6F,EAAO,GAC9Bj3E,EAAOo3E,EAAM,GACb7vB,EAAO6vB,EAAM,GAEjB,OAAOjH,EAAe,GAAIlY,EAAO+X,EAAgB,GAAIhwE,GAAM,WACzD,IAAI0rD,EAAQvoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAO,YAAag5E,EAAO/uE,MAAOm6C,EAAMmE,SAEzC,MAEJ,CACDlqD,IAAK,eACLkD,MAAO,WACL,IAAIuD,EAAO9E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAC/E,OAAOiG,KAAKgzE,gBAAgBn0E,KAE7B,CACDzG,IAAK,eACLkD,MAAO,WACL,IAAIuD,EAAO9E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAC/E,OAAOgtE,EAAe,GAAI,YAAa/mE,KAAKgE,MAAOhE,KAAKypD,OAAOoF,MAAMhwD,OAEtE,CACDzG,IAAK,iBACLkD,MAAO,WACL,IAAIkvD,EAASzwD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAC7Em5E,EAAgBn5E,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EACtDwtD,EAAmC,mBAAlB8oB,EAA+BA,EAAc1oB,EAAQxqD,KAAKgE,MAAMomD,SAAW,CAACI,GAAQxnD,OAAOylE,EAAmBzoE,KAAKgE,MAAMomD,UAC1IY,EAAWhrD,KAAKgE,MAAMynD,YAAY,CACpCrB,QAASA,IAEXpqD,KAAKokD,KAAK8pB,YAAYljB,KAEvB,CACD5yD,IAAK,mBACLkD,MAAO,WACL,IAAI1E,EAAOmD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAE/E,GAAKnD,GAASoJ,KAAKokD,KAAK+uB,QAAxB,CAIA,IAAInoB,EAAWhrD,KAAKgE,MAAMynD,YAAY,CACpCrB,QAASpqD,KAAKgE,MAAMomD,QAAQv2C,QAAO,SAAU22C,GAC3C,OAAQA,EAAOpyD,IAAIuzE,WAAW,GAAG3oE,OAAOpM,EAAM,WAGlDoJ,KAAKokD,KAAK8pB,YAAYljB,MAEvB,CACD5yD,IAAK,UACLkD,MAAO,WACA0E,KAAKokD,MAIVpkD,KAAKokD,KAAKkf,YAEX,CACDlrE,IAAK,oBACLwC,IAAK,WACH,OAAKoF,KAAKhE,QAAQmzE,qBAIX,CAAC,IAAIT,EAAO,IAAIG,EAAQ,IAAI,GAH1B,KAKV,CACDz2E,IAAK,QACLwC,IAAK,WACH,OAAOoF,KAAKokD,KAAOpkD,KAAKokD,KAAKpgD,MAAQ,OAEtC,CACD5L,IAAK,WACLwC,IAAK,WACH,OAAOtD,OAAO0T,QAAQ+7D,EAAe,GAAI/mE,KAAK+8D,YAAa,GAAI/8D,KAAKizE,cAAcje,QAAO,SAAUpU,EAAOutB,GACxG,IAAIE,EAAQrG,EAAemG,EAAO,GAC9Bv3E,EAAOy3E,EAAM,GACb/yE,EAAQ+yE,EAAM,GAElB,OAAOtH,EAAe,GAAInmB,EAAOgmB,EAAgB,GAAIhwE,GAAM,WACzD,IAAI0rD,EAAQvoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAOuB,EAAMgnD,SAEd,QAIAysB,EApjBiB,CAqjBxBhD,GAEEqH,EAAgB,CAClBliD,MAAO,CACLo4C,OAAQ,CACNzkE,QAAS,KACThG,KAAMvH,SAGV+7E,MAAO,CACL/J,OAAQ,CACNgK,WAAW,EACXpzE,QAAS,SAAiBopE,GACxB,IAAIW,EAAQjqE,KAERspE,GAAUA,EAAOjG,SACnBrjE,KAAKuzE,WAAU,WACbtJ,EAAMM,IAAI3iE,YAAY0hE,EAAOjG,QAAQ9uD,YAErC+0D,EAAOkK,mBAAmBvJ,SAMpC5oE,OAAQ,SAAgB2R,GACtB,OAAOA,EAAc,QAEvBygE,cAAe,WACbzzE,KAAKspE,OAAOjG,QAAUrjE,KAAKuqE,MAI3BmJ,EAAoB,WACtB,SAASA,EAAKrK,GACZ,IAAIY,EAAQjqE,KAERhE,EAAUqtE,EAAKrtE,QAEnBo1B,EAAgBpxB,KAAM0zE,GAEtB1zE,KAAKhE,QAAUA,EACfgE,KAAK2zE,aAAc,EAEnB3zE,KAAK4zE,iBAAmB5zE,KAAKumE,YAAYnvE,KAAK4I,MAC9CA,KAAKhE,QAAQqnE,QAAQjlD,iBAAiB,YAAape,KAAK4zE,iBAAkB,CACxE/qD,SAAS,IAGX7oB,KAAK6zE,YAAc,WACb5J,EAAM0J,YACR1J,EAAM0J,aAAc,EAItB1J,EAAMjuE,QAAQstE,OAAOjpE,KAAK,uBAAuB,IAGnDL,KAAKhE,QAAQstE,OAAOz6D,GAAG,OAAQ7O,KAAK6zE,aAgBtC,OAbAlN,EAAa+M,EAAM,CAAC,CAClBt7E,IAAK,cACLkD,MAAO,WACL0E,KAAK2zE,aAAc,IAEpB,CACDv7E,IAAK,UACLkD,MAAO,WACL0E,KAAKhE,QAAQqnE,QAAQE,oBAAoB,YAAavjE,KAAK4zE,kBAC3D5zE,KAAKhE,QAAQstE,OAAOt6D,IAAI,OAAQhP,KAAK6zE,iBAIlCH,EAzCe,GAwDxB,IAAII,EAAgB,CAClB5iD,MAAO,CACLo4C,OAAQ,CACNzkE,QAAS,KACThG,KAAMvH,SAGViZ,KAAM,WACJ,MAAO,CACL0/D,SAAS,IAGboD,MAAO,CACL/J,OAAQ,CACNgK,WAAW,EACXpzE,QAAS,SAAiBopE,GACxB,IAAIW,EAAQjqE,KAERspE,GACFtpE,KAAKuzE,WAAU,WA/BzB,IAAkBv3E,EAgCNstE,EAAOyK,gBAhCD/3E,EAgCwB,CAC5BstE,OAAQA,EACRjG,QAAS4G,EAAMM,KAjCpB,IAAI,SAAO,CAChBnyE,IAAK,IAAI,YAAU,YACnBgsD,KAAM,SAAc4e,GAClB,OAAO,IAAI0Q,EAAK,CACd1Q,WAAYA,EACZhnE,QAASA,SA8BLiuE,EAAMgG,QAAU3G,EAAO2G,QACvB3G,EAAOz6D,GAAG,SAAS,WACjBo7D,EAAMgG,SAAU,KAElB3G,EAAOz6D,GAAG,uBAAuB,SAAUohE,GACzChG,EAAMgG,QAAUA,WAO5B5uE,OAAQ,WACN,OAAKrB,KAAKspE,OAIHtpE,KAAKg0E,aAAanvE,QAAQ,CAC/BorE,QAASjwE,KAAKiwE,QACdzC,MAAOxtE,KAAKspE,OAAOkE,MACnBrpB,SAAUnkD,KAAKspE,OAAOnlB,SACtB8vB,SAAUj0E,KAAKspE,OAAO2K,SACtBC,aAAcl0E,KAAKspE,OAAO4K,aAAa98E,KAAK4I,KAAKspE,QACjD6K,aAAcn0E,KAAKspE,OAAO6K,aAAa/8E,KAAK4I,KAAKspE,UAT1C,OAcb,SAAS8K,GAAUj2B,EAAMhzB,EAAM4L,GAC7B,IAAIsoB,EAAQ1lD,SAAS06E,cAGrB,OAFAh1B,EAAMi1B,OAAOn2B,EAAY,MAANpnB,EAAaonB,EAAKugB,UAAUvmE,OAAS4+B,GACxDsoB,EAAMk1B,SAASp2B,EAAMhzB,GAAQ,GACtBk0B,EAGT,SAASm1B,GAAW/4E,EAAQ2qD,GAC1B,IAAIquB,EAAQh5E,EAAOi5E,iBACnB,OAAQD,EAAMt8E,OAA0Cs8E,EAAMruB,EAAO,EAAI,EAAIquB,EAAMt8E,OAAS,GAArEsD,EAAOqoE,wBAGhC,SAASK,GAAY/f,EAAMlrC,GACzB,IAMIklC,EACAulB,EAPA7hB,EAAM/nD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,IAAmBA,UAAU,GAErE46E,EAAwBvwB,EAAK+uB,QAAQyB,WAAW17D,GAChDilC,EAAOw2B,EAAsBx2B,KAC7BtP,EAAS8lC,EAAsB9lC,OAKnC,GAAsB,IAAlBsP,EAAKkE,SACHP,GAAOjT,EAASsP,EAAKugB,UAAUvmE,QACjCwrE,EAAO6Q,GAAWJ,GAAUj2B,EAAMtP,EAAS,EAAGA,IAAU,GACxDuP,EAAO,SACEvP,EAASsP,EAAKugB,UAAUvmE,SACjCwrE,EAAO6Q,GAAWJ,GAAUj2B,EAAMtP,EAAQA,EAAS,IAAK,GACxDuP,EAAO,aAEJ,GAAID,EAAK5pC,WAAY,CAC1B,GAAIs6B,EAASsP,EAAKhqC,WAAWhc,OAAQ,CACnC,IAAI4vD,EAAQ5J,EAAKhqC,WAAW06B,GAC5B80B,EAAO6Q,GAA8B,IAAnBzsB,EAAM1F,SAAiB+xB,GAAUrsB,GAASA,GAAQ,GACpE3J,EAAO,OAGT,KAAMulB,GAAQA,EAAK9F,MAAQ8F,EAAKI,SAAWl1B,EAAQ,CACjD,IAAIgmC,EAAS12B,EAAKhqC,WAAW06B,EAAS,GACtC80B,EAAO6Q,GAA+B,IAApBK,EAAOxyB,SAAiB+xB,GAAUS,GAAUA,EAAQ,GACtEz2B,EAAO,cAGTulB,EAAOxlB,EAAK2lB,wBACZ1lB,EAAO,OAGT,IAAI/f,EAAIslC,EAAKvlB,GACb,MAAO,CACLyf,IAAK8F,EAAK9F,IACVkG,OAAQJ,EAAKI,OACbC,KAAM3lC,EACN4lC,MAAO5lC,GAIX,IAAIy2C,GAAsB,WACxB,SAASpB,EAAKrK,GACZ,IAAIY,EAAQjqE,KAERhE,EAAUqtE,EAAKrtE,QACfgnE,EAAaqG,EAAKrG,WAEtB5xC,EAAgBpxB,KAAM0zE,GAEtB1zE,KAAKhE,QAAU+qE,EAAe,GAAI,CAChC1D,QAAS,KACT0R,cAAc,EACdrF,SAAU,WACR,OAAO,IAER,GAAI1zE,GACPgE,KAAKgjE,WAAaA,EAClBhjE,KAAKi0E,UAAW,EAChBj0E,KAAKgkE,KAAO,EACZhkE,KAAK+jE,OAAS,EACd/jE,KAAK69D,IAAM,EACX79D,KAAK2zE,aAAc,EAEnB3zE,KAAK4zE,iBAAmB5zE,KAAKumE,YAAYnvE,KAAK4I,MAC9CA,KAAKhE,QAAQqnE,QAAQjlD,iBAAiB,YAAape,KAAK4zE,iBAAkB,CACxE/qD,SAAS,IAGX7oB,KAAKg1E,aAAe,SAAUnK,GAC5B,IAAIzmB,EAAOymB,EAAMzmB,KAEjB6lB,EAAMh3D,OAAOmxC,IAGfpkD,KAAKhE,QAAQstE,OAAOz6D,GAAG,QAAS7O,KAAKg1E,cAErCh1E,KAAK6zE,YAAc,SAAU/I,GAC3B,IAAIxqE,EAAQwqE,EAAMxqE,MAEd2pE,EAAM0J,YACR1J,EAAM0J,aAAc,EAItB1J,EAAMgL,KAAK30E,IAGbN,KAAKhE,QAAQstE,OAAOz6D,GAAG,OAAQ7O,KAAK6zE,aAsFtC,OAnFAlN,EAAa+M,EAAM,CAAC,CAClBt7E,IAAK,cACLkD,MAAO,WACL0E,KAAK2zE,aAAc,IAEpB,CACDv7E,IAAK,SACLkD,MAAO,SAAgB8oD,EAAM8wB,GAC3B,IAAIlxE,EAAQogD,EAAKpgD,MAEjB,KAAIogD,EAAK+wB,WAKLD,GAAaA,EAAUt2B,IAAIuI,GAAGnjD,EAAM46C,MAAQs2B,EAAUn3B,UAAUoJ,GAAGnjD,EAAM+5C,YAK7E,GAAI/5C,EAAM+5C,UAAU5oB,MAClBn1B,KAAKi1E,WADP,CAMA,IAAIG,EAAmBpxE,EAAM+5C,UACzB5yB,EAAOiqD,EAAiBjqD,KACxB4L,EAAKq+C,EAAiBr+C,GAItB+1B,EAAQqX,GAAY/f,EAAMj5B,GAC1B22B,EAAMqiB,GAAY/f,EAAMrtB,GAAI,GAE5B50B,EAASnC,KAAKhE,QAAQqnE,QAAQe,aAElC,GAAKjiE,EAAL,CAKA,IAAIkzE,EAAMlzE,EAAO2hE,wBACb3pD,EAAKna,KAAKhE,QAAQqnE,QAAQS,wBAG1BE,GAAQlX,EAAMkX,KAAOliB,EAAIkiB,MAAQ,EAAIqR,EAAIrR,KAE7ChkE,KAAKgkE,KAAOltE,KAAK83C,MAAM5uC,KAAKhE,QAAQ+4E,aAAej+E,KAAKkK,IAAIq0E,EAAIpS,MAAQ9oD,EAAG8oD,MAAQ,EAAGnsE,KAAKkwB,IAAIg9C,EAAM7pD,EAAG8oD,MAAQ,IAAMe,GACtHhkE,KAAK+jE,OAASjtE,KAAK83C,MAAMymC,EAAItR,OAASjX,EAAM+Q,KAC5C79D,KAAK69D,IAAM/mE,KAAK83C,MAAMkT,EAAIiiB,OAASsR,EAAIxX,KACvC79D,KAAKi0E,UAAW,EAChBj0E,KAAKs1E,kBAdHt1E,KAAKi1E,UAgBR,CACD78E,IAAK,aACLkD,MAAO,WACL0E,KAAKhE,QAAQ0zE,SAAS,CACpBuE,SAAUj0E,KAAKi0E,SACfjQ,KAAMhkE,KAAKgkE,KACXD,OAAQ/jE,KAAK+jE,OACblG,IAAK79D,KAAK69D,QAGb,CACDzlE,IAAK,OACLkD,MAAO,SAAcgF,GACfA,GAASA,EAAMglE,eAAiBtlE,KAAKhE,QAAQqnE,QAAQjwD,YAAcpT,KAAKhE,QAAQqnE,QAAQjwD,WAAWwtD,SAAStgE,EAAMglE,iBAItHtlE,KAAKi0E,UAAW,EAChBj0E,KAAKs1E,gBAEN,CACDl9E,IAAK,UACLkD,MAAO,WACL0E,KAAKhE,QAAQqnE,QAAQE,oBAAoB,YAAavjE,KAAK4zE,kBAC3D5zE,KAAKhE,QAAQstE,OAAOt6D,IAAI,QAAShP,KAAKg1E,cACtCh1E,KAAKhE,QAAQstE,OAAOt6D,IAAI,OAAQhP,KAAK6zE,iBAIlCH,EArIiB,GAoJ1B,IAAI6B,GAAmB,CACrBrkD,MAAO,CACLo4C,OAAQ,CACNzkE,QAAS,KACThG,KAAMvH,QAERy9E,aAAc,CACZlwE,SAAS,EACThG,KAAMiV,UAGVvD,KAAM,WACJ,MAAO,CACLilE,KAAM,CACJvB,UAAU,EACVjQ,KAAM,EACND,OAAQ,KAIdsP,MAAO,CACL/J,OAAQ,CACNgK,WAAW,EACXpzE,QAAS,SAAiBopE,GACxB,IAAIW,EAAQjqE,KAERspE,GACFtpE,KAAKuzE,WAAU,WAvCzB,IAAqBv3E,EAwCTstE,EAAOyK,gBAxCE/3E,EAwCwB,CAC/BstE,OAAQA,EACRjG,QAAS4G,EAAMM,IACfwK,aAAc9K,EAAM8K,aACpBrF,SAAU,SAAkB8F,GAEtBA,EAAKvB,WAAoC,IAAxBhK,EAAMuL,KAAKvB,SAC9BhK,EAAMwL,MAAM,OAAQD,GACVA,EAAKvB,WAAoC,IAAxBhK,EAAMuL,KAAKvB,UACtChK,EAAMwL,MAAM,OAAQD,GAGtBvL,EAAMuL,KAAOA,IAnDpB,IAAI,SAAO,CAChBp9E,IAAK,IAAI,YAAU,eACnBgsD,KAAM,SAAc4e,GAClB,OAAO,IAAI8R,GAAO,CAChB9R,WAAYA,EACZhnE,QAASA,eAsDfqF,OAAQ,WACN,OAAKrB,KAAKspE,OAIHtpE,KAAKg0E,aAAanvE,QAAQ,CAC/BorE,QAASjwE,KAAKspE,OAAOllB,KAAK6rB,QAC1BzC,MAAOxtE,KAAKspE,OAAOkE,MACnBrpB,SAAUnkD,KAAKspE,OAAOnlB,SACtB8vB,SAAUj0E,KAAKspE,OAAO2K,SACtBC,aAAcl0E,KAAKspE,OAAO4K,aAAa98E,KAAK4I,KAAKspE,QACjD6K,aAAcn0E,KAAKspE,OAAO6K,aAAa/8E,KAAK4I,KAAKspE,QACjDkM,KAAMx1E,KAAKw1E,OAVJ,MAaX/B,cAAe,WACbzzE,KAAKspE,OAAOoM,iBAAiB,kB,6BCt8DjC,mYA+BIC,EAAW7+E,KAAKujC,IAAI,EAAG,IAG3B,SAASu7C,EAAat6E,GAAS,OAJjB,MAIwBA,EAKtC,IAAIu6E,EAAY,SAAmB38D,EAAKuuC,EAASquB,QAC9B,IAAZruB,IAAqBA,GAAU,QACnB,IAAZquB,IAAqBA,EAAU,MAGpC91E,KAAKkZ,IAAMA,EAGXlZ,KAAKynD,QAAUA,EACfznD,KAAK81E,QAAUA,GAQbC,EAAU,SAAiBhzB,EAAQizB,QACnB,IAAbA,IAAsBA,GAAW,GAEtCh2E,KAAK+iD,OAASA,EACd/iD,KAAKg2E,SAAWA,GAGlBD,EAAQx+E,UAAUu+E,QAAU,SAAkBx6E,GAC5C,IAAIi0C,EAAO,EAAG9iC,EAAQmpE,EAAat6E,GACnC,IAAK0E,KAAKg2E,SAAY,IAAK,IAAI/9E,EAAI,EAAGA,EAAIwU,EAAOxU,IAC7Cs3C,GAAQvvC,KAAK+iD,OAAW,EAAJ9qD,EAAQ,GAAK+H,KAAK+iD,OAAW,EAAJ9qD,EAAQ,GACzD,OAAO+H,KAAK+iD,OAAe,EAARt2C,GAAa8iC,EAhClC,SAAuBj0C,GAAS,OAAQA,GAL1B,MAKmCA,IAAoBq6E,EAgC5BM,CAAc36E,IAIvDy6E,EAAQx+E,UAAUiwD,UAAY,SAAoBtuC,EAAKg9D,GAEtD,YADgB,IAAVA,IAAmBA,EAAQ,GAC1Bl2E,KAAKm2E,KAAKj9D,EAAKg9D,GAAO,IAG9BH,EAAQx+E,UAAUsY,IAAM,SAAcqJ,EAAKg9D,GAE1C,YADgB,IAAVA,IAAmBA,EAAQ,GAC1Bl2E,KAAKm2E,KAAKj9D,EAAKg9D,GAAO,IAE9BH,EAAQx+E,UAAU4+E,KAAO,SAAej9D,EAAKg9D,EAAOr2E,GAElD,IADA,IAAI0vC,EAAO,EAAG6mC,EAAWp2E,KAAKg2E,SAAW,EAAI,EAAGK,EAAWr2E,KAAKg2E,SAAW,EAAI,EACtE/9E,EAAI,EAAGA,EAAI+H,KAAK+iD,OAAO5qD,OAAQF,GAAK,EAAG,CAC9C,IAAI60D,EAAQ9sD,KAAK+iD,OAAO9qD,IAAM+H,KAAKg2E,SAAWzmC,EAAO,GACrD,GAAIud,EAAQ5zC,EAAO,MACnB,IAAIo9D,EAAUt2E,KAAK+iD,OAAO9qD,EAAIm+E,GAAWG,EAAUv2E,KAAK+iD,OAAO9qD,EAAIo+E,GAAWv0B,EAAMgL,EAAQwpB,EAC5F,GAAIp9D,GAAO4oC,EAAK,CACd,IACIjoD,EAASizD,EAAQvd,IADT+mC,EAAkBp9D,GAAO4zC,GAAS,EAAI5zC,GAAO4oC,EAAM,EAAIo0B,EAA7CA,GACc,EAAI,EAAIK,GAC5C,GAAI12E,EAAU,OAAOhG,EACrB,IAAIi8E,EAAU58D,IAAQg9D,EAAQ,EAAIppB,EAAQhL,GAAO,KAAmB7pD,EAAI,GAAGihB,EAAM4zC,GAzDzB6oB,EA0DxD,OAAO,IAAIE,EAAUh8E,EAAQq8E,EAAQ,EAAIh9D,GAAO4zC,EAAQ5zC,GAAO4oC,EAAKg0B,GAEtEvmC,GAAQgnC,EAAUD,EAEpB,OAAOz2E,EAASqZ,EAAMq2B,EAAO,IAAIsmC,EAAU38D,EAAMq2B,IAGnDwmC,EAAQx+E,UAAUi/E,QAAU,SAAkBt9D,EAAK48D,GAGjD,IAFA,IAAIvmC,EAAO,EAAG9iC,EAAQmpE,EAAaE,GAC/BM,EAAWp2E,KAAKg2E,SAAW,EAAI,EAAGK,EAAWr2E,KAAKg2E,SAAW,EAAI,EAC5D/9E,EAAI,EAAGA,EAAI+H,KAAK+iD,OAAO5qD,OAAQF,GAAK,EAAG,CAC9C,IAAI60D,EAAQ9sD,KAAK+iD,OAAO9qD,IAAM+H,KAAKg2E,SAAWzmC,EAAO,GACrD,GAAIud,EAAQ5zC,EAAO,MACnB,IAAIo9D,EAAUt2E,KAAK+iD,OAAO9qD,EAAIm+E,GAC9B,GAAIl9D,GAD2C4zC,EAAQwpB,GACrCr+E,GAAa,EAARwU,EAAa,OAAO,EAC3C8iC,GAAQvvC,KAAK+iD,OAAO9qD,EAAIo+E,GAAYC,EAEtC,OAAO,GAMTP,EAAQx+E,UAAUO,QAAU,SAAkBoD,GAE5C,IADA,IAAIk7E,EAAWp2E,KAAKg2E,SAAW,EAAI,EAAGK,EAAWr2E,KAAKg2E,SAAW,EAAI,EAC5D/9E,EAAI,EAAGs3C,EAAO,EAAGt3C,EAAI+H,KAAK+iD,OAAO5qD,OAAQF,GAAK,EAAG,CACxD,IAAI60D,EAAQ9sD,KAAK+iD,OAAO9qD,GAAIw+E,EAAW3pB,GAAS9sD,KAAKg2E,SAAWzmC,EAAO,GAAImnC,EAAW5pB,GAAS9sD,KAAKg2E,SAAW,EAAIzmC,GAC/G+mC,EAAUt2E,KAAK+iD,OAAO9qD,EAAIm+E,GAAWG,EAAUv2E,KAAK+iD,OAAO9qD,EAAIo+E,GACnEn7E,EAAEu7E,EAAUA,EAAWH,EAASI,EAAUA,EAAWH,GACrDhnC,GAAQgnC,EAAUD,IAOtBP,EAAQx+E,UAAUo/E,OAAS,WACzB,OAAO,IAAIZ,EAAQ/1E,KAAK+iD,QAAS/iD,KAAKg2E,WAGxCD,EAAQx+E,UAAUF,SAAW,WAC3B,OAAQ2I,KAAKg2E,SAAW,IAAM,IAAM3lE,KAAKC,UAAUtQ,KAAK+iD,SAO1DgzB,EAAQlnC,OAAS,SAAiBh4B,GAChC,OAAY,GAALA,EAASk/D,EAAQ5gD,MAAQ,IAAI4gD,EAAQl/D,EAAI,EAAI,CAAC,GAAIA,EAAG,GAAK,CAAC,EAAG,EAAGA,KAG1Ek/D,EAAQ5gD,MAAQ,IAAI4gD,EAAQ,IAS5B,IAAIa,EAAU,SAAiB3uB,EAAM4uB,EAAQ1rD,EAAM4L,GAGjD/2B,KAAKioD,KAAOA,GAAQ,GAIpBjoD,KAAKmrB,KAAOA,GAAQ,EAGpBnrB,KAAK+2B,GAAW,MAANA,EAAa/2B,KAAKioD,KAAK9vD,OAAS4+B,EAC1C/2B,KAAK62E,OAASA,GAyGhB,SAASC,EAAeh4D,GACtB,IAAIowC,EAAMliD,MAAMtV,KAAKsI,KAAM8e,GAE3B,OADAowC,EAAI1rC,UAAYszD,EAAev/E,UACxB23D,EAvGT0nB,EAAQr/E,UAAU0L,MAAQ,SAAgBkoB,EAAM4L,GAI9C,YAHgB,IAAT5L,IAAkBA,EAAO,QAClB,IAAP4L,IAAgBA,EAAK/2B,KAAKioD,KAAK9vD,QAE/B,IAAIy+E,EAAQ52E,KAAKioD,KAAMjoD,KAAK62E,OAAQ1rD,EAAM4L,IAGnD6/C,EAAQr/E,UAAUyqD,KAAO,WACvB,OAAO,IAAI40B,EAAQ52E,KAAKioD,KAAKhlD,QAASjD,KAAK62E,QAAU72E,KAAK62E,OAAO5zE,QAASjD,KAAKmrB,KAAMnrB,KAAK+2B,KAO5F6/C,EAAQr/E,UAAUw/E,UAAY,SAAoBlnE,EAAKmnE,GACrDh3E,KAAK+2B,GAAK/2B,KAAKioD,KAAK15C,KAAKsB,GACV,MAAXmnE,GAAmBh3E,KAAKi3E,UAAUj3E,KAAKioD,KAAK9vD,OAAS,EAAG6+E,IAM9DJ,EAAQr/E,UAAU2/E,cAAgB,SAAwBp2B,GACxD,IAAK,IAAI7oD,EAAI,EAAGk/E,EAAYn3E,KAAKioD,KAAK9vD,OAAQF,EAAI6oD,EAAQmH,KAAK9vD,OAAQF,IAAK,CAC1E,IAAIm/E,EAAOt2B,EAAQu2B,UAAUp/E,GAC7B+H,KAAK+2E,UAAUj2B,EAAQmH,KAAKhwD,GAAY,MAARm/E,GAAgBA,EAAOn/E,EAAIk/E,EAAYC,EAAO,QAQlFR,EAAQr/E,UAAU8/E,UAAY,SAAoBxgE,GAChD,GAAI7W,KAAK62E,OAAU,IAAK,IAAI5+E,EAAI,EAAGA,EAAI+H,KAAK62E,OAAO1+E,OAAQF,IACvD,GAAI+H,KAAK62E,OAAO5+E,IAAM4e,EAAK,OAAO7W,KAAK62E,OAAO5+E,GAAKA,EAAI,GAAK,EAAI,KAGtE2+E,EAAQr/E,UAAU0/E,UAAY,SAAoBpgE,EAAGmL,GAC9ChiB,KAAK62E,SAAU72E,KAAK62E,OAAS,IAClC72E,KAAK62E,OAAOtoE,KAAKsI,EAAGmL,IAKtB40D,EAAQr/E,UAAU+/E,sBAAwB,SAAgCx2B,GACxE,IAAK,IAAI7oD,EAAI6oD,EAAQmH,KAAK9vD,OAAS,EAAGo/E,EAAYv3E,KAAKioD,KAAK9vD,OAAS2oD,EAAQmH,KAAK9vD,OAAQF,GAAK,EAAGA,IAAK,CACrG,IAAIm/E,EAAOt2B,EAAQu2B,UAAUp/E,GAC7B+H,KAAK+2E,UAAUj2B,EAAQmH,KAAKhwD,GAAG0+E,SAAkB,MAARS,GAAgBA,EAAOn/E,EAAIs/E,EAAYH,EAAO,EAAI,QAM/FR,EAAQr/E,UAAUo/E,OAAS,WACzB,IAAIa,EAAU,IAAIZ,EAElB,OADAY,EAAQF,sBAAsBt3E,MACvBw3E,GAKTZ,EAAQr/E,UAAUsY,IAAM,SAAcqJ,EAAKg9D,GAGzC,QAFiB,IAAVA,IAAmBA,EAAQ,GAE9Bl2E,KAAK62E,OAAU,OAAO72E,KAAKm2E,KAAKj9D,EAAKg9D,GAAO,GAChD,IAAK,IAAIj+E,EAAI+H,KAAKmrB,KAAMlzB,EAAI+H,KAAK+2B,GAAI9+B,IACjCihB,EAAMlZ,KAAKioD,KAAKhwD,GAAG4X,IAAIqJ,EAAKg9D,GAChC,OAAOh9D,GAMT09D,EAAQr/E,UAAUiwD,UAAY,SAAoBtuC,EAAKg9D,GAEtD,YADgB,IAAVA,IAAmBA,EAAQ,GAC1Bl2E,KAAKm2E,KAAKj9D,EAAKg9D,GAAO,IAE9BU,EAAQr/E,UAAU4+E,KAAO,SAAej9D,EAAKg9D,EAAOr2E,GAGlD,IAFA,IAAI4nD,GAAU,EAELxvD,EAAI+H,KAAKmrB,KAAMlzB,EAAI+H,KAAK+2B,GAAI9+B,IAAK,CACxC,IAAwB4B,EAAdmG,KAAKioD,KAAKhwD,GAAiBuvD,UAAUtuC,EAAKg9D,GACpD,GAAsB,MAAlBr8E,EAAOi8E,QAAiB,CAC1B,IAAI2B,EAAOz3E,KAAKq3E,UAAUp/E,GAC1B,GAAY,MAARw/E,GAAgBA,EAAOx/E,GAAKw/E,EAAOz3E,KAAK+2B,GAAI,CAC9C9+B,EAAIw/E,EACJv+D,EAAMlZ,KAAKioD,KAAKwvB,GAAM3B,QAAQj8E,EAAOi8E,SACrC,UAIAj8E,EAAO4tD,UAAWA,GAAU,GAChCvuC,EAAMrf,EAAOqf,IAGf,OAAOrZ,EAASqZ,EAAM,IAAI28D,EAAU38D,EAAKuuC,IAS3CqvB,EAAev/E,UAAYD,OAAO4N,OAAO8H,MAAMzV,WAC/Cu/E,EAAev/E,UAAUiB,YAAcs+E,EACvCA,EAAev/E,UAAUX,KAAO,iBAOhC,IAAI2xD,EAAY,SAAmB3J,GAIjC5+C,KAAK4+C,IAAMA,EAGX5+C,KAAK0lD,MAAQ,GAGb1lD,KAAK03E,KAAO,GAGZ13E,KAAK8gD,QAAU,IAAI81B,GAGjBvxB,EAAqB,CAAExG,OAAQ,CAAEn6C,cAAc,GAAO2tE,WAAY,CAAE3tE,cAAc,IAuCtF,SAASizE,IAAiB,MAAM,IAAI3qE,MAAM,eApC1Cq4C,EAAmBxG,OAAOjkD,IAAM,WAAc,OAAOoF,KAAK03E,KAAKv/E,OAAS6H,KAAK03E,KAAK,GAAK13E,KAAK4+C,KAK5F2J,EAAUhxD,UAAUmhB,KAAO,SAAejd,GACxC,IAAI5B,EAASmG,KAAK43E,UAAUn8E,GAC5B,GAAI5B,EAAOg+E,OAAU,MAAM,IAAIf,EAAej9E,EAAOg+E,QACrD,OAAO73E,MAMTuoD,EAAUhxD,UAAUqgF,UAAY,SAAoBl/D,GAClD,IAAI7e,EAAS6e,EAAK3S,MAAM/F,KAAK4+C,KAE7B,OADK/kD,EAAOg+E,QAAU73E,KAAKopD,QAAQ1wC,EAAM7e,EAAO+kD,KACzC/kD,GAMTwrD,EAAmBgtB,WAAWz3E,IAAM,WAClC,OAAOoF,KAAK0lD,MAAMvtD,OAAS,GAG7BowD,EAAUhxD,UAAU6xD,QAAU,SAAkB1wC,EAAMkmC,GACpD5+C,KAAK03E,KAAKnpE,KAAKvO,KAAK4+C,KACpB5+C,KAAK0lD,MAAMn3C,KAAKmK,GAChB1Y,KAAK8gD,QAAQi2B,UAAUr+D,EAAKo/D,UAC5B93E,KAAK4+C,IAAMA,GAGbtnD,OAAOiP,iBAAkBgiD,EAAUhxD,UAAW8tD,GAI9C,IAAI0yB,EAAYzgF,OAAO4N,OAAO,MAW1B8yE,EAAO,aAEXA,EAAKzgF,UAAUwO,MAAQ,SAAgBkyE,GAAQ,OAAON,KAMtDK,EAAKzgF,UAAUugF,OAAS,WAAqB,OAAO/B,EAAQ5gD,OAK5D6iD,EAAKzgF,UAAUo/E,OAAS,SAAiBsB,GAAQ,OAAON,KAMxDK,EAAKzgF,UAAUsY,IAAM,SAAcqoE,GAAY,OAAOP,KAMtDK,EAAKzgF,UAAUqC,MAAQ,SAAgBu+E,GAAU,OAAO,MAOxDH,EAAKzgF,UAAU82B,OAAS,WAAqB,OAAOspD,KAKpDK,EAAKzxB,SAAW,SAAmBkD,EAAQjD,GACzC,IAAKA,IAASA,EAAK4xB,SAAY,MAAM,IAAI3xB,WAAW,mCACpD,IAAI5nD,EAAOk5E,EAAUvxB,EAAK4xB,UAC1B,IAAKv5E,EAAQ,MAAM,IAAI4nD,WAAY,gBAAmBD,EAAa,SAAI,YACvE,OAAO3nD,EAAK0nD,SAASkD,EAAQjD,IAQ/BwxB,EAAKrxB,OAAS,SAAiBh+C,EAAI0vE,GACjC,GAAI1vE,KAAMovE,EAAa,MAAM,IAAItxB,WAAW,iCAAmC99C,GAG/E,OAFAovE,EAAUpvE,GAAM0vE,EAChBA,EAAU9gF,UAAUovD,OAASh+C,EACtB0vE,GAKT,IAAIC,EAAa,SAAoB15B,EAAKi5B,GAExC73E,KAAK4+C,IAAMA,EAEX5+C,KAAK63E,OAASA,GAKhBS,EAAWrf,GAAK,SAAara,GAAO,OAAO,IAAI05B,EAAW15B,EAAK,OAI/D05B,EAAWC,KAAO,SAAez5D,GAAW,OAAO,IAAIw5D,EAAW,KAAMx5D,IAMxEw5D,EAAWE,YAAc,SAAsB55B,EAAKzzB,EAAM4L,EAAI9zB,GAC5D,IACE,OAAOq1E,EAAWrf,GAAGra,EAAIrkD,QAAQ4wB,EAAM4L,EAAI9zB,IAC3C,MAAO0J,GACP,GAAIA,aAAa,eAAgB,OAAO2rE,EAAWC,KAAK5rE,EAAEmS,SAC1D,MAAMnS,IAKV,IAAI8rE,EAA4B,SAAUT,GACxC,SAASS,EAAYttD,EAAM4L,EAAI9zB,EAAOm/D,GACpC4V,EAAKtgF,KAAKsI,MACVA,KAAKmrB,KAAOA,EACZnrB,KAAK+2B,GAAKA,EACV/2B,KAAKiD,MAAQA,EACbjD,KAAKoiE,YAAcA,EAwDrB,OArDK4V,IAAOS,EAAYj1D,UAAYw0D,GACpCS,EAAYlhF,UAAYD,OAAO4N,OAAQ8yE,GAAQA,EAAKzgF,WACpDkhF,EAAYlhF,UAAUiB,YAAcigF,EAEpCA,EAAYlhF,UAAUwO,MAAQ,SAAgB64C,GAC5C,OAAI5+C,KAAKoiE,WAAasW,EAAe95B,EAAK5+C,KAAKmrB,KAAMnrB,KAAK+2B,IAC/CuhD,EAAWC,KAAK,6CACpBD,EAAWE,YAAY55B,EAAK5+C,KAAKmrB,KAAMnrB,KAAK+2B,GAAI/2B,KAAKiD,QAG9Dw1E,EAAYlhF,UAAUugF,OAAS,WAC7B,OAAO,IAAI/B,EAAQ,CAAC/1E,KAAKmrB,KAAMnrB,KAAK+2B,GAAK/2B,KAAKmrB,KAAMnrB,KAAKiD,MAAMsW,QAGjEk/D,EAAYlhF,UAAUo/E,OAAS,SAAiB/3B,GAC9C,OAAO,IAAI65B,EAAYz4E,KAAKmrB,KAAMnrB,KAAKmrB,KAAOnrB,KAAKiD,MAAMsW,KAAMqlC,EAAI37C,MAAMjD,KAAKmrB,KAAMnrB,KAAK+2B,MAG3F0hD,EAAYlhF,UAAUsY,IAAM,SAAcixC,GACxC,IAAI31B,EAAO21B,EAAQ0G,UAAUxnD,KAAKmrB,KAAM,GAAI4L,EAAK+pB,EAAQ0G,UAAUxnD,KAAK+2B,IAAK,GAC7E,OAAI5L,EAAKs8B,SAAW1wB,EAAG0wB,QAAkB,KAClC,IAAIgxB,EAAYttD,EAAKjS,IAAKpiB,KAAKkwB,IAAImE,EAAKjS,IAAK6d,EAAG7d,KAAMlZ,KAAKiD,QAGpEw1E,EAAYlhF,UAAUqC,MAAQ,SAAgB+oB,GAC5C,KAAMA,aAAiB81D,IAAgB91D,EAAMy/C,WAAapiE,KAAKoiE,UAAa,OAAO,KAEnF,GAAIpiE,KAAKmrB,KAAOnrB,KAAKiD,MAAMsW,MAAQoJ,EAAMwI,MAASnrB,KAAKiD,MAAMuiD,SAAY7iC,EAAM1f,MAAMusD,UAI9E,IAAI7sC,EAAMoU,IAAM/2B,KAAKmrB,MAASnrB,KAAKiD,MAAMusD,WAAc7sC,EAAM1f,MAAMuiD,QAKxE,OAAO,KAJP,IAAImzB,EAAU34E,KAAKiD,MAAMsW,KAAOoJ,EAAM1f,MAAMsW,MAAQ,EAAI,QAAM4b,MACxD,IAAI,QAAMxS,EAAM1f,MAAM6D,QAAQ6lD,OAAO3sD,KAAKiD,MAAM6D,SAAU6b,EAAM1f,MAAMusD,UAAWxvD,KAAKiD,MAAMuiD,SAClG,OAAO,IAAIizB,EAAY91D,EAAMwI,KAAMnrB,KAAK+2B,GAAI4hD,EAAS34E,KAAKoiE,WAN1D,IAAIn/D,EAAQjD,KAAKiD,MAAMsW,KAAOoJ,EAAM1f,MAAMsW,MAAQ,EAAI,QAAM4b,MACtD,IAAI,QAAMn1B,KAAKiD,MAAM6D,QAAQ6lD,OAAOhqC,EAAM1f,MAAM6D,SAAU9G,KAAKiD,MAAMusD,UAAW7sC,EAAM1f,MAAMuiD,SAClG,OAAO,IAAIizB,EAAYz4E,KAAKmrB,KAAMnrB,KAAK+2B,IAAMpU,EAAMoU,GAAKpU,EAAMwI,MAAOloB,EAAOjD,KAAKoiE,YAUrFqW,EAAYlhF,UAAU82B,OAAS,WAC7B,IAAIm4B,EAAO,CAAC4xB,SAAU,UAAWjtD,KAAMnrB,KAAKmrB,KAAM4L,GAAI/2B,KAAK+2B,IAG3D,OAFI/2B,KAAKiD,MAAMsW,OAAQitC,EAAKvjD,MAAQjD,KAAKiD,MAAMorB,UAC3CruB,KAAKoiE,YAAa5b,EAAK4b,WAAY,GAChC5b,GAGTiyB,EAAYlyB,SAAW,SAAmBkD,EAAQjD,GAChD,GAAwB,iBAAbA,EAAKr7B,MAAsC,iBAAXq7B,EAAKzvB,GAC5C,MAAM,IAAI0vB,WAAW,0CACzB,OAAO,IAAIgyB,EAAYjyB,EAAKr7B,KAAMq7B,EAAKzvB,GAAI,QAAMwvB,SAASkD,EAAQjD,EAAKvjD,SAAUujD,EAAK4b,YAGjFqW,EA9DsB,CA+D7BT,GAEFA,EAAKrxB,OAAO,UAAW8xB,GAKvB,IAAIG,EAAkC,SAAUZ,GAC9C,SAASY,EAAkBztD,EAAM4L,EAAI8hD,EAASC,EAAO71E,EAAO4hD,EAAQud,GAClE4V,EAAKtgF,KAAKsI,MACVA,KAAKmrB,KAAOA,EACZnrB,KAAK+2B,GAAKA,EACV/2B,KAAK64E,QAAUA,EACf74E,KAAK84E,MAAQA,EACb94E,KAAKiD,MAAQA,EACbjD,KAAK6kD,OAASA,EACd7kD,KAAKoiE,YAAcA,EAwDrB,OArDK4V,IAAOY,EAAkBp1D,UAAYw0D,GAC1CY,EAAkBrhF,UAAYD,OAAO4N,OAAQ8yE,GAAQA,EAAKzgF,WAC1DqhF,EAAkBrhF,UAAUiB,YAAcogF,EAE1CA,EAAkBrhF,UAAUwO,MAAQ,SAAgB64C,GAClD,GAAI5+C,KAAKoiE,YAAcsW,EAAe95B,EAAK5+C,KAAKmrB,KAAMnrB,KAAK64E,UACpCH,EAAe95B,EAAK5+C,KAAK84E,MAAO94E,KAAK+2B,KACxD,OAAOuhD,EAAWC,KAAK,iDAE3B,IAAIQ,EAAMn6B,EAAI37C,MAAMjD,KAAK64E,QAAS74E,KAAK84E,OACvC,GAAIC,EAAIvpB,WAAaupB,EAAIvzB,QACrB,OAAO8yB,EAAWC,KAAK,2BAC3B,IAAIS,EAAWh5E,KAAKiD,MAAM4tD,SAAS7wD,KAAK6kD,OAAQk0B,EAAIjyE,SACpD,OAAKkyE,EACEV,EAAWE,YAAY55B,EAAK5+C,KAAKmrB,KAAMnrB,KAAK+2B,GAAIiiD,GAD/BV,EAAWC,KAAK,gCAI1CK,EAAkBrhF,UAAUugF,OAAS,WACnC,OAAO,IAAI/B,EAAQ,CAAC/1E,KAAKmrB,KAAMnrB,KAAK64E,QAAU74E,KAAKmrB,KAAMnrB,KAAK6kD,OAC1C7kD,KAAK84E,MAAO94E,KAAK+2B,GAAK/2B,KAAK84E,MAAO94E,KAAKiD,MAAMsW,KAAOvZ,KAAK6kD,UAG/E+zB,EAAkBrhF,UAAUo/E,OAAS,SAAiB/3B,GACpD,IAAIm6B,EAAM/4E,KAAK84E,MAAQ94E,KAAK64E,QAC5B,OAAO,IAAID,EAAkB54E,KAAKmrB,KAAMnrB,KAAKmrB,KAAOnrB,KAAKiD,MAAMsW,KAAOw/D,EACzC/4E,KAAKmrB,KAAOnrB,KAAK6kD,OAAQ7kD,KAAKmrB,KAAOnrB,KAAK6kD,OAASk0B,EACnDn6B,EAAI37C,MAAMjD,KAAKmrB,KAAMnrB,KAAK+2B,IAAIk6B,cAAcjxD,KAAK64E,QAAU74E,KAAKmrB,KAAMnrB,KAAK84E,MAAQ94E,KAAKmrB,MACxFnrB,KAAK64E,QAAU74E,KAAKmrB,KAAMnrB,KAAKoiE,YAG9DwW,EAAkBrhF,UAAUsY,IAAM,SAAcixC,GAC9C,IAAI31B,EAAO21B,EAAQ0G,UAAUxnD,KAAKmrB,KAAM,GAAI4L,EAAK+pB,EAAQ0G,UAAUxnD,KAAK+2B,IAAK,GACzE8hD,EAAU/3B,EAAQjxC,IAAI7P,KAAK64E,SAAU,GAAIC,EAAQh4B,EAAQjxC,IAAI7P,KAAK84E,MAAO,GAC7E,OAAK3tD,EAAKs8B,SAAW1wB,EAAG0wB,SAAYoxB,EAAU1tD,EAAKjS,KAAO4/D,EAAQ/hD,EAAG7d,IAAc,KAC5E,IAAI0/D,EAAkBztD,EAAKjS,IAAK6d,EAAG7d,IAAK2/D,EAASC,EAAO94E,KAAKiD,MAAOjD,KAAK6kD,OAAQ7kD,KAAKoiE,YAG/FwW,EAAkBrhF,UAAU82B,OAAS,WACnC,IAAIm4B,EAAO,CAAC4xB,SAAU,gBAAiBjtD,KAAMnrB,KAAKmrB,KAAM4L,GAAI/2B,KAAK+2B,GACrD8hD,QAAS74E,KAAK64E,QAASC,MAAO94E,KAAK84E,MAAOj0B,OAAQ7kD,KAAK6kD,QAGnE,OAFI7kD,KAAKiD,MAAMsW,OAAQitC,EAAKvjD,MAAQjD,KAAKiD,MAAMorB,UAC3CruB,KAAKoiE,YAAa5b,EAAK4b,WAAY,GAChC5b,GAGToyB,EAAkBryB,SAAW,SAAmBkD,EAAQjD,GACtD,GAAwB,iBAAbA,EAAKr7B,MAAsC,iBAAXq7B,EAAKzvB,IACrB,iBAAhByvB,EAAKqyB,SAA4C,iBAAdryB,EAAKsyB,OAA2C,iBAAftyB,EAAK3B,OAChF,MAAM,IAAI4B,WAAW,gDACzB,OAAO,IAAImyB,EAAkBpyB,EAAKr7B,KAAMq7B,EAAKzvB,GAAIyvB,EAAKqyB,QAASryB,EAAKsyB,MACvC,QAAMvyB,SAASkD,EAAQjD,EAAKvjD,OAAQujD,EAAK3B,SAAU2B,EAAK4b,YAGhFwW,EAjE4B,CAkEnCZ,GAIF,SAASU,EAAe95B,EAAKzzB,EAAM4L,GAEjC,IADA,IAAIooB,EAAQP,EAAIviC,QAAQ8O,GAAO6lC,EAAOj6B,EAAK5L,EAAMwzB,EAAQQ,EAAMR,MACxDqS,EAAO,GAAKrS,EAAQ,GAAKQ,EAAMa,WAAWrB,IAAUQ,EAAMhB,KAAKQ,GAAOI,YAC3EJ,IACAqS,IAEF,GAAIA,EAAO,EAET,IADA,IAAI9mD,EAAOi1C,EAAMhB,KAAKQ,GAAOgP,WAAWxO,EAAMa,WAAWrB,IAClDqS,EAAO,GAAG,CACf,IAAK9mD,GAAQA,EAAKkjD,OAAU,OAAO,EACnCljD,EAAOA,EAAKqK,WACZy8C,IAGJ,OAAO,EAGT,SAASioB,EAAO96B,EAAM2O,EAAOhL,GAC3B,OAAiB,GAATgL,GAAc3O,EAAK4C,WAAW+L,EAAO3O,EAAKY,eAC/C+C,GAAO3D,EAAKY,YAAcZ,EAAK4C,WAAW,EAAGe,IAOlD,SAASo3B,EAAW75B,GAGlB,IAFA,IACIv4C,EADSu4C,EAAMl9C,OACE2E,QAAQ0mD,WAAWnO,EAAMoR,WAAYpR,EAAMqR,UACvD/R,EAAQU,EAAMV,SAAUA,EAAO,CACtC,IAAIR,EAAOkB,EAAMF,MAAMhB,KAAKQ,GACxBlyC,EAAQ4yC,EAAMF,MAAM1yC,MAAMkyC,GAAQ+R,EAAWrR,EAAMD,IAAIY,WAAWrB,GACtE,GAAIA,EAAQU,EAAMV,OAASR,EAAK4C,WAAWt0C,EAAOikD,EAAU5pD,GACxD,OAAO63C,EACX,GAAa,GAATA,GAAcR,EAAKt/C,KAAK4/C,KAAKC,YAAcu6B,EAAO96B,EAAM1xC,EAAOikD,GAAa,OAiDpF,SAAS/O,EAAatC,EAAOgD,EAAUC,EAAO62B,QACxB,IAAfA,IAAwBA,EAAa95B,GAE1C,IAAI+5B,EAQN,SAA6B/5B,EAAOxgD,GAClC,IAAIsD,EAASk9C,EAAMl9C,OACfsuD,EAAapR,EAAMoR,WACnBC,EAAWrR,EAAMqR,SACjB0oB,EAASj3E,EAAO89C,eAAewQ,GAAY9O,aAAa9iD,GAC5D,IAAKu6E,EAAU,OAAO,KACtB,IAAIC,EAAQD,EAAOjhF,OAASihF,EAAO,GAAKv6E,EACxC,OAAOsD,EAAO+9C,eAAeuQ,EAAYC,EAAU2oB,GAASD,EAAS,KAfxDE,CAAoBj6B,EAAOgD,GACpC2D,EAAQozB,GAiBd,SAA4B/5B,EAAOxgD,GACjC,IAAIsD,EAASk9C,EAAMl9C,OACfsuD,EAAapR,EAAMoR,WACnBC,EAAWrR,EAAMqR,SACjB1K,EAAQ7jD,EAAO4lD,MAAM0I,GACrBuV,EAASnnE,EAAKs0D,aAAaxR,aAAaqE,EAAMnnD,MAClD,IAAKmnE,EAAU,OAAO,KAGtB,IAFA,IACIuT,GADWvT,EAAO7tE,OAAS6tE,EAAOA,EAAO7tE,OAAS,GAAK0G,GACjCs0D,aACjBl7D,EAAIw4D,EAAY8oB,GAActhF,EAAIy4D,EAAUz4D,IACjDshF,EAAaA,EAAW33B,UAAUz/C,EAAO4lD,MAAM9vD,GAAG4G,MACtD,IAAK06E,IAAeA,EAAW13B,SAAY,OAAO,KAClD,OAAOmkB,EA7BewT,CAAmBL,EAAY92B,GACrD,OAAK2D,EACEozB,EAAOvpE,IAAI4pE,GAAWz2E,OAAO,CAACnE,KAAMwjD,EAAUC,MAAOA,IAAQt/C,OAAOgjD,EAAMn2C,IAAI4pE,IADhE,KAIvB,SAASA,EAAU56E,GAAQ,MAAO,CAACA,KAAMA,EAAMyjD,MAAO,MAwFtD,SAASo3B,EAAS96B,EAAK1lC,EAAKylC,EAAOg7B,QAClB,IAAVh7B,IAAmBA,EAAQ,GAEhC,IAAIH,EAAOI,EAAIviC,QAAQnD,GAAMi3B,EAAOqO,EAAKG,MAAQA,EAC7Ci7B,EAAaD,GAAcA,EAAWA,EAAWxhF,OAAS,IAAOqmD,EAAKr8C,OAC1E,GAAIguC,EAAO,GAAKqO,EAAKr8C,OAAOtD,KAAK4/C,KAAKC,YACjCF,EAAKr8C,OAAO4+C,WAAWvC,EAAK/xC,QAAS+xC,EAAKr8C,OAAO48C,cACjD66B,EAAU/6E,KAAK+xD,aAAapS,EAAKr8C,OAAO2E,QAAQ0mD,WAAWhP,EAAK/xC,QAAS+xC,EAAKr8C,OAAO48C,aACtF,OAAO,EACX,IAAK,IAAIve,EAAIge,EAAKG,MAAQ,EAAG1mD,EAAI0mD,EAAQ,EAAGne,EAAI2P,EAAM3P,IAAKvoC,IAAK,CAC9D,IAAIkmD,EAAOK,EAAKL,KAAK3d,GAAIq5C,EAAUr7B,EAAK/xC,MAAM+zB,GAC9C,GAAI2d,EAAKt/C,KAAK4/C,KAAKC,UAAa,OAAO,EACvC,IAAIo7B,EAAO37B,EAAKr3C,QAAQ0mD,WAAWqsB,EAAS17B,EAAKY,YAC7CC,EAAS26B,GAAcA,EAAW1hF,IAAOkmD,EAE7C,GADIa,GAASb,IAAQ27B,EAAOA,EAAKrsB,aAAa,EAAGzO,EAAMngD,KAAKqG,OAAO85C,EAAMsD,UACpEnE,EAAK4C,WAAW84B,EAAU,EAAG17B,EAAKY,cAAgBC,EAAMngD,KAAK+xD,aAAakpB,GAC3E,OAAO,EAEb,IAAIrtE,EAAQ+xC,EAAKwB,WAAW7P,GACxB4pC,EAAWJ,GAAcA,EAAW,GACxC,OAAOn7B,EAAKL,KAAKhO,GAAM+P,eAAezzC,EAAOA,EAAOstE,EAAWA,EAASl7E,KAAO2/C,EAAKL,KAAKhO,EAAO,GAAGtxC,MAwBrG,SAASm7E,EAAQp7B,EAAK1lC,GACpB,IAAIslC,EAAOI,EAAIviC,QAAQnD,GAAMzM,EAAQ+xC,EAAK/xC,QAC1C,OAAOujD,EAASxR,EAAK4C,WAAY5C,EAAK6C,YACpC7C,EAAKr8C,OAAO4+C,WAAWt0C,EAAOA,EAAQ,GAG1C,SAASujD,EAAS91D,EAAGC,GACnB,OAAOD,GAAKC,IAAMD,EAAEkzD,QAAUlzD,EAAEs5D,UAAUr5D,GAO5C,SAAS8/E,EAAUr7B,EAAK1lC,EAAKzJ,QACd,IAARA,IAAiBA,GAAO,GAG7B,IADA,IAAI+uC,EAAOI,EAAIviC,QAAQnD,GACdsnB,EAAIge,EAAKG,OAAQne,IAAK,CAC7B,IAAIqe,OAAS,EAAUG,OAAQ,EAAUvyC,EAAQ+xC,EAAK/xC,MAAM+zB,GAY5D,GAXIA,GAAKge,EAAKG,OACZE,EAASL,EAAK4C,WACdpC,EAAQR,EAAK6C,WACJ5xC,EAAM,GACfovC,EAASL,EAAKL,KAAK3d,EAAI,GACvB/zB,IACAuyC,EAAQR,EAAKL,KAAK3d,GAAGmtB,WAAWlhD,KAEhCoyC,EAASL,EAAKL,KAAK3d,GAAGmtB,WAAWlhD,EAAQ,GACzCuyC,EAAQR,EAAKL,KAAK3d,EAAI,IAEpBqe,IAAWA,EAAOP,aAAe0R,EAASnR,EAAQG,IAClDR,EAAKL,KAAK3d,GAAGugB,WAAWt0C,EAAOA,EAAQ,GAAM,OAAOyM,EACxD,GAAS,GAALsnB,EAAU,MACdtnB,EAAMzJ,EAAM,EAAI+uC,EAAKK,OAAOre,GAAKge,EAAKQ,MAAMxe,IA0ChD,SAAS05C,EAAUt7B,EAAK1lC,EAAKjW,GAC3B,IAAIu7C,EAAOI,EAAIviC,QAAQnD,GACvB,IAAKjW,EAAM6D,QAAQyS,KAAQ,OAAOL,EAElC,IADA,IAAIpS,EAAU7D,EAAM6D,QACX7O,EAAI,EAAGA,EAAIgL,EAAMusD,UAAWv3D,IAAO6O,EAAUA,EAAQyN,WAAWzN,QACzE,IAAK,IAAIqzE,EAAO,EAAGA,IAA4B,GAAnBl3E,EAAMusD,WAAkBvsD,EAAMsW,KAAO,EAAI,GAAI4gE,IACvE,IAAK,IAAI35C,EAAIge,EAAKG,MAAOne,GAAK,EAAGA,IAAK,CACpC,IAAI4lB,EAAO5lB,GAAKge,EAAKG,MAAQ,EAAIH,EAAKtlC,MAAQslC,EAAKsO,MAAMtsB,EAAI,GAAKge,EAAKsD,IAAIthB,EAAI,IAAM,GAAK,EAAI,EAC1F45C,EAAY57B,EAAK/xC,MAAM+zB,IAAM4lB,EAAO,EAAI,EAAI,GAChD,GAAY,GAAR+zB,EACE37B,EAAKL,KAAK3d,GAAGugB,WAAWq5B,EAAWA,EAAWtzE,GAC9C03C,EAAKL,KAAK3d,GAAGyf,eAAem6B,GAAWz4B,aAAa76C,EAAQyN,WAAW1V,MACzE,OAAe,GAARunD,EAAY5H,EAAKtlC,IAAMktC,EAAO,EAAI5H,EAAKK,OAAOre,EAAI,GAAKge,EAAKQ,MAAMxe,EAAI,GAGrF,OAAO,KAGT,SAAS65C,EAAYvpB,EAAU51D,EAAGiH,GAEhC,IADA,IAAIm4E,EAAS,GACJriF,EAAI,EAAGA,EAAI64D,EAAS/R,WAAY9mD,IAAK,CAC5C,IAAI8vD,EAAQ+I,EAAS/I,MAAM9vD,GACvB8vD,EAAMjhD,QAAQyS,OAAQwuC,EAAQA,EAAM/F,KAAKq4B,EAAYtyB,EAAMjhD,QAAS5L,EAAG6sD,KACvEA,EAAMlC,WAAYkC,EAAQ7sD,EAAE6sD,EAAO5lD,EAAQlK,IAC/CqiF,EAAO/rE,KAAKw5C,GAEd,OAAO,WAAS4G,UAAU2rB,GAxU5BtC,EAAKrxB,OAAO,gBAAiBiyB,GA8C7BrwB,EAAUhxD,UAAU0nD,KAAO,SAASI,EAAOnjD,GASzC,IARA,IAAIijD,EAAQE,EAAMF,MACdC,EAAMC,EAAMD,IACZT,EAAQU,EAAMV,MAEd47B,EAAWp7B,EAAMN,OAAOF,EAAQ,GAAI67B,EAASp7B,EAAIJ,MAAML,EAAQ,GAC/DmO,EAAQytB,EAAUz4B,EAAM04B,EAExB37B,EAAS,WAAS1pB,MAAOq6B,EAAY,EAChChvB,EAAIme,EAAO87B,GAAY,EAAOj6C,EAAItkC,EAAQskC,IAC3Ci6C,GAAat7B,EAAM1yC,MAAM+zB,GAAK,GAClCi6C,GAAY,EACZ57B,EAAS,WAAS1zB,KAAKg0B,EAAMhB,KAAK3d,GAAGwhB,KAAKnD,IAC1C2Q,KAEA1C,IAGJ,IADA,IAAI9N,EAAQ,WAAS7pB,MAAOqwB,EAAU,EAC7Bk1B,EAAM/7B,EAAOg8B,GAAc,EAAOD,EAAMx+E,EAAQw+E,IACjDC,GAAev7B,EAAIJ,MAAM07B,EAAM,GAAKt7B,EAAI0C,IAAI44B,IAChDC,GAAc,EACd37B,EAAQ,WAAS7zB,KAAKi0B,EAAIjB,KAAKu8B,GAAK14B,KAAKhD,IACzCwG,KAEA1D,IAGJ,OAAO9hD,KAAK0Y,KAAK,IAAIkgE,EAAkB9rB,EAAOhL,EAAKy4B,EAAUC,EACtB,IAAI,QAAM37B,EAAO8N,OAAO3N,GAAQwQ,EAAWhK,GAC3C3G,EAAOtlC,KAAOi2C,GAAW,KAkDlEjH,EAAUhxD,UAAUwqD,KAAO,SAAS1C,EAAOu7B,GAEzC,IADA,IAAI9zE,EAAU,WAASquB,MACdl9B,EAAI2iF,EAASziF,OAAS,EAAGF,GAAK,EAAGA,IACtC6O,EAAU,WAASqkB,KAAKyvD,EAAS3iF,GAAG4G,KAAKqG,OAAO01E,EAAS3iF,GAAGqqD,MAAOx7C,IAEvE,IAAIgmD,EAAQzN,EAAMyN,MAAOhL,EAAMzC,EAAMyC,IACrC,OAAO9hD,KAAK0Y,KAAK,IAAIkgE,EAAkB9rB,EAAOhL,EAAKgL,EAAOhL,EAAK,IAAI,QAAMh7C,EAAS,EAAG,GAAI8zE,EAASziF,QAAQ,KAM5GowD,EAAUhxD,UAAUirD,aAAe,SAASr3B,EAAM4L,EAAIl4B,EAAMyjD,GAC1D,IAAI+H,EAASrqD,KAGb,QAFY,IAAP+2B,IAAgBA,EAAK5L,IAErBtsB,EAAKy/C,YAAe,MAAM,IAAImI,WAAW,oDAC9C,IAAIhB,EAAUzlD,KAAK0lD,MAAMvtD,OAYzB,OAXA6H,KAAK4+C,IAAI8D,aAAav3B,EAAM4L,GAAI,SAAUonB,EAAMjlC,GAC9C,GAAIilC,EAAKG,cAAgBH,EAAKwE,UAAU9jD,EAAMyjD,IAalD,SAAuB1D,EAAK1lC,EAAKra,GAC/B,IAAI2/C,EAAOI,EAAIviC,QAAQnD,GAAMzM,EAAQ+xC,EAAK/xC,QAC1C,OAAO+xC,EAAKr8C,OAAO+9C,eAAezzC,EAAOA,EAAQ,EAAG5N,GAfMg8E,CAAcxwB,EAAOzL,IAAKyL,EAAOvJ,QAAQ79C,MAAMwiD,GAAS51C,IAAIqJ,GAAMra,GAAO,CAE/HwrD,EAAO5I,kBAAkB4I,EAAOvJ,QAAQ79C,MAAMwiD,GAAS51C,IAAIqJ,EAAK,GAAIra,GACpE,IAAIiiD,EAAUuJ,EAAOvJ,QAAQ79C,MAAMwiD,GAC/Bq1B,EAASh6B,EAAQjxC,IAAIqJ,EAAK,GAAI6hE,EAAOj6B,EAAQjxC,IAAIqJ,EAAMilC,EAAKqD,SAAU,GAG1E,OAFA6I,EAAO3xC,KAAK,IAAIkgE,EAAkBkC,EAAQC,EAAMD,EAAS,EAAGC,EAAO,EACnC,IAAI,QAAM,WAAS5vD,KAAKtsB,EAAKqG,OAAOo9C,EAAO,KAAMnE,EAAKoF,QAAS,EAAG,GAAI,GAAG,KAClG,MAGJvjD,MAWTuoD,EAAUhxD,UAAUypD,cAAgB,SAAS9nC,EAAKra,EAAMyjD,EAAOiB,GAC7D,IAAIpF,EAAOn+C,KAAK4+C,IAAIkU,OAAO55C,GAC3B,IAAKilC,EAAQ,MAAM,IAAIsI,WAAW,6BAC7B5nD,IAAQA,EAAOs/C,EAAKt/C,MACzB,IAAIm8E,EAAUn8E,EAAKqG,OAAOo9C,EAAO,KAAMiB,GAASpF,EAAKoF,OACrD,GAAIpF,EAAKiP,OACL,OAAOptD,KAAKmgD,YAAYjnC,EAAKA,EAAMilC,EAAKqD,SAAUw5B,GAEtD,IAAKn8E,EAAK+xD,aAAazS,EAAKr3C,SACxB,MAAM,IAAI2/C,WAAW,iCAAmC5nD,EAAKjI,MAEjE,OAAOoJ,KAAK0Y,KAAK,IAAIkgE,EAAkB1/D,EAAKA,EAAMilC,EAAKqD,SAAUtoC,EAAM,EAAGA,EAAMilC,EAAKqD,SAAW,EACzD,IAAI,QAAM,WAASr2B,KAAK6vD,GAAU,EAAG,GAAI,GAAG,KAkCrFzyB,EAAUhxD,UAAUoI,MAAQ,SAASuZ,EAAKylC,EAAOg7B,QAChC,IAAVh7B,IAAmBA,EAAQ,GAGhC,IADA,IAAIH,EAAOx+C,KAAK4+C,IAAIviC,QAAQnD,GAAM2lC,EAAS,WAAS1pB,MAAO6pB,EAAQ,WAAS7pB,MACnEqL,EAAIge,EAAKG,MAAOhyC,EAAI6xC,EAAKG,MAAQA,EAAO1mD,EAAI0mD,EAAQ,EAAGne,EAAI7zB,EAAG6zB,IAAKvoC,IAAK,CAC/E4mD,EAAS,WAAS1zB,KAAKqzB,EAAKL,KAAK3d,GAAGwhB,KAAKnD,IACzC,IAAIo8B,EAAYtB,GAAcA,EAAW1hF,GACzC+mD,EAAQ,WAAS7zB,KAAK8vD,EAAYA,EAAUp8E,KAAKqG,OAAO+1E,EAAU34B,MAAOtD,GAASR,EAAKL,KAAK3d,GAAGwhB,KAAKhD,IAEtG,OAAOh/C,KAAK0Y,KAAK,IAAI+/D,EAAYv/D,EAAKA,EAAK,IAAI,QAAM2lC,EAAO8N,OAAO3N,GAAQL,EAAOA,IAAQ,KA+C5F4J,EAAUhxD,UAAUwI,KAAO,SAASmZ,EAAKylC,QACxB,IAAVA,IAAmBA,EAAQ,GAEhC,IAAIjmC,EAAO,IAAI+/D,EAAYv/D,EAAMylC,EAAOzlC,EAAMylC,EAAO,QAAMxpB,OAAO,GAClE,OAAOn1B,KAAK0Y,KAAKA,IA6DnB,IAAIwiE,EAA4B,SAAUlD,GACxC,SAASkD,EAAY/vD,EAAM4L,EAAIkyB,GAC7B+uB,EAAKtgF,KAAKsI,MACVA,KAAKmrB,KAAOA,EACZnrB,KAAK+2B,GAAKA,EACV/2B,KAAKipD,KAAOA,EAgDd,OA7CK+uB,IAAOkD,EAAY13D,UAAYw0D,GACpCkD,EAAY3jF,UAAYD,OAAO4N,OAAQ8yE,GAAQA,EAAKzgF,WACpD2jF,EAAY3jF,UAAUiB,YAAc0iF,EAEpCA,EAAY3jF,UAAUwO,MAAQ,SAAgB64C,GAC5C,IAAIyL,EAASrqD,KAETm7E,EAAWv8B,EAAI37C,MAAMjD,KAAKmrB,KAAMnrB,KAAK+2B,IAAKooB,EAAQP,EAAIviC,QAAQrc,KAAKmrB,MACnEhpB,EAASg9C,EAAMhB,KAAKgB,EAAM0S,YAAY7xD,KAAK+2B,KAC3C9zB,EAAQ,IAAI,QAAMo3E,EAAYc,EAASr0E,SAAS,SAAUq3C,EAAMh8C,GAClE,OAAKA,EAAOtD,KAAKokD,eAAeoH,EAAOpB,KAAKpqD,MACrCs/C,EAAK8K,KAAKoB,EAAOpB,KAAKC,SAAS/K,EAAKoF,QADiBpF,IAE3Dh8C,GAASg5E,EAAS3rB,UAAW2rB,EAAS31B,SACzC,OAAO8yB,EAAWE,YAAY55B,EAAK5+C,KAAKmrB,KAAMnrB,KAAK+2B,GAAI9zB,IAGzDi4E,EAAY3jF,UAAUo/E,OAAS,WAC7B,OAAO,IAAIyE,EAAep7E,KAAKmrB,KAAMnrB,KAAK+2B,GAAI/2B,KAAKipD,OAGrDiyB,EAAY3jF,UAAUsY,IAAM,SAAcixC,GACxC,IAAI31B,EAAO21B,EAAQ0G,UAAUxnD,KAAKmrB,KAAM,GAAI4L,EAAK+pB,EAAQ0G,UAAUxnD,KAAK+2B,IAAK,GAC7E,OAAI5L,EAAKs8B,SAAW1wB,EAAG0wB,SAAWt8B,EAAKjS,KAAO6d,EAAG7d,IAAc,KACxD,IAAIgiE,EAAY/vD,EAAKjS,IAAK6d,EAAG7d,IAAKlZ,KAAKipD,OAGhDiyB,EAAY3jF,UAAUqC,MAAQ,SAAgB+oB,GAC5C,GAAIA,aAAiBu4D,GACjBv4D,EAAMsmC,KAAK9B,GAAGnnD,KAAKipD,OACnBjpD,KAAKmrB,MAAQxI,EAAMoU,IAAM/2B,KAAK+2B,IAAMpU,EAAMwI,KAC1C,OAAO,IAAI+vD,EAAYpkF,KAAKkK,IAAIhB,KAAKmrB,KAAMxI,EAAMwI,MAC5Br0B,KAAKkwB,IAAIhnB,KAAK+2B,GAAIpU,EAAMoU,IAAK/2B,KAAKipD,OAG7DiyB,EAAY3jF,UAAU82B,OAAS,WAC7B,MAAO,CAAC+pD,SAAU,UAAWnvB,KAAMjpD,KAAKipD,KAAK56B,SACrClD,KAAMnrB,KAAKmrB,KAAM4L,GAAI/2B,KAAK+2B,KAGpCmkD,EAAY30B,SAAW,SAAmBkD,EAAQjD,GAChD,GAAwB,iBAAbA,EAAKr7B,MAAsC,iBAAXq7B,EAAKzvB,GAC5C,MAAM,IAAI0vB,WAAW,0CACzB,OAAO,IAAIy0B,EAAY10B,EAAKr7B,KAAMq7B,EAAKzvB,GAAI0yB,EAAOkC,aAAanF,EAAKyC,QAG/DiyB,EArDsB,CAsD7BlD,GAEFA,EAAKrxB,OAAO,UAAWu0B,GAGvB,IAAIE,EAA+B,SAAUpD,GAC3C,SAASoD,EAAejwD,EAAM4L,EAAIkyB,GAChC+uB,EAAKtgF,KAAKsI,MACVA,KAAKmrB,KAAOA,EACZnrB,KAAK+2B,GAAKA,EACV/2B,KAAKipD,KAAOA,EA8Cd,OA3CK+uB,IAAOoD,EAAe53D,UAAYw0D,GACvCoD,EAAe7jF,UAAYD,OAAO4N,OAAQ8yE,GAAQA,EAAKzgF,WACvD6jF,EAAe7jF,UAAUiB,YAAc4iF,EAEvCA,EAAe7jF,UAAUwO,MAAQ,SAAgB64C,GAC/C,IAAIyL,EAASrqD,KAETm7E,EAAWv8B,EAAI37C,MAAMjD,KAAKmrB,KAAMnrB,KAAK+2B,IACrC9zB,EAAQ,IAAI,QAAMo3E,EAAYc,EAASr0E,SAAS,SAAUq3C,GAC5D,OAAOA,EAAK8K,KAAKoB,EAAOpB,KAAKE,cAAchL,EAAKoF,WAC9C43B,EAAS3rB,UAAW2rB,EAAS31B,SACjC,OAAO8yB,EAAWE,YAAY55B,EAAK5+C,KAAKmrB,KAAMnrB,KAAK+2B,GAAI9zB,IAGzDm4E,EAAe7jF,UAAUo/E,OAAS,WAChC,OAAO,IAAIuE,EAAYl7E,KAAKmrB,KAAMnrB,KAAK+2B,GAAI/2B,KAAKipD,OAGlDmyB,EAAe7jF,UAAUsY,IAAM,SAAcixC,GAC3C,IAAI31B,EAAO21B,EAAQ0G,UAAUxnD,KAAKmrB,KAAM,GAAI4L,EAAK+pB,EAAQ0G,UAAUxnD,KAAK+2B,IAAK,GAC7E,OAAI5L,EAAKs8B,SAAW1wB,EAAG0wB,SAAWt8B,EAAKjS,KAAO6d,EAAG7d,IAAc,KACxD,IAAIkiE,EAAejwD,EAAKjS,IAAK6d,EAAG7d,IAAKlZ,KAAKipD,OAGnDmyB,EAAe7jF,UAAUqC,MAAQ,SAAgB+oB,GAC/C,GAAIA,aAAiBy4D,GACjBz4D,EAAMsmC,KAAK9B,GAAGnnD,KAAKipD,OACnBjpD,KAAKmrB,MAAQxI,EAAMoU,IAAM/2B,KAAK+2B,IAAMpU,EAAMwI,KAC1C,OAAO,IAAIiwD,EAAetkF,KAAKkK,IAAIhB,KAAKmrB,KAAMxI,EAAMwI,MAC5Br0B,KAAKkwB,IAAIhnB,KAAK+2B,GAAIpU,EAAMoU,IAAK/2B,KAAKipD,OAGhEmyB,EAAe7jF,UAAU82B,OAAS,WAChC,MAAO,CAAC+pD,SAAU,aAAcnvB,KAAMjpD,KAAKipD,KAAK56B,SACxClD,KAAMnrB,KAAKmrB,KAAM4L,GAAI/2B,KAAK+2B,KAGpCqkD,EAAe70B,SAAW,SAAmBkD,EAAQjD,GACnD,GAAwB,iBAAbA,EAAKr7B,MAAsC,iBAAXq7B,EAAKzvB,GAC5C,MAAM,IAAI0vB,WAAW,6CACzB,OAAO,IAAI20B,EAAe50B,EAAKr7B,KAAMq7B,EAAKzvB,GAAI0yB,EAAOkC,aAAanF,EAAKyC,QAGlEmyB,EAnDyB,CAoDhCpD,GA+JF,SAASqD,EAAcl8B,EAAOC,EAAKn8C,GACjC,OAAQA,EAAMusD,YAAcvsD,EAAMuiD,SAAWrG,EAAM2N,SAAW1N,EAAI0N,SAChE3N,EAAMh9C,OAAO4+C,WAAW5B,EAAM1yC,QAAS2yC,EAAI3yC,QAASxJ,EAAM6D,SA/J9DkxE,EAAKrxB,OAAO,aAAcy0B,GAI1B7yB,EAAUhxD,UAAU0sD,QAAU,SAAS94B,EAAM4L,EAAIkyB,GAC/C,IAAIoB,EAASrqD,KAET+zB,EAAU,GAAIunD,EAAQ,GAAIC,EAAW,KAAMC,EAAS,KA0BxD,OAzBAx7E,KAAK4+C,IAAI8D,aAAav3B,EAAM4L,GAAI,SAAUonB,EAAMjlC,EAAK/W,GACnD,GAAKg8C,EAAK0H,SAAV,CACA,IAAItC,EAAQpF,EAAKoF,MACjB,IAAK0F,EAAK5F,QAAQE,IAAUphD,EAAOtD,KAAKokD,eAAegG,EAAKpqD,MAAO,CAIjE,IAHA,IAAIiuD,EAAQh2D,KAAKkwB,IAAI9N,EAAKiS,GAAO22B,EAAMhrD,KAAKkK,IAAIkY,EAAMilC,EAAKqD,SAAUzqB,GACjE0kD,EAASxyB,EAAKC,SAAS3F,GAElBtrD,EAAI,EAAGA,EAAIsrD,EAAMprD,OAAQF,IAC3BsrD,EAAMtrD,GAAGorD,QAAQo4B,KAChBF,GAAYA,EAASxkD,IAAM+1B,GAASyuB,EAAStyB,KAAK9B,GAAG5D,EAAMtrD,IAC3DsjF,EAASxkD,GAAK+qB,EAEd/tB,EAAQxlB,KAAKgtE,EAAW,IAAIH,EAAetuB,EAAOhL,EAAKyB,EAAMtrD,MAIjEujF,GAAUA,EAAOzkD,IAAM+1B,EACvB0uB,EAAOzkD,GAAK+qB,EAEZw5B,EAAM/sE,KAAKitE,EAAS,IAAIN,EAAYpuB,EAAOhL,EAAKmH,SAIxDl1B,EAAQj8B,SAAQ,SAAU08B,GAAK,OAAO61B,EAAO3xC,KAAK8b,MAClD8mD,EAAMxjF,SAAQ,SAAU08B,GAAK,OAAO61B,EAAO3xC,KAAK8b,MACzCx0B,MAQTuoD,EAAUhxD,UAAUysD,WAAa,SAAS74B,EAAM4L,EAAIkyB,GAClD,IAAIoB,EAASrqD,UACC,IAATipD,IAAkBA,EAAO,MAE9B,IAAI7gC,EAAU,GAAI1P,EAAO,EA+BzB,OA9BA1Y,KAAK4+C,IAAI8D,aAAav3B,EAAM4L,GAAI,SAAUonB,EAAMjlC,GAC9C,GAAKilC,EAAK0H,SAAV,CACAntC,IACA,IAAIgjE,EAAW,KACf,GAAIzyB,aAAgB,WAAU,CAC5B,IAAI9C,EAAQ8C,EAAK5F,QAAQlF,EAAKoF,OAC1B4C,IAASu1B,EAAW,CAACv1B,SAChB8C,EACLA,EAAK5F,QAAQlF,EAAKoF,SAAUm4B,EAAW,CAACzyB,IAE5CyyB,EAAWv9B,EAAKoF,MAElB,GAAIm4B,GAAYA,EAASvjF,OAEvB,IADA,IAAI2pD,EAAMhrD,KAAKkK,IAAIkY,EAAMilC,EAAKqD,SAAUzqB,GAC/B9+B,EAAI,EAAGA,EAAIyjF,EAASvjF,OAAQF,IAAK,CAExC,IADA,IAAIyP,EAAQg0E,EAASzjF,GAAI0jF,OAAU,EAC1B/oE,EAAI,EAAGA,EAAIwV,EAAQjwB,OAAQya,IAAK,CACvC,IAAIoP,EAAIoG,EAAQxV,GACZoP,EAAEtJ,MAAQA,EAAO,GAAKhR,EAAMy/C,GAAG/+B,EAAQxV,GAAGlL,SAAUi0E,EAAU35D,GAEhE25D,GACFA,EAAQ5kD,GAAK+qB,EACb65B,EAAQjjE,KAAOA,GAEf0P,EAAQ7Z,KAAK,CAAC7G,MAAOA,EAAOyjB,KAAMr0B,KAAKkwB,IAAI9N,EAAKiS,GAAO4L,GAAI+qB,EAAKppC,KAAMA,SAK9E0P,EAAQtwB,SAAQ,SAAUkqB,GAAK,OAAOqoC,EAAO3xC,KAAK,IAAI0iE,EAAep5D,EAAEmJ,KAAMnJ,EAAE+U,GAAI/U,EAAEta,WAC9E1H,MAQTuoD,EAAUhxD,UAAUkqD,kBAAoB,SAASvoC,EAAK0iE,EAAY1vE,QACjD,IAAVA,IAAmBA,EAAQ0vE,EAAWzoB,cAI3C,IAFA,IAAIhV,EAAOn+C,KAAK4+C,IAAIkU,OAAO55C,GACvB2iE,EAAW,GAAI3mB,EAAMh8C,EAAM,EACtBjhB,EAAI,EAAGA,EAAIkmD,EAAKY,WAAY9mD,IAAK,CACxC,IAAI8vD,EAAQ5J,EAAK4J,MAAM9vD,GAAI6pD,EAAMoT,EAAMnN,EAAMvG,SACzCs6B,EAAU5vE,EAAM01C,UAAUmG,EAAMlpD,KAAMkpD,EAAMzF,OAChD,GAAKw5B,EAEE,CACL5vE,EAAQ4vE,EACR,IAAK,IAAIlpE,EAAI,EAAGA,EAAIm1C,EAAMxE,MAAMprD,OAAQya,IAAYgpE,EAAW34B,eAAe8E,EAAMxE,MAAM3wC,GAAG/T,OACzFmB,KAAK0Y,KAAK,IAAI0iE,EAAelmB,EAAKpT,EAAKiG,EAAMxE,MAAM3wC,UAJvDipE,EAASttE,KAAK,IAAIkqE,EAAYvjB,EAAKpT,EAAK,QAAM3sB,QAMhD+/B,EAAMpT,EAER,IAAK51C,EAAM21C,SAAU,CACnB,IAAImb,EAAO9wD,EAAM0pD,WAAW,WAASzgC,OAAO,GAC5Cn1B,KAAKzF,QAAQ26D,EAAKA,EAAK,IAAI,QAAM8H,EAAM,EAAG,IAE5C,IAAK,IAAIpZ,EAAMi4B,EAAS1jF,OAAS,EAAGyrD,GAAO,EAAGA,IAAS5jD,KAAK0Y,KAAKmjE,EAASj4B,IAC1E,OAAO5jD,MAuBTuoD,EAAUhxD,UAAUgD,QAAU,SAAS4wB,EAAM4L,EAAI9zB,QACnC,IAAP8zB,IAAgBA,EAAK5L,QACX,IAAVloB,IAAmBA,EAAQ,QAAMkyB,OAEtC,IAAIzc,EAnBN,SAAqBkmC,EAAKzzB,EAAM4L,EAAI9zB,GAIlC,QAHY,IAAP8zB,IAAgBA,EAAK5L,QACX,IAAVloB,IAAmBA,EAAQ,QAAMkyB,OAElChK,GAAQ4L,IAAO9zB,EAAMsW,KAAQ,OAAO,KAExC,IAAI4lC,EAAQP,EAAIviC,QAAQ8O,GAAOi0B,EAAMR,EAAIviC,QAAQ0a,GAEjD,OAAIskD,EAAcl8B,EAAOC,EAAKn8C,GAAiB,IAAIw1E,EAAYttD,EAAM4L,EAAI9zB,GAClE,IAAI84E,EAAO58B,EAAOC,EAAKn8C,GAAO+4E,MAU1BC,CAAYj8E,KAAK4+C,IAAKzzB,EAAM4L,EAAI9zB,GAE3C,OADIyV,GAAQ1Y,KAAK0Y,KAAKA,GACf1Y,MAMTuoD,EAAUhxD,UAAU4oD,YAAc,SAASh1B,EAAM4L,EAAIjwB,GACnD,OAAO9G,KAAKzF,QAAQ4wB,EAAM4L,EAAI,IAAI,QAAM,WAAS5L,KAAKrkB,GAAU,EAAG,KAKrEyhD,EAAUhxD,UAAUgqD,OAAS,SAASp2B,EAAM4L,GAC1C,OAAO/2B,KAAKzF,QAAQ4wB,EAAM4L,EAAI,QAAM5B,QAKtCozB,EAAUhxD,UAAUstD,OAAS,SAAS3rC,EAAKpS,GACzC,OAAO9G,KAAKmgD,YAAYjnC,EAAKA,EAAKpS,IA4BpC,IAAIi1E,EAAS,SAAgB58B,EAAOC,EAAKn8C,GACvCjD,KAAKo/C,IAAMA,EACXp/C,KAAKm/C,MAAQA,EACbn/C,KAAKk8E,SAAWj5E,EAEhBjD,KAAKm8E,SAAW,GAChB,IAAK,IAAIlkF,EAAI,EAAGA,GAAKknD,EAAMR,MAAO1mD,IAAK,CACrC,IAAIkmD,EAAOgB,EAAMhB,KAAKlmD,GACtB+H,KAAKm8E,SAAS5tE,KAAK,CACjB1P,KAAMs/C,EAAKt/C,KACXqN,MAAOiyC,EAAK8B,eAAed,EAAMa,WAAW/nD,MAIhD+H,KAAKmvD,OAAS,WAASh6B,MACvB,IAAK,IAAIyuB,EAAMzE,EAAMR,MAAOiF,EAAM,EAAGA,IACjC5jD,KAAKmvD,OAAS,WAAShkC,KAAKg0B,EAAMhB,KAAKyF,GAAK5B,KAAKhiD,KAAKmvD,UAGxDnI,EAAuB,CAAErI,MAAO,CAAEj6C,cAAc,IAmOpD,SAAS03E,EAAiBtrB,EAAUnS,EAAO09B,GACzC,OAAa,GAAT19B,EAAqBmS,EAAStD,WAAW6uB,GACtCvrB,EAASrD,aAAa,EAAGqD,EAASv8C,WAAWytC,KAAKo6B,EAAiBtrB,EAASv8C,WAAWzN,QAAS63C,EAAQ,EAAG09B,KAGpH,SAASC,EAAcxrB,EAAUnS,EAAO73C,GACtC,OAAa,GAAT63C,EAAqBmS,EAASnE,OAAO7lD,GAClCgqD,EAASrD,aAAaqD,EAAS/R,WAAa,EACtB+R,EAASzS,UAAU2D,KAAKs6B,EAAcxrB,EAASzS,UAAUv3C,QAAS63C,EAAQ,EAAG73C,KAG5G,SAASy1E,EAAUzrB,EAAUnS,GAC3B,IAAK,IAAI1mD,EAAI,EAAGA,EAAI0mD,EAAO1mD,IAAO64D,EAAWA,EAASv8C,WAAWzN,QACjE,OAAOgqD,EAGT,SAAS0rB,EAAer+B,EAAMqR,EAAWhK,GACvC,GAAIgK,GAAa,EAAK,OAAOrR,EAC7B,IAAIuX,EAAOvX,EAAKr3C,QAOhB,OANI0oD,EAAY,IACZkG,EAAOA,EAAKjI,aAAa,EAAG+uB,EAAe9mB,EAAKnhD,WAAYi7C,EAAY,EAAsB,GAAnBkG,EAAK3W,WAAkByG,EAAU,EAAI,KAChHgK,EAAY,IACdkG,EAAOvX,EAAKt/C,KAAKs0D,aAAayC,WAAWF,GAAM/I,OAAO+I,GAClDlQ,GAAW,IAAKkQ,EAAOA,EAAK/I,OAAOxO,EAAKt/C,KAAKs0D,aAAaC,cAAcsC,GAAME,WAAW,WAASzgC,OAAO,MAExGgpB,EAAK6D,KAAK0T,GAGnB,SAAS+mB,EAAiBr9B,EAAKT,EAAO9/C,EAAMqN,EAAOnE,GACjD,IAAIo2C,EAAOiB,EAAIjB,KAAKQ,GAAQlyC,EAAQ1E,EAAOq3C,EAAIY,WAAWrB,GAASS,EAAI3yC,MAAMkyC,GAC7E,GAAIlyC,GAAS0xC,EAAKY,aAAelgD,EAAKyiD,kBAAkBnD,EAAKt/C,MAAS,OAAO,KAC7E,IAAIm9E,EAAM9vE,EAAM0pD,WAAWzX,EAAKr3C,SAAS,EAAM2F,GAC/C,OAAOuvE,IAGT,SAAsBn9E,EAAMiyD,EAAUhE,GACpC,IAAK,IAAI70D,EAAI60D,EAAO70D,EAAI64D,EAAS/R,WAAY9mD,IACzC,IAAK4G,EAAK00D,YAAYzC,EAAS/I,MAAM9vD,GAAGsrD,OAAU,OAAO,EAC7D,OAAO,EANQm5B,CAAa79E,EAAMs/C,EAAKr3C,QAAS2F,GAASuvE,EAAM,KAiGjE,SAASW,EAAc7rB,EAAUnS,EAAOi+B,EAASC,EAAS16E,GACxD,GAAIw8C,EAAQi+B,EAAS,CACnB,IAAIzjE,EAAQ23C,EAASv8C,WACrBu8C,EAAWA,EAASrD,aAAa,EAAGt0C,EAAM6oC,KAAK26B,EAAcxjE,EAAMrS,QAAS63C,EAAQ,EAAGi+B,EAASC,EAAS1jE,KAE3G,GAAIwlC,EAAQk+B,EAAS,CACnB,IAAI3wE,EAAQ/J,EAAO89C,eAAe,GAC9B6M,EAAQ5gD,EAAM0pD,WAAW9E,GAAUnE,OAAOmE,GAC9CA,EAAWhE,EAAMH,OAAOzgD,EAAMknD,cAActG,GAAO8I,WAAW,WAASzgC,OAAO,IAEhF,OAAO27B,EA0CT,SAASgsB,EAAc39B,EAAOC,GAE5B,IADA,IAAIvlD,EAAS,GACJ2mC,EADmB1pC,KAAKkK,IAAIm+C,EAAMR,MAAOS,EAAIT,OAC/Bne,GAAK,EAAGA,IAAK,CAClC,IAAIssB,EAAQ3N,EAAM2N,MAAMtsB,GACxB,GAAIssB,EAAQ3N,EAAMjmC,KAAOimC,EAAMR,MAAQne,IACnC4e,EAAI0C,IAAIthB,GAAK4e,EAAIlmC,KAAOkmC,EAAIT,MAAQne,IACpC2e,EAAMhB,KAAK3d,GAAG3hC,KAAK4/C,KAAKC,WACxBU,EAAIjB,KAAK3d,GAAG3hC,KAAK4/C,KAAKC,UAAa,MACnCoO,GAAS1N,EAAI0N,MAAMtsB,IAAM3mC,EAAO0U,KAAKiyB,GAE3C,OAAO3mC,EAhaTmtD,EAAqBrI,MAAM/jD,IAAM,WAAc,OAAOoF,KAAKm8E,SAAShkF,OAAS,GAE7E4jF,EAAOxkF,UAAUykF,IAAM,WAIrB,KAAOh8E,KAAKk8E,SAAS3iE,MAAM,CACzB,IAAIyiE,EAAMh8E,KAAK+8E,eACXf,EAAOh8E,KAAKg9E,WAAWhB,GACpBh8E,KAAKi9E,YAAcj9E,KAAKk9E,WAOjC,IAAIC,EAAan9E,KAAKo9E,iBAAkBC,EAAar9E,KAAKmvD,OAAO51C,KAAOvZ,KAAK2+C,MAAQ3+C,KAAKm/C,MAAMR,MAC5FQ,EAAQn/C,KAAKm/C,MAAOC,EAAMp/C,KAAKsH,MAAM61E,EAAa,EAAIn9E,KAAKo/C,IAAMD,EAAMP,IAAIviC,QAAQ8gE,IACvF,IAAK/9B,EAAO,OAAO,KAInB,IADA,IAAIt4C,EAAU9G,KAAKmvD,OAAQK,EAAYrQ,EAAMR,MAAO6G,EAAUpG,EAAIT,MAC3D6Q,GAAahK,GAAiC,GAAtB1+C,EAAQi4C,YACrCj4C,EAAUA,EAAQyN,WAAWzN,QAC7B0oD,IAAahK,IAEf,IAAIviD,EAAQ,IAAI,QAAM6D,EAAS0oD,EAAWhK,GAC1C,OAAI23B,GAAc,EACP,IAAIvE,EAAkBz5B,EAAMjmC,IAAKikE,EAAYn9E,KAAKo/C,IAAIlmC,IAAKlZ,KAAKo/C,IAAI0C,MAAO7+C,EAAOo6E,GACzFp6E,EAAMsW,MAAQ4lC,EAAMjmC,KAAOlZ,KAAKo/C,IAAIlmC,IAC7B,IAAIu/D,EAAYt5B,EAAMjmC,IAAKkmC,EAAIlmC,IAAKjW,QAD/C,GAOF84E,EAAOxkF,UAAUwlF,aAAe,WAG9B,IAAK,IAAI5C,EAAO,EAAGA,GAAQ,EAAGA,IAC5B,IAAK,IAAImD,EAAat9E,KAAKk8E,SAAS1sB,UAAW8tB,GAAc,EAAGA,IAS9D,IARA,IAAyBn7E,OAAS,EAO9BgX,GANAmkE,GACFn7E,EAASo6E,EAAUv8E,KAAKk8E,SAASp1E,QAASw2E,EAAa,GAAG/oE,YACxCzN,QAEP9G,KAAKk8E,SAASp1E,SAENyN,WACZgpE,EAAgBv9E,KAAK2+C,MAAO4+B,GAAiB,EAAGA,IAAiB,CACxE,IAAIr+B,EAAMl/C,KAAKm8E,SAASoB,GAClB1+E,EAAOqgD,EAAIrgD,KACXqN,EAAQgzC,EAAIhzC,MACZ61C,OAAO,EAAUy7B,OAAS,EAIhC,GAAY,GAARrD,IAAchhE,EAAQjN,EAAM01C,UAAUzoC,EAAMta,QAAU2+E,EAAStxE,EAAM0pD,WAAW,WAASzqC,KAAKhS,IAAQ,IACtFta,EAAKyiD,kBAAkBn/C,EAAOtD,OAC9C,MAAO,CAACy+E,WAAYA,EAAYC,cAAeA,EAAep7E,OAAQA,EAAQq7E,OAAQA,GAGrF,GAAY,GAARrD,GAAahhE,IAAU4oC,EAAO71C,EAAMy1C,aAAaxoC,EAAMta,OAC5D,MAAO,CAACy+E,WAAYA,EAAYC,cAAeA,EAAep7E,OAAQA,EAAQ4/C,KAAMA,GAGxF,GAAI5/C,GAAU+J,EAAM01C,UAAUz/C,EAAOtD,MAAS,QAMtDk9E,EAAOxkF,UAAU0lF,SAAW,WAC1B,IAAI/9B,EAAMl/C,KAAKk8E,SACTp1E,EAAUo4C,EAAIp4C,QACd0oD,EAAYtQ,EAAIsQ,UAChBhK,EAAUtG,EAAIsG,QAChBQ,EAAQu2B,EAAUz1E,EAAS0oD,GAC/B,SAAKxJ,EAAMjH,YAAciH,EAAMzxC,WAAW64C,UAC1CptD,KAAKk8E,SAAW,IAAI,QAAMp1E,EAAS0oD,EAAY,EACrB14D,KAAKkwB,IAAIw+B,EAASQ,EAAMzsC,KAAOi2C,GAAa1oD,EAAQyS,KAAOisC,EAAUgK,EAAY,EAAI,KACxG,IAGTusB,EAAOxkF,UAAU2lF,SAAW,WAC1B,IAAIh+B,EAAMl/C,KAAKk8E,SACTp1E,EAAUo4C,EAAIp4C,QACd0oD,EAAYtQ,EAAIsQ,UAChBhK,EAAUtG,EAAIsG,QAChBQ,EAAQu2B,EAAUz1E,EAAS0oD,GAC/B,GAAIxJ,EAAMjH,YAAc,GAAKyQ,EAAY,EAAG,CAC1C,IAAIiuB,EAAY32E,EAAQyS,KAAOi2C,GAAaA,EAAYxJ,EAAMzsC,KAC9DvZ,KAAKk8E,SAAW,IAAI,QAAME,EAAiBt1E,EAAS0oD,EAAY,EAAG,GAAIA,EAAY,EACzDiuB,EAAYjuB,EAAY,EAAIhK,QAEtDxlD,KAAKk8E,SAAW,IAAI,QAAME,EAAiBt1E,EAAS0oD,EAAW,GAAIA,EAAWhK,IAQlFu2B,EAAOxkF,UAAUylF,WAAa,SAAqB99B,GAOjD,IANE,IAAIo+B,EAAap+B,EAAIo+B,WACjBC,EAAgBr+B,EAAIq+B,cACpBp7E,EAAS+8C,EAAI/8C,OACbq7E,EAASt+B,EAAIs+B,OACbz7B,EAAO7C,EAAI6C,KAEV/hD,KAAK2+C,MAAQ4+B,GAAiBv9E,KAAK09E,oBAC1C,GAAI37B,EAAQ,IAAK,IAAI9pD,EAAI,EAAGA,EAAI8pD,EAAK5pD,OAAQF,IAAO+H,KAAK29E,iBAAiB57B,EAAK9pD,IAE/E,IAAIgL,EAAQjD,KAAKk8E,SAAUprB,EAAW3uD,EAASA,EAAO2E,QAAU7D,EAAM6D,QAClE0oD,EAAYvsD,EAAMusD,UAAY8tB,EAC9BM,EAAQ,EAAGt7E,EAAM,GACjBohD,EAAQ1jD,KAAKm8E,SAASoB,GACpBrxE,EAAQw3C,EAAMx3C,MACdrN,EAAO6kD,EAAM7kD,KACnB,GAAI2+E,EAAQ,CACV,IAAK,IAAI55B,EAAM,EAAGA,EAAM45B,EAAOz+B,WAAY6E,IAASthD,EAAIiM,KAAKivE,EAAOz1B,MAAMnE,IAC1E13C,EAAQA,EAAMknD,cAAcoqB,GAQ9B,IAHA,IAAIK,EAAgB/sB,EAASv3C,KAAO+jE,GAAer6E,EAAM6D,QAAQyS,KAAOtW,EAAMuiD,SAGvEo4B,EAAQ9sB,EAAS/R,YAAY,CAClC,IAAI70C,EAAO4mD,EAAS/I,MAAM61B,GAAQxuC,EAAUljC,EAAM01C,UAAU13C,EAAKrL,MACjE,IAAKuwC,EAAW,SAChBwuC,EACY,GAAkB,GAAbpuB,GAAkBtlD,EAAKpD,QAAQyS,QAC9CrN,EAAQkjC,EACR9sC,EAAIiM,KAAKiuE,EAAetyE,EAAK++C,KAAKpqD,EAAKs5D,aAAajuD,EAAKq5C,QAAkB,GAATq6B,EAAapuB,EAAY,EACnEouB,GAAS9sB,EAAS/R,WAAa8+B,GAAgB,KAG3E,IAAIhoB,EAAQ+nB,GAAS9sB,EAAS/R,WACzB8W,IAASgoB,GAAgB,GAI1BhoB,GAASgoB,EAAe,GAAK17E,GAAUA,EAAOtD,MAAQmB,KAAKm8E,SAASn8E,KAAK2+C,OAAO9/C,KAAQmB,KAAK09E,oBAC1F19E,KAAKm8E,SAASoB,GAAerxE,MAAQA,EAG5C,IAAK,IAAIkpD,EAAM,EAAGF,EAAMpE,EAAUsE,EAAMyoB,EAAczoB,IAAO,CAC3D,IAAIjX,EAAO+W,EAAI7W,UACfr+C,KAAKm8E,SAAS5tE,KAAK,CAAC1P,KAAMs/C,EAAKt/C,KAAMqN,MAAOiyC,EAAK8B,eAAe9B,EAAKY,cACrEmW,EAAM/W,EAAKr3C,QAMb9G,KAAKk8E,SAAYrmB,EACC,GAAdynB,EAAkB,QAAMnoD,MACxB,IAAI,QAAMinD,EAAiBn5E,EAAM6D,QAASw2E,EAAa,EAAG,GAChDA,EAAa,EAAGO,EAAe,EAAI56E,EAAMuiD,QAAU83B,EAAa,GAHrD,IAAI,QAAMlB,EAAiBn5E,EAAM6D,QAASw2E,EAAYM,GAAQ36E,EAAMusD,UAAWvsD,EAAMuiD,SAI9GxlD,KAAKmvD,OAASmtB,EAAct8E,KAAKmvD,OAAQouB,EAAe,WAASpyD,KAAK7oB,KAGxEy5E,EAAOxkF,UAAU6lF,eAAiB,WAChC,IAAKp9E,KAAKo/C,IAAIj9C,OAAOm8C,aAAet+C,KAAKo/C,IAAI0C,OAAS9hD,KAAKo/C,IAAIlmC,IAAO,OAAQ,EAC9E,IAAqCkoD,EAAjCvD,EAAM79D,KAAKm8E,SAASn8E,KAAK2+C,OAC7B,IAAKkf,EAAIh/D,KAAKy/C,cAAgBm+B,EAAiBz8E,KAAKo/C,IAAKp/C,KAAKo/C,IAAIT,MAAOkf,EAAIh/D,KAAMg/D,EAAI3xD,OAAO,IACzFlM,KAAKo/C,IAAIT,OAAS3+C,KAAK2+C,QAAUyiB,EAAQphE,KAAK89E,eAAe99E,KAAKo/C,OAASgiB,EAAMziB,OAAS3+C,KAAK2+C,MAAU,OAAQ,EAKtH,IAHA,IACMA,EADI3+C,KAAKo/C,IACGT,MACZK,EAAQh/C,KAAKo/C,IAAIJ,MAAML,GACtBA,EAAQ,GAAKK,GAASh/C,KAAKo/C,IAAI0C,MAAMnD,MAAYK,EACxD,OAAOA,GAGT+8B,EAAOxkF,UAAUumF,eAAiB,SAAyB1+B,GACzDiX,EAAM,IAAK,IAAIp+D,EAAInB,KAAKkK,IAAIhB,KAAK2+C,MAAOS,EAAIT,OAAQ1mD,GAAK,EAAGA,IAAK,CAC/D,IAAIinD,EAAMl/C,KAAKm8E,SAASlkF,GAClBiU,EAAQgzC,EAAIhzC,MACZrN,EAAOqgD,EAAIrgD,KACbk/E,EAAY9lF,EAAImnD,EAAIT,OAASS,EAAI0C,IAAI7pD,EAAI,IAAMmnD,EAAIlmC,KAAOkmC,EAAIT,OAAS1mD,EAAI,IAC3E+jF,EAAMS,EAAiBr9B,EAAKnnD,EAAG4G,EAAMqN,EAAO6xE,GAChD,GAAK/B,EAAL,CACA,IAAK,IAAIx7C,EAAIvoC,EAAI,EAAGuoC,GAAK,EAAGA,IAAK,CAC/B,IAAIkjB,EAAQ1jD,KAAKm8E,SAAS37C,GACpBw9C,EAAUt6B,EAAMx3C,MAElBkjC,EAAUqtC,EAAiBr9B,EAAK5e,EADrBkjB,EAAM7kD,KAC0Bm/E,GAAS,GACxD,IAAK5uC,GAAWA,EAAQ2P,WAAc,SAASsX,EAEjD,MAAO,CAAC1X,MAAO1mD,EAAG+jF,IAAKA,EAAKiC,KAAMF,EAAY3+B,EAAIR,IAAIviC,QAAQ+iC,EAAIJ,MAAM/mD,EAAI,IAAMmnD,MAItF28B,EAAOxkF,UAAU+P,MAAQ,SAAgB83C,GACvC,IAAI93C,EAAQtH,KAAK89E,eAAe1+B,GAChC,IAAK93C,EAAS,OAAO,KAErB,KAAOtH,KAAK2+C,MAAQr3C,EAAMq3C,OAAS3+C,KAAK09E,oBACpCp2E,EAAM00E,IAAIj9B,aAAc/+C,KAAKmvD,OAASmtB,EAAct8E,KAAKmvD,OAAQ7nD,EAAMq3C,MAAOr3C,EAAM00E,MACxF58B,EAAM93C,EAAM22E,KACZ,IAAK,IAAIz9C,EAAIl5B,EAAMq3C,MAAQ,EAAGne,GAAK4e,EAAIT,MAAOne,IAAK,CACjD,IAAI2d,EAAOiB,EAAIjB,KAAK3d,GAAIl+B,EAAM67C,EAAKt/C,KAAKs0D,aAAayC,WAAWzX,EAAKr3C,SAAS,EAAMs4C,EAAI3yC,MAAM+zB,IAC9FxgC,KAAK29E,iBAAiBx/B,EAAKt/C,KAAMs/C,EAAKmE,MAAOhgD,GAE/C,OAAO88C,GAGT28B,EAAOxkF,UAAUomF,iBAAmB,SAA2B9+E,EAAMyjD,EAAOx7C,GAC1E,IAAI+2D,EAAM79D,KAAKm8E,SAASn8E,KAAK2+C,OAC7Bkf,EAAI3xD,MAAQ2xD,EAAI3xD,MAAM01C,UAAU/iD,GAChCmB,KAAKmvD,OAASmtB,EAAct8E,KAAKmvD,OAAQnvD,KAAK2+C,MAAO,WAASxzB,KAAKtsB,EAAKqG,OAAOo9C,EAAOx7C,KACtF9G,KAAKm8E,SAAS5tE,KAAK,CAAC1P,KAAMA,EAAMqN,MAAOrN,EAAKs0D,gBAG9C4oB,EAAOxkF,UAAUmmF,kBAAoB,WACnC,IACIp7E,EADOtC,KAAKm8E,SAAS5lB,MACVrqD,MAAM0pD,WAAW,WAASzgC,OAAO,GAC5C7yB,EAAIy8C,aAAc/+C,KAAKmvD,OAASmtB,EAAct8E,KAAKmvD,OAAQnvD,KAAKm8E,SAAShkF,OAAQmK,KAGvFhL,OAAOiP,iBAAkBw1E,EAAOxkF,UAAWyvD,GA2D3CuB,EAAUhxD,UAAUouD,aAAe,SAASx6B,EAAM4L,EAAI9zB,GACpD,IAAKA,EAAMsW,KAAQ,OAAOvZ,KAAKwkD,YAAYr5B,EAAM4L,GAEjD,IAAIooB,EAAQn/C,KAAK4+C,IAAIviC,QAAQ8O,GAAOi0B,EAAMp/C,KAAK4+C,IAAIviC,QAAQ0a,GAC3D,GAAIskD,EAAcl8B,EAAOC,EAAKn8C,GAC1B,OAAOjD,KAAK0Y,KAAK,IAAI+/D,EAAYttD,EAAM4L,EAAI9zB,IAE/C,IAAIi7E,EAAepB,EAAc39B,EAAOn/C,KAAK4+C,IAAIviC,QAAQ0a,IAEZ,GAAzCmnD,EAAaA,EAAa/lF,OAAS,IAAW+lF,EAAa3nB,MAG/D,IAAI4nB,IAAoBh/B,EAAMR,MAAQ,GACtCu/B,EAAa3wD,QAAQ4wD,GAKrB,IAAK,IAAI39C,EAAI2e,EAAMR,MAAOzlC,EAAMimC,EAAMjmC,IAAM,EAAGsnB,EAAI,EAAGA,IAAKtnB,IAAO,CAChE,IAAIulC,EAAOU,EAAMhB,KAAK3d,GAAG3hC,KAAK4/C,KAC9B,GAAIA,EAAK2/B,UAAY3/B,EAAKC,UAAa,MACnCw/B,EAAat/E,QAAQ4hC,IAAM,EAAK29C,EAAkB39C,EAC7C2e,EAAMN,OAAOre,IAAMtnB,GAAOglE,EAAapyB,OAAO,EAAG,GAAItrB,GAOhE,IAHA,IAAI69C,EAAuBH,EAAat/E,QAAQu/E,GAE5CG,EAAY,GAAIC,EAAiBt7E,EAAMusD,UAClC1oD,EAAU7D,EAAM6D,QAAS7O,EAAI,GAAIA,IAAK,CAC7C,IAAIkmD,EAAOr3C,EAAQyN,WAEnB,GADA+pE,EAAU/vE,KAAK4vC,GACXlmD,GAAKgL,EAAMusD,UAAa,MAC5B1oD,EAAUq3C,EAAKr3C,QAIby3E,EAAiB,GAAKD,EAAUC,EAAiB,GAAG1/E,KAAK4/C,KAAK2/B,UAC9Dj/B,EAAMhB,KAAKkgC,GAAsBx/E,MAAQy/E,EAAUC,EAAiB,GAAG1/E,KACvE0/E,GAAkB,EACbA,GAAkB,GAAKD,EAAUC,EAAiB,GAAGjgC,aAAeggC,EAAUC,EAAiB,GAAG1/E,KAAK4/C,KAAK2/B,UAC5Gj/B,EAAMhB,KAAKkgC,GAAsBx/E,MAAQy/E,EAAUC,EAAiB,GAAG1/E,OAC5E0/E,GAAkB,GAEtB,IAAK,IAAI3rE,EAAI3P,EAAMusD,UAAW58C,GAAK,EAAGA,IAAK,CACzC,IAAI4rE,GAAa5rE,EAAI2rE,EAAiB,IAAMt7E,EAAMusD,UAAY,GAC1D3K,EAASy5B,EAAUE,GACvB,GAAK35B,EACL,IAAK,IAAIjB,EAAM,EAAGA,EAAMs6B,EAAa/lF,OAAQyrD,IAAO,CAGlD,IAAI66B,EAAcP,GAAct6B,EAAMy6B,GAAwBH,EAAa/lF,QAASumF,GAAS,EACzFD,EAAc,IAAKC,GAAS,EAAOD,GAAeA,GACtD,IAAIt8E,EAASg9C,EAAMhB,KAAKsgC,EAAc,GAAIhyE,EAAQ0yC,EAAM1yC,MAAMgyE,EAAc,GAC5E,GAAIt8E,EAAO+9C,eAAezzC,EAAOA,EAAOo4C,EAAOhmD,KAAMgmD,EAAOtB,OACxD,OAAOvjD,KAAKzF,QAAQ4kD,EAAMN,OAAO4/B,GAAcC,EAASt/B,EAAIJ,MAAMy/B,GAAe1nD,EAC/D,IAAI,QAAM4lD,EAAc15E,EAAM6D,QAAS,EAAG7D,EAAMusD,UAAWgvB,GACjDA,EAAWv7E,EAAMuiD,WAKrD,IADA,IAAIm5B,EAAa3+E,KAAK0lD,MAAMvtD,OACnBi9D,EAAM8oB,EAAa/lF,OAAS,EAAGi9D,GAAO,IAC7Cp1D,KAAKzF,QAAQ4wB,EAAM4L,EAAI9zB,KACnBjD,KAAK0lD,MAAMvtD,OAASwmF,IAFwBvpB,IAAO,CAGvD,IAAIzW,EAAQu/B,EAAa9oB,GACrBA,EAAM,IACVjqC,EAAOg0B,EAAMN,OAAOF,GAAQ5nB,EAAKqoB,EAAIJ,MAAML,IAE7C,OAAO3+C,MAwBTuoD,EAAUhxD,UAAUuuD,iBAAmB,SAAS36B,EAAM4L,EAAIonB,GACxD,IAAKA,EAAK0H,UAAY16B,GAAQ4L,GAAM/2B,KAAK4+C,IAAIviC,QAAQ8O,GAAMhpB,OAAO2E,QAAQyS,KAAM,CAC9E,IAAIqN,EA1uBR,SAAqBg4B,EAAK1lC,EAAKmpC,GAC7B,IAAI7D,EAAOI,EAAIviC,QAAQnD,GACvB,GAAIslC,EAAKr8C,OAAO+9C,eAAe1B,EAAK/xC,QAAS+xC,EAAK/xC,QAAS41C,GAAa,OAAOnpC,EAE/E,GAAyB,GAArBslC,EAAKiC,aACL,IAAK,IAAIjgB,EAAIge,EAAKG,MAAQ,EAAGne,GAAK,EAAGA,IAAK,CAC1C,IAAI/zB,EAAQ+xC,EAAK/xC,MAAM+zB,GACvB,GAAIge,EAAKL,KAAK3d,GAAG0f,eAAezzC,EAAOA,EAAO41C,GAAa,OAAO7D,EAAKK,OAAOre,EAAI,GAClF,GAAI/zB,EAAQ,EAAK,OAAO,KAE5B,GAAI+xC,EAAKiC,cAAgBjC,EAAKr8C,OAAO2E,QAAQyS,KACzC,IAAK,IAAImhE,EAAMl8B,EAAKG,MAAQ,EAAG+7B,GAAO,EAAGA,IAAO,CAChD,IAAIb,EAAUr7B,EAAKwB,WAAW06B,GAC9B,GAAIl8B,EAAKL,KAAKu8B,GAAKx6B,eAAe25B,EAASA,EAASx3B,GAAa,OAAO7D,EAAKQ,MAAM07B,EAAM,GACzF,GAAIb,EAAUr7B,EAAKL,KAAKu8B,GAAK37B,WAAc,OAAO,MA4tBxC6/B,CAAY5+E,KAAK4+C,IAAKzzB,EAAMgzB,EAAKt/C,MAChC,MAAT+nB,IAAiBuE,EAAO4L,EAAKnQ,GAEnC,OAAO5mB,KAAK2lD,aAAax6B,EAAM4L,EAAI,IAAI,QAAM,WAAS5L,KAAKgzB,GAAO,EAAG,KAMvEoK,EAAUhxD,UAAUitD,YAAc,SAASr5B,EAAM4L,GAG/C,IAFA,IAAIooB,EAAQn/C,KAAK4+C,IAAIviC,QAAQ8O,GAAOi0B,EAAMp/C,KAAK4+C,IAAIviC,QAAQ0a,GACvD8nD,EAAU/B,EAAc39B,EAAOC,GAC1BnnD,EAAI,EAAGA,EAAI4mF,EAAQ1mF,OAAQF,IAAK,CACvC,IAAI0mD,EAAQkgC,EAAQ5mF,GAAIy7B,EAAOz7B,GAAK4mF,EAAQ1mF,OAAS,EACrD,GAAKu7B,GAAiB,GAATirB,GAAeQ,EAAMhB,KAAKQ,GAAO9/C,KAAKs0D,aAAatR,SAC5D,OAAO7hD,KAAKuhD,OAAOpC,EAAM2N,MAAMnO,GAAQS,EAAI0C,IAAInD,IACnD,GAAIA,EAAQ,IAAMjrB,GAAQyrB,EAAMhB,KAAKQ,EAAQ,GAAGoC,WAAW5B,EAAM1yC,MAAMkyC,EAAQ,GAAIS,EAAIY,WAAWrB,EAAQ,KACtG,OAAO3+C,KAAKuhD,OAAOpC,EAAMN,OAAOF,GAAQS,EAAIJ,MAAML,IAExD,IAAK,IAAIne,EAAI,EAAGA,GAAK2e,EAAMR,OAASne,GAAK4e,EAAIT,MAAOne,IAClD,GAAIrV,EAAOg0B,EAAM2N,MAAMtsB,IAAM2e,EAAMR,MAAQne,GAAKzJ,EAAKooB,EAAM2C,IAAIthB,IAAM4e,EAAI0C,IAAIthB,GAAKzJ,GAAMqoB,EAAIT,MAAQne,EAChG,OAAOxgC,KAAKuhD,OAAOpC,EAAMN,OAAOre,GAAIzJ,GAE1C,OAAO/2B,KAAKuhD,OAAOp2B,EAAM4L,K,6BCxlD3B,gMAOI+nD,EAAY,SAAmB5yE,EAAOhM,GAK1C,IAAuBsV,EAJrBxV,KAAKkM,MAAQA,EACblM,KAAKE,QAA4B,iBAAXA,GAGDsV,EAHqCtV,EAInD,SAAS8D,EAAOkI,EAAO4gD,EAAOhL,GACnC,IAAI+C,EAASrvC,EACb,GAAItJ,EAAM,GAAI,CACZ,IAAI2iC,EAAS3iC,EAAM,GAAGm1D,YAAYn1D,EAAM,IACxC24C,GAAU34C,EAAM,GAAGjJ,MAAM4rC,EAAS3iC,EAAM,GAAG/T,QAE3C,IAAI4mF,GADJjyB,GAASje,GACYiT,EACjBi9B,EAAS,IACXl6B,EAAS34C,EAAM,GAAGjJ,MAAM4rC,EAASkwC,EAAQlwC,GAAUgW,EACnDiI,EAAQhL,GAGZ,OAAO99C,EAAMg6C,GAAG4G,WAAWC,EAAQiI,EAAOhL,KAhByB5hD,GA0BvE,SAAS6sE,EAAW7tB,GAClB,IAAIma,EAAQna,EAAIma,MAEZ7O,EAAS,IAAI,SAAO,CACtBxmD,MAAO,CACLiuB,KAAM,WAAkB,OAAO,MAC/BlsB,MAAO,SAAei4C,EAAIhqB,GACxB,IAAIgrD,EAAShhC,EAAG2L,QAAQ3pD,MACxB,OAAIg/E,IACGhhC,EAAG2K,cAAgB3K,EAAGq0B,WAAa,KAAOr+C,KAIrD9C,MAAO,CACL+tD,gBAAiB,SAAyB76B,EAAMj5B,EAAM4L,EAAI34B,GACxD,OAAO0P,EAAIs2C,EAAMj5B,EAAM4L,EAAI34B,EAAMi7D,EAAO7O,IAE1C6mB,gBAAiB,CACf6N,eAAgB,SAAU96B,GACxBh3C,YAAW,WACT,IACI01C,EADMsB,EAAKpgD,MAAM+5C,UACH+E,QACdA,GAAWh1C,EAAIs2C,EAAMtB,EAAQ5pC,IAAK4pC,EAAQ5pC,IAAK,GAAImgD,EAAO7O,SAMtE20B,cAAc,IAEhB,OAAO30B,EAGT,SAAS18C,EAAIs2C,EAAMj5B,EAAM4L,EAAI34B,EAAMi7D,EAAO7O,GACxC,GAAIpG,EAAK+wB,UAAa,OAAO,EAC7B,IAAInxE,EAAQogD,EAAKpgD,MAAOm7C,EAAQn7C,EAAM46C,IAAIviC,QAAQ8O,GAClD,GAAIg0B,EAAMh9C,OAAOtD,KAAK4/C,KAAK1/B,KAAQ,OAAO,EAG1C,IAFA,IAAIqgE,EAAajgC,EAAMh9C,OAAO6qD,YAAYl2D,KAAKkwB,IAAI,EAAGm4B,EAAMsB,aA3C9C,KA2CyEtB,EAAMsB,aACnD,KAAM,KAAYriD,EACnDnG,EAAI,EAAGA,EAAIohE,EAAMlhE,OAAQF,IAAK,CACrC,IAAIiU,EAAQmtD,EAAMphE,GAAGiU,MAAMlW,KAAKopF,GAC5BphC,EAAK9xC,GAASmtD,EAAMphE,GAAGiI,QAAQ8D,EAAOkI,EAAOif,GAAQjf,EAAM,GAAG/T,OAASiG,EAAKjG,QAAS4+B,GACzF,GAAKinB,EAEL,OADAoG,EAAKtG,SAASE,EAAG0L,QAAQc,EAAQ,CAAC60B,UAAWrhC,EAAI7yB,KAAMA,EAAM4L,GAAIA,EAAI34B,KAAMA,MACpE,EAET,OAAO,EAMT,SAASkhF,EAAct7E,EAAO85C,GAE5B,IADA,IAAIsM,EAAUpmD,EAAMomD,QACXnyD,EAAI,EAAGA,EAAImyD,EAAQjyD,OAAQF,IAAK,CACvC,IAAIuyD,EAASJ,EAAQnyD,GAAIsnF,OAAW,EACpC,GAAI/0B,EAAO/L,KAAK0gC,eAAiBI,EAAW/0B,EAAOyB,SAASjoD,IAAS,CACnE,GAAI85C,EAAU,CAEZ,IADA,IAAIE,EAAKh6C,EAAMg6C,GAAIwhC,EAASD,EAASF,UAC5BzsE,EAAI4sE,EAAO95B,MAAMvtD,OAAS,EAAGya,GAAK,EAAGA,IAC1CorC,EAAGtlC,KAAK8mE,EAAO95B,MAAM9yC,GAAG+jE,OAAO6I,EAAO9H,KAAK9kE,KAC/C,IAAI2wC,EAAQvF,EAAGY,IAAIviC,QAAQkjE,EAASp0D,MAAMo4B,QAC1CzF,EAASE,EAAGmC,YAAYo/B,EAASp0D,KAAMo0D,EAASxoD,GAAI/yB,EAAMylD,OAAOrrD,KAAKmhF,EAASnhF,KAAMmlD,KAEvF,OAAO,GAGX,OAAO,EAII,IAAIu7B,EAAU,MAAO,KAEnB,IAAIA,EAAU,UAAW,KAElB,IAAIA,EAAU,uCAAwC,KAErD,IAAIA,EAAU,KAAM,KAErB,IAAIA,EAAU,uCAAwC,KAErD,IAAIA,EAAU,KAAM,KAqB3C,SAASW,EAAkB73D,EAAQy6B,EAAU4X,EAAUylB,GACrD,OAAO,IAAIZ,EAAUl3D,GAAQ,SAAU5jB,EAAOkI,EAAO4gD,EAAOhL,GAC1D,IAAIQ,EAAQ2X,aAAoB9iE,SAAW8iE,EAAS/tD,GAAS+tD,EACzDjc,EAAKh6C,EAAMg6C,GAAGuD,OAAOuL,EAAOhL,GACIzC,EAAvBrB,EAAGY,IAAIviC,QAAQywC,GAAuBxN,aAAciD,EAAWlD,GAAS,YAAaA,EAAOgD,EAAUC,GACnH,IAAKC,EAAY,OAAO,KACxBvE,EAAG+D,KAAK1C,EAAOkD,GACf,IAAI1D,EAASb,EAAGY,IAAIviC,QAAQywC,EAAQ,GAAG1L,WAIvC,OAHIvC,GAAUA,EAAOhgD,MAAQwjD,GAAY,YAAQrE,EAAGY,IAAKkO,EAAQ,MAC3D4yB,GAAiBA,EAAcxzE,EAAO2yC,KACxCb,EAAGj+C,KAAK+sD,EAAQ,GACb9O,KAWX,SAAS2hC,EAAuB/3D,EAAQy6B,EAAU4X,GAChD,OAAO,IAAI6kB,EAAUl3D,GAAQ,SAAU5jB,EAAOkI,EAAO4gD,EAAOhL,GAC1D,IAAIiO,EAAS/rD,EAAM46C,IAAIviC,QAAQywC,GAC3BxK,EAAQ2X,aAAoB9iE,SAAW8iE,EAAS/tD,GAAS+tD,EAC7D,OAAKlK,EAAO5R,MAAM,GAAG+B,eAAe6P,EAAOtjD,OAAO,GAAIsjD,EAAO/P,YAAY,GAAIqC,GACtEr+C,EAAMg6C,GACVuD,OAAOuL,EAAOhL,GACdU,aAAasK,EAAOA,EAAOzK,EAAUC,GAHyD,U,gBCnKgMrrD,OAApOnB,EAAOC,QAAuP,SAASgqB,GAAG,IAAIpT,EAAE,GAAG,SAASrO,EAAEigC,GAAG,GAAG5xB,EAAE4xB,GAAG,OAAO5xB,EAAE4xB,GAAGxoC,QAAQ,IAAI8gB,EAAElK,EAAE4xB,GAAG,CAACtmC,EAAEsmC,EAAErmC,GAAE,EAAGnC,QAAQ,IAAI,OAAOgqB,EAAEwe,GAAG7mC,KAAKmf,EAAE9gB,QAAQ8gB,EAAEA,EAAE9gB,QAAQuI,GAAGuY,EAAE3e,GAAE,EAAG2e,EAAE9gB,QAAQ,OAAOuI,EAAE0jB,EAAEjC,EAAEzhB,EAAEwH,EAAE6G,EAAErO,EAAEkiC,EAAE,SAASzgB,EAAEpT,EAAE4xB,GAAGjgC,EAAEigC,EAAExe,EAAEpT,IAAIrV,OAAOqD,eAAeolB,EAAEpT,EAAE,CAAC7M,YAAW,EAAGlF,IAAI2jC,KAAKjgC,EAAEA,EAAE,SAASyhB,GAAG,oBAAoBtpB,QAAQA,OAAOmpF,aAAatoF,OAAOqD,eAAeolB,EAAEtpB,OAAOmpF,YAAY,CAACtkF,MAAM,WAAWhE,OAAOqD,eAAeolB,EAAE,aAAa,CAACzkB,OAAM,KAAMgD,EAAEyhB,EAAE,SAASA,EAAEpT,GAAG,GAAG,EAAEA,IAAIoT,EAAEzhB,EAAEyhB,IAAI,EAAEpT,EAAE,OAAOoT,EAAE,GAAG,EAAEpT,GAAG,iBAAiBoT,GAAGA,GAAGA,EAAEhb,WAAW,OAAOgb,EAAE,IAAIwe,EAAEjnC,OAAO4N,OAAO,MAAM,GAAG5G,EAAEA,EAAEigC,GAAGjnC,OAAOqD,eAAe4jC,EAAE,UAAU,CAACz+B,YAAW,EAAGxE,MAAMykB,IAAI,EAAEpT,GAAG,iBAAiBoT,EAAE,IAAI,IAAIlJ,KAAKkJ,EAAEzhB,EAAEkiC,EAAEjC,EAAE1nB,EAAE,SAASlK,GAAG,OAAOoT,EAAEpT,IAAIvV,KAAK,KAAKyf,IAAI,OAAO0nB,GAAGjgC,EAAEuY,EAAE,SAASkJ,GAAG,IAAIpT,EAAEoT,GAAGA,EAAEhb,WAAW,WAAW,OAAOgb,EAAElb,SAAS,WAAW,OAAOkb,GAAG,OAAOzhB,EAAEkiC,EAAE7zB,EAAE,IAAIA,GAAGA,GAAGrO,EAAEigC,EAAE,SAASxe,EAAEpT,GAAG,OAAOrV,OAAOC,UAAUc,eAAeX,KAAKqoB,EAAEpT,IAAIrO,EAAEooB,EAAE,SAASpoB,EAAEA,EAAEk2B,EAAE,IAAv5B,CAA45B,CAAC5a,EAAE,SAASmG,EAAEpT,EAAErO,GAAG,aAAayhB,EAAEhqB,QAAQ,SAASgqB,GAAG,IAAIpT,EAAE,GAAG,OAAOA,EAAEtV,SAAS,WAAW,OAAO2I,KAAK6P,KAAI,SAAUlD,GAAG,IAAIrO,EAAE,SAASyhB,EAAEpT,GAAG,IAAyXzS,EAAEhC,EAAEs8B,EAAzXl2B,EAAEyhB,EAAE,IAAI,GAAGwe,EAAExe,EAAE,GAAG,IAAIwe,EAAE,OAAOjgC,EAAE,GAAGqO,GAAG,mBAAmBqD,KAAK,CAAC,IAAI6G,GAAG3c,EAAEqkC,EAAErmC,EAAE8X,KAAKI,SAAS7R,mBAAmB8R,KAAKC,UAAUpW,MAAMs6B,EAAE,+DAA+DxxB,OAAO9K,GAAG,OAAO8K,OAAOwxB,EAAE,QAAQv8B,EAAEsmC,EAAE9tB,QAAQZ,KAAI,SAAUkQ,GAAG,MAAM,iBAAiB/c,OAAOu7B,EAAE7tB,YAAY,IAAI1N,OAAO+c,EAAE,UAAU,MAAM,CAACzhB,GAAG0E,OAAO/K,GAAG+K,OAAO,CAAC6T,IAAI9W,KAAK,MAAgB,MAAM,CAACzB,GAAGyB,KAAK,MAA5Z,CAAma4M,EAAEoT,GAAG,OAAOpT,EAAE,GAAG,UAAU3J,OAAO2J,EAAE,GAAG,MAAM3J,OAAO1E,EAAE,KAAKA,KAAKyB,KAAK,KAAK4M,EAAE1U,EAAE,SAAS8nB,EAAEzhB,EAAEigC,GAAG,iBAAiBxe,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAIlJ,EAAE,GAAG,GAAG0nB,EAAE,IAAI,IAAItmC,EAAE,EAAEA,EAAE+H,KAAK7H,OAAOF,IAAI,CAAC,IAAIiC,EAAE8F,KAAK/H,GAAG,GAAG,MAAMiC,IAAI2c,EAAE3c,IAAG,GAAI,IAAI,IAAIhC,EAAE,EAAEA,EAAE6nB,EAAE5nB,OAAOD,IAAI,CAAC,IAAIs8B,EAAE,GAAGxxB,OAAO+c,EAAE7nB,IAAIqmC,GAAG1nB,EAAE2d,EAAE,MAAMl2B,IAAIk2B,EAAE,GAAGA,EAAE,GAAG,GAAGxxB,OAAO1E,EAAE,SAAS0E,OAAOwxB,EAAE,IAAIA,EAAE,GAAGl2B,GAAGqO,EAAE4B,KAAKimB,MAAM7nB,IAAIkzE,GAAG,SAAS9/D,EAAEpT,EAAErO,GAAG,aAAaA,EAAEA,EAAEqO,GAAG,IAAI4xB,EAAEjgC,EAAE,GAAGA,EAAE;;;;;;;;;;;;;;;;;;;;;;;AAuB9oEigC,EAAEuhD,SAAS9jF,QAAQ+jF,gBAAgB,kDAAkD/8E,OAAO,UAAU,6EAA6Eu7B,EAAEuhD,SAAS9jF,QAAQgkF,aAAY,EAAGrzE,EAAE9H,QAAQ05B,EAAEuhD,UAAUG,EAAE,SAASlgE,EAAEpT,EAAErO,GAAG,aAAa,SAASigC,EAAExe,EAAEpT,GAAG,IAAI,IAAIrO,EAAE,GAAGigC,EAAE,GAAG1nB,EAAE,EAAEA,EAAElK,EAAExU,OAAO0e,IAAI,CAAC,IAAI5e,EAAE0U,EAAEkK,GAAG3c,EAAEjC,EAAE,GAAGC,EAAE,CAACyQ,GAAGoX,EAAE,IAAIlJ,EAAEvF,IAAIrZ,EAAE,GAAGsZ,MAAMtZ,EAAE,GAAGiY,UAAUjY,EAAE,IAAIsmC,EAAErkC,GAAGqkC,EAAErkC,GAAGsX,MAAMjD,KAAKrW,GAAGoG,EAAEiQ,KAAKgwB,EAAErkC,GAAG,CAACyO,GAAGzO,EAAEsX,MAAM,CAACtZ,KAAK,OAAOoG,EAAEA,EAAEA,EAAEqO,GAAGrO,EAAEkiC,EAAE7zB,EAAE,WAAU,WAAY,OAAOzR,KAAK,IAAI2b,EAAE,oBAAoBld,SAAS,GAAG,oBAAoB+X,OAAOA,QAAQmF,EAAE,MAAM,IAAI7J,MAAM,2JAA2J,IAAI/U,EAAE,GAAGiC,EAAE2c,IAAIld,SAASiY,MAAMjY,SAASkY,qBAAqB,QAAQ,IAAI3Z,EAAE,KAAKs8B,EAAE,EAAEgM,GAAE,EAAG16B,EAAE,aAAa4gB,EAAE,KAAKguB,EAAE,oBAAoBj7C,WAAW,eAAe0R,KAAK1R,UAAUyY,UAAUC,eAAe,SAASjX,EAAE6kB,EAAEpT,EAAErO,EAAEuY,GAAG2pB,EAAEliC,EAAEooB,EAAE7P,GAAG,GAAG,IAAI3c,EAAEqkC,EAAExe,EAAEpT,GAAG,OAAOiO,EAAE1gB,GAAG,SAASyS,GAAG,IAAI,IAAIrO,EAAE,GAAGuY,EAAE,EAAEA,EAAE3c,EAAE/B,OAAO0e,IAAI,CAAC,IAAI3e,EAAEgC,EAAE2c,IAAI2d,EAAEv8B,EAAEC,EAAEyQ,KAAKgK,OAAOrU,EAAEiQ,KAAKimB,GAAsB,IAAnB7nB,EAAEiO,EAAE1gB,EAAEqkC,EAAExe,EAAEpT,IAAIzS,EAAE,GAAO2c,EAAE,EAAEA,EAAEvY,EAAEnG,OAAO0e,IAAI,CAAC,IAAI2d,EAAE,GAAG,KAAKA,EAAEl2B,EAAEuY,IAAIlE,KAAK,CAAC,IAAI,IAAI6tB,EAAE,EAAEA,EAAEhM,EAAEhjB,MAAMrZ,OAAOqoC,IAAIhM,EAAEhjB,MAAMgvB,YAAYvoC,EAAEu8B,EAAE7rB,OAAO,SAASiS,EAAEmF,GAAG,IAAI,IAAIpT,EAAE,EAAEA,EAAEoT,EAAE5nB,OAAOwU,IAAI,CAAC,IAAIrO,EAAEyhB,EAAEpT,GAAG4xB,EAAEtmC,EAAEqG,EAAEqK,IAAI,GAAG41B,EAAE,CAACA,EAAE5rB,OAAO,IAAI,IAAIkE,EAAE,EAAEA,EAAE0nB,EAAE/sB,MAAMrZ,OAAO0e,IAAI0nB,EAAE/sB,MAAMqF,GAAGvY,EAAEkT,MAAMqF,IAAI,KAAKA,EAAEvY,EAAEkT,MAAMrZ,OAAO0e,IAAI0nB,EAAE/sB,MAAMjD,KAAKpU,EAAEmE,EAAEkT,MAAMqF,KAAK0nB,EAAE/sB,MAAMrZ,OAAOmG,EAAEkT,MAAMrZ,SAASomC,EAAE/sB,MAAMrZ,OAAOmG,EAAEkT,MAAMrZ,YAAY,CAAC,IAAI+B,EAAE,GAAG,IAAI2c,EAAE,EAAEA,EAAEvY,EAAEkT,MAAMrZ,OAAO0e,IAAI3c,EAAEqU,KAAKpU,EAAEmE,EAAEkT,MAAMqF,KAAK5e,EAAEqG,EAAEqK,IAAI,CAACA,GAAGrK,EAAEqK,GAAGgK,KAAK,EAAEnB,MAAMtX,KAAK,SAAS8nB,IAAI,IAAIjC,EAAEpmB,SAASqZ,cAAc,SAAS,OAAO+M,EAAElhB,KAAK,WAAW3E,EAAE0N,YAAYmY,GAAGA,EAAE,SAAS5lB,EAAE4lB,GAAG,IAAIpT,EAAErO,EAAEigC,EAAE5kC,SAASwZ,cAAc,2BAA2B4M,EAAEpX,GAAG,MAAM,GAAG41B,EAAE,CAAC,GAAGiC,EAAE,OAAO16B,EAAEy4B,EAAEnrB,WAAWC,YAAYkrB,GAAG,GAAGmW,EAAE,CAAC,IAAI79B,EAAE2d,IAAI+J,EAAErmC,IAAIA,EAAE8pB,KAAKrV,EAAE0xB,EAAEjnC,KAAK,KAAKmnC,EAAE1nB,GAAE,GAAIvY,EAAE+/B,EAAEjnC,KAAK,KAAKmnC,EAAE1nB,GAAE,QAAS0nB,EAAEvc,IAAIrV,EAAEgxB,EAAEvmC,KAAK,KAAKmnC,GAAGjgC,EAAE,WAAWigC,EAAEnrB,WAAWC,YAAYkrB,IAAI,OAAO5xB,EAAEoT,GAAG,SAASwe,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAEjtB,MAAMyO,EAAEzO,KAAKitB,EAAEhtB,QAAQwO,EAAExO,OAAOgtB,EAAEruB,YAAY6P,EAAE7P,UAAU,OAAOvD,EAAEoT,EAAEwe,QAAQjgC,KAAK,IAAIuE,EAAE6J,GAAG7J,EAAE,GAAG,SAASkd,EAAEpT,GAAG,OAAO9J,EAAEkd,GAAGpT,EAAE9J,EAAEgR,OAAOC,SAAS/T,KAAK,QAAQ,SAASs+B,EAAEte,EAAEpT,EAAErO,EAAEigC,GAAG,IAAI1nB,EAAEvY,EAAE,GAAGigC,EAAEjtB,IAAI,GAAGyO,EAAEhM,WAAWgM,EAAEhM,WAAWC,QAAQtH,EAAEC,EAAEkK,OAAO,CAAC,IAAI5e,EAAE0B,SAASua,eAAe2C,GAAG3c,EAAE6lB,EAAE5L,WAAWja,EAAEyS,IAAIoT,EAAE1M,YAAYnZ,EAAEyS,IAAIzS,EAAE/B,OAAO4nB,EAAE3L,aAAanc,EAAEiC,EAAEyS,IAAIoT,EAAEnY,YAAY3P,IAAI,SAAS0lC,EAAE5d,EAAEpT,GAAG,IAAIrO,EAAEqO,EAAE2E,IAAIitB,EAAE5xB,EAAE4E,MAAMsF,EAAElK,EAAEuD,UAAU,GAAGquB,GAAGxe,EAAE1L,aAAa,QAAQkqB,GAAG7X,EAAEpS,OAAOyL,EAAE1L,aAAa,kBAAkB1H,EAAEhE,IAAIkO,IAAIvY,GAAG,mBAAmBuY,EAAEpG,QAAQ,GAAG,MAAMnS,GAAG,uDAAuD0R,KAAKI,SAAS7R,mBAAmB8R,KAAKC,UAAUuG,MAAM,OAAOkJ,EAAEhM,WAAWgM,EAAEhM,WAAWC,QAAQ1V,MAAM,CAAC,KAAKyhB,EAAExL,YAAYwL,EAAE1M,YAAY0M,EAAExL,YAAYwL,EAAEnY,YAAYjO,SAASua,eAAe5V,OAAO4hF,EAAE,SAASngE,EAAEpT,GAAGoT,EAAEhqB,QAAQ,EAAQ,MAAcoqF,GAAG,SAASpgE,EAAEpT,EAAErO,GAAG,IAAIigC,EAAEjgC,EAAE,IAAI,iBAAiBigC,IAAIA,EAAE,CAAC,CAACxe,EAAE9nB,EAAEsmC,EAAE,MAAMA,EAAE6hD,SAASrgE,EAAEhqB,QAAQwoC,EAAE6hD,SAAQ,EAAG9hF,EAAE,GAAGuG,SAAS,WAAW05B,GAAE,EAAG,KAAK8hD,GAAG,SAAStgE,EAAEpT,EAAErO,IAAIqO,EAAErO,EAAE,EAAFA,EAAK,IAAKiQ,KAAK,CAACwR,EAAE9nB,EAAE,igEAAigE,KAAK8nB,EAAEhqB,QAAQ4W,M,wvBClBj8J2zE,EAAe,aAEnBA,EAAa/oF,UAAUo1D,OAAS,SAAiBhqC,GAC/C,OAAKA,EAAMxqB,QACXwqB,EAAQ29D,EAAan1D,KAAKxI,IAEjB3iB,KAAK7H,QAAUwqB,GACrBA,EAAMxqB,OAZU,KAYiB6H,KAAKugF,WAAW59D,IACjD3iB,KAAK7H,OAbW,KAagBwqB,EAAM69D,YAAYxgF,OACnDA,KAAKygF,YAAY99D,IANS3iB,MAW9BsgF,EAAa/oF,UAAUm1D,QAAU,SAAkB/pC,GACjD,OAAKA,EAAMxqB,OACJmoF,EAAan1D,KAAKxI,GAAOgqC,OAAO3sD,MADXA,MAI9BsgF,EAAa/oF,UAAUkpF,YAAc,SAAsB99D,GACzD,OAAO,IAAI+9D,EAAO1gF,KAAM2iB,IAK1B29D,EAAa/oF,UAAU0L,MAAQ,SAAgBkoB,EAAM4L,GAInD,YAHgB,IAAT5L,IAAkBA,EAAO,QAClB,IAAP4L,IAAgBA,EAAK/2B,KAAK7H,QAE7BgzB,GAAQ4L,EAAaupD,EAAanrD,MAC/Bn1B,KAAK2gF,WAAW7pF,KAAKkwB,IAAI,EAAGmE,GAAOr0B,KAAKkK,IAAIhB,KAAK7H,OAAQ4+B,KAKlEupD,EAAa/oF,UAAUqD,IAAM,SAAc3C,GACzC,KAAIA,EAAI,GAAKA,GAAK+H,KAAK7H,QACvB,OAAO6H,KAAK4gF,SAAS3oF,IAQvBqoF,EAAa/oF,UAAUO,QAAU,SAAkBoD,EAAGiwB,EAAM4L,QAC1C,IAAT5L,IAAkBA,EAAO,QAClB,IAAP4L,IAAgBA,EAAK/2B,KAAK7H,QAE7BgzB,GAAQ4L,EACR/2B,KAAK6gF,aAAa3lF,EAAGiwB,EAAM4L,EAAI,GAE/B/2B,KAAK8gF,qBAAqB5lF,EAAGiwB,EAAM4L,EAAI,IAM7CupD,EAAa/oF,UAAUsY,IAAM,SAAc3U,EAAGiwB,EAAM4L,QAClC,IAAT5L,IAAkBA,EAAO,QAClB,IAAP4L,IAAgBA,EAAK/2B,KAAK7H,QAEjC,IAAI0B,EAAS,GAEb,OADAmG,KAAKlI,SAAQ,SAAUipF,EAAK9oF,GAAK,OAAO4B,EAAO0U,KAAKrT,EAAE6lF,EAAK9oF,MAAQkzB,EAAM4L,GAClEl9B,GAMTymF,EAAan1D,KAAO,SAAelgB,GACjC,OAAIA,aAAkBq1E,EAAuBr1E,EACtCA,GAAUA,EAAO9S,OAAS,IAAI6oF,EAAK/1E,GAAUq1E,EAAanrD,OAGnE,IAAI6rD,EAAqB,SAAUV,GACjC,SAASU,EAAK/1E,GACZq1E,EAAa5oF,KAAKsI,MAClBA,KAAKiL,OAASA,EAGXq1E,IAAeU,EAAKx9D,UAAY88D,GACrCU,EAAKzpF,UAAYD,OAAO4N,OAAQo7E,GAAgBA,EAAa/oF,WAC7DypF,EAAKzpF,UAAUiB,YAAcwoF,EAE7B,IAAI37B,EAAqB,CAAEltD,OAAQ,CAAEuM,cAAc,GAAOi6C,MAAO,CAAEj6C,cAAc,IAyCjF,OAvCAs8E,EAAKzpF,UAAU0pF,QAAU,WACvB,OAAOjhF,KAAKiL,QAGd+1E,EAAKzpF,UAAUopF,WAAa,SAAqBx1D,EAAM4L,GACrD,OAAY,GAAR5L,GAAa4L,GAAM/2B,KAAK7H,OAAiB6H,KACtC,IAAIghF,EAAKhhF,KAAKiL,OAAOhI,MAAMkoB,EAAM4L,KAG1CiqD,EAAKzpF,UAAUqpF,SAAW,SAAmB3oF,GAC3C,OAAO+H,KAAKiL,OAAOhT,IAGrB+oF,EAAKzpF,UAAUspF,aAAe,SAAuB3lF,EAAGiwB,EAAM4L,EAAI+1B,GAChE,IAAK,IAAI70D,EAAIkzB,EAAMlzB,EAAI8+B,EAAI9+B,IACvB,IAAqC,IAAjCiD,EAAE8E,KAAKiL,OAAOhT,GAAI60D,EAAQ70D,GAAgB,OAAO,GAG3D+oF,EAAKzpF,UAAUupF,qBAAuB,SAA+B5lF,EAAGiwB,EAAM4L,EAAI+1B,GAChF,IAAK,IAAI70D,EAAIkzB,EAAO,EAAGlzB,GAAK8+B,EAAI9+B,IAC5B,IAAqC,IAAjCiD,EAAE8E,KAAKiL,OAAOhT,GAAI60D,EAAQ70D,GAAgB,OAAO,GAG3D+oF,EAAKzpF,UAAUgpF,WAAa,SAAqB59D,GAC/C,GAAI3iB,KAAK7H,OAASwqB,EAAMxqB,QApHP,IAqHb,OAAO,IAAI6oF,EAAKhhF,KAAKiL,OAAOjI,OAAO2f,EAAMs+D,aAG/CD,EAAKzpF,UAAUipF,YAAc,SAAsB79D,GACjD,GAAI3iB,KAAK7H,OAASwqB,EAAMxqB,QAzHP,IA0Hb,OAAO,IAAI6oF,EAAKr+D,EAAMs+D,UAAUj+E,OAAOhD,KAAKiL,UAGlDo6C,EAAmBltD,OAAOyC,IAAM,WAAc,OAAOoF,KAAKiL,OAAO9S,QAEjEktD,EAAmB1G,MAAM/jD,IAAM,WAAc,OAAO,GAEpDtD,OAAOiP,iBAAkBy6E,EAAKzpF,UAAW8tD,GAElC27B,EAnDe,CAoDtBV,GAIFA,EAAanrD,MAAQ,IAAI6rD,EAAK,IAE9B,IAAIN,EAAuB,SAAUJ,GACnC,SAASI,EAAO1c,EAAMC,GACpBqc,EAAa5oF,KAAKsI,MAClBA,KAAKgkE,KAAOA,EACZhkE,KAAKikE,MAAQA,EACbjkE,KAAK7H,OAAS6rE,EAAK7rE,OAAS8rE,EAAM9rE,OAClC6H,KAAK2+C,MAAQ7nD,KAAKkwB,IAAIg9C,EAAKrlB,MAAOslB,EAAMtlB,OAAS,EA2DnD,OAxDK2hC,IAAeI,EAAOl9D,UAAY88D,GACvCI,EAAOnpF,UAAYD,OAAO4N,OAAQo7E,GAAgBA,EAAa/oF,WAC/DmpF,EAAOnpF,UAAUiB,YAAckoF,EAE/BA,EAAOnpF,UAAU0pF,QAAU,WACzB,OAAOjhF,KAAKgkE,KAAKid,UAAUj+E,OAAOhD,KAAKikE,MAAMgd,YAG/CP,EAAOnpF,UAAUqpF,SAAW,SAAmB3oF,GAC7C,OAAOA,EAAI+H,KAAKgkE,KAAK7rE,OAAS6H,KAAKgkE,KAAKppE,IAAI3C,GAAK+H,KAAKikE,MAAMrpE,IAAI3C,EAAI+H,KAAKgkE,KAAK7rE,SAGhFuoF,EAAOnpF,UAAUspF,aAAe,SAAuB3lF,EAAGiwB,EAAM4L,EAAI+1B,GAClE,IAAIo0B,EAAUlhF,KAAKgkE,KAAK7rE,OACxB,QAAIgzB,EAAO+1D,IAC2D,IAAlElhF,KAAKgkE,KAAK6c,aAAa3lF,EAAGiwB,EAAMr0B,KAAKkK,IAAI+1B,EAAImqD,GAAUp0B,QAEvD/1B,EAAKmqD,IAC6G,IAAlHlhF,KAAKikE,MAAM4c,aAAa3lF,EAAGpE,KAAKkwB,IAAImE,EAAO+1D,EAAS,GAAIpqF,KAAKkK,IAAIhB,KAAK7H,OAAQ4+B,GAAMmqD,EAASp0B,EAAQo0B,UADzG,IAKFR,EAAOnpF,UAAUupF,qBAAuB,SAA+B5lF,EAAGiwB,EAAM4L,EAAI+1B,GAClF,IAAIo0B,EAAUlhF,KAAKgkE,KAAK7rE,OACxB,QAAIgzB,EAAO+1D,IACkG,IAAzGlhF,KAAKikE,MAAM6c,qBAAqB5lF,EAAGiwB,EAAO+1D,EAASpqF,KAAKkwB,IAAI+P,EAAImqD,GAAWA,EAASp0B,EAAQo0B,QAE5FnqD,EAAKmqD,IACqE,IAA1ElhF,KAAKgkE,KAAK8c,qBAAqB5lF,EAAGpE,KAAKkK,IAAImqB,EAAM+1D,GAAUnqD,EAAI+1B,UADnE,IAKF4zB,EAAOnpF,UAAUopF,WAAa,SAAqBx1D,EAAM4L,GACvD,GAAY,GAAR5L,GAAa4L,GAAM/2B,KAAK7H,OAAU,OAAO6H,KAC7C,IAAIkhF,EAAUlhF,KAAKgkE,KAAK7rE,OACxB,OAAI4+B,GAAMmqD,EAAkBlhF,KAAKgkE,KAAK/gE,MAAMkoB,EAAM4L,GAC9C5L,GAAQ+1D,EAAkBlhF,KAAKikE,MAAMhhE,MAAMkoB,EAAO+1D,EAASnqD,EAAKmqD,GAC7DlhF,KAAKgkE,KAAK/gE,MAAMkoB,EAAM+1D,GAASv0B,OAAO3sD,KAAKikE,MAAMhhE,MAAM,EAAG8zB,EAAKmqD,KAGxER,EAAOnpF,UAAUgpF,WAAa,SAAqB59D,GACjD,IAAIqjC,EAAQhmD,KAAKikE,MAAMsc,WAAW59D,GAClC,GAAIqjC,EAAS,OAAO,IAAI06B,EAAO1gF,KAAKgkE,KAAMhe,IAG5C06B,EAAOnpF,UAAUipF,YAAc,SAAsB79D,GACnD,IAAIqjC,EAAQhmD,KAAKgkE,KAAKwc,YAAY79D,GAClC,GAAIqjC,EAAS,OAAO,IAAI06B,EAAO16B,EAAOhmD,KAAKikE,QAG7Cyc,EAAOnpF,UAAUkpF,YAAc,SAAsB99D,GACnD,OAAI3iB,KAAKgkE,KAAKrlB,OAAS7nD,KAAKkwB,IAAIhnB,KAAKikE,MAAMtlB,MAAOh8B,EAAMg8B,OAAS,EACtD,IAAI+hC,EAAO1gF,KAAKgkE,KAAM,IAAI0c,EAAO1gF,KAAKikE,MAAOthD,IACjD,IAAI+9D,EAAO1gF,KAAM2iB,IAGnB+9D,EAjEiB,CAkExBJ,GAIa,EAFIA,ECvLfa,EAAS,SAAgBC,EAAOC,GAClCrhF,KAAKohF,MAAQA,EACbphF,KAAKqhF,WAAaA,GAMpBF,EAAO5pF,UAAU+pF,SAAW,SAAmBt9E,EAAOu9E,GAClD,IAAIl3B,EAASrqD,KAEf,GAAuB,GAAnBA,KAAKqhF,WAAmB,OAAO,KAGnC,IADA,IAMIG,EAAO/7B,EANP3D,EAAM9hD,KAAKohF,MAAMjpF,QACb2pD,IAAO,CAEb,GADW9hD,KAAKohF,MAAMxmF,IAAIknD,EAAM,GACvB/D,UAAW,GAAI+D,EAAK,OAI3By/B,IACFC,EAAQxhF,KAAKyhF,UAAU3/B,EAAK9hD,KAAKohF,MAAMjpF,QACvCstD,EAAU+7B,EAAMv5B,KAAK9vD,QAEvB,IACI4lD,EAAW2jC,EADXrC,EAAYr7E,EAAMg6C,GAElB2jC,EAAW,GAAIp1B,EAAY,GAkC/B,OAhCAvsD,KAAKohF,MAAMtpF,SAAQ,SAAUgY,EAAM7X,GACjC,IAAK6X,EAAK4I,KAOR,OANK8oE,IACHA,EAAQn3B,EAAOo3B,UAAU3/B,EAAK7pD,EAAI,GAClCwtD,EAAU+7B,EAAMv5B,KAAK9vD,QAEvBstD,SACA8G,EAAUh+C,KAAKuB,GAIjB,GAAI0xE,EAAO,CACTj1B,EAAUh+C,KAAK,IAAIN,EAAK6B,EAAKD,MAC7B,IAAgDA,EAA5C6I,EAAO5I,EAAK4I,KAAK7I,IAAI2xE,EAAMv+E,MAAMwiD,IAEjC/sC,GAAQ2mE,EAAUzH,UAAUl/D,GAAMkmC,MACpC/uC,EAAMwvE,EAAUv+B,QAAQmH,KAAKo3B,EAAUv+B,QAAQmH,KAAK9vD,OAAS,GAC7DwpF,EAASpzE,KAAK,IAAIN,EAAK4B,EAAK,KAAM,KAAM8xE,EAASxpF,OAASo0D,EAAUp0D,UAEtEstD,IACI51C,GAAO2xE,EAAMzK,UAAUlnE,EAAK41C,QAEhC45B,EAAUzH,UAAU9nE,EAAK4I,MAG3B,OAAI5I,EAAKiuC,WACPA,EAAYyjC,EAAQ1xE,EAAKiuC,UAAUluC,IAAI2xE,EAAMv+E,MAAMwiD,IAAY31C,EAAKiuC,UACpE2jC,EAAY,IAAIP,EAAO92B,EAAO+2B,MAAMn+E,MAAM,EAAG6+C,GAAK6K,OAAOJ,EAAU6J,UAAUpzD,OAAO2+E,IAAYt3B,EAAOg3B,WAAa,IAC7G,QAHT,IAKCrhF,KAAKohF,MAAMjpF,OAAQ,GAEf,CAACupF,UAAWA,EAAWrC,UAAWA,EAAWthC,UAAWA,IAKjEojC,EAAO5pF,UAAUqqF,aAAe,SAAuBvC,EAAWthC,EAAW8jC,EAAaN,GAIxF,IAHA,IAAIO,EAAW,GAAIT,EAAarhF,KAAKqhF,WACjCU,EAAW/hF,KAAKohF,MAAOY,GAAYT,GAAiBQ,EAAS5pF,OAAS4pF,EAASnnF,IAAImnF,EAAS5pF,OAAS,GAAK,KAErGF,EAAI,EAAGA,EAAIonF,EAAU35B,MAAMvtD,OAAQF,IAAK,CAC/C,IACiEgqF,EAD7DvpE,EAAO2mE,EAAU35B,MAAMztD,GAAG0+E,OAAO0I,EAAU3H,KAAKz/E,IAChD6X,EAAO,IAAI7B,EAAKoxE,EAAUv+B,QAAQmH,KAAKhwD,GAAIygB,EAAMqlC,IACjDkkC,EAASD,GAAYA,EAASpoF,MAAMkW,MACtCA,EAAOmyE,EACHhqF,EAAK6pF,EAASvrB,MACXwrB,EAAWA,EAAS9+E,MAAM,EAAG8+E,EAAS5pF,OAAS,IAExD2pF,EAASvzE,KAAKuB,GACViuC,IACFsjC,IACAtjC,EAAY,MAETwjC,IAAiBS,EAAWlyE,GAEnC,IA4GoBsxE,EAAOvqE,EACvBqrE,EA7GA5sD,EAAW+rD,EAAaQ,EAAYljC,MAKxC,OAJIrpB,EAAW6sD,IA2GYtrE,EA1GSye,GA0GhB8rD,EA1GMW,GA4GpBjqF,SAAQ,SAAUgY,EAAM7X,GAC5B,GAAI6X,EAAKiuC,WAAqB,GAAPlnC,IAErB,OADAqrE,EAAWjqF,GACJ,KA/GT8pF,EAkHKX,EAAMn+E,MAAMi/E,GAjHjBb,GAAc/rD,GAET,IAAI6rD,EAAOY,EAASp1B,OAAOm1B,GAAWT,IAG/CF,EAAO5pF,UAAUkqF,UAAY,SAAoBt2D,EAAM4L,GACrD,IAAIkxB,EAAO,IAAI,IAMf,OALAjoD,KAAKohF,MAAMtpF,SAAQ,SAAUgY,EAAM7X,GACjC,IAAImqF,EAAiC,MAArBtyE,EAAKuyE,cAAwBpqF,EAAI6X,EAAKuyE,cAAgBl3D,EAChE88B,EAAKA,KAAK9vD,OAAS2X,EAAKuyE,aAAe,KAC7Cp6B,EAAK8uB,UAAUjnE,EAAKD,IAAKuyE,KACxBj3D,EAAM4L,GACFkxB,GAGTk5B,EAAO5pF,UAAU+qF,QAAU,SAAkBp0E,GAC3C,OAAuB,GAAnBlO,KAAKqhF,WAA0BrhF,KAC5B,IAAImhF,EAAOnhF,KAAKohF,MAAMz0B,OAAOz+C,EAAM2B,KAAI,SAAUA,GAAO,OAAO,IAAI5B,EAAK4B,OAAW7P,KAAKqhF,aAQjGF,EAAO5pF,UAAUgrF,QAAU,SAAkBC,EAAkBC,GAC7D,IAAKziF,KAAKqhF,WAAc,OAAOrhF,KAE/B,IAAI0iF,EAAe,GAAI51B,EAAQh2D,KAAKkwB,IAAI,EAAGhnB,KAAKohF,MAAMjpF,OAASsqF,GAE3D3hC,EAAU0hC,EAAiB1hC,QAC3B6hC,EAAWH,EAAiB98B,MAAMvtD,OAClCkpF,EAAarhF,KAAKqhF,WACtBrhF,KAAKohF,MAAMtpF,SAAQ,SAAUgY,GAAYA,EAAKiuC,WAAasjC,MAAmBv0B,GAE9E,IAAI81B,EAAWH,EACfziF,KAAKohF,MAAMtpF,SAAQ,SAAUgY,GAC3B,IAAIoJ,EAAM4nC,EAAQu2B,YAAYuL,GAC9B,GAAW,MAAP1pE,EAAJ,CACAypE,EAAW7rF,KAAKkK,IAAI2hF,EAAUzpE,GAC9B,IAAIrJ,EAAMixC,EAAQmH,KAAK/uC,GACvB,GAAIpJ,EAAK4I,KAAM,CACb,IAAIA,EAAO8pE,EAAiB98B,MAAMxsC,GAAKy9D,OAAO6L,EAAiB9K,KAAKx+D,IAChE6kC,EAAYjuC,EAAKiuC,WAAajuC,EAAKiuC,UAAUluC,IAAIixC,EAAQ79C,MAAM2/E,EAAW,EAAG1pE,IAC7E6kC,GAAasjC,IACjBqB,EAAan0E,KAAK,IAAIN,EAAK4B,EAAK6I,EAAMqlC,SAEtC2kC,EAAan0E,KAAK,IAAIN,EAAK4B,OAE5Bi9C,GAGH,IADA,IAAI+1B,EAAU,GACL5qF,EAAIwqF,EAAcxqF,EAAI0qF,EAAU1qF,IACrC4qF,EAAQt0E,KAAK,IAAIN,EAAK6yC,EAAQmH,KAAKhwD,KACvC,IAAImpF,EAAQphF,KAAKohF,MAAMn+E,MAAM,EAAG6pD,GAAOH,OAAOk2B,GAASl2B,OAAO+1B,GAC1DI,EAAS,IAAI3B,EAAOC,EAAOC,GAI/B,OAFIyB,EAAOC,iBAlJS,MAmJhBD,EAASA,EAAOE,SAAShjF,KAAKohF,MAAMjpF,OAASuqF,EAAavqF,SACvD2qF,GAGT3B,EAAO5pF,UAAUwrF,eAAiB,WAChC,IAAI1G,EAAQ,EAEZ,OADAr8E,KAAKohF,MAAMtpF,SAAQ,SAAUgY,GAAaA,EAAK4I,MAAQ2jE,OAChDA,GAST8E,EAAO5pF,UAAUyrF,SAAW,SAAmB7hB,QAC7B,IAATA,IAAkBA,EAAOnhE,KAAKohF,MAAMjpF,QAE3C,IAAIqpF,EAAQxhF,KAAKyhF,UAAU,EAAGtgB,GAAO1b,EAAU+7B,EAAMv5B,KAAK9vD,OACtDipF,EAAQ,GAAIrR,EAAS,EAsBzB,OArBA/vE,KAAKohF,MAAMtpF,SAAQ,SAAUgY,EAAM7X,GACjC,GAAIA,GAAKkpE,EACPigB,EAAM7yE,KAAKuB,GACPA,EAAKiuC,WAAagyB,SACjB,GAAIjgE,EAAK4I,KAAM,CACpB,IAAIA,EAAO5I,EAAK4I,KAAK7I,IAAI2xE,EAAMv+E,MAAMwiD,IAAW51C,EAAM6I,GAAQA,EAAKo/D,SAGnE,GAFAryB,IACI51C,GAAO2xE,EAAMzK,UAAUlnE,EAAK41C,GAC5B/sC,EAAM,CACR,IAAIqlC,EAAYjuC,EAAKiuC,WAAajuC,EAAKiuC,UAAUluC,IAAI2xE,EAAMv+E,MAAMwiD,IAC7D1H,GAAagyB,IACjB,IAAuDkS,EAAnDgB,EAAU,IAAIh1E,EAAK4B,EAAI8mE,SAAUj+D,EAAMqlC,GAAoBrqB,EAAO0tD,EAAMjpF,OAAS,GACjF8pF,EAASb,EAAMjpF,QAAUipF,EAAM1tD,GAAM95B,MAAMqpF,IAC3C7B,EAAM1tD,GAAQuuD,EAEdb,EAAM7yE,KAAK00E,SAERnzE,EAAKD,KACd41C,MAEDzlD,KAAKohF,MAAMjpF,OAAQ,GACf,IAAIgpF,EAAO,EAAah2D,KAAKi2D,EAAMhrB,WAAY2Z,IAGxDoR,EAAOhsD,MAAQ,IAAIgsD,EAAO,EAAahsD,MAAO,GAa9C,IAAIlnB,EAAO,SAAc4B,EAAK6I,EAAMqlC,EAAWskC,GAE7CriF,KAAK6P,IAAMA,EAEX7P,KAAK0Y,KAAOA,EAIZ1Y,KAAK+9C,UAAYA,EAGjB/9C,KAAKqiF,aAAeA,GAGtBp0E,EAAK1W,UAAUqC,MAAQ,SAAgB+oB,GACrC,GAAI3iB,KAAK0Y,MAAQiK,EAAMjK,OAASiK,EAAMo7B,UAAW,CAC/C,IAAIrlC,EAAOiK,EAAMjK,KAAK9e,MAAMoG,KAAK0Y,MACjC,GAAIA,EAAQ,OAAO,IAAIzK,EAAKyK,EAAKo/D,SAASnB,SAAUj+D,EAAM1Y,KAAK+9C,aAOnE,IAAImlC,EAAe,SAAsBnuE,EAAMouE,EAAQC,EAAYC,GACjErjF,KAAK+U,KAAOA,EACZ/U,KAAKmjF,OAASA,EACdnjF,KAAKojF,WAAaA,EAClBpjF,KAAKqjF,SAAWA,GAGdlB,EAAiB,GAsDrB,SAASmB,EAAUzzE,GACjB,IAAIhW,EAAS,GAEb,OADAgW,EAAI/X,SAAQ,SAAUowD,EAAOC,EAAKh9B,EAAM4L,GAAM,OAAOl9B,EAAO0U,KAAK4c,EAAM4L,MAChEl9B,EAGT,SAAS0pF,EAAUxgC,EAAQjC,GACzB,IAAKiC,EAAU,OAAO,KAEtB,IADA,IAAIlpD,EAAS,GACJ5B,EAAI,EAAGA,EAAI8qD,EAAO5qD,OAAQF,GAAK,EAAG,CACzC,IAAIkzB,EAAO21B,EAAQjxC,IAAIkzC,EAAO9qD,GAAI,GAAI8+B,EAAK+pB,EAAQjxC,IAAIkzC,EAAO9qD,EAAI,IAAK,GACnEkzB,GAAQ4L,GAAMl9B,EAAO0U,KAAK4c,EAAM4L,GAEtC,OAAOl9B,EAMT,SAAS2pF,EAAgBC,EAASz/E,EAAO85C,EAAU4lC,GACjD,IAAInC,EAAgBoC,EAAkB3/E,GAAQ69E,EAAc+B,EAAWhpF,IAAIoJ,GAAOy6C,KAAK//C,OACnF63D,GAAOmtB,EAAOD,EAAQN,OAASM,EAAQ1uE,MAAMusE,SAASt9E,EAAOu9E,GACjE,GAAKhrB,EAAL,CAEA,IAAIxY,EAAYwY,EAAIxY,UAAU1hC,QAAQk6C,EAAI8oB,UAAUzgC,KAChD08B,GAASoI,EAAOD,EAAQ1uE,KAAO0uE,EAAQN,QAAQvB,aAAarrB,EAAI8oB,UAAWr7E,EAAM+5C,UAAU8I,cAC/Bg7B,EAAaN,GAEzEsC,EAAU,IAAIX,EAAaQ,EAAOpI,EAAQ/kB,EAAImrB,UAAWgC,EAAOntB,EAAImrB,UAAYpG,EAAO,KAAM,GACjGx9B,EAASyY,EAAI8oB,UAAUh/B,aAAatC,GAAW2L,QAAQk6B,EAAY,CAACF,KAAMA,EAAMI,aAAcD,IAAU5lC,mBAG1G,IAAI8lC,GAAsB,EAAOC,EAA6B,KAK9D,SAASL,EAAkB3/E,GACzB,IAAIomD,EAAUpmD,EAAMomD,QACpB,GAAI45B,GAA8B55B,EAAS,CACzC25B,GAAsB,EACtBC,EAA6B55B,EAC7B,IAAK,IAAInyD,EAAI,EAAGA,EAAImyD,EAAQjyD,OAAQF,IAAO,GAAImyD,EAAQnyD,GAAGwmD,KAAKwlC,qBAAsB,CACnFF,GAAsB,EACtB,OAGJ,OAAOA,EAWT,IAAIH,EAAa,IAAI,YAAU,WAC3BM,EAAkB,IAAI,YAAU,gBAsBpC,SAAS,EAAQxlF,GAGf,OAFAA,EAAS,CAACigD,MAAOjgD,GAAUA,EAAOigD,OAAS,IACjCwlC,cAAezlF,GAAUA,EAAOylF,eAAiB,KACpD,IAAI,SAAO,CAChB/rF,IAAKwrF,EAEL5/E,MAAO,CACLiuB,KAAM,WACJ,OAAO,IAAIixD,EAAa/B,EAAOhsD,MAAOgsD,EAAOhsD,MAAO,KAAM,IAE5DpvB,MAAO,SAAei4C,EAAIomC,EAAMpgF,GAC9B,OA9IR,SAA0By/E,EAASz/E,EAAOg6C,EAAIhiD,GAC5C,IAAwCumF,EAApC8B,EAAYrmC,EAAG2L,QAAQi6B,GAC3B,GAAIS,EAAa,OAAOA,EAAUP,aAE9B9lC,EAAG2L,QAAQu6B,KAAoBT,EAAU,IAAIP,EAAaO,EAAQ1uE,KAAM0uE,EAAQN,OAAQ,KAAM,IAElG,IAAImB,EAAWtmC,EAAG2L,QAAQ,uBAE1B,GAAuB,GAAnB3L,EAAG0H,MAAMvtD,OACX,OAAOsrF,EACF,GAAIa,GAAYA,EAAS36B,QAAQi6B,GACtC,OAAIU,EAAS36B,QAAQi6B,GAAYF,KACtB,IAAIR,EAAaO,EAAQ1uE,KAAK6sE,aAAa5jC,EAAI,KAAMhiD,EAAS2nF,EAAkB3/E,IACjEy/E,EAAQN,OAAQG,EAAUtlC,EAAG8C,QAAQmH,KAAKjK,EAAG0H,MAAMvtD,OAAS,IAAKsrF,EAAQJ,UAExF,IAAIH,EAAaO,EAAQ1uE,KAAM0uE,EAAQN,OAAOvB,aAAa5jC,EAAI,KAAMhiD,EAAS2nF,EAAkB3/E,IACjF,KAAMy/E,EAAQJ,UACnC,IAAmC,IAA/BrlC,EAAG2L,QAAQ,iBAA+B26B,IAAiD,IAArCA,EAAS36B,QAAQ,gBAQ3E,OAAI44B,EAAUvkC,EAAG2L,QAAQ,YAGvB,IAAIu5B,EAAaO,EAAQ1uE,KAAKwtE,QAAQvkC,EAAIukC,GACzBkB,EAAQN,OAAOZ,QAAQvkC,EAAIukC,GAC3BgB,EAAUE,EAAQL,WAAYplC,EAAG8C,SAAU2iC,EAAQJ,UAEpE,IAAIH,EAAaO,EAAQ1uE,KAAKutE,QAAQtkC,EAAG8C,QAAQmH,MAChCw7B,EAAQN,OAAOb,QAAQtkC,EAAG8C,QAAQmH,MAClCs7B,EAAUE,EAAQL,WAAYplC,EAAG8C,SAAU2iC,EAAQJ,UAf3E,IAAIkB,EAA+B,GAApBd,EAAQJ,WAAkBiB,IAAab,EAAQJ,UAAYrlC,EAAGnL,MAAQ,GAAK72C,EAAQmoF,gBAmBtG,SAAsB9E,EAAW+D,GAC/B,IAAKA,EAAc,OAAO,EAC1B,IAAK/D,EAAUhN,WAAc,OAAO,EACpC,IAAImS,GAAW,EAMf,OALAnF,EAAUv+B,QAAQmH,KAAK,GAAGnwD,SAAQ,SAAUg1D,EAAOhL,GACjD,IAAK,IAAI7pD,EAAI,EAAGA,EAAImrF,EAAWjrF,OAAQF,GAAK,EACpC60D,GAASs2B,EAAWnrF,EAAI,IAAM6pD,GAAOshC,EAAWnrF,KAClDusF,GAAW,MAEZA,EA3BkDC,CAAazmC,EAAIylC,EAAQL,aAC5EA,EAAakB,EAAWf,EAAUE,EAAQL,WAAYplC,EAAG8C,SAAWwiC,EAAUtlC,EAAG8C,QAAQmH,KAAKjK,EAAG0H,MAAMvtD,OAAS,IACpH,OAAO,IAAI+qF,EAAaO,EAAQ1uE,KAAK6sE,aAAa5jC,EAAIumC,EAAWvgF,EAAM+5C,UAAU8I,cAAgB,KAC/C7qD,EAAS2nF,EAAkB3/E,IACrDm9E,EAAOhsD,MAAOiuD,EAAYplC,EAAGnL,MAsH1C6X,CAAiB05B,EAAMpgF,EAAOg6C,EAAIt/C,KAI7CA,OAAQA,IAMZ,SAASgmF,EAAK1gF,EAAO85C,GACnB,IAAIsmC,EAAOR,EAAW33B,SAASjoD,GAC/B,SAAKogF,GAAgC,GAAxBA,EAAKrvE,KAAKssE,cACnBvjC,GAAY0lC,EAAgBY,EAAMpgF,EAAO85C,GAAU,IAChD,GAKT,SAAS4lC,EAAK1/E,EAAO85C,GACnB,IAAIsmC,EAAOR,EAAW33B,SAASjoD,GAC/B,SAAKogF,GAAkC,GAA1BA,EAAKjB,OAAO9B,cACrBvjC,GAAY0lC,EAAgBY,EAAMpgF,EAAO85C,GAAU,IAChD,GAKT,SAAS6mC,EAAU3gF,GACjB,IAAIogF,EAAOR,EAAW33B,SAASjoD,GAC/B,OAAOogF,EAAOA,EAAKrvE,KAAKssE,WAAa,EAKvC,SAASuD,EAAU5gF,GACjB,IAAIogF,EAAOR,EAAW33B,SAASjoD,GAC/B,OAAOogF,EAAOA,EAAKjB,OAAO9B,WAAa;;;;;MCrazC,SAASjwD,EAAgBxE,EAAUhX,GACjC,KAAMgX,aAAoBhX,GACxB,MAAM,IAAIpb,UAAU,qCAIxB,SAASy2B,EAAkB/0B,EAAQg1B,GACjC,IAAK,IAAIj5B,EAAI,EAAGA,EAAIi5B,EAAM/4B,OAAQF,IAAK,CACrC,IAAIoE,EAAa60B,EAAMj5B,GACvBoE,EAAWyD,WAAazD,EAAWyD,aAAc,EACjDzD,EAAWqI,cAAe,EACtB,UAAWrI,IAAYA,EAAWsI,UAAW,GACjDrN,OAAOqD,eAAeuB,EAAQG,EAAWjE,IAAKiE,IAIlD,SAASsqE,EAAa/wD,EAAa0b,EAAYC,GAG7C,OAFID,GAAYL,EAAkBrb,EAAYre,UAAW+5B,GACrDC,GAAaN,EAAkBrb,EAAa2b,GACzC3b,EAGT,SAASgxD,EAAgB7uE,EAAKK,EAAKkD,GAYjC,OAXIlD,KAAOL,EACTT,OAAOqD,eAAe5C,EAAKK,EAAK,CAC9BkD,MAAOA,EACPwE,YAAY,EACZ4E,cAAc,EACdC,UAAU,IAGZ5M,EAAIK,GAAOkD,EAGNvD,EAGT,SAASs0B,EAAQ5wB,EAAQorE,GACvB,IAAIn+D,EAAOpR,OAAOoR,KAAKjN,GAEvB,GAAInE,OAAOgjB,sBAAuB,CAChC,IAAIqO,EAAUrxB,OAAOgjB,sBAAsB7e,GACvCorE,IAAgBl+C,EAAUA,EAAQ9U,QAAO,SAAUizD,GACrD,OAAOxvE,OAAOoE,yBAAyBD,EAAQqrE,GAAKhnE,eAEtD4I,EAAK6F,KAAKxI,MAAM2C,EAAMigB,GAGxB,OAAOjgB,EAGT,SAASq+D,EAAe7qE,GACtB,IAAK,IAAIjE,EAAI,EAAGA,EAAI8B,UAAU5B,OAAQF,IAAK,CACzC,IAAIgE,EAAyB,MAAhBlC,UAAU9B,GAAa8B,UAAU9B,GAAK,GAE/CA,EAAI,EACNo0B,EAAQ/0B,OAAO2E,IAAS,GAAMnE,SAAQ,SAAUM,GAC9CwuE,EAAgB1qE,EAAQ9D,EAAK6D,EAAO7D,OAE7Bd,OAAO0vE,0BAChB1vE,OAAOiP,iBAAiBrK,EAAQ5E,OAAO0vE,0BAA0B/qE,IAEjEowB,EAAQ/0B,OAAO2E,IAASnE,SAAQ,SAAUM,GACxCd,OAAOqD,eAAeuB,EAAQ9D,EAAKd,OAAOoE,yBAAyBO,EAAQ7D,OAKjF,OAAO8D,EAGT,SAAS+qE,EAAUC,EAAUC,GAC3B,GAA0B,mBAAfA,GAA4C,OAAfA,EACtC,MAAM,IAAI3sE,UAAU,sDAGtB0sE,EAAS3vE,UAAYD,OAAO4N,OAAOiiE,GAAcA,EAAW5vE,UAAW,CACrEiB,YAAa,CACX8C,MAAO4rE,EACPviE,UAAU,EACVD,cAAc,KAGdyiE,GAAYC,EAAgBF,EAAUC,GAG5C,SAASE,EAAgB9oC,GAIvB,OAHA8oC,EAAkB/vE,OAAOgS,eAAiBhS,OAAO+R,eAAiB,SAAyBk1B,GACzF,OAAOA,EAAE/a,WAAalsB,OAAO+R,eAAek1B,KAEvBA,GAGzB,SAAS6oC,EAAgB7oC,EAAG7X,GAM1B,OALA0gD,EAAkB9vE,OAAOgS,gBAAkB,SAAyBi1B,EAAG7X,GAErE,OADA6X,EAAE/a,UAAYkD,EACP6X,IAGcA,EAAG7X,GAG5B,SAAS4gD,IACP,GAAuB,oBAAZC,UAA4BA,QAAQC,UAAW,OAAO,EACjE,GAAID,QAAQC,UAAU3qE,KAAM,OAAO,EACnC,GAAqB,mBAAV4qE,MAAsB,OAAO,EAExC,IAEE,OADAv3C,KAAK34B,UAAUF,SAASK,KAAK6vE,QAAQC,UAAUt3C,KAAM,IAAI,iBAClD,EACP,MAAOvjB,GACP,OAAO,GAYX,SAAS+6D,EAA2BxwE,EAAMQ,GACxC,OAAIA,GAAyB,iBAATA,GAAqC,mBAATA,EATlD,SAAgCR,GAC9B,QAAa,IAATA,EACF,MAAM,IAAIywE,eAAe,6DAG3B,OAAOzwE,EAQA0wE,CAAuB1wE,GAHrBQ,EAMX,SAASmwE,EAAaC,GACpB,OAAO,WACL,IACIjuE,EADAkuE,EAAQV,EAAgBS,GAG5B,GAAIR,IAA6B,CAC/B,IAAIp9C,EAAYm9C,EAAgBrnE,MAAMxH,YAEtCqB,EAAS0tE,QAAQC,UAAUO,EAAOhuE,UAAWmwB,QAE7CrwB,EAASkuE,EAAMhiE,MAAM/F,KAAMjG,WAG7B,OAAO2tE,EAA2B1nE,KAAMnG,IAI5C,SAASmuE,EAAev3C,EAAKx4B,GAC3B,OAWF,SAAyBw4B,GACvB,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOA,EAZxBw3C,CAAgBx3C,IAmBzB,SAA+BA,EAAKx4B,GAClC,GAAsB,oBAAXxB,UAA4BA,OAAO+hB,YAAYlhB,OAAOm5B,IAAO,OACxE,IAAIy3C,EAAO,GACPC,GAAK,EACL9xC,GAAK,EACL+xC,OAAKxrE,EAET,IACE,IAAK,IAAiCyrE,EAA7Br3D,EAAKyf,EAAIh6B,OAAO+hB,cAAmB2vD,GAAME,EAAKr3D,EAAG9G,QAAQ6K,QAChEmzD,EAAK35D,KAAK85D,EAAG/sE,QAETrD,GAAKiwE,EAAK/vE,SAAWF,GAH8CkwE,GAAK,IAK9E,MAAOjZ,GACP74B,GAAK,EACL+xC,EAAKlZ,EACL,QACA,IACOiZ,GAAsB,MAAhBn3D,EAAW,QAAWA,EAAW,SAC5C,QACA,GAAIqlB,EAAI,MAAM+xC,GAIlB,OAAOF,EA3CwBI,CAAsB73C,EAAKx4B,IAAMswE,EAA4B93C,EAAKx4B,IAmEnG,WACE,MAAM,IAAIuC,UAAU,6IApEmFguE,GAGzG,SAASC,EAAmBh4C,GAC1B,OAGF,SAA4BA,GAC1B,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOi4C,EAAkBj4C,GAJ1Ck4C,CAAmBl4C,IAW5B,SAA0Bm4C,GACxB,GAAsB,oBAAXnyE,QAA0BA,OAAO+hB,YAAYlhB,OAAOsxE,GAAO,OAAOt6D,MAAM6c,KAAKy9C,GAZtDC,CAAiBp4C,IAAQ83C,EAA4B93C,IA2DzF,WACE,MAAM,IAAIj2B,UAAU,wIA5D2EsuE,GA0CjG,SAASP,EAA4BhqC,EAAGwqC,GACtC,GAAKxqC,EAAL,CACA,GAAiB,iBAANA,EAAgB,OAAOmqC,EAAkBnqC,EAAGwqC,GACvD,IAAIlyD,EAAIvf,OAAOC,UAAUF,SAASK,KAAK6mC,GAAGt7B,MAAM,GAAI,GAEpD,MADU,WAAN4T,GAAkB0nB,EAAE/lC,cAAaqe,EAAI0nB,EAAE/lC,YAAY5B,MAC7C,QAANigB,GAAqB,QAANA,EAAoBvI,MAAM6c,KAAKtU,GACxC,cAANA,GAAqB,2CAA2C1L,KAAK0L,GAAW6xD,EAAkBnqC,EAAGwqC,QAAzG,GAGF,SAASL,EAAkBj4C,EAAK5iB,IACnB,MAAPA,GAAeA,EAAM4iB,EAAIt4B,UAAQ0V,EAAM4iB,EAAIt4B,QAE/C,IAAK,IAAIF,EAAI,EAAG+wE,EAAO,IAAI16D,MAAMT,GAAM5V,EAAI4V,EAAK5V,IAAK+wE,EAAK/wE,GAAKw4B,EAAIx4B,GAEnE,OAAO+wE,EAWT,IAAI,EAA0B,SAAU2F,GACtC1H,EAAU4d,EAAYlW,GAEtB,IAAIH,EAAS3G,EAAagd,GAE1B,SAASA,IAGP,OAFAzzD,EAAgBpxB,KAAM6kF,GAEfrW,EAAOzoE,MAAM/F,KAAMjG,WAiD5B,OA9CA4sE,EAAake,EAAY,CAAC,CACxBzsF,IAAK,WACLkD,MAAO,SAAkB+tE,GACvB,IAAIxqE,EAAOwqE,EAAKxqE,KACZ4qD,EAAS4f,EAAK5f,OAClB,OAAO,WACL,OAAO,YAAW5qD,EAAM4qD,EAAOoF,MAAMi2B,cAGxC,CACD1sF,IAAK,OACLkD,MAAO,SAAcuvE,GACnB,IAAIhsE,EAAOgsE,EAAMhsE,KACjB,MAAO,CACL,SAAU,YAAWA,MAGxB,CACDzG,IAAK,aACLkD,MAAO,SAAoBwvE,GACzB,IAAIjsE,EAAOisE,EAAMjsE,KACjB,MAAO,CAAC,YAAkB,WAAYA,MAEvC,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,eAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,SACT+wD,MAAO,QACPumB,UAAU,EACVhT,WAAW,EACXhR,SAAU,CAAC,CACTthD,IAAK,eAEP0oD,MAAO,WACL,MAAO,CAAC,aAAc,SAMvBqjB,EAzDqB,CA0D5B,KAEE,EAA0B,SAAUlW,GACtC1H,EAAU8d,EAAYpW,GAEtB,IAAIH,EAAS3G,EAAakd,GAE1B,SAASA,IAGP,OAFA3zD,EAAgBpxB,KAAM+kF,GAEfvW,EAAOzoE,MAAM/F,KAAMjG,WAgD5B,OA7CA4sE,EAAaoe,EAAY,CAAC,CACxB3sF,IAAK,WACLkD,MAAO,SAAkB+tE,GACvB,IAAIxqE,EAAOwqE,EAAKxqE,KACZ4qD,EAAS4f,EAAK5f,OAClB,OAAO,WACL,OAAO,YAAW5qD,EAAM4qD,EAAOoF,MAAMm2B,cAGxC,CACD5sF,IAAK,OACLkD,MAAO,SAAcuvE,GACnB,IAAIhsE,EAAOgsE,EAAMhsE,KACb4qD,EAASohB,EAAMphB,OACnB,MAAO,CACL,eAAgB,YAAW5qD,EAAM4qD,EAAOoF,MAAMm2B,cAGjD,CACD5sF,IAAK,aACLkD,MAAO,SAAoBwvE,GACzB,IAAIjsE,EAAOisE,EAAMjsE,KACjB,MAAO,CAAC,YAAkB,iBAAkBA,MAE7C,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,gBAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,aACT+wD,MAAO,QACPuC,SAAU,CAAC,CACTthD,IAAK,OAEP0oD,MAAO,WACL,MAAO,CAAC,KAAM,SAMfujB,EAxDqB,CAyD5B,KAEE,EAAyB,SAAUpW,GACrC1H,EAAUge,EAAWtW,GAErB,IAAIH,EAAS3G,EAAaod,GAE1B,SAASA,IAGP,OAFA7zD,EAAgBpxB,KAAMilF,GAEfzW,EAAOzoE,MAAM/F,KAAMjG,WAoD5B,OAjDA4sE,EAAase,EAAW,CAAC,CACvB7sF,IAAK,WACLkD,MAAO,SAAkB+tE,GACvB,IAAIxqE,EAAOwqE,EAAKxqE,KACZ4qD,EAAS4f,EAAK5f,OAClB,OAAO,WACL,OAAO,YAAgB5qD,EAAM4qD,EAAOoF,MAAMi2B,cAG7C,CACD1sF,IAAK,OACLkD,MAAO,SAAcuvE,GACnB,IAAIhsE,EAAOgsE,EAAMhsE,KACjB,MAAO,CACL,gBAAiB,YAAaA,MAGjC,CACDzG,IAAK,aACLkD,MAAO,SAAoBwvE,GACzB,IAAIjsE,EAAOisE,EAAMjsE,KACjB,MAAO,CAAC,YAAuB,QAASA,MAEzC,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,eAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,QACTy8C,MAAO,GACPsU,MAAO,QACP94C,MAAM,EACNq/D,UAAU,EACVhT,WAAW,EACXhR,SAAU,CAAC,CACTthD,IAAK,MACL6jD,mBAAoB,SAEtB6E,MAAO,WACL,MAAO,CAAC,MAAO,CAAC,OAAQ,UAMzByjB,EA5DoB,CA6D3B,KAEF,SAASC,GAAe7b,GACtB,IAAIzqB,EAAMyqB,EAAKzqB,IACXhoD,EAAOyyE,EAAKzyE,KACZ4yE,EAAc,GACd2b,EAAS,yBAAevmC,GAAK/qC,QAAO,SAAU/D,GAChD,OAAOA,EAAKquC,KAAKt/C,KAAKjI,OAASA,KA2CjC,OAlBAuuF,EAAOrtF,SAAQ,SAAUyoE,GACvB,IAAI5N,EAAW4N,EAAMrnD,IAAM,GAvBf,SAAS+nE,EAAQrxE,GAC7B,OAAOA,EAAKolD,QAAO,SAAU96D,EAAGC,GAC9B,OAAOD,EAAE8I,OAAOsL,MAAM9W,QAAQ2C,GAAK8mF,EAAQ9mF,GAAKA,KAC/C,KAsBH8mF,CAnBF,SAASmE,EAAWv2B,GAClB,IAAIwV,EAAYtqE,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GACpF,OAAO80D,EAAMh/C,KAAI,SAAUsuC,GACzB,IAAIknC,EAAU,GAAGriF,OAAOylE,EAAmBpE,GAAYoE,EAAmBtqB,EAAKmnC,WAAannC,EAAKmnC,WAAWjhB,UAAY,KAExH,OAAIlmB,EAAKonC,SACAH,EAAWjnC,EAAKonC,SAAUF,GAG5B,CACLjnF,KAAM+/C,EAAK7iD,MACX+pF,QAASA,MAQLD,CADI,IAAII,cAAcjlB,EAAMpiB,KAAKuU,aAAap3D,QAC3BuU,KAAI,SAAUsuC,GACvC,IAAIhzB,EAAOwnC,EACP57B,EAAK5L,EAAOgzB,EAAK//C,KAAKjG,OAE1B,OADAw6D,EAAW57B,EACJgwC,EAAe,GAAI5oB,EAAM,CAC9BhzB,KAAMA,EACN4L,GAAIA,OAELj/B,SAAQ,SAAUqmD,GACnB,IAAIsnC,EAAa,IAAWnvB,OAAOnY,EAAKhzB,KAAMgzB,EAAKpnB,GAAI,CACrDosC,MAAOhlB,EAAKknC,QAAQtlF,KAAK,OAE3BypE,EAAYj7D,KAAKk3E,SAGd,IAAcvgF,OAAO05C,EAAK4qB,GA6CnC,IAAI,GAAkC,SAAUmF,GAC9C1H,EAAUye,EAAoB/W,GAE9B,IAAIH,EAAS3G,EAAa6d,GAE1B,SAASA,IACP,IAAIzb,EAEAjuE,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAElFq3B,EAAgBpxB,KAAM0lF,GAEtBzb,EAAQuE,EAAO92E,KAAKsI,KAAMhE,GAE1B,IACE1E,OAAO0T,QAAQi/D,EAAMjuE,QAAQ2pF,WAAW7tF,SAAQ,SAAUuxE,GACxD,IAAIwB,EAAQ7C,EAAeqB,EAAM,GAC7BzyE,EAAOi0E,EAAM,GACb/pB,EAAU+pB,EAAM,GAEpB,IAAI+a,iBAAiBhvF,EAAMkqD,MAE7B,MAAOoO,GACP,MAAM,IAAIliD,MAAM,2FAGlB,OAAOi9D,EAkET,OA/DAtD,EAAa+e,EAAoB,CAAC,CAChCttF,IAAK,WACLkD,MAAO,SAAkBwvE,GACvB,IAAIjsE,EAAOisE,EAAMjsE,KACb4qD,EAASqhB,EAAMrhB,OACnB,OAAO,WACL,OAAO,YAAgB5qD,EAAM4qD,EAAOoF,MAAMi2B,cAG7C,CACD1sF,IAAK,OACLkD,MAAO,SAAcgyE,GACnB,IAAIzuE,EAAOyuE,EAAMzuE,KACjB,MAAO,CACL,gBAAiB,YAAaA,MAGjC,CACDzG,IAAK,aACLkD,MAAO,SAAoBsyE,GACzB,IAAI/uE,EAAO+uE,EAAM/uE,KACjB,MAAO,CAAC,YAAuB,QAASA,MAEzC,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,eAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,CACL+qF,UAAW,MAGd,CACDvtF,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,QACTy8C,MAAO,GACPsU,MAAO,QACP94C,MAAM,EACNq/D,UAAU,EACVhT,WAAW,EACXhR,SAAU,CAAC,CACTthD,IAAK,MACL6jD,mBAAoB,SAEtB6E,MAAO,WACL,MAAO,CAAC,MAAO,CAAC,OAAQ,QAI7B,CACDppE,IAAK,UACLwC,IAAK,WACH,MAAO,EAhIYiwE,EAgIK,CACtBj0E,KAAMoJ,KAAKpJ,MAhIbA,EAAOi0E,EAAMj0E,KACV,IAAI,IAAO,CAChBA,KAAM,IAAI,IAAU,aACpBoN,MAAO,CACLiuB,KAAM,SAAc23B,EAAGkhB,GAErB,OAAOoa,GAAe,CACpBtmC,IAFQksB,EAAMlsB,IAGdhoD,KAAMA,KAGVmP,MAAO,SAAeilE,EAAa6a,EAAex6B,EAAUL,GAG1D,IAAI86B,EAAcz6B,EAAStN,UAAU6B,MAAMz9C,OAAOtD,KAAKjI,KACnDmvF,EAAc/6B,EAASjN,UAAU6B,MAAMz9C,OAAOtD,KAAKjI,KACnDovF,EAAW,yBAAe36B,EAASzM,KAAK/qC,QAAO,SAAU/D,GAC3D,OAAOA,EAAKquC,KAAKt/C,KAAKjI,OAASA,KAE7BqvF,EAAW,yBAAej7B,EAASpM,KAAK/qC,QAAO,SAAU/D,GAC3D,OAAOA,EAAKquC,KAAKt/C,KAAKjI,OAASA,KAGjC,OAAIo0E,EAAYqH,aAAe,CAACyT,EAAaC,GAAa1rE,SAASzjB,IAASqvF,EAAS9tF,SAAW6tF,EAAS7tF,QAChG+sF,GAAe,CACpBtmC,IAAKosB,EAAYpsB,IACjBhoD,KAAMA,IAIHivF,EAAch2E,IAAIm7D,EAAYlqB,QAASkqB,EAAYpsB,OAG9D1tB,MAAO,CACLs4C,YAAa,SAAqBxlE,GAChC,OAAOhE,KAAKisD,SAASjoD,SApC7B,IAAyB6mE,EACnBj0E,MAqIG8uF,EA5F6B,CA6FpC,KAEE,GAAyB,SAAU/W,GACrC1H,EAAUif,EAAWvX,GAErB,IAAIH,EAAS3G,EAAaqe,GAE1B,SAASA,IAGP,OAFA90D,EAAgBpxB,KAAMkmF,GAEf1X,EAAOzoE,MAAM/F,KAAMjG,WAsC5B,OAnCA4sE,EAAauf,EAAW,CAAC,CACvB9tF,IAAK,OACLkD,MAAO,SAAc+tE,GACnB,IAAIxqE,EAAOwqE,EAAKxqE,KACZsnF,EAAU,YAAc,KAAU,SAAUniF,EAAO85C,GAErD,OADAA,EAAS95C,EAAMg6C,GAAGsL,qBAAqBzqD,EAAKqG,UAAU+4C,mBAC/C,KAET,MAAO,CACL,YAAakoC,EACb,cAAeA,KAGlB,CACD/tF,IAAK,OACLwC,IAAK,WACH,MAAO,eAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACL07D,QAAQ,EACRuB,MAAO,SACPjQ,YAAY,EACZwS,SAAU,CAAC,CACTthD,IAAK,OAEP0oD,MAAO,WACL,MAAO,CAAC,YAMT0kB,EA9CoB,CA+C3B,KAEE,GAAuB,SAAUvX,GACnC1H,EAAUmf,EAASzX,GAEnB,IAAIH,EAAS3G,EAAaue,GAE1B,SAASA,IAGP,OAFAh1D,EAAgBpxB,KAAMomF,GAEf5X,EAAOzoE,MAAM/F,KAAMjG,WA0E5B,OAvEA4sE,EAAayf,EAAS,CAAC,CACrBhuF,IAAK,WACLkD,MAAO,SAAkB+tE,GACvB,IAAIxqE,EAAOwqE,EAAKxqE,KACZ4qD,EAAS4f,EAAK5f,OAClB,OAAO,SAAUnH,GACf,OAAO,YAAgBzjD,EAAM4qD,EAAOoF,MAAMi2B,UAAWxiC,MAGxD,CACDlqD,IAAK,OACLkD,MAAO,SAAcuvE,GACnB,IAAIhsE,EAAOgsE,EAAMhsE,KACjB,OAAOmB,KAAKhE,QAAQqqF,OAAOrxB,QAAO,SAAUosB,EAAOhgB,GACjD,OAAO2F,EAAe,GAAIqa,EAAO,GAAIxa,EAAgB,GAAI,cAAc5jE,OAAOo+D,GAAQ,YAAaviE,EAAM,CACvGuiE,MAAOA,QAER,MAEJ,CACDhpE,IAAK,aACLkD,MAAO,SAAoBwvE,GACzB,IAAIjsE,EAAOisE,EAAMjsE,KACjB,OAAOmB,KAAKhE,QAAQqqF,OAAOx2E,KAAI,SAAUuxD,GACvC,OAAO,YAAuB,IAAI31D,OAAO,SAASzI,OAAOo+D,EAAO,WAAYviE,GAAM,WAChF,MAAO,CACLuiE,MAAOA,WAKd,CACDhpE,IAAK,OACLwC,IAAK,WACH,MAAO,YAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,CACLyrF,OAAQ,CAAC,EAAG,EAAG,EAAG,EAAG,EAAG,MAG3B,CACDjuF,IAAK,SACLwC,IAAK,WACH,MAAO,CACL0nD,MAAO,CACL8e,MAAO,CACLv8D,QAAS,IAGbiC,QAAS,UACT+wD,MAAO,QACPumB,UAAU,EACVhT,WAAW,EACXhR,SAAUp6D,KAAKhE,QAAQqqF,OAAOx2E,KAAI,SAAUuxD,GAC1C,MAAO,CACLtoD,IAAK,IAAI9V,OAAOo+D,GAChB9e,MAAO,CACL8e,MAAOA,OAIbI,MAAO,SAAerjB,GACpB,MAAO,CAAC,IAAIn7C,OAAOm7C,EAAKmE,MAAM8e,OAAQ,SAMvCglB,EAlFkB,CAmFzB,KAEE,GAA8B,SAAUzX,GAC1C1H,EAAUqf,EAAgB3X,GAE1B,IAAIH,EAAS3G,EAAaye,GAE1B,SAASA,IAGP,OAFAl1D,EAAgBpxB,KAAMsmF,GAEf9X,EAAOzoE,MAAM/F,KAAMjG,WAuC5B,OApCA4sE,EAAa2f,EAAgB,CAAC,CAC5BluF,IAAK,WACLkD,MAAO,SAAkB+tE,GACvB,IAAIxqE,EAAOwqE,EAAKxqE,KAChB,OAAO,WACL,OAAO,SAAUmF,EAAO85C,GACtB,OAAOA,EAAS95C,EAAMg6C,GAAGsL,qBAAqBzqD,EAAKqG,eAIxD,CACD9M,IAAK,aACLkD,MAAO,SAAoBuvE,GACzB,IAAIhsE,EAAOgsE,EAAMhsE,KACjB,MAAO,CAAC,YAAc,2BAA4BA,MAEnD,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,oBAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLi9D,MAAO,QACPuC,SAAU,CAAC,CACTthD,IAAK,OAEP0oD,MAAO,WACL,MAAO,CAAC,YAMT8kB,EA/CyB,CAgDhC,KAWEC,GAAoB,gDAEpB,GAAqB,SAAU5X,GACjC1H,EAAUuf,EAAO7X,GAEjB,IAAIH,EAAS3G,EAAa2e,GAE1B,SAASA,IAGP,OAFAp1D,EAAgBpxB,KAAMwmF,GAEfhY,EAAOzoE,MAAM/F,KAAMjG,WAqH5B,OAlHA4sE,EAAa6f,EAAO,CAAC,CACnBpuF,IAAK,WACLkD,MAAO,SAAkB+tE,GACvB,IAAIxqE,EAAOwqE,EAAKxqE,KAChB,OAAO,SAAUyjD,GACf,OAAO,SAAUt+C,EAAO85C,GACtB,IAAIC,EAAY/5C,EAAM+5C,UAClBzkC,EAAWykC,EAAU+E,QAAU/E,EAAU+E,QAAQ5pC,IAAM6kC,EAAUqB,IAAIlmC,IACrEilC,EAAOt/C,EAAKqG,OAAOo9C,GAEvBxE,EADkB95C,EAAMg6C,GAAG6G,OAAOvrC,EAAU6kC,QAKjD,CACD/lD,IAAK,aACLkD,MAAO,SAAoBuvE,GACzB,IAAIhsE,EAAOgsE,EAAMhsE,KACjB,MAAO,CAAC,YAAc0nF,GAAmB1nF,GAAM,SAAUqN,GACvD,IAAIu6E,EAASze,EAAe97D,EAAO,GAC/Bw6E,EAAMD,EAAO,GAIjB,MAAO,CACL5+E,IAJQ4+E,EAAO,GAKfC,IAAKA,EACLl4E,MALUi4E,EAAO,UAStB,CACDruF,IAAK,OACLwC,IAAK,WACH,MAAO,UAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACL07D,QAAQ,EACRhU,MAAO,CACLz6C,IAAK,GACL6+E,IAAK,CACH7hF,QAAS,MAEX2J,MAAO,CACL3J,QAAS,OAGbgzD,MAAO,SACPuT,WAAW,EACXhR,SAAU,CAAC,CACTthD,IAAK,WACLmhD,SAAU,SAAkBR,GAC1B,MAAO,CACL5xD,IAAK4xD,EAAI5oC,aAAa,OACtBriB,MAAOirD,EAAI5oC,aAAa,SACxB61D,IAAKjtB,EAAI5oC,aAAa,WAI5B2wC,MAAO,SAAerjB,GACpB,MAAO,CAAC,MAAOA,EAAKmE,WAIzB,CACDlqD,IAAK,UACLwC,IAAK,WACH,MAAO,CAAC,IAAI,IAAO,CACjBs2B,MAAO,CACLmgD,gBAAiB,CACfjM,KAAM,SAAchhB,EAAM9jD,GAGxB,GAFeA,EAAMqmF,cAAgBrmF,EAAMqmF,aAAaC,OAAStmF,EAAMqmF,aAAaC,MAAMzuF,OAE1F,CAIA,IAAI0uF,EAASv4E,MAAM6c,KAAK7qB,EAAMqmF,aAAaC,OAAO/yE,QAAO,SAAUvW,GACjE,MAAO,SAAS6N,KAAK7N,EAAKuB,SAG5B,GAAsB,IAAlBgoF,EAAO1uF,OAAX,CAIAmI,EAAMwmF,iBACN,IAAIr9B,EAASrF,EAAKpgD,MAAMylD,OACpBs9B,EAAc3iC,EAAK2gB,YAAY,CACjCf,KAAM1jE,EAAM0kE,QACZnH,IAAKv9D,EAAM2kE,UAEb4hB,EAAO/uF,SAAQ,SAAUkvF,GACvB,IAAIC,EAAS,IAAIC,WAEjBD,EAAOE,OAAS,SAAUC,GACxB,IAAIjpC,EAAOsL,EAAOoF,MAAMm4B,MAAM9hF,OAAO,CACnC2C,IAAKu/E,EAAYlrF,OAAOrC,SAEtBmxE,EAAc5mB,EAAKpgD,MAAMg6C,GAAG6G,OAAOkiC,EAAY7tE,IAAKilC,GACxDiG,EAAKtG,SAASktB,IAGhBic,EAAOI,cAAcL,kBAS5BR,EA7HgB,CA8HvB,KAEE,GAAwB,SAAU7X,GACpC1H,EAAUqgB,EAAU3Y,GAEpB,IAAIH,EAAS3G,EAAayf,GAE1B,SAASA,IAGP,OAFAl2D,EAAgBpxB,KAAMsnF,GAEf9Y,EAAOzoE,MAAM/F,KAAMjG,WAmC5B,OAhCA4sE,EAAa2gB,EAAU,CAAC,CACtBlvF,IAAK,OACLkD,MAAO,SAAc+tE,GACnB,IAAIxqE,EAAOwqE,EAAKxqE,KAChB,MAAO,CACL0oF,MAAO,YAAc1oF,GACrB2oF,IAAK,YAAa3oF,GAClB,YAAa,YAAaA,MAG7B,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,cAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,mBACTs3E,UAAU,EACVhT,WAAW,EACXhR,SAAU,CAAC,CACTthD,IAAK,OAEP0oD,MAAO,WACL,MAAO,CAAC,KAAM,SAMf8lB,EA3CmB,CA4C1B,KA+DF,SAASG,GAAkB5c,GACzB,IAAI6c,EAAgB7c,EAAM17B,QACtBA,OAA4B,IAAlBu4C,EAA2B,CACvCC,KAAM,IACNC,aAAa,EACbC,aAAa,GACXH,EACAI,EAAmBjd,EAAMkd,WACzBA,OAAkC,IAArBD,EAA8B,KAAOA,EAClDE,EAAwBnd,EAAMod,gBAC9BA,OAA4C,IAA1BD,EAAmC,aAAeA,EACpEE,EAAgBrd,EAAMsb,QACtBgC,OAA6B,IAAlBD,EAA2B,WACxC,OAAO,GACLA,EACAE,EAAcvd,EAAMuW,MACpBA,OAAwB,IAAhBgH,EAAyB,GAAKA,EACtCC,EAAgBxd,EAAMyd,QACtBA,OAA4B,IAAlBD,EAA2B,WACvC,OAAO,GACLA,EACAE,EAAiB1d,EAAM2d,SACvBA,OAA8B,IAAnBD,EAA4B,WACzC,OAAO,GACLA,EACAE,EAAe5d,EAAM6d,OACrBA,OAA0B,IAAjBD,EAA0B,WACrC,OAAO,GACLA,EACAE,EAAkB9d,EAAM+d,UACxBA,OAAgC,IAApBD,EAA6B,WAC3C,OAAO,GACLA,EACAE,EAAiBhe,EAAMie,SACvBA,OAA8B,IAAnBD,EAA4B,SAAUE,EAAaC,GAChE,OAAKA,EAIED,EAAYl1E,QAAO,SAAU/D,GAClC,OAAOO,KAAKC,UAAUR,GAAMqC,cAAckI,SAAS2uE,EAAM72E,kBAJlD42E,GAMPF,EAEJ,OAAO,IAAI,SAAS,CAClBzwF,IAAK,IAAI,YAAY,eACrBgsD,KAAM,WACJ,IAAI6lB,EAAQjqE,KAEZ,MAAO,CACLiT,OAAQ,SAAgBmxC,EAAMof,GAC5B,IAAIxvC,EAAOi2C,EAAM7xE,IAAI6zD,SAASuX,GAE1Bt5D,EAAO+/D,EAAM7xE,IAAI6zD,SAAS7H,EAAKpgD,OAG/BilF,EAAQj1D,EAAKkiC,QAAUhsD,EAAKgsD,QAAUliC,EAAKqrB,MAAMl0B,OAASjhB,EAAKm1C,MAAMl0B,KACrE+9D,GAAWl1D,EAAKkiC,QAAUhsD,EAAKgsD,OAC/B99C,EAAU4b,EAAKkiC,SAAWhsD,EAAKgsD,OAE/BizB,EAAcD,GAAWD,EACzBG,GAFWF,IAAY9wE,GAAW4b,EAAKg1D,QAAU9+E,EAAK8+E,QAE3BC,EAC3BI,EAAajxE,GAAW6wE,EAE5B,GAAKE,GAAgBC,GAAiBC,EAAtC,CAIA,IAAIrlF,EAAQqlF,EAAar1D,EAAO9pB,EAC5Bo/E,EAAiB3vF,SAASwZ,cAAc,wBAAyBnQ,OAAOgB,EAAMulF,aAAc,OAG5FC,EAAcF,EAAiB,CACjCxlB,sBAAuB,WACrB,OAAOwlB,EAAexlB,yBAExB2lB,YAAaH,EAAeG,YAC5BC,aAAcJ,EAAeI,cAC3B,KACAx4D,EAAQ,CACVkzB,KAAMA,EACN/E,MAAOr7C,EAAMq7C,MACb2pC,MAAOhlF,EAAMglF,MACb5qF,KAAM4F,EAAM5F,KACZkrF,eAAgBA,EAChBE,YAAaA,EACbpI,MAAO0H,EAASx6E,MAAM9W,QAAQ4pF,GAASA,EAAQA,IAASp9E,EAAMglF,OAC9D7C,QAAS,SAAiBrb,GACxB,IAAIzrB,EAAQyrB,EAAMzrB,MACdiD,EAAQwoB,EAAMxoB,MAElB6lC,EAAS,CACP9oC,MAAOA,EACPiD,MAAOA,EACPmH,OAAQrF,EAAKpgD,MAAMylD,QAHrB0+B,CAIG/jC,EAAKpgD,MAAOogD,EAAKtG,SAAUsG,GAE1B2jC,GACF,YAAWA,EAAX,CAAuB3jC,EAAKpgD,MAAOogD,EAAKtG,SAAUsG,KAKpDilC,GACFX,EAAOx3D,GAGLk4D,GACFZ,EAASt3D,GAGPi4D,GACFb,EAAQp3D,OAKhBltB,MAAO,CAELiuB,KAAM,WACJ,MAAO,CACLikC,QAAQ,EACR7W,MAAO,GACP2pC,MAAO,KACP5qF,KAAM,OAIV2H,MAAO,SAAei4C,EAAIhqB,GACxB,IA9LkBq1C,EACpBsgB,EACAhC,EACAiC,EACAhC,EACAiC,EACAhC,EAwLM9pC,EAAYC,EAAGD,UAEf7zC,EAAO68D,EAAe,GAAI/yC,GAG9B,GAAI+pB,EAAU5yB,OAAS4yB,EAAUhnB,GAAI,EAE/BgnB,EAAU5yB,KAAO6I,EAAKqrB,MAAMl0B,MAAQ4yB,EAAU5yB,KAAO6I,EAAKqrB,MAAMtoB,MAClE7sB,EAAKgsD,QAAS,GAIhB,IAAI4zB,EAAY/rC,EAAUoB,MACtBjzC,GA1MRy9E,GADoBtgB,EA2Mal6B,GA1MhBw4C,KACjBA,OAAqB,IAAdgC,EAAuB,IAAMA,EACpCC,EAAmBvgB,EAAKue,YACxBA,OAAmC,IAArBgC,GAAsCA,EACpDC,EAAmBxgB,EAAKwe,YACxBA,OAAmC,IAArBgC,GAAsCA,EACjD,SAAUC,GAEf,GAAIA,EAAUnrC,OAAS,EACrB,OAAO,EAeT,IAXA,IASIrlC,EATAywE,EAAc,KAAK/mF,OAAO2kF,GAC1BxyC,EAAS,IAAI1pC,OAAO,MAAMzI,OAAO+mF,EAAa,MAC9C70C,EAAS2yC,EAAc,IAAM,GAC7BjgE,EAASggE,EAAc,IAAIn8E,OAAO,GAAGzI,OAAOkyC,GAAQlyC,OAAO+mF,EAAa,aAAa/mF,OAAO+mF,EAAa,OAAQ,MAAQ,IAAIt+E,OAAO,GAAGzI,OAAOkyC,EAAQ,UAAUlyC,OAAO+mF,EAAa,SAAS/mF,OAAO+mF,EAAa,MAAO,MAExNC,EAAWF,EAAUjrC,SACrBorC,EAASH,EAAUhoC,MACnB1jD,EAAO0rF,EAAUlrC,IAAIoO,YAAYg9B,EAAUC,EAAQ,KAAM,MACzD/9E,EAAQ0b,EAAO5xB,KAAKoI,GAGP,OAAV8N,GAAgB,CAGrB,IAAIg+E,EAAch+E,EAAM7D,MAAMpF,MAAMnM,KAAKkwB,IAAI,EAAG9a,EAAMO,MAAQ,GAAIP,EAAMO,OAExE,GAAI,YAAYtB,KAAK++E,GAAc,CAEjC,IAAI/+D,EAAOjf,EAAMO,MAAQq9E,EAAUh9B,QAC/B/1B,EAAK5L,EAAOjf,EAAM,GAAG/T,OAGrByvF,GAAezyC,EAAOhqC,KAAK/M,EAAK6E,MAAM8zB,EAAK,EAAGA,EAAK,MACrD7qB,EAAM,IAAM,IACZ6qB,GAAM,GAIJ5L,EAAO2+D,EAAU5wE,KAAO6d,GAAM+yD,EAAU5wE,MAC1CI,EAAW,CACT+lC,MAAO,CACLl0B,KAAMA,EACN4L,GAAIA,GAENiyD,MAAO98E,EAAM,GAAGjJ,MAAM0kF,EAAKxvF,QAC3BiG,KAAM8N,EAAM,KAKlBA,EAAQ0b,EAAO5xB,KAAKoI,GAGtB,OAAOkb,IAkJqCwwE,GAClCP,GAAgBzyF,KAAK+R,SAAW,GAAGxR,SAAS,IAAI83B,OAAO,EAAG,GAE1DjjB,GACFhC,EAAKgsD,QAAS,EACdhsD,EAAKq/E,aAAev1D,EAAKu1D,aAAev1D,EAAKu1D,aAAeA,EAC5Dr/E,EAAKm1C,MAAQnzC,EAAMmzC,MACnBn1C,EAAK8+E,MAAQ98E,EAAM88E,MACnB9+E,EAAK9L,KAAO8N,EAAM9N,MAElB8L,EAAKgsD,QAAS,OAGhBhsD,EAAKgsD,QAAS,EAWhB,OAPKhsD,EAAKgsD,SACRhsD,EAAKq/E,aAAe,KACpBr/E,EAAKm1C,MAAQ,GACbn1C,EAAK8+E,MAAQ,KACb9+E,EAAK9L,KAAO,MAGP8L,IAGXgnB,MAAO,CAELg1C,cAAe,SAAuB9hB,EAAM9jD,GAC1C,IAAI6pF,EAAiBnqF,KAAKisD,SAAS7H,EAAKpgD,OACpCkyD,EAASi0B,EAAej0B,OACxB7W,EAAQ8qC,EAAe9qC,MAE3B,QAAK6W,GACE0yB,EAAU,CACfxkC,KAAMA,EACN9jD,MAAOA,EACP++C,MAAOA,KAIXmqB,YAAa,SAAqB4gB,GAChC,IAAIC,EAAkBrqF,KAAKisD,SAASm+B,GAChCl0B,EAASm0B,EAAgBn0B,OACzB7W,EAAQgrC,EAAgBhrC,MACxBkqC,EAAec,EAAgBd,aAEnC,OAAKrzB,EACE,IAAchxD,OAAOklF,EAAYxrC,IAAK,CAAC,IAAW0X,OAAOjX,EAAMl0B,KAAMk0B,EAAMtoB,GAAI,CACpF8nC,SAAU,OACVsE,MAAO8kB,EACP,qBAAsBsB,MAJJ,SA6G1B,IAlGF,IAoGI,GAA2B,SAAU5a,GACvC1H,EAAUqjB,EAAa3b,GAEvB,IAAIH,EAAS3G,EAAayiB,GAE1B,SAASA,IAGP,OAFAl5D,EAAgBpxB,KAAMsqF,GAEf9b,EAAOzoE,MAAM/F,KAAMjG,WAkE5B,OA/DA4sE,EAAa2jB,EAAa,CAAC,CACzBlyF,IAAK,WACLkD,MAAO,SAAkB+tE,GACvB,IAAIxqE,EAAOwqE,EAAKxqE,KACZ4qD,EAAS4f,EAAK5f,OAClB,OAAO,WACL,OAAO,YAAW5qD,EAAM4qD,EAAOoF,MAAMm2B,cAGxC,CACD5sF,IAAK,OACLkD,MAAO,SAAcuvE,GACnB,IAAIhsE,EAAOgsE,EAAMhsE,KACb4qD,EAASohB,EAAMphB,OACnB,MAAO,CACL,eAAgB,YAAW5qD,EAAM4qD,EAAOoF,MAAMm2B,cAGjD,CACD5sF,IAAK,aACLkD,MAAO,SAAoBwvE,GACzB,IAAIjsE,EAAOisE,EAAMjsE,KACjB,MAAO,CAAC,YAAkB,cAAeA,GAAM,SAAUqN,GACvD,MAAO,CACLq+E,OAAQr+E,EAAM,OAEf,SAAUA,EAAOiyC,GAClB,OAAOA,EAAKY,WAAaZ,EAAKmE,MAAMioC,SAAWr+E,EAAM,SAGxD,CACD9T,IAAK,OACLwC,IAAK,WACH,MAAO,iBAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACL0nD,MAAO,CACLioC,MAAO,CACL1lF,QAAS,IAGbiC,QAAS,aACT+wD,MAAO,QACPuC,SAAU,CAAC,CACTthD,IAAK,KACLmhD,SAAU,SAAkBR,GAC1B,MAAO,CACL8wB,MAAO9wB,EAAI+wB,aAAa,UAAY/wB,EAAI5oC,aAAa,SAAW,MAItE2wC,MAAO,SAAerjB,GACpB,OAA4B,IAArBA,EAAKmE,MAAMioC,MAAc,CAAC,KAAM,GAAK,CAAC,KAAM,CACjDz9B,MAAO3O,EAAKmE,MAAMioC,OACjB,SAMJD,EA1EsB,CA2E7B,KAEEG,GAAa,qBAAW,CAC1BC,WAAY,QACZC,YAAa,SACbC,eAAgB,CACdC,WAAY,CACVhmF,QAAS,KACTimF,WAAY,SAAoBrxB,GAC9B,OAAOA,EAAI/xD,MAAMqjF,iBAAmB,MAEtCC,WAAY,SAAoB1vF,EAAOgnD,GACrC,GAAIhnD,EAAO,CACT,IAAIoM,EAAQ,CACVA,MAAO,GAAG1E,OAAOs/C,EAAM56C,OAAS,GAAI,sBAAsB1E,OAAO1H,EAAO,MAE1EhE,OAAO0G,OAAOskD,EAAO56C,SA4V3B,IAhOF,IA0BA,IA0BA,IA0BA,IAyFA,IAuDA,IAEsB,SAAUujF,GAChChkB,EAAUikB,EAAMD,GAEhB,IAAIzc,EAAS3G,EAAaqjB,GAE1B,SAASA,IAGP,OAFA95D,EAAgBpxB,KAAMkrF,GAEf1c,EAAOzoE,MAAM/F,KAAMjG,WA4D5B,OAzDA4sE,EAAaukB,EAAM,CAAC,CAClB9yF,IAAK,OACLkD,MAAO,SAAc+tE,GACnB,IAAIxqE,EAAOwqE,EAAKxqE,KAChB,MAAO,CACL,QAAS,YAAWA,MAGvB,CACDzG,IAAK,WACLkD,MAAO,SAAkBuvE,GACvB,IAAIhsE,EAAOgsE,EAAMhsE,KACjB,OAAO,WACL,OAAO,YAAWA,MAGrB,CACDzG,IAAK,aACLkD,MAAO,SAAoBwvE,GACzB,IAAIjsE,EAAOisE,EAAMjsE,KACjB,MAAO,CAAC,YAAc,kCAAmCA,MAE1D,CACDzG,IAAK,aACLkD,MAAO,SAAoBgyE,GACzB,IAAIzuE,EAAOyuE,EAAMzuE,KACjB,MAAO,CAAC,YAAc,kCAAmCA,MAE1D,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLw/D,SAAU,CAAC,CACTthD,IAAK,UACJ,CACDA,IAAK,IACLmhD,SAAU,SAAkB9b,GAC1B,MAAiC,WAA1BA,EAAKz2C,MAAMyjF,YAA2B,OAE9C,CACDzjF,MAAO,cACPuyD,SAAU,SAAkB3+D,GAC1B,MAAO,4BAA4B6P,KAAK7P,IAAU,QAGtDkmE,MAAO,WACL,MAAO,CAAC,SAAU,SAMnB0pB,EApEe,CAqEtB,MAEE,GAAoB,SAAUD,GAChChkB,EAAUmkB,EAAMH,GAEhB,IAAIzc,EAAS3G,EAAaujB,GAE1B,SAASA,IAGP,OAFAh6D,EAAgBpxB,KAAMorF,GAEf5c,EAAOzoE,MAAM/F,KAAMjG,WAmD5B,OAhDA4sE,EAAaykB,EAAM,CAAC,CAClBhzF,IAAK,OACLkD,MAAO,SAAc+tE,GACnB,IAAIxqE,EAAOwqE,EAAKxqE,KAChB,MAAO,CACL,QAAS,YAAWA,MAGvB,CACDzG,IAAK,WACLkD,MAAO,SAAkBuvE,GACvB,IAAIhsE,EAAOgsE,EAAMhsE,KACjB,OAAO,WACL,OAAO,YAAWA,MAGrB,CACDzG,IAAK,aACLkD,MAAO,SAAoBwvE,GACzB,IAAIjsE,EAAOisE,EAAMjsE,KACjB,MAAO,CAAC,YAAc,qBAAsBA,MAE7C,CACDzG,IAAK,aACLkD,MAAO,SAAoBgyE,GACzB,IAAIzuE,EAAOyuE,EAAMzuE,KACjB,MAAO,CAAC,YAAc,qBAAsBA,MAE7C,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLw0D,SAAU,IACVgL,SAAU,CAAC,CACTthD,IAAK,SAEP0oD,MAAO,WACL,MAAO,CAAC,OAAQ,SAMjB4pB,EA3De,CA4DtB,KAEE,GAAsB,SAAUH,GAClChkB,EAAUokB,EAAQJ,GAElB,IAAIzc,EAAS3G,EAAawjB,GAE1B,SAASA,IAGP,OAFAj6D,EAAgBpxB,KAAMqrF,GAEf7c,EAAOzoE,MAAM/F,KAAMjG,WAsD5B,OAnDA4sE,EAAa0kB,EAAQ,CAAC,CACpBjzF,IAAK,OACLkD,MAAO,SAAc+tE,GACnB,IAAIxqE,EAAOwqE,EAAKxqE,KAChB,MAAO,CACL,QAAS,YAAWA,MAGvB,CACDzG,IAAK,WACLkD,MAAO,SAAkBuvE,GACvB,IAAIhsE,EAAOgsE,EAAMhsE,KACjB,OAAO,WACL,OAAO,YAAWA,MAGrB,CACDzG,IAAK,aACLkD,MAAO,SAAoBwvE,GACzB,IAAIjsE,EAAOisE,EAAMjsE,KACjB,MAAO,CAAC,YAAc,yBAA0BA,GAAO,YAAc,2BAA4BA,MAElG,CACDzG,IAAK,aACLkD,MAAO,SAAoBgyE,GACzB,IAAIzuE,EAAOyuE,EAAMzuE,KACjB,MAAO,CAAC,YAAc,aAAcA,GAAO,YAAc,eAAgBA,MAE1E,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,WAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLw/D,SAAU,CAAC,CACTthD,IAAK,KACJ,CACDA,IAAK,MACJ,CACDpR,MAAO,sBAET85D,MAAO,WACL,MAAO,CAAC,KAAM,SAMf6pB,EA9DiB,CA+DxB,KAEE,GAAoB,SAAUJ,GAChChkB,EAAUqkB,EAAML,GAEhB,IAAIzc,EAAS3G,EAAayjB,GAE1B,SAASA,IAGP,OAFAl6D,EAAgBpxB,KAAMsrF,GAEf9c,EAAOzoE,MAAM/F,KAAMjG,WAqF5B,OAlFA4sE,EAAa2kB,EAAM,CAAC,CAClBlzF,IAAK,WACLkD,MAAO,SAAkB+tE,GACvB,IAAIxqE,EAAOwqE,EAAKxqE,KAChB,OAAO,SAAUyjD,GACf,OAAIA,EAAM9yB,KACD,YAAW3wB,EAAMyjD,GAGnB,YAAWzjD,MAGrB,CACDzG,IAAK,aACLkD,MAAO,SAAoBuvE,GACzB,IAAIhsE,EAAOgsE,EAAMhsE,KACjB,MAAO,CAAC,YAAU,+FAAgGA,GAAM,SAAUhB,GAChI,MAAO,CACL2xB,KAAM3xB,SAIX,CACDzF,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,CACL2wF,aAAa,KAGhB,CACDnzF,IAAK,SACLwC,IAAK,WACH,MAAO,CACL0nD,MAAO,CACL9yB,KAAM,CACJ3qB,QAAS,OAGb+sD,WAAW,EACXwI,SAAU,CAAC,CACTthD,IAAK,UACLmhD,SAAU,SAAkBR,GAC1B,MAAO,CACLjqC,KAAMiqC,EAAI5oC,aAAa,YAI7B2wC,MAAO,SAAerjB,GACpB,MAAO,CAAC,IAAK4oB,EAAe,GAAI5oB,EAAKmE,MAAO,CAC1CkpC,IAAK,iCACH,OAIT,CACDpzF,IAAK,UACLwC,IAAK,WACH,OAAKoF,KAAKhE,QAAQuvF,YAIX,CAAC,IAAI,IAAO,CACjBr6D,MAAO,CACLq1C,YAAa,SAAqBniB,EAAMlrC,EAAK5Y,GAC3C,IAAImpD,EAASrF,EAAKpgD,MAAMylD,OACpBnH,EAAQ,YAAa8B,EAAKpgD,MAAOylD,EAAOlG,MAAMvkD,MAE9CsjD,EAAM9yB,MAAQlvB,EAAMpE,kBAAkBuvF,oBACxCnrF,EAAMorF,kBACNz0F,OAAO8Q,KAAKu6C,EAAM9yB,YAXjB,OAmBN87D,EA7Fe,CA8FtB,KAEE,GAAsB,SAAUL,GAClChkB,EAAU0kB,EAAQV,GAElB,IAAIzc,EAAS3G,EAAa8jB,GAE1B,SAASA,IAGP,OAFAv6D,EAAgBpxB,KAAM2rF,GAEfnd,EAAOzoE,MAAM/F,KAAMjG,WA2D5B,OAxDA4sE,EAAaglB,EAAQ,CAAC,CACpBvzF,IAAK,OACLkD,MAAO,SAAc+tE,GACnB,IAAIxqE,EAAOwqE,EAAKxqE,KAChB,MAAO,CACL,QAAS,YAAWA,MAGvB,CACDzG,IAAK,WACLkD,MAAO,SAAkBuvE,GACvB,IAAIhsE,EAAOgsE,EAAMhsE,KACjB,OAAO,WACL,OAAO,YAAWA,MAGrB,CACDzG,IAAK,aACLkD,MAAO,SAAoBwvE,GACzB,IAAIjsE,EAAOisE,EAAMjsE,KACjB,MAAO,CAAC,YAAc,aAAcA,MAErC,CACDzG,IAAK,aACLkD,MAAO,SAAoBgyE,GACzB,IAAIzuE,EAAOyuE,EAAMzuE,KACjB,MAAO,CAAC,YAAc,aAAcA,MAErC,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,WAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLw/D,SAAU,CAAC,CACTthD,IAAK,KACJ,CACDA,IAAK,OACJ,CACDA,IAAK,UACJ,CACDpR,MAAO,kBACPuyD,SAAU,SAAkB3+D,GAC1B,MAAiB,iBAAVA,KAGXkmE,MAAO,WACL,MAAO,CAAC,IAAK,SAMdmqB,EAnEiB,CAoExB,KAwDE,IAFF,IAE+B,SAAUpd,GACzCtH,EAAU2kB,EAAerd,GAEzB,IAAIC,EAAS3G,EAAa+jB,GAE1B,SAASA,IAGP,OAFAx6D,EAAgBpxB,KAAM4rF,GAEfpd,EAAOzoE,MAAM/F,KAAMjG,WA8F5B,OA3FA4sE,EAAailB,EAAe,CAAC,CAC3BxzF,IAAK,OACLkD,MAAO,WACL,IAAI2uE,EAAQjqE,KAEZA,KAAK6rF,iBAAmB7rF,KAAK8rF,UAAS,SAAU9nF,GAC9C,IAAI+nF,EAAW,YAAc/nF,GAEzB+nF,GACF9hB,EAAMjuE,QAAQgwF,WAAW,CACvB1iB,OAAQW,EAAMX,OACdyiB,SAAU,CACRnuF,QAASmuF,EAASnuF,QAClB8nD,MAAOqmC,EAASrmC,MAAM71C,KAAI,SAAU6I,GAClC,OAAOA,EAAK2V,YAEd49D,SAAUF,EAASE,cAIxBjsF,KAAKhE,QAAQ8vF,UAChB9rF,KAAKspE,OAAOz6D,GAAG,eAAe,SAAUw6D,GACtC,IAAIrlE,EAAQqlE,EAAKrlE,MAEjBimE,EAAM4hB,iBAAiB7nF,QAG1B,CACD5L,IAAK,WACLkD,MAAO,SAAkBtD,EAAIk0F,GAC3B,IAAIt+E,EACJ,OAAO,WACL,IAAK,IAAIq+D,EAAOlyE,UAAU5B,OAAQkW,EAAO,IAAIC,MAAM29D,GAAOC,EAAO,EAAGA,EAAOD,EAAMC,IAC/E79D,EAAK69D,GAAQnyE,UAAUmyE,GAGrBt+D,GACFP,aAAaO,GAGfA,EAAUR,YAAW,WACnBpV,EAAG+N,WAAM,EAAQsI,GACjBT,EAAU,OACTs+E,MAGN,CACD9zF,IAAK,OACLwC,IAAK,WACH,MAAO,kBAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,IAAI6vE,EAASzqE,KAEb,MAAO,CACLpC,QAAS,EACTquF,SAAUn1F,KAAKyN,MAAsB,WAAhBzN,KAAK+R,UAC1BijF,SAAU,IACVE,WAAY,aACZ/4E,OAAQ,SAAgB43D,GACtB,IAAInlB,EAAQmlB,EAAMnlB,MACd9nD,EAAUitE,EAAMjtE,QAChBuuF,EAAgB1hB,EAAOnB,OACvBtlE,EAAQmoF,EAAcnoF,MACtBogD,EAAO+nC,EAAc/nC,KACrBqF,EAAS0iC,EAAc1iC,OAEvB,YAAWzlD,GAASpG,GAIxBwmD,EAAKtG,SAAS,YAAmB95C,EAAO0hD,EAAM71C,KAAI,SAAUC,GAC1D,OAAO,IAAKy2C,SAASkD,EAAQ35C,EAAK4I,SAChCgtC,EAAM71C,KAAI,SAAUC,GACtB,OAAOA,EAAKm8E,kBAKnB,CACD7zF,IAAK,UACLwC,IAAK,WACH,MAAO,CAAC,YAAO,CACbgD,QAASoC,KAAKhE,QAAQ4B,QACtBquF,SAAUjsF,KAAKhE,QAAQiwF,gBAKtBL,EAtGwB,CAuG/B,MAwEE,IAFF,IAEyB,SAAUrd,GACnCtH,EAAUmlB,EAAS7d,GAEnB,IAAIC,EAAS3G,EAAaukB,GAE1B,SAASA,IAGP,OAFAh7D,EAAgBpxB,KAAMosF,GAEf5d,EAAOzoE,MAAM/F,KAAMjG,WAsD5B,OAnDA4sE,EAAaylB,EAAS,CAAC,CACrBh0F,IAAK,OACLkD,MAAO,WAML,MALa,CACX,QAASopF,EACT,QAAShB,EACT,cAAeA,KAIlB,CACDtrF,IAAK,WACLkD,MAAO,WACL,MAAO,CACLopF,KAAM,WACJ,OAAOA,GAEThB,KAAM,WACJ,OAAOA,GAETiB,UAAW,WACT,OAAOA,GAETC,UAAW,WACT,OAAOA,MAIZ,CACDxsF,IAAK,OACLwC,IAAK,WACH,MAAO,YAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,CACL+jD,MAAO,GACPwlC,cAAe,MAGlB,CACD/rF,IAAK,UACLwC,IAAK,WACH,MAAO,CAAC,EAAQ,CACd+jD,MAAO3+C,KAAKhE,QAAQ2iD,MACpBwlC,cAAenkF,KAAKhE,QAAQmoF,qBAK3BiI,EA9DkB,CA+DzB,MAEE,GAA2B,SAAU7d,GACvCtH,EAAUolB,EAAa9d,GAEvB,IAAIC,EAAS3G,EAAawkB,GAE1B,SAASA,IAGP,OAFAj7D,EAAgBpxB,KAAMqsF,GAEf7d,EAAOzoE,MAAM/F,KAAMjG,WAsE5B,OAnEA4sE,EAAa0lB,EAAa,CAAC,CACzBj0F,IAAK,OACLwC,IAAK,WACH,MAAO,gBAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,CACL0xF,iBAAkB,kBAClBC,eAAgB,WAChBC,cAAe,oBACfC,sBAAsB,EACtBC,iBAAiB,KAGpB,CACDt0F,IAAK,UACLwC,IAAK,WACH,IAAIqvE,EAAQjqE,KAEZ,MAAO,CAAC,IAAI,IAAO,CACjBkxB,MAAO,CACLs4C,YAAa,SAAqBH,GAChC,IAAIzqB,EAAMyqB,EAAKzqB,IACXwL,EAAUif,EAAKjf,QACfrM,EAAYsrB,EAAKtrB,UAKjBmY,EAJiB9L,EAAQvyC,MAAK,SAAU2yC,GAC1C,OAAOA,EAAOpyD,IAAIuzE,WAAW,gBAEDz6C,MAAM4zC,aACVmF,EAAMjuE,QAAQywF,qBACpCt7C,EAAS4M,EAAU5M,OACnBq4B,EAAc,GACdmjB,EAA2C,IAA3B/tC,EAAI8T,YAAYv6D,OAEpC,QAAK+9D,IAILtX,EAAImO,aAAY,SAAU5O,EAAMjlC,GAC9B,IAAI0zE,EAAYz7C,GAAUj4B,GAAOi4B,GAAUj4B,EAAMilC,EAAKqD,SAClDqrC,EAAoC,IAAtB1uC,EAAKr3C,QAAQyS,KAE/B,IAAKqzE,IAAc3iB,EAAMjuE,QAAQ0wF,kBAAoBG,EAAa,CAChE,IAAIxH,EAAU,CAACpb,EAAMjuE,QAAQuwF,gBAEzBI,GACFtH,EAAQ92E,KAAK07D,EAAMjuE,QAAQswF,kBAG7B,IAAI7G,EAAa,IAAWtnC,KAAKjlC,EAAKA,EAAMilC,EAAKqD,SAAU,CACzD2hB,MAAOkiB,EAAQtlF,KAAK,KACpB,kBAA0D,mBAAhCkqE,EAAMjuE,QAAQwwF,cAA+BviB,EAAMjuE,QAAQwwF,cAAcruC,GAAQ8rB,EAAMjuE,QAAQwwF,gBAE3HhjB,EAAYj7D,KAAKk3E,GAGnB,OAAO,KAEF,IAAcvgF,OAAO05C,EAAK4qB,aAOpC6iB,EA9EsB,CA+E7B,KAmQA,IAsFA,K,8BC7yFF,wIAIIxyF,EAAS,GAEb,GAAwB,oBAAbJ,WAA+C,oBAAZE,SAAyB,CACrE,IAAImzF,EAAU,cAAc92F,KAAKyD,UAAUyY,WACvC66E,EAAY,UAAU5hF,KAAK1R,UAAUyY,WACrC86E,EAAU,wCAAwCh3F,KAAKyD,UAAUyY,WAErErY,EAAOozF,IAAM,MAAM9hF,KAAK1R,UAAUurD,UAClC,IAAIkoC,EAAKrzF,EAAOqzF,MAAQH,GAAaC,GAAWF,GAChDjzF,EAAOszF,WAAaJ,EAAYpzF,SAASyzF,cAAgB,EAAIJ,GAAWA,EAAQ,GAAKF,GAAWA,EAAQ,GAAK,KAC7GjzF,EAAOwzF,OAASH,GAAM,gBAAgB/hF,KAAK1R,UAAUyY,WACrDrY,EAAOyzF,cAAgBzzF,EAAOwzF,SAAW,iBAAiBr3F,KAAKyD,UAAUyY,YAAc,CAAC,EAAG,IAAI,GAC/F,IAAIq7E,GAAUL,GAAM,gBAAgBl3F,KAAKyD,UAAUyY,WACnDrY,EAAO0zF,SAAWA,EAClB1zF,EAAO2zF,eAAiBD,IAAWA,EAAO,GAC1C1zF,EAAO4zF,KAAOP,GAAM,cAAc/hF,KAAK1R,UAAUyY,YAAc,cAAc/G,KAAK1R,UAAUyY,WAC5FrY,EAAO6zF,QAAU,aAAaviF,KAAK1R,UAAUyY,WAC7CrY,EAAO8zF,OAAS,wBAAyBh0F,SAASi0F,gBAAgBlmF,MAClE7N,EAAOg0F,OAAS,iBAAiB1iF,KAAK1R,UAAUq0F,QAChDj0F,EAAOk0F,eAAiBl0F,EAAO8zF,UAAY,uBAAuB33F,KAAKyD,UAAUyY,YAAc,CAAC,EAAG,IAAI,GAGzG,IAAI87E,EAAW,SAAS7vC,GACtB,IAAK,IAAI1xC,EAAQ,GAAIA,IAEnB,KADA0xC,EAAOA,EAAKygB,iBACC,OAAOnyD,GAIpB2G,EAAa,SAAS+qC,GACxB,IAAIh8C,EAASg8C,EAAK/qC,WAClB,OAAOjR,GAA6B,IAAnBA,EAAOkgD,SAAiBlgD,EAAOzE,KAAOyE,GAGrDiyE,EAAY,SAASj2B,EAAMhzB,EAAM4L,GACnC,IAAIsoB,EAAQ1lD,SAAS06E,cAGrB,OAFAh1B,EAAMi1B,OAAOn2B,EAAY,MAANpnB,EAAaonB,EAAKugB,UAAUvmE,OAAS4+B,GACxDsoB,EAAMk1B,SAASp2B,EAAMhzB,GAAQ,GACtBk0B,GAML4uC,EAAuB,SAAS9vC,EAAMnvC,EAAKk/E,EAAYC,GACzD,OAAOD,IAAeE,EAAQjwC,EAAMnvC,EAAKk/E,EAAYC,GAAY,IAC3CC,EAAQjwC,EAAMnvC,EAAKk/E,EAAYC,EAAW,KAG9DE,EAAe,gCAEnB,SAASD,EAAQjwC,EAAMnvC,EAAKk/E,EAAYC,EAAW1+E,GACjD,OAAS,CACP,GAAI0uC,GAAQ+vC,GAAcl/E,GAAOm/E,EAAa,OAAO,EACrD,GAAIn/E,IAAQS,EAAM,EAAI,EAAI+xC,EAASrD,IAAQ,CACzC,IAAIh8C,EAASg8C,EAAK/qC,WAClB,GAAuB,GAAnBjR,EAAOkgD,UAAiBisC,EAAanwC,IAASkwC,EAAaljF,KAAKgzC,EAAK0gB,WAAqC,SAAxB1gB,EAAKowC,gBACvF,OAAO,EACXv/E,EAAMg/E,EAAS7vC,IAAS1uC,EAAM,EAAI,EAAI,GACtC0uC,EAAOh8C,MACF,IAAqB,GAAjBg8C,EAAKkE,SAKd,OAAO,EAHP,GAA4B,UAD5BlE,EAAOA,EAAKhqC,WAAWnF,GAAOS,EAAM,GAAK,EAAI,KACpC8+E,gBAA8B,OAAO,EAC9Cv/E,EAAMS,EAAM,EAAI+xC,EAASrD,GAAQ,IAOvC,SAASqD,EAASrD,GAChB,OAAwB,GAAjBA,EAAKkE,SAAgBlE,EAAKugB,UAAUvmE,OAASgmD,EAAKhqC,WAAWhc,OActE,SAASm2F,EAAa70B,GAEpB,IADA,IAAI3P,EACKoL,EAAMuE,EAAKvE,KAAiCpL,EAAOoL,EAAIs5B,YAAvCt5B,EAAMA,EAAI9hD,YACnC,OAAO02C,GAAQA,EAAK3L,MAAQ2L,EAAK3L,KAAKqC,UAAYsJ,EAAK2P,KAAOA,GAAO3P,EAAKiW,YAActG,GAK1F,IAAIg1B,EAAqB,SAASC,GAChC,IAAIC,EAAYD,EAAOE,YAGvB,OAFID,GAAa90F,EAAO0zF,QAAUmB,EAAOG,aAAeH,EAAOI,WAAW,GAAGH,YACzEA,GAAY,GACTA,GAGT,SAASI,EAASC,EAAS52F,GACzB,IAAIkI,EAAQ3G,SAASs1F,YAAY,SAIjC,OAHA3uF,EAAM4uF,UAAU,WAAW,GAAM,GACjC5uF,EAAM0uF,QAAUA,EAChB1uF,EAAMlI,IAAMkI,EAAMye,KAAO3mB,EAClBkI,EAGT,SAAS6uF,EAAWvwC,GAClB,MAAO,CAAColB,KAAM,EAAGC,MAAOrlB,EAAIgvC,gBAAgBnE,YACpC5rB,IAAK,EAAGkG,OAAQnlB,EAAIgvC,gBAAgBlE,cAG9C,SAAS0F,EAAQ9zF,EAAO8iD,GACtB,MAAuB,iBAAT9iD,EAAoBA,EAAQA,EAAM8iD,GAGlD,SAASixC,EAAWlxC,GAClB,IAAIwlB,EAAOxlB,EAAK2lB,wBAEhB,MAAO,CAACE,KAAML,EAAKK,KAAMC,MAAON,EAAKK,KAAO7lB,EAAKsrC,YACzC5rB,IAAK8F,EAAK9F,IAAKkG,OAAQJ,EAAK9F,IAAM1f,EAAKurC,cAGjD,SAAS4F,EAAmBlrC,EAAMuf,EAAM4rB,GAGtC,IAFA,IAAIC,EAAkBprC,EAAKqrC,SAAS,oBAAsB,EAAGC,EAAetrC,EAAKqrC,SAAS,iBAAmB,EACzG7wC,EAAMwF,EAAKqV,IAAIkG,cACVx9D,EAASotF,GAAYnrC,EAAKqV,IAC5Bt3D,EADkCA,EAASiR,EAAWjR,GAE3D,GAAuB,GAAnBA,EAAOkgD,SAAX,CACA,IAAIstC,EAAQxtF,GAAUy8C,EAAI2lB,MAA2B,GAAnBpiE,EAAOkgD,SACrCutC,EAAWD,EAAQR,EAAWvwC,GAAOywC,EAAWltF,GAChD0tF,EAAQ,EAAGC,EAAQ,EASvB,GARInsB,EAAK9F,IAAM+xB,EAAS/xB,IAAMuxB,EAAQI,EAAiB,OACnDM,IAAUF,EAAS/xB,IAAM8F,EAAK9F,IAAMuxB,EAAQM,EAAc,QACrD/rB,EAAKI,OAAS6rB,EAAS7rB,OAASqrB,EAAQI,EAAiB,YAC9DM,EAAQnsB,EAAKI,OAAS6rB,EAAS7rB,OAASqrB,EAAQM,EAAc,WAC9D/rB,EAAKK,KAAO4rB,EAAS5rB,KAAOorB,EAAQI,EAAiB,QACrDK,IAAUD,EAAS5rB,KAAOL,EAAKK,KAAOorB,EAAQM,EAAc,SACvD/rB,EAAKM,MAAQ2rB,EAAS3rB,MAAQmrB,EAAQI,EAAiB,WAC5DK,EAAQlsB,EAAKM,MAAQ2rB,EAAS3rB,MAAQmrB,EAAQM,EAAc,UAC5DG,GAASC,EACX,GAAIH,EACF/wC,EAAImxC,YAAYC,SAASH,EAAOC,OAC3B,CACL,IAAIG,EAAS9tF,EAAO+tF,WAAYC,EAAShuF,EAAOiuF,UAC5CN,IAAS3tF,EAAOiuF,WAAaN,GAC7BD,IAAS1tF,EAAO+tF,YAAcL,GAClC,IAAIQ,EAAKluF,EAAO+tF,WAAaD,EAAQK,EAAKnuF,EAAOiuF,UAAYD,EAC7DxsB,EAAO,CAACK,KAAML,EAAKK,KAAOqsB,EAAIxyB,IAAK8F,EAAK9F,IAAMyyB,EAAIrsB,MAAON,EAAKM,MAAQosB,EAAItsB,OAAQJ,EAAKI,OAASusB,GAGpG,GAAIX,EAAS,OAyBjB,SAASY,EAAY92B,GAEnB,IADA,IAAI9qC,EAAQ,GAAIiwB,EAAM6a,EAAIkG,cACnBlG,IACL9qC,EAAMpgB,KAAK,CAACkrD,IAAKA,EAAKoE,IAAKpE,EAAI22B,UAAWpsB,KAAMvK,EAAIy2B,aAChDz2B,GAAO7a,GAFD6a,EAAMrmD,EAAWqmD,IAI7B,OAAO9qC,EAcT,SAAS6hE,EAAmB7hE,EAAO8hE,GACjC,IAAK,IAAIx4F,EAAI,EAAGA,EAAI02B,EAAMx2B,OAAQF,IAAK,CACrC,IAAIinD,EAAMvwB,EAAM12B,GACZwhE,EAAMva,EAAIua,IACVoE,EAAM3e,EAAI2e,IACVmG,EAAO9kB,EAAI8kB,KACXvK,EAAI22B,WAAavyB,EAAM4yB,IAAQh3B,EAAI22B,UAAYvyB,EAAM4yB,GACrDh3B,EAAIy2B,YAAclsB,IAAQvK,EAAIy2B,WAAalsB,IAInD,IAAI0sB,EAAyB,KAoB7B,SAASC,EAAiBxyC,EAAM+lB,GAG9B,IAFA,IAAIoH,EAA0BslB,EAAjBC,EAAY,IAAoBhiD,EAAS,EAClDiiD,EAAS5sB,EAAOrG,IAAKkzB,EAAS7sB,EAAOrG,IAChC9V,EAAQ5J,EAAK5pC,WAAYy8E,EAAa,EAAGjpC,EAAOA,EAAQA,EAAMmX,YAAa8xB,IAAc,CAChG,IAAIvc,OAAQ,EACZ,GAAsB,GAAlB1sB,EAAM1F,SAAiBoyB,EAAQ1sB,EAAM2sB,qBACpC,IAAsB,GAAlB3sB,EAAM1F,SACR,SADyBoyB,EAAQL,EAAUrsB,GAAO2sB,iBAGzD,IAAK,IAAIz8E,EAAI,EAAGA,EAAIw8E,EAAMt8E,OAAQF,IAAK,CACrC,IAAI0rE,EAAO8Q,EAAMx8E,GACjB,GAAI0rE,EAAK9F,KAAOizB,GAAUntB,EAAKI,QAAUgtB,EAAQ,CAC/CD,EAASh6F,KAAKkwB,IAAI28C,EAAKI,OAAQ+sB,GAC/BC,EAASj6F,KAAKkK,IAAI2iE,EAAK9F,IAAKkzB,GAC5B,IAAIE,EAAKttB,EAAKK,KAAOE,EAAOF,KAAOL,EAAKK,KAAOE,EAAOF,KAChDL,EAAKM,MAAQC,EAAOF,KAAOE,EAAOF,KAAOL,EAAKM,MAAQ,EAC5D,GAAIgtB,EAAKJ,EAAW,CAClBvlB,EAAUvjB,EACV8oC,EAAYI,EACZL,EAAgBK,GAA0B,GAApB3lB,EAAQjpB,SAAgB,CAAC2hB,KAAML,EAAKM,MAAQC,EAAOF,KAAOL,EAAKM,MAAQN,EAAKK,KAAMnG,IAAKqG,EAAOrG,KAAOqG,EACrG,GAAlBnc,EAAM1F,UAAiB4uC,IACvBpiD,EAASmiD,GAAc9sB,EAAOF,OAASL,EAAKK,KAAOL,EAAKM,OAAS,EAAI,EAAI,IAC7E,WAGCqH,IAAYpH,EAAOF,MAAQL,EAAKM,OAASC,EAAOrG,KAAO8F,EAAK9F,KAChDqG,EAAOF,MAAQL,EAAKK,MAAQE,EAAOrG,KAAO8F,EAAKI,UAC5Dl1B,EAASmiD,EAAa,IAG9B,OAAI1lB,GAA+B,GAApBA,EAAQjpB,SAKzB,SAA0BlE,EAAM+lB,GAG9B,IAFA,IAAIr2D,EAAMswC,EAAKugB,UAAUvmE,OACrBknD,EAAQ1lD,SAAS06E,cACZp8E,EAAI,EAAGA,EAAI4V,EAAK5V,IAAK,CAC5BonD,EAAMi1B,OAAOn2B,EAAMlmD,EAAI,GACvBonD,EAAMk1B,SAASp2B,EAAMlmD,GACrB,IAAI0rE,EAAO6Q,EAAWn1B,EAAO,GAC7B,GAAIskB,EAAK9F,KAAO8F,EAAKI,QACjBmtB,EAAOhtB,EAAQP,GACf,MAAO,CAACxlB,KAAMA,EAAMtP,OAAQ52C,GAAKisE,EAAOF,OAASL,EAAKK,KAAOL,EAAKM,OAAS,EAAI,EAAI,IAEzF,MAAO,CAAC9lB,KAAMA,EAAMtP,OAAQ,GAhBmBsiD,CAAiB7lB,EAASslB,IACpEtlB,GAAYulB,GAAiC,GAApBvlB,EAAQjpB,SAAyB,CAAClE,KAAMA,EAAMtP,OAAQA,GAC7E8hD,EAAiBrlB,EAASslB,GAiBnC,SAASM,EAAOhtB,EAAQP,GACtB,OAAOO,EAAOF,MAAQL,EAAKK,KAAO,GAAKE,EAAOF,MAAQL,EAAKM,MAAQ,GACjEC,EAAOrG,KAAO8F,EAAK9F,IAAM,GAAKqG,EAAOrG,KAAO8F,EAAKI,OAAS,EAgE9D,SAASgB,EAAY3gB,EAAM8f,GACzB,IAAIlmE,EAAQozF,EAEUjzC,EAAMtP,EAAxBwiD,EAAOjtC,EAAKitC,KAChB,GAAIA,EAAKC,uBACP,IACE,IAAIC,EAAQF,EAAKC,uBAAuBptB,EAAOF,KAAME,EAAOrG,KACxD0zB,IAA2BpzC,GAAhBngD,EAASuzF,GAAqBC,WAAY3iD,EAAS7wC,EAAO6wC,QACzE,MAAO+a,IAEX,IAAKzL,GAAQkzC,EAAKI,oBAAqB,CACrC,IAAIpyC,EAAQgyC,EAAKI,oBAAoBvtB,EAAOF,KAAME,EAAOrG,KACrDxe,IAA6BlB,GAAlBizC,EAAW/xC,GAAuBqyC,eAAgB7iD,EAASuiD,EAASO,aAGrF,IAA8Dz4E,EAA1D6nE,EAAMsQ,EAAKO,iBAAiB1tB,EAAOF,KAAME,EAAOrG,IAAM,GAC1D,IAAKkjB,IAAQ38B,EAAKqV,IAAImH,SAAyB,GAAhBmgB,EAAI1+B,SAAgB0+B,EAAI3tE,WAAa2tE,GAAM,CACxE,IAAI1L,EAAMjxB,EAAKqV,IAAIqK,wBACnB,IAAKotB,EAAOhtB,EAAQmR,GAAQ,OAAO,KAEnC,KADA0L,EAtCJ,SAAS6Q,EAAiBvuB,EAASa,EAAQmR,GACzC,IAAIxnE,EAAMw1D,EAAQlvD,WAAWhc,OAC7B,GAAI0V,GAAOwnE,EAAIxX,IAAMwX,EAAItR,OACvB,IAAK,IAAI8tB,EAAS/6F,KAAKkwB,IAAI,EAAGlwB,KAAKkK,IAAI6M,EAAM,EAAG/W,KAAKyN,MAAMsJ,GAAOq2D,EAAOrG,IAAMwX,EAAIxX,MAAQwX,EAAItR,OAASsR,EAAIxX,MAAQ,IAAK5lE,EAAI45F,IAAU,CACrI,IAAI9pC,EAAQsb,EAAQlvD,WAAWlc,GAC/B,GAAsB,GAAlB8vD,EAAM1F,SAER,IADA,IAAIoyB,EAAQ1sB,EAAM2sB,iBACT9hE,EAAI,EAAGA,EAAI6hE,EAAMt8E,OAAQya,IAAK,CACrC,IAAI+wD,EAAO8Q,EAAM7hE,GACjB,GAAIs+E,EAAOhtB,EAAQP,GAAS,OAAOiuB,EAAiB7pC,EAAOmc,EAAQP,GAGvE,IAAK1rE,GAAKA,EAAI,GAAK4V,IAAQgkF,EAAU,MAGzC,OAAOxuB,EAuBCuuB,CAAiBxtC,EAAKqV,IAAKyK,EAAQmR,IAC7B,OAAO,KAKrB,GAFIx7E,EAAOg0F,QAAU9M,EAAI3V,YAAajtB,EAAOtP,EAAS,MACtDkyC,EArFF,SAAsBtnB,EAAKyK,GACzB,IAAI/hE,EAASs3D,EAAIrmD,WACjB,OAAIjR,GAAU,QAAQgJ,KAAKhJ,EAAO08D,WAAaqF,EAAOF,KAAOvK,EAAIqK,wBAAwBE,KAC9E7hE,EACJs3D,EAiFDq4B,CAAa/Q,EAAK7c,GACpB/lB,EAAM,CACR,GAAItkD,EAAOwzF,OAA0B,GAAjBlvC,EAAKkE,WAGvBxT,EAAS/3C,KAAKkK,IAAI6tC,EAAQsP,EAAKhqC,WAAWhc,SAG7BgmD,EAAKhqC,WAAWhc,OAAQ,CACnC,IAAoC45F,EAAhC7nF,EAAOi0C,EAAKhqC,WAAW06B,GACN,OAAjB3kC,EAAK20D,WAAsBkzB,EAAQ7nF,EAAK45D,yBAAyBG,OAASC,EAAOF,MACjF+tB,EAAMhuB,OAASG,EAAOrG,KACtBhvB,IAKJsP,GAAQiG,EAAKqV,KAAO5qB,GAAUsP,EAAKhqC,WAAWhc,OAAS,GAAgC,GAA3BgmD,EAAKE,UAAUgE,UAC3E6hB,EAAOrG,IAAM1f,EAAKE,UAAUylB,wBAAwBC,OACpD7qD,EAAMkrC,EAAKpgD,MAAM46C,IAAI93C,QAAQyS,KAId,GAAVs1B,GAAgC,GAAjBsP,EAAKkE,UAAyD,MAAxClE,EAAKhqC,WAAW06B,EAAS,GAAGgwB,WACtE3lD,EA1FR,SAAsBkrC,EAAMjG,EAAMtP,EAAQq1B,GAQxC,IADA,IAAI8tB,GAAW,EACN98B,EAAM/W,EACT+W,GAAO9Q,EAAKqV,KADK,CAErB,IAAI3P,EAAO1F,EAAK+uB,QAAQ8e,YAAY/8B,GAAK,GACzC,IAAKpL,EAAQ,OAAO,KACpB,GAAIA,EAAK3L,KAAKqC,SAAWsJ,EAAK3nD,OAAQ,CACpC,IAAIwhE,EAAO7Z,EAAK2P,IAAIqK,wBACpB,GAAIH,EAAKK,KAAOE,EAAOF,MAAQL,EAAK9F,IAAMqG,EAAOrG,IAAOm0B,EAAUloC,EAAKooC,cAClE,MAAIvuB,EAAKM,MAAQC,EAAOF,MAAQL,EAAKI,OAASG,EAAOrG,KACnD,MAD0Dm0B,EAAUloC,EAAKqoC,UAGlFj9B,EAAMpL,EAAK2P,IAAIrmD,WAEjB,OAAO4+E,GAAW,EAAIA,EAAU5tC,EAAK+uB,QAAQif,WAAWj0C,EAAMtP,GAsElDwjD,CAAajuC,EAAMjG,EAAMtP,EAAQq1B,IAElC,MAAPhrD,IAAeA,EAxGrB,SAAwBkrC,EAAM28B,EAAK7c,GACjC,IAAIhlB,EAAMyxC,EAAiB5P,EAAK7c,GAC5B/lB,EAAOe,EAAIf,KACXtP,EAASqQ,EAAIrQ,OACbuX,GAAQ,EACZ,GAAqB,GAAjBjI,EAAKkE,WAAkBlE,EAAK5pC,WAAY,CAC1C,IAAIovD,EAAOxlB,EAAK2lB,wBAChB1d,EAAOud,EAAKK,MAAQL,EAAKM,OAASC,EAAOF,MAAQL,EAAKK,KAAOL,EAAKM,OAAS,EAAI,GAAK,EAEtF,OAAO7f,EAAK+uB,QAAQif,WAAWj0C,EAAMtP,EAAQuX,GA+FpBksC,CAAeluC,EAAM28B,EAAK7c,IAEnD,IAAIpa,EAAO1F,EAAK+uB,QAAQ8e,YAAYlR,GAAK,GACzC,MAAO,CAAC7nE,IAAKA,EAAK8sD,OAAQlc,EAAOA,EAAKyoC,WAAazoC,EAAK0oC,QAAU,GAGpE,SAAShe,EAAW/4E,EAAQ2qD,GAC1B,IAAIquB,EAAQh5E,EAAOi5E,iBACnB,OAAQD,EAAMt8E,OAA0Cs8E,EAAMruB,EAAO,EAAI,EAAIquB,EAAMt8E,OAAS,GAArEsD,EAAOqoE,wBAMhC,SAASK,EAAY/f,EAAMlrC,GACzB,IAAIgmC,EAAMkF,EAAK+uB,QAAQyB,WAAW17D,GAC9BilC,EAAOe,EAAIf,KACXtP,EAASqQ,EAAIrQ,OAGjB,GAAqB,GAAjBsP,EAAKkE,WAAkBxoD,EAAO8zF,QAAU9zF,EAAOwzF,OAAQ,CACzD,IAAI1pB,EAAO6Q,EAAWJ,EAAUj2B,EAAMtP,EAAQA,GAAS,GAIvD,GAAIh1C,EAAOwzF,OAASx+C,GAAU,KAAK1jC,KAAKgzC,EAAKugB,UAAU7vB,EAAS,KAAOA,EAASsP,EAAKugB,UAAUvmE,OAAQ,CACrG,IAAIs6F,EAAaje,EAAWJ,EAAUj2B,EAAMtP,EAAS,EAAGA,EAAS,IAAK,GACtE,GAAI4jD,EAAW50B,KAAO8F,EAAK9F,IAAK,CAC9B,IAAI60B,EAAYle,EAAWJ,EAAUj2B,EAAMtP,EAAQA,EAAS,IAAK,GACjE,GAAI6jD,EAAU70B,KAAO8F,EAAK9F,IACtB,OAAO80B,EAASD,EAAWA,EAAU1uB,KAAOyuB,EAAWzuB,OAG/D,OAAOL,EAGT,GAAqB,GAAjBxlB,EAAKkE,WAAkB+B,EAAKpgD,MAAM46C,IAAIviC,QAAQnD,GAAK/W,OAAO+gD,cAAe,CAE3E,IAAgB0vC,EAAZ/0B,GAAM,EACV,GAAIhvB,EAASsP,EAAKhqC,WAAWhc,OAAQ,CACnC,IAAI6mD,EAAQb,EAAKhqC,WAAW06B,GACN,GAAlBmQ,EAAMqD,WAAiBuwC,EAAS5zC,EAAM8kB,yBAE5C,IAAK8uB,GAAU/jD,EAAQ,CACrB,IAAIgQ,EAASV,EAAKhqC,WAAW06B,EAAS,GACf,GAAnBgQ,EAAOwD,WAAiBuwC,EAAS/zC,EAAOilB,wBAAyBjG,GAAM,GAE7E,OAsCJ,SAAkB8F,EAAM9F,GACtB,GAAmB,GAAf8F,EAAKgB,OAAe,OAAOhB,EAC/B,IAAIhmC,EAAIkgC,EAAM8F,EAAK9F,IAAM8F,EAAKI,OAC9B,MAAO,CAAClG,IAAKlgC,EAAGomC,OAAQpmC,EAAGqmC,KAAML,EAAKK,KAAMC,MAAON,EAAKM,OAzC/C4uB,CAASD,GAAUz0C,EAAK2lB,wBAAyBjG,GAS1D,IAAK,IAAIpuD,GAAO,EAAGA,EAAM,EAAGA,GAAO,EACjC,GAAIA,EAAM,GAAKo/B,EAAQ,CACrB,IAAI7a,OAAO,EAAU93B,EAA0B,GAAjBiiD,EAAKkE,SAAgB+xB,EAAUj2B,EAAMtP,EAAS,EAAGA,GACxB,IAAhD7a,EAAOmqB,EAAKhqC,WAAW06B,EAAS,IAAIwT,SAAgB+xB,EAAUpgD,GAC9C,GAAjBA,EAAKquB,UAAkC,MAAjBruB,EAAK6qC,SAAmB7qC,EAAO,KAC3D,GAAI93B,EAAQ,CACV,IAAI42F,EAASte,EAAWt4E,EAAQ,GAChC,GAAI42F,EAAOj1B,IAAMi1B,EAAO/uB,OAAU,OAAO4uB,EAASG,GAAQ,SAEvD,GAAIrjF,EAAM,GAAKo/B,EAAS2S,EAASrD,GAAO,CAC7C,IAAIj0C,OAAO,EAAU6oF,EAA4B,GAAjB50C,EAAKkE,SAAgB+xB,EAAUj2B,EAAMtP,EAAQA,EAAS,GACnC,IAA5C3kC,EAAOi0C,EAAKhqC,WAAW06B,IAASwT,SAAgB+xB,EAAUlqE,GAC1C,GAAjBA,EAAKm4C,SAAgBn4C,EAAO,KAClC,GAAI6oF,EAAU,CACZ,IAAIC,EAASxe,EAAWue,GAAW,GACnC,GAAIC,EAAOn1B,IAAMm1B,EAAOjvB,OAAU,OAAO4uB,EAASK,GAAQ,IAKhE,OAAOL,EAASne,EAA4B,GAAjBr2B,EAAKkE,SAAgB+xB,EAAUj2B,GAAQA,EAAM,IAAI,GAG9E,SAASw0C,EAAShvB,EAAMK,GACtB,GAAkB,GAAdL,EAAKV,MAAc,OAAOU,EAC9B,IAAItlC,EAAI2lC,EAAOL,EAAKK,KAAOL,EAAKM,MAChC,MAAO,CAACpG,IAAK8F,EAAK9F,IAAKkG,OAAQJ,EAAKI,OAAQC,KAAM3lC,EAAG4lC,MAAO5lC,GAS9D,SAAS40D,EAAiB7uC,EAAMpgD,EAAO9I,GACrC,IAAIg4F,EAAY9uC,EAAKpgD,MAAOkyD,EAAS9R,EAAKitC,KAAK8B,cAC3CD,GAAalvF,GAASogD,EAAK8pB,YAAYlqE,GACvCkyD,GAAU9R,EAAKqV,KAAOrV,EAAKopB,QAC/B,IACE,OAAOtyE,IACP,QACIg4F,GAAalvF,GAASogD,EAAK8pB,YAAYglB,GACvCh9B,GAAU9R,EAAKqV,KAAOvD,GAAUA,EAAOsX,SAmC/C,IAAI4lB,EAAW,kBAiCf,IAAIC,EAAc,KAAMC,EAAY,KAAMC,GAAe,EACzD,SAASjvC,EAAeF,EAAMpgD,EAAOyL,GACnC,OAAI4jF,GAAervF,GAASsvF,GAAa7jF,EAAc8jF,GACvDF,EAAcrvF,EAAOsvF,EAAY7jF,EAC1B8jF,EAAsB,MAAP9jF,GAAsB,QAAPA,EAjEvC,SAAgC20C,EAAMpgD,EAAOyL,GAC3C,IAAIo4C,EAAM7jD,EAAM+5C,UACZS,EAAc,MAAP/uC,EAAco4C,EAAIhI,QAAQ7+C,IAAI6mD,EAAIjI,OAASiI,EAAIhI,QAAQ74B,IAAI6gC,EAAIjI,OAC1E,OAAOqzC,EAAiB7uC,EAAMpgD,GAAO,WAGnC,IAFA,IACIy1D,EADMrV,EAAK+uB,QAAQyB,WAAWp2B,EAAKtlC,KACzBilC,OACL,CACP,IAAIq1C,EAAUpvC,EAAK+uB,QAAQ8e,YAAYx4B,GAAK,GAC5C,IAAK+5B,EAAW,MAChB,GAAIA,EAAQr1C,KAAKqC,QAAS,CAAEiZ,EAAM+5B,EAAQ/5B,IAAK,MAC/CA,EAAM+5B,EAAQ/5B,IAAIrmD,WAGpB,IADA,IAAI8wD,EAASC,EAAY/f,EAAM5F,EAAKtlC,KAC3B6uC,EAAQ0R,EAAIllD,WAAYwzC,EAAOA,EAAQA,EAAMmX,YAAa,CACjE,IAAIu0B,OAAQ,EACZ,GAAsB,GAAlB1rC,EAAM1F,SAAiBoxC,EAAQ1rC,EAAM2sB,qBACpC,IAAsB,GAAlB3sB,EAAM1F,SACR,SADyBoxC,EAAQrf,EAAUrsB,EAAO,EAAGA,EAAM2W,UAAUvmE,QAAQu8E,iBAEpF,IAAK,IAAIz8E,EAAI,EAAGA,EAAIw7F,EAAMt7F,OAAQF,IAAK,CACrC,IAAIo9E,EAAMoe,EAAMx7F,GAChB,GAAIo9E,EAAItR,OAASsR,EAAIxX,MAAe,MAAPpuD,EAAc4lE,EAAItR,OAASG,EAAOrG,IAAM,EAAIwX,EAAIxX,IAAMqG,EAAOH,OAAS,GAC/F,OAAO,GAGf,OAAO,KA0CL2vB,CAAuBtvC,EAAMpgD,EAAOyL,GApC1C,SAAkC20C,EAAMpgD,EAAOyL,GAC7C,IACImwC,EADM57C,EAAM+5C,UACA6B,MAChB,IAAKA,EAAMz9C,OAAOm8C,YAAe,OAAO,EACxC,IAAIzP,EAAS+Q,EAAMa,aAAc6F,GAAWzX,EAAQ6R,EAAQ7R,GAAU+Q,EAAMz9C,OAAO2E,QAAQyS,KACvFsuC,EAAM8rC,eAGV,OAAKP,EAASjoF,KAAKy0C,EAAMz9C,OAAOuwD,cAAiB7K,EAAI+rC,OAG9CX,EAAiB7uC,EAAMpgD,GAAO,WAMnC,IAAI6vF,EAAWhsC,EAAIinC,WAAW,GAAIgF,EAAUjsC,EAAIksC,UAAWC,EAASnsC,EAAIosC,YACpEC,EAAersC,EAAIssC,eACvBtsC,EAAI+rC,OAAO,OAAQnkF,EAAK,aACxB,IACI5V,IADY+lD,EAAMjB,MAAQyF,EAAK+uB,QAAQihB,YAAYx0C,EAAMf,UAAYuF,EAAKqV,KACtDmH,SAAmC,GAA1B/Y,EAAIksC,UAAU1xC,SAAgBwF,EAAIksC,UAAYlsC,EAAIksC,UAAU3gF,aACxF0gF,GAAWjsC,EAAIksC,WAAaC,GAAUnsC,EAAIosC,YAK/C,OAHApsC,EAAIwsC,kBACJxsC,EAAIoI,SAAS4jC,GACO,MAAhBK,IAAwBrsC,EAAIssC,eAAiBD,GAC1Cr6F,KAlBS,QAAP4V,GAAwB,YAAPA,EAAoB62C,EAAU5F,EA4BtD4zC,CAAyBlwC,EAAMpgD,EAAOyL,IAsF5C,IAII8kF,EAAW,SAAkBpyF,EAAQojF,EAAU9rB,EAAKsG,GACtD//D,KAAKmC,OAASA,EACdnC,KAAKulF,SAAWA,EAChBvlF,KAAKy5D,IAAMA,EAGXA,EAAI+0B,WAAaxuF,KAGjBA,KAAK+/D,WAAaA,EAClB//D,KAAKw0F,MAdS,GAiBZnvC,EAAqB,CAAEovC,eAAgB,CAAE/vF,cAAc,GAAO6U,KAAM,CAAE7U,cAAc,GAAO8tF,OAAQ,CAAE9tF,cAAc,GAAOwtF,UAAW,CAAExtF,cAAc,GAAO6tF,WAAY,CAAE7tF,cAAc,GAAOytF,SAAU,CAAEztF,cAAc,GAAOgwF,SAAU,CAAEhwF,cAAc,GAAOiwF,YAAa,CAAEjwF,cAAc,IAIlS6vF,EAASh9F,UAAUq9F,cAAgB,WAA4B,OAAO,GACtEL,EAASh9F,UAAUs9F,YAAc,WAA0B,OAAO,GAClEN,EAASh9F,UAAUu9F,YAAc,WAA0B,OAAO,GAClEP,EAASh9F,UAAUw9F,YAAc,WAA0B,OAAO,GAElE1vC,EAAmBovC,eAAe75F,IAAM,WAAc,OAAO,GAM7D25F,EAASh9F,UAAUy9F,UAAY,WAAwB,OAAO,MAK9DT,EAASh9F,UAAU4zE,UAAY,WAAwB,OAAO,GAG9D9lB,EAAmB9rC,KAAK3e,IAAM,WAE5B,IADA,IAAI2e,EAAO,EACFthB,EAAI,EAAGA,EAAI+H,KAAKulF,SAASptF,OAAQF,IAAOshB,GAAQvZ,KAAKulF,SAASttF,GAAGshB,KAC1E,OAAOA,GAKT8rC,EAAmBmtC,OAAO53F,IAAM,WAAc,OAAO,GAErD25F,EAASh9F,UAAU+rE,QAAU,WAC3BtjE,KAAKmC,OAAS,KACVnC,KAAKy5D,IAAI+0B,YAAcxuF,OAAQA,KAAKy5D,IAAI+0B,WAAa,MACzD,IAAK,IAAIv2F,EAAI,EAAGA,EAAI+H,KAAKulF,SAASptF,OAAQF,IACtC+H,KAAKulF,SAASttF,GAAGqrE,WAGvBixB,EAASh9F,UAAU09F,eAAiB,SAAyBltC,GAC3D,IAAK,IAAI9vD,EAAI,EAAGihB,EAAMlZ,KAAKuyF,WAAYt6F,EAAI+H,KAAKulF,SAASptF,OAAQF,IAAK,CACpE,IAAIi9D,EAAMl1D,KAAKulF,SAASttF,GACxB,GAAIi9D,GAAOnN,EAAS,OAAO7uC,EAC3BA,GAAOg8C,EAAI37C,OAIf8rC,EAAmB6sC,UAAUt3F,IAAM,WACjC,OAAOoF,KAAKmC,OAAO8yF,eAAej1F,OAGpCqlD,EAAmBktC,WAAW33F,IAAM,WAClC,OAAOoF,KAAKmC,OAASnC,KAAKmC,OAAO8yF,eAAej1F,MAAQA,KAAKwyF,OAAS,GAGxEntC,EAAmB8sC,SAASv3F,IAAM,WAChC,OAAOoF,KAAKkyF,UAAYlyF,KAAKuZ,MAG/B8rC,EAAmBqvC,SAAS95F,IAAM,WAChC,OAAOoF,KAAKuyF,WAAavyF,KAAKuZ,KAAO,EAAIvZ,KAAKwyF,QAIhD+B,EAASh9F,UAAU29F,gBAAkB,SAA0Bz7B,EAAK5qB,EAAQuX,GAG1E,GAAIpmD,KAAK+/D,YAAc//D,KAAK+/D,WAAWa,SAAyB,GAAhBnH,EAAIpX,SAAgBoX,EAAMA,EAAIrmD,YAAa,CACzF,GAAIgzC,EAAO,EAAG,CACZ,IAAI+uC,EAAWrrC,EACf,GAAI2P,GAAOz5D,KAAK+/D,WACdo1B,EAAY17B,EAAItlD,WAAW06B,EAAS,OAC/B,CACL,KAAO4qB,EAAIrmD,YAAcpT,KAAK+/D,YAActG,EAAMA,EAAIrmD,WACtD+hF,EAAY17B,EAAImF,gBAElB,KAAOu2B,MAAgBrrC,EAAOqrC,EAAU3G,aAAe1kC,EAAK3nD,QAAUnC,OAASm1F,EAAYA,EAAUv2B,gBACrG,OAAOu2B,EAAYn1F,KAAKi1F,eAAenrC,GAAQA,EAAKvwC,KAAOvZ,KAAKuyF,WAEhE,IAAI6C,EAAUC,EACd,GAAI57B,GAAOz5D,KAAK+/D,WACdq1B,EAAW37B,EAAItlD,WAAW06B,OACrB,CACL,KAAO4qB,EAAIrmD,YAAcpT,KAAK+/D,YAActG,EAAMA,EAAIrmD,WACtDgiF,EAAW37B,EAAIyF,YAEjB,KAAOk2B,MAAeC,EAASD,EAAS5G,aAAe6G,EAAOlzF,QAAUnC,OAASo1F,EAAWA,EAASl2B,YACrG,OAAOk2B,EAAWp1F,KAAKi1F,eAAeI,GAAUr1F,KAAK00F,SAMzD,IAAIh0C,EACJ,GAAI1gD,KAAK+/D,YAAc//D,KAAK+/D,YAAc//D,KAAKy5D,KAAOz5D,KAAKy5D,IAAImH,SAAS5gE,KAAK+/D,YAC3Erf,EAAuD,EAA/C+Y,EAAIoH,wBAAwB7gE,KAAK+/D,iBACpC,GAAI//D,KAAKy5D,IAAIllD,WAAY,CAC9B,GAAc,GAAVs6B,EAAe,IAAK,IAAIpf,EAASgqC,GAAMhqC,EAASA,EAAOrc,WAAY,CACrE,GAAIqc,GAAUzvB,KAAKy5D,IAAK,CAAE/Y,GAAQ,EAAO,MACzC,GAAIjxB,EAAOrc,WAAWmB,YAAckb,EAAU,MAEhD,GAAa,MAATixB,GAAiB7R,GAAU4qB,EAAItlD,WAAWhc,OAAU,IAAK,IAAIm9F,EAAW77B,GAAM67B,EAAWA,EAASliF,WAAY,CAChH,GAAIkiF,GAAYt1F,KAAKy5D,IAAK,CAAE/Y,GAAQ,EAAM,MAC1C,GAAI40C,EAASliF,WAAWirC,WAAai3C,EAAY,OAGrD,OAAiB,MAAT50C,EAAgB0F,EAAO,EAAI1F,GAAS1gD,KAAK00F,SAAW10F,KAAKuyF,YAKnEgC,EAASh9F,UAAU06F,YAAc,SAAsBx4B,EAAK87B,GAC1D,IAAK,IAAIp8E,GAAQ,EAAM+7C,EAAMuE,EAAKvE,EAAKA,EAAMA,EAAI9hD,WAAY,CAC3D,IAAI02C,EAAO9pD,KAAKw1F,QAAQtgC,GACxB,GAAIpL,KAAUyrC,GAAazrC,EAAK3L,MAAO,CAErC,IAAIhlC,IAAS2wC,EAAK+Z,UACa,GAAzB/Z,EAAK+Z,QAAQxhB,SAAgByH,EAAK+Z,QAAQjD,SAAyB,GAAhBnH,EAAIpX,SAAgBoX,EAAMA,EAAIrmD,YAAc02C,EAAK+Z,SAAWpK,GAGjH,OAAO3P,EAFP3wC,GAAQ,KAOlBo7E,EAASh9F,UAAUi+F,QAAU,SAAkB/7B,GAE7C,IADA,IAAI3P,EAAO2P,EAAI+0B,WACNt5B,EAAMpL,EAAMoL,EAAKA,EAAMA,EAAI/yD,OAAU,GAAI+yD,GAAOl1D,KAAQ,OAAO8pD,GAG1EyqC,EAASh9F,UAAU66F,WAAa,SAAqB34B,EAAK5qB,EAAQuX,GAChE,IAAK,IAAIiQ,EAAOoD,EAAKpD,EAAMA,EAAOA,EAAKjjD,WAAY,CACjD,IAAI02C,EAAO9pD,KAAKw1F,QAAQn/B,GACxB,GAAIvM,EAAQ,OAAOA,EAAKorC,gBAAgBz7B,EAAK5qB,EAAQuX,GAEvD,OAAQ,GAMVmuC,EAASh9F,UAAUk+F,OAAS,SAAiBv8E,GAC3C,IAAK,IAAIjhB,EAAI,EAAG42C,EAAS,EAAG52C,EAAI+H,KAAKulF,SAASptF,OAAQF,IAAK,CACzD,IAAI8vD,EAAQ/nD,KAAKulF,SAASttF,GAAI6pD,EAAMjT,EAASkZ,EAAMxuC,KACnD,GAAIs1B,GAAU31B,GAAO4oC,GAAOjT,EAAQ,CAClC,MAAQkZ,EAAMyqC,QAAUzqC,EAAMw9B,SAASptF,QAAU4vD,EAAQA,EAAMw9B,SAAS,GACxE,OAAOx9B,EAET,GAAI7uC,EAAM4oC,EAAO,OAAOiG,EAAM0tC,OAAOv8E,EAAM21B,EAASkZ,EAAMyqC,QAC1D3jD,EAASiT,IAKbyyC,EAASh9F,UAAUq9E,WAAa,SAAqB17D,GACnD,IAAKlZ,KAAK+/D,WAAc,MAAO,CAAC5hB,KAAMn+C,KAAKy5D,IAAK5qB,OAAQ,GACxD,IAAK,IAAIA,EAAS,EAAG52C,EAAI,GAAIA,IAAK,CAChC,GAAI42C,GAAU31B,EAAK,CACjB,KAAOjhB,EAAI+H,KAAKulF,SAASptF,SAAW6H,KAAKulF,SAASttF,GAAGw8F,gBAAkBz0F,KAAKulF,SAASttF,GAAGwhE,IAAIrmD,YAAcpT,KAAK+/D,aAAe9nE,IAC9H,MAAO,CAACkmD,KAAMn+C,KAAK+/D,WACXlxB,OAAQ52C,GAAK+H,KAAKulF,SAASptF,OAAS6H,KAAK+/D,WAAW5rD,WAAWhc,OAAS61F,EAAShuF,KAAKulF,SAASttF,GAAGwhE,MAE5G,GAAIxhE,GAAK+H,KAAKulF,SAASptF,OAAU,MAAM,IAAI6U,MAAM,oBAAsBkM,GACvE,IAAI6uC,EAAQ/nD,KAAKulF,SAASttF,GAAI6pD,EAAMjT,EAASkZ,EAAMxuC,KACnD,GAAIL,EAAM4oC,EAAO,OAAOiG,EAAM6sB,WAAW17D,EAAM21B,EAASkZ,EAAMyqC,QAC9D3jD,EAASiT,IAMbyyC,EAASh9F,UAAUm+F,WAAa,SAAqBvqE,EAAM4L,EAAIoZ,GAG7D,QAFgB,IAATA,IAAkBA,EAAO,GAEJ,GAAxBnwC,KAAKulF,SAASptF,OACd,MAAO,CAACgmD,KAAMn+C,KAAK+/D,WAAY50C,KAAMA,EAAM4L,GAAIA,EAAI4+D,WAAY,EAAGC,SAAU51F,KAAK+/D,WAAW5rD,WAAWhc,QAG3G,IADA,IAAIw9F,GAAc,EAAGC,GAAY,EACxB/mD,EAASsB,EAAMl4C,EAAI,GAAIA,IAAK,CACnC,IAAI8vD,EAAQ/nD,KAAKulF,SAASttF,GAAI6pD,EAAMjT,EAASkZ,EAAMxuC,KACnD,IAAmB,GAAfo8E,GAAoBxqE,GAAQ22B,EAAK,CACnC,IAAI+zC,EAAYhnD,EAASkZ,EAAMyqC,OAE/B,GAAIrnE,GAAQ0qE,GAAa9+D,GAAM+qB,EAAMiG,EAAMyqC,QAAUzqC,EAAM5J,MACvD4J,EAAMgY,YAAc//D,KAAK+/D,WAAWa,SAAS7Y,EAAMgY,YACnD,OAAOhY,EAAM2tC,WAAWvqE,EAAM4L,EAAI8+D,GAEtC1qE,EAAO0jB,EACP,IAAK,IAAIj8B,EAAI3a,EAAG2a,EAAI,EAAGA,IAAK,CAC1B,IAAIohB,EAAOh0B,KAAKulF,SAAS3yE,EAAI,GAC7B,GAAIohB,EAAKza,MAAQya,EAAKylC,IAAIrmD,YAAcpT,KAAK+/D,aAAe/rC,EAAK8hE,aAAa,GAAI,CAChFH,EAAa3H,EAASh6D,EAAKylC,KAAO,EAClC,MAEFtuC,GAAQ6I,EAAKza,MAEI,GAAfo8E,IAAoBA,EAAa,GAEvC,GAAIA,GAAc,GAAK5+D,GAAM+qB,EAAK,CAChC/qB,EAAK+qB,EACL,IAAK,IAAIi0C,EAAM99F,EAAI,EAAG89F,EAAM/1F,KAAKulF,SAASptF,OAAQ49F,IAAO,CACvD,IAAI7rF,EAAOlK,KAAKulF,SAASwQ,GACzB,GAAI7rF,EAAKqP,MAAQrP,EAAKuvD,IAAIrmD,YAAcpT,KAAK+/D,aAAe71D,EAAK4rF,cAAc,GAAI,CACjFF,EAAW5H,EAAS9jF,EAAKuvD,KACzB,MAEF1iC,GAAM7sB,EAAKqP,MAEI,GAAbq8E,IAAkBA,EAAW51F,KAAK+/D,WAAW5rD,WAAWhc,QAC5D,MAEF02C,EAASiT,EAEX,MAAO,CAAC3D,KAAMn+C,KAAK+/D,WAAY50C,KAAMA,EAAM4L,GAAIA,EAAI4+D,WAAYA,EAAYC,SAAUA,IAGvFrB,EAASh9F,UAAUu+F,aAAe,SAAuB13C,GACvD,GAAIp+C,KAAKwyF,SAAWxyF,KAAK+/D,aAAe//D,KAAKulF,SAASptF,OAAU,OAAO,EACvE,IAAI4vD,EAAQ/nD,KAAKulF,SAASnnC,EAAO,EAAI,EAAIp+C,KAAKulF,SAASptF,OAAS,GAChE,OAAqB,GAAd4vD,EAAMxuC,MAAawuC,EAAM+tC,aAAa13C,IAI/Cm2C,EAASh9F,UAAU68F,YAAc,SAAsBl7E,GACrD,IAAIgmC,EAAMl/C,KAAK40E,WAAW17D,GACpBilC,EAAOe,EAAIf,KACXtP,EAASqQ,EAAIrQ,OACnB,GAAqB,GAAjBsP,EAAKkE,UAAiBxT,GAAUsP,EAAKhqC,WAAWhc,OAChD,MAAM,IAAIsuD,WAAW,qBAAuBvtC,GAChD,OAAOilC,EAAKhqC,WAAW06B,IASzB0lD,EAASh9F,UAAU8oD,aAAe,SAAuBlP,EAAQv/B,EAAMy/E,EAAM2E,GAG3E,IADA,IAAI7qE,EAAOr0B,KAAKkK,IAAImwC,EAAQv/B,GAAOmlB,EAAKjgC,KAAKkwB,IAAImqB,EAAQv/B,GAChD3Z,EAAI,EAAG42C,EAAS,EAAG52C,EAAI+H,KAAKulF,SAASptF,OAAQF,IAAK,CACzD,IAAI8vD,EAAQ/nD,KAAKulF,SAASttF,GAAI6pD,EAAMjT,EAASkZ,EAAMxuC,KACnD,GAAI4R,EAAO0jB,GAAU9X,EAAK+qB,EACtB,OAAOiG,EAAM1H,aAAalP,EAAStC,EAASkZ,EAAMyqC,OAAQ5gF,EAAOi9B,EAASkZ,EAAMyqC,OAAQnB,EAAM2E,GAClGnnD,EAASiT,EAGX,IAAIm0C,EAAYj2F,KAAK40E,WAAWzjC,GAAS+kD,EAAUl2F,KAAK40E,WAAWhjE,GAC/D88E,EAAS2C,EAAKsC,eAElB,GAAKqC,IACD/H,EAAqBgI,EAAU93C,KAAM83C,EAAUpnD,OAAQ6/C,EAAOyH,WAAYzH,EAAO0H,gBACjFnI,EAAqBiI,EAAQ/3C,KAAM+3C,EAAQrnD,OAAQ6/C,EAAOqF,UAAWrF,EAAOuF,aAFhF,CAQA,IAAIoC,GAAiB,EACrB,GAAI3H,EAAOz0F,QAAUk3C,GAAUv/B,EAAM,CACnC88E,EAAO4H,SAASL,EAAU93C,KAAM83C,EAAUpnD,QAC1C,IACMsC,GAAUv/B,GAAQ88E,EAAOz0F,OAAOi8F,EAAQ/3C,KAAM+3C,EAAQrnD,QAC1DwnD,GAAiB,EACjB,MAAOnnC,GAKP,KAAMA,aAAeqnC,cAAiB,MAAMrnC,GAGhD,IAAKmnC,EAAgB,CACnB,GAAIllD,EAASv/B,EAAM,CAAE,IAAIg/B,EAAMqlD,EAAWA,EAAYC,EAASA,EAAUtlD,EACzE,IAAIyO,EAAQ1lD,SAAS06E,cACrBh1B,EAAMi1B,OAAO4hB,EAAQ/3C,KAAM+3C,EAAQrnD,QACnCwQ,EAAMk1B,SAAS0hB,EAAU93C,KAAM83C,EAAUpnD,QACzC6/C,EAAO2F,kBACP3F,EAAOz+B,SAAS5Q,MAKpBk1C,EAASh9F,UAAUi/F,eAAiB,SAAyBvrB,GAC3D,OAAQjrE,KAAK+/D,YAA+B,aAAjBkL,EAASpsE,MAGtCwmD,EAAmBsvC,YAAY/5F,IAAM,WACnC,OAAOoF,KAAK+/D,YAAc//D,KAAK+/D,YAAc//D,KAAKy5D,MAAQz5D,KAAKy5D,IAAImH,SAAS5gE,KAAK+/D,aAKnFw0B,EAASh9F,UAAUk/F,UAAY,SAAoBtrE,EAAM4L,GACvD,IAAK,IAAI8X,EAAS,EAAG52C,EAAI,EAAGA,EAAI+H,KAAKulF,SAASptF,OAAQF,IAAK,CACzD,IAAI8vD,EAAQ/nD,KAAKulF,SAASttF,GAAI6pD,EAAMjT,EAASkZ,EAAMxuC,KACnD,GAAIs1B,GAAUiT,EAAM32B,GAAQ22B,GAAO/qB,GAAM8X,EAAS1jB,EAAO22B,GAAO/qB,EAAK8X,EAAQ,CAC3E,IAAI6nD,EAAc7nD,EAASkZ,EAAMyqC,OAAQmE,EAAY70C,EAAMiG,EAAMyqC,OACjE,GAAIrnE,GAAQurE,GAAe3/D,GAAM4/D,EAK/B,OAJA32F,KAAKw0F,MAAQrpE,GAAQ0jB,GAAU9X,GAAM+qB,EA9TO,EAAnB,OA+TrB32B,GAAQurE,GAAe3/D,GAAM4/D,IAC5B5uC,EAAM4sC,aAAe5sC,EAAM0R,IAAIrmD,YAAcpT,KAAK+/D,WAChDhY,EAAM0uC,UAAUtrE,EAAOurE,EAAa3/D,EAAK2/D,GADsB3uC,EAAMysC,MAhUhB,GAoU5DzsC,EAAMysC,MApUsD,EAuUhE3lD,EAASiT,EAEX9hD,KAAKw0F,MAzU6C,GA4UpDD,EAASh9F,UAAUq/F,iBAAmB,WAEpC,IADA,IAAIx1B,EAAQ,EACHjjB,EAAOn+C,KAAKmC,OAAQg8C,EAAMA,EAAOA,EAAKh8C,OAAQi/D,IAAS,CAC9D,IAAIozB,EAAiB,GAATpzB,EA/UoC,EAAnB,EAgVzBjjB,EAAKq2C,MAAQA,IAASr2C,EAAKq2C,MAAQA,KAI3Cl9F,OAAOiP,iBAAkBguF,EAASh9F,UAAW8tD,GAI7C,IAAIwxC,EAAU,GAIVC,EAA+B,SAAUvC,GAC3C,SAASuC,EAAe30F,EAAQskE,EAAQriB,EAAMlrC,GAC5C,IAAIhiB,EAAMuiE,EAAMgN,EAAO5nE,KAAK2iE,MAK5B,GAJkB,mBAAP/H,IAAqBA,EAAMA,EAAIrV,GAAM,WAC9C,OAAKltD,EACDA,EAAKiL,OAAiBjL,EAAKiL,OAAO8yF,eAAe/9F,QAArD,EADoBgiB,OAGjButD,EAAO5nE,KAAK4/C,KAAKt8B,IAAK,CACzB,GAAoB,GAAhBs3C,EAAIpX,SAAe,CACrB,IAAIN,EAAOpoD,SAASqZ,cAAc,QAClC+uC,EAAKn6C,YAAY6xD,GACjBA,EAAM1X,EAER0X,EAAI80B,iBAAkB,EACtB90B,EAAIs9B,UAAUz0F,IAAI,sBAEpBiyF,EAAS78F,KAAKsI,KAAMmC,EAAQ00F,EAASp9B,EAAK,MAC1Cz5D,KAAKymE,OAASA,EACdvvE,EAAO8I,KAGJu0F,IAAWuC,EAAetzE,UAAY+wE,GAC3CuC,EAAev/F,UAAYD,OAAO4N,OAAQqvF,GAAYA,EAASh9F,WAC/Du/F,EAAev/F,UAAUiB,YAAcs+F,EAEvC,IAAI9vC,EAAuB,CAAEytC,eAAgB,CAAE/vF,cAAc,IAuB7D,OArBAsiD,EAAqBytC,eAAe75F,IAAM,WACxC,OAAOoF,KAAKymE,OAAO5nE,KAAKu/C,KAAO,GAGjC04C,EAAev/F,UAAUq9F,cAAgB,SAAwBnuB,GAC/D,OA5XY,GA4XLzmE,KAAKw0F,OAAsB/tB,EAAO5nE,KAAKsoD,GAAGnnD,KAAKymE,OAAO5nE,OAG/Di4F,EAAev/F,UAAUy9F,UAAY,WAAwB,MAAO,CAACpqC,QAAQ,IAE7EksC,EAAev/F,UAAU4zE,UAAY,SAAoB7qE,GACvD,IAAIqY,EAAO3Y,KAAKymE,OAAOhoB,KAAK0sB,UAC5B,QAAOxyD,GAAOA,EAAKrY,IAGrBw2F,EAAev/F,UAAUi/F,eAAiB,SAAyBvrB,GACjE,MAAwB,aAAjBA,EAASpsE,MAAuBmB,KAAKymE,OAAOhoB,KAAKu4C,iBAG1D1/F,OAAOiP,iBAAkBuwF,EAAev/F,UAAWyvD,GAE5C8vC,EAhDyB,CAiDhCvC,GAEE0C,EAAoC,SAAU1C,GAChD,SAAS0C,EAAoB90F,EAAQs3D,EAAKy9B,EAAS94F,GACjDm2F,EAAS78F,KAAKsI,KAAMmC,EAAQ00F,EAASp9B,EAAK,MAC1Cz5D,KAAKk3F,QAAUA,EACfl3F,KAAK5B,KAAOA,EAGTm2F,IAAW0C,EAAoBzzE,UAAY+wE,GAChD0C,EAAoB1/F,UAAYD,OAAO4N,OAAQqvF,GAAYA,EAASh9F,WACpE0/F,EAAoB1/F,UAAUiB,YAAcy+F,EAE5C,IAAIxlC,EAAuB,CAAEl4C,KAAM,CAAE7U,cAAc,IAmBnD,OAjBA+sD,EAAqBl4C,KAAK3e,IAAM,WAAc,OAAOoF,KAAK5B,KAAKjG,QAE/D8+F,EAAoB1/F,UAAU29F,gBAAkB,SAA0Bz7B,EAAK5qB,GAC7E,OAAI4qB,GAAOz5D,KAAKk3F,QAAkBl3F,KAAKuyF,YAAc1jD,EAAS7uC,KAAKuZ,KAAO,GACnEvZ,KAAKuyF,WAAa1jD,GAG3BooD,EAAoB1/F,UAAUq9E,WAAa,SAAqB17D,GAC9D,MAAO,CAACilC,KAAMn+C,KAAKk3F,QAASroD,OAAQ31B,IAGtC+9E,EAAoB1/F,UAAUi/F,eAAiB,SAAyBW,GACtE,MAAoB,kBAAbA,EAAIt4F,MAA4Bs4F,EAAIj7F,OAAOwiE,WAAay4B,EAAIC,UAGrE9/F,OAAOiP,iBAAkB0wF,EAAoB1/F,UAAWk6D,GAEjDwlC,EA9B8B,CA+BrC1C,GAOE8C,EAA6B,SAAU9C,GACzC,SAAS8C,EAAal1F,EAAQ8mD,EAAMwQ,EAAKsG,GACvCw0B,EAAS78F,KAAKsI,KAAMmC,EAAQ,GAAIs3D,EAAKsG,GACrC//D,KAAKipD,KAAOA,EAwCd,OArCKsrC,IAAW8C,EAAa7zE,UAAY+wE,GACzC8C,EAAa9/F,UAAYD,OAAO4N,OAAQqvF,GAAYA,EAASh9F,WAC7D8/F,EAAa9/F,UAAUiB,YAAc6+F,EAErCA,EAAanyF,OAAS,SAAiB/C,EAAQ8mD,EAAMqN,EAAQlS,GAC3D,IAAIkzC,EAASlzC,EAAK4tB,UAAU/oB,EAAKpqD,KAAKjI,MAClC6nD,EAAO64C,GAAUA,EAAOruC,EAAM7E,EAAMkS,GAGxC,OAFK7X,GAASA,EAAKgb,MACfhb,EAAO,gBAAcwjB,WAAWtoE,SAAUsvD,EAAKpqD,KAAK4/C,KAAK+iB,MAAMvY,EAAMqN,KAClE,IAAI+gC,EAAal1F,EAAQ8mD,EAAMxK,EAAKgb,IAAKhb,EAAKshB,YAActhB,EAAKgb,MAG1E49B,EAAa9/F,UAAUy9F,UAAY,WAAwB,MAAO,CAAC/rC,KAAMjpD,KAAKipD,KAAKpqD,KAAKjI,KAAM0rD,MAAOtiD,KAAKipD,KAAK3G,MAAO0d,eAAgBhgE,KAAK+/D,aAE3Is3B,EAAa9/F,UAAUs9F,YAAc,SAAsB5rC,GAAQ,OAzcD,GAycQjpD,KAAKw0F,OAAuBx0F,KAAKipD,KAAK9B,GAAG8B,IAEnHouC,EAAa9/F,UAAUk/F,UAAY,SAAoBtrE,EAAM4L,GAG3D,GAFAw9D,EAASh9F,UAAUk/F,UAAU/+F,KAAKsI,KAAMmrB,EAAM4L,GA5clC,GA8cR/2B,KAAKw0F,MAAoB,CAE3B,IADA,IAAIryF,EAASnC,KAAKmC,QACVA,EAAOg8C,MAAQh8C,EAASA,EAAOA,OACnCA,EAAOqyF,MAAQx0F,KAAKw0F,QAASryF,EAAOqyF,MAAQx0F,KAAKw0F,OACrDx0F,KAAKw0F,MAldK,IAsdd6C,EAAa9/F,UAAU0L,MAAQ,SAAgBkoB,EAAM4L,EAAIqtB,GACvD,IAAIpC,EAAOq1C,EAAanyF,OAAOlF,KAAKmC,OAAQnC,KAAKipD,MAAM,EAAM7E,GACzDyK,EAAQ7uD,KAAKulF,SAAUhsE,EAAOvZ,KAAKuZ,KACnCwd,EAAKxd,IAAQs1C,EAAQ0oC,GAAa1oC,EAAO93B,EAAIxd,EAAM6qC,IACnDj5B,EAAO,IAAK0jC,EAAQ0oC,GAAa1oC,EAAO,EAAG1jC,EAAMi5B,IACrD,IAAK,IAAInsD,EAAI,EAAGA,EAAI42D,EAAM12D,OAAQF,IAAO42D,EAAM52D,GAAGkK,OAAS6/C,EAE3D,OADAA,EAAKujC,SAAW12B,EACT7M,GAGFq1C,EA3CuB,CA4C9B9C,GAKEiD,EAA6B,SAAUjD,GACzC,SAASiD,EAAar1F,EAAQg8C,EAAMs5C,EAAWC,EAAWj+B,EAAKsG,EAAY8D,EAASzf,EAAMlrC,GACxFq7E,EAAS78F,KAAKsI,KAAMmC,EAAQg8C,EAAKiP,OAASypC,EAAU,GAAIp9B,EAAKsG,GAC7D//D,KAAK6jE,QAAUA,EACf7jE,KAAKm+C,KAAOA,EACZn+C,KAAKy3F,UAAYA,EACjBz3F,KAAK03F,UAAYA,EACb33B,GAAc//D,KAAK23F,eAAevzC,EAAMlrC,GAGzCq7E,IAAWiD,EAAah0E,UAAY+wE,GACzCiD,EAAajgG,UAAYD,OAAO4N,OAAQqvF,GAAYA,EAASh9F,WAC7DigG,EAAajgG,UAAUiB,YAAcg/F,EAErC,IAAI/kC,EAAuB,CAAEl5C,KAAM,CAAE7U,cAAc,GAAO8tF,OAAQ,CAAE9tF,cAAc,IAiNlF,OAtMA8yF,EAAatyF,OAAS,SAAiB/C,EAAQg8C,EAAMs5C,EAAWC,EAAWtzC,EAAMlrC,GAC/E,IAAIlb,EAEyC45F,EAAzCN,EAASlzC,EAAK4tB,UAAU7zB,EAAKt/C,KAAKjI,MAClC6nD,EAAO64C,GAAUA,EAAOn5C,EAAMiG,GAAM,WAGtC,OAAKwzC,EACDA,EAAQz1F,OAAiBy1F,EAAQz1F,OAAO8yF,eAAe2C,QAA3D,EADuB1+E,IAEtBu+E,GAECh+B,EAAMhb,GAAQA,EAAKgb,IAAKsG,EAAathB,GAAQA,EAAKshB,WACtD,GAAI5hB,EAAKwJ,OACP,GAAK8R,GACA,GAAoB,GAAhBA,EAAIpX,SAAiB,MAAM,IAAIoE,WAAW,iDADvCgT,EAAM9/D,SAASua,eAAeiqC,EAAK//C,WAErCq7D,IAC2DA,GAAzEz7D,EAAS,gBAAcikE,WAAWtoE,SAAUwkD,EAAKt/C,KAAK4/C,KAAK+iB,MAAMrjB,KAAqBsb,IAAKsG,EAAa/hE,EAAO+hE,YAExGA,GAAe5hB,EAAKwJ,QAA0B,MAAhB8R,EAAIoF,WAChCpF,EAAI+wB,aAAa,qBAAsB/wB,EAAI80B,iBAAkB,GAC9DpwC,EAAKt/C,KAAK4/C,KAAK2sB,YAAa3R,EAAI2R,WAAY,IAGlD,IAAIvH,EAAUpK,EAGd,OAFAA,EAAMo+B,GAAep+B,EAAKg+B,EAAWt5C,GAEjCM,EACOm5C,EAAU,IAAIE,EAAmB31F,EAAQg8C,EAAMs5C,EAAWC,EAAWj+B,EAAKsG,EAAY8D,EACvDplB,EAAM2F,EAAMlrC,EAAM,GACnDilC,EAAKwJ,OACH,IAAIowC,EAAa51F,EAAQg8C,EAAMs5C,EAAWC,EAAWj+B,EAAKoK,EAASzf,GAEnE,IAAIozC,EAAar1F,EAAQg8C,EAAMs5C,EAAWC,EAAWj+B,EAAKsG,EAAY8D,EAASzf,EAAMlrC,EAAM,IAGxGs+E,EAAajgG,UAAUy9F,UAAY,WACjC,IAAI3qC,EAASrqD,KAGb,GAAIA,KAAKm+C,KAAKt/C,KAAK4/C,KAAKu5C,cAAiB,OAAO,KAKhD,IAAIz+B,EAAO,CAACpb,KAAMn+C,KAAKm+C,KAAKt/C,KAAKjI,KAAM0rD,MAAOtiD,KAAKm+C,KAAKmE,OAIxD,OAHItiD,KAAKm+C,KAAKt/C,KAAK4/C,KAAK1/B,OAAQw6C,EAAKoD,mBAAqB,QACtD38D,KAAK+/D,aAAe//D,KAAK20F,YAAep7B,EAAKyG,eAAiBhgE,KAAK+/D,WAChExG,EAAKuG,WAAa,WAAc,OAAOzV,EAAO0V,WAAa,WAAS5qC,MAAQk1B,EAAOlM,KAAKr3C,SACxFyyD,GAGTi+B,EAAajgG,UAAUu9F,YAAc,SAAsB32C,EAAMs5C,EAAWC,GAC1E,OAnjBY,GAmjBL13F,KAAKw0F,OAAsBr2C,EAAKgJ,GAAGnnD,KAAKm+C,OAC7C85C,GAAcR,EAAWz3F,KAAKy3F,YAAcC,EAAUvwC,GAAGnnD,KAAK03F,YAGlEjlC,EAAqBl5C,KAAK3e,IAAM,WAAc,OAAOoF,KAAKm+C,KAAKqD,UAE/DiR,EAAqB+/B,OAAO53F,IAAM,WAAc,OAAOoF,KAAKm+C,KAAKiP,OAAS,EAAI,GAM9EoqC,EAAajgG,UAAUogG,eAAiB,SAAyBvzC,EAAMlrC,GACrE,IAAImxC,EAASrqD,KAETs2D,EAASt2D,KAAKm+C,KAAK+E,cAAel0C,EAAMkK,EACxCg/E,EAAc5hC,GAAUlS,EAAK+wB,WAAan1E,KAAKm4F,qBAAqB/zC,EAAMlrC,GAC1Ek/E,EAAU,IAAIC,GAAgBr4F,KAAMk4F,GAAeA,EAAY/5C,OAqkBvE,SAAkBh8C,EAAQm2F,EAAMC,EAAUC,GACxC,IAAIpY,EAASkY,EAAKlY,OAAOj+E,GAAS0sC,EAAS,EAE3C,GAAqB,GAAjBuxC,EAAOjoF,OAAa,CACtB,IAAK,IAAIF,EAAI,EAAGA,EAAIkK,EAAO48C,WAAY9mD,IAAK,CAC1C,IAAI8vD,EAAQ5lD,EAAO4lD,MAAM9vD,GACzBugG,EAAOzwC,EAAOq4B,EAAQkY,EAAKG,SAAS5pD,EAAQkZ,GAAQ9vD,GACpD42C,GAAUkZ,EAAMvG,SAElB,OAIF,IADA,IAAIk3C,EAAY,EAAGxiC,EAAS,GAAIyiC,EAAW,KAClCC,EAAc,IAAK,CAC1B,GAAIF,EAAYtY,EAAOjoF,QAAUioF,EAAOsY,GAAW3hE,IAAM8X,EAAQ,CAE/D,IADA,IAAI43B,EAAS2Z,EAAOsY,KAAcG,OAAU,EACrCH,EAAYtY,EAAOjoF,QAAUioF,EAAOsY,GAAW3hE,IAAM8X,IACvDgqD,IAAYA,EAAU,CAACpyB,KAAUl4D,KAAK6xE,EAAOsY,MAClD,GAAIG,EAAS,CACXA,EAAQ34D,KAAK44D,IACb,IAAK,IAAIl1C,EAAM,EAAGA,EAAMi1C,EAAQ1gG,OAAQyrD,IAAS20C,EAASM,EAAQj1C,GAAMg1C,IAAeD,QAEvFJ,EAAS9xB,EAAQmyB,IAAeD,GAIpC,IAAII,OAAU,EAAUtsF,OAAQ,EAChC,GAAIksF,EACFlsF,GAAS,EACTssF,EAAUJ,EACVA,EAAW,SACN,MAAIC,EAAcz2F,EAAO48C,YAI9B,MAHAtyC,EAAQmsF,EACRG,EAAU52F,EAAO4lD,MAAM6wC,KAKzB,IAAK,IAAIxjC,EAAM,EAAGA,EAAMc,EAAO/9D,OAAQi9D,IAAac,EAAOd,GAAKr+B,IAAM8X,GAAUqnB,EAAOpK,OAAOsJ,IAAO,GACrG,KAAOsjC,EAAYtY,EAAOjoF,QAAUioF,EAAOsY,GAAWvtE,MAAQ0jB,GAAUuxC,EAAOsY,GAAW3hE,GAAK8X,GAAUqnB,EAAO3nD,KAAK6xE,EAAOsY,MAE5H,IAAI52C,EAAMjT,EAASkqD,EAAQv3C,SAC3B,GAAIu3C,EAAQpxC,OAAQ,CAClB,IAAIqxC,EAAQl3C,EACR42C,EAAYtY,EAAOjoF,QAAUioF,EAAOsY,GAAWvtE,KAAO6tE,IAASA,EAAQ5Y,EAAOsY,GAAWvtE,MAC7F,IAAK,IAAI8tE,EAAM,EAAGA,EAAM/iC,EAAO/9D,OAAQ8gG,IAAa/iC,EAAO+iC,GAAKliE,GAAKiiE,IAASA,EAAQ9iC,EAAO+iC,GAAKliE,IAC9FiiE,EAAQl3C,IACV62C,EAAWI,EAAQxrC,IAAIyrC,EAAQnqD,GAC/BkqD,EAAUA,EAAQxrC,IAAI,EAAGyrC,EAAQnqD,GACjCiT,EAAMk3C,EACNvsF,GAAS,GAIb+rF,EAAOO,EAAS7iC,EAAO/9D,OAAS+9D,EAAOjzD,QAAU4zF,EAASyB,EAAKG,SAAS5pD,EAAQkqD,GAAUtsF,GAC1FoiC,EAASiT,GA3nBTo3C,CAASl5F,KAAKm+C,KAAMn+C,KAAK03F,WAAW,SAAUjxB,EAAQxuE,EAAGkhG,GACnD1yB,EAAOhoB,KAAK8E,MACZ60C,EAAQgB,YAAY3yB,EAAOhoB,KAAK8E,MAAO+S,EAAQlS,GAC1CqiB,EAAO5nE,KAAKu/C,MAAQ,IAAM+6C,GAC/Bf,EAAQgB,YAAYnhG,GAAKoyD,EAAOlM,KAAKY,WAAa,OAAKyK,KAAOa,EAAOlM,KAAK4J,MAAM9vD,GAAGsrD,MAAO+S,EAAQlS,GAGtGg0C,EAAQiB,YAAY5yB,EAAQriB,EAAMp1C,MACjC,SAAU+4C,EAAO0vC,EAAWC,EAAWz/F,GAExCmgG,EAAQgB,YAAYrxC,EAAMxE,MAAO+S,EAAQlS,GAGzCg0C,EAAQkB,cAAcvxC,EAAO0vC,EAAWC,EAAWz/F,IAEjDmgG,EAAQmB,eAAexxC,EAAO0vC,EAAWC,EAAWtzC,EAAMnsD,IAE1DmgG,EAAQjoC,QAAQpI,EAAO0vC,EAAWC,EAAWtzC,EAAMp1C,GACrDA,GAAO+4C,EAAMvG,YAGf42C,EAAQgB,YAAYvC,EAASvgC,EAAQlS,GACjCpkD,KAAKm+C,KAAKG,aAAe85C,EAAQoB,oBACrCpB,EAAQqB,eAGJrB,EAAQnqB,SA/lBoC,GA+lBzBjuE,KAAKw0F,SAEtB0D,GAAel4F,KAAK05F,wBAAwBt1C,EAAM8zC,GACtDl4F,KAAK25F,mBAITnC,EAAajgG,UAAUoiG,eAAiB,YA6O1C,SAASC,EAAYC,EAAWC,GAE9B,IADA,IAAIrgC,EAAMogC,EAAUtlF,WACXtc,EAAI,EAAGA,EAAI6hG,EAAM3hG,OAAQF,IAAK,CACrC,IAAI6xD,EAAOgwC,EAAM7hG,GAAI8hG,EAAWjwC,EAAK2P,IACrC,GAAIsgC,EAAS3mF,YAAcymF,EAAW,CACpC,KAAOE,GAAYtgC,GAAOA,EAAMugC,GAAGvgC,GACnCA,EAAMA,EAAIyF,iBAEV26B,EAAUzlF,aAAa2lF,EAAUtgC,GAEnC,GAAI3P,aAAgButC,EAAc,CAChC,IAAIn+E,EAAMugD,EAAMA,EAAImF,gBAAkBi7B,EAAUx7C,UAChDu7C,EAAY9vC,EAAKiW,WAAYjW,EAAKy7B,UAClC9rB,EAAMvgD,EAAMA,EAAIgmD,YAAc26B,EAAUtlF,YAG5C,KAAOklD,GAAOA,EAAMugC,GAAGvgC,GA5PrBmgC,CAAY55F,KAAK+/D,WAAY//D,KAAKulF,UAC9B1rF,EAAO4zF,KA8lBf,SAAkBh0B,GAChB,GAAoB,MAAhBA,EAAIoF,UAAoC,MAAhBpF,EAAIoF,SAAkB,CAChD,IAAIo7B,EAASxgC,EAAI/xD,MAAMsM,QACvBylD,EAAI/xD,MAAMsM,QAAUimF,EAAS,kCAC7BhjG,OAAOutE,iBAAiB/K,GAAKygC,UAC7BzgC,EAAI/xD,MAAMsM,QAAUimF,GAnmBFE,CAASn6F,KAAKy5D,MAGlC+9B,EAAajgG,UAAU4gG,qBAAuB,SAA+B/zC,EAAMlrC,GAIjF,IAAIgmC,EAAMkF,EAAKpgD,MAAM+5C,UACjB5yB,EAAO+zB,EAAI/zB,KACX4L,EAAKmoB,EAAInoB,GACb,OAAMqtB,EAAKpgD,MAAM+5C,qBAAqB,kBAAkB5yB,EAAOjS,GAAO6d,EAAK7d,EAAMlZ,KAAKm+C,KAAKr3C,QAAQyS,MAAnG,CACA,IAAIsuC,EAAMzD,EAAKitC,KAAKsC,eAChB7yB,EA2lBR,SAAwB3iB,EAAMtP,GAC5B,OAAS,CACP,GAAqB,GAAjBsP,EAAKkE,SAAiB,OAAOlE,EACjC,GAAqB,GAAjBA,EAAKkE,UAAiBxT,EAAS,EAAG,CACpC,GAAIsP,EAAKhqC,WAAWhc,OAAS02C,GAA8C,GAApCsP,EAAKhqC,WAAW06B,GAAQwT,SAC3D,OAAOlE,EAAKhqC,WAAW06B,GAC3BsP,EAAOA,EAAKhqC,WAAW06B,EAAS,GAChCA,EAAS2S,EAASrD,OACb,MAAqB,GAAjBA,EAAKkE,UAAiBxT,EAASsP,EAAKhqC,WAAWhc,QAIxD,OAAO,KAHPgmD,EAAOA,EAAKhqC,WAAW06B,GACvBA,EAAS,IArmBIurD,CAAevyC,EAAIksC,UAAWlsC,EAAIosC,aACjD,GAAKnzB,GAAa9gE,KAAKy5D,IAAImH,SAASE,EAAS1tD,YAA7C,CAKA,IAAIhV,EAAO0iE,EAASpC,UAChB27B,EAsmBR,SAA4B3kC,EAAMt3D,EAAM+sB,EAAM4L,GAC5C,IAAK,IAAI9+B,EAAI,EAAGihB,EAAM,EAAGjhB,EAAIy9D,EAAK3W,YAAc7lC,GAAO6d,GAAK,CAC1D,IAAIgxB,EAAQ2N,EAAK3N,MAAM9vD,KAAMqiG,EAAaphF,EAE1C,GADAA,GAAO6uC,EAAMvG,SACRuG,EAAMJ,OAAX,CAEA,IADA,IAAIrtD,EAAMytD,EAAM3pD,KACTnG,EAAIy9D,EAAK3W,YAAY,CAC1B,IAAI70C,EAAOwrD,EAAK3N,MAAM9vD,KAEtB,GADAihB,GAAOhP,EAAKs3C,UACPt3C,EAAKy9C,OAAU,MACpBrtD,GAAO4P,EAAK9L,KAEd,GAAI8a,GAAOiS,EAAM,CACf,IAAIg7B,EAAQ7rD,EAAI+mE,YAAYjjE,EAAM24B,EAAKujE,GACvC,GAAIn0C,GAAS,GAAKA,EAAQ/nD,EAAKjG,OAASmiG,GAAcnvE,EAClD,OAAOmvE,EAAan0C,IAG5B,OAAQ,EAxnBQo0C,CAAmBv6F,KAAKm+C,KAAKr3C,QAAS1I,EAAM+sB,EAAOjS,EAAK6d,EAAK7d,GAE3E,OAAOmhF,EAAU,EAAI,KAAO,CAACl8C,KAAM2iB,EAAU5nD,IAAKmhF,EAASj8F,KAAMA,MAGnEo5F,EAAajgG,UAAUmiG,wBAA0B,SAAkCt1C,EAAMlF,GACvF,IAAIf,EAAOe,EAAIf,KACXjlC,EAAMgmC,EAAIhmC,IACV9a,EAAO8gD,EAAI9gD,KAGf,IAAI4B,KAAKw1F,QAAQr3C,GAAjB,CAIA,IADA,IAAIka,EAAUla,EAERka,EAAQjlD,YAAcpT,KAAK+/D,WADzB1H,EAAUA,EAAQjlD,WAAY,CAEpC,KAAOilD,EAAQuG,iBAAmBvG,EAAQjlD,WAAWC,YAAYglD,EAAQuG,iBACzE,KAAOvG,EAAQ6G,aAAe7G,EAAQjlD,WAAWC,YAAYglD,EAAQ6G,aACjE7G,EAAQm2B,aAAcn2B,EAAQm2B,WAAa,MAEjD,IAAI1kC,EAAO,IAAImtC,EAAoBj3F,KAAMq4D,EAASla,EAAM//C,GACxDgmD,EAAKo2C,iBAAiBjsF,KAAKu7C,GAG3B9pD,KAAKulF,SAAWgS,GAAav3F,KAAKulF,SAAUrsE,EAAKA,EAAM9a,EAAKjG,OAAQisD,EAAM0F,KAM5E0tC,EAAajgG,UAAU0b,OAAS,SAAiBkrC,EAAMs5C,EAAWC,EAAWtzC,GAC3E,QA3pBgE,GA2pB5DpkD,KAAKw0F,QACJr2C,EAAKkP,WAAWrtD,KAAKm+C,SAC1Bn+C,KAAKy6F,YAAYt8C,EAAMs5C,EAAWC,EAAWtzC,IACtC,IAGTozC,EAAajgG,UAAUkjG,YAAc,SAAsBt8C,EAAMs5C,EAAWC,EAAWtzC,GACrFpkD,KAAK06F,gBAAgBjD,GACrBz3F,KAAKm+C,KAAOA,EACZn+C,KAAK03F,UAAYA,EACb13F,KAAK+/D,YAAc//D,KAAK23F,eAAevzC,EAAMpkD,KAAKuyF,YACtDvyF,KAAKw0F,MAtqBO,GAyqBdgD,EAAajgG,UAAUmjG,gBAAkB,SAA0BjD,GACjE,IAAIQ,GAAcR,EAAWz3F,KAAKy3F,WAAlC,CACA,IAAIkD,EAAqC,GAAzB36F,KAAK6jE,QAAQxhB,SACzBu4C,EAAS56F,KAAKy5D,IAClBz5D,KAAKy5D,IAAMohC,GAAe76F,KAAKy5D,IAAKz5D,KAAK6jE,QACfi3B,GAAiB96F,KAAKy3F,UAAWz3F,KAAKm+C,KAAMw8C,GAC5CG,GAAiBrD,EAAWz3F,KAAKm+C,KAAMw8C,IAC7D36F,KAAKy5D,KAAOmhC,IACdA,EAAOpM,WAAa,KACpBxuF,KAAKy5D,IAAI+0B,WAAaxuF,MAExBA,KAAKy3F,UAAYA,IAInBD,EAAajgG,UAAUwjG,WAAa,WAClC/6F,KAAK6jE,QAAQkzB,UAAUz0F,IAAI,6BACvBtC,KAAK+/D,YAAe//D,KAAKm+C,KAAKt/C,KAAK4/C,KAAK2sB,YAAaprE,KAAKy5D,IAAI2R,WAAY,IAIhFosB,EAAajgG,UAAUyjG,aAAe,WACpCh7F,KAAK6jE,QAAQkzB,UAAU7jF,OAAO,6BAC1BlT,KAAK+/D,YAAe//D,KAAKm+C,KAAKt/C,KAAK4/C,KAAK2sB,WAAaprE,KAAKy5D,IAAIwhC,gBAAgB,cAGpF3jG,OAAOiP,iBAAkBixF,EAAajgG,UAAWk7D,GAE1C+kC,EA/NuB,CAgO9BjD,GAIF,SAAS2G,EAAYt8C,EAAK64C,EAAWC,EAAWj+B,EAAKrV,GAEnD,OADAyzC,GAAep+B,EAAKg+B,EAAW74C,GACxB,IAAI44C,EAAa,KAAM54C,EAAK64C,EAAWC,EAAWj+B,EAAKA,EAAKA,EAAKrV,EAAM,GAGhF,IAAI2zC,EAA6B,SAAUP,GACzC,SAASO,EAAa51F,EAAQg8C,EAAMs5C,EAAWC,EAAWj+B,EAAKoK,EAASzf,GACtEozC,EAAa9/F,KAAKsI,KAAMmC,EAAQg8C,EAAMs5C,EAAWC,EAAWj+B,EAAK,KAAMoK,EAASzf,GAgDlF,OA7CKozC,IAAeO,EAAav0E,UAAYg0E,GAC7CO,EAAaxgG,UAAYD,OAAO4N,OAAQsyF,GAAgBA,EAAajgG,WACrEwgG,EAAaxgG,UAAUiB,YAAcu/F,EAErCA,EAAaxgG,UAAUy9F,UAAY,WAEjC,IADA,IAAI31B,EAAOr/D,KAAK6jE,QAAQzwD,WACjBisD,GAAQA,GAAQr/D,KAAKy5D,MAAQ4F,EAAK87B,UAAY97B,EAAOA,EAAKjsD,WACjE,MAAO,CAACisD,KAAMA,IAAQ,IAGxB04B,EAAaxgG,UAAU0b,OAAS,SAAiBkrC,EAAMs5C,GACrD,QA/tBgE,GA+tB5Dz3F,KAAKw0F,OA/tBG,GA+tBqBx0F,KAAKw0F,QAAuBx0F,KAAKo7F,aAC7Dj9C,EAAKkP,WAAWrtD,KAAKm+C,SAC1Bn+C,KAAK06F,gBAAgBjD,GAjuBT,GAkuBPz3F,KAAKw0F,OAAsBr2C,EAAK//C,MAAQ4B,KAAKm+C,KAAK//C,MAAS+/C,EAAK//C,MAAQ4B,KAAK6jE,QAAQnF,YACtF1+D,KAAK6jE,QAAQnF,UAAYvgB,EAAK//C,MAClC4B,KAAKm+C,KAAOA,EACZn+C,KAAKw0F,MAruBO,GAsuBL,IAGTuD,EAAaxgG,UAAU6jG,SAAW,WAEhC,IADA,IAAIvB,EAAY75F,KAAKmC,OAAO49D,WACnBlpD,EAAI7W,KAAK6jE,QAAShtD,EAAGA,EAAIA,EAAEzD,WAAc,GAAIyD,GAAKgjF,EAAa,OAAO,EAC/E,OAAO,GAGT9B,EAAaxgG,UAAUq9E,WAAa,SAAqB17D,GACvD,MAAO,CAACilC,KAAMn+C,KAAK6jE,QAASh1B,OAAQ31B,IAGtC6+E,EAAaxgG,UAAU29F,gBAAkB,SAA0Bz7B,EAAK5qB,EAAQuX,GAC9E,OAAIqT,GAAOz5D,KAAK6jE,QAAkB7jE,KAAKuyF,WAAaz7F,KAAKkK,IAAI6tC,EAAQ7uC,KAAKm+C,KAAK//C,KAAKjG,QAC7Eq/F,EAAajgG,UAAU29F,gBAAgBx9F,KAAKsI,KAAMy5D,EAAK5qB,EAAQuX,IAGxE2xC,EAAaxgG,UAAUi/F,eAAiB,SAAyBvrB,GAC/D,MAAwB,iBAAjBA,EAASpsE,MAA4C,aAAjBosE,EAASpsE,MAGtDk5F,EAAaxgG,UAAU0L,MAAQ,SAAgBkoB,EAAM4L,EAAIqtB,GACvD,IAAIjG,EAAOn+C,KAAKm+C,KAAKoP,IAAIpiC,EAAM4L,GAAK0iC,EAAM9/D,SAASua,eAAeiqC,EAAK//C,MACvE,OAAO,IAAI25F,EAAa/3F,KAAKmC,OAAQg8C,EAAMn+C,KAAKy3F,UAAWz3F,KAAK03F,UAAWj+B,EAAKA,EAAKrV,IAGhF2zC,EAlDuB,CAmD9BP,GAIE6D,EAA+B,SAAU9G,GAC3C,SAAS8G,IACP9G,EAASxuF,MAAM/F,KAAMjG,WAUvB,OAPKw6F,IAAW8G,EAAe73E,UAAY+wE,GAC3C8G,EAAe9jG,UAAYD,OAAO4N,OAAQqvF,GAAYA,EAASh9F,WAC/D8jG,EAAe9jG,UAAUiB,YAAc6iG,EAEvCA,EAAe9jG,UAAUy9F,UAAY,WAAwB,MAAO,CAACpqC,QAAQ,IAC7EywC,EAAe9jG,UAAUw9F,YAAc,WAA0B,OAhxBnD,GAgxB0D/0F,KAAKw0F,OAEtE6G,EAZyB,CAahC9G,GAKEuD,EAAmC,SAAUN,GAC/C,SAASM,EAAmB31F,EAAQg8C,EAAMs5C,EAAWC,EAAWj+B,EAAKsG,EAAY8D,EAASplB,EAAM2F,EAAMlrC,GACpGs+E,EAAa9/F,KAAKsI,KAAMmC,EAAQg8C,EAAMs5C,EAAWC,EAAWj+B,EAAKsG,EAAY8D,EAASzf,EAAMlrC,GAC5FlZ,KAAKy+C,KAAOA,EAiDd,OA9CK+4C,IAAeM,EAAmBt0E,UAAYg0E,GACnDM,EAAmBvgG,UAAYD,OAAO4N,OAAQsyF,GAAgBA,EAAajgG,WAC3EugG,EAAmBvgG,UAAUiB,YAAcs/F,EAK3CA,EAAmBvgG,UAAU0b,OAAS,SAAiBkrC,EAAMs5C,EAAWC,EAAWtzC,GACjF,GAtyBgE,GAsyB5DpkD,KAAKw0F,MAAuB,OAAO,EACvC,GAAIx0F,KAAKy+C,KAAKxrC,OAAQ,CACpB,IAAIpZ,EAASmG,KAAKy+C,KAAKxrC,OAAOkrC,EAAMs5C,GAEpC,OADI59F,GAAUmG,KAAKy6F,YAAYt8C,EAAMs5C,EAAWC,EAAWtzC,GACpDvqD,EACF,SAAKmG,KAAK+/D,aAAe5hB,EAAKiP,SAG5BoqC,EAAajgG,UAAU0b,OAAOvb,KAAKsI,KAAMm+C,EAAMs5C,EAAWC,EAAWtzC,IAIhF0zC,EAAmBvgG,UAAUwjG,WAAa,WACxC/6F,KAAKy+C,KAAKs8C,WAAa/6F,KAAKy+C,KAAKs8C,aAAevD,EAAajgG,UAAUwjG,WAAWrjG,KAAKsI,OAGzF83F,EAAmBvgG,UAAUyjG,aAAe,WAC1Ch7F,KAAKy+C,KAAKu8C,aAAeh7F,KAAKy+C,KAAKu8C,eAAiBxD,EAAajgG,UAAUyjG,aAAatjG,KAAKsI,OAG/F83F,EAAmBvgG,UAAU8oD,aAAe,SAAuBlP,EAAQv/B,EAAMy/E,EAAM2E,GACrFh2F,KAAKy+C,KAAK4B,aAAergD,KAAKy+C,KAAK4B,aAAalP,EAAQv/B,EAAMy/E,GAC1DmG,EAAajgG,UAAU8oD,aAAa3oD,KAAKsI,KAAMmxC,EAAQv/B,EAAMy/E,EAAM2E,IAGzE8B,EAAmBvgG,UAAU+rE,QAAU,WACjCtjE,KAAKy+C,KAAK6kB,SAAWtjE,KAAKy+C,KAAK6kB,UACnCk0B,EAAajgG,UAAU+rE,QAAQ5rE,KAAKsI,OAGtC83F,EAAmBvgG,UAAU4zE,UAAY,SAAoB7qE,GAC3D,QAAON,KAAKy+C,KAAK0sB,WAAYnrE,KAAKy+C,KAAK0sB,UAAU7qE,IAGnDw3F,EAAmBvgG,UAAUi/F,eAAiB,SAAyBvrB,GACrE,OAAOjrE,KAAKy+C,KAAK+3C,eAAiBx2F,KAAKy+C,KAAK+3C,eAAevrB,GAAYusB,EAAajgG,UAAUi/F,eAAe9+F,KAAKsI,KAAMirE,IAGnH6sB,EApD6B,CAqDpCN,GAyBF,SAAS8D,EAAez8B,GAClBA,IAAY7+D,KAAK6+D,SAAWA,GAElCy8B,EAAe/jG,UAAYD,OAAO4N,OAAO,MAEzC,IAAIq2F,EAAS,CAAC,IAAID,GAElB,SAASR,GAAiBrD,EAAWt5C,EAAMw8C,GACzC,GAAwB,GAApBlD,EAAUt/F,OAAe,OAAOojG,EAIpC,IAFA,IAAI19B,EAAM88B,EAAYY,EAAO,GAAK,IAAID,EAAgBzhG,EAAS,CAACgkE,GAEvD5lE,EAAI,EAAGA,EAAIw/F,EAAUt/F,OAAQF,IAAK,CACzC,IAAIqqD,EAAQm1C,EAAUx/F,GAAG4G,KAAKyjD,MAAO4S,EAAM2I,EAC3C,GAAKvb,EAIL,IAAK,IAAI1rD,KAHL0rD,EAAMuc,UACNhlE,EAAO0U,KAAK2mD,EAAM,IAAIomC,EAAeh5C,EAAMuc,WAE9Bvc,EAAO,CACtB,IAAI7qD,EAAM6qD,EAAM1rD,GACL,MAAPa,IACAkjG,GAA8B,GAAjB9gG,EAAO1B,QACpB0B,EAAO0U,KAAK2mD,EAAM2I,EAAM,IAAIy9B,EAAen9C,EAAK0H,SAAW,OAAS,QAC5D,SAARjvD,EAAmBs+D,EAAIiO,OAASjO,EAAIiO,MAAQjO,EAAIiO,MAAQ,IAAM,IAAM1rE,EACvD,SAARb,EAAmBs+D,EAAIxtD,OAASwtD,EAAIxtD,MAAQwtD,EAAIxtD,MAAQ,IAAM,IAAMjQ,EAC5D,YAARb,IAAsBs+D,EAAIt+D,GAAQa,KAI/C,OAAOoC,EAGT,SAASghG,GAAeW,EAAU33B,EAAS43B,EAAcC,GAEvD,GAAID,GAAgBF,GAAUG,GAAeH,EAAU,OAAO13B,EAG9D,IADA,IAAI83B,EAAS93B,EACJ5rE,EAAI,EAAGA,EAAIyjG,EAAYvjG,OAAQF,IAAK,CAC3C,IAAIqgG,EAAOoD,EAAYzjG,GAAI+7B,EAAOynE,EAAaxjG,GAC/C,GAAIA,EAAG,CACL,IAAIkK,OAAS,EACT6xB,GAAQA,EAAK6qC,UAAYy5B,EAAKz5B,UAAY88B,GAAUH,IACnDr5F,EAASw5F,EAAOvoF,aAAejR,EAAOmgE,QAAQnwD,eAAiBmmF,EAAKz5B,YAGvE18D,EAASxI,SAASqZ,cAAcslF,EAAKz5B,WAC9Bs8B,UAAW,EAClBh5F,EAAOyF,YAAY+zF,GACnB3nE,EAAOunE,EAAO,IALdI,EAASx5F,EASby5F,GAAgBD,EAAQ3nE,GAAQunE,EAAO,GAAIjD,GAE7C,OAAOqD,EAGT,SAASC,GAAgBniC,EAAKzlC,EAAMkhC,GAClC,IAAK,IAAIt+D,KAAQo9B,EACD,SAARp9B,GAA2B,SAARA,GAA2B,YAARA,GAAwBA,KAAQs+D,GACxEuE,EAAIwhC,gBAAgBrkG,GAC1B,IAAK,IAAI0jE,KAAUpF,EACD,SAAVoF,GAA+B,SAAVA,GAA+B,YAAVA,GAAwBpF,EAAIoF,IAAWtmC,EAAKsmC,IACxFb,EAAIplD,aAAaimD,EAAQpF,EAAIoF,IACnC,GAAItmC,EAAKmvC,OAASjO,EAAIiO,MAAO,CAG3B,IAFA,IAAI04B,EAAW7nE,EAAKmvC,MAAQnvC,EAAKmvC,MAAMxjE,MAAM,KAAOk3F,EAChDiF,EAAU5mC,EAAIiO,MAAQjO,EAAIiO,MAAMxjE,MAAM,KAAOk3F,EACxC5+F,EAAI,EAAGA,EAAI4jG,EAAS1jG,OAAQF,KAA4C,GAAjC6jG,EAAQl9F,QAAQi9F,EAAS5jG,KACrEwhE,EAAIs9B,UAAU7jF,OAAO2oF,EAAS5jG,IAClC,IAAK,IAAI2rD,EAAM,EAAGA,EAAMk4C,EAAQ3jG,OAAQyrD,KAAgD,GAAnCi4C,EAASj9F,QAAQk9F,EAAQl4C,KAC1E6V,EAAIs9B,UAAUz0F,IAAIw5F,EAAQl4C,IAEhC,GAAI5vB,EAAKtsB,OAASwtD,EAAIxtD,MAAO,CAC3B,GAAIssB,EAAKtsB,MAEP,IADA,IAA4Fsa,EAAxF3C,EAAO,gFACJ2C,EAAI3C,EAAKrpB,KAAKg+B,EAAKtsB,QACtB+xD,EAAI/xD,MAAMq0F,eAAe/5E,EAAE,IAE7BkzC,EAAIxtD,QACJ+xD,EAAI/xD,MAAMsM,SAAWkhD,EAAIxtD,QAIjC,SAASmwF,GAAep+B,EAAK6+B,EAAMn6C,GACjC,OAAO08C,GAAephC,EAAKA,EAAK8hC,EAAQT,GAAiBxC,EAAMn6C,EAAsB,GAAhBsb,EAAIpX,WAI3E,SAAS41C,GAAc/9F,EAAGC,GACxB,GAAID,EAAE/B,QAAUgC,EAAEhC,OAAU,OAAO,EACnC,IAAK,IAAIF,EAAI,EAAGA,EAAIiC,EAAE/B,OAAQF,IAAO,IAAKiC,EAAEjC,GAAG4G,KAAKsoD,GAAGhtD,EAAElC,GAAG4G,MAAS,OAAO,EAC5E,OAAO,EAIT,SAASm7F,GAAGvgC,GACV,IAAIvvD,EAAOuvD,EAAIyF,YAEf,OADAzF,EAAIrmD,WAAWC,YAAYomD,GACpBvvD,EAKT,IAAImuF,GAAkB,SAAyBx6B,EAAKm+B,GAClDh8F,KAAK69D,IAAMA,EACX79D,KAAKi8F,KAAOD,EAGZh8F,KAAKyM,MAAQ,EAGbzM,KAAK2uB,MAAQ,GAEb3uB,KAAKiuE,SAAU,EAEf,IAAI/R,EA6JN,SAAkBxG,EAAMokC,GAEtB,IADA,IAAIjgG,EAAS,GAAIioD,EAAM4T,EAAK3W,WACnB9mD,EAAI6hG,EAAM3hG,OAAS,EAAG2pD,EAAM,GAAK7pD,GAAK,EAAGA,IAAK,CACrD,IAAI6xD,EAAOgwC,EAAM7hG,GAAIkmD,EAAO2L,EAAK3L,KACjC,GAAKA,EAAL,CACA,GAAIA,GAAQuX,EAAK3N,MAAMjG,EAAM,GAAM,MACnCjoD,EAAO0U,KAAKu7C,KACVhI,GAEJ,MAAO,CAAC+M,MAAOh1D,EAAOu8D,UAAWvnB,OAAQiT,GAtK/Bo6C,CAASr+B,EAAI1f,KAAKr3C,QAAS+2D,EAAI0nB,UACzCvlF,KAAKm8F,WAAajgC,EAAIrN,MACtB7uD,KAAKo8F,eAAiBlgC,EAAIrtB,QAuK5B,SAASiqD,GAAY5+F,EAAGC,GAAK,OAAOD,EAAE2E,KAAKu/C,KAAOjkD,EAAE0E,KAAKu/C,KAyHzD,SAASm5C,GAAa1oC,EAAO1jC,EAAM4L,EAAIqtB,EAAMxwC,GAE3C,IADA,IAAI/Z,EAAS,GACJ5B,EAAI,EAAG+W,EAAM,EAAG/W,EAAI42D,EAAM12D,OAAQF,IAAK,CAC9C,IAAI8vD,EAAQ8G,EAAM52D,GAAI60D,EAAQ99C,EAAK8yC,EAAM9yC,GAAO+4C,EAAMxuC,KAClDuzC,GAAS/1B,GAAM+qB,GAAO32B,EACxBtxB,EAAO0U,KAAKw5C,IAER+E,EAAQ3hC,GAAQtxB,EAAO0U,KAAKw5C,EAAM9kD,MAAM,EAAGkoB,EAAO2hC,EAAO1I,IACzDxwC,IACF/Z,EAAO0U,KAAKqF,GACZA,EAAc,MAEZkuC,EAAM/qB,GAAMl9B,EAAO0U,KAAKw5C,EAAM9kD,MAAM8zB,EAAK+1B,EAAO/E,EAAMxuC,KAAM6qC,KAGpE,OAAOvqD,EAGT,SAASwiG,GAAmBr4F,EAAOyL,GACjC,IAAIyvC,EAAMl7C,EAAM+5C,UACZ8B,EAAUX,EAAIW,QACdD,EAAQV,EAAIU,MACZ08C,EAAQ7sF,EAAM,EAAIowC,EAAQ74B,IAAI44B,GAASC,EAAQ7+C,IAAI4+C,GACnDmQ,EAAUusC,EAAMn6F,OAAO+gD,cAAwBo5C,EAAM39C,MAAQ36C,EAAM46C,IAAIviC,QAAQ5M,EAAM,EAAI6sF,EAAMt9C,QAAUs9C,EAAMz9C,UAAY,KAApFy9C,EAC3C,OAAOvsC,GAAU,YAAU5N,SAAS4N,EAAQtgD,GAG9C,SAAS1J,GAAMq+C,EAAMyD,GAEnB,OADAzD,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAGqC,aAAawH,GAAK5J,mBACvC,EAGT,SAASs+C,GAAmBn4C,EAAM30C,EAAK+sF,GACrC,IAAI30C,EAAMzD,EAAKpgD,MAAM+5C,UACrB,KAAI8J,aAAe,iBAuBZ,IAAIA,aAAe,iBAAiBA,EAAI1J,KAAK0H,SAClD,OAAO9/C,GAAMq+C,EAAM,IAAI,gBAAc30C,EAAM,EAAIo4C,EAAIzI,IAAMyI,EAAI1I,QAE7D,IAAIgW,EAASknC,GAAmBj4C,EAAKpgD,MAAOyL,GAC5C,QAAI0lD,GAAiBpvD,GAAMq+C,EAAM+Q,GA1BjC,IAAKtN,EAAI1yB,OAASqnE,EAAK59F,QAAQ,MAAQ,EACrC,OAAO,EACF,GAAIwlD,EAAKE,eAAe70C,EAAM,EAAI,QAAU,QAAS,CAC1D,IAAIvF,EAAOmyF,GAAmBj4C,EAAKpgD,MAAOyL,GAC1C,SAAIvF,GAASA,aAAgB,kBAAyBnE,GAAMq+C,EAAMl6C,GAE7D,KAAMrQ,EAAOozF,KAAOuP,EAAK59F,QAAQ,MAAQ,GAAI,CAClD,IAAsGkrD,EAAlGlK,EAAQiI,EAAIjI,MAAOzB,EAAOyB,EAAM+Q,WAAa,KAAOlhD,EAAM,EAAImwC,EAAMwB,WAAaxB,EAAMyB,UAC3F,IAAKlD,GAAQA,EAAKwJ,OAAU,OAAO,EACnC,IAAI80C,EAAUhtF,EAAM,EAAImwC,EAAM1mC,IAAMilC,EAAKqD,SAAW5B,EAAM1mC,IAC1D,SAAMilC,EAAKsG,SAAWqF,EAAO1F,EAAK+uB,QAAQsiB,OAAOgH,MAAc3yC,EAAKiW,cAChE,gBAAcxb,aAAapG,GACtBp4C,GAAMq+C,EAAM,IAAI,gBAAc30C,EAAM,EAAI20C,EAAKpgD,MAAM46C,IAAIviC,QAAQujC,EAAM1mC,IAAMilC,EAAKqD,UAAY5B,MAC1F/lD,EAAO8zF,QAIT5nF,GAAMq+C,EAAM,IAAI,gBAAcA,EAAKpgD,MAAM46C,IAAIviC,QAAQ5M,EAAM,EAAIgtF,EAAUA,EAAUt+C,EAAKqD,cAcvG,SAASk7C,GAAQv+C,GACf,OAAwB,GAAjBA,EAAKkE,SAAgBlE,EAAKugB,UAAUvmE,OAASgmD,EAAKhqC,WAAWhc,OAGtE,SAASwkG,GAAYljC,GACnB,IAAI3P,EAAO2P,EAAI+0B,WACf,OAAO1kC,GAAqB,GAAbA,EAAKvwC,OAAckgD,EAAIyF,aAA+B,MAAhBzF,EAAIoF,UAK3D,SAAS+9B,GAAqBx4C,GAC5B,IAAIyD,EAAMzD,EAAKitC,KAAKsC,eAChBx1C,EAAO0J,EAAIksC,UAAWllD,EAASgZ,EAAIosC,YACvC,GAAK91C,EAAL,CACA,IAAI0+C,EAAUC,EAAY9G,GAAQ,EAKlC,IADIn8F,EAAOwzF,OAA0B,GAAjBlvC,EAAKkE,UAAiBxT,EAAS6tD,GAAQv+C,IAASw+C,GAAYx+C,EAAKhqC,WAAW06B,MAAYmnD,GAAQ,KAElH,GAAInnD,EAAS,EAAG,CACd,GAAqB,GAAjBsP,EAAKkE,SACP,MAEA,IAAIxD,EAASV,EAAKhqC,WAAW06B,EAAS,GACtC,GAAI8tD,GAAY99C,GACdg+C,EAAW1+C,EACX2+C,IAAejuD,MACV,IAAuB,GAAnBgQ,EAAOwD,SAGT,MADPxT,GADAsP,EAAOU,GACO6f,UAAUvmE,YAGvB,IAAI4kG,GAAY5+C,GACrB,MAGA,IADA,IAAInqB,EAAOmqB,EAAKygB,gBACT5qC,GAAQ2oE,GAAY3oE,IACzB6oE,EAAW1+C,EAAK/qC,WAChB0pF,EAAa9O,EAASh6D,GACtBA,EAAOA,EAAK4qC,gBAEd,GAAK5qC,EAMH6a,EAAS6tD,GADTv+C,EAAOnqB,OALE,CAET,IADAmqB,EAAOA,EAAK/qC,aACAgxC,EAAKqV,IAAO,MACxB5qB,EAAS,GAOXmnD,EAASgH,GAAY54C,EAAMyD,EAAK1J,EAAMtP,GACjCguD,GAAYG,GAAY54C,EAAMyD,EAAKg1C,EAAUC,IAKxD,SAASG,GAAsB74C,GAC7B,IAAIyD,EAAMzD,EAAKitC,KAAKsC,eAChBx1C,EAAO0J,EAAIksC,UAAWllD,EAASgZ,EAAIosC,YACvC,GAAK91C,EAAL,CAGA,IAFA,IACI0+C,EAAUC,EADVjvF,EAAM6uF,GAAQv+C,KAGhB,GAAItP,EAAShhC,EAAK,CAChB,GAAqB,GAAjBswC,EAAKkE,SAAiB,MAE1B,IAAIs6C,GADQx+C,EAAKhqC,WAAW06B,IAKrB,MAHLguD,EAAW1+C,EACX2+C,IAAejuD,MAGZ,IAAIkuD,GAAY5+C,GACrB,MAGA,IADA,IAAIj0C,EAAOi0C,EAAK+gB,YACTh1D,GAAQyyF,GAAYzyF,IACzB2yF,EAAW3yF,EAAKkJ,WAChB0pF,EAAa9O,EAAS9jF,GAAQ,EAC9BA,EAAOA,EAAKg1D,YAEd,GAAKh1D,EAMH2kC,EAAS,EACThhC,EAAM6uF,GAFNv+C,EAAOj0C,OALE,CAET,IADAi0C,EAAOA,EAAK/qC,aACAgxC,EAAKqV,IAAO,MACxB5qB,EAAShhC,EAAM,GAQjBgvF,GAAYG,GAAY54C,EAAMyD,EAAKg1C,EAAUC,IAGnD,SAASC,GAAYtjC,GACnB,IAAI3P,EAAO2P,EAAI+0B,WACf,OAAO1kC,GAAQA,EAAK3L,MAAQ2L,EAAK3L,KAAKqC,QAGxC,SAASw8C,GAAY54C,EAAMyD,EAAK1J,EAAMtP,GACpC,GAAI4/C,EAAmB5mC,GAAM,CAC3B,IAAIxI,EAAQ1lD,SAAS06E,cACrBh1B,EAAMi1B,OAAOn2B,EAAMtP,GACnBwQ,EAAMk1B,SAASp2B,EAAMtP,GACrBgZ,EAAIwsC,kBACJxsC,EAAIoI,SAAS5Q,QACJwI,EAAI5tD,QACb4tD,EAAI5tD,OAAOkkD,EAAMtP,GAEnBuV,EAAK84C,YAAYC,kBAOnB,SAASC,GAAiBh5C,EAAM30C,EAAK+sF,GACnC,IAAI30C,EAAMzD,EAAKpgD,MAAM+5C,UACrB,GAAI8J,aAAe,kBAAkBA,EAAI1yB,OAASqnE,EAAK59F,QAAQ,MAAQ,EAAK,OAAO,EACnF,GAAI/E,EAAOozF,KAAOuP,EAAK59F,QAAQ,MAAQ,EAAK,OAAO,EACnD,IAAIugD,EAAQ0I,EAAI1I,MACZC,EAAMyI,EAAIzI,IAEd,IAAKD,EAAMh9C,OAAO+gD,eAAiBkB,EAAKE,eAAe70C,EAAM,EAAI,KAAO,QAAS,CAC/E,IAAIvF,EAAOmyF,GAAmBj4C,EAAKpgD,MAAOyL,GAC1C,GAAIvF,GAASA,aAAgB,gBACzB,OAAOnE,GAAMq+C,EAAMl6C,GAEzB,IAAKi1C,EAAMh9C,OAAO+gD,cAAe,CAC/B,IAAIm6C,EAAS,YAAUl7C,SAAS1yC,EAAM,EAAI0vC,EAAQC,EAAK3vC,GACvD,OAAO4tF,GAASt3F,GAAMq+C,EAAMi5C,GAE9B,OAAO,EAGT,SAASC,GAA2Bl5C,EAAM30C,GACxC,KAAM20C,EAAKpgD,MAAM+5C,qBAAqB,iBAAkB,OAAO,EAC/D,IAAImB,EAAMkF,EAAKpgD,MAAM+5C,UACjB6B,EAAQV,EAAIU,MACZC,EAAUX,EAAIW,QACd1qB,EAAQ+pB,EAAI/pB,MAChB,IAAKyqB,EAAME,WAAWD,GAAY,OAAO,EACzC,IAAK1qB,EAAS,OAAO,EACrB,GAAIivB,EAAKE,eAAe70C,EAAM,EAAI,UAAY,YAAe,OAAO,EACpE,IAAI8tF,GAAY39C,EAAM+Q,aAAelhD,EAAM,EAAImwC,EAAMwB,WAAaxB,EAAMyB,WACxE,GAAIk8C,IAAaA,EAAS51C,OAAQ,CAChC,IAAI3J,EAAKoG,EAAKpgD,MAAMg6C,GAIpB,OAHIvuC,EAAM,EAAKuuC,EAAGuD,OAAO3B,EAAM1mC,IAAMqkF,EAAS/7C,SAAU5B,EAAM1mC,KACvD8kC,EAAGuD,OAAO3B,EAAM1mC,IAAK0mC,EAAM1mC,IAAMqkF,EAAS/7C,UACjD4C,EAAKtG,SAASE,IACP,EAET,OAAO,EAGT,SAASw/C,GAAep5C,EAAMjG,EAAMn6C,GAClCogD,EAAK84C,YAAYvkF,OACjBwlC,EAAKowC,gBAAkBvqF,EACvBogD,EAAK84C,YAAYpwC,QAoCnB,SAAS2wC,GAAer5C,EAAM9jD,GAC5B,IAAIye,EAAOze,EAAM0uF,QAASwN,EAV5B,SAAiBl8F,GACf,IAAIzG,EAAS,GAKb,OAJIyG,EAAMo9F,UAAW7jG,GAAU,KAC3ByG,EAAMq9F,UAAW9jG,GAAU,KAC3ByG,EAAMs9F,SAAU/jG,GAAU,KAC1ByG,EAAMu9F,WAAYhkG,GAAU,KACzBA,EAI0BikG,CAAQx9F,GACzC,OAAY,GAARye,GAAcllB,EAAOozF,KAAe,IAARluE,GAAsB,KAARy9E,EACrCc,GAA2Bl5C,GAAO,IAAMw4C,GAAqBx4C,GACnD,IAARrlC,GAAellB,EAAOozF,KAAe,IAARluE,GAAsB,KAARy9E,EAC7Cc,GAA2Bl5C,EAAM,IAAM64C,GAAsB74C,GACnD,IAARrlC,GAAsB,IAARA,IAEN,IAARA,EACFw9E,GAAmBn4C,GAAO,EAAGo4C,IAASI,GAAqBx4C,GACjD,IAARrlC,EACFw9E,GAAmBn4C,EAAM,EAAGo4C,IAASS,GAAsB74C,GACjD,IAARrlC,EACFq+E,GAAiBh5C,GAAO,EAAGo4C,IAASI,GAAqBx4C,GAC/C,IAARrlC,EA3Cb,SAA4BqlC,GAC1B,GAAKvqD,EAAO0zF,UAAUnpC,EAAKpgD,MAAM+5C,UAAU6B,MAAMa,aAAe,GAAhE,CACA,IAAIvB,EAAMkF,EAAKitC,KAAKsC,eAChBI,EAAY70C,EAAI60C,UAChBE,EAAc/0C,EAAI+0C,YACtB,GAAIF,GAAmC,GAAtBA,EAAU1xC,UAAgC,GAAf4xC,GACxCF,EAAUx/E,YAAsD,SAAxCw/E,EAAUx/E,WAAWg6E,gBAA4B,CAC3E,IAAIxmC,EAAQgsC,EAAUx/E,WACtBipF,GAAep5C,EAAM2D,GAAO,GAC5B36C,YAAW,WAAc,OAAOowF,GAAep5C,EAAM2D,GAAO,KAAW,MAmChEg2C,CAAmB35C,IAASg5C,GAAiBh5C,EAAM,EAAGo4C,IAASS,GAAsB74C,GACnFo4C,IAAS3iG,EAAOozF,IAAM,IAAM,OACnB,IAARluE,GAAsB,IAARA,GAAsB,IAARA,GAAsB,IAARA,IAMxD,SAASi/E,GAAiB55C,EAAM65C,GAC9B,IAAIvP,EAAStqC,EAAKitC,KAAKsC,eAAgB/0C,EAAMwF,EAAKpgD,MAAM46C,IACxD,IAAK8vC,EAAOqF,UAAa,OAAO,KAChC,IAAI9B,EAAc7tC,EAAK+uB,QAAQ8e,YAAYvD,EAAOqF,WAAYmK,EAAWjM,GAAmC,GAApBA,EAAY14E,KAChG3H,EAAOwyC,EAAK+uB,QAAQif,WAAW1D,EAAOqF,UAAWrF,EAAOuF,aAC5D,GAAIriF,EAAO,EAAK,OAAO,KACvB,IAA+BiuC,EAAS9B,EAApC6B,EAAQhB,EAAIviC,QAAQzK,GACxB,GAAI68E,EAAmBC,GAAS,CAE9B,IADA7uC,EAAUD,EACHqyC,IAAgBA,EAAY9zC,MAAQ8zC,EAAcA,EAAY9vF,OACrE,GAAI8vF,GAAeA,EAAY9zC,KAAKsG,QAAU,gBAAcF,aAAa0tC,EAAY9zC,OAAS8zC,EAAY9vF,UACjG8vF,EAAY9zC,KAAK0H,WAtmE9B,SAAkB1H,EAAMtP,EAAQ1sC,GAC9B,IAAK,IAAImkD,EAAoB,GAAVzX,EAAa6R,EAAQ7R,GAAU2S,EAASrD,GAAOmI,GAAW5F,GAAQ,CACnF,GAAIvC,GAAQh8C,EAAU,OAAO,EAC7B,IAAIsK,EAAQuhF,EAAS7vC,GAErB,KADAA,EAAOA,EAAK/qC,YACC,OAAO,EACpBkzC,EAAUA,GAAoB,GAAT75C,EACrBi0C,EAAQA,GAASj0C,GAAS+0C,EAASrD,IA+lEGggD,CAASzP,EAAOqF,UAAWrF,EAAOuF,YAAahC,EAAYx4B,MAAO,CACtG,IAAIvgD,EAAM+4E,EAAYC,UACtBn0C,EAAY,IAAI,gBAAcnsC,GAAQsH,EAAM0mC,EAAQhB,EAAIviC,QAAQnD,SAE7D,CACL,IAAIi4B,EAASiT,EAAK+uB,QAAQif,WAAW1D,EAAOyH,WAAYzH,EAAO0H,cAC/D,GAAIjlD,EAAS,EAAK,OAAO,KACzB0O,EAAUjB,EAAIviC,QAAQ80B,GAGnB4M,IAEHA,EAAYqgD,GAAiBh6C,EAAMvE,EAASD,EADvB,WAAVq+C,GAAwB75C,EAAKpgD,MAAM+5C,UAAUnsC,KAAOguC,EAAM1mC,MAAQglF,EAAY,GAAK,IAGhG,OAAOngD,EAGT,SAASsgD,GAAej6C,EAAM4xC,GAC5B,IAAInuC,EAAMzD,EAAKpgD,MAAM+5C,UAGrB,GAFAugD,GAAkBl6C,EAAMyD,GAEpBzD,EAAK0gB,SAAY1gB,EAAKm6C,WACpBC,GAAap6C,IAASzqD,SAASw5F,eAAiBx5F,SAASw5F,cAAcvyB,SAASxc,EAAKqV,KAD3F,CAKA,GAFArV,EAAK84C,YAAYuB,sBAEbr6C,EAAKs6C,eAwEX,SAA6Bt6C,GAC3B,IAAIsqC,EAAStqC,EAAKitC,KAAKsC,eAAgBt0C,EAAQ1lD,SAAS06E,cACpDl2B,EAAOiG,EAAKs6C,cAAcjlC,IAAKklC,EAAuB,OAAjBxgD,EAAK0gB,SAC1C8/B,EAAOt/C,EAAMi1B,OAAOn2B,EAAK/qC,WAAY46E,EAAS7vC,GAAQ,GACnDkB,EAAMi1B,OAAOn2B,EAAM,GAC1BkB,EAAMi3C,UAAS,GACf5H,EAAO2F,kBACP3F,EAAOz+B,SAAS5Q,IAMXs/C,IAAQv6C,EAAKpgD,MAAM+5C,UAAUgJ,SAAWltD,EAAOqzF,IAAMrzF,EAAOszF,YAAc,KAC7EhvC,EAAKygD,UAAW,EAChBzgD,EAAKygD,UAAW,GAtFhBC,CAAoBz6C,OACf,CACL,IAEI06C,EAAmBC,EAFnB5tD,EAAS0W,EAAI1W,OACbv/B,EAAOi2C,EAAIj2C,MAEXotF,IAAmCn3C,aAAe,kBAC/CA,EAAI1I,MAAMh9C,OAAO+gD,gBAClB47C,EAAoBG,GAAwB76C,EAAMyD,EAAI18B,OACrD08B,EAAI1yB,OAAU0yB,EAAI1I,MAAMh9C,OAAO+gD,gBAChC67C,EAAkBE,GAAwB76C,EAAMyD,EAAI9wB,MAE1DqtB,EAAK+uB,QAAQ9yB,aAAalP,EAAQv/B,EAAMwyC,EAAKitC,KAAM2E,GAC/CgJ,KACEF,GAAqBI,GAAcJ,GACnCC,GAAmBG,GAAcH,IAEnCl3C,EAAId,QACN3C,EAAKqV,IAAIs9B,UAAU7jF,OAAO,8BAE1BkxC,EAAKqV,IAAIs9B,UAAUz0F,IAAI,6BACnB,sBAAuB3I,UAsCjC,SAAsCyqD,GACpC,IAAIxF,EAAMwF,EAAKqV,IAAIkG,cACnB/gB,EAAI2kB,oBAAoB,kBAAmBnf,EAAK+6C,oBAChD,IAAIzQ,EAAStqC,EAAKitC,KAAKsC,eACnBx1C,EAAOuwC,EAAOyH,WAAYtnD,EAAS6/C,EAAO0H,aAC9Cx3C,EAAIxgC,iBAAiB,kBAAmBgmC,EAAK+6C,mBAAqB,WAC5DzQ,EAAOyH,YAAch4C,GAAQuwC,EAAO0H,cAAgBvnD,IACtD+P,EAAI2kB,oBAAoB,kBAAmBnf,EAAK+6C,oBAChD/6C,EAAKqV,IAAIs9B,UAAU7jF,OAAO,gCA9CaksF,CAA6Bh7C,IAIxEA,EAAK84C,YAAYC,kBACjB/4C,EAAK84C,YAAYmC,oBA1nBnBhH,GAAgB9gG,UAAU+nG,YAAc,SAAsB7yF,GAC5D,OAAOA,GAASzM,KAAKo8F,eAAiBp8F,KAAKm8F,WAAW1vF,EAAQzM,KAAKo8F,gBAAkB,MAKvF/D,GAAgB9gG,UAAUgoG,eAAiB,SAAyBzyC,EAAOhL,GACzE,GAAIgL,GAAShL,EAAb,CACA,IAAK,IAAI7pD,EAAI60D,EAAO70D,EAAI6pD,EAAK7pD,IAAO+H,KAAK69D,IAAI0nB,SAASttF,GAAGqrE,UACzDtjE,KAAK69D,IAAI0nB,SAASz5B,OAAOgB,EAAOhL,EAAMgL,GACtC9sD,KAAKiuE,SAAU,IAIjBoqB,GAAgB9gG,UAAUkiG,YAAc,WACtCz5F,KAAKu/F,eAAev/F,KAAKyM,MAAOzM,KAAK69D,IAAI0nB,SAASptF,SAMpDkgG,GAAgB9gG,UAAU6hG,YAAc,SAAsB71C,EAAO+S,EAAQlS,GAG3E,IAFA,IAAIud,EAAO,EAAGhjB,EAAQ3+C,KAAK2uB,MAAMx2B,QAAU,EACvCqnG,EAAU1oG,KAAKkK,IAAI29C,EAAO4E,EAAMprD,QAC7BwpE,EAAO69B,IACN79B,GAAQhjB,EAAQ,EAAI3+C,KAAK69D,IAAM79D,KAAK2uB,MAAOgzC,EAAO,GAAM,IAAIkzB,YAAYtxC,EAAMoe,MAA6C,IAAnCpe,EAAMoe,GAAM9iE,KAAK4/C,KAAKojB,UAClHF,IAEJ,KAAOA,EAAOhjB,GACZ3+C,KAAKy5F,cACLz5F,KAAK69D,IAAI22B,MA5/BG,EA6/BZx0F,KAAKyM,MAAQzM,KAAK2uB,MAAM4nC,MACxBv2D,KAAK69D,IAAM79D,KAAK2uB,MAAM4nC,MACtB5X,IAEF,KAAOA,EAAQ4E,EAAMprD,QAAQ,CAC3B6H,KAAK2uB,MAAMpgB,KAAKvO,KAAK69D,IAAK79D,KAAKyM,MAAQ,GAEvC,IADA,IAAI05C,GAAS,EACJluD,EAAI+H,KAAKyM,MAAOxU,EAAInB,KAAKkK,IAAIhB,KAAKyM,MAAQ,EAAGzM,KAAK69D,IAAI0nB,SAASptF,QAASF,IAC/E,GAAI+H,KAAK69D,IAAI0nB,SAASttF,GAAG48F,YAAYtxC,EAAM5E,IAAS,CAAEwH,EAAQluD,EAAG,MAEnE,GAAIkuD,GAAS,EACPA,EAAQnmD,KAAKyM,QACfzM,KAAKiuE,SAAU,EACfjuE,KAAKu/F,eAAev/F,KAAKyM,MAAO05C,IAElCnmD,KAAK69D,IAAM79D,KAAK69D,IAAI0nB,SAASvlF,KAAKyM,WAC7B,CACL,IAAIgzF,EAAWpI,EAAanyF,OAAOlF,KAAK69D,IAAKta,EAAM5E,GAAQ2X,EAAQlS,GACnEpkD,KAAK69D,IAAI0nB,SAASz5B,OAAO9rD,KAAKyM,MAAO,EAAGgzF,GACxCz/F,KAAK69D,IAAM4hC,EACXz/F,KAAKiuE,SAAU,EAEjBjuE,KAAKyM,MAAQ,EACbkyC,MAOJ05C,GAAgB9gG,UAAU+hG,cAAgB,SAAwBn7C,EAAMs5C,EAAWC,EAAWjrF,GAC5F,IAAI05C,GAAS,EAAG+1C,EAAWzvF,EAAQ,OAAI7P,EAAYoD,KAAKs/F,YAAY7yF,GAAQ84E,EAAWvlF,KAAK69D,IAAI0nB,SAChG,GAAI2W,GAAYA,EAASpH,YAAY32C,EAAMs5C,EAAWC,GACpDvxC,EAAQo/B,EAAS3mF,QAAQs9F,QAEzB,IAAK,IAAIjkG,EAAI+H,KAAKyM,MAAOE,EAAI7V,KAAKkK,IAAIukF,EAASptF,OAAQF,EAAI,GAAIA,EAAI0U,EAAG1U,IAAK,CACzE,IAAI8vD,EAAQw9B,EAASttF,GACrB,GAAI8vD,EAAM+sC,YAAY32C,EAAMs5C,EAAWC,IAAc13F,KAAKm8F,WAAWv9F,QAAQmpD,GAAS,EAAG,CACvF5B,EAAQluD,EACR,OAIN,QAAIkuD,EAAQ,KACZnmD,KAAKu/F,eAAev/F,KAAKyM,MAAO05C,GAChCnmD,KAAKyM,SACE,IAMT4rF,GAAgB9gG,UAAUgiG,eAAiB,SAAyBp7C,EAAMs5C,EAAWC,EAAWtzC,EAAM33C,GACpG,IAAK,IAAIxU,EAAI+H,KAAKyM,MAAOxU,EAAI+H,KAAK69D,IAAI0nB,SAASptF,OAAQF,IAAK,CAC1D,IAAIiS,EAAOlK,KAAK69D,IAAI0nB,SAASttF,GAC7B,GAAIiS,aAAgBstF,EAAc,CAChC,IAAI0E,EAAWl8F,KAAKm8F,WAAWv9F,QAAQsL,GACvC,GAAIgyF,GAAY,GAAKA,EAAWl8F,KAAKo8F,gBAAkB3vF,EAAS,OAAO,EACvE,IAAIizF,EAAUx1F,EAAKuvD,IAQnB,KAHaz5D,KAAKi8F,OAASyD,GAAW1/F,KAAKi8F,MAA4B,GAApByD,EAAQr9C,UAAiBq9C,EAAQ9+B,SAAS5gE,KAAKi8F,KAAK7oF,gBACjG+qC,EAAKwJ,QAAUz9C,EAAKi0C,MAAQj0C,EAAKi0C,KAAKwJ,QAAUz9C,EAAK25D,QAAQnF,WAAavgB,EAAK//C,MA7jCvB,GA8jCxD8L,EAAKsqF,OAAuByD,GAAcR,EAAWvtF,EAAKutF,cACjDvtF,EAAK+I,OAAOkrC,EAAMs5C,EAAWC,EAAWtzC,GAIrD,OAHApkD,KAAKu/F,eAAev/F,KAAKyM,MAAOxU,GAC5BiS,EAAKuvD,KAAOimC,IAAW1/F,KAAKiuE,SAAU,GAC1CjuE,KAAKyM,SACE,EAET,OAGJ,OAAO,GAKT4rF,GAAgB9gG,UAAU44D,QAAU,SAAkBhS,EAAMs5C,EAAWC,EAAWtzC,EAAMlrC,GACtFlZ,KAAK69D,IAAI0nB,SAASz5B,OAAO9rD,KAAKyM,QAAS,EAAG+qF,EAAatyF,OAAOlF,KAAK69D,IAAK1f,EAAMs5C,EAAWC,EAAWtzC,EAAMlrC,IAC1GlZ,KAAKiuE,SAAU,GAGjBoqB,GAAgB9gG,UAAU8hG,YAAc,SAAsB5yB,EAAQriB,EAAMlrC,GAC1E,IAAIhP,EAAOlK,KAAKyM,MAAQzM,KAAK69D,IAAI0nB,SAASptF,OAAS6H,KAAK69D,IAAI0nB,SAASvlF,KAAKyM,OAAS,KACnF,IAAIvC,IAAQA,EAAK0qF,cAAcnuB,IAAYA,GAAUv8D,EAAKu8D,QAAWv8D,EAAKu8D,OAAO5nE,KAAK2iE,MAAMpuD,WAErF,CACL,IAAI02C,EAAO,IAAIgtC,EAAe92F,KAAK69D,IAAK4I,EAAQriB,EAAMlrC,GACtDlZ,KAAK69D,IAAI0nB,SAASz5B,OAAO9rD,KAAKyM,QAAS,EAAGq9C,GAC1C9pD,KAAKiuE,SAAU,OAJfjuE,KAAKyM,SAUT4rF,GAAgB9gG,UAAUiiG,kBAAoB,WAE5C,IADA,IAAIn7C,EAAYr+C,KAAK69D,IAAI0nB,SAASvlF,KAAKyM,MAAQ,GACxC4xC,aAAqBg5C,GAAgBh5C,EAAYA,EAAUknC,SAASlnC,EAAUknC,SAASptF,OAAS,GAEvG,IAAKkmD,KACCA,aAAqB05C,IACvB,MAAM5sF,KAAKkzC,EAAUF,KAAK//C,MAC5B,GAAI4B,KAAKyM,MAAQzM,KAAK69D,IAAI0nB,SAASptF,QAAU6H,KAAK69D,IAAI0nB,SAASvlF,KAAKyM,OAAOsoF,cACzE/0F,KAAKyM,YACA,CACL,IAAIgtD,EAAM9/D,SAASqZ,cAAc,MACjChT,KAAK69D,IAAI0nB,SAASz5B,OAAO9rD,KAAKyM,QAAS,EAAG,IAAI4uF,EAAer7F,KAAK69D,IAAKg5B,EAASp9B,EAAK,OACrFz5D,KAAKiuE,SAAU,IAofrB,IAAI+wB,GAAgCnlG,EAAOg0F,QAAUh0F,EAAO0zF,QAAU1zF,EAAO2zF,eAAiB,GAE9F,SAASyR,GAAwB76C,EAAMlrC,GACrC,IAAIgmC,EAAMkF,EAAK+uB,QAAQyB,WAAW17D,GAC9BilC,EAAOe,EAAIf,KACXtP,EAASqQ,EAAIrQ,OACbmQ,EAAQnQ,EAASsP,EAAKhqC,WAAWhc,OAASgmD,EAAKhqC,WAAW06B,GAAU,KACpEgQ,EAAShQ,EAASsP,EAAKhqC,WAAW06B,EAAS,GAAK,KACpD,GAAIh1C,EAAOg0F,QAAU7uC,GAAkC,SAAzBA,EAAMuvC,gBAA8B,OAAOoR,GAAY3gD,GACrF,KAAMA,GAAkC,SAAzBA,EAAMuvC,iBAAiC1vC,GAAoC,SAA1BA,EAAO0vC,iBAA6B,CAClG,GAAIvvC,EAAS,OAAO2gD,GAAY3gD,GAC3B,GAAIH,EAAU,OAAO8gD,GAAY9gD,IAI1C,SAAS8gD,GAAYt8B,GAGnB,OAFAA,EAAQkrB,gBAAkB,OACtB10F,EAAOg0F,QAAUxqB,EAAQ+H,YAAa/H,EAAQ+H,WAAY,EAAO/H,EAAQu8B,cAAe,GACrFv8B,EAGT,SAAS67B,GAAc77B,GACrBA,EAAQkrB,gBAAkB,QACtBlrB,EAAQu8B,eAAgBv8B,EAAQ+H,WAAY,EAAM/H,EAAQu8B,aAAe,MAmC/E,SAAStB,GAAkBl6C,EAAMyD,GAC/B,GAAIA,aAAe,gBAAe,CAChC,IAAIiC,EAAO1F,EAAK+uB,QAAQsiB,OAAO5tC,EAAI18B,MAC/B2+B,GAAQ1F,EAAKy7C,uBACfC,GAAmB17C,GACf0F,GAAQA,EAAKixC,aACjB32C,EAAKy7C,qBAAuB/1C,QAG9Bg2C,GAAmB17C,GAKvB,SAAS07C,GAAmB17C,GACtBA,EAAKy7C,uBACHz7C,EAAKy7C,qBAAqB19F,QAC1BiiD,EAAKy7C,qBAAqB7E,eAC9B52C,EAAKy7C,qBAAuB,MAIhC,SAASzB,GAAiBh6C,EAAMvE,EAASD,EAAOwG,GAC9C,OAAOhC,EAAKqrC,SAAS,0BAA0B,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAMvE,EAASD,OACjF,gBAAckH,QAAQjH,EAASD,EAAOwG,GAQ7C,SAASo4C,GAAap6C,GACpB,IAAIyD,EAAMzD,EAAKitC,KAAKsC,eACpB,IAAK9rC,EAAIsuC,WAAc,OAAO,EAC9B,IAIE,OAAO/xC,EAAKqV,IAAImH,SAAoC,GAA3B/Y,EAAIsuC,WAAW9zC,SAAgBwF,EAAIsuC,WAAW/iF,WAAay0C,EAAIsuC,cACrF/xC,EAAK0gB,UAAY1gB,EAAKqV,IAAImH,SAAmC,GAA1B/Y,EAAIksC,UAAU1xC,SAAgBwF,EAAIksC,UAAU3gF,WAAay0C,EAAIksC,YACnG,MAAMnqC,GACN,OAAO,GA+DX,SAASwV,GAAa3F,GACpB,IAAI3P,EAAO2P,EAAI+0B,WACf,GAAI1kC,EACF,OAAOA,EAAKkrC,YACP,GAAoB,MAAhBv7B,EAAIoF,UAAoBpF,EAAIrmD,WAAY,CAIjD,GAAIvZ,EAAOg0F,QAAU,aAAa1iF,KAAKsuD,EAAIrmD,WAAWyrD,UAAW,CAC/D,IAAIQ,EAAO1lE,SAASqZ,cAAc,OAElC,OADAqsD,EAAKz3D,YAAYjO,SAASqZ,cAAc,OACjC,CAACqsD,KAAMA,GACT,GAAI5F,EAAIrmD,WAAWirC,WAAaob,GAAO5/D,EAAOg0F,QAAU,gBAAgB1iF,KAAKsuD,EAAIrmD,WAAWyrD,UACjG,MAAO,CAACjU,QAAQ,QAEb,GAAoB,OAAhB6O,EAAIoF,UAAqBpF,EAAI5oC,aAAa,oBACnD,MAAO,CAAC+5B,QAAQ,GAIpB,SAASm1C,GAAc37C,EAAMj5B,EAAM4L,EAAIipE,EAAUC,GAC/C,GAAI90E,EAAO,EAAX,CACE,IAAI8yE,EAAS75C,EAAK87C,kBAAoBhwE,KAAKG,MAAQ,GAAK+zB,EAAK+7C,oBAAsB,KAC/EC,EAASpC,GAAiB55C,EAAM65C,GACpC,GAAImC,IAAWh8C,EAAKpgD,MAAM+5C,UAAUoJ,GAAGi5C,GAAS,CAC9C,IAAIC,EAAOj8C,EAAKpgD,MAAMg6C,GAAGqC,aAAa+/C,GACxB,WAAVnC,EAAuBoC,EAAK32C,QAAQ,WAAW,GAChC,OAAVu0C,GAAmBoC,EAAKpiD,iBACjCmG,EAAKtG,SAASuiD,QAPlB,CAYA,IAAI9vC,EAAUnM,EAAKpgD,MAAM46C,IAAIviC,QAAQ8O,GACjCh1B,EAASo6D,EAAQsB,YAAY96B,GACjC5L,EAAOolC,EAAQ1R,OAAO1oD,EAAS,GAC/B4gC,EAAKqtB,EAAKpgD,MAAM46C,IAAIviC,QAAQ0a,GAAIioB,MAAM7oD,EAAS,GAE/C,IAIImqG,EAAcC,EAJd14C,EAAMzD,EAAKpgD,MAAM+5C,UACjBxiC,EAtFN,SAAsB6oC,EAAMo8C,EAAOC,GACjC,IAAIvhD,EAAMkF,EAAK+uB,QAAQuiB,WAAW8K,EAAOC,GACrCt+F,EAAS+8C,EAAIf,KACbw3C,EAAaz2C,EAAIy2C,WACjBC,EAAW12C,EAAI02C,SACfzqE,EAAO+zB,EAAI/zB,KACX4L,EAAKmoB,EAAInoB,GAET23D,EAAStqC,EAAKitC,KAAKsC,eAAgB97E,EAAO,KAAMs5B,EAASu9C,EAAOyH,WAQpE,GAPIhlD,GAAUiT,EAAKqV,IAAImH,SAA4B,GAAnBzvB,EAAOkR,SAAgBlR,EAASA,EAAO/9B,cACrEyE,EAAO,CAAC,CAACsmC,KAAMhN,EAAQtC,OAAQ6/C,EAAO0H,eACjC3H,EAAmBC,IACpB72E,EAAKtJ,KAAK,CAAC4vC,KAAMuwC,EAAOqF,UAAWllD,OAAQ6/C,EAAOuF,eAIpDp6F,EAAO0zF,QAA+B,IAArBnpC,EAAKs8C,YACxB,IAAK,IAAI1xF,EAAM4mF,EAAU5mF,EAAM2mF,EAAY3mF,IAAO,CAChD,IAAImvC,EAAOh8C,EAAOgS,WAAWnF,EAAM,GAAI86C,EAAO3L,EAAKqwC,WACnD,GAAqB,MAAjBrwC,EAAKkE,WAAqByH,EAAM,CAAE8rC,EAAW5mF,EAAK,MACtD,IAAK86C,GAAQA,EAAKvwC,KAAQ,MAG9B,IAAIonF,EAAWv8C,EAAKpgD,MAAM46C,IACtBye,EAASjZ,EAAKqrC,SAAS,cAAgB,YAAUl1B,WAAWnW,EAAKpgD,MAAMylD,QACvEtK,EAAQwhD,EAAStkF,QAAQ8O,GAEzB08B,EAAM,KAAMjJ,EAAMye,EAAO9hD,MAAMpZ,EAAQ,CACzCk2D,QAASlZ,EAAMh9C,OACfs7D,SAAUte,EAAMh9C,OAAO89C,eAAed,EAAM1yC,SAC5Ck0D,SAAS,EACTx1C,KAAMwqE,EACN5+D,GAAI6+D,EACJj5B,oBAAoBxd,EAAMh9C,OAAOtD,KAAK4/C,KAAK1/B,MAAO,OAClD6hF,iBAAiB,EACjBljC,cAAe7lD,EACfunD,aAAcA,GACdp9D,QAASm9C,IAEX,GAAItnC,GAAuB,MAAfA,EAAK,GAAGqB,IAAa,CAC/B,IAAI2nF,EAAWhpF,EAAK,GAAGqB,IAAKtH,EAAOiG,EAAK,IAAMA,EAAK,GAAGqB,IAC1C,MAARtH,IAAgBA,EAAOivF,GAC3Bh5C,EAAM,CAAC1W,OAAQ0vD,EAAW11E,EAAMvZ,KAAMA,EAAOuZ,GAE/C,MAAO,CAACyzB,IAAKA,EAAKiJ,IAAKA,EAAK18B,KAAMA,EAAM4L,GAAIA,GA0ChC+pE,CAAa18C,EAAMj5B,EAAM4L,GAEjC6nB,EAAMwF,EAAKpgD,MAAM46C,IAAKmiD,EAAUniD,EAAI37C,MAAMsY,EAAM4P,KAAM5P,EAAMwb,IAGvC,IAArBqtB,EAAKs8C,aAAqBxwE,KAAKG,MAAQ,IAAM+zB,EAAK48C,iBACpDV,EAAel8C,EAAKpgD,MAAM+5C,UAAUhnB,GACpCwpE,EAAgB,QAEhBD,EAAel8C,EAAKpgD,MAAM+5C,UAAU5yB,KACpCo1E,EAAgB,SAElBn8C,EAAKs8C,YAAc,KAEnB,IAAIO,EAmMN,SAAkB/mG,EAAGC,EAAG+e,EAAKonF,EAAcC,GACzC,IAAIzzC,EAAQ5yD,EAAE0zD,cAAczzD,EAAG+e,GAC/B,GAAa,MAAT4zC,EAAiB,OAAO,KAC5B,IAAI5N,EAAMhlD,EAAE6zD,YAAY5zD,EAAG+e,EAAMhf,EAAEqf,KAAML,EAAM/e,EAAEof,MAC7C2nF,EAAOhiD,EAAIhlD,EACXinG,EAAOjiD,EAAI/kD,EACf,GAAqB,OAAjBomG,EAAwB,CAC1B,IAAIa,EAAStqG,KAAKkwB,IAAI,EAAG8lC,EAAQh2D,KAAKkK,IAAIkgG,EAAMC,IAChDb,GAAgBY,EAAOE,EAASt0C,EAElC,GAAIo0C,EAAOp0C,GAAS5yD,EAAEqf,KAAOpf,EAAEof,KAAM,CAGnC4nF,GADAr0C,GADWwzC,GAAgBxzC,GAASwzC,GAAgBY,EAAOp0C,EAAQwzC,EAAe,IAElEa,EAAOD,GACvBA,EAAOp0C,OACF,GAAIq0C,EAAOr0C,EAAO,CAGvBo0C,GADAp0C,GADawzC,GAAgBxzC,GAASwzC,GAAgBa,EAAOr0C,EAAQwzC,EAAe,IAEpEY,EAAOC,GACvBA,EAAOr0C,EAET,MAAO,CAACA,MAAOA,EAAOo0C,KAAMA,EAAMC,KAAMA,GAxN3BE,CAASN,EAAQj6F,QAASyU,EAAMqjC,IAAI93C,QAASyU,EAAM4P,KAAMm1E,EAAcC,GACpF,IAAKU,EAAQ,CACX,KAAIjB,GAAYn4C,aAAe,kBAAkBA,EAAI1yB,OAAS0yB,EAAIjI,MAAME,WAAW+H,EAAIhI,WAClFuE,EAAK+wB,WAAe55D,EAAMssC,KAAOtsC,EAAMssC,IAAI1W,QAAU51B,EAAMssC,IAAIj2C,KAE7D,CACL,GAAI2J,EAAMssC,IAAK,CACb,IAAIy5C,EAAQ7uB,GAAiBruB,EAAMA,EAAKpgD,MAAM46C,IAAKrjC,EAAMssC,KACrDy5C,IAAUA,EAAMn6C,GAAG/C,EAAKpgD,MAAM+5C,YAAcqG,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAGqC,aAAaihD,IAE3F,OANAL,EAAS,CAACn0C,MAAOjF,EAAI18B,KAAM+1E,KAAMr5C,EAAI9wB,GAAIoqE,KAAMt5C,EAAI9wB,IASvDqtB,EAAKm9C,iBAIDn9C,EAAKpgD,MAAM+5C,UAAU5yB,KAAOi5B,EAAKpgD,MAAM+5C,UAAUhnB,IACjDkqE,EAAOn0C,OAASm0C,EAAOE,MACvB/8C,EAAKpgD,MAAM+5C,qBAAqB,kBAC9BkjD,EAAOn0C,MAAQ1I,EAAKpgD,MAAM+5C,UAAU5yB,MAAQ81E,EAAOn0C,OAAS1I,EAAKpgD,MAAM+5C,UAAU5yB,KAAO,EAC1F81E,EAAOn0C,MAAQ1I,EAAKpgD,MAAM+5C,UAAU5yB,KAC3B81E,EAAOC,KAAO98C,EAAKpgD,MAAM+5C,UAAUhnB,IAAMkqE,EAAOC,MAAQ98C,EAAKpgD,MAAM+5C,UAAUhnB,GAAK,IAC3FkqE,EAAOE,MAAS/8C,EAAKpgD,MAAM+5C,UAAUhnB,GAAKkqE,EAAOC,KACjDD,EAAOC,KAAO98C,EAAKpgD,MAAM+5C,UAAUhnB,KAOnCl9B,EAAOqzF,IAAMrzF,EAAOszF,YAAc,IAAM8T,EAAOE,MAAQF,EAAOn0C,MAAQ,GACtEm0C,EAAOC,MAAQD,EAAOn0C,OAASm0C,EAAOn0C,MAAQvxC,EAAM4P,MACmC,MAAvF5P,EAAMqjC,IAAIoO,YAAYi0C,EAAOn0C,MAAQvxC,EAAM4P,KAAO,EAAG81E,EAAOn0C,MAAQvxC,EAAM4P,KAAO,KACnF81E,EAAOn0C,QACPm0C,EAAOC,OACPD,EAAOE,QAGT,IAGIK,EAHAriD,EAAQ5jC,EAAMqjC,IAAIgR,eAAeqxC,EAAOn0C,MAAQvxC,EAAM4P,MACtDi0B,EAAM7jC,EAAMqjC,IAAIgR,eAAeqxC,EAAOE,KAAO5lF,EAAM4P,MACnDs2E,EAAetiD,EAAMW,WAAWV,IAAQD,EAAMh9C,OAAO+gD,cAIzD,IAAMrpD,EAAO4zF,KAAOrpC,EAAKs9C,aAAexxE,KAAKG,MAAQ,OAC7CoxE,GAAgBxB,EAAWtoF,MAAK,SAAUd,GAAK,MAAqB,OAAdA,EAAEgoD,UAAmC,KAAdhoD,EAAEgoD,eAChF4iC,GAAgBtiD,EAAMjmC,IAAMqC,EAAMqjC,IAAI93C,QAAQyS,OAC9CioF,EAAU,YAAUr/C,SAAS5mC,EAAMqjC,IAAIviC,QAAQ8iC,EAAMjmC,IAAM,GAAI,GAAG,KACnEsoF,EAAQ5vF,MAAQwtC,EAAIlmC,MACtBkrC,EAAKqrC,SAAS,iBAAiB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAM2qC,EAAS,GAAI,aAC5E3qC,EAAKs9C,aAAe,OAItB,GAAIt9C,EAAKpgD,MAAM+5C,UAAU5M,OAAS8vD,EAAOn0C,OAqG3C,SAAuB60C,EAAK70C,EAAOhL,EAAK8/C,EAAWC,GACjD,IAAKD,EAAUz/F,OAAOm8C,aAElBwD,EAAMgL,GAAS+0C,EAAQ3oF,IAAM0oF,EAAU1oF,KAEvC4oF,GAAsBF,GAAW,GAAM,GAASC,EAAQ3oF,IACxD,OAAO,EAEX,IAAI62C,EAAS4xC,EAAItlF,QAAQywC,GAEzB,GAAIiD,EAAOtP,aAAesP,EAAO5tD,OAAO2E,QAAQyS,OAASw2C,EAAO5tD,OAAOm8C,YACnE,OAAO,EACX,IAAIyjD,EAAQJ,EAAItlF,QAAQylF,GAAsB/xC,GAAQ,GAAM,IAE5D,IAAKgyC,EAAM5/F,OAAOm8C,aAAeyjD,EAAM7oF,IAAM4oC,GACzCggD,GAAsBC,GAAO,GAAM,GAASjgD,EAC5C,OAAO,EAGX,OAAO8/C,EAAUz/F,OAAO2E,QAAQymD,IAAIq0C,EAAUnhD,cAAc0G,GAAG46C,EAAM5/F,OAAO2E,SAvHxEk7F,CAAcpjD,EAAKqiD,EAAOn0C,MAAOm0C,EAAOC,KAAM/hD,EAAOC,IACrDgF,EAAKqrC,SAAS,iBAAiB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAM2qC,EAAS,EAAG,iBACvEl1F,EAAO6zF,SAAW7zF,EAAO0zF,QAAUnpC,EAAK84C,YAAY+E,+BAH1D,CAeIpoG,EAAO6zF,UAAY+T,GAAgBtiD,EAAM2N,SAAW1N,EAAI0N,SAA+B,GAApB1N,EAAIqB,cAAqBtB,EAAMR,OAASS,EAAIT,OAC/GpjC,EAAMssC,KAAOtsC,EAAMssC,IAAI1W,QAAU51B,EAAMssC,IAAIj2C,MAAQ2J,EAAMssC,IAAIj2C,MAAQqvF,EAAOC,OAC9ED,EAAOE,MAAQ,EACf/hD,EAAM7jC,EAAMqjC,IAAIgR,eAAeqxC,EAAOE,KAAO5lF,EAAM4P,MACnD/d,YAAW,WACTg3C,EAAKqrC,SAAS,iBAAiB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAM2qC,EAAS,GAAI,eACzE,KAGL,IAEI/wC,EAAIsF,EAAa4+C,EAAYC,EAF7BC,EAASnB,EAAOn0C,MAAOu1C,EAAOpB,EAAOC,KAGzC,GAAIO,EACF,GAAItiD,EAAMjmC,KAAOkmC,EAAIlmC,IAGfrf,EAAOqzF,IAAMrzF,EAAOszF,YAAc,IAA4B,GAAtBhuC,EAAMsB,eAChD2D,EAAK84C,YAAY+E,2BACjB70F,YAAW,WAAc,OAAOixF,GAAej6C,KAAU,KAE3DpG,EAAKoG,EAAKpgD,MAAMg6C,GAAGuD,OAAO6gD,EAAQC,GAClC/+C,EAAc1E,EAAIviC,QAAQ4kF,EAAOn0C,OAAO7F,YAAYrI,EAAIviC,QAAQ4kF,EAAOC,YAClE,GACLD,EAAOC,MAAQD,EAAOE,OAASgB,EAASvjD,EAAIviC,QAAQ4kF,EAAOn0C,UAC1Do1C,EAyCP,SAAsBhtC,EAAKlhC,GAGzB,IAFA,IAC2Cn1B,EAAMoqD,EAAMh2C,EADnDqvF,EAAWptC,EAAI3gD,WAAWgvC,MAAOg/C,EAAYvuE,EAAKzf,WAAWgvC,MAC7D+3B,EAAQgnB,EAAUvuE,EAAUwuE,EACvBtqG,EAAI,EAAGA,EAAIsqG,EAAUpqG,OAAQF,IAAOqjF,EAAQinB,EAAUtqG,GAAGkxD,cAAcmyB,GAChF,IAAK,IAAI13B,EAAM,EAAGA,EAAM0+C,EAASnqG,OAAQyrD,IAAS7vB,EAAUuuE,EAAS1+C,GAAKuF,cAAcp1B,GACxF,GAAoB,GAAhBunD,EAAMnjF,QAAiC,GAAlB47B,EAAQ57B,OAC/B8wD,EAAOqyB,EAAM,GACbz8E,EAAO,MACPoU,EAAS,SAAUkrC,GAAQ,OAAOA,EAAK8K,KAAKA,EAAKC,SAAS/K,EAAKoF,aAC1D,IAAoB,GAAhB+3B,EAAMnjF,QAAiC,GAAlB47B,EAAQ57B,OAKtC,OAAO,KAJP8wD,EAAOl1B,EAAQ,GACfl1B,EAAO,SACPoU,EAAS,SAAUkrC,GAAQ,OAAOA,EAAK8K,KAAKA,EAAKE,cAAchL,EAAKoF,SAKtE,IADA,IAAImF,EAAU,GACL0M,EAAM,EAAGA,EAAMphC,EAAK+qB,WAAYqW,IAAS1M,EAAQn6C,KAAK0E,EAAO+gB,EAAK+zB,MAAMqN,KACjF,GAAI,WAASjqC,KAAKu9B,GAASvB,GAAG+N,GAAQ,MAAO,CAACjM,KAAMA,EAAMpqD,KAAMA,GA3D9C2jG,CAAarjD,EAAMh9C,OAAO2E,QAAQymD,IAAIpO,EAAMsB,aAAcrB,EAAIqB,cACjD0hD,EAAOhgG,OAAO2E,QAAQymD,IAAI40C,EAAO1hD,aAAcwgD,EAAOC,KAAOiB,EAAOr1C,WAE/F9O,EAAKoG,EAAKpgD,MAAMg6C,GACO,OAAnBkkD,EAAWrjG,KAAiBm/C,EAAGiG,QAAQm+C,EAAQC,EAAMH,EAAWj5C,MAC7DjL,EAAGgG,WAAWo+C,EAAQC,EAAMH,EAAWj5C,WACzC,GAAI9J,EAAMh9C,OAAO4lD,MAAM5I,EAAM1yC,SAASk7C,QAAUxI,EAAM1yC,SAAW2yC,EAAI3yC,SAAW2yC,EAAIuR,WAAa,EAAI,GAAI,CAE9G,IAAIvyD,EAAO+gD,EAAMh9C,OAAO6qD,YAAY7N,EAAMsB,aAAcrB,EAAIqB,cAC5D,GAAI2D,EAAKqrC,SAAS,mBAAmB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAMg+C,EAAQC,EAAMjkG,MAAa,OAC9F4/C,EAAKoG,EAAKpgD,MAAMg6C,GAAG4G,WAAWxmD,EAAMgkG,EAAQC,GAMhD,GAFKrkD,IACDA,EAAKoG,EAAKpgD,MAAMg6C,GAAGzjD,QAAQ6nG,EAAQC,EAAM9mF,EAAMqjC,IAAI37C,MAAMg+F,EAAOn0C,MAAQvxC,EAAM4P,KAAM81E,EAAOE,KAAO5lF,EAAM4P,QACxG5P,EAAMssC,IAAK,CACb,IAAI46C,EAAQhwB,GAAiBruB,EAAMpG,EAAGY,IAAKrjC,EAAMssC,KAM7C46C,KAAW5oG,EAAO0zF,QAAU1zF,EAAO6zF,SAAWtpC,EAAK+wB,WAAastB,EAAMttE,QAC1DstE,EAAM7wF,MAAQwwF,GAAUK,EAAM7wF,MAAQosC,EAAG8C,QAAQjxC,IAAIwyF,GAAQ,IAChExoG,EAAOqzF,IAAMuV,EAAMttE,OAASstE,EAAM7wF,MAAQwwF,IACnDpkD,EAAGqC,aAAaoiD,GAElBn/C,GAAetF,EAAGkJ,YAAY5D,GAClCc,EAAKtG,SAASE,EAAGC,oBAGnB,SAASw0B,GAAiBruB,EAAMxF,EAAK8jD,GACnC,OAAI5rG,KAAKkwB,IAAI07E,EAAUvxD,OAAQuxD,EAAU9wF,MAAQgtC,EAAI93C,QAAQyS,KAAe,KACrE6kF,GAAiBh6C,EAAMxF,EAAIviC,QAAQqmF,EAAUvxD,QAASyN,EAAIviC,QAAQqmF,EAAU9wF,OAkDrF,SAASkwF,GAAsBtjD,EAAMmkD,EAASC,GAE5C,IADA,IAAIjkD,EAAQH,EAAKG,MAAOmD,EAAM6gD,EAAUnkD,EAAKsD,MAAQtD,EAAKtlC,IACnDylC,EAAQ,IAAMgkD,GAAWnkD,EAAKwB,WAAWrB,IAAUH,EAAKL,KAAKQ,GAAOI,aACzEJ,IACAmD,IACA6gD,GAAU,EAEZ,GAAIC,EAEF,IADA,IAAI14F,EAAOs0C,EAAKL,KAAKQ,GAAOgP,WAAWnP,EAAKwB,WAAWrB,IAChDz0C,IAASA,EAAKkjD,QACnBljD,EAAOA,EAAKqK,WACZutC,IAGJ,OAAOA,EA2BT,SAAS+gD,GAAsBz+C,EAAMnhD,GAKnC,IAJA,IAAIjB,EAAU,GACV8E,EAAU7D,EAAM6D,QAChB0oD,EAAYvsD,EAAMusD,UAClBhK,EAAUviD,EAAMuiD,QACbgK,EAAY,GAAKhK,EAAU,GAA2B,GAAtB1+C,EAAQi4C,YAAoD,GAAjCj4C,EAAQyN,WAAWwqC,YAAiB,CACpGyQ,IACAhK,IACA,IAAIrH,EAAOr3C,EAAQyN,WACnBvS,EAAQuM,KAAK4vC,EAAKt/C,KAAKjI,KAAMunD,EAAKt/C,KAAK6gD,mBAAqBvB,EAAKmE,MAAQ,MACzEx7C,EAAUq3C,EAAKr3C,QAGjB,IAAIg8F,EAAa1+C,EAAKqrC,SAAS,wBAA0B,gBAAcl1B,WAAWnW,EAAKpgD,MAAMylD,QACzF7K,EAAMmkD,KAAehhD,EAAOnD,EAAI5rC,cAAc,OAClD+uC,EAAKn6C,YAAYk7F,EAAWrhC,kBAAkB36D,EAAS,CAACnN,SAAUilD,KAGlE,IADA,IAAkC+7C,EAA9BpmF,EAAawtC,EAAKxtC,WACfA,GAAqC,GAAvBA,EAAW8tC,WAAkBs4C,EAAYqI,GAAQzuF,EAAWsqD,SAAS1sD,iBAAiB,CACzG,IAAK,IAAIla,EAAI0iG,EAAUxiG,OAAS,EAAGF,GAAK,EAAGA,IAAK,CAE9C,IADA,IAAIs6B,EAAUqsB,EAAI5rC,cAAc2nF,EAAU1iG,IACnC8pD,EAAKxtC,YAAcge,EAAQ3qB,YAAYm6C,EAAKxtC,YACnDwtC,EAAKn6C,YAAY2qB,GAEnBhe,EAAawtC,EAAKxtC,WASpB,OANIA,GAAqC,GAAvBA,EAAW8tC,UACzB9tC,EAAWF,aAAa,gBAAkBm7C,EAAY,IAAMhK,EAAU,IAAOn1C,KAAKC,UAAUtO,IAKzF,CAACy3D,IAAK1X,EAAM3jD,KAHRgmD,EAAKqrC,SAAS,2BAA2B,SAAUv0F,GAAK,OAAOA,EAAE+H,OACxEA,EAAM6D,QAAQkmD,YAAY,EAAG/pD,EAAM6D,QAAQyS,KAAM,SAOvD,SAAS0pF,GAAmB7+C,EAAMhmD,EAAMqI,EAAMy8F,EAAWhiC,GACvD,IAAIzH,EAA8Cx2D,EAAzCkgG,EAASjiC,EAAS/+D,OAAOtD,KAAK4/C,KAAK1/B,KAC5C,IAAKtY,IAASrI,EAAQ,OAAO,KAC7B,IAAIglG,EAAShlG,IAAS8kG,GAAaC,IAAW18F,GAC9C,GAAI28F,EAAQ,CAEV,GADAh/C,EAAKqrC,SAAS,uBAAuB,SAAUv0F,GAAKkD,EAAOlD,EAAEkD,MACzD+kG,EAAU,OAAO,IAAI,QAAM,WAASh4E,KAAKi5B,EAAKpgD,MAAMylD,OAAOrrD,KAAKA,IAAQ,EAAG,GAC/E,IAAI6wB,EAASm1B,EAAKqrC,SAAS,uBAAuB,SAAUv0F,GAAK,OAAOA,EAAEkD,EAAM8iE,MAC5EjyC,EACFhsB,EAAQgsB,GAERwqC,EAAM9/D,SAASqZ,cAAc,OAC7B5U,EAAK/D,OAAOsF,MAAM,iBAAiB7H,SAAQ,SAAUyoE,GACnD9G,EAAI7xD,YAAYjO,SAASqZ,cAAc,MAAM0/C,YAAc6N,WAI/Dnc,EAAKqrC,SAAS,uBAAuB,SAAUv0F,GAAKuL,EAAOvL,EAAEuL,MAC7DgzD,EAyHJ,SAAkBhzD,GAChB,IAAI48F,EAAQ,qBAAqBrtG,KAAKyQ,GAClC48F,IAAS58F,EAAOA,EAAKxD,MAAMogG,EAAM,GAAGlrG,SACxC,IAC+D4pD,EAD3Dg/B,EAAMgiB,KAAc/vF,cAAc,OAClCswF,EAAW,oCAAoCttG,KAAKyQ,GAAak4C,EAAQ,GACzEoD,EAAOuhD,GAAYN,GAAQM,EAAS,GAAGnxF,kBACzC1L,EAAOs7C,EAAKlyC,KAAI,SAAUgH,GAAK,MAAO,IAAMA,EAAI,OAAQ9W,KAAK,IAAM0G,EAAOs7C,EAAKlyC,KAAI,SAAUgH,GAAK,MAAO,KAAOA,EAAI,OAAQu/C,UAAUr2D,KAAK,IAC3I4+C,EAAQoD,EAAK5pD,QAEf4oF,EAAIxP,UAAY9qE,EAChB,IAAK,IAAIxO,EAAI,EAAGA,EAAI0mD,EAAO1mD,IAAO8oF,EAAMA,EAAIxsE,WAC5C,OAAOwsE,EApICwiB,CAAS98F,GAGjB,IAAI+8F,EAAc/pC,GAAOA,EAAItmD,cAAc,mBACvCswF,EAAYD,GAAe,oBAAoBxtG,KAAKwtG,EAAY3yE,aAAa,kBACjF,IAAK5tB,EAAO,CACV,IAAIo6D,EAASjZ,EAAKqrC,SAAS,oBAAsBrrC,EAAKqrC,SAAS,cAAgB,YAAUl1B,WAAWnW,EAAKpgD,MAAMylD,QAC/GxmD,EAAQo6D,EAAOxD,WAAWJ,EAAK,CAACkD,sBAAuBymC,IAAUK,GAAYzhG,QAASk/D,IAQxF,OALIj+D,EADAwgG,EA8HN,SAAoBxgG,EAAOjB,GACzB,IAAKiB,EAAMsW,KAAQ,OAAOtW,EAC1B,IAAmDiL,EAA/Cu7C,EAASxmD,EAAM6D,QAAQyN,WAAW1V,KAAK4qD,OAC3C,IAAMv7C,EAAQmC,KAAKkL,MAAMvZ,GACzB,MAAM2K,GAAK,OAAO1J,EAIlB,IAHA,IAAI6D,EAAU7D,EAAM6D,QAChB0oD,EAAYvsD,EAAMusD,UAClBhK,EAAUviD,EAAMuiD,QACXvtD,EAAIiW,EAAM/V,OAAS,EAAGF,GAAK,EAAGA,GAAK,EAAG,CAC7C,IAAI4G,EAAO4qD,EAAOoF,MAAM3gD,EAAMjW,IAC9B,IAAK4G,GAAQA,EAAK6gD,mBAAsB,MACxC54C,EAAU,WAASqkB,KAAKtsB,EAAKqG,OAAOgJ,EAAMjW,EAAI,GAAI6O,IAClD0oD,IAAahK,IAEf,OAAO,IAAI,QAAM1+C,EAAS0oD,EAAWhK,GA3IzBk+C,CAmFd,SAAoBzgG,EAAOusD,EAAWhK,GAChCgK,EAAYvsD,EAAMusD,YAClBvsD,EAAQ,IAAI,QAAM0gG,GAAW1gG,EAAM6D,SAAU,EAAG0oD,EAAWvsD,EAAMusD,UAAW,EAAGvsD,EAAMuiD,SAAUgK,EAAWvsD,EAAMuiD,UAChHA,EAAUviD,EAAMuiD,UAChBviD,EAAQ,IAAI,QAAM0gG,GAAW1gG,EAAM6D,QAAS,EAAG0+C,EAASviD,EAAMuiD,QAAS,EAAG,GAAIviD,EAAMusD,UAAWhK,IACnG,OAAOviD,EAxFgB2gG,CAAW3gG,GAAQwgG,EAAU,IAAKA,EAAU,IAAKA,EAAU,IAEtE,QAAMpyC,QAcpB,SAA2BP,EAAUoQ,GACnC,GAAIpQ,EAAS/R,WAAa,EAAK,OAAO+R,EAsBtC,IArBA,IAAI9N,EAAO,SAAWxiB,GACpB,IACIt0B,EADSg1D,EAAS/iB,KAAK3d,GACRyf,eAAeihB,EAASz0D,MAAM+zB,IAC7CqjE,OAAW,EAAUhqG,EAAS,GAelC,GAdAi3D,EAASh5D,SAAQ,SAAUqmD,GACzB,GAAKtkD,EAAL,CACA,IAA0CiqG,EAAtC/hD,EAAO71C,EAAMy1C,aAAaxD,EAAKt/C,MACnC,IAAKkjD,EAAQ,OAAOloD,EAAS,KAC7B,GAAIiqG,EAASjqG,EAAO1B,QAAU0rG,EAAS1rG,QA+B7C,SAAS4rG,EAAahiD,EAAM8hD,EAAU1lD,EAAM6lD,EAASrlD,GACnD,GAAIA,EAAQoD,EAAK5pD,QAAUwmD,EAAQklD,EAAS1rG,QAAU4pD,EAAKpD,IAAUklD,EAASllD,GAAQ,CACpF,IAAIqH,EAAQ+9C,EAAahiD,EAAM8hD,EAAU1lD,EAAM6lD,EAAQ3lD,UAAWM,EAAQ,GAC1E,GAAIqH,EAAS,OAAOg+C,EAAQhiD,KAAKgiD,EAAQl9F,QAAQ2mD,aAAau2C,EAAQjlD,WAAa,EAAGiH,IAEtF,GADYg+C,EAAQ/jD,eAAe+jD,EAAQjlD,YACjC6C,UAAUjD,GAASoD,EAAK5pD,OAAS,EAAIgmD,EAAKt/C,KAAOkjD,EAAKpD,EAAQ,IACpE,OAAOqlD,EAAQhiD,KAAKgiD,EAAQl9F,QAAQ6lD,OAAO,WAASxhC,KAAK84E,GAAa9lD,EAAM4D,EAAMpD,EAAQ,OArC3ColD,CAAahiD,EAAM8hD,EAAU1lD,EAAMtkD,EAAOA,EAAO1B,OAAS,GAAI,GAC7G0B,EAAOA,EAAO1B,OAAS,GAAK2rG,MACvB,CACDjqG,EAAO1B,SAAU0B,EAAOA,EAAO1B,OAAS,GAsCpD,SAAS+rG,EAAW/lD,EAAMQ,GACxB,GAAa,GAATA,EAAc,OAAOR,EACzB,IAAI2S,EAAW3S,EAAKr3C,QAAQ2mD,aAAatP,EAAKY,WAAa,EAAGmlD,EAAW/lD,EAAKE,UAAWM,EAAQ,IAC7Fqe,EAAO7e,EAAK8B,eAAe9B,EAAKY,YAAY6W,WAAW,WAASzgC,OAAO,GAC3E,OAAOgpB,EAAK6D,KAAK8O,EAASnE,OAAOqQ,IA1CsBknC,CAAWrqG,EAAOA,EAAO1B,OAAS,GAAI0rG,EAAS1rG,SAChG,IAAIgsG,EAAUF,GAAa9lD,EAAM4D,GACjCloD,EAAO0U,KAAK41F,GACZj4F,EAAQA,EAAM01C,UAAUuiD,EAAQtlG,KAAMslG,EAAQ7hD,OAC9CuhD,EAAW9hD,OAGXloD,EAAU,MAAO,CAAE+gB,EAAG,WAASuQ,KAAKtxB,KAGjC2mC,EAAI0gC,EAASviB,MAAOne,GAAK,EAAGA,IAAK,CACxC,IAAI2iB,EAAWH,EAAMxiB,GAErB,GAAK2iB,EAAW,OAAOA,EAASvoC,EAElC,OAAOk2C,EA1CmBszC,CAAkBnhG,EAAM6D,QAASo6D,IAAW,GAEtE9c,EAAKqrC,SAAS,mBAAmB,SAAUv0F,GAAK+H,EAAQ/H,EAAE+H,MACnDA,EA0CT,SAASghG,GAAa9lD,EAAM4D,EAAM52B,QAClB,IAATA,IAAkBA,EAAO,GAE9B,IAAK,IAAIlzB,EAAI8pD,EAAK5pD,OAAS,EAAGF,GAAKkzB,EAAMlzB,IACrCkmD,EAAO4D,EAAK9pD,GAAGiN,OAAO,KAAM,WAASimB,KAAKgzB,IAC9C,OAAOA,EAsBT,SAASwlD,GAAW7yC,EAAU1S,EAAMjzB,EAAM4L,EAAI4nB,EAAO6G,GACnD,IAAIrH,EAAOC,EAAO,EAAI0S,EAASv8C,WAAau8C,EAASzS,UAAW2H,EAAQ7H,EAAKr3C,QAK7E,OAJI63C,EAAQ5nB,EAAK,IAAKivB,EAAQ29C,GAAW39C,EAAO5H,EAAMjzB,EAAM4L,EAAI4nB,EAAQ,EAAG6G,IACvE7G,GAASxzB,IACT66B,EAAQ5H,EAAO,EAAID,EAAK8B,eAAe,GAAG2V,WAAW5P,EAAO8K,EAAS/R,WAAa,GAAKyG,GAAW7G,GAAOgO,OAAO3G,GAC9GA,EAAM2G,OAAOxO,EAAK8B,eAAe9B,EAAKY,YAAY6W,WAAW,WAASzgC,OAAO,KAC5E27B,EAASrD,aAAarP,EAAO,EAAI,EAAI0S,EAAS/R,WAAa,EAAGZ,EAAK6D,KAAKgE,IAcjF,IAAIg9C,GAAU,CACZqB,MAAO,CAAC,SACRC,MAAO,CAAC,SACRjoC,MAAO,CAAC,SACRkoC,QAAS,CAAC,SACVC,SAAU,CAAC,SACXC,IAAK,CAAC,QAAS,YACfzmD,GAAI,CAAC,QAAS,SACd0mD,GAAI,CAAC,QAAS,QAAS,MACvBC,GAAI,CAAC,QAAS,QAAS,OAGrBC,GAAe,KACnB,SAAS7B,KACP,OAAO6B,KAAiBA,GAAejrG,SAASkrG,eAAeC,mBAAmB,UAkCpF,IAAIC,GAAiB,CACnBC,WAAW,EACXC,eAAe,EACfC,uBAAuB,EACvB/zB,YAAY,EACZg0B,mBAAmB,EACnBC,SAAS,GAGPC,GAAcxrG,EAAOqzF,IAAMrzF,EAAOszF,YAAc,GAEhDmY,GAAiB,WACnBtlG,KAAKm2F,WAAan2F,KAAKo2F,aAAep2F,KAAK+zF,UAAY/zF,KAAKi0F,YAAc,MAG5EqR,GAAe/tG,UAAU2L,IAAM,SAAc2kD,GAC3C7nD,KAAKm2F,WAAatuC,EAAIsuC,WAAYn2F,KAAKo2F,aAAevuC,EAAIuuC,aAC1Dp2F,KAAK+zF,UAAYlsC,EAAIksC,UAAW/zF,KAAKi0F,YAAcpsC,EAAIosC,aAGzDqR,GAAe/tG,UAAU4vD,GAAK,SAAaU,GACzC,OAAOA,EAAIsuC,YAAcn2F,KAAKm2F,YAActuC,EAAIuuC,cAAgBp2F,KAAKo2F,cACnEvuC,EAAIksC,WAAa/zF,KAAK+zF,WAAalsC,EAAIosC,aAAej0F,KAAKi0F,aAG/D,IAAIsR,GAAc,SAAqBnhD,EAAMohD,GAC3C,IAAIn7C,EAASrqD,KAEbA,KAAKokD,KAAOA,EACZpkD,KAAKwlG,gBAAkBA,EACvBxlG,KAAKuN,MAAQ,GACbvN,KAAKylG,cAAgB,EACrBzlG,KAAK0wB,SAAWz5B,OAAOyuG,kBACrB,IAAIzuG,OAAOyuG,kBAAiB,SAAUC,GACpC,IAAK,IAAI1tG,EAAI,EAAGA,EAAI0tG,EAAUxtG,OAAQF,IAAOoyD,EAAO98C,MAAMgB,KAAKo3F,EAAU1tG,IAKrE4B,EAAOqzF,IAAMrzF,EAAOszF,YAAc,IAAMwY,EAAUhuF,MACpD,SAAUqK,GAAK,MAAiB,aAAVA,EAAEnjB,MAAuBmjB,EAAE4jF,aAAaztG,QAC/C,iBAAV6pB,EAAEnjB,MAA2BmjB,EAAEo1E,SAASj/F,OAAS6pB,EAAE9lB,OAAOwiE,UAAUvmE,UACvEkyD,EAAOw7C,YAEPx7C,EAAOy7C,WAEf9lG,KAAK+lG,iBAAmB,IAAIT,GACxBD,KACFrlG,KAAKgmG,WAAa,SAAUr5F,GAC1B09C,EAAO98C,MAAMgB,KAAK,CAACrS,OAAQyQ,EAAEzQ,OAAQ2C,KAAM,gBAAiBu4F,SAAUzqF,EAAEs5F,YACxE57C,EAAOw7C,cAGX7lG,KAAKkmG,kBAAoBlmG,KAAKkmG,kBAAkB9uG,KAAK4I,MACrDA,KAAKmmG,6BAA8B,GAGrCZ,GAAYhuG,UAAUsuG,UAAY,WAC9B,IAAIx7C,EAASrqD,KAEXA,KAAKylG,aAAe,IACpBzlG,KAAKylG,aAAexuG,OAAOmW,YAAW,WAAci9C,EAAOo7C,cAAgB,EAAGp7C,EAAOy7C,UAAY,MAGvGP,GAAYhuG,UAAU6uG,WAAa,WAC7BpmG,KAAKylG,cAAgB,IACvBxuG,OAAOoW,aAAarN,KAAKylG,cACzBzlG,KAAKylG,cAAgB,EACrBzlG,KAAK8lG,UAITP,GAAYhuG,UAAUu1D,MAAQ,WACxB9sD,KAAK0wB,UACL1wB,KAAK0wB,SAAS21E,QAAQrmG,KAAKokD,KAAKqV,IAAKsrC,IACrCM,IACArlG,KAAKokD,KAAKqV,IAAIr7C,iBAAiB,2BAA4Bpe,KAAKgmG,YACpEhmG,KAAKq/F,oBAGPkG,GAAYhuG,UAAUohB,KAAO,WACzB,IAAI0xC,EAASrqD,KAEf,GAAIA,KAAK0wB,SAAU,CACjB,IAAI41E,EAAOtmG,KAAK0wB,SAAS61E,cACzB,GAAID,EAAKnuG,OAAQ,CACf,IAAK,IAAIF,EAAI,EAAGA,EAAIquG,EAAKnuG,OAAQF,IAAO+H,KAAKuN,MAAMgB,KAAK+3F,EAAKruG,IAC7DhB,OAAOmW,YAAW,WAAc,OAAOi9C,EAAOy7C,UAAY,IAE5D9lG,KAAK0wB,SAAS81E,aAEZnB,IAAerlG,KAAKokD,KAAKqV,IAAI8J,oBAAoB,2BAA4BvjE,KAAKgmG,YACtFhmG,KAAKy+F,uBAGP8G,GAAYhuG,UAAU8nG,iBAAmB,WACvCr/F,KAAKokD,KAAKqV,IAAIkG,cAAcvhD,iBAAiB,kBAAmBpe,KAAKkmG,oBAGvEX,GAAYhuG,UAAUknG,oBAAsB,WAC1Cz+F,KAAKokD,KAAKqV,IAAIkG,cAAc4D,oBAAoB,kBAAmBvjE,KAAKkmG,oBAG1EX,GAAYhuG,UAAU0qG,yBAA2B,WAC7C,IAAI53C,EAASrqD,KAEfA,KAAKmmG,6BAA8B,EACnC/4F,YAAW,WAAc,OAAOi9C,EAAO87C,6BAA8B,IAAU,KAGjFZ,GAAYhuG,UAAU2uG,kBAAoB,WACxC,MA7pB4B9hD,EA6pBFpkD,KAAKokD,MA5pBtB0gB,UAAY1gB,EAAKitC,KAAK8B,eAAiB/uC,EAAKqV,MAC9C+kC,GAAap6C,GA2pBpB,CA7pBF,IAA8BA,EA8pB5B,GAAIpkD,KAAKmmG,4BAA+B,OAAO9H,GAAer+F,KAAKokD,MAInE,GAAIvqD,EAAOqzF,IAAMrzF,EAAOszF,YAAc,KAAOntF,KAAKokD,KAAKpgD,MAAM+5C,UAAU5oB,MAAO,CAC5E,IAAI0yB,EAAM7nD,KAAKokD,KAAKitC,KAAKsC,eAEzB,GAAI9rC,EAAIksC,WAAa9F,EAAqBpmC,EAAIksC,UAAWlsC,EAAIosC,YAAapsC,EAAIsuC,WAAYtuC,EAAIuuC,cAC1F,OAAOp2F,KAAK6lG,YAElB7lG,KAAK8lG,UAGPP,GAAYhuG,UAAU4lG,gBAAkB,WACtCn9F,KAAK+lG,iBAAiB7iG,IAAIlD,KAAKokD,KAAKitC,KAAKsC,iBAG3C4R,GAAYhuG,UAAUkvG,sBAAwB,SAAgC5+C,GAC5E,GAAsB,GAAlBA,EAAIgnC,WAAmB,OAAO,EAClC,IAAI6X,EAAY7+C,EAAIinC,WAAW,GAAG6X,wBAC9B78C,EAAO9pD,KAAKokD,KAAK+uB,QAAQ8e,YAAYyU,GACzC,OAAI58C,GAAQA,EAAK0sC,eAAe,CAAC33F,KAAM,YAAa3C,OAA8B,GAAtBwqG,EAAUrkD,SAAgBqkD,EAAUtzF,WAAaszF,KAC3G1mG,KAAKm9F,mBACE,QAFT,GAMFoI,GAAYhuG,UAAUuuG,MAAQ,WAC5B,GAAK9lG,KAAKokD,KAAK+uB,WAAWnzE,KAAKylG,cAAgB,GAA/C,CACA,IAAIE,EAAY3lG,KAAK0wB,SAAW1wB,KAAK0wB,SAAS61E,cAAgB,GAC1DvmG,KAAKuN,MAAMpV,SACbwtG,EAAY3lG,KAAKuN,MAAMvK,OAAO2iG,GAC9B3lG,KAAKuN,MAAMpV,OAAS,GAGtB,IAAI0vD,EAAM7nD,KAAKokD,KAAKitC,KAAKsC,eACrByM,GAAUpgG,KAAKmmG,8BAAgCnmG,KAAK+lG,iBAAiB5+C,GAAGU,IAAQ22C,GAAax+F,KAAKokD,QAAUpkD,KAAKymG,sBAAsB5+C,GAEvI18B,GAAQ,EAAG4L,GAAM,EAAGipE,GAAW,EAAO1kB,EAAQ,GAClD,GAAIt7E,KAAKokD,KAAK0gB,SACZ,IAAK,IAAI7sE,EAAI,EAAGA,EAAI0tG,EAAUxtG,OAAQF,IAAK,CACzC,IAAI2uG,EAAW5mG,KAAK6mG,iBAAiBlB,EAAU1tG,GAAIqjF,GAC/CsrB,IACFz7E,EAAOA,EAAO,EAAIy7E,EAASz7E,KAAOr0B,KAAKkK,IAAI4lG,EAASz7E,KAAMA,GAC1D4L,EAAKA,EAAK,EAAI6vE,EAAS7vE,GAAKjgC,KAAKkwB,IAAI4/E,EAAS7vE,GAAIA,GAC9C6vE,EAAS5G,WAAYA,GAAW,IAK1C,GAAInmG,EAAOwzF,OAAS/R,EAAMnjF,OAAS,EAAG,CACpC,IAAI2uG,EAAMxrB,EAAMznE,QAAO,SAAUgD,GAAK,MAAqB,MAAdA,EAAEgoD,YAC/C,GAAkB,GAAdioC,EAAI3uG,OAAa,CACnB,IAAI+B,EAAI4sG,EAAI,GACN3sG,EAAI2sG,EAAI,GACV5sG,EAAEkZ,YAAclZ,EAAEkZ,WAAWA,YAAcjZ,EAAEiZ,WAAcjZ,EAAE+Y,SAC1DhZ,EAAEgZ,WAITiY,GAAQ,GAAKi1E,KACXj1E,GAAQ,IACVnrB,KAAKokD,KAAK+uB,QAAQsjB,UAAUtrE,EAAM4L,GA0DxC,SAAkBqtB,GAChB,GAAI2iD,GAAc,OAClBA,IAAa,EACgC,UAAzCviC,iBAAiBpgB,EAAKqV,KAAKutC,YAC3BrmG,QAAc,KAAE,4KA7DhBsmG,CAASjnG,KAAKokD,OAEhBpkD,KAAKwlG,gBAAgBr6E,EAAM4L,EAAIipE,EAAU1kB,GACrCt7E,KAAKokD,KAAK+uB,QAAQqhB,MAASx0F,KAAKokD,KAAK8pB,YAAYluE,KAAKokD,KAAKpgD,OACrDhE,KAAK+lG,iBAAiB5+C,GAAGU,IAAQw2C,GAAer+F,KAAKokD,SAInEmhD,GAAYhuG,UAAUsvG,iBAAmB,SAA2B1P,EAAK7b,GAEvE,GAAIA,EAAM18E,QAAQu4F,EAAIj7F,SAAW,EAAK,OAAO,KAC7C,IAAI4tD,EAAO9pD,KAAKokD,KAAK+uB,QAAQ8e,YAAYkF,EAAIj7F,QAC7C,GAAgB,cAAZi7F,EAAIt4F,OACHirD,GAAQ9pD,KAAKokD,KAAK+uB,SAAgC,mBAArBgkB,EAAI+P,eAEX,SAArB/P,EAAI+P,gBAA6B/P,EAAIC,WAAaD,EAAIj7F,OAAO20B,aAAa,UAC5E,OAAO,KACX,IAAKi5B,GAAQA,EAAK0sC,eAAeW,GAAQ,OAAO,KAEhD,GAAgB,aAAZA,EAAIt4F,KAAqB,CAC3B,IAAIm1B,EAAOmjE,EAAIv4B,gBAAiB10D,EAAOitF,EAAIj4B,YAC3C,GAAIrlE,EAAOqzF,IAAMrzF,EAAOszF,YAAc,IAAMgK,EAAI8I,WAAW9nG,OAGzD,IAAK,IAAIF,EAAI,EAAGA,EAAIk/F,EAAI8I,WAAW9nG,OAAQF,IAAK,CAC9C,IAAIinD,EAAMi4C,EAAI8I,WAAWhoG,GACnB2mE,EAAkB1f,EAAI0f,gBACtBM,EAAchgB,EAAIggB,cACnBN,GAAmBtwD,MAAM/W,UAAUqH,QAAQlH,KAAKy/F,EAAI8I,WAAYrhC,GAAmB,KAAK5qC,EAAO4qC,KAC/FM,GAAe5wD,MAAM/W,UAAUqH,QAAQlH,KAAKy/F,EAAI8I,WAAY/gC,GAAe,KAAKh1D,EAAOg1D,GAQhG,IALA,IAAIy2B,EAAa3hE,GAAQA,EAAK5gB,YAAc+jF,EAAIj7F,OAC1C8xF,EAASh6D,GAAQ,EAAI,EACvB7I,EAAO2+B,EAAKorC,gBAAgBiC,EAAIj7F,OAAQy5F,GAAa,GACrDC,EAAW1rF,GAAQA,EAAKkJ,YAAc+jF,EAAIj7F,OACxC8xF,EAAS9jF,GAAQitF,EAAIj7F,OAAOiY,WAAWhc,OACpCyrD,EAAM,EAAGA,EAAMuzC,EAAI8I,WAAW9nG,OAAQyrD,IAAS03B,EAAM/sE,KAAK4oF,EAAI8I,WAAWr8C,IAElF,MAAO,CAACz4B,KAAMA,EAAM4L,GADX+yB,EAAKorC,gBAAgBiC,EAAIj7F,OAAQ05F,EAAU,IAE/C,MAAgB,cAAZuB,EAAIt4F,KACN,CAACssB,KAAM2+B,EAAKyoC,WAAazoC,EAAK0oC,OAAQz7D,GAAI+yB,EAAK4qC,SAAW5qC,EAAK0oC,QAE/D,CACLrnE,KAAM2+B,EAAKyoC,WACXx7D,GAAI+yB,EAAK4qC,SAKTsL,SAAU7I,EAAIj7F,OAAOwiE,WAAay4B,EAAIC,WAK5C,IAAI2P,IAAa,EAWjB,IAAIn5E,GAAW,GAAIu5E,GAAe,GA0ClC,SAASC,GAAmBhjD,EAAM65C,GAChC75C,EAAK+7C,oBAAsBlC,EAC3B75C,EAAK87C,kBAAoBhwE,KAAKG,MAUhC,SAASg3E,GAAgBjjD,GACvBA,EAAKqrC,SAAS,mBAAmB,SAAU6X,GACzC,IAAK,IAAIzoG,KAAQyoG,EAAwBljD,EAAKmjD,cAAc1oG,IACxDulD,EAAKqV,IAAIr7C,iBAAiBvf,EAAMulD,EAAKmjD,cAAc1oG,GAAQ,SAAUyB,GAAS,OAAOknG,GAAiBpjD,EAAM9jD,QAIpH,SAASknG,GAAiBpjD,EAAM9jD,GAC9B,OAAO8jD,EAAKqrC,SAAS,mBAAmB,SAAU7hE,GAChD,IAAI1tB,EAAU0tB,EAASttB,EAAMzB,MAC7B,QAAOqB,IAAUA,EAAQkkD,EAAM9jD,IAAUA,EAAMmnG,qBAoEnD,SAASC,GAAYpnG,GAAS,MAAO,CAAC0jE,KAAM1jE,EAAM0kE,QAASnH,IAAKv9D,EAAM2kE,SAOtE,SAAS0iC,GAAoBvjD,EAAMwjD,EAAU1uF,EAAK8sD,EAAQ1lE,GACxD,IAAe,GAAX0lE,EAAgB,OAAO,EAQ3B,IAPA,IAAIxnB,EAAO4F,EAAKpgD,MAAM46C,IAAIviC,QAAQ2pD,GAC9BhjB,EAAO,SAAW/qD,GACpB,GAAImsD,EAAKqrC,SAASmY,GAAU,SAAU1sG,GAAK,OAAOjD,EAAIumD,EAAKG,MAAQzjD,EAAEkpD,EAAMlrC,EAAKslC,EAAK6C,UAAW7C,EAAKK,OAAO5mD,GAAIqI,GAAO,GACrEpF,EAAEkpD,EAAMlrC,EAAKslC,EAAKL,KAAKlmD,GAAIumD,EAAKK,OAAO5mD,GAAIqI,GAAO,MAChG,MAAO,CAAEsa,GAAG,IAGT3iB,EAAIumD,EAAKG,MAAQ,EAAG1mD,EAAI,EAAGA,IAAK,CACvC,IAAIkrD,EAAWH,EAAM/qD,GAErB,GAAKkrD,EAAW,OAAOA,EAASvoC,EAElC,OAAO,EAGT,SAASitF,GAAgBzjD,EAAMrG,EAAWkgD,GACnC75C,EAAK6rB,SAAW7rB,EAAKopB,QAC1B,IAAIxvB,EAAKoG,EAAKpgD,MAAMg6C,GAAGqC,aAAatC,GACtB,WAAVkgD,GAAuBjgD,EAAG0L,QAAQ,WAAW,GACjDtF,EAAKtG,SAASE,GAuChB,SAAS8pD,GAAkB1jD,EAAMlrC,EAAK8sD,EAAQ1lE,EAAOy6F,GACnD,OAAO4M,GAAoBvjD,EAAM,gBAAiBlrC,EAAK8sD,EAAQ1lE,IAC7D8jD,EAAKqrC,SAAS,eAAe,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAMlrC,EAAK5Y,QAC/Dy6F,EA7BL,SAA2B32C,EAAM4hB,GAC/B,IAAe,GAAXA,EAAgB,OAAO,EAC3B,IAAgC+hC,EAAcC,EAA1CngD,EAAMzD,EAAKpgD,MAAM+5C,UACjB8J,aAAe,kBAAiBkgD,EAAelgD,EAAI1J,MAGvD,IADA,IAAIK,EAAO4F,EAAKpgD,MAAM46C,IAAIviC,QAAQ2pD,GACzB/tE,EAAIumD,EAAKG,MAAQ,EAAG1mD,EAAI,EAAGA,IAAK,CACvC,IAAIkmD,EAAOlmD,EAAIumD,EAAKG,MAAQH,EAAK6C,UAAY7C,EAAKL,KAAKlmD,GACvD,GAAI,gBAAcssD,aAAapG,GAAO,CAGhC6pD,EAFAD,GAAgBlgD,EAAI1I,MAAMR,MAAQ,GAClC1mD,GAAK4vD,EAAI1I,MAAMR,OAASH,EAAKK,OAAOgJ,EAAI1I,MAAMR,MAAQ,IAAMkJ,EAAI1I,MAAMjmC,IAC3DslC,EAAKK,OAAOgJ,EAAI1I,MAAMR,OAEtBH,EAAKK,OAAO5mD,GAC3B,OAIJ,OAAgB,MAAZ+vG,IACFH,GAAgBzjD,EAAM,gBAAcl/C,OAAOk/C,EAAKpgD,MAAM46C,IAAKopD,GAAW,YAC/D,GASOC,CAAkB7jD,EAAM4hB,GAvC1C,SAA2B5hB,EAAM4hB,GAC/B,IAAe,GAAXA,EAAgB,OAAO,EAC3B,IAAIxnB,EAAO4F,EAAKpgD,MAAM46C,IAAIviC,QAAQ2pD,GAAS7nB,EAAOK,EAAK6C,UACvD,SAAIlD,GAAQA,EAAKsG,QAAU,gBAAcF,aAAapG,MACpD0pD,GAAgBzjD,EAAM,IAAI,gBAAc5F,GAAO,YACxC,GAkCyC0pD,CAAkB9jD,EAAM4hB,IAG5E,SAASmiC,GAAkB/jD,EAAMlrC,EAAK8sD,EAAQ1lE,GAC5C,OAAOqnG,GAAoBvjD,EAAM,sBAAuBlrC,EAAK8sD,EAAQ1lE,IACnE8jD,EAAKqrC,SAAS,qBAAqB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAMlrC,EAAK5Y,MAG1E,SAAS8nG,GAAkBhkD,EAAMlrC,EAAK8sD,EAAQ1lE,GAC5C,OAAOqnG,GAAoBvjD,EAAM,sBAAuBlrC,EAAK8sD,EAAQ1lE,IACnE8jD,EAAKqrC,SAAS,qBAAqB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAMlrC,EAAK5Y,OAI1E,SAA4B8jD,EAAM4hB,GAChC,IAAIpnB,EAAMwF,EAAKpgD,MAAM46C,IACrB,IAAe,GAAXonB,EACF,QAAIpnB,EAAIsE,gBACN2kD,GAAgBzjD,EAAM,gBAAcl/C,OAAO05C,EAAK,EAAGA,EAAI93C,QAAQyS,MAAO,YAC/D,GAMX,IADA,IAAIilC,EAAOI,EAAIviC,QAAQ2pD,GACd/tE,EAAIumD,EAAKG,MAAQ,EAAG1mD,EAAI,EAAGA,IAAK,CACvC,IAAIkmD,EAAOlmD,EAAIumD,EAAKG,MAAQH,EAAK6C,UAAY7C,EAAKL,KAAKlmD,GACnDwkG,EAAUj+C,EAAKK,OAAO5mD,GAC1B,GAAIkmD,EAAK+E,cACL2kD,GAAgBzjD,EAAM,gBAAcl/C,OAAO05C,EAAK69C,EAAU,EAAGA,EAAU,EAAIt+C,EAAKr3C,QAAQyS,MAAO,eAC9F,KAAI,gBAAcgrC,aAAapG,GAGhC,SAFA0pD,GAAgBzjD,EAAM,gBAAcl/C,OAAO05C,EAAK69C,GAAU,WAG9D,OAAO,GAvBP4L,CAAmBjkD,EAAM4hB,GA2B7B,SAASsiC,GAAclkD,GACrB,OAAOmkD,GAAenkD,GA7JxB+iD,GAAaqB,QAAU,SAAUpkD,EAAM9jD,GAErC,GADA8jD,EAAKy5C,SAA4B,IAAjBv9F,EAAM0uF,SAAiB1uF,EAAMu9F,UACzC4K,GAAoBrkD,EAAM9jD,GAQ9B,GAPA8jD,EAAK84C,YAAYkJ,aACjBhiD,EAAKs8C,YAAcpgG,EAAM0uF,QACzB5qC,EAAK48C,gBAAkB9wE,KAAKG,OAKxBx2B,EAAO4zF,KAAwB,IAAjBntF,EAAM0uF,SAAkB1uF,EAAMo9F,SAAYp9F,EAAMs9F,QAAWt9F,EAAMq9F,QASxEv5C,EAAKqrC,SAAS,iBAAiB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAM9jD,OAAcm9F,GAAer5C,EAAM9jD,GACzGA,EAAMwmF,iBAENsgB,GAAmBhjD,EAAM,WAZiE,CAC1F,IAAI/zB,EAAMH,KAAKG,MACf+zB,EAAKs9C,aAAerxE,EACpBjjB,YAAW,WACLg3C,EAAKs9C,cAAgBrxE,IACvB+zB,EAAKqrC,SAAS,iBAAiB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAM2qC,EAAS,GAAI,aAC1E3qC,EAAKs9C,aAAe,KAErB,MAQPyF,GAAauB,MAAQ,SAAUtkD,EAAMz3C,GAClB,IAAbA,EAAEqiF,UAAiB5qC,EAAKy5C,UAAW,IAGzCsJ,GAAawB,SAAW,SAAUvkD,EAAM9jD,GACtC,KAAImoG,GAAoBrkD,EAAM9jD,KAAWA,EAAMsoG,UAC3CtoG,EAAMo9F,UAAYp9F,EAAMs9F,QAAU/jG,EAAOozF,KAAO3sF,EAAMq9F,SAE1D,GAAIv5C,EAAKqrC,SAAS,kBAAkB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAM9jD,MAChEA,EAAMwmF,qBADR,CAKA,IAAIj/B,EAAMzD,EAAKpgD,MAAM+5C,UACrB,KAAM8J,aAAe,iBAAmBA,EAAI1I,MAAMW,WAAW+H,EAAIzI,MAAM,CACrE,IAAIhhD,EAAO3D,OAAOuhD,aAAa17C,EAAMsoG,UAChCxkD,EAAKqrC,SAAS,mBAAmB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAMyD,EAAI1I,MAAMjmC,IAAK2uC,EAAIzI,IAAIlmC,IAAK9a,OAC5FgmD,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAG4G,WAAWxmD,GAAM6/C,kBACjD39C,EAAMwmF,oBAoHV,IAAI+hB,GAAqBhvG,EAAOozF,IAAM,UAAY,UAElDr/D,GAASk7E,UAAY,SAAU1kD,EAAM9jD,GACnC8jD,EAAKy5C,SAAWv9F,EAAMu9F,SACtB,IAAIkL,EAAUT,GAAclkD,GACxB/zB,EAAMH,KAAKG,MAAOxxB,EAAO,cACzBwxB,EAAM+zB,EAAK4kD,UAAUn2D,KAAO,KApHlC,SAAgBvyC,EAAO2oG,GACrB,IAAIhY,EAAKgY,EAAM5qE,EAAI/9B,EAAM0kE,QAASkkC,EAAKD,EAAMtrE,EAAIr9B,EAAM2kE,QACvD,OAAOgsB,EAAKA,EAAKiY,EAAKA,EAAK,IAkHYC,CAAO7oG,EAAO8jD,EAAK4kD,aAAe1oG,EAAMuoG,MAClD,eAAvBzkD,EAAK4kD,UAAUnqG,KAAyBA,EAAO,cACnB,eAAvBulD,EAAK4kD,UAAUnqG,OAAyBA,EAAO,gBAE1DulD,EAAK4kD,UAAY,CAACn2D,KAAMxiB,EAAKgO,EAAG/9B,EAAM0kE,QAASrnC,EAAGr9B,EAAM2kE,QAASpmE,KAAMA,GAEvE,IAAIqa,EAAMkrC,EAAK2gB,YAAY2iC,GAAYpnG,IAClC4Y,IAEO,eAARra,EACAulD,EAAKglD,UAAY,IAAIC,GAAUjlD,EAAMlrC,EAAK5Y,EAAOyoG,IACnC,eAARlqG,EAAwBspG,GAAoBC,IAAmBhkD,EAAMlrC,EAAIA,IAAKA,EAAI8sD,OAAQ1lE,GAChGA,EAAMwmF,iBAENsgB,GAAmBhjD,EAAM,aAG/B,IAAIilD,GAAY,SAAmBjlD,EAAMlrC,EAAK5Y,EAAOyoG,GACnD,IAUI7a,EAAYob,EAVZj/C,EAASrqD,KAWb,GATAA,KAAKokD,KAAOA,EACZpkD,KAAK2gG,SAAWv8C,EAAKpgD,MAAM46C,IAC3B5+C,KAAKkZ,IAAMA,EACXlZ,KAAKM,MAAQA,EACbN,KAAK+oG,QAAUA,EACf/oG,KAAK+6F,WAAaz6F,EAAMuoG,IACxB7oG,KAAKupG,aAAejpG,EAAMu9F,SAGtB3kF,EAAI8sD,QAAU,EAChBkoB,EAAa9pC,EAAKpgD,MAAM46C,IAAIkU,OAAO55C,EAAI8sD,QACvCsjC,EAAYpwF,EAAI8sD,WACX,CACL,IAAIxnB,EAAO4F,EAAKpgD,MAAM46C,IAAIviC,QAAQnD,EAAIA,KACtCg1E,EAAa1vC,EAAKr8C,OAClBmnG,EAAY9qD,EAAKG,MAAQH,EAAKK,SAAW,EAG3C7+C,KAAKwpG,UAAY,KAEjB,IAAIttG,EAAS6sG,EAAU,KAAOzoG,EAAMpE,OAChCutG,EAAavtG,EAASkoD,EAAK+uB,QAAQ8e,YAAY/1F,GAAQ,GAAQ,KACnE8D,KAAK9D,OAASutG,EAAaA,EAAWhwC,IAAM,MAExCy0B,EAAWrvF,KAAK4/C,KAAK2sB,YAAiD,IAApC8iB,EAAWrvF,KAAK4/C,KAAKmJ,YACvDxD,EAAKpgD,MAAM+5C,qBAAqB,iBAAiBurD,GAAallD,EAAKpgD,MAAM+5C,UAAU5yB,QACnFnrB,KAAKwpG,UAAY,CAACrrD,KAAM+vC,EACRh1E,IAAKowF,EACLI,QAAS1pG,KAAK9D,SAAW8D,KAAK9D,OAAOkvE,UACrCu+B,cAAe3pG,KAAK9D,QAAUrC,EAAOwzF,QAAUrtF,KAAK9D,OAAOsuF,aAAa,qBAExFxqF,KAAK9D,QAAU8D,KAAKwpG,YAAcxpG,KAAKwpG,UAAUE,SAAW1pG,KAAKwpG,UAAUG,iBAC7E3pG,KAAKokD,KAAK84C,YAAYvkF,OAClB3Y,KAAKwpG,UAAUE,UAAW1pG,KAAK9D,OAAOkvE,WAAY,GAClDprE,KAAKwpG,UAAUG,eACfv8F,YAAW,WAAc,OAAOi9C,EAAOnuD,OAAOmY,aAAa,kBAAmB,WAAa,IAC/FrU,KAAKokD,KAAK84C,YAAYpwC,SAGxB1I,EAAKitC,KAAKjzE,iBAAiB,UAAWpe,KAAK4pG,GAAK5pG,KAAK4pG,GAAGxyG,KAAK4I,OAC7DokD,EAAKitC,KAAKjzE,iBAAiB,YAAape,KAAKi+E,KAAOj+E,KAAKi+E,KAAK7mF,KAAK4I,OACnEonG,GAAmBhjD,EAAM,YA6D3B,SAASqkD,GAAoBrkD,EAAM9jD,GACjC,QAAI8jD,EAAK+wB,cAWLt7E,EAAOg0F,QAAU/2F,KAAKuhC,IAAI/3B,EAAMupG,UAAYzlD,EAAK0lD,oBAAsB,OACzE1lD,EAAK0lD,oBAAsB,KACpB,GAxEXT,GAAU9xG,UAAUwd,KAAO,WACzB/U,KAAKokD,KAAKitC,KAAK9tB,oBAAoB,UAAWvjE,KAAK4pG,IACnD5pG,KAAKokD,KAAKitC,KAAK9tB,oBAAoB,YAAavjE,KAAKi+E,MACjDj+E,KAAKwpG,WAAaxpG,KAAK9D,SACzB8D,KAAKokD,KAAK84C,YAAYvkF,OAClB3Y,KAAKwpG,UAAUE,SAAW1pG,KAAK9D,OAAO++F,gBAAgB,aACtDj7F,KAAKwpG,UAAUG,eAAiB3pG,KAAK9D,OAAO++F,gBAAgB,mBAChEj7F,KAAKokD,KAAK84C,YAAYpwC,SAExB9sD,KAAKokD,KAAKglD,UAAY,MAGxBC,GAAU9xG,UAAUqyG,GAAK,SAAatpG,GAGpC,GAFAN,KAAK+U,OAEA/U,KAAKokD,KAAKqV,IAAImH,SAAkC,GAAzBtgE,EAAMpE,OAAOmmD,SAAgB/hD,EAAMpE,OAAOkX,WAAa9S,EAAMpE,QAAzF,CAGA,IAAIgd,EAAMlZ,KAAKkZ,IACXlZ,KAAKokD,KAAKpgD,MAAM46C,KAAO5+C,KAAK2gG,WAAYznF,EAAMlZ,KAAKokD,KAAK2gB,YAAY2iC,GAAYpnG,KAEhFN,KAAKupG,eAAiBrwF,EACxBkuF,GAAmBpnG,KAAKokD,KAAM,WACrB0jD,GAAkB9nG,KAAKokD,KAAMlrC,EAAIA,IAAKA,EAAI8sD,OAAQ1lE,EAAON,KAAK+6F,YACvEz6F,EAAMwmF,iBACG9mF,KAAK+oG,SAEJlvG,EAAOg0F,QAAU7tF,KAAKwpG,YAAcxpG,KAAKwpG,UAAUrrD,KAAKsG,QAQxD5qD,EAAO0zF,UAAYvtF,KAAKokD,KAAKpgD,MAAM+5C,qBAAqB,mBACvD7kC,EAAIA,KAAOlZ,KAAKokD,KAAKpgD,MAAM+5C,UAAU5yB,MAAQjS,EAAIA,KAAOlZ,KAAKokD,KAAKpgD,MAAM+5C,UAAUhnB,KAC7F8wE,GAAgB7nG,KAAKokD,KAAM,YAAU9D,KAAKtgD,KAAKokD,KAAKpgD,MAAM46C,IAAIviC,QAAQnD,EAAIA,MAAO,WACjF5Y,EAAMwmF,kBAENsgB,GAAmBpnG,KAAKokD,KAAM,aAIlCilD,GAAU9xG,UAAU0mF,KAAO,SAAe39E,IACnCN,KAAKupG,eAAiBzyG,KAAKuhC,IAAIr4B,KAAKM,MAAM+9B,EAAI/9B,EAAM0kE,SAAW,GACzCluE,KAAKuhC,IAAIr4B,KAAKM,MAAMq9B,EAAIr9B,EAAM2kE,SAAW,KAChEjlE,KAAKupG,cAAe,GACxBnC,GAAmBpnG,KAAKokD,KAAM,YAGhCx2B,GAASm8E,UAAY,SAAU3lD,GAC7BkkD,GAAclkD,GACdgjD,GAAmBhjD,EAAM,YAG3Bx2B,GAASo8E,YAAc,SAAU5lD,GAAQ,OAAOkkD,GAAclkD,IAsB9D,IAAI6lD,GAAqBpwG,EAAO6zF,QAAU,KAAQ,EA8ClD,SAASwc,GAAmB9lD,EAAM8nC,GAChC7+E,aAAa+2C,EAAK+lD,kBACdje,GAAS,IAAK9nC,EAAK+lD,iBAAmB/8F,YAAW,WAAc,OAAOm7F,GAAenkD,KAAU8nC,IAGrG,SAASqc,GAAenkD,EAAMgmD,GAG5B,IAFAhmD,EAAK84C,YAAYkJ,aACjBhiD,EAAK+wB,WAAY,EACV/wB,EAAKo2C,iBAAiBriG,OAAS,GAAKisD,EAAKo2C,iBAAiBjkC,MAAMqgC,mBACvE,GAAIwT,GAAehmD,EAAK+uB,QAAQqhB,MAAO,CACrC,IAAI3sC,EAAMm2C,GAAiB55C,GAG3B,OAFIyD,IAAQA,EAAIV,GAAG/C,EAAKpgD,MAAM+5C,WAAcqG,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAGqC,aAAawH,IAC9EzD,EAAK8pB,YAAY9pB,EAAKpgD,QACtB,EAET,OAAO,EA3DTmjG,GAAakD,iBAAmBlD,GAAamD,kBAAoB,SAAUlmD,GACzE,IAAKA,EAAK+wB,UAAW,CACnB/wB,EAAK84C,YAAY4I,QACjB,IAAI9hG,EAAQogD,EAAKpgD,MACbw6C,EAAOx6C,EAAM+5C,UAAUoB,MAC3B,GAAIn7C,EAAM+5C,UAAU5oB,QACfnxB,EAAMs/C,cAAiB9E,EAAKmS,YAAcnS,EAAKiC,cAAgBjC,EAAK4C,WAAWmC,MAAM5rC,MAAK,SAAUqK,GAAK,OAAiC,IAA1BA,EAAEnjB,KAAK4/C,KAAKmT,cAE/HxN,EAAKmmD,WAAanmD,EAAKpgD,MAAMs/C,aAAe9E,EAAK+E,QACjDglD,GAAenkD,GAAM,GACrBA,EAAKmmD,WAAa,UAMlB,GAJAhC,GAAenkD,GAIXvqD,EAAOwzF,OAASrpF,EAAM+5C,UAAU5oB,OAASqpB,EAAKiC,eAAiBjC,EAAKmS,YAAcnS,EAAK4C,WAAWmC,MAAMprD,OAE1G,IADA,IAAI0vD,EAAMzD,EAAKitC,KAAKsC,eACXx1C,EAAO0J,EAAIksC,UAAWllD,EAASgZ,EAAIosC,YAAa91C,GAAyB,GAAjBA,EAAKkE,UAA2B,GAAVxT,GAAc,CACnG,IAAIgQ,EAAShQ,EAAS,EAAIsP,EAAKE,UAAYF,EAAKhqC,WAAW06B,EAAS,GACpE,IAAKgQ,EAAU,MACf,GAAuB,GAAnBA,EAAOwD,SAAe,CACxBwF,EAAIyuC,SAASz3C,EAAQA,EAAO6f,UAAUvmE,QACtC,MAEAgmD,EAAOU,EACPhQ,GAAU,EAKlBuV,EAAK+wB,WAAY,EAEnB+0B,GAAmB9lD,EAAM6lD,KAG3B9C,GAAajoB,eAAiB,SAAU96B,EAAM9jD,GACxC8jD,EAAK+wB,YACP/wB,EAAK+wB,WAAY,EACjB/wB,EAAK0lD,mBAAqBxpG,EAAMupG,UAChCK,GAAmB9lD,EAAM,MA8C7B,IAAIomD,GAAsB3wG,EAAOqzF,IAAMrzF,EAAOszF,WAAa,IACpDtzF,EAAO4zF,KAAO5zF,EAAOk0F,eAAiB,IA0C7C,SAAS0c,GAAQrmD,EAAMhmD,EAAMqI,EAAMkG,GACjC,IAAI1J,EAAQggG,GAAmB7+C,EAAMhmD,EAAMqI,EAAM29C,EAAKy5C,SAAUz5C,EAAKpgD,MAAM+5C,UAAUoB,OACrF,IAAIiF,EAAKqrC,SAAS,eAAe,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAMz3C,EAAG1J,GAAS,QAAMkyB,WAAelyB,EAAhG,CAEA,IAAIynG,EAvBN,SAAyBznG,GACvB,OAA0B,GAAnBA,EAAMusD,WAAmC,GAAjBvsD,EAAMuiD,SAA4C,GAA5BviD,EAAM6D,QAAQi4C,WAAkB97C,EAAM6D,QAAQyN,WAAa,KAsB/Fo2F,CAAgB1nG,GAC7B+6C,EAAK0sD,EAAatmD,EAAKpgD,MAAMg6C,GAAGsL,qBAAqBohD,EAAYtmD,EAAKy5C,UAAYz5C,EAAKpgD,MAAMg6C,GAAGqL,iBAAiBpmD,GACrHmhD,EAAKtG,SAASE,EAAGC,iBAAiByL,QAAQ,SAAS,GAAMA,QAAQ,UAAW,WA9C9E97B,GAASo0B,KAAOmlD,GAAa55C,IAAM,SAAUnJ,EAAMz3C,GACjD,IAAIk7C,EAAMzD,EAAKpgD,MAAM+5C,UAAWwP,EAAgB,OAAV5gD,EAAE9N,KACxC,IAAIgpD,EAAI1yB,MAAR,CAGA,IAAI5kB,EAAOi6F,GAAqB,KAAO79F,EAAEi+F,cAErC1rD,EAAM2jD,GAAsBz+C,EADpByD,EAAI/gD,WAEZ2yD,EAAMva,EAAIua,IACVr7D,EAAO8gD,EAAI9gD,KACXmS,GACF5D,EAAEm6E,iBACFv2E,EAAKs6F,YACLt6F,EAAKu6F,QAAQ,YAAarxC,EAAI8X,WAC9BhhE,EAAKu6F,QAAQ,aAAc1sG,IAzC/B,SAAqBgmD,EAAMqV,GAGzB,GAAKrV,EAAKqV,IAAIrmD,WAAd,CACA,IAAI2uC,EAAOqC,EAAKqV,IAAIrmD,WAAWxL,YAAYjO,SAASqZ,cAAc,QAClE+uC,EAAKn6C,YAAY6xD,GACjB1X,EAAKr6C,MAAMsM,QAAU,6CACrB,IAAI6zC,EAAM8rC,eAAgBt0C,EAAQ1lD,SAAS06E,cAC3Ch1B,EAAM0rD,mBAAmBtxC,GAIzBrV,EAAKqV,IAAI6X,OACTzpB,EAAIwsC,kBACJxsC,EAAIoI,SAAS5Q,GACbjyC,YAAW,WACL20C,EAAK3uC,YAAc2uC,EAAK3uC,WAAWC,YAAY0uC,GACnDqC,EAAKopB,UACJ,KAyBDw9B,CAAY5mD,EAAMqV,GAEhBlM,GAAOnJ,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAGH,kBAAkBI,iBAAiByL,QAAQ,UAAW,UA+B/Fy9C,GAAa8D,MAAQ,SAAU7mD,EAAMz3C,GACnC,IAAI4D,EAAOi6F,GAAqB,KAAO79F,EAAEi+F,cACrCnkG,EAAO8J,GAAQA,EAAK26F,QAAQ,aAAc9sG,EAAOmS,GAAQA,EAAK26F,QAAQ,cACtE36F,IAAS9J,GAAQrI,GAAQmS,EAAKq2E,MAAMzuF,SACtCsyG,GAAQrmD,EAAMhmD,EAAMqI,EAAMkG,GAC1BA,EAAEm6E,kBA7BN,SAAsB1iC,EAAMz3C,GAC1B,GAAKy3C,EAAKqV,IAAIrmD,WAAd,CACA,IAAI8vF,EAAY9+C,EAAKy5C,UAAYz5C,EAAKpgD,MAAM+5C,UAAUoB,MAAMh9C,OAAOtD,KAAK4/C,KAAK1/B,KACzE7iB,EAASkoD,EAAKqV,IAAIrmD,WAAWxL,YAAYjO,SAASqZ,cAAckwF,EAAY,WAAa,QACxFA,IAAahnG,EAAOqyF,gBAAkB,QAC3CryF,EAAOwL,MAAMsM,QAAU,6CACvB9X,EAAOsxE,QACPpgE,YAAW,WACTg3C,EAAKopB,QACDtxE,EAAOkX,YAAclX,EAAOkX,WAAWC,YAAYnX,GACnDgnG,EAAauH,GAAQrmD,EAAMloD,EAAOZ,MAAO,KAAMqR,GAC5C89F,GAAQrmD,EAAMloD,EAAOw2D,YAAax2D,EAAOq1E,UAAW5kE,KAC1D,KAmBDw+F,CAAa/mD,EAAMz3C,IAIvB,IAAIy+F,GAAW,SAAkBnoG,EAAOg7E,GACtCj+E,KAAKiD,MAAQA,EACbjD,KAAKi+E,KAAOA,GAGVotB,GAAmBxxG,EAAOozF,IAAM,SAAW,UA2H/C,IAAK,IAAI5tE,MAzHTuO,GAAS09E,UAAY,SAAUlnD,EAAMz3C,GACnC,IAAIy8F,EAAYhlD,EAAKglD,UAErB,GADIA,GAAaA,EAAUr0F,OACtBpI,EAAEg6E,aAAP,CAEA,IAAI9+B,EAAMzD,EAAKpgD,MAAM+5C,UACjB7kC,EAAM2uC,EAAI1yB,MAAQ,KAAOivB,EAAK2gB,YAAY2iC,GAAY/6F,IAC1D,GAAIuM,GAAOA,EAAIA,KAAO2uC,EAAI18B,MAAQjS,EAAIA,MAAQ2uC,aAAe,gBAAgBA,EAAI9wB,GAAK,EAAG8wB,EAAI9wB,UAAY,GAAIqyE,GAAaA,EAAUI,UAClIplD,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAGqC,aAAa,gBAAcn7C,OAAOk/C,EAAKpgD,MAAM46C,IAAKwqD,EAAUI,UAAUtwF,YAC7F,GAAIvM,EAAEzQ,QAA+B,GAArByQ,EAAEzQ,OAAOmmD,SAAe,CAC7C,IAAIyH,EAAO1F,EAAK+uB,QAAQ8e,YAAYtlF,EAAEzQ,QAAQ,GAC9C,IAAK4tD,IAASA,EAAK3L,KAAKt/C,KAAK4/C,KAAK2sB,WAAathB,GAAQ1F,EAAK+uB,QAAW,OACvE/uB,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAGqC,aAAa,gBAAcn7C,OAAOk/C,EAAKpgD,MAAM46C,IAAKkL,EAAKooC,aAErF,IAAIjvF,EAAQmhD,EAAKpgD,MAAM+5C,UAAUj3C,UAC7Bo4C,EAAM2jD,GAAsBz+C,EAAMnhD,GAClCw2D,EAAMva,EAAIua,IACVr7D,EAAO8gD,EAAI9gD,KACfuO,EAAEg6E,aAAakkB,YACfl+F,EAAEg6E,aAAamkB,QAAQN,GAAqB,OAAS,YAAa/wC,EAAI8X,WACjEi5B,IAAsB79F,EAAEg6E,aAAamkB,QAAQ,aAAc1sG,GAChEgmD,EAAK8gB,SAAW,IAAIkmC,GAASnoG,GAAQ0J,EAAE0+F,OAGzCz9E,GAASu3C,QAAU,SAAU/gB,GAC3BntD,OAAOmW,YAAW,WAAc,OAAOg3C,EAAK8gB,SAAW,OAAS,KAGlEiiC,GAAatiC,SAAWsiC,GAAaoE,UAAY,SAAU3hD,EAAGj9C,GAAK,OAAOA,EAAEm6E,kBAE5EqgB,GAAa/hC,KAAO,SAAUhhB,EAAMz3C,GAClC,IAAIu4D,EAAW9gB,EAAK8gB,SAGpB,GAFA9gB,EAAK8gB,SAAW,KAEXv4D,EAAEg6E,aAAP,CAEA,IAAI6kB,EAAWpnD,EAAK2gB,YAAY2iC,GAAY/6F,IAC5C,GAAK6+F,EAAL,CACA,IAAIC,EAASrnD,EAAKpgD,MAAM46C,IAAIviC,QAAQmvF,EAAStyF,KAC7C,GAAKuyF,EAAL,CACA,IAAIxoG,EAAQiiE,GAAYA,EAASjiE,OAC7BggG,GAAmB7+C,EAAMz3C,EAAEg6E,aAAaukB,QAAQV,GAAqB,OAAS,cAC3DA,GAAqB,KAAO79F,EAAEg6E,aAAaukB,QAAQ,cAAc,EAAOO,GAC/F,GAAIrnD,EAAKqrC,SAAS,cAAc,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAMz3C,EAAG1J,GAAS,QAAMkyB,MAAO+vC,GAAYA,EAAS+Y,SAC1GtxE,EAAEm6E,sBAGJ,GAAK7jF,EAAL,CAEA0J,EAAEm6E,iBACF,IAAI1M,EAAYn3E,EAAQ,YAAUmhD,EAAKpgD,MAAM46C,IAAK6sD,EAAOvyF,IAAKjW,GAASwoG,EAAOvyF,IAC7D,MAAbkhE,IAAqBA,EAAYqxB,EAAOvyF,KAE5C,IAAI8kC,EAAKoG,EAAKpgD,MAAMg6C,GAChBknB,GAAYA,EAAS+Y,MAAQjgC,EAAGH,kBAEpC,IAAI3kC,EAAM8kC,EAAG8C,QAAQjxC,IAAIuqE,GACrB1Q,EAA4B,GAAnBzmE,EAAMusD,WAAmC,GAAjBvsD,EAAMuiD,SAA4C,GAA5BviD,EAAM6D,QAAQi4C,WACrE2sD,EAAe1tD,EAAGY,IAKtB,GAJI8qB,EACA1rB,EAAG8H,iBAAiB5sC,EAAKA,EAAKjW,EAAM6D,QAAQyN,YAE5CypC,EAAG2H,aAAazsC,EAAKA,EAAKjW,IAC1B+6C,EAAGY,IAAIuI,GAAGukD,GAAd,CAEA,IAAIltD,EAAOR,EAAGY,IAAIviC,QAAQnD,GACtBwwD,GAAU,gBAAcnlB,aAAathD,EAAM6D,QAAQyN,aACnDiqC,EAAK6C,WAAa7C,EAAK6C,UAAUgM,WAAWpqD,EAAM6D,QAAQyN,YAC1DypC,EAAGqC,aAAa,IAAI,gBAAc7B,IAElCR,EAAGqC,aAAa+9C,GAAiBh6C,EAAM5F,EAAMR,EAAGY,IAAIviC,QAAQ2hC,EAAG8C,QAAQjxC,IAAIuqE,MAC/Eh2B,EAAKopB,QACLppB,EAAKtG,SAASE,EAAG0L,QAAQ,UAAW,eAGtC97B,GAAS4/C,MAAQ,SAAUppB,GACpBA,EAAK6rB,UACR7rB,EAAK84C,YAAYvkF,OACjByrC,EAAKqV,IAAIs9B,UAAUz0F,IAAI,uBACvB8hD,EAAK84C,YAAYpwC,QACjB1I,EAAK6rB,SAAU,EACf7iE,YAAW,WACLg3C,EAAK+uB,SAAW/uB,EAAKm6C,aAAen6C,EAAK84C,YAAY6I,iBAAiB5+C,GAAG/C,EAAKitC,KAAKsC,iBACnF0K,GAAej6C,KAClB,MAIPx2B,GAAS0jD,KAAO,SAAUltB,GACpBA,EAAK6rB,UACP7rB,EAAK84C,YAAYvkF,OACjByrC,EAAKqV,IAAIs9B,UAAU7jF,OAAO,uBAC1BkxC,EAAK84C,YAAYpwC,QACjB1I,EAAK84C,YAAY6I,iBAAiB7iG,IAAI,IACtCkhD,EAAK6rB,SAAU,IAInBriD,GAAS+9E,YAAc,SAAUvnD,EAAM9jD,GAMrC,GAAIzG,EAAO0zF,QAAU1zF,EAAO6zF,SAA8B,yBAAnBptF,EAAMsrG,UAAsC,CACjF,IAAIrK,EAAiBn9C,EAAKm9C,eAC1Bn0F,YAAW,WACT,GAAIg3C,EAAKm9C,gBAAkBA,IAE3Bn9C,EAAKqV,IAAI6X,OACTltB,EAAKopB,SACDppB,EAAKqrC,SAAS,iBAAiB,SAAUv0F,GAAK,OAAOA,EAAEkpD,EAAM2qC,EAAS,EAAG,kBAA7E,CACA,IACIjsC,EADMsB,EAAKpgD,MAAM+5C,UACH+E,QAEdA,GAAWA,EAAQ5pC,IAAM,GAAKkrC,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAGuD,OAAOuB,EAAQ5pC,IAAM,EAAG4pC,EAAQ5pC,KAAK+kC,qBAClG,MAKUkpD,GAAgBv5E,GAASvO,IAAQ8nF,GAAa9nF,IAE/D,SAASwsF,GAAY3xG,EAAGC,GACtB,GAAID,GAAKC,EAAK,OAAO,EACrB,IAAK,IAAIusB,KAAKxsB,EAAK,GAAIA,EAAEwsB,KAAOvsB,EAAEusB,GAAM,OAAO,EAC/C,IAAK,IAAIqoC,KAAO50D,EAAK,KAAM40D,KAAO70D,GAAM,OAAO,EAC/C,OAAO,EAGT,IAAI4xG,GAAa,SAAoBtqC,EAAO/iB,GAC1Cz+C,KAAKy+C,KAAOA,GAAQstD,GACpB/rG,KAAKo+C,KAAOp+C,KAAKy+C,KAAKL,MAAQ,EAC9Bp+C,KAAKwhE,MAAQA,GAGfsqC,GAAWv0G,UAAUsY,IAAM,SAAcixC,EAASkrD,EAAMn9D,EAAQo9D,GAC9D,IAAI/sD,EAAM4B,EAAQ0G,UAAUwkD,EAAK7gF,KAAO8gF,EAAWjsG,KAAKo+C,KAAO,GAAK,EAAI,GAClEllC,EAAMgmC,EAAIhmC,IAEhB,OADgBgmC,EAAIuI,QACH,KAAO,IAAIykD,GAAWhzF,EAAM21B,EAAQ31B,EAAM21B,EAAQ7uC,OAGrE8rG,GAAWv0G,UAAUiuE,MAAQ,WAAoB,OAAO,GAExDsmC,GAAWv0G,UAAU4vD,GAAK,SAAaxkC,GACrC,OAAO3iB,MAAQ2iB,GACZA,aAAiBmpF,KAChB9rG,KAAKy+C,KAAKrmD,KAAO4H,KAAKy+C,KAAKrmD,KAAOuqB,EAAM87B,KAAKrmD,KAC7C4H,KAAKwhE,OAAS7+C,EAAM6+C,OAASqqC,GAAY7rG,KAAKy+C,KAAM97B,EAAM87B,QAGhE,IAAI0tD,GAAa,SAAoB7pD,EAAO7D,GAC1Cz+C,KAAKy+C,KAAOA,GAAQstD,GACpB/rG,KAAKsiD,MAAQA,GAGf6pD,GAAW50G,UAAUsY,IAAM,SAAcixC,EAASkrD,EAAMn9D,EAAQo9D,GAC9D,IAAI9gF,EAAO21B,EAAQjxC,IAAIm8F,EAAK7gF,KAAO8gF,EAAWjsG,KAAKy+C,KAAK2tD,gBAAkB,EAAI,GAAKv9D,EAC/E9X,EAAK+pB,EAAQjxC,IAAIm8F,EAAKj1E,GAAKk1E,EAAWjsG,KAAKy+C,KAAK4tD,aAAe,GAAK,GAAKx9D,EAC7E,OAAO1jB,GAAQ4L,EAAK,KAAO,IAAIm1E,GAAW/gF,EAAM4L,EAAI/2B,OAGtDmsG,GAAW50G,UAAUiuE,MAAQ,SAAgB5b,EAAGoiD,GAAQ,OAAOA,EAAK7gF,KAAO6gF,EAAKj1E,IAEhFo1E,GAAW50G,UAAU4vD,GAAK,SAAaxkC,GACrC,OAAO3iB,MAAQ2iB,GACZA,aAAiBwpF,IAAcN,GAAY7rG,KAAKsiD,MAAO3/B,EAAM2/B,QAC7DupD,GAAY7rG,KAAKy+C,KAAM97B,EAAM87B,OAGlC0tD,GAAWG,GAAK,SAAaN,GAAQ,OAAOA,EAAKntG,gBAAgBstG,IAEjE,IAAIv0C,GAAW,SAAkBtV,EAAO7D,GACtCz+C,KAAKy+C,KAAOA,GAAQstD,GACpB/rG,KAAKsiD,MAAQA,GAGfsV,GAASrgE,UAAUsY,IAAM,SAAcixC,EAASkrD,EAAMn9D,EAAQo9D,GAC5D,IAAI9gF,EAAO21B,EAAQ0G,UAAUwkD,EAAK7gF,KAAO8gF,EAAW,GACpD,GAAI9gF,EAAKs8B,QAAW,OAAO,KAC3B,IAAI1wB,EAAK+pB,EAAQ0G,UAAUwkD,EAAKj1E,GAAKk1E,GAAY,GACjD,OAAIl1E,EAAG0wB,SAAW1wB,EAAG7d,KAAOiS,EAAKjS,IAAc,KACxC,IAAIgzF,GAAW/gF,EAAKjS,IAAM21B,EAAQ9X,EAAG7d,IAAM21B,EAAQ7uC,OAG5D43D,GAASrgE,UAAUiuE,MAAQ,SAAgBrnB,EAAM6tD,GAC/C,IAAI9sD,EAAMf,EAAKr3C,QAAQgR,UAAUk0F,EAAK7gF,MAChC1e,EAAQyyC,EAAIzyC,MACZoiC,EAASqQ,EAAIrQ,OACnB,OAAOA,GAAUm9D,EAAK7gF,MAAQ0jB,EAASsP,EAAK4J,MAAMt7C,GAAO+0C,UAAYwqD,EAAKj1E,IAG5E6gC,GAASrgE,UAAU4vD,GAAK,SAAaxkC,GACnC,OAAO3iB,MAAQ2iB,GACZA,aAAiBi1C,IAAYi0C,GAAY7rG,KAAKsiD,MAAO3/B,EAAM2/B,QAC3DupD,GAAY7rG,KAAKy+C,KAAM97B,EAAM87B,OAMlC,IAAIytD,GAAa,SAAoB/gF,EAAM4L,EAAIl4B,GAG7CmB,KAAKmrB,KAAOA,EAIZnrB,KAAK+2B,GAAKA,EACV/2B,KAAKnB,KAAOA,GAGVmoD,GAAuB,CAAEvI,KAAM,CAAE/5C,cAAc,IAEnDwnG,GAAW30G,UAAUyqD,KAAO,SAAe72B,EAAM4L,GAC/C,OAAO,IAAIm1E,GAAW/gF,EAAM4L,EAAI/2B,KAAKnB,OAGvCqtG,GAAW30G,UAAU4vD,GAAK,SAAaxkC,EAAOksB,GAG5C,YAFkB,IAAXA,IAAoBA,EAAS,GAE7B7uC,KAAKnB,KAAKsoD,GAAGxkC,EAAM9jB,OAASmB,KAAKmrB,KAAO0jB,GAAUlsB,EAAMwI,MAAQnrB,KAAK+2B,GAAK8X,GAAUlsB,EAAMoU,IAGnGm1E,GAAW30G,UAAUsY,IAAM,SAAcixC,EAASjS,EAAQo9D,GACxD,OAAOjsG,KAAKnB,KAAKgR,IAAIixC,EAAS9gD,KAAM6uC,EAAQo9D,IAoD9CC,GAAWzlC,OAAS,SAAiBvtD,EAAKsoD,EAAO/iB,GAC/C,OAAO,IAAIytD,GAAWhzF,EAAKA,EAAK,IAAI4yF,GAAWtqC,EAAO/iB,KAoBxDytD,GAAW51C,OAAS,SAAiBnrC,EAAM4L,EAAIurB,EAAO7D,GACpD,OAAO,IAAIytD,GAAW/gF,EAAM4L,EAAI,IAAIo1E,GAAW7pD,EAAO7D,KAYxDytD,GAAW/tD,KAAO,SAAehzB,EAAM4L,EAAIurB,EAAO7D,GAChD,OAAO,IAAIytD,GAAW/gF,EAAM4L,EAAI,IAAI6gC,GAAStV,EAAO7D,KAMtDuI,GAAqBvI,KAAK7jD,IAAM,WAAc,OAAOoF,KAAKnB,KAAK4/C,MAE/DnnD,OAAOiP,iBAAkB2lG,GAAW30G,UAAWyvD,IAkB/C,IAAIwC,GAAO,GAAIuiD,GAAS,GAMpBQ,GAAgB,SAAuB78D,EAAO61C,GAChDvlF,KAAK0vC,MAAQA,GAASA,EAAMv3C,OAASu3C,EAAQ8Z,GAC7CxpD,KAAKulF,SAAWA,GAAYA,EAASptF,OAASotF,EAAW/7B,IAM3D+iD,GAAcrnG,OAAS,SAAiB05C,EAAK4qB,GAC3C,OAAOA,EAAYrxE,OAASq0G,GAAUhjC,EAAa5qB,EAAK,EAAGmtD,IAAU52E,IAUvEo3E,GAAch1G,UAAUsgB,KAAO,SAAei1C,EAAOhL,EAAK2qD,GACxD,IAAI5yG,EAAS,GAEb,OADAmG,KAAK0sG,UAAmB,MAAT5/C,EAAgB,EAAIA,EAAc,MAAPhL,EAAc,IAAMA,EAAKjoD,EAAQ,EAAG4yG,GACvE5yG,GAGT0yG,GAAch1G,UAAUm1G,UAAY,SAAoB5/C,EAAOhL,EAAKjoD,EAAQg1C,EAAQ49D,GAClF,IAAK,IAAIx0G,EAAI,EAAGA,EAAI+H,KAAK0vC,MAAMv3C,OAAQF,IAAK,CAC1C,IAAI+zG,EAAOhsG,KAAK0vC,MAAMz3C,GAClB+zG,EAAK7gF,MAAQ22B,GAAOkqD,EAAKj1E,IAAM+1B,KAAW2/C,GAAaA,EAAUT,EAAKvtD,QACtE5kD,EAAO0U,KAAKy9F,EAAKhqD,KAAKgqD,EAAK7gF,KAAO0jB,EAAQm9D,EAAKj1E,GAAK8X,IAE1D,IAAK,IAAI+U,EAAM,EAAGA,EAAM5jD,KAAKulF,SAASptF,OAAQyrD,GAAO,EACnD,GAAI5jD,KAAKulF,SAAS3hC,GAAO9B,GAAO9hD,KAAKulF,SAAS3hC,EAAM,GAAKkJ,EAAO,CAC9D,IAAI6/C,EAAW3sG,KAAKulF,SAAS3hC,GAAO,EACpC5jD,KAAKulF,SAAS3hC,EAAM,GAAG8oD,UAAU5/C,EAAQ6/C,EAAU7qD,EAAM6qD,EAAU9yG,EAAQg1C,EAAS89D,EAAUF,KAepGF,GAAch1G,UAAUsY,IAAM,SAAcixC,EAASlC,EAAK5iD,GACxD,OAAIgE,MAAQm1B,IAAgC,GAAvB2rB,EAAQmH,KAAK9vD,OAAsB6H,KACjDA,KAAK4sG,SAAS9rD,EAASlC,EAAK,EAAG,EAAG5iD,GAAW+vG,KAGtDQ,GAAch1G,UAAUq1G,SAAW,SAAmB9rD,EAAS3C,EAAMtP,EAAQo9D,EAAWjwG,GAEtF,IADA,IAAI6wG,EACK50G,EAAI,EAAGA,EAAI+H,KAAK0vC,MAAMv3C,OAAQF,IAAK,CAC1C,IAAIqiF,EAASt6E,KAAK0vC,MAAMz3C,GAAG4X,IAAIixC,EAASjS,EAAQo9D,GAC5C3xB,GAAUA,EAAOz7E,KAAK2mE,MAAMrnB,EAAMm8B,IAAYuyB,IAAaA,EAAW,KAAKt+F,KAAK+rE,GAC3Et+E,EAAQ8wG,UAAY9wG,EAAQ8wG,SAAS9sG,KAAK0vC,MAAMz3C,GAAGwmD,MAG9D,OAAIz+C,KAAKulF,SAASptF,OAiMpB,SAAqB40G,EAAaF,EAAU/rD,EAAS3C,EAAMtP,EAAQo9D,EAAWjwG,GAiB5E,IAhBA,IAAIupF,EAAWwnB,EAAY9pG,QAIvByqB,EAAQ,SAAU+oD,EAAUu2B,EAAQt2B,EAAUu2B,GAChD,IAAK,IAAIh1G,EAAI,EAAGA,EAAIstF,EAASptF,OAAQF,GAAK,EAAG,CAC3C,IAAI6pD,EAAMyjC,EAASttF,EAAI,GAAIi1G,OAAQ,GACvB,GAARprD,GAAa20B,EAAW30B,EAAMmqD,IAC9Be,GAAUznB,EAASttF,GAAKg0G,EAC1B1mB,EAASttF,EAAI,IAAM,EACVy+E,GAAY7nC,IAAWq+D,EAASD,EAASv2B,GAAas2B,EAASv2B,MACxE8O,EAASttF,IAAMi1G,EACf3nB,EAASttF,EAAI,IAAMi1G,MAIhBj1G,EAAI,EAAGA,EAAI6oD,EAAQmH,KAAK9vD,OAAQF,IAAO6oD,EAAQmH,KAAKhwD,GAAGH,QAAQ41B,GAKxE,IADA,IAAIy/E,GAAc,EACTvpD,EAAM,EAAGA,EAAM2hC,EAASptF,OAAQyrD,GAAO,EAAK,IAA0B,GAAtB2hC,EAAS3hC,EAAM,GAAU,CAChF,IAAIz4B,EAAO21B,EAAQjxC,IAAI01E,EAAS3hC,GAAOqoD,GAAYmB,EAAYjiF,EAAO0jB,EACtE,GAAIu+D,EAAY,GAAKA,GAAajvD,EAAKr3C,QAAQyS,KAAM,CACnD4zF,GAAc,EACd,SAGF,IAA4DE,EAAnDvsD,EAAQjxC,IAAIk9F,EAAYnpD,EAAM,GAAKqoD,GAAY,GAAmBp9D,EACvEqQ,EAAMf,EAAKr3C,QAAQgR,UAAUs1F,GAC7B3gG,EAAQyyC,EAAIzyC,MACZ6gG,EAAcpuD,EAAIrQ,OAClB0+D,EAAYpvD,EAAKwP,WAAWlhD,GAChC,GAAI8gG,GAAaD,GAAeF,GAAaE,EAAcC,EAAU/rD,UAAY6rD,EAAS,CACxF,IAAI/yB,EAASiL,EAAS3hC,EAAM,GAAGgpD,SAAS9rD,EAASysD,EAAWpiF,EAAO,EAAGo6D,EAAS3hC,GAAOqoD,EAAY,EAAGjwG,GACjGs+E,GAAUnlD,IACZowD,EAAS3hC,GAAOwpD,EAChB7nB,EAAS3hC,EAAM,GAAKypD,EACpB9nB,EAAS3hC,EAAM,GAAK02B,IAEpBiL,EAAS3hC,EAAM,IAAM,EACrBupD,GAAc,QAGhBA,GAAc,EAKlB,GAAIA,EAAa,CACf,IAEI71C,EAAQk1C,GA0BhB,SAA0CjnB,EAAUwnB,EAAavjC,EAAa1oB,EAASjS,EAAQo9D,EAAWjwG,GAExG,SAASwxG,EAAOtqG,EAAK+oG,GACnB,IAAK,IAAIh0G,EAAI,EAAGA,EAAIiL,EAAIwsC,MAAMv3C,OAAQF,IAAK,CACzC,IAAIqiF,EAASp3E,EAAIwsC,MAAMz3C,GAAG4X,IAAIixC,EAASjS,EAAQo9D,GAC3C3xB,EAAU9Q,EAAYj7D,KAAK+rE,GACtBt+E,EAAQ8wG,UAAY9wG,EAAQ8wG,SAAS5pG,EAAIwsC,MAAMz3C,GAAGwmD,MAE7D,IAAK,IAAImF,EAAM,EAAGA,EAAM1gD,EAAIqiF,SAASptF,OAAQyrD,GAAO,EAChD4pD,EAAOtqG,EAAIqiF,SAAS3hC,EAAM,GAAI1gD,EAAIqiF,SAAS3hC,GAAOqoD,EAAY,GAEpE,IAAK,IAAIh0G,EAAI,EAAGA,EAAIstF,EAASptF,OAAQF,GAAK,GAA6B,GAApBstF,EAASttF,EAAI,IAC5Du1G,EAAOjoB,EAASttF,EAAI,GAAI80G,EAAY90G,GAAKg0G,EAAY,GAEzD,OAAOziC,EA1CaikC,CAAiCloB,EAAUwnB,EAAaF,GAAY,GAAI/rD,EACvCjS,EAAQo9D,EAAWjwG,GACnCmiD,EAAM,EAAGniD,GAC5C6wG,EAAWv1C,EAAM5nB,MACjB,IAAK,IAAI0lB,EAAM,EAAGA,EAAMmwB,EAASptF,OAAQi9D,GAAO,EAASmwB,EAASnwB,EAAM,GAAK,IAC3EmwB,EAASz5B,OAAOsJ,EAAK,GACrBA,GAAO,GAET,IAAK,IAAI6jC,EAAM,EAAGrmF,EAAI,EAAGqmF,EAAM3hC,EAAMiuB,SAASptF,OAAQ8gG,GAAO,EAAG,CAE9D,IADA,IAAIyU,EAASp2C,EAAMiuB,SAAS0T,GACrBrmF,EAAI2yE,EAASptF,QAAUotF,EAAS3yE,GAAK86F,GAAU96F,GAAK,EAC3D2yE,EAASz5B,OAAOl5C,EAAG,EAAG0kD,EAAMiuB,SAAS0T,GAAM3hC,EAAMiuB,SAAS0T,EAAM,GAAI3hC,EAAMiuB,SAAS0T,EAAM,KAI7F,OAAO,IAAIsT,GAAcM,GAAYA,EAAS3sE,KAAKytE,IAAQpoB,GAlQhDqoB,CAAY5tG,KAAKulF,SAAUsnB,EAAU/rD,EAAS3C,EAAMtP,EAAQo9D,EAAWjwG,GAEvE6wG,EAAW,IAAIN,GAAcM,EAAS3sE,KAAKytE,KAAUx4E,IAOlEo3E,GAAch1G,UAAU+K,IAAM,SAAcs8C,EAAK4qB,GAC/C,OAAKA,EAAYrxE,OACb6H,MAAQm1B,GAAgBo3E,GAAcrnG,OAAO05C,EAAK4qB,GAC/CxpE,KAAK6tG,SAASjvD,EAAK4qB,EAAa,GAFLxpE,MAKpCusG,GAAch1G,UAAUs2G,SAAW,SAAmBjvD,EAAK4qB,EAAa36B,GACpE,IAEE02C,EAFEl7B,EAASrqD,KAEDgxF,EAAa,EAC3BpyC,EAAI9mD,SAAQ,SAAUy1G,EAAWD,GAC/B,IAAuCnnD,EAAnC2nD,EAAaR,EAAcz+D,EAC/B,GAAMsX,EAAQ4nD,GAAiBvkC,EAAa+jC,EAAWO,GAAvD,CAGA,IADKvoB,IAAYA,EAAWl7B,EAAOk7B,SAAStiF,SACrC+tF,EAAazL,EAASptF,QAAUotF,EAASyL,GAAcsc,GAAetc,GAAc,EACvFzL,EAASyL,IAAesc,EACxB/nB,EAASyL,EAAa,GAAKzL,EAASyL,EAAa,GAAG6c,SAASN,EAAWpnD,EAAO2nD,EAAa,GAE5FvoB,EAASz5B,OAAOklC,EAAY,EAAGsc,EAAaA,EAAcC,EAAU/rD,SAAUgrD,GAAUrmD,EAAOonD,EAAWO,EAAa,EAAG/B,KAC9H/a,GAAc,MAGhB,IAAIthD,EAAQs+D,GAAUhd,EAAaid,GAAazkC,GAAeA,GAAc36B,GAC7E,OAAO,IAAI09D,GAAc78D,EAAMv3C,OAAS6H,KAAK0vC,MAAM1sC,OAAO0sC,GAAOxP,KAAKytE,IAAS3tG,KAAK0vC,MAC3D61C,GAAYvlF,KAAKulF,WAM5CgnB,GAAch1G,UAAU2b,OAAS,SAAiBs2D,GAChD,OAA0B,GAAtBA,EAAYrxE,QAAe6H,MAAQm1B,GAAgBn1B,KAChDA,KAAKkuG,YAAY1kC,EAAa,IAGvC+iC,GAAch1G,UAAU22G,YAAc,SAAsB1kC,EAAa36B,GAEvE,IADA,IAAI02C,EAAWvlF,KAAKulF,SAAU71C,EAAQ1vC,KAAK0vC,MAClCz3C,EAAI,EAAGA,EAAIstF,EAASptF,OAAQF,GAAK,EAAG,CAE3C,IADA,IAAIkuD,OAAQ,EAAUh7B,EAAOo6D,EAASttF,GAAK42C,EAAQ9X,EAAKwuD,EAASttF,EAAI,GAAK42C,EACjEj8B,EAAI,EAAGo5F,OAAO,EAAUp5F,EAAI42D,EAAYrxE,OAAQya,KAAWo5F,EAAOxiC,EAAY52D,KACjFo5F,EAAK7gF,KAAOA,GAAQ6gF,EAAKj1E,GAAKA,IAChCyyC,EAAY52D,GAAK,MACfuzC,IAAUA,EAAQ,KAAK53C,KAAKy9F,IAGlC,GAAK7lD,EAAL,CACIo/B,GAAYvlF,KAAKulF,WAAYA,EAAWvlF,KAAKulF,SAAStiF,SAC1D,IAAI8wB,EAAUwxD,EAASttF,EAAI,GAAGi2G,YAAY/nD,EAAOh7B,EAAO,GACpD4I,GAAWoB,GACbowD,EAASttF,EAAI,GAAK87B,GAElBwxD,EAASz5B,OAAO7zD,EAAG,GACnBA,GAAK,IAGT,GAAIy3C,EAAMv3C,OAAU,IAAK,IAAIyrD,EAAM,EAAGuqD,OAAS,EAAUvqD,EAAM4lB,EAAYrxE,OAAQyrD,IAAS,GAAIuqD,EAAS3kC,EAAY5lB,GACnH,IAAK,IAAImyC,EAAM,EAAGA,EAAMrmD,EAAMv3C,OAAQ49F,IAAarmD,EAAMqmD,GAAK5uC,GAAGgnD,EAAQt/D,KACnEa,GAAS1vC,KAAK0vC,QAASA,EAAQ1vC,KAAK0vC,MAAMzsC,SAC9CysC,EAAMoc,OAAOiqC,IAAO,IAGxB,OAAIxQ,GAAYvlF,KAAKulF,UAAY71C,GAAS1vC,KAAK0vC,MAAgB1vC,KACxD0vC,EAAMv3C,QAAUotF,EAASptF,OAAS,IAAIo0G,GAAc78D,EAAO61C,GAAYpwD,IAGhFo3E,GAAch1G,UAAUkhG,SAAW,SAAmB5pD,EAAQsP,GAC5D,GAAIn+C,MAAQm1B,GAAS,OAAOn1B,KAC5B,GAAIm+C,EAAKiP,OAAU,OAAOm/C,GAAcp3E,MAGxC,IADA,IAAI4yB,EAAOrY,EACFz3C,EAAI,EAAGA,EAAI+H,KAAKulF,SAASptF,OAAQF,GAAK,EAAK,GAAI+H,KAAKulF,SAASttF,IAAM42C,EAAQ,CAC9E7uC,KAAKulF,SAASttF,IAAM42C,IAAUkZ,EAAQ/nD,KAAKulF,SAASttF,EAAI,IAC5D,MAGF,IADA,IAAI60D,EAAQje,EAAS,EAAGiT,EAAMgL,EAAQ3O,EAAKr3C,QAAQyS,KAC1CqqC,EAAM,EAAGA,EAAM5jD,KAAK0vC,MAAMv3C,OAAQyrD,IAAO,CAChD,IAAIwqD,EAAMpuG,KAAK0vC,MAAMkU,GACrB,GAAIwqD,EAAIjjF,KAAO22B,GAAOssD,EAAIr3E,GAAK+1B,GAAUshD,EAAIvvG,gBAAgBstG,GAAa,CACxE,IAAIhhF,EAAOr0B,KAAKkwB,IAAI8lC,EAAOshD,EAAIjjF,MAAQ2hC,EAAO/1B,EAAKjgC,KAAKkK,IAAI8gD,EAAKssD,EAAIr3E,IAAM+1B,EACvE3hC,EAAO4L,IAAO2Y,IAAUA,EAAQ,KAAKnhC,KAAK6/F,EAAIpsD,KAAK72B,EAAM4L,KAGjE,GAAI2Y,EAAO,CACT,IAAI2+D,EAAW,IAAI9B,GAAc78D,EAAMxP,KAAKytE,KAC5C,OAAO5lD,EAAQ,IAAIumD,GAAgB,CAACD,EAAUtmD,IAAUsmD,EAE1D,OAAOtmD,GAAS5yB,IAGlBo3E,GAAch1G,UAAU4vD,GAAK,SAAaxkC,GACxC,GAAI3iB,MAAQ2iB,EAAS,OAAO,EAC5B,KAAMA,aAAiB4pF,KACnBvsG,KAAK0vC,MAAMv3C,QAAUwqB,EAAM+sB,MAAMv3C,QACjC6H,KAAKulF,SAASptF,QAAUwqB,EAAM4iE,SAASptF,OAAU,OAAO,EAC5D,IAAK,IAAIF,EAAI,EAAGA,EAAI+H,KAAK0vC,MAAMv3C,OAAQF,IACnC,IAAK+H,KAAK0vC,MAAMz3C,GAAGkvD,GAAGxkC,EAAM+sB,MAAMz3C,IAAO,OAAO,EACpD,IAAK,IAAI2rD,EAAM,EAAGA,EAAM5jD,KAAKulF,SAASptF,OAAQyrD,GAAO,EACjD,GAAI5jD,KAAKulF,SAAS3hC,IAAQjhC,EAAM4iE,SAAS3hC,IACvC5jD,KAAKulF,SAAS3hC,EAAM,IAAMjhC,EAAM4iE,SAAS3hC,EAAM,KAC9C5jD,KAAKulF,SAAS3hC,EAAM,GAAGuD,GAAGxkC,EAAM4iE,SAAS3hC,EAAM,IAAO,OAAO,EACpE,OAAO,GAGT2oD,GAAch1G,UAAU6oF,OAAS,SAAiBjiC,GAChD,OAAOowD,GAAcvuG,KAAKwuG,YAAYrwD,KAGxCouD,GAAch1G,UAAUi3G,YAAc,SAAsBrwD,GAC1D,GAAIn+C,MAAQm1B,GAAS,OAAOq0B,GAC5B,GAAIrL,EAAK+E,gBAAkBljD,KAAK0vC,MAAM/3B,KAAKw0F,GAAWG,IAAO,OAAOtsG,KAAK0vC,MAEzE,IADA,IAAI71C,EAAS,GACJ5B,EAAI,EAAGA,EAAI+H,KAAK0vC,MAAMv3C,OAAQF,IAC/B+H,KAAK0vC,MAAMz3C,GAAG4G,gBAAgBstG,IAChCtyG,EAAO0U,KAAKvO,KAAK0vC,MAAMz3C,IAE7B,OAAO4B,GAGT,IAAIs7B,GAAQ,IAAIo3E,GAIhBA,GAAcp3E,MAAQA,GAEtBo3E,GAAcgC,cAAgBA,GAK9B,IAAID,GAAkB,SAAyBG,GAC7CzuG,KAAKyuG,QAAUA,GAyHjB,SAAST,GAAUU,EAAO7/D,GACxB,IAAKA,IAAW6/D,EAAMv2G,OAAU,OAAOu2G,EAEvC,IADA,IAAI70G,EAAS,GACJ5B,EAAI,EAAGA,EAAIy2G,EAAMv2G,OAAQF,IAAK,CACrC,IAAI+zG,EAAO0C,EAAMz2G,GACjB4B,EAAO0U,KAAK,IAAI29F,GAAWF,EAAK7gF,KAAO0jB,EAAQm9D,EAAKj1E,GAAK8X,EAAQm9D,EAAKntG,OAExE,OAAOhF,EAoBT,SAASk0G,GAAiBW,EAAOvwD,EAAMtP,GACrC,GAAIsP,EAAKiP,OAAU,OAAO,KAE1B,IADA,IAAItL,EAAMjT,EAASsP,EAAKqD,SAAU2E,EAAQ,KACjCluD,EAAI,EAAG+zG,OAAO,EAAU/zG,EAAIy2G,EAAMv2G,OAAQF,KAC5C+zG,EAAO0C,EAAMz2G,KAAO+zG,EAAK7gF,KAAO0jB,GAAUm9D,EAAKj1E,GAAK+qB,KAC5DqE,IAAUA,EAAQ,KAAK53C,KAAKy9F,GACvB0C,EAAMz2G,GAAK,MAGf,OAAOkuD,EAGT,SAAS8nD,GAAa//F,GAEpB,IADA,IAAIrU,EAAS,GACJ5B,EAAI,EAAGA,EAAIiW,EAAM/V,OAAQF,IACd,MAAZiW,EAAMjW,IAAc4B,EAAO0U,KAAKL,EAAMjW,IAC9C,OAAO4B,EAQT,SAAS2yG,GAAUkC,EAAOvwD,EAAMtP,EAAQ7yC,GACtC,IAAIupF,EAAW,GAAIopB,GAAW,EAC9BxwD,EAAKrmD,SAAQ,SAAUy1G,EAAWqB,GAChC,IAAIzoD,EAAQ4nD,GAAiBW,EAAOnB,EAAWqB,EAAa//D,GAC5D,GAAIsX,EAAO,CACTwoD,GAAW,EACX,IAAIvJ,EAAUoH,GAAUrmD,EAAOonD,EAAW1+D,EAAS+/D,EAAa,EAAG5yG,GAC/DopG,GAAWjwE,IACXowD,EAASh3E,KAAKqgG,EAAYA,EAAarB,EAAU/rD,SAAU4jD,OAInE,IADA,IAAIhlB,EAAS4tB,GAAUW,EAAWV,GAAaS,GAASA,GAAQ7/D,GAAQ3O,KAAKytE,IACpE11G,EAAI,EAAGA,EAAImoF,EAAOjoF,OAAQF,IAAYmoF,EAAOnoF,GAAG4G,KAAK2mE,MAAMrnB,EAAMiiC,EAAOnoF,MAC3E+D,EAAQ8wG,UAAY9wG,EAAQ8wG,SAAS1sB,EAAOnoF,GAAGwmD,MACnD2hC,EAAOt0B,OAAO7zD,IAAK,IAErB,OAAOmoF,EAAOjoF,QAAUotF,EAASptF,OAAS,IAAIo0G,GAAcnsB,EAAQmF,GAAYpwD,GAOlF,SAASw4E,GAAMzzG,EAAGC,GAChB,OAAOD,EAAEixB,KAAOhxB,EAAEgxB,MAAQjxB,EAAE68B,GAAK58B,EAAE48B,GAQrC,SAASw3E,GAAcG,GAErB,IADA,IAAIG,EAAUH,EACLz2G,EAAI,EAAGA,EAAI42G,EAAQ12G,OAAS,EAAGF,IAAK,CAC3C,IAAI+zG,EAAO6C,EAAQ52G,GACnB,GAAI+zG,EAAK7gF,MAAQ6gF,EAAKj1E,GAAM,IAAK,IAAInkB,EAAI3a,EAAI,EAAG2a,EAAIi8F,EAAQ12G,OAAQya,IAAK,CACvE,IAAI1I,EAAO2kG,EAAQj8F,GACnB,GAAI1I,EAAKihB,MAAQ6gF,EAAK7gF,KAAtB,CAUMjhB,EAAKihB,KAAO6gF,EAAKj1E,KACf83E,GAAWH,IAASG,EAAUH,EAAMzrG,SAGxC4rG,EAAQ52G,GAAK+zG,EAAKhqD,KAAKgqD,EAAK7gF,KAAMjhB,EAAKihB,MACvC2jF,GAAYD,EAASj8F,EAAGo5F,EAAKhqD,KAAK93C,EAAKihB,KAAM6gF,EAAKj1E,MAEpD,MAhBI7sB,EAAK6sB,IAAMi1E,EAAKj1E,KACd83E,GAAWH,IAASG,EAAUH,EAAMzrG,SAGxC4rG,EAAQj8F,GAAK1I,EAAK83C,KAAK93C,EAAKihB,KAAM6gF,EAAKj1E,IACvC+3E,GAAYD,EAASj8F,EAAI,EAAG1I,EAAK83C,KAAKgqD,EAAKj1E,GAAI7sB,EAAK6sB,OAe5D,OAAO83E,EAGT,SAASC,GAAY5gG,EAAOjW,EAAGqgG,GAC7B,KAAOrgG,EAAIiW,EAAM/V,QAAUw1G,GAAMrV,EAAMpqF,EAAMjW,IAAM,GAAKA,IACxDiW,EAAM49C,OAAO7zD,EAAG,EAAGqgG,GAKrB,SAASyW,GAAgB3qD,GACvB,IAAI+B,EAAQ,GAOZ,OANA/B,EAAKqrC,SAAS,eAAe,SAAUv0F,GACrC,IAAIrB,EAASqB,EAAEkpD,EAAKpgD,OAChBnK,GAAUA,GAAUs7B,IAASgxB,EAAM53C,KAAK1U,MAE1CuqD,EAAKs6C,eACLv4C,EAAM53C,KAAKg+F,GAAcrnG,OAAOk/C,EAAKpgD,MAAM46C,IAAK,CAACwF,EAAKs6C,cAAcpG,QACjEgW,GAAgBnjF,KAAKg7B,GAtP9BmoD,GAAgB/2G,UAAUkhG,SAAW,SAAmB5pD,EAAQkZ,GAC9D,GAAIA,EAAMqF,OAAU,OAAOm/C,GAAcp3E,MAEzC,IADA,IAAIgxB,EAAQ,GACHluD,EAAI,EAAGA,EAAI+H,KAAKyuG,QAAQt2G,OAAQF,IAAK,CAC5C,IAAI4B,EAASmG,KAAKyuG,QAAQx2G,GAAGwgG,SAAS5pD,EAAQkZ,GAC1CluD,GAAUs7B,KACVt7B,aAAkBy0G,GAAmBnoD,EAAQA,EAAMnjD,OAAOnJ,EAAO40G,SAC9DtoD,EAAM53C,KAAK1U,IAEpB,OAAOy0G,GAAgBnjF,KAAKg7B,IAG9BmoD,GAAgB/2G,UAAU4vD,GAAK,SAAaxkC,GAC1C,KAAMA,aAAiB2rF,KACnB3rF,EAAM8rF,QAAQt2G,QAAU6H,KAAKyuG,QAAQt2G,OAAU,OAAO,EAC1D,IAAK,IAAIF,EAAI,EAAGA,EAAI+H,KAAKyuG,QAAQt2G,OAAQF,IACrC,IAAK+H,KAAKyuG,QAAQx2G,GAAGkvD,GAAGxkC,EAAM8rF,QAAQx2G,IAAO,OAAO,EACxD,OAAO,GAGTq2G,GAAgB/2G,UAAU6oF,OAAS,SAAiBjiC,GAElD,IADA,IAAItkD,EAAQm1G,GAAS,EACZ/2G,EAAI,EAAGA,EAAI+H,KAAKyuG,QAAQt2G,OAAQF,IAAK,CAC5C,IAAImoF,EAASpgF,KAAKyuG,QAAQx2G,GAAGu2G,YAAYrwD,GACzC,GAAKiiC,EAAOjoF,OACZ,GAAK0B,EAEE,CACDm1G,IACFn1G,EAASA,EAAOoJ,QAChB+rG,GAAS,GAEX,IAAK,IAAIp8F,EAAI,EAAGA,EAAIwtE,EAAOjoF,OAAQya,IAAO/Y,EAAO0U,KAAK6xE,EAAOxtE,SAN7D/Y,EAASumF,EASb,OAAOvmF,EAAS00G,GAAcS,EAASn1G,EAASA,EAAOqmC,KAAKytE,KAAUnkD,IAMxE8kD,GAAgBnjF,KAAO,SAAesjF,GACpC,OAAQA,EAAQt2G,QACd,KAAK,EAAG,OAAOg9B,GACf,KAAK,EAAG,OAAOs5E,EAAQ,GACvB,QAAS,OAAO,IAAIH,GAAgBG,KA+MxC,IAAIQ,GAAa,SAAoBziD,EAAOt7B,GAC1ClxB,KAAK0qE,OAASx5C,EAGdlxB,KAAKgE,MAAQktB,EAAMltB,MAEnBhE,KAAK89C,SAAW99C,KAAK89C,SAAS1mD,KAAK4I,MAEnCA,KAAKkvG,MAAQ,KACblvG,KAAKiwE,SAAU,EAKfjwE,KAAKy5D,IAAOjN,GAASA,EAAM2iD,OAAUx1G,SAASqZ,cAAc,OACxDw5C,IACEA,EAAM5kD,YAAe4kD,EAAM5kD,YAAY5H,KAAKy5D,KACvCjN,EAAMzmD,MAASymD,EAAMxsD,KAAKy5D,KAC1BjN,EAAM2iD,QAASnvG,KAAKovG,SAAU,IAKzCpvG,KAAK8kE,SAAWuqC,GAAYrvG,MAC5BA,KAAKuqG,WAAa,KAClBvqG,KAAK0+F,cAAgB,KACrB4Q,GAAoBtvG,MACpBA,KAAKgyE,UAAYu9B,GAAevvG,MAChCA,KAAKmzE,QAAU+nB,EAAYl7F,KAAKgE,MAAM46C,IAAK4wD,GAAexvG,MAAO+uG,GAAgB/uG,MAAOA,KAAKy5D,IAAKz5D,MAElGA,KAAK6/F,qBAAuB,KAK5B7/F,KAAKklE,SAAW,KA12ClB,SAAmB9gB,GACjBA,EAAKy5C,UAAW,EAChBz5C,EAAKglD,UAAY,KACjBhlD,EAAKs8C,YAAc,KACnBt8C,EAAK48C,gBAAkB,EACvB58C,EAAK4kD,UAAY,CAACn2D,KAAM,EAAGxU,EAAG,EAAGV,EAAG,EAAG9+B,KAAM,IAC7CulD,EAAK+7C,oBAAsB,KAC3B/7C,EAAK87C,kBAAoB,EAEzB97C,EAAKs9C,aAAe,EAEpBt9C,EAAK+wB,WAAY,EACjB/wB,EAAK+lD,iBAAmB,KACxB/lD,EAAKo2C,iBAAmB,GACxBp2C,EAAK0lD,oBAAsB,IAE3B1lD,EAAK84C,YAAc,IAAIqI,GAAYnhD,GAAM,SAAUj5B,EAAM4L,EAAIipE,EAAU1kB,GAAS,OAAOykB,GAAc37C,EAAMj5B,EAAM4L,EAAIipE,EAAU1kB,MAC/Hl3B,EAAK84C,YAAYpwC,QAEjB1I,EAAKm9C,eAAiB,EAEtBn9C,EAAKmjD,cAAgBjwG,OAAO4N,OAAO,MACnC,IAAI89C,EAAO,SAAW1iD,GACpB,IAAIJ,EAAU0tB,GAASttB,GACvB8jD,EAAKqV,IAAIr7C,iBAAiB9d,EAAO8jD,EAAKmjD,cAAcjnG,GAAS,SAAUA,IA0C3E,SAA4B8jD,EAAM9jD,GAChC,IAAKA,EAAMmvG,QAAW,OAAO,EAC7B,GAAInvG,EAAMmnG,iBAAoB,OAAO,EACrC,IAAK,IAAItpD,EAAO79C,EAAMpE,OAAQiiD,GAAQiG,EAAKqV,IAAKtb,EAAOA,EAAK/qC,WACxD,IAAK+qC,GAAyB,IAAjBA,EAAKkE,UACflE,EAAKqwC,YAAcrwC,EAAKqwC,WAAWrjB,UAAU7qE,GAC9C,OAAO,EACb,OAAO,EAhDCovG,CAAmBtrD,EAAM9jD,IAAWknG,GAAiBpjD,EAAM9jD,KAC1D8jD,EAAK0gB,UAAcxkE,EAAMzB,QAAQsoG,IAClCjnG,EAAQkkD,EAAM9jD,MAItB,IAAK,IAAIA,KAASstB,GAAUo1B,EAAM1iD,GAI9BzG,EAAOg0F,QAAUzpC,EAAKqV,IAAIr7C,iBAAiB,SAAS,WAAc,OAAO,QAE7EipF,GAAgBjjD,GAu0ChBurD,CAAU3vG,MAEVA,KAAK4vG,YAAc,GACnB5vG,KAAK6vG,qBAGHp+C,GAAuB,CAAEvgC,MAAO,CAAExsB,cAAc,GAAO2sF,KAAM,CAAE3sF,cAAc,IAySjF,SAAS8qG,GAAeprD,GACtB,IAAI9B,EAAQhrD,OAAO4N,OAAO,MAc1B,OAbAo9C,EAAM6gB,MAAQ,cACd7gB,EAAMwtD,gBAAkBr1G,OAAO2pD,EAAK0gB,UAEpC1gB,EAAKqrC,SAAS,cAAc,SAAUn0F,GAEpC,GADoB,mBAATA,IAAuBA,EAAQA,EAAM8oD,EAAKpgD,QACjD1I,EAAS,IAAK,IAAI67D,KAAQ77D,EAChB,SAAR67D,EACA7U,EAAM6gB,OAAS,IAAM7nE,EAAM67D,GACrB7U,EAAM6U,IAAiB,mBAARA,GAAqC,YAARA,IAClD7U,EAAM6U,GAAQ18D,OAAOa,EAAM67D,QAI5B,CAAC+0C,GAAW/tD,KAAK,EAAGiG,EAAKpgD,MAAM46C,IAAI93C,QAAQyS,KAAM+oC,IAG1D,SAASgtD,GAAoBlrD,GAC3B,GAAIA,EAAKmmD,WAAY,CACnB,IAAI9wC,EAAM9/D,SAASqZ,cAAc,OACjCymD,EAAIplD,aAAa,mBAAoB,QACrC+vC,EAAKs6C,cAAgB,CAACjlC,IAAKA,EAAK6+B,KAAM4T,GAAWzlC,OAAOriB,EAAKpgD,MAAM+5C,UAAUnsC,KAAM6nD,EAAK,CAACt3C,KAAK,EAAMohC,MAAOa,EAAKmmD,mBAEhHnmD,EAAKs6C,cAAgB,KAIzB,SAAS2Q,GAAYjrD,GACnB,OAAQA,EAAKqrC,SAAS,YAAY,SAAUn0F,GAAS,OAA6B,IAAtBA,EAAM8oD,EAAKpgD,UAQzE,SAASurG,GAAenrD,GACtB,IAAIvqD,EAAS,GAKb,OAJAuqD,EAAKqrC,SAAS,aAAa,SAAU13F,GACnC,IAAK,IAAIsnB,KAAQtnB,EAAYT,OAAOC,UAAUc,eAAeX,KAAKmC,EAAQwlB,KACtExlB,EAAOwlB,GAAQtnB,EAAIsnB,OAElBxlB,EAaT,SAASk2G,GAAiB1e,GACxB,IAAInyC,EAAMmyC,EAAKsC,eACX9kD,EAASqQ,EAAI+0C,YACb91C,EAAOe,EAAI60C,UACf,OAAO51C,GAAyB,GAAjBA,EAAKkE,SAAgB,CAAClE,EAAMtP,EAAQsP,EAAKhqC,WAAW06B,EAAS,GAAIsP,EAAKhqC,WAAW06B,IAAW,KA5V7G4iB,GAAqBvgC,MAAMt2B,IAAM,WAC/B,GAAIoF,KAAK0qE,OAAO1mE,OAAShE,KAAKgE,MAAO,CACnC,IAAIgwB,EAAOh0B,KAAK0qE,OAEhB,IAAK,IAAI9zE,KADToJ,KAAK0qE,OAAS,GACG12C,EAAQh0B,KAAK0qE,OAAO9zE,GAAQo9B,EAAKp9B,GAClDoJ,KAAK0qE,OAAO1mE,MAAQhE,KAAKgE,MAE3B,OAAOhE,KAAK0qE,QAMdukC,GAAW13G,UAAU0b,OAAS,SAAiBie,GACzCA,EAAMmgD,iBAAmBrxE,KAAK0qE,OAAO2G,iBAAmBg2B,GAAgBrnG,MAC5EA,KAAK0qE,OAASx5C,EACdlxB,KAAKgwG,iBAAiB9+E,EAAMltB,OAAO,IAOrCirG,GAAW13G,UAAUw6E,SAAW,SAAmB7gD,GACjD,IAAIw3B,EAAU,GACd,IAAK,IAAI9xD,KAAQoJ,KAAK0qE,OAAUhiB,EAAQ9xD,GAAQoJ,KAAK0qE,OAAO9zE,GAE5D,IAAK,IAAI0jE,KADT5R,EAAQ1kD,MAAQhE,KAAKgE,MACFktB,EAASw3B,EAAQ4R,GAAUppC,EAAMopC,GACpDt6D,KAAKiT,OAAOy1C,IAMdumD,GAAW13G,UAAU22E,YAAc,SAAsBlqE,GACvDhE,KAAKgwG,iBAAiBhsG,EAAOhE,KAAKgE,MAAMomD,SAAWpmD,EAAMomD,UAG3D6kD,GAAW13G,UAAUy4G,iBAAmB,SAA2BhsG,EAAOisG,GACtE,IAAI5lD,EAASrqD,KAEXg0B,EAAOh0B,KAAKgE,MAAOksG,GAAS,EAEhC,GADAlwG,KAAKgE,MAAQA,EACTisG,EAAc,CAChB,IAAIj+B,EAAYu9B,GAAevvG,OAkSnC,SAA0B9F,EAAGC,GAC3B,IAAIg2G,EAAK,EAAGC,EAAK,EACjB,IAAK,IAAI/wF,KAAQnlB,EAAG,CAClB,GAAIA,EAAEmlB,IAASllB,EAAEklB,GAAS,OAAO,EACjC8wF,IAEF,IAAK,IAAIvmD,KAAKzvD,EAAKi2G,IACnB,OAAOD,GAAMC,GAxSPC,CAAiBr+B,EAAWhyE,KAAKgyE,aACnChyE,KAAKgyE,UAAYA,EACjBk+B,GAAS,GAEX7I,GAAgBrnG,MAGlBA,KAAK8kE,SAAWuqC,GAAYrvG,MAC5BsvG,GAAoBtvG,MACpB,IA/rE0BokD,EACtB6xC,EACAvH,EAu8E2B4hB,EAAMC,EACjC5xD,EAjmJkBO,EAClBsxD,EACAC,EACA9hF,EAEA+hF,EAi1IAhZ,EAAYqX,GAAgB/uG,MAAOy3F,EAAY+X,GAAexvG,MAE9D2wG,EAASV,EAAe,QACtBjsG,EAAM4sG,kBAAoB58E,EAAK48E,kBAAoB,eAAiB,WACtEC,EAAYX,IAAWlwG,KAAKmzE,QAAQ2hB,YAAY9wF,EAAM46C,IAAK64C,EAAWC,GACtEoZ,EAAYD,IAAc7sG,EAAM+5C,UAAUoJ,GAAGnzB,EAAK+pB,WAClDgzD,EAAyB,YAAVJ,GAAwBG,GAA8C,MAAjC9wG,KAAKy5D,IAAI/xD,MAAMspG,gBAx3IzE,SAAwB5sD,GAGtB,IAFA,IACIosD,EAAQC,EADR9sC,EAAOvf,EAAKqV,IAAIqK,wBAAyBqsB,EAASr5F,KAAKkwB,IAAI,EAAG28C,EAAK9F,KAE9Dx/B,GAAKslC,EAAKK,KAAOL,EAAKM,OAAS,EAAGtmC,EAAIwyD,EAAS,EACnDxyD,EAAI7mC,KAAKkK,IAAIiwG,YAAattC,EAAKI,QAASpmC,GAAK,EAAG,CACnD,IAAI87B,EAAMrV,EAAKitC,KAAKO,iBAAiBvzD,EAAGV,GACxC,GAAI87B,GAAOrV,EAAKqV,KAAQrV,EAAKqV,IAAImH,SAASnH,GAA1C,CACA,IAAIy3C,EAAYz3C,EAAIqK,wBACpB,GAAIotC,EAAUrzC,KAAOsyB,EAAS,GAAI,CAChCqgB,EAAS/2C,EACTg3C,EAASS,EAAUrzC,IACnB,QAGJ,MAAO,CAAC2yC,OAAQA,EAAQC,OAAQA,EAAQ9hF,MAAO4hE,EAAYnsC,EAAKqV,MA02IiC03C,CAAenxG,MAEhH,GAAI8wG,EAAW,CACb9wG,KAAKk9F,YAAYvkF,OAMjB,IAAIy4F,EAAiBP,IAAch3G,EAAOqzF,IAAMrzF,EAAO0zF,UAAYvtF,KAAKm1E,YACnEnhD,EAAK+pB,UAAU5oB,QAAUnxB,EAAM+5C,UAAU5oB,QA0PjBm7E,EA1PkDt8E,EAAK+pB,UA0PjDwyD,EA1P4DvsG,EAAM+5C,UA2PnGY,EAAQ7nD,KAAKkK,IAAIsvG,EAAKzwD,QAAQgS,YAAYy+C,EAAK1+F,MAAO2+F,EAAK1wD,QAAQgS,YAAY0+C,EAAK3+F,OACjF0+F,EAAKzwD,QAAQiN,MAAMnO,IAAU4xD,EAAK1wD,QAAQiN,MAAMnO,IA3PrD,GAAIkyD,EAAW,CACb,IAAIQ,EAAax3G,EAAO0zF,QAAUwiB,GAAiB/vG,KAAKqxF,OACpD6e,GAAWlwG,KAAKmzE,QAAQlgE,OAAOjP,EAAM46C,IAAK64C,EAAWC,EAAW13F,QAClEA,KAAKmzE,QAAQunB,gBAAgB,IAC7B16F,KAAKmzE,QAAQ7P,UACbtjE,KAAKmzE,QAAU+nB,EAAYl3F,EAAM46C,IAAK64C,EAAWC,EAAW13F,KAAKy5D,IAAKz5D,OAEpEqxG,GAiRV,SAAkCrvG,EAASqvF,GACzC,IAAIigB,EAAavB,GAAiB1e,GAClC,IAAKigB,GAAwC,GAA1BA,EAAW,GAAGjvD,SAAiB,OAAO,EACzD,IAAK,IAAIpqD,EAAI,EAAGA,EAAI+J,EAAQ7J,OAAQF,IAAO,GAAIq5G,EAAWr5G,IAAM+J,EAAQ/J,GAAM,OAAO,EACrF,OAAO,EArRes5G,CAAyBF,EAAYrxG,KAAKqxF,QAAS+f,GAAiB,GAMpFA,KACEpxG,KAAKopG,WAAappG,KAAKk9F,YAAY6I,iBAAiB5+C,GAAGnnD,KAAKqxF,KAAKsC,kBA9tE/CvvC,EA8tEqFpkD,KA7tE3Gi2F,EAAY7xC,EAAK+uB,QAAQyB,WAAWxwB,EAAKpgD,MAAM+5C,UAAU5M,QACzDu9C,EAAStqC,EAAKitC,KAAKsC,eAChB1F,EAAqBgI,EAAU93C,KAAM83C,EAAUpnD,OAAQ6/C,EAAOyH,WAAYzH,EAAO0H,gBA4tEpFiI,GAAer+F,KAAMoxG,IAErB9S,GAAkBt+F,KAAMgE,EAAM+5C,WAC9B/9C,KAAKk9F,YAAYC,mBAEnBn9F,KAAKk9F,YAAYpwC,QAKnB,GAFA9sD,KAAK6vG,kBAAkB77E,GAET,SAAV28E,EACF3wG,KAAKy5D,IAAI22B,UAAY,OAChB,GAAc,gBAAVugB,EAA0B,CACnC,IAAIphB,EAAWvvF,KAAKqxF,KAAKsC,eAAeI,UACpC/zF,KAAKyvF,SAAS,2BAA2B,SAAUv0F,GAAK,OAAOA,EAAEmvD,QAE5DrmD,EAAM+5C,qBAAqB,gBAChCuxC,EAAmBtvF,KAAMA,KAAKmzE,QAAQihB,YAAYpwF,EAAM+5C,UAAU5yB,MAAM24C,wBAAyByrB,GAEjGD,EAAmBtvF,KAAMA,KAAKmkE,YAAYngE,EAAM+5C,UAAUnsC,MAAO29E,SAC5DwhB,IAz4IPP,GADkBtxD,EA24IL6xD,GA14IAP,OACbC,EAASvxD,EAAIuxD,OACb9hF,EAAQuwB,EAAIvwB,MAEZ+hF,EAAYF,EAASA,EAAO1sC,wBAAwBjG,IAAM,EAC9D2yB,EAAmB7hE,EAAoB,GAAb+hF,EAAiB,EAAIA,EAAYD,KAy4I7DxB,GAAW13G,UAAUi6G,mBAAqB,WAExC,IADA,IAAIptD,EACGA,EAAOpkD,KAAK4vG,YAAYr5C,OAAanS,EAAKkf,SAAWlf,EAAKkf,WAGnE2rC,GAAW13G,UAAUs4G,kBAAoB,SAA4BrsC,GACnE,GAAKA,GAAaA,EAAUpZ,SAAWpqD,KAAKgE,MAAMomD,QAOhD,IAAK,IAAIxG,EAAM,EAAGA,EAAM5jD,KAAK4vG,YAAYz3G,OAAQyrD,IAAO,CACtD,IAAI6tD,EAAazxG,KAAK4vG,YAAYhsD,GAC9B6tD,EAAWx+F,QAAUw+F,EAAWx+F,OAAOjT,KAAMwjE,OATM,CACzDxjE,KAAKwxG,qBACL,IAAK,IAAIv5G,EAAI,EAAGA,EAAI+H,KAAKgE,MAAMomD,QAAQjyD,OAAQF,IAAK,CAClD,IAAIuyD,EAASxqD,KAAKgE,MAAMomD,QAAQnyD,GAC5BuyD,EAAO/L,KAAK2F,MAAQpkD,KAAK4vG,YAAYrhG,KAAKi8C,EAAO/L,KAAK2F,KAAKpkD,UAiBrEivG,GAAW13G,UAAUk4F,SAAW,SAAmBmY,EAAU1sG,GAC3D,IAAiDI,EAA7C+jB,EAAOrf,KAAK0qE,QAAU1qE,KAAK0qE,OAAOk9B,GACtC,GAAY,MAARvoF,IAAiB/jB,EAAQJ,EAAIA,EAAEmkB,GAAQA,GAAS,OAAO/jB,EAC3D,IAAI8uD,EAAUpqD,KAAKgE,MAAMomD,QACzB,GAAIA,EAAW,IAAK,IAAInyD,EAAI,EAAGA,EAAImyD,EAAQjyD,OAAQF,IAAK,CACtD,IAAIygE,EAAStO,EAAQnyD,GAAGi5B,MAAM02E,GAC9B,GAAc,MAAVlvC,IAAmBp9D,EAAQJ,EAAIA,EAAEw9D,GAAUA,GAAW,OAAOp9D,IAMrE2zG,GAAW13G,UAAUgnG,SAAW,WAC9B,OAAOv+F,KAAKqxF,KAAK8B,eAAiBnzF,KAAKy5D,KAKzCw1C,GAAW13G,UAAUi2E,MAAQ,WAC3BxtE,KAAKk9F,YAAYvkF,OACb3Y,KAAK8kE,UAv6IX,SAA4BrL,GAC1B,GAAIA,EAAIi4C,UAAa,OAAOj4C,EAAIi4C,YAChC,GAAIhhB,EAA0B,OAAOj3B,EAAI+T,MAAMkjB,GAE/C,IAAI1R,EAASuR,EAAY92B,GACzBA,EAAI+T,MAAgC,MAA1BkjB,EAAiC,CACzC,oBAEE,OADAA,EAAyB,CAACihB,eAAe,IAClC,SAEP/0G,GACC8zF,IACHA,GAAyB,EACzBF,EAAmBxR,EAAQ,IA05IR4yB,CAAmB5xG,KAAKy5D,KAC7C4kC,GAAer+F,MACfA,KAAKk9F,YAAYpwC,SAQnB2E,GAAqB4/B,KAAKz2F,IAAM,WAC9B,IAAIu3D,EAASnyD,KAAKkvG,MAClB,GAAc,MAAV/8C,EAAkB,IAAK,IAAI1iC,EAASzvB,KAAKy5D,IAAIrmD,WAAYqc,EAAQA,EAASA,EAAOrc,WACnF,GAAuB,GAAnBqc,EAAO4yB,UAAqC,IAAnB5yB,EAAO4yB,UAAkB5yB,EAAO/xB,KAE3D,OADK+xB,EAAOkkE,eAAgBr8F,OAAO+R,eAAeomB,GAAQkkE,aAAe,WAAc,OAAOh6F,SAASg6F,iBAChG3zF,KAAKkvG,MAAQz/E,EAGxB,OAAO0iC,GAAUx4D,UAWnBs1G,GAAW13G,UAAUwtE,YAAc,SAAwBb,GACzD,OAAOa,EAAY/kE,KAAMkkE,IAO3B+qC,GAAW13G,UAAU4sE,YAAc,SAAwBjrD,GACzD,OAAOirD,EAAYnkE,KAAMkZ,IAQ3B+1F,GAAW13G,UAAUs6G,SAAW,SAAmB34F,GACjD,OAAOlZ,KAAKmzE,QAAQyB,WAAW17D,IAYjC+1F,GAAW13G,UAAUssE,QAAU,SAAkB3qD,GAC/C,IAAI4wC,EAAO9pD,KAAKmzE,QAAQsiB,OAAOv8E,GAC/B,OAAO4wC,EAAOA,EAAK+Z,QAAU,MAY/BorC,GAAW13G,UAAUq0E,SAAW,SAAmBztB,EAAMtP,EAAQuX,QAC/C,IAATA,IAAkBA,GAAQ,GAEjC,IAAIltC,EAAMlZ,KAAKmzE,QAAQif,WAAWj0C,EAAMtP,EAAQuX,GAChD,GAAW,MAAPltC,EAAe,MAAM,IAAIutC,WAAW,sCACxC,OAAOvtC,GAUT+1F,GAAW13G,UAAU+sD,eAAiB,SAA2B70C,EAAKzL,GACpE,OAAOsgD,EAAetkD,KAAMgE,GAAShE,KAAKgE,MAAOyL,IAMnDw/F,GAAW13G,UAAU+rE,QAAU,WACxBtjE,KAAKmzE,WA5kDZ,SAAsB/uB,GAEpB,IAAK,IAAIvlD,KADTulD,EAAK84C,YAAYvkF,OACAyrC,EAAKmjD,cAClBnjD,EAAKqV,IAAI8J,oBAAoB1kE,EAAMulD,EAAKmjD,cAAc1oG,IAC1DwO,aAAa+2C,EAAK+lD,kBAykDlB2H,CAAa9xG,MACbA,KAAKwxG,qBACDxxG,KAAKovG,SACPpvG,KAAKmzE,QAAQlgE,OAAOjT,KAAKgE,MAAM46C,IAAK,GAAImwD,GAAgB/uG,MAAOA,MAC/DA,KAAKy5D,IAAI/G,YAAc,IACd1yD,KAAKy5D,IAAIrmD,YAClBpT,KAAKy5D,IAAIrmD,WAAWC,YAAYrT,KAAKy5D,KAEvCz5D,KAAKmzE,QAAQ7P,UACbtjE,KAAKmzE,QAAU,OAIjB87B,GAAW13G,UAAUw6G,cAAgB,SAA0BzxG,GAC7D,OA5jDF,SAAuB8jD,EAAM9jD,GACtBknG,GAAiBpjD,EAAM9jD,KAAUstB,GAASttB,EAAMzB,QAChDulD,EAAK0gB,UAAcxkE,EAAMzB,QAAQsoG,IAClCv5E,GAASttB,EAAMzB,MAAMulD,EAAM9jD,GAyjDxByxG,CAAc/xG,KAAMM,IAW7B2uG,GAAW13G,UAAUumD,SAAW,SAAmBE,GACjD,IAAI8zB,EAAsB9xE,KAAK0qE,OAAOoH,oBAClCA,EAAuBA,EAAoBp6E,KAAKsI,KAAMg+C,GACnDh+C,KAAKkuE,YAAYluE,KAAKgE,MAAM+B,MAAMi4C,KAG3C1mD,OAAOiP,iBAAkB0oG,GAAW13G,UAAWk6D,K;;;;;;ACrvJ/C,SAASmV,EAAgB7uE,EAAKK,EAAKkD,GAYjC,OAXIlD,KAAOL,EACTT,OAAOqD,eAAe5C,EAAKK,EAAK,CAC9BkD,MAAOA,EACPwE,YAAY,EACZ4E,cAAc,EACdC,UAAU,IAGZ5M,EAAIK,GAAOkD,EAGNvD,EAGT,SAASs0B,EAAQ5wB,EAAQorE,GACvB,IAAIn+D,EAAOpR,OAAOoR,KAAKjN,GAEvB,GAAInE,OAAOgjB,sBAAuB,CAChC,IAAIqO,EAAUrxB,OAAOgjB,sBAAsB7e,GACvCorE,IAAgBl+C,EAAUA,EAAQ9U,QAAO,SAAUizD,GACrD,OAAOxvE,OAAOoE,yBAAyBD,EAAQqrE,GAAKhnE,eAEtD4I,EAAK6F,KAAKxI,MAAM2C,EAAMigB,GAGxB,OAAOjgB,EAGT,SAASq+D,EAAe7qE,GACtB,IAAK,IAAIjE,EAAI,EAAGA,EAAI8B,UAAU5B,OAAQF,IAAK,CACzC,IAAIgE,EAAyB,MAAhBlC,UAAU9B,GAAa8B,UAAU9B,GAAK,GAE/CA,EAAI,EACNo0B,EAAQ/0B,OAAO2E,IAAS,GAAMnE,SAAQ,SAAUM,GAC9CwuE,EAAgB1qE,EAAQ9D,EAAK6D,EAAO7D,OAE7Bd,OAAO0vE,0BAChB1vE,OAAOiP,iBAAiBrK,EAAQ5E,OAAO0vE,0BAA0B/qE,IAEjEowB,EAAQ/0B,OAAO2E,IAASnE,SAAQ,SAAUM,GACxCd,OAAOqD,eAAeuB,EAAQ9D,EAAKd,OAAOoE,yBAAyBO,EAAQ7D,OAKjF,OAAO8D,EAGT,SAASusE,EAAmBh4C,GAC1B,OAGF,SAA4BA,GAC1B,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOi4C,EAAkBj4C,GAJ1Ck4C,CAAmBl4C,IAO5B,SAA0Bm4C,GACxB,GAAsB,oBAAXnyE,QAA0BA,OAAO+hB,YAAYlhB,OAAOsxE,GAAO,OAAOt6D,MAAM6c,KAAKy9C,GARtDC,CAAiBp4C,IAWrD,SAAqC8N,EAAGwqC,GACtC,IAAKxqC,EAAG,OACR,GAAiB,iBAANA,EAAgB,OAAOmqC,EAAkBnqC,EAAGwqC,GACvD,IAAIlyD,EAAIvf,OAAOC,UAAUF,SAASK,KAAK6mC,GAAGt7B,MAAM,GAAI,GAC1C,WAAN4T,GAAkB0nB,EAAE/lC,cAAaqe,EAAI0nB,EAAE/lC,YAAY5B,MACvD,GAAU,QAANigB,GAAqB,QAANA,EAAa,OAAOvI,MAAM6c,KAAKtU,GAClD,GAAU,cAANA,GAAqB,2CAA2C1L,KAAK0L,GAAI,OAAO6xD,EAAkBnqC,EAAGwqC,GAjB9CR,CAA4B93C,IA4BzF,WACE,MAAM,IAAIj2B,UAAU,wIA7B2EsuE,GAoBjG,SAASJ,EAAkBj4C,EAAK5iB,IACnB,MAAPA,GAAeA,EAAM4iB,EAAIt4B,UAAQ0V,EAAM4iB,EAAIt4B,QAE/C,IAAK,IAAIF,EAAI,EAAG+wE,EAAO,IAAI16D,MAAMT,GAAM5V,EAAI4V,EAAK5V,IAAK+wE,EAAK/wE,GAAKw4B,EAAIx4B,GAEnE,OAAO+wE,EAOT,SAASkL,EAAalwE,EAAOnF,GAC3B,IAAIu2E,EAAmBpxE,EAAM+5C,UACzB5yB,EAAOiqD,EAAiBjqD,KACxB4L,EAAKq+C,EAAiBr+C,GACtBwsB,EAAQ,GACZv/C,EAAM46C,IAAI8D,aAAav3B,EAAM4L,GAAI,SAAUonB,GACzCoF,EAAQ,GAAGvgD,OAAOylE,EAAmBllB,GAAQklB,EAAmBtqB,EAAKoF,WAEvE,IAAI0F,EAAO1F,EAAM1rC,MAAK,SAAUm6F,GAC9B,OAAOA,EAASnzG,KAAKjI,OAASiI,EAAKjI,QAGrC,OAAIqyD,EACKA,EAAK3G,MAGP,GAGT,SAAS6xB,EAAanwE,EAAOnF,GAC3B,IAAIu2E,EAAmBpxE,EAAM+5C,UACzB5yB,EAAOiqD,EAAiBjqD,KACxB4L,EAAKq+C,EAAiBr+C,GACtB83B,EAAQ,GACZ7qD,EAAM46C,IAAI8D,aAAav3B,EAAM4L,GAAI,SAAUonB,GACzC0Q,EAAQ,GAAG7rD,OAAOylE,EAAmB5Z,GAAQ,CAAC1Q,OAEhD,IAAIA,EAAO0Q,EAAMuH,UAAUv+C,MAAK,SAAUo6F,GACxC,OAAOA,EAASpzG,KAAKjI,OAASiI,EAAKjI,QAGrC,OAAIunD,EACKA,EAAKmE,MAGP,GAGT,SAAS4vD,IACP,IAAI1zD,EAAOzkD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAC3E8E,EAAO9E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAE/E,IAAKykD,IAAS3/C,EACZ,OAAO,EAGT,IAAIiuD,EAAQtO,EAAKr8C,OAAO4wD,WAAWvU,EAAKiC,cAExC,IAAKqM,EAAM3O,KACT,OAAO,EAGT,IAAIn/C,EAAO8tD,EAAM3O,KAAKoF,MAAM1rC,MAAK,SAAUoxC,GACzC,OAAOA,EAAKpqD,OAASA,KAGvB,IAAKG,EACH,OAAO,EAQT,IALA,IAAIyxD,EAAajS,EAAK/xC,QAClBkmD,EAAWnU,EAAKsO,QAAUA,EAAMje,OAChC6hB,EAAWD,EAAa,EACxB0hD,EAASx/C,EAAW7F,EAAM3O,KAAKqD,SAE5BiP,EAAa,GAAKzxD,EAAKqkD,QAAQ7E,EAAKr8C,OAAO4lD,MAAM0I,EAAa,GAAGlN,QACtEkN,GAAc,EACdkC,GAAYnU,EAAKr8C,OAAO4lD,MAAM0I,GAAYjP,SAG5C,KAAOkP,EAAWlS,EAAKr8C,OAAO48C,YAAc//C,EAAKqkD,QAAQ7E,EAAKr8C,OAAO4lD,MAAM2I,GAAUnN,QACnF4uD,GAAU3zD,EAAKr8C,OAAO4lD,MAAM2I,GAAUlP,SACtCkP,GAAY,EAGd,MAAO,CACLvlC,KAAMwnC,EACN57B,GAAIo7E,GAIR,SAASC,EAAapuG,EAAOnF,GAC3B,IAAIu2E,EAAmBpxE,EAAM+5C,UACzB5yB,EAAOiqD,EAAiBjqD,KACxBg0B,EAAQi2B,EAAiBj2B,MACzBpoB,EAAKq+C,EAAiBr+C,GAG1B,OAFYq+C,EAAiBjgD,QAGlBt2B,EAAKwkD,QAAQr/C,EAAMs/C,aAAenE,EAAMoE,WAG1Cv/C,EAAM46C,IAAI+E,aAAax4B,EAAM4L,EAAIl4B,GAG5C,SAASwzG,EAAehpC,GACtB,IAAIzoB,EAAQyoB,EAAKzoB,MACbzC,EAAOkrB,EAAKlrB,KAChB,OAAO7vC,MAAM9W,QAAQopD,IAAUA,EAAMvmC,SAAS8jC,EAAKt/C,OAASs/C,EAAKt/C,OAAS+hD,EAG5E,SAAS0xD,EAAatuG,EAAOnF,GAC3B,IAAIyjD,EAAQvoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAE5E0yG,EAAY,SAAmBtuD,GACjC,OAAOA,EAAKt/C,OAASA,GAGnBs/C,EAAO,iCAAuBt/C,EAAvB,CAA6BmF,EAAM+5C,YAAc,yBAAe0uD,EAAf,CAA0BzoG,EAAM+5C,WAE5F,OAAKzmD,OAAOoR,KAAK45C,GAAOnqD,QAAWgmD,EAI5BA,EAAKA,KAAKwE,UAAU9jD,EAAMkoE,EAAe,GAAI5oB,EAAKA,KAAKmE,MAAO,GAAIA,MAH9DnE,I,6BCxMb7mD,OAAOqD,eAAe5E,EAAS,aAAc,CAAEuF,OAAO,IAEtD,IAAIi3G,EAAmB,EAAQ,KAC3BC,EAAmB,EAAQ,KAC3BC,EAAoB,EAAQ,KA8B5BC,EAA0B,SAAiCrwD,EAAUv7C,GACvE,OAAO,SAAUk3C,GACV1vC,MAAM9W,QAAQ6qD,KACjBA,EAAW,CAACA,IAEd,IAAK,IAAIpqD,EAAI,EAAGokF,EAAQh6B,EAASlqD,OAAQF,EAAIokF,EAAOpkF,IAAK,CACvD,IAAIkK,EAASwwG,EAAqBtwD,EAASpqD,GAA9B06G,CAAkC30D,EAAGD,WAClD,GAAI57C,EAAQ,CACV,IAAIywG,EAAQC,EAAiB1wG,EAAO+W,IAAKpS,EAA7B+rG,CAAsC70D,GAClD,GAAI40D,IAAU50D,EACZ,OAAO40D,GAIb,OAAO50D,IA+BP80D,EAAsB,SAA6BhsG,GACrD,OAAO,SAAUk3C,GACf,GAAI+0D,EAAgB/0D,EAAGD,WAAY,CACjC,IAAIi1D,EAAgBh1D,EAAGD,UACnBoB,EAAQ6zD,EAAc7zD,MACtBC,EAAM4zD,EAAc5zD,IAExB,GAAIt4C,aAAmB0rG,EAAiB5lD,UAAYzN,EAAMh9C,OAAO4+C,WAAW5B,EAAM1yC,QAAS0yC,EAAMa,aAAcl5C,IAAYq4C,EAAMh9C,OAAO+9C,eAAef,EAAM1yC,QAAS0yC,EAAMa,aAAcl5C,EAAQjI,MAChM,OAAOo0G,EAAQj1D,EAAGmC,YAAYhB,EAAMjmC,IAAKkmC,EAAIlmC,IAAKpS,GAEjDu5C,aAAa,IAAIkyD,EAAiBjrD,cAActJ,EAAGY,IAAIviC,QAAQ8iC,EAAMjmC,QAG1E,OAAO8kC,IAcPk1D,EAAmB,SAA0B55F,GAC/C,IAAI7J,EAAM1V,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC9E,OAAO,SAAUikD,GACf,IAAIm1D,EAAgBZ,EAAiBptD,UAAUhD,SAASnE,EAAGY,IAAIviC,QAAQ/C,GAAW7J,GAAK,GACvF,OAAI0jG,EACKn1D,EAAGqC,aAAa8yD,GAElBn1D,IAIPo1D,EAAmB,SAA0Bj1D,GAC/C,OAAOA,EAAKt/C,MAAQs/C,EAAKt/C,KAAK4/C,KAAKmJ,YAMjCvH,EAAe,SAAsBlC,EAAMjlC,EAAK8kC,GAClD,OALqB,SAA0BG,GAC/C,OAAOi1D,EAAiBj1D,IAASA,EAAKt/C,KAAKuuD,OAIvCimD,CAAiBl1D,GACZH,EAAGqC,aAAa,IAAIkyD,EAAiBjrD,cAActJ,EAAGY,IAAIviC,QAAQnD,KAEpEg6F,EAAiBh6F,EAAjBg6F,CAAsBl1D,IAe3Bs1D,EAAa,SAAoBxsG,EAASwS,EAAUi6F,GACtD,OAAO,SAAUv1D,GACf,IAAIw1D,EAAkC,iBAAbl6F,EACrB6lC,EAAQnB,EAAGD,UAAUoB,MAErBs0D,EAAaD,EAAcx1D,EAAGY,IAAIviC,QAAQ/C,GAAYy5F,EAAgB/0D,EAAGD,WAAaC,EAAGY,IAAIviC,QAAQ8iC,EAAMjmC,IAAM,GAAKimC,EACtHh9C,EAASsxG,EAAWtxG,OAIxB,GAAI4wG,EAAgB/0D,EAAGD,YAAcw1D,GACvBv1D,KACZA,EAAK80D,EAAoBhsG,EAApBgsG,CAA6B90D,IAEhC,OAAOA,EAKX,GAAI01D,EAAiBvxG,IACN67C,KACbA,EAAK00D,EAAwBvwG,EAAOtD,KAAMiI,EAArC4rG,CAA8C10D,IAChC,CACjB,IAAI9kC,EAAMk6F,EAAiBtsG,GAC3B2sG,EAAW50D,OAAO40D,EAAW90D,OAAS80D,EAAWv6F,IACjD,OAAOmnC,EAAav5C,EAASoS,EAAK8kC,GAKtC,GAAI21D,EAAUF,EAAY3sG,GAAU,CAClCk3C,EAAG6G,OAAO4uD,EAAWv6F,IAAKpS,GAC1B,IAAI8sG,EAAOJ,EAAcC,EAAWv6F,IAAMk6F,EAAiBtsG,GAC3Dk3C,EAAGD,UAAU8B,QAAQ3mC,IAAM,EAAI8kC,EAAGD,UAAU8B,QAAQ3mC,IACpD,OAAO+5F,EAAQ5yD,EAAav5C,EAAS8sG,EAAM51D,IAI7C,IAAK,IAAI/lD,EAAIw7G,EAAW90D,MAAO1mD,EAAI,EAAGA,IAAK,CACzC,IAAI47G,EAAQJ,EAAWz0D,MAAM/mD,GACzBumD,EAAOR,EAAGY,IAAIviC,QAAQw3F,GAC1B,GAAIF,EAAUn1D,EAAM13C,GAElB,OADAk3C,EAAG6G,OAAOgvD,EAAO/sG,GACVmsG,EAAQ5yD,EAAav5C,EAAS+sG,EAAO71D,IAGhD,OAAOA,IAmEP+0D,EAAkB,SAAyBh1D,GAC7C,OAAOA,aAAqBw0D,EAAiBjrD,eAK3CwsD,EAAgB,SAAuBzxD,EAAUlE,GACnD,OAAO7vC,MAAM9W,QAAQ6qD,IAAaA,EAASzjD,QAAQu/C,EAAKt/C,OAAS,GAAKs/C,EAAKt/C,OAASwjD,GAKlF4wD,EAAU,SAAiBj1D,GAC7B,OAAO1mD,OAAO0G,OAAO1G,OAAO4N,OAAO84C,GAAKA,GAAIvO,QAAQvf,KAAKG,QAOvDwiF,EAAmB,SAA0Bv5F,EAAUxS,GACzD,OAAO,SAAUk3C,GACf,IAAIG,EAAOH,EAAGY,IAAIkU,OAAOx5C,GACrBklC,EAAOR,EAAGY,IAAIviC,QAAQ/C,GAC1B,GAAIynC,EAAWvC,EAAM13C,GAAU,CAE7B,IAAIgmD,GADJ9O,EAAKA,EAAGmC,YAAY7mC,EAAUA,EAAW6kC,EAAKqD,SAAU16C,IACzCi3C,UAAUoB,MAAMjmC,IAAM,EAKrC,OAHA8kC,EAAKk1D,EAAiBp8G,KAAKkwB,IAAI8lC,EAAO,IAAK,EAAtComD,CAAyCl1D,GAE9CA,EAAKk1D,EAAiBl1D,EAAGD,UAAUoB,MAAM2N,QAApComD,CAA6Cl1D,GAC3Ci1D,EAAQj1D,GAEjB,OAAOA,IAMP+C,EAAa,SAAoBvC,EAAM13C,GACzC,IAAIq3C,EAAOK,EAAKL,KAAKK,EAAKG,OAC1B,OAAOR,GAAQA,EAAKt/C,KAAK+xD,aAAa9pD,aAAmB0rG,EAAiB5lD,SAAW9lD,EAAU0rG,EAAiB5lD,SAASzhC,KAAKrkB,KAM5HitG,EAAkB,SAAyBz6F,GAC7C,OAAO,SAAU0kC,GACf,IAAIG,EAAOH,EAAGY,IAAIkU,OAAOx5C,GACzB,OAAO25F,EAAQj1D,EAAGuD,OAAOjoC,EAAUA,EAAW6kC,EAAKqD,aAMnDwyD,EAAiB,SAAwBvqD,GAC3C,GAAIA,EAAO0I,OAAO6hD,eAChB,OAAOvqD,EAAO0I,OAAO6hD,eAEvB,IAAIC,EAAQ,GAQZ,OAPA38G,OAAOoR,KAAK+gD,EAAOoF,OAAO/2D,SAAQ,SAAU+G,GAC1C,IAAIwjD,EAAWoH,EAAOoF,MAAMhwD,GACxBwjD,EAAS5D,KAAKy1D,YAChBD,EAAM5xD,EAAS5D,KAAKy1D,WAAa7xD,MAGrCoH,EAAO0I,OAAO6hD,eAAiBC,EACxBA,GAaLN,EAAY,SAAmBn1D,EAAM13C,GACvC,IAAI2F,EAAQ+xC,EAAK/xC,QAEjB,OAAI3F,aAAmB0rG,EAAiB5lD,SAC/BpO,EAAKr8C,OAAO4+C,WAAWt0C,EAAOA,EAAO3F,GACnCA,aAAmB0rG,EAAiBhgD,MACtChU,EAAKr8C,OAAO+9C,eAAezzC,EAAOA,EAAO3F,EAAQjI,OAOxD60G,EAAmB,SAA0Bv1D,GAC/C,OAAQA,GAA2B,cAAnBA,EAAKt/C,KAAKjI,MAA0C,IAAlBunD,EAAKqD,UAgBrD2yD,EAAa,SAAoBC,GACnC,IAAIzpB,EAAc5wF,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAEtF,OAAI4wF,EACKypB,EAASl8C,cAAc,KAAMyyB,GAG/BypB,EAASh0D,iBAKdi0D,EAAiB,SAAwB1wC,GAC3C,OAAO,SAAU5lB,GAMf,IALA,IAAIluC,EAAM4iG,EAAkB6B,SAAS15G,IAAImjD,EAAUw2D,YAAYp2D,MAAM,IACjE2O,EAAQ/O,EAAUw2D,YAAYznD,OAAO,GACrC0nD,EAAQ3kG,EAAI4kG,YAAY9wC,GACxB+wC,EAAgB7kG,EAAI4kG,YAAY5kG,EAAI8kG,YAAY52D,EAAUw2D,YAAYr7F,IAAM4zC,EAAO/O,EAAU62D,UAAU17F,IAAM4zC,IAExG70D,EAAI,EAAGokF,EAAQm4B,EAAMr8G,OAAQF,EAAIokF,EAAOpkF,IAC/C,IAAyC,IAArCy8G,EAAc91G,QAAQ41G,EAAMv8G,IAC9B,OAAO,EAIX,OAAO,IA0BP48G,EAAY,SAAmB3mG,GACjC,OAAOA,EAAM,GAAG2B,KAAI,SAAU+5C,EAAG3xD,GAC/B,OAAOiW,EAAM2B,KAAI,SAAUilG,GACzB,OAAOA,EAAO78G,UA+BhB88G,EAAgC,SAAuCC,GAGzE,IAFA,IAAInlG,EAAM4iG,EAAkB6B,SAAS15G,IAAIo6G,GACrCC,EAAO,GACFC,EAAW,EAAGA,EAAWrlG,EAAI80D,OAAQuwC,IAAY,CAIxD,IAHA,IAAIC,EAAW,GACXjqD,EAAO,GAEFkqD,EAAW,EAAGA,EAAWvlG,EAAIozD,MAAOmyC,IAAY,CACvD,IAAIC,EAAUxlG,EAAIA,IAAIqlG,EAAWrlG,EAAIozD,MAAQmyC,GACzCE,EAAON,EAAUliD,OAAOuiD,GACxB1xC,EAAO9zD,EAAI0lG,SAASF,GACpBnqD,EAAKmqD,IAAY1xC,EAAK9F,MAAQq3C,EAChCC,EAAS5mG,KAAK,OAGhB28C,EAAKmqD,IAAW,EAEhBF,EAAS5mG,KAAK+mG,IAGhBL,EAAK1mG,KAAK4mG,GAGZ,OAAOF,GA6BLO,EAAgC,SAAuCR,EAAWS,GAGpF,IAFA,IAAIC,EAAS,GACT7lG,EAAM4iG,EAAkB6B,SAAS15G,IAAIo6G,GAChCE,EAAW,EAAGA,EAAWrlG,EAAI80D,OAAQuwC,IAAY,CAIxD,IAHA,IAAIS,EAAMX,EAAUjtD,MAAMmtD,GACtBC,EAAW,GAENC,EAAW,EAAGA,EAAWvlG,EAAIozD,MAAOmyC,IAC3C,GAAKK,EAAaP,GAAUE,GAA5B,CAGA,IAAIC,EAAUxlG,EAAIA,IAAIqlG,EAAWrlG,EAAIozD,MAAQmyC,GAEzCE,EAAOG,EAAaP,GAAUE,GAE9BQ,EADUZ,EAAUliD,OAAOuiD,GACTx2G,KAAKq5D,cAAc5gE,OAAO0G,OAAO,GAAIs3G,EAAKhzD,OAAQgzD,EAAKxuG,QAASwuG,EAAK/xD,OAC3F4xD,EAAS5mG,KAAKqnG,GAGhBF,EAAOnnG,KAAKonG,EAAI92G,KAAKq5D,cAAcy9C,EAAIrzD,MAAO6yD,EAAUQ,EAAIpyD,QAK9D,OAFeyxD,EAAUn2G,KAAKq5D,cAAc88C,EAAU1yD,MAAOozD,EAAQV,EAAUzxD,QAsB7EsyD,EAAuB,SAA8BZ,EAAMa,EAAeC,EAAeC,GAC3F,IAAItlE,EAAYolE,EAAc,GAAKC,EAAc,IAAM,EAAI,EAEvDE,EAAgBhB,EAAKnpD,OAAOgqD,EAAc,GAAIA,EAAc39G,QAC5D+9G,EAAiBD,EAAc99G,OAAS,GAAM,EAAI,EAAI,EACtD+D,OAAS,EAWb,OAREA,GADyB,IAAvB85G,GAA0C,IAAdtlE,EACrBqlE,EAAc,GAAK,EACG,IAAtBC,IAA0C,IAAftlE,EAC3BqlE,EAAcA,EAAc59G,OAAS,GAAK+9G,EAAiB,GAE5C,IAAfxlE,EAAmBqlE,EAAc,GAAKA,EAAcA,EAAc59G,OAAS,GAAK+9G,EAG3FjB,EAAKnpD,OAAO/lD,MAAMkvG,EAAM,CAAC/4G,EAAQ,GAAG8G,OAAOizG,IACpChB,GAGLkB,EAAwB,SAA+BC,EAAaC,EAAaC,EAASz3G,GAC5F,IACI03G,EAAe,kDAAqD13G,EAAO,IAAMu3G,EAAc,OAASC,EAAc,8DAE1H,GAAkB,KAHFD,EAAcC,GAAe,EAAI,IAI/C,IAAmE,IAA/DC,EAAQrzG,MAAM,EAAGqzG,EAAQn+G,OAAS,GAAGyG,QAAQy3G,GAC/C,MAAM,IAAIrpG,MAAMupG,QAGlB,IAA+C,IAA3CD,EAAQrzG,MAAM,GAAGrE,QAAQy3G,GAC3B,MAAM,IAAIrpG,MAAMupG,GAIpB,OAAO,GAULC,EAAiB,SAAwB/J,GAC3C,OAAO,SAAUpjC,GACf,IAAIlqB,EAAQkqB,EAAKlqB,MACjB,OAAOs3D,EAA2Bt3D,EAAOstD,KAWzCgK,EAA6B,SAAoCj4D,EAAMiuD,GACzE,IAAK,IAAIx0G,EAAIumD,EAAKG,MAAO1mD,EAAI,EAAGA,IAAK,CACnC,IAAIkmD,EAAOK,EAAKL,KAAKlmD,GACrB,GAAIw0G,EAAUtuD,GACZ,MAAO,CACLjlC,IAAKjhB,EAAI,EAAIumD,EAAKK,OAAO5mD,GAAK,EAC9B60D,MAAOtO,EAAKsO,MAAM70D,GAClB0mD,MAAO1mD,EACPkmD,KAAMA,KAcVu4D,EAAmB,SAA0BjK,EAAWoF,GAC1D,OAAO,SAAU9zD,GACf,IAAI57C,EAASq0G,EAAe/J,EAAf+J,CAA0Bz4D,GACvC,GAAI57C,EACF,OAAOw0G,EAAgBx0G,EAAO+W,IAAK24F,KAarC+E,EAAgB,SAAuBnK,GACzC,OAAO,SAAU1uD,GACf,QAASy4D,EAAe/J,EAAf+J,CAA0Bz4D,KAUnC40D,EAAuB,SAA8BtwD,GACvD,OAAO,SAAUtE,GACf,OAAOy4D,GAAe,SAAUr4D,GAC9B,OAAO21D,EAAczxD,EAAUlE,KAD1Bq4D,CAEJz4D,KA6EH84D,EAA2B,SAAkC94D,GAC/D,IAAIqD,EAAarD,EAAUoB,MAAMiC,WAE7B01D,EAAiBvE,EAAiBptD,UAAUhD,SAASpE,EAAUoB,OAAQ,GAC3E,GAAI23D,GAAkB11D,EAAY,CAEhC,IAAIj/C,EAASwwG,EAAqBvxD,EAAWviD,KAAhC8zG,CAAsCmE,GACnD,OAAI30G,EACKA,EAAO+W,IAET49F,EAAe33D,MAAMjmC,MAW5By9F,EAAkB,SAAyBr9F,EAAUu4F,GACvD,IAAIp4C,EAAMo4C,EAASv4F,GACf6kC,EAAOsb,EAAItb,KAAKhqC,WAAWslD,EAAI5qB,QAEnC,OAAI4qB,EAAItb,KAAKkE,WAAamQ,KAAKukD,UACtBt9C,EAAItb,KAAK/qC,WAGb+qC,GAAQA,EAAKkE,WAAamQ,KAAKukD,UAI7B54D,EAHEsb,EAAItb,MAYX8iC,EAAU,SAAiB9iC,GAC7B,IAAI64D,IAAUj9G,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,KAAmBA,UAAU,GAE7E,IAAKokD,EACH,MAAM,IAAInxC,MAAM,4BAElB,IAAInT,EAAS,GAOb,OANAskD,EAAK4O,aAAY,SAAUhF,EAAO7uC,GAEhC,GADArf,EAAO0U,KAAK,CAAE4vC,KAAM4J,EAAO7uC,IAAKA,KAC3B89F,EACH,OAAO,KAGJn9G,GASLo9G,EAAe,SAAsB94D,EAAMsuD,EAAWuK,GACxD,IAAK74D,EACH,MAAM,IAAInxC,MAAM,4BACX,IAAKy/F,EACV,MAAM,IAAIz/F,MAAM,iCAElB,OAAOi0E,EAAQ9iC,EAAM64D,GAASnjG,QAAO,SAAUk0C,GAC7C,OAAO0kD,EAAU1kD,EAAM5J,UA0DvB+4D,EAAqB,SAA4B/4D,EAAMkE,EAAU20D,GACnE,OAAOC,EAAa94D,GAAM,SAAU4J,GAClC,OAAOA,EAAMlpD,OAASwjD,IACrB20D,IAmCL,IAAIG,EAAY,SAAmBp5D,GACjC,OAAOy4D,GAAe,SAAUr4D,GAC9B,OAAOA,EAAKt/C,KAAK4/C,KAAKy1D,WAA0C,UAA7B/1D,EAAKt/C,KAAK4/C,KAAKy1D,YAD7CsC,CAEJz4D,IAWDq5D,EAAkB,SAAyBr5D,GAC7C,OAAOA,aAAqB00D,EAAkB4E,eAoE5CC,EAAkB,SAAyBv5D,GAC7C,GAAIq5D,EAAgBr5D,GAAY,CAC9B,IAAIluC,EAAM4iG,EAAkB6B,SAAS15G,IAAImjD,EAAUw2D,YAAYp2D,MAAM,IACrE,OAAOk2D,EAAe,CACpBrwC,KAAM,EACNC,MAAOp0D,EAAIozD,MACXpF,IAAK,EACLkG,OAAQl0D,EAAI80D,QAJP0vC,CAKJt2D,GAGL,OAAO,GASLw5D,EAAmB,SAA0BC,GAC/C,OAAO,SAAUz5D,GACf,IAAIqe,EAAQ+6C,EAAUp5D,GACtB,GAAIqe,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAE/C,OADc7vC,MAAM9W,QAAQggH,GAAeA,EAAclpG,MAAM6c,KAAK,CAACqsF,KACtDxiD,QAAO,SAAUyiD,EAAKhrG,GACnC,GAAIA,GAAS,GAAKA,GAASoD,EAAIozD,MAAQ,EAAG,CACxC,IAAIuxC,EAAQ3kG,EAAI4kG,YAAY,CAC1BzwC,KAAMv3D,EACNw3D,MAAOx3D,EAAQ,EACfoxD,IAAK,EACLkG,OAAQl0D,EAAI80D,SAEd,OAAO8yC,EAAIz0G,OAAOwxG,EAAM3kG,KAAI,SAAU4sF,GACpC,IAAIt+C,EAAOie,EAAMje,KAAK2U,OAAO2pC,GACzBvjF,EAAMujF,EAAUrgC,EAAMtP,MAC1B,MAAO,CAAE5zC,IAAKA,EAAK4zC,MAAO5zC,EAAM,EAAGilC,KAAMA,UAG5C,OAWLu5D,EAAgB,SAAuBxC,GACzC,OAAO,SAAUn3D,GACf,IAAIqe,EAAQ+6C,EAAUp5D,GACtB,GAAIqe,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAE/C,OADc7vC,MAAM9W,QAAQ09G,GAAYA,EAAW5mG,MAAM6c,KAAK,CAAC+pF,KAChDlgD,QAAO,SAAUyiD,EAAKhrG,GACnC,GAAIA,GAAS,GAAKA,GAASoD,EAAI80D,OAAS,EAAG,CACzC,IAAI6vC,EAAQ3kG,EAAI4kG,YAAY,CAC1BzwC,KAAM,EACNC,MAAOp0D,EAAIozD,MACXpF,IAAKpxD,EACLs3D,OAAQt3D,EAAQ,IAElB,OAAOgrG,EAAIz0G,OAAOwxG,EAAM3kG,KAAI,SAAU4sF,GACpC,IAAIt+C,EAAOie,EAAMje,KAAK2U,OAAO2pC,GACzBvjF,EAAMujF,EAAUrgC,EAAMtP,MAC1B,MAAO,CAAE5zC,IAAKA,EAAK4zC,MAAO5zC,EAAM,EAAGilC,KAAMA,UAG5C,OA6BLw5D,EAAS,SAAgB94G,GAC3B,OAAO,SAAU4N,EAAOiyE,GACtB,OAAO,SAAU1gC,GACf,IAAIoe,EAAQ+6C,EAAUn5D,EAAGD,WACrB65D,EAA0B,QAAT/4G,EACrB,GAAIu9D,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAG/C,GAAI1xC,GAAS,GAAKA,GAASmrG,EAAiB/nG,EAAI80D,OAAS90D,EAAIozD,OAAQ,CACnE,IAAIe,EAAO4zC,EAAiB,EAAInrG,EAC5BoxD,EAAM+5C,EAAiBnrG,EAAQ,EAC/Bw3D,EAAQ2zC,EAAiB/nG,EAAIozD,MAAQx2D,EAAQ,EAC7Cs3D,EAAS6zC,EAAiBnrG,EAAQ,EAAIoD,EAAI80D,OAE9C,GAAI+Z,EAAQ,CACV,IAAI42B,EAAOuC,EAAqB75D,EAAGD,UAAUoB,OAC7C,IAAKm2D,EACH,OAAOt3D,EAGT,IAAI85D,EAAUjoG,EAAI0lG,SAASD,EAAKp8F,IAAMkjD,EAAMtP,OACxC8qD,GACF/5C,EAAM/mE,KAAKkK,IAAI68D,EAAKi6C,EAAQj6C,KAC5BkG,EAASjtE,KAAKkwB,IAAI+8C,EAAQ+zC,EAAQ/zC,UAElCC,EAAOltE,KAAKkK,IAAIgjE,EAAM8zC,EAAQ9zC,MAC9BC,EAAQntE,KAAKkwB,IAAIi9C,EAAO6zC,EAAQ7zC,QAIpC,IAAI8zC,EAAkBloG,EAAI4kG,YAAY,CACpCzwC,KAAMA,EACNnG,IAAKA,EACLoG,MAAO2zC,EAAiB3zC,EAAQD,EAAO,EACvCD,OAAQ6zC,EAAiB/5C,EAAM,EAAIkG,IAGjCi0C,EAAiBj0C,EAASlG,GAAQ,EAAIk6C,EAAkBloG,EAAI4kG,YAAY,CAC1EzwC,KAAM4zC,EAAiB5zC,EAAOC,EAAQ,EACtCpG,IAAK+5C,EAAiB7zC,EAAS,EAAIlG,EACnCoG,MAAOA,EACPF,OAAQA,IAGNnyD,EAAOwqD,EAAMtP,MAAQirD,EAAgB,GACrC5mE,EAASirB,EAAMtP,MAAQkrD,EAAeA,EAAe7/G,OAAS,GAC9DynD,EAAQ5B,EAAGY,IAAIviC,QAAQzK,GACvBiuC,EAAU7B,EAAGY,IAAIviC,QAAQ80B,GAE7B,OAAO8hE,EAAQj1D,EAAGqC,aAAa,IAAIoyD,EAAkB4E,cAAcx3D,EAASD,MAGhF,OAAO5B,KAcTi6D,EAAeN,EAAO,UAWtBO,EAAYP,EAAO,OAmcnBQ,EAAa,SAAoBjD,GACnC,OAAO,SAAUl3D,GACf,IAAIoe,EAAQ+6C,EAAUn5D,EAAGD,WACzB,GAAIqe,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAE/C,GAAI+2D,GAAY,GAAKA,GAAYrlG,EAAI80D,OAAQ,CAK3C,IAJA,IAAIqwC,EAAY54C,EAAMje,KAClBi6D,EAAapE,EAAegB,EAAUn2G,KAAK4qD,QAE3C4uD,EAASj8C,EAAMtP,MACV70D,EAAI,EAAGA,EAAIi9G,EAAW,EAAGj9G,IAChCogH,GAAUrD,EAAUjtD,MAAM9vD,GAAGupD,SAG/B,IAAI82D,EAAWtD,EAAUjtD,MAAMmtD,GAE3BV,EAAQ,GACR+D,EAAW,EAWf,GAVAD,EAASxgH,SAAQ,SAAUw9G,GAGE,IAAvBA,EAAKhzD,MAAMk2D,UACbD,GAAYjD,EAAKhzD,MAAMm2D,QACvBjE,EAAMjmG,KAAK6pG,EAAW9C,EAAKz2G,KAAK4/C,KAAKy1D,WAAW9zD,cAAck1D,EAAKhzD,MAAOgzD,EAAK/xD,YAK/Eg1D,EAAW1oG,EAAIozD,MAAO,CAYxB,IAXA,IAAIy1C,EAAe,GAEfC,EAAQ,SAAe3nG,GACzB,IAAI4nG,EAAaC,EAAiB7nG,GAAI,SAAUskG,EAAMt3D,GACpD,IAAIw6D,EAAUlD,EAAKn3D,KAAKmE,MAAMk2D,QAE9B,OAAOA,EAAU,GADDxnG,EAAKwnG,EACatD,IAHnB2D,CAId76D,GACH06D,EAAanqG,KAAKxI,MAAM2yG,EAtvBpC,SAA4BjoF,GAAO,GAAIniB,MAAM9W,QAAQi5B,GAAM,CAAE,IAAK,IAAIx4B,EAAI,EAAG+wE,EAAO16D,MAAMmiB,EAAIt4B,QAASF,EAAIw4B,EAAIt4B,OAAQF,IAAO+wE,EAAK/wE,GAAKw4B,EAAIx4B,GAAM,OAAO+wE,EAAe,OAAO16D,MAAM6c,KAAKsF,GAsvBxIg4C,CAAmBmwC,KAGlD5nG,EAAKkkG,EAAUlkG,GAAM,EAAGA,IAC/B2nG,EAAM3nG,GAGJ0nG,EAAavgH,QACfugH,EAAa5gH,SAAQ,SAAUw9G,GAC7Bt3D,EAAK86D,EAAaxD,EAAM,CACtBkD,QAASlD,EAAKn3D,KAAKmE,MAAMk2D,QAAU,GADhCM,CAEF96D,MAKT,OAAOs1D,EAAW8E,EAAWzC,IAAIzwG,OAAOozG,EAASh2D,MAAOkyD,GAAQ6D,EAAzD/E,CAAiEt1D,IAG5E,OAAOA,IAYP+6D,EAAiB,SAAwBvB,GAC3C,OAAO,SAAUx5D,GACf,IAAIoe,EAAQ+6C,EAAUn5D,EAAGD,WACzB,GAAIqe,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAC/C,GAAoB,IAAhBq5D,GAAmC,IAAd3nG,EAAIozD,MAC3B,OAAO+1C,EAAYh7D,GACd,GAAIw5D,GAAe,GAAKA,GAAe3nG,EAAIozD,MAMhD,OALAwvC,EAAkBwG,aAAaj7D,EAAI,CACjCnuC,IAAKA,EACLqpG,WAAY98C,EAAMtP,MAClBsP,MAAOA,EAAMje,MACZq5D,GACIvE,EAAQj1D,GAGnB,OAAOA,IAYPm7D,EAAc,SAAqBjE,GACrC,OAAO,SAAUl3D,GACf,IAAIoe,EAAQ+6C,EAAUn5D,EAAGD,WACzB,GAAIqe,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAC/C,GAAiB,IAAb+2D,GAAiC,IAAfrlG,EAAI80D,OACxB,OAAOq0C,EAAYh7D,GACd,GAAIk3D,GAAY,GAAKA,GAAYrlG,EAAI80D,OAM1C,OALA8tC,EAAkB2G,UAAUp7D,EAAI,CAC9BnuC,IAAKA,EACLqpG,WAAY98C,EAAMtP,MAClBsP,MAAOA,EAAMje,MACZ+2D,GACIjC,EAAQj1D,GAGnB,OAAOA,IAYPg7D,EAAc,SAAqBh7D,GAGrC,IAFA,IAAImB,EAAQnB,EAAGD,UAAUoB,MAEhBR,EAAQQ,EAAMR,MAAOA,EAAQ,EAAGA,IAAS,CAEhD,GAAiC,UADtBQ,EAAMhB,KAAKQ,GACb9/C,KAAK4/C,KAAKy1D,UACjB,OAAOjB,EAAQj1D,EAAGuD,OAAOpC,EAAMN,OAAOF,GAAQQ,EAAMH,MAAML,KAG9D,OAAOX,GA2LL86D,EAAe,SAAsBxD,EAAMhzD,GAC7C,OAAO,SAAUtE,GACf,OAAIs3D,GACFt3D,EAAGgD,cAAcs0D,EAAKp8F,IAAK,KAAM5hB,OAAO0G,OAAO,GAAIs3G,EAAKn3D,KAAKmE,MAAOA,IAC7D2wD,EAAQj1D,IAEVA,IAmDP65D,EAAuB,SAA8Br5D,GAIvD,OAAOi4D,EAA2Bj4D,GAHlB,SAAmBL,GACjC,OAAOA,EAAKt/C,KAAK4/C,KAAKy1D,WAAa,QAAQ/oG,KAAKgzC,EAAKt/C,KAAK4/C,KAAKy1D,eAa/DmF,EAA2B,SAAkC76D,GAC/D,IAAI82D,EAAOuC,EAAqBr5D,GAChC,GAAI82D,EAAM,CACR,IAAIl5C,EApnDoB,SAA+B5d,GAIzD,OAAOi4D,EAA2Bj4D,GAHlB,SAAmBL,GACjC,OAAOA,EAAKt/C,KAAK4/C,KAAKy1D,WAAa,SAAS/oG,KAAKgzC,EAAKt/C,KAAK4/C,KAAKy1D,cAknDpDoF,CAAsB96D,GAC9B3uC,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAC3Ck3D,EAAUC,EAAKp8F,IAAMkjD,EAAMtP,MAC/B,OAAOj9C,EAAI8kG,YAAYU,EAASA,KAIhCwD,EAAmB,SAA0B3D,EAAUzI,GACzD,OAAO,SAAUzuD,GACf,IAAI46D,EAAa,GACbpE,EAAQkD,EAAcxC,EAAdwC,CAAwB15D,EAAGD,WACvC,GAAIy2D,EACF,IAAK,IAAI5hG,EAAI4hG,EAAMr8G,OAAS,EAAGya,GAAK,EAAGA,IACjC65F,EAAU+H,EAAM5hG,GAAIorC,IACtB46D,EAAWrqG,KAAKimG,EAAM5hG,IAK5B,OAAOgmG,IAUPW,GAA4B,SAAmC/B,GACjE,OAAO,SAAUx5D,GAqBf,IApBA,IAAIyS,EAAa+mD,EACb9mD,EAAW8mD,EAIXgC,EAAS,SAAgBvhH,GAC3B,IAAIu8G,EAAQ+C,EAAiBt/G,EAAjBs/G,CAAoBv5D,EAAGD,WAC/By2D,GACFA,EAAM18G,SAAQ,SAAUw9G,GACtB,IAAImE,EAAgBnE,EAAKn3D,KAAKmE,MAAMm2D,QAAUxgH,EAAI,EAC9CwhH,GAAiBhpD,IACnBA,EAAax4D,GAEXwhH,EAAgB/oD,IAClBA,EAAW+oD,OAMVxhH,EAAIu/G,EAAav/G,GAAK,EAAGA,IAChCuhH,EAAOvhH,GAIT,IAAIyhH,EAAS,SAAgBzhH,GAC3B,IAAIu8G,EAAQ+C,EAAiBt/G,EAAjBs/G,CAAoBv5D,EAAGD,WAC/By2D,GACFA,EAAM18G,SAAQ,SAAUw9G,GACtB,IAAImE,EAAgBnE,EAAKn3D,KAAKmE,MAAMm2D,QAAUxgH,EAAI,EAC9Cq9G,EAAKn3D,KAAKmE,MAAMm2D,QAAU,GAAKgB,EAAgB/oD,IACjDA,EAAW+oD,OAMnB,IAASxhH,EAAIu/G,EAAav/G,GAAKy4D,EAAUz4D,IACvCyhH,EAAOzhH,GAIT,IAAI0hH,EAAU,GACd,IAAS1hH,EAAIw4D,EAAYx4D,GAAKy4D,EAAUz4D,IAAK,CAC3C,IAAI2hH,EAAarC,EAAiBt/G,EAAjBs/G,CAAoBv5D,EAAGD,WACpC67D,GAAcA,EAAWzhH,QAC3BwhH,EAAQprG,KAAKtW,GAGjBw4D,EAAakpD,EAAQ,GACrBjpD,EAAWipD,EAAQA,EAAQxhH,OAAS,GAOpC,IALA,IAAI0hH,EAA2BtC,EAAiB9mD,EAAjB8mD,CAA6Bv5D,EAAGD,WAC3D+7D,EAAgBpC,EAAc,EAAdA,CAAiB15D,EAAGD,WACpC8B,EAAU7B,EAAGY,IAAIviC,QAAQw9F,EAAyBA,EAAyB1hH,OAAS,GAAG+gB,KAEvF6gG,OAAW,EACNC,EAAMtpD,EAAUspD,GAAOvpD,EAAYupD,IAAO,CACjD,IAAIC,EAAc1C,EAAiByC,EAAjBzC,CAAsBv5D,EAAGD,WAC3C,GAAIk8D,GAAeA,EAAY9hH,OAAQ,CACrC,IAAK,IAAIya,EAAIknG,EAAc3hH,OAAS,EAAGya,GAAK,EAAGA,IAC7C,GAAIknG,EAAclnG,GAAGsG,MAAQ+gG,EAAY,GAAG/gG,IAAK,CAC/C6gG,EAAWE,EAAY,GACvB,MAGJ,GAAIF,EACF,OAMN,MAAO,CAAEl6D,QAASA,EAASD,MADf5B,EAAGY,IAAIviC,QAAQ09F,EAAS7gG,KACKygG,QAASA,KAUlDO,GAAyB,SAAgChF,GAC3D,OAAO,SAAUl3D,GAkBf,IAjBA,IAAIyS,EAAaykD,EACbxkD,EAAWwkD,EAGXiF,EAAS,SAAgBliH,GACfy/G,EAAcz/G,EAAdy/G,CAAiB15D,EAAGD,WAC1BjmD,SAAQ,SAAUw9G,GACtB,IAAImE,EAAgBnE,EAAKn3D,KAAKmE,MAAMk2D,QAAUvgH,EAAI,EAC9CwhH,GAAiBhpD,IACnBA,EAAax4D,GAEXwhH,EAAgB/oD,IAClBA,EAAW+oD,OAKRxhH,EAAIi9G,EAAUj9G,GAAK,EAAGA,IAC7BkiH,EAAOliH,GAIT,IAAImiH,EAAS,SAAgBniH,GACfy/G,EAAcz/G,EAAdy/G,CAAiB15D,EAAGD,WAC1BjmD,SAAQ,SAAUw9G,GACtB,IAAImE,EAAgBnE,EAAKn3D,KAAKmE,MAAMk2D,QAAUvgH,EAAI,EAC9Cq9G,EAAKn3D,KAAKmE,MAAMk2D,QAAU,GAAKiB,EAAgB/oD,IACjDA,EAAW+oD,OAKjB,IAASxhH,EAAIi9G,EAAUj9G,GAAKy4D,EAAUz4D,IACpCmiH,EAAOniH,GAIT,IAAI0hH,EAAU,GACd,IAAS1hH,EAAIw4D,EAAYx4D,GAAKy4D,EAAUz4D,IAAK,CAC3C,IAAI2hH,EAAalC,EAAcz/G,EAAdy/G,CAAiB15D,EAAGD,WACjC67D,GAAcA,EAAWzhH,QAC3BwhH,EAAQprG,KAAKtW,GAGjBw4D,EAAakpD,EAAQ,GACrBjpD,EAAWipD,EAAQA,EAAQxhH,OAAS,GAOpC,IALA,IAAIkiH,EAAwB3C,EAAcjnD,EAAdinD,CAA0B15D,EAAGD,WACrDu8D,EAAmB/C,EAAiB,EAAjBA,CAAoBv5D,EAAGD,WAC1C8B,EAAU7B,EAAGY,IAAIviC,QAAQg+F,EAAsBA,EAAsBliH,OAAS,GAAG+gB,KAEjF6gG,OAAW,EACNQ,EAAM7pD,EAAU6pD,GAAO9pD,EAAY8pD,IAAO,CACjD,IAAIpF,EAAWuC,EAAc6C,EAAd7C,CAAmB15D,EAAGD,WACrC,GAAIo3D,GAAYA,EAASh9G,OAAQ,CAC/B,IAAK,IAAIya,EAAI0nG,EAAiBniH,OAAS,EAAGya,GAAK,EAAGA,IAChD,GAAI0nG,EAAiB1nG,GAAGsG,MAAQi8F,EAAS,GAAGj8F,IAAK,CAC/C6gG,EAAW5E,EAAS,GACpB,MAGJ,GAAI4E,EACF,OAMN,MAAO,CAAEl6D,QAASA,EAASD,MADf5B,EAAGY,IAAIviC,QAAQ09F,EAAS7gG,KACKygG,QAASA,KAItD5jH,EAAQg9G,gBAAkBA,EAC1Bh9G,EAAQ49G,UAAYA,EACpB59G,EAAQg/G,8BAAgCA,EACxCh/G,EAAQy/G,8BAAgCA,EACxCz/G,EAAQygH,eAAiBA,EACzBzgH,EAAQ0gH,2BAA6BA,EACrC1gH,EAAQ2gH,iBAAmBA,EAC3B3gH,EAAQ6gH,cAAgBA,EACxB7gH,EAAQ48G,qBAAuBA,EAC/B58G,EAAQykH,iCAngD+B,SAA0Ch8D,EAAM6D,GACrF,OAAOo0D,EAA2Bj4D,GAAM,SAAUL,GAChD,OAAO21D,EAAczxD,EAAUlE,OAkgDnCpoD,EAAQ0kH,oBAt/CkB,SAA6Bp4D,GACrD,OAAO,SAAUtE,GACf,OAAO64D,GAAc,SAAUz4D,GAC7B,OAAO21D,EAAczxD,EAAUlE,KAD1By4D,CAEJ74D,KAm/CPhoD,EAAQ2kH,uBAx+CqB,SAAgCr4D,EAAUwvD,GACrE,OAAO,SAAU9zD,GACf,OAAO24D,GAAiB,SAAUv4D,GAChC,OAAO21D,EAAczxD,EAAUlE,KAC9B0zD,EAFI6E,CAEM34D,KAq+CjBhoD,EAAQ4kH,uBAt9CqB,SAAgCt4D,GAC3D,OAAO,SAAUtE,GACf,GAAIg1D,EAAgBh1D,GAAY,CAC9B,IAAII,EAAOJ,EAAUI,KACjBgB,EAAQpB,EAAUoB,MAEtB,GAAI20D,EAAczxD,EAAUlE,GAC1B,MAAO,CAAEA,KAAMA,EAAMjlC,IAAKimC,EAAMjmC,IAAKylC,MAAOQ,EAAMR,UAg9C1D5oD,EAAQ8gH,yBAA2BA,EACnC9gH,EAAQ4gH,gBAAkBA,EAC1B5gH,EAAQkrF,QAAUA,EAClBlrF,EAAQkhH,aAAeA,EACvBlhH,EAAQ6kH,cAv3CY,SAAuBz8D,EAAM64D,GAC/C,OAAOC,EAAa94D,GAAM,SAAU4J,GAClC,OAAOA,EAAMJ,SACZqvD,IAq3CLjhH,EAAQ8kH,gBA52Cc,SAAyB18D,EAAM64D,GACnD,OAAOC,EAAa94D,GAAM,SAAU4J,GAClC,OAAOA,EAAMlC,WACZmxD,IA02CLjhH,EAAQ+kH,eAj2Ca,SAAwB38D,EAAM64D,GACjD,OAAOC,EAAa94D,GAAM,SAAU4J,GAClC,OAAOA,EAAMvH,UACZw2D,IA+1CLjhH,EAAQglH,mBAt1CiB,SAA4B58D,EAAMsuD,EAAWuK,GACpE,OAAOC,EAAa94D,GAAM,SAAU4J,GAClC,QAAS0kD,EAAU1kD,EAAMzF,SACxB00D,IAo1CLjhH,EAAQmhH,mBAAqBA,EAC7BnhH,EAAQilH,mBAh0CiB,SAA4B78D,EAAM0E,EAAUm0D,GACnE,OAAOC,EAAa94D,GAAM,SAAU4J,GAClC,OAAOlF,EAASQ,QAAQ0E,EAAMxE,SAC7ByzD,IA8zCLjhH,EAAQ6qE,SAnzCO,SAAkBziB,EAAMkE,GACrC,QAAS60D,EAAmB/4D,EAAMkE,GAAUlqD,QAmzC9CpC,EAAQohH,UAAYA,EACpBphH,EAAQqhH,gBAAkBA,EAC1BrhH,EAAQklH,iBAlxCe,SAA0Bl9D,GAC/C,GAAKq5D,EAAgBr5D,GAArB,CAGA,IAAI+O,EAAQ/O,EAAUw2D,YAAYznD,OAAO,GAEzC,OADU2lD,EAAkB6B,SAAS15G,IAAImjD,EAAUw2D,YAAYp2D,MAAM,IAC1Dw2D,YAAY52D,EAAUw2D,YAAYr7F,IAAM4zC,EAAO/O,EAAU62D,UAAU17F,IAAM4zC,KA6wCtF/2D,EAAQmlH,iBApwCe,SAA0B1D,GAC/C,OAAO,SAAUz5D,GACf,GAAIq5D,EAAgBr5D,GAAY,CAC9B,IAAIluC,EAAM4iG,EAAkB6B,SAAS15G,IAAImjD,EAAUw2D,YAAYp2D,MAAM,IACrE,OAAOk2D,EAAe,CACpBrwC,KAAMwzC,EACNvzC,MAAOuzC,EAAc,EACrB35C,IAAK,EACLkG,OAAQl0D,EAAI80D,QAJP0vC,CAKJt2D,GAGL,OAAO,IAyvCXhoD,EAAQolH,cA/uCY,SAAuBjG,GACzC,OAAO,SAAUn3D,GACf,GAAIq5D,EAAgBr5D,GAAY,CAC9B,IAAIluC,EAAM4iG,EAAkB6B,SAAS15G,IAAImjD,EAAUw2D,YAAYp2D,MAAM,IACrE,OAAOk2D,EAAe,CACpBrwC,KAAM,EACNC,MAAOp0D,EAAIozD,MACXpF,IAAKq3C,EACLnxC,OAAQmxC,EAAW,GAJdb,CAKJt2D,GAGL,OAAO,IAouCXhoD,EAAQuhH,gBAAkBA,EAC1BvhH,EAAQwhH,iBAAmBA,EAC3BxhH,EAAQ2hH,cAAgBA,EACxB3hH,EAAQqlH,gBA3oCc,SAAyBr9D,GAC7C,IAAIqe,EAAQ+6C,EAAUp5D,GACtB,GAAIqe,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAO/C,OANYtuC,EAAI4kG,YAAY,CAC1BzwC,KAAM,EACNC,MAAOp0D,EAAIozD,MACXpF,IAAK,EACLkG,OAAQl0D,EAAI80D,SAED90D,KAAI,SAAU4sF,GACzB,IAAIt+C,EAAOie,EAAMje,KAAK2U,OAAO2pC,GACzBvjF,EAAMujF,EAAUrgC,EAAMtP,MAC1B,MAAO,CAAE5zC,IAAKA,EAAK4zC,MAAO5zC,EAAM,EAAGilC,KAAMA,QA+nC/CpoD,EAAQkiH,aAAeA,EACvBliH,EAAQmiH,UAAYA,EACpBniH,EAAQslH,YApiCU,SAAqBr9D,GACrC,IAAIoe,EAAQ+6C,EAAUn5D,EAAGD,WACzB,GAAIqe,EAAO,CACT,IACIvsD,EADgB4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MACjCtuC,IAExB,GAAIA,GAAOA,EAAI1X,OAAQ,CACrB,IAAIyZ,EAAOwqD,EAAMtP,MAAQj9C,EAAI,GACzBshC,EAASirB,EAAMtP,MAAQj9C,EAAIA,EAAI1X,OAAS,GACxCynD,EAAQ5B,EAAGY,IAAIviC,QAAQzK,GACvBiuC,EAAU7B,EAAGY,IAAIviC,QAAQ80B,GAE7B,OAAO8hE,EAAQj1D,EAAGqC,aAAa,IAAIoyD,EAAkB4E,cAAcx3D,EAASD,MAGhF,OAAO5B,GAshCTjoD,EAAQulH,UA1gCQ,SAAmBhG,EAAM7rD,GACvC,OAAO,SAAUzL,GACf,GAAIs3D,EAAM,CACR,IACIxuG,EADwBktG,EAAevqD,GAAQ6rD,KAAKl1D,gBACpBt5C,QAEpC,IAAKwuG,EAAKn3D,KAAKr3C,QAAQqgD,GAAGrgD,GAExB,OADAk3C,EAAGmC,YAAYm1D,EAAKp8F,IAAM,EAAGo8F,EAAKp8F,IAAMo8F,EAAKn3D,KAAKqD,SAAU16C,GACrDmsG,EAAQj1D,GAGnB,OAAOA,IAggCXjoD,EAAQwlH,YAp/BU,SAAqB/D,GACrC,OAAO,SAAUx5D,GACf,IAAIoe,EAAQ+6C,EAAUn5D,EAAGD,WACzB,GAAIqe,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAC/C,GAAIq5D,GAAe,GAAKA,GAAe3nG,EAAIozD,MACzC,OAAOgwC,EAAQR,EAAkB+I,UAAUx9D,EAAI,CAC7CnuC,IAAKA,EACLqpG,WAAY98C,EAAMtP,MAClBsP,MAAOA,EAAMje,MACZq5D,IAGP,OAAOx5D,IAw+BXjoD,EAAQ0lH,QAr1BM,SAAiBC,EAAgBC,EAAgBC,GAC7D,OAAO,SAAU59D,GACf,IACIhiD,EAAU1E,OAAO0G,OADA,CAAE69G,UAAU,EAAOnrE,UAAW,GACPkrE,GACxCx/C,EAAQ+6C,EAAUn5D,EAAGD,WACzB,IAAKqe,EACH,OAAOpe,EAGT,IACI89D,EADwB5B,GAAuBwB,EAAvBxB,CAAuCl8D,GACtB27D,QAGzCoC,EADyB7B,GAAuByB,EAAvBzB,CAAuCl8D,GACtB27D,QAE9C,GAAImC,EAAiBl9G,QAAQ+8G,IAAmB,EAC9C,OAAO39D,GAGJhiD,EAAQ6/G,UAAYE,EAAiB5jH,OAAS,GACjDg+G,EAAsBuF,EAAgBC,EAAgBI,EAAkB,OAG1E,IAAIC,EAp2BW,SAAsB5/C,EAAO05C,EAAeC,EAAerlE,GAC5E,IAAIukE,EAAOF,EAA8B34C,EAAMje,MAI/C,OAFA82D,EAAOY,EAAqBZ,EAAMa,EAAeC,EAAerlE,GAEzD8kE,EAA8Bp5C,EAAMje,KAAM82D,GA+1BhCgH,CAAa7/C,EAAO0/C,EAAkBC,EAAkB//G,EAAQ00C,WAE/E,OAAOuiE,EAAQj1D,GAAImC,YAAYic,EAAMljD,IAAKkjD,EAAMljD,IAAMkjD,EAAMje,KAAKqD,SAAUw6D,KA6zB/EjmH,EAAQmmH,WAzrBS,SAAoBC,EAAmBC,EAAmBR,GACzE,OAAO,SAAU59D,GACf,IACIhiD,EAAU1E,OAAO0G,OADA,CAAE69G,UAAU,EAAOnrE,UAAW,GACPkrE,GACxCx/C,EAAQ+6C,EAAUn5D,EAAGD,WACzB,IAAKqe,EACH,OAAOpe,EAGT,IACIq+D,EADwB9C,GAA0B4C,EAA1B5C,CAA6Cv7D,GACzB27D,QAG5C2C,EADyB/C,GAA0B6C,EAA1B7C,CAA6Cv7D,GACzB27D,QAEjD,GAAI0C,EAAoBz9G,QAAQw9G,IAAsB,EACpD,OAAOp+D,GAGJhiD,EAAQ6/G,UAAYS,EAAoBnkH,OAAS,GACpDg+G,EAAsBgG,EAAmBC,EAAmBE,EAAqB,UAGnF,IAAIN,EA1gCc,SAAyB5/C,EAAO05C,EAAeC,EAAerlE,GAClF,IAAIukE,EAAOJ,EAAUE,EAA8B34C,EAAMje,OAKzD,OAHA82D,EAAOY,EAAqBZ,EAAMa,EAAeC,EAAerlE,GAChEukE,EAAOJ,EAAUI,GAEVO,EAA8Bp5C,EAAMje,KAAM82D,GAogChCsH,CAAgBngD,EAAOigD,EAAqBC,EAAqBtgH,EAAQ00C,WAExF,OAAOuiE,EAAQj1D,GAAImC,YAAYic,EAAMljD,IAAKkjD,EAAMljD,IAAMkjD,EAAMje,KAAKqD,SAAUw6D,KAiqB/EjmH,EAAQymH,SA/oBO,SAAkBtH,EAAUuH,GACzC,OAAO,SAAUz+D,GACf,IAAIoe,EAAQ+6C,EAAUn5D,EAAGD,WACzB,GAAIqe,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAC3Cu+D,EAAgBxH,EAAW,EAE/B,GAAIuH,GAAoBC,GAAiB,EACvC,OAAOzJ,EAAQkF,EAAWuE,EAAXvE,CAA0Bn6D,IAG3C,GAAIk3D,GAAY,GAAKA,GAAYrlG,EAAI80D,OACnC,OAAOsuC,EAAQR,EAAkBkK,OAAO3+D,EAAI,CAC1CnuC,IAAKA,EACLqpG,WAAY98C,EAAMtP,MAClBsP,MAAOA,EAAMje,MACZ+2D,IAGP,OAAOl3D,IA6nBXjoD,EAAQoiH,WAAaA,EACrBpiH,EAAQgjH,eAAiBA,EACzBhjH,EAAQojH,YAAcA,EACtBpjH,EAAQijH,YAAcA,EACtBjjH,EAAQ6mH,sBApeoB,SAA+B5+D,GACzD,IAAID,EAAYC,EAAGD,UAEnB,GAAIu5D,EAAgBv5D,GAClB,OAAOi7D,EAAYh7D,GAErB,GAAIo5D,EAAgBr5D,GAAY,CAC9B,IAAIqe,EAAQ+6C,EAAUp5D,GACtB,GAAIqe,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAC3CwlB,EAAO9zD,EAAI8kG,YAAY52D,EAAUw2D,YAAYr7F,IAAMkjD,EAAMtP,MAAO/O,EAAU62D,UAAU17F,IAAMkjD,EAAMtP,OAEpG,GAAiB,GAAb6W,EAAKK,MAAaL,EAAKM,OAASp0D,EAAIozD,MACtC,OAAO,EAST,IANA,IAAI45C,EAAcvlH,OAAO0G,OAAO,GAAI2lE,EAAM,CACxC9zD,IAAKA,EACLusD,MAAOA,EAAMje,KACb+6D,WAAY98C,EAAMtP,QAGX70D,EAAI4kH,EAAY54C,MAAQ,EAC/BwuC,EAAkBwG,aAAaj7D,EAAI6+D,EAAa5kH,GAC5CA,IAAM4kH,EAAY74C,KAFa/rE,IAKnC4kH,EAAYzgD,MAAQygD,EAAY3D,WAAal7D,EAAGY,IAAIkU,OAAO+pD,EAAY3D,WAAa,GAAKl7D,EAAGY,IAC5Fi+D,EAAYhtG,IAAM4iG,EAAkB6B,SAAS15G,IAAIiiH,EAAYzgD,OAE/D,OAAO62C,EAAQj1D,IAGnB,OAAOA,GAocTjoD,EAAQ+mH,mBAzbiB,SAA4B9+D,GACnD,IAAID,EAAYC,EAAGD,UAEnB,GAAIu5D,EAAgBv5D,GAClB,OAAOi7D,EAAYh7D,GAErB,GAAIo5D,EAAgBr5D,GAAY,CAC9B,IAAIqe,EAAQ+6C,EAAUp5D,GACtB,GAAIqe,EAAO,CACT,IAAIvsD,EAAM4iG,EAAkB6B,SAAS15G,IAAIwhE,EAAMje,MAC3CwlB,EAAO9zD,EAAI8kG,YAAY52D,EAAUw2D,YAAYr7F,IAAMkjD,EAAMtP,MAAO/O,EAAU62D,UAAU17F,IAAMkjD,EAAMtP,OAEpG,GAAgB,GAAZ6W,EAAK9F,KAAY8F,EAAKI,QAAUl0D,EAAI80D,OACtC,OAAO,EAST,IANA,IAAIk4C,EAAcvlH,OAAO0G,OAAO,GAAI2lE,EAAM,CACxC9zD,IAAKA,EACLusD,MAAOA,EAAMje,KACb+6D,WAAY98C,EAAMtP,QAGX70D,EAAI4kH,EAAY94C,OAAS,EAChC0uC,EAAkB2G,UAAUp7D,EAAI6+D,EAAa5kH,GACzCA,IAAM4kH,EAAYh/C,IAFc5lE,IAKpC4kH,EAAYzgD,MAAQygD,EAAY3D,WAAal7D,EAAGY,IAAIkU,OAAO+pD,EAAY3D,WAAa,GAAKl7D,EAAGY,IAC5Fi+D,EAAYhtG,IAAM4iG,EAAkB6B,SAAS15G,IAAIiiH,EAAYzgD,OAG/D,OAAO62C,EAAQj1D,IAGnB,OAAOA,GAwZTjoD,EAAQgnH,yBA7YuB,SAAkCv+D,GAC/D,OAAO,SAAUR,GACf,IAAI2lB,EAAO01C,EAAyB76D,GACpC,OAAImlB,EACKo1C,EAAep1C,EAAKK,KAApB+0C,CAA0B7F,EAAiB10D,EAAKtlC,IAAtBg6F,CAA2Bl1D,IAEvDA,IAwYXjoD,EAAQinH,sBA5XoB,SAA+Bx+D,GACzD,OAAO,SAAUR,GACf,IAAI2lB,EAAO01C,EAAyB76D,GACpC,OAAImlB,EACKw1C,EAAYx1C,EAAK9F,IAAjBs7C,CAAsBjG,EAAiB10D,EAAKtlC,IAAtBg6F,CAA2Bl1D,IAEnDA,IAuXXjoD,EAAQknH,oBA1WkB,SAA6BzF,EAAa0F,EAAeC,GACjF,OAAO,SAAUn/D,GACf,IAAIw2D,EAAQ+C,EAAiBC,EAAjBD,CAA8Bv5D,EAAGD,WAC7C,GAAIy2D,EAAO,CACT,IAAK,IAAIv8G,EAAIu8G,EAAMr8G,OAAS,EAAGF,GAAK,EAAGA,IACrC+lD,EAAKk/D,EAAc1I,EAAMv8G,GAAI+lD,GAE/B,GAAIm/D,EAAqB,CACvB,IAAI3+D,EAAOR,EAAGY,IAAIviC,QAAQ2hC,EAAG8C,QAAQjxC,IAAI2kG,EAAMA,EAAMr8G,OAAS,GAAG+gB,MACjE8kC,EAAGqC,aAAakyD,EAAiBptD,UAAU7E,KAAK9B,IAElD,OAAOy0D,EAAQj1D,GAEjB,OAAOA,IA8VXjoD,EAAQqnH,iBAjVe,SAA0BlI,EAAUgI,EAAeC,GACxE,OAAO,SAAUn/D,GACf,IAAIw2D,EAAQkD,EAAcxC,EAAdwC,CAAwB15D,EAAGD,WACvC,GAAIy2D,EAAO,CACT,IAAK,IAAIv8G,EAAIu8G,EAAMr8G,OAAS,EAAGF,GAAK,EAAGA,IACrC+lD,EAAKk/D,EAAc1I,EAAMv8G,GAAI+lD,GAE/B,GAAIm/D,EAAqB,CACvB,IAAI3+D,EAAOR,EAAGY,IAAIviC,QAAQ2hC,EAAG8C,QAAQjxC,IAAI2kG,EAAMA,EAAMr8G,OAAS,GAAG+gB,MACjE8kC,EAAGqC,aAAakyD,EAAiBptD,UAAU7E,KAAK9B,KAGpD,OAAOR,IAsUXjoD,EAAQ+iH,aAAeA,EACvB/iH,EAAQsnH,YAtSU,SAAqB5zD,GAcrC,IAbA,IAAI6zD,EAAYvjH,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAChFwjH,EAAYxjH,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAChFyjH,IAAgBzjH,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,KAAmBA,UAAU,GAC/E4wF,EAAc5wF,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAElF0jH,EAAkBzJ,EAAevqD,GACjCi0D,EAAYD,EAAgBnI,KAC5BqI,EAAcF,EAAgBG,YAC9BC,EAAWJ,EAAgB9H,IAC3Bv5C,EAAQqhD,EAAgBrhD,MAExBo4C,EAAQ,GACRsJ,EAAc,GACT7lH,EAAI,EAAGA,EAAIslH,EAAWtlH,IAC7Bu8G,EAAMjmG,KAAK4lG,EAAWuJ,EAAW/yB,IAE7B6yB,GACFM,EAAYvvG,KAAK4lG,EAAWwJ,EAAahzB,IAK7C,IADA,IAAIsqB,EAAO,GACF8I,EAAM,EAAGA,EAAMT,EAAWS,IACjC9I,EAAK1mG,KAAKsvG,EAAS3lD,cAAc,KAAMslD,GAAyB,IAARO,EAAYD,EAActJ,IAGpF,OAAOp4C,EAAMlE,cAAc,KAAM+8C,IA4QnCl/G,EAAQ8hH,qBAAuBA,EAC/B9hH,EAAQsjH,yBAA2BA,EACnCtjH,EAAQwjH,0BAA4BA,GACpCxjH,EAAQmkH,uBAAyBA,GACjCnkH,EAAQioH,uBAnsEqB,SAAgC37D,GAC3D,OAAO,SAAUrE,GACf,IAAI77C,EAASwwG,EAAqBtwD,EAArBswD,CAA+B30D,EAAGD,WAC/C,OAAI57C,EACK4xG,EAAgB5xG,EAAO+W,IAAvB66F,CAA4B/1D,GAE9BA,IA8rEXjoD,EAAQ28G,wBAA0BA,EAClC38G,EAAQkoH,mBAvpEiB,SAA4BjgE,GACnD,GAAI+0D,EAAgB/0D,EAAGD,WAAY,CACjC,IAAI5yB,EAAO6yB,EAAGD,UAAUoB,MAAMjmC,IAC1B6d,EAAKinB,EAAGD,UAAUqB,IAAIlmC,IAC1B,OAAO+5F,EAAQj1D,EAAGuD,OAAOp2B,EAAM4L,IAEjC,OAAOinB,GAkpETjoD,EAAQ+8G,oBAAsBA,EAC9B/8G,EAAQm9G,iBAAmBA,EAC3Bn9G,EAAQu9G,WAAaA,EACrBv9G,EAAQmoH,oBA7gEkB,SAA6B77D,EAAUxjD,EAAMyjD,EAAOiB,GAC5E,OAAO,SAAUvF,GACf,IAAI77C,EAASwwG,EAAqBtwD,EAArBswD,CAA+B30D,EAAGD,WAC/C,OAAI57C,EACK8wG,EAAQj1D,EAAGgD,cAAc7+C,EAAO+W,IAAKra,EAAMvH,OAAO0G,OAAO,GAAImE,EAAOg8C,KAAKmE,MAAOA,GAAQiB,IAE1FvF,IAwgEXjoD,EAAQooH,uBA5/DqB,SAAgC97D,GAC3D,OAAO,SAAUrE,GACf,IAAK+0D,EAAgB/0D,EAAGD,WAAY,CAClC,IAAI57C,EAASwwG,EAAqBtwD,EAArBswD,CAA+B30D,EAAGD,WAC/C,GAAI57C,EACF,OAAO8wG,EAAQj1D,EAAGqC,aAAakyD,EAAiBjrD,cAAcpiD,OAAO84C,EAAGY,IAAKz8C,EAAO+W,OAGxF,OAAO8kC,IAq/DXjoD,EAAQqoH,iBAz+De,SAA0BpgE,GAC/C,IAAI1kC,EAAWu9F,EAAyB74D,EAAGD,WAC3C,MAAwB,iBAAbzkC,EACFy6F,EAAgBz6F,EAAhBy6F,CAA0B/1D,GAE5BA,I,qxBCnLT,SAASqgE,EAAWC,EAAUh8D,GAC5B,OAAO,SAASt+C,EAAO85C,GACrB,IAAIoB,EAAMl7C,EAAM+5C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVC,EAAQF,EAAMG,WAAWF,GAAMm/D,GAAS,EAAOC,EAAan/D,EAChE,IAAKA,EAAS,OAAO,EAErB,GAAIA,EAAMV,OAAS,GAAKQ,EAAMhB,KAAKkB,EAAMV,MAAQ,GAAG9/C,KAAKyiD,kBAAkBg9D,IAAiC,GAApBj/D,EAAMoR,WAAiB,CAE7G,GAAoC,GAAhCtR,EAAM1yC,MAAM4yC,EAAMV,MAAQ,GAAW,OAAO,EAChD,IAAI8/D,EAAUz6G,EAAM46C,IAAIviC,QAAQgjC,EAAMyN,MAAQ,GAC9C0xD,EAAa,IAAI,YAAUC,EAASA,EAASp/D,EAAMV,OAC/CU,EAAMqR,SAAWrR,EAAMl9C,OAAO48C,aAC9BM,EAAQ,IAAI,YAAUF,EAAOn7C,EAAM46C,IAAIviC,QAAQ+iC,EAAI0C,IAAIzC,EAAMV,QAASU,EAAMV,QAChF4/D,GAAS,EAEX,IAAIx8D,EAAO,YAAay8D,EAAYF,EAAUh8D,EAAOjD,GACrD,QAAK0C,IACDjE,GAAYA,EAKpB,SAAsBE,EAAIqB,EAAOu7B,EAAU8jC,EAAYJ,GAErD,IADA,IAAIx3G,EAAU,WAASquB,MACdl9B,EAAI2iF,EAASziF,OAAS,EAAGF,GAAK,EAAGA,IACtC6O,EAAU,WAASqkB,KAAKyvD,EAAS3iF,GAAG4G,KAAKqG,OAAO01E,EAAS3iF,GAAGqqD,MAAOx7C,IAEvEk3C,EAAGtlC,KAAK,IAAI,IAAkB2mC,EAAMyN,OAAS4xD,EAAa,EAAI,GAAIr/D,EAAMyC,IAAKzC,EAAMyN,MAAOzN,EAAMyC,IAClE,IAAI,QAAMh7C,EAAS,EAAG,GAAI8zE,EAASziF,QAAQ,IAGzE,IADA,IAAIguD,EAAQ,EACHvC,EAAM,EAAGA,EAAMg3B,EAASziF,OAAQyrD,IAAag3B,EAASh3B,GAAK/kD,MAAQy/G,IAAYn4D,EAAQvC,EAAM,GAItG,IAHA,IAAI+6D,EAAa/jC,EAASziF,OAASguD,EAE/By4D,EAAWv/D,EAAMyN,MAAQ8tB,EAASziF,QAAUumH,EAAa,EAAI,GAAIv8G,EAASk9C,EAAMl9C,OAC3EizD,EAAM/V,EAAMoR,WAAY9jD,EAAI0yC,EAAMqR,SAAUv3C,GAAQ,EAAMi8C,EAAMzoD,EAAGyoD,IAAOj8C,GAAQ,GACpFA,GAAS,YAAS6kC,EAAGY,IAAKggE,EAAUD,KACvC3gE,EAAGr+C,MAAMi/G,EAAUD,GACnBC,GAAY,EAAID,GAElBC,GAAYz8G,EAAO4lD,MAAMqN,GAAK5T,SAEhC,OAAOxD,EAzBoB6gE,CAAa76G,EAAMg6C,GAAIqB,EAAO0C,EAAMw8D,EAAQD,GAAUrgE,mBACxE,IA8BX,SAAS6gE,EAAcC,GACrB,OAAO,SAAS/6G,EAAO85C,GACrB,IAAIoB,EAAMl7C,EAAM+5C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVjB,EAAOe,EAAIf,KACf,GAAKA,GAAQA,EAAKqC,SAAYrB,EAAMR,MAAQ,IAAMQ,EAAMW,WAAWV,GAAQ,OAAO,EAClF,IAAI4/D,EAAc7/D,EAAMhB,MAAM,GAC9B,GAAI6gE,EAAYngH,MAAQkgH,EAAY,OAAO,EAC3C,GAAiC,GAA7B5/D,EAAMh9C,OAAO2E,QAAQyS,KAAW,CAIlC,GAAmB,GAAf4lC,EAAMR,OAAcQ,EAAMhB,MAAM,GAAGt/C,MAAQkgH,GAC3C5/D,EAAM1yC,OAAO,IAAM0yC,EAAMhB,MAAM,GAAGY,WAAa,EAAK,OAAO,EAC/D,GAAIjB,EAAU,CAIZ,IAHA,IAAIiE,EAAO,WAAS5sB,MAAO8pF,EAAW9/D,EAAM1yC,OAAO,GAAK,EAG/C+zB,EAAI2e,EAAMR,OAASsgE,EAAW,EAAI,GAAIz+E,GAAK2e,EAAMR,MAAQ,EAAGne,IACjEuhB,EAAO,WAAS52B,KAAKg0B,EAAMhB,KAAK3d,GAAGwhB,KAAKD,IAE5CA,EAAOA,EAAK4K,OAAO,WAASxhC,KAAK4zF,EAAS3+D,kBAC1C,IAAIigD,EAAOr8F,EAAMg6C,GAAGzjD,QAAQ4kD,EAAMN,OAAOogE,EAAW,MAAQ,GAAI9/D,EAAMH,OAAO,GAAI,IAAI,QAAM+C,EAAMk9D,EAAW,EAAI,EAAG,IACnH5e,EAAKhgD,aAAar8C,EAAM+5C,UAAUvlD,YAAY8nD,KAAK+/C,EAAKzhD,IAAIviC,QAAQ8iC,EAAMjmC,KAAO+lG,EAAW,EAAI,MAChGnhE,EAASuiD,EAAKpiD,kBAEhB,OAAO,EAET,IAAIif,EAAW9d,EAAIlmC,KAAOimC,EAAM2C,MAAQk9D,EAAY/+D,eAAe,GAAG4T,YAAc,KAChF7V,EAAKh6C,EAAMg6C,GAAGuD,OAAOpC,EAAMjmC,IAAKkmC,EAAIlmC,KACpC0nC,EAAQsc,GAAY,CAAC,KAAM,CAACr+D,KAAMq+D,IACtC,QAAK,YAASlf,EAAGY,IAAKO,EAAMjmC,IAAK,EAAG0nC,KAChC9C,GAAYA,EAASE,EAAGr+C,MAAMw/C,EAAMjmC,IAAK,EAAG0nC,GAAO3C,mBAChD,IAOX,SAASihE,EAAaH,GACpB,OAAO,SAAS/6G,EAAO85C,GACrB,IAAIoB,EAAMl7C,EAAM+5C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVC,EAAQF,EAAMG,WAAWF,GAAK,SAAUjB,GAAQ,OAAOA,EAAKY,YAAcZ,EAAK5pC,WAAW1V,MAAQkgH,KACtG,QAAK1/D,KACAvB,IACDqB,EAAMhB,KAAKkB,EAAMV,MAAQ,GAAG9/C,MAAQkgH,EAO5C,SAAyB/6G,EAAO85C,EAAUihE,EAAU1/D,GAClD,IAAIrB,EAAKh6C,EAAMg6C,GAAI8D,EAAMzC,EAAMyC,IAAKq9D,EAAY9/D,EAAMD,IAAI0C,IAAIzC,EAAMV,OAChEmD,EAAMq9D,IAGRnhE,EAAGtlC,KAAK,IAAI,IAAkBopC,EAAM,EAAGq9D,EAAWr9D,EAAKq9D,EACzB,IAAI,QAAM,WAASh0F,KAAK4zF,EAAS75G,OAAO,KAAMm6C,EAAMl9C,OAAO6/C,SAAU,EAAG,GAAI,GAAG,IAC7G3C,EAAQ,IAAI,YAAUrB,EAAGY,IAAIviC,QAAQgjC,EAAMF,MAAMjmC,KAAM8kC,EAAGY,IAAIviC,QAAQ8iG,GAAY9/D,EAAMV,QAG1F,OADAb,EAASE,EAAGiB,KAAKI,EAAO,YAAWA,IAAQpB,mBACpC,EAhBMmhE,CAAgBp7G,EAAO85C,EAAUihE,EAAU1/D,GAmB1D,SAAuBr7C,EAAO85C,EAAUuB,GAGtC,IAFA,IAAIrB,EAAKh6C,EAAMg6C,GAAIpuC,EAAOyvC,EAAMl9C,OAEvB+W,EAAMmmC,EAAMyC,IAAK7pD,EAAIonD,EAAMqR,SAAW,EAAG/jD,EAAI0yC,EAAMoR,WAAYx4D,EAAI0U,EAAG1U,IAC7EihB,GAAOtJ,EAAKm4C,MAAM9vD,GAAGupD,SACrBxD,EAAGuD,OAAOroC,EAAM,EAAGA,EAAM,GAE3B,IAAI62C,EAAS/R,EAAGY,IAAIviC,QAAQgjC,EAAMyN,OAAQh9C,EAAOigD,EAAO1O,UACpDiF,EAA8B,GAApBjH,EAAMoR,WAAiB/P,EAAQrB,EAAMqR,UAAY9gD,EAAKmvC,WAChE58C,EAAS4tD,EAAO5R,MAAM,GAAIkhE,EAActvD,EAAOtjD,OAAO,GAC1D,IAAKtK,EAAO4+C,WAAWs+D,GAAe/4D,EAAU,EAAI,GAAI+4D,EAAc,EAC/CvvG,EAAKhJ,QAAQ6lD,OAAOjM,EAAQ,WAASvrB,MAAQ,WAAShK,KAAKvb,KAC9E,OAAO,EACX,IAAIk9C,EAAQiD,EAAO72C,IAAK4oC,EAAMgL,EAAQh9C,EAAK0xC,SAS3C,OALAxD,EAAGtlC,KAAK,IAAI,IAAkBo0C,GAASxG,EAAU,EAAI,GAAIxE,GAAOpB,EAAQ,EAAI,GAAIoM,EAAQ,EAAGhL,EAAM,EACnE,IAAI,SAAOwE,EAAU,WAASnxB,MAAQ,WAAShK,KAAKvb,EAAKoyC,KAAK,WAAS7sB,SAC5Dw3B,OAAOjM,EAAQ,WAASvrB,MAAQ,WAAShK,KAAKvb,EAAKoyC,KAAK,WAAS7sB,SAClEmxB,EAAU,EAAI,EAAG5F,EAAQ,EAAI,GAAI4F,EAAU,EAAI,IACvFxI,EAASE,EAAGC,mBACL,EAvCMqhE,CAAct7G,EAAO85C,EAAUuB,MA6C9C,SAASkgE,EAAaR,GACpB,OAAO,SAAS/6G,EAAO85C,GACrB,IAAIoB,EAAMl7C,EAAM+5C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVC,EAAQF,EAAMG,WAAWF,GAAK,SAAUjB,GAAQ,OAAOA,EAAKY,YAAcZ,EAAK5pC,WAAW1V,MAAQkgH,KACtG,IAAK1/D,EAAS,OAAO,EACrB,IAAIoR,EAAapR,EAAMoR,WACvB,GAAkB,GAAdA,EAAmB,OAAO,EAC9B,IAAItuD,EAASk9C,EAAMl9C,OAAQi/C,EAAaj/C,EAAO4lD,MAAM0I,EAAa,GAClE,GAAIrP,EAAWviD,MAAQkgH,EAAY,OAAO,EAE1C,GAAIjhE,EAAU,CACZ,IAAI0hE,EAAep+D,EAAW/C,WAAa+C,EAAW/C,UAAUx/C,MAAQsD,EAAOtD,KAC3EmnD,EAAQ,WAAS76B,KAAKq0F,EAAeT,EAAS75G,SAAW,MACzDjC,EAAQ,IAAI,QAAM,WAASkoB,KAAK4zF,EAAS75G,OAAO,KAAM,WAASimB,KAAKhpB,EAAOtD,KAAKqG,OAAO,KAAM8gD,MAC3Ew5D,EAAe,EAAI,EAAG,GACxC3gE,EAASQ,EAAMyN,MAAO9N,EAAQK,EAAMyC,IACxChE,EAAS95C,EAAMg6C,GAAGtlC,KAAK,IAAI,IAAkBmmC,GAAU2gE,EAAe,EAAI,GAAIxgE,EACjCH,EAAQG,EAAO/7C,EAAO,GAAG,IAC5Dg7C,kBAEZ,OAAO,G;;;;;;AC3NX,SAAS2G,IACP,IAAIxmD,EAAOrE,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAC/E,OAAO,SAAUiK,EAAO85C,GACtB,IACI5kC,EADQlV,EAAM+5C,UAAUoB,MACZjmC,IAAIA,IAEpB,OADA4kC,EAAS95C,EAAMg6C,GAAG4G,WAAWxmD,EAAM8a,KAC5B,GAIX,SAASuvD,EAAmBh4C,GAC1B,OAGF,SAA4BA,GAC1B,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOi4C,EAAkBj4C,GAJ1Ck4C,CAAmBl4C,IAO5B,SAA0Bm4C,GACxB,GAAsB,oBAAXnyE,QAA0BA,OAAO+hB,YAAYlhB,OAAOsxE,GAAO,OAAOt6D,MAAM6c,KAAKy9C,GARtDC,CAAiBp4C,IAWrD,SAAqC8N,EAAGwqC,GACtC,IAAKxqC,EAAG,OACR,GAAiB,iBAANA,EAAgB,OAAOmqC,EAAkBnqC,EAAGwqC,GACvD,IAAIlyD,EAAIvf,OAAOC,UAAUF,SAASK,KAAK6mC,GAAGt7B,MAAM,GAAI,GAC1C,WAAN4T,GAAkB0nB,EAAE/lC,cAAaqe,EAAI0nB,EAAE/lC,YAAY5B,MACvD,GAAU,QAANigB,GAAqB,QAANA,EAAa,OAAOvI,MAAM6c,KAAKtU,GAClD,GAAU,cAANA,GAAqB,2CAA2C1L,KAAK0L,GAAI,OAAO6xD,EAAkBnqC,EAAGwqC,GAjB9CR,CAA4B93C,IA4BzF,WACE,MAAM,IAAIj2B,UAAU,wIA7B2EsuE,GAoBjG,SAASJ,EAAkBj4C,EAAK5iB,IACnB,MAAPA,GAAeA,EAAM4iB,EAAIt4B,UAAQ0V,EAAM4iB,EAAIt4B,QAE/C,IAAK,IAAIF,EAAI,EAAG+wE,EAAO,IAAI16D,MAAMT,GAAM5V,EAAI4V,EAAK5V,IAAK+wE,EAAK/wE,GAAKw4B,EAAIx4B,GAEnE,OAAO+wE,EAqBT,SAASy2C,EAAe73F,EAAQi7B,EAAUoX,GACxC,OAAO,IAAI,IAAUryC,GAAQ,SAAU5jB,EAAOkI,EAAO4gD,EAAOhL,GAC1D,IAAIQ,EAAQ2X,aAAoB9iE,SAAW8iE,EAAS/tD,GAAS+tD,EACzDjc,EAAKh6C,EAAMg6C,GACXh8B,EAAI9V,EAAM/T,OAAS,EACnBunH,EAAU59D,EACV69D,EAAY7yD,EAEhB,GAAI5gD,EAAM8V,GAAI,CACZ,IAAI49F,EAAa9yD,EAAQ5gD,EAAM,GAAGtN,QAAQsN,EAAM8V,EAAI,IAChD69F,EAAWD,EAAa1zG,EAAM8V,EAAI,GAAG7pB,OAAS,EAC9C2nH,EAAYF,EAAa1zG,EAAM8V,EAAI,GAAGq/C,YAAYn1D,EAAM8V,IACxD+9F,EAAUD,EAAY5zG,EAAM8V,GAAG7pB,OAUnC,GApCN,SAAyB20D,EAAOhL,EAAK99C,GACnC,IAAIu/C,EAAQ,GAUZ,OATAv/C,EAAM46C,IAAI8D,aAAaoK,EAAOhL,GAAK,SAAU3D,EAAMjlC,GACjDqqC,EAAQ,GAAGvgD,OAAOylE,EAAmBllB,GAAQklB,EAAmBtqB,EAAKoF,MAAM1zC,KAAI,SAAUo5C,GACvF,MAAO,CACL6D,MAAO5zC,EACP4oC,IAAK5oC,EAAMilC,EAAKqD,SAChByH,KAAMA,WAIL1F,EAgBiBy8D,CAAgBlzD,EAAOhL,EAAK99C,GAAO6P,QAAO,SAAU/D,GAEtE,OADeA,EAAKm5C,KAAKpqD,KAAK25D,SACd3gD,MAAK,SAAUhZ,GAC7B,OAAOA,EAAKjI,OAASisD,EAASjsD,WAE/Bid,QAAO,SAAU/D,GAClB,OAAOA,EAAKgyC,IAAM89D,KAGFznH,OAChB,OAAO,EAGL4nH,EAAUF,GACZ7hE,EAAGuD,OAAOw+D,EAASF,GAGjBC,EAAYF,GACd5hE,EAAGuD,OAAOq+D,EAAYE,GAIxBJ,GADAC,EAAYC,GACU1zG,EAAM8V,GAAG7pB,OAKjC,OAFA6lD,EAAGiG,QAAQ07D,EAAWD,EAAS78D,EAAS39C,OAAOo9C,IAC/CtE,EAAGwF,iBAAiBX,GACb7E,KAIX,SAASiiE,EAAer4F,EAAQ/oB,EAAMo7D,GACpC,OAAO,IAAI,IAAUryC,GAAQ,SAAU5jB,EAAOkI,EAAO4gD,EAAOhL,GAC1D,IAAIQ,EAAQ2X,aAAoB9iE,SAAW8iE,EAAS/tD,GAAS+tD,EACzDjc,EAAKh6C,EAAMg6C,GAMf,OAJI9xC,EAAM,IACR8xC,EAAGmC,YAAY2M,EAAQ,EAAGhL,EAAKjjD,EAAKqG,OAAOo9C,IAGtCtE,KAIX,SAASkiE,EAAWt4F,EAAQ/oB,EAAMo7D,GAoChC,OAAO,IAAI,SAAO,CAChB/oC,MAAO,CACLivF,gBAAiB,SAAyBl9G,GACxC,OAAO,IAAI,QAtCH,SAAS/C,EAAQ4wD,GAC7B,IAAIjC,EAAQ,GA+BZ,OA9BAiC,EAASh5D,SAAQ,SAAUiwD,GACzB,GAAIA,EAAMJ,OAAQ,CAChB,IAEIz7C,EAFA9N,EAAO2pD,EAAM3pD,KACb8a,EAAM,EAGV,GAGE,GAFAhN,EAAQ0b,EAAO5xB,KAAKoI,GAET,CACT,IAAI0uD,EAAQ5gD,EAAMO,MACdq1C,EAAMgL,EAAQ5gD,EAAM,GAAG/T,OACvBmqD,EAAQ2X,aAAoB9iE,SAAW8iE,EAAS/tD,EAAM,IAAM+tD,EAE5DnN,EAAQ,GACV+B,EAAMtgD,KAAKw5C,EAAMwF,IAAIr0C,EAAK4zC,IAG5B+B,EAAMtgD,KAAKw5C,EAAMwF,IAAIT,EAAOhL,GAAKmH,KAAKpqD,EAAKqG,OAAOo9C,GAAO4G,SAASnB,EAAMxE,SACxErqC,EAAM4oC,SAED51C,GAELgN,EAAM9a,EAAKjG,QACb02D,EAAMtgD,KAAKw5C,EAAMwF,IAAIr0C,SAGvB21C,EAAMtgD,KAAKw5C,EAAM/F,KAAK9hD,EAAQ6nD,EAAMjhD,cAGjC,WAAS6nD,UAAUE,GAML3uD,CAAQ+C,EAAM6D,SAAU7D,EAAMusD,UAAWvsD,EAAMuiD,aAMxE,SAAS46D,EAAex4F,EAAQ/oB,EAAMo7D,GA0CpC,OAAO,IAAI,SAAO,CAChB/oC,MAAO,CACLivF,gBAAiB,SAAyBl9G,GACxC,OAAO,IAAI,QA5CH,SAAS/C,EAAQ4wD,EAAU3uD,GACvC,IAAI0sD,EAAQ,GAqCZ,OApCAiC,EAASh5D,SAAQ,SAAUiwD,GACzB,GAAIA,EAAMJ,OAAQ,CAShB,IARA,IAGIz7C,EAHA9N,EAAO2pD,EAAM3pD,KAEb8a,EAAM,EAENmnG,IAHQt4D,EAAMxE,MAGG1vC,QAAO,SAAUwqB,GACpC,MAAuB,SAAhBA,EAAEx/B,KAAKjI,QACb,IAEKypH,GAA0C,QAA/Bn0G,EAAQ0b,EAAO5xB,KAAKoI,KACrC,GAAI+D,EAAOtD,KAAKokD,eAAepkD,IAASqN,EAAM,GAAI,CAChD,IAAI4gD,EAAQ5gD,EAAMO,MACdq1C,EAAMgL,EAAQ5gD,EAAM,GAAG/T,OACvB2nH,EAAYhzD,EAAQ5gD,EAAM,GAAGtN,QAAQsN,EAAM,IAC3C6zG,EAAUD,EAAY5zG,EAAM,GAAG/T,OAC/BmqD,EAAQ2X,aAAoB9iE,SAAW8iE,EAAS/tD,GAAS+tD,EAEzDnN,EAAQ,GACV+B,EAAMtgD,KAAKw5C,EAAMwF,IAAIr0C,EAAK4zC,IAI5B+B,EAAMtgD,KAAKw5C,EAAMwF,IAAIuyD,EAAWC,GAAS92D,KAAKpqD,EAAKqG,OAAOo9C,GAAO4G,SAASnB,EAAMxE,SAChFrqC,EAAM4oC,EAKN5oC,EAAM9a,EAAKjG,QACb02D,EAAMtgD,KAAKw5C,EAAMwF,IAAIr0C,SAGvB21C,EAAMtgD,KAAKw5C,EAAM/F,KAAK9hD,EAAQ6nD,EAAMjhD,QAASihD,QAG1C,WAAS4G,UAAUE,GAML3uD,CAAQ+C,EAAM6D,SAAU7D,EAAMusD,UAAWvsD,EAAMuiD,aAMxE,SAASxB,EAAYnlD,GACnB,OAAO,SAAUmF,EAAO85C,GACtB,IAAIE,EAAKh6C,EAAMg6C,GACXD,EAAY/5C,EAAM+5C,UAClB5yB,EAAO4yB,EAAU5yB,KACjB4L,EAAKgnB,EAAUhnB,GACfooB,EAAQpB,EAAUoB,MAGtB,GAFYpB,EAAU5oB,MAEX,CACT,IAAIkqB,EAAQ,YAAaF,EAAOtgD,GAChCssB,EAAOk0B,EAAMl0B,KACb4L,EAAKsoB,EAAMtoB,GAIb,OADAinB,EAAGgG,WAAW74B,EAAM4L,EAAIl4B,GACjBi/C,EAASE,IAIpB,SAASrqC,IACP,IAAI0rC,EAAQtlD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAC5E8E,EAAO9E,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EAC7C0lD,EAAQvoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAO,SAAUiK,EAAO85C,GACtB,IAAIs3B,EAAmBpxE,EAAM+5C,UACzBoB,EAAQi2B,EAAiBj2B,MACzBC,EAAMg2B,EAAiBh2B,IACvB3yC,EAAQ0yC,EAAM1yC,QACd0e,EAAOk0B,EAAQA,EAAMl0B,KAAOg0B,EAAMjmC,IAClC6d,EAAKsoB,EAAQA,EAAMtoB,GAAKqoB,EAAIlmC,IAEhC,QAAKimC,EAAMh9C,OAAO+9C,eAAezzC,EAAOA,EAAO5N,KAI3Ci/C,GACFA,EAAS95C,EAAMg6C,GAAGmC,YAAYh1B,EAAM4L,EAAIl4B,EAAKqG,OAAOo9C,MAG/C,IA2DX,SAASg+D,EAAuBvB,GAC9B,OAAO,SAAU/6G,EAAO85C,GACtB,IAAIs3B,EAAmBpxE,EAAM+5C,UACzBoB,EAAQi2B,EAAiBj2B,MACzBC,EAAMg2B,EAAiBh2B,IACvBjB,EAAOi3B,EAAiBj3B,KAC5B,GAAIA,GAAQA,EAAKqC,SAAWrB,EAAMR,MAAQ,IAAMQ,EAAMW,WAAWV,GAAM,OAAO,EAC9E,IAAI4/D,EAAc7/D,EAAMhB,MAAM,GAC9B,GAAI6gE,EAAYngH,MAAQkgH,EAAU,OAAO,EAEzC,GAAiC,GAA7B5/D,EAAMh9C,OAAO2E,QAAQyS,KAAW,CAIlC,GAAmB,GAAf4lC,EAAMR,OAAcQ,EAAMhB,MAAM,GAAGt/C,MAAQkgH,GAAY5/D,EAAM1yC,OAAO,IAAM0yC,EAAMhB,MAAM,GAAGY,WAAa,EAAG,OAAO,EAEpH,GAAIjB,EAAU,CAKZ,IAJA,IAAIiE,EAAO,WAAS5sB,MAChB8pF,EAAW9/D,EAAM1yC,OAAO,GAAK,EAGxB+zB,EAAI2e,EAAMR,OAASsgE,EAAW,EAAI,GAAIz+E,GAAK2e,EAAMR,MAAQ,EAAGne,IACnEuhB,EAAO,WAAS52B,KAAKg0B,EAAMhB,KAAK3d,GAAGwhB,KAAKD,IAI1CA,EAAOA,EAAK4K,OAAO,WAASxhC,KAAK4zF,EAAS3+D,kBAE1C,IAAImgE,EAAMv8G,EAAMg6C,GAAGzjD,QAAQ4kD,EAAMN,OAAOogE,EAAW,MAAQ,GAAI9/D,EAAMH,OAAO,GAAI,IAAI,QAAM+C,EAAMk9D,EAAW,EAAI,EAAG,IAElHsB,EAAIlgE,aAAar8C,EAAM+5C,UAAUvlD,YAAY8nD,KAAKigE,EAAI3hE,IAAIviC,QAAQ8iC,EAAMjmC,KAAO+lG,EAAW,EAAI,MAE9FnhE,EAASyiE,EAAItiE,kBAGf,OAAO,EAGT,IAAIif,EAAW9d,EAAIlmC,KAAOimC,EAAM2C,MAAQk9D,EAAY/+D,eAAed,EAAMa,YAAY,IAAI6T,YAAc,KACnG7V,EAAKh6C,EAAMg6C,GAAGuD,OAAOpC,EAAMjmC,IAAKkmC,EAAIlmC,KAIpC0nC,EAAQsc,GAAY,CAAC,CACvBr+D,KAAMkgH,GACL,CACDlgH,KAAMq+D,IAOR,OALKtc,IAAOA,EAAQ,CAAC,CACnB/hD,KAAMkgH,GACL,SAlFP,SAAkBngE,EAAK1lC,GACrB,IAAIylC,EAAQ5kD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC5E4/E,EAAa5/E,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EACnD4hD,EAAOI,EAAIviC,QAAQnD,GACnBi3B,EAAOqO,EAAKG,MAAQA,EACpBi7B,EAAYD,GAAcA,EAAWA,EAAWxhF,OAAS,IAAMqmD,EAAKr8C,OACxE,GAAIguC,EAAO,GAAKqO,EAAKr8C,OAAOtD,KAAK4/C,KAAKC,YAAcF,EAAKr8C,OAAO4+C,WAAWvC,EAAK/xC,QAAS+xC,EAAKr8C,OAAO48C,cAAgB66B,EAAU/6E,KAAK+xD,aAAapS,EAAKr8C,OAAO2E,QAAQ0mD,WAAWhP,EAAK/xC,QAAS+xC,EAAKr8C,OAAO48C,aAAc,OAAO,EAE/N,IAAK,IAAIve,EAAIge,EAAKG,MAAQ,EAAG1mD,EAAI0mD,EAAQ,EAAGne,EAAI2P,EAAM3P,IAAKvoC,IAAK,CAC9D,IAAIkmD,EAAOK,EAAKL,KAAK3d,GAEjBggF,EAAShiE,EAAK/xC,MAAM+zB,GAExB,GAAI2d,EAAKt/C,KAAK4/C,KAAKC,UAAW,OAAO,EACrC,IAAIo7B,EAAO37B,EAAKr3C,QAAQ0mD,WAAWgzD,EAAQriE,EAAKY,YAC5CC,EAAQ26B,GAAcA,EAAW1hF,IAAMkmD,EAM3C,GALIa,GAASb,IAAM27B,EAAOA,EAAKrsB,aAAa,EAAGzO,EAAMngD,KAAKqG,OAAO85C,EAAMsD,UAKlEnE,EAAK4C,WAAWy/D,EAAS,EAAGriE,EAAKY,YAAa,OAAO,EAI5D,IAAItyC,EAAQ+xC,EAAKwB,WAAW7P,GACxB4pC,EAAWJ,GAAcA,EAAW,GACxC,OAAOn7B,EAAKL,KAAKhO,GAAM+P,eAAezzC,EAAOA,EAAOstE,EAAWA,EAASl7E,KAAO2/C,EAAKL,KAAKhO,EAAO,GAAGtxC,MA0D5F66E,CAAS17B,EAAGY,IAAKO,EAAMjmC,IAAK,EAAG0nC,KAChC9C,GAAUA,EAASE,EAAGr+C,MAAMw/C,EAAMjmC,IAAK,EAAG0nC,GAAO3C,mBAC9C,IAKX,SAASwiE,EAAiB5hH,EAAM6hH,GAC9B,IAAIp+D,EAAQvoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAO,SAAUiK,EAAO85C,EAAUsG,GAGhC,OAFe,YAAapgD,EAAOnF,EAAMyjD,GAGhC,YAAao+D,EAAb,CAAyB18G,EAAO85C,EAAUsG,GAG5C,YAAavlD,EAAMyjD,EAAnB,CAA0Bt+C,EAAO85C,EAAUsG,IAItD,SAASu8D,EAAOxiE,EAAMsL,GACpB,OAAOtL,EAAKt/C,OAAS4qD,EAAOoF,MAAM+xD,aAAeziE,EAAKt/C,OAAS4qD,EAAOoF,MAAMgyD,cAAgB1iE,EAAKt/C,OAAS4qD,EAAOoF,MAAMiyD,UAGzH,SAASC,EAAWzC,EAAUS,GAC5B,OAAO,SAAU/6G,EAAO85C,EAAUsG,GAChC,IAAIqF,EAASzlD,EAAMylD,OACf1L,EAAY/5C,EAAM+5C,UAClBoB,EAAQpB,EAAUoB,MAClBC,EAAMrB,EAAUqB,IAChBC,EAAQF,EAAMG,WAAWF,GAE7B,IAAKC,EACH,OAAO,EAGT,IAAI2hE,EAAa,0BAAe,SAAU7iE,GACxC,OAAOwiE,EAAOxiE,EAAMsL,KADL,CAEd1L,GAEH,GAAIsB,EAAMV,OAAS,GAAKqiE,GAAc3hE,EAAMV,MAAQqiE,EAAWriE,OAAS,EAAG,CACzE,GAAIqiE,EAAW7iE,KAAKt/C,OAASy/G,EAC3B,OAAOY,EAAaH,EAAbG,CAAuBl7G,EAAO85C,EAAUsG,GAGjD,GAAIu8D,EAAOK,EAAW7iE,KAAMsL,IAAW60D,EAAS1tD,aAAaowD,EAAW7iE,KAAKr3C,SAAU,CACrF,IAAIk3C,EAAKh6C,EAAMg6C,GAOf,OANAA,EAAGgD,cAAcggE,EAAW9nG,IAAKolG,GAE7BxgE,GACFA,EAASE,IAGJ,GAIX,OAAOqgE,EAAWC,EAAXD,CAAqBr6G,EAAO85C,EAAUsG,IAIjD,SAAS68D,EAAYpiH,GACnB,OAAO,SAAUmF,EAAO85C,EAAUsG,GAGhC,OAFe,YAAapgD,EAAOnF,GAG1B,YAAKmF,EAAO85C,GAGd,YAAOj/C,EAAP,CAAamF,EAAO85C,EAAUsG,IAIzC,SAAS88D,EAAYriH,EAAMyjD,GACzB,OAAO,SAAUt+C,EAAO85C,GACtB,IAAIE,EAAKh6C,EAAMg6C,GACXD,EAAY/5C,EAAM+5C,UAClBa,EAAM56C,EAAM46C,IACZzzB,EAAO4yB,EAAU5yB,KACjB4L,EAAKgnB,EAAUhnB,GACfooB,EAAQpB,EAAUoB,MAGtB,GAFYpB,EAAU5oB,MAEX,CACT,IAAIkqB,EAAQ,YAAaF,EAAOtgD,GAChCssB,EAAOk0B,EAAMl0B,KACb4L,EAAKsoB,EAAMtoB,GAUb,OAPc6nB,EAAI+E,aAAax4B,EAAM4L,EAAIl4B,IAGvCm/C,EAAGgG,WAAW74B,EAAM4L,EAAIl4B,GAG1Bm/C,EAAGiG,QAAQ94B,EAAM4L,EAAIl4B,EAAKqG,OAAOo9C,IAC1BxE,EAASE,M,8BC5dpB,qJAEImjE,EAAa,SAAoBzoG,EAAMs9D,EAAUioB,GACnDj+F,KAAK0Y,KAAOA,EACZ1Y,KAAKg2E,SAAWA,EAChBh2E,KAAKi+F,OAASA,GA0BhB,IAAImjB,EAAc,SAAqBxjH,EAASyjH,GAM9CrhH,KAAKpC,QAAUA,EAKfoC,KAAKqhH,YAAcA,GAYrB,IAAIC,EAAY,IAAI,YAAU,UAgB9B,SAASC,EAAO7iH,GAMd,YALgB,IAAXA,IAAoBA,EAAS,IAElCA,EAAS,CAACd,QAASc,EAAOd,SAAW,EAC3BquF,SAA6B,MAAnBvtF,EAAOutF,SAAmBn1F,KAAKyN,MAAsB,WAAhBzN,KAAK+R,UAAyBnK,EAAOutF,UAEvF,IAAI,SAAO,CAChB7zF,IAAKkpH,EAELt9G,MAAO,CACLiuB,KAAM,WAAc,OAAO,IAAImvF,EAAY1iH,EAAOd,QAAS,KAC3DmI,MAAO,SAAei4C,EAAIujE,GACxB,IAAIv2D,EAAWhN,EAAG2L,QAAQ23D,GAC1B,OAAIt2D,IAEAhN,EAAGq0B,WACI,IAAI+uC,EAAYG,EAAO3jH,QAAS2jH,EAAOF,YAAYr+G,OAzCtE,SAAyBq8E,GAEvB,IADA,IAAIxlF,EAAS,GACJ5B,EAAI,EAAGA,EAAIonF,EAAU35B,MAAMvtD,OAAQF,IACxC4B,EAAO0U,KAAK,IAAI4yG,EAAW9hC,EAAU35B,MAAMztD,GAClBonF,EAAU35B,MAAMztD,GAAG0+E,OAAO0I,EAAU3H,KAAKz/E,IACzConF,IAC7B,OAAOxlF,EAmCoE2nH,CAAgBxjE,KAC9EujE,KAIX7iH,OAAQA,EAGRulF,sBAAsB,IAkB1B,SAASw9B,EAAmBz9G,EAAO0hD,EAAOg8D,EAAW1lH,GAYnD,IANA,IAAI2lH,EAAcL,EAAUr1D,SAASjoD,GACjCpG,EAAU+jH,EAAY/jH,QAAU8nD,EAAMvtD,OACtCypH,EAAQN,EAAU1mH,IAAIoJ,GAAOy6C,KAAK//C,OAAOutF,SAGzC41B,EAAO,EACJA,EAAOH,EAAUvpH,QAAUupH,EAAUG,IAASD,KAAWC,EAChE,IAAIR,EAAcM,EAAYN,YAAYp+G,MAAM4+G,GAIhD,KAHAn8D,EAAQm8D,EAAOn8D,EAAMziD,MAAM4+G,GAAQn8D,GAGxBvtD,OACP,OAAO6L,EAAMg6C,GAAG0L,QAAQ43D,EAAW,IAAIF,EAAYxjH,EAASyjH,IAEhE,IAAIS,EAAeT,EAAYlpH,OAC3B6lD,EAAKh6C,EAAMg6C,GACf,GAAI8jE,EACFT,EA5HJ,SAAqB37D,EAAOq8D,EAAM1iC,GAChC,IAAK,IAAIpnF,EAAIytD,EAAMvtD,OAAS,EAAGF,GAAK,EAAGA,IAAOonF,EAAU3mE,KAAKgtC,EAAMztD,GAAG+9E,UACtE,IAAK,IAAIpyB,EAAM,EAAGA,EAAMm+D,EAAK5pH,OAAQyrD,IAASy7B,EAAU3mE,KAAKqpG,EAAKn+D,IAElE,IADA,IAAI/pD,EAAS,GACJu7D,EAAM,EAAG3P,EAAUC,EAAMvtD,OAAQi9D,EAAM1P,EAAMvtD,OAAQi9D,IAAO,CACnE,IAAIklB,EAAS50B,EAAM0P,GAAK18C,KAAK7I,IAAIwvE,EAAUv+B,QAAQ79C,MAAMwiD,IACzDA,IACI60B,IAAW+E,EAAUzH,UAAU0C,GAAQzC,SACzCwH,EAAUv+B,QAAQm2B,UAAUxxB,EAAS45B,EAAU35B,MAAMvtD,OAAS,GAC9D0B,EAAO0U,KAAK,IAAI4yG,EAAW7mC,EAAQA,EAAO3D,OAAO0I,EAAU3H,KAAK2H,EAAU3H,KAAKv/E,OAAS,IAAKutD,EAAM0P,GAAK6oC,UAG5G,OAAOpkG,EAgHSmoH,CAAYX,EAAa37D,EAAO1H,OACzC,CACL,IAAK,IAAI/lD,EAAI,EAAGA,EAAIytD,EAAMvtD,OAAQF,IAAO+lD,EAAGtlC,KAAKgtC,EAAMztD,IACvDopH,EAAc,GAGhB,IAAIY,EAAiB,IAAIb,EAAYxjH,EAASyjH,GAM9C,OALIrlH,GAAWA,EAAQkmH,sBAAwBl+G,EAAM+5C,qBAAqB,kBACxEC,EAAGqC,aAAa,gBAAcyG,QAAQ9I,EAAGY,IAAIviC,QAAQ2hC,EAAG8C,QAAQjxC,IAAI7L,EAAM+5C,UAAU5M,QAAS,IACvD6M,EAAGY,IAAIviC,QAAQ2hC,EAAG8C,QAAQjxC,IAAI7L,EAAM+5C,UAAUnsC,MAAO,KAAM,IACjGosC,EAAG0K,UAAW,GAET1K,EAAG0L,QAAQ,UAAWo4D,GAAcp4D,QAAQ,gBAAgB,GAAOA,QAAQ43D,EAAWW,GAa/F,SAASE,EAAcn+G,GACrB,IAAI29G,EAAcL,EAAUr1D,SAASjoD,GACrC,OAAsC,GAAlC29G,EAAYN,YAAYlpH,OAAsB,KAC3C,CACLyF,QAAS+jH,EAAY/jH,QACrB8nD,MAAOi8D,EAAYN,YAAYxxG,KAAI,SAAU2kB,GAAK,OAAOA,EAAE9b,QAC3DuzE,SAAUq1B,EAAU1mH,IAAIoJ,GAAOy6C,KAAK//C,OAAOutF,SAC3C,cAAgB,OAAOjsF,KAAKoiH,WAAapiH,KAAKoiH,SAAWT,EAAYN,YAAYxxG,KAAI,SAAU2kB,GAAK,OAAOA,EAAEypE,aAOjH,SAAS5sE,EAAWrtB,GAClB,OAAOs9G,EAAUr1D,SAASjoD,GAAOpG,U,eC/KnC9H,EAAOC,QAAQ,s2D,6BCuBf,SAASssH,IAUPriH,KAAKsiH,UAAY,GAOjBtiH,KAAKuiH,UAAY,KASnBF,EAAM9qH,UAAUirH,SAAW,SAAU5rH,GACnC,IAAK,IAAIqB,EAAI,EAAGA,EAAI+H,KAAKsiH,UAAUnqH,OAAQF,IACzC,GAAI+H,KAAKsiH,UAAUrqH,GAAGrB,OAASA,EAC7B,OAAOqB,EAGX,OAAQ,GAMVoqH,EAAM9qH,UAAUkrH,YAAc,WAC5B,IAAIvrH,EAAO8I,KACP0iH,EAAS,CAAE,IAGfxrH,EAAKorH,UAAUxqH,SAAQ,SAAUyhE,GAC1BA,EAAKopD,SAEVppD,EAAKmtB,IAAI5uF,SAAQ,SAAU8qH,GACrBF,EAAO9jH,QAAQgkH,GAAW,GAC5BF,EAAOn0G,KAAKq0G,SAKlB1rH,EAAKqrH,UAAY,GAEjBG,EAAO5qH,SAAQ,SAAUu1B,GACvBn2B,EAAKqrH,UAAUl1F,GAAS,GACxBn2B,EAAKorH,UAAUxqH,SAAQ,SAAUyhE,GAC1BA,EAAKopD,UAENt1F,GAASksC,EAAKmtB,IAAI9nF,QAAQyuB,GAAS,GAEvCn2B,EAAKqrH,UAAUl1F,GAAO9e,KAAKgrD,EAAKvhE,YA+BtCqqH,EAAM9qH,UAAUsrH,GAAK,SAAUjsH,EAAMoB,EAAIgE,GACvC,IAAIyQ,EAAQzM,KAAKwiH,SAAS5rH,GACtBksH,EAAM9mH,GAAW,GAErB,IAAe,IAAXyQ,EAAgB,MAAM,IAAIO,MAAM,0BAA4BpW,GAEhEoJ,KAAKsiH,UAAU71G,GAAOzU,GAAKA,EAC3BgI,KAAKsiH,UAAU71G,GAAOi6E,IAAMo8B,EAAIp8B,KAAO,GACvC1mF,KAAKuiH,UAAY,MA4BnBF,EAAM9qH,UAAUsnD,OAAS,SAAUkkE,EAAYC,EAAUhrH,EAAIgE,GAC3D,IAAIyQ,EAAQzM,KAAKwiH,SAASO,GACtBD,EAAM9mH,GAAW,GAErB,IAAe,IAAXyQ,EAAgB,MAAM,IAAIO,MAAM,0BAA4B+1G,GAEhE/iH,KAAKsiH,UAAUx2D,OAAOr/C,EAAO,EAAG,CAC9B7V,KAAMosH,EACNL,SAAS,EACT3qH,GAAIA,EACJ0uF,IAAKo8B,EAAIp8B,KAAO,KAGlB1mF,KAAKuiH,UAAY,MA4BnBF,EAAM9qH,UAAUynD,MAAQ,SAAUikE,EAAWD,EAAUhrH,EAAIgE,GACzD,IAAIyQ,EAAQzM,KAAKwiH,SAASS,GACtBH,EAAM9mH,GAAW,GAErB,IAAe,IAAXyQ,EAAgB,MAAM,IAAIO,MAAM,0BAA4Bi2G,GAEhEjjH,KAAKsiH,UAAUx2D,OAAOr/C,EAAQ,EAAG,EAAG,CAClC7V,KAAMosH,EACNL,SAAS,EACT3qH,GAAIA,EACJ0uF,IAAKo8B,EAAIp8B,KAAO,KAGlB1mF,KAAKuiH,UAAY,MA0BnBF,EAAM9qH,UAAUgX,KAAO,SAAUy0G,EAAUhrH,EAAIgE,GAC7C,IAAI8mH,EAAM9mH,GAAW,GAErBgE,KAAKsiH,UAAU/zG,KAAK,CAClB3X,KAAMosH,EACNL,SAAS,EACT3qH,GAAIA,EACJ0uF,IAAKo8B,EAAIp8B,KAAO,KAGlB1mF,KAAKuiH,UAAY,MAgBnBF,EAAM9qH,UAAU2rH,OAAS,SAAUtzG,EAAMuzG,GAClC70G,MAAM9W,QAAQoY,KAASA,EAAO,CAAEA,IAErC,IAAI/V,EAAS,GAeb,OAZA+V,EAAK9X,SAAQ,SAAUlB,GACrB,IAAIwsH,EAAMpjH,KAAKwiH,SAAS5rH,GAExB,GAAIwsH,EAAM,EAAG,CACX,GAAID,EAAiB,OACrB,MAAM,IAAIn2G,MAAM,oCAAsCpW,GAExDoJ,KAAKsiH,UAAUc,GAAKT,SAAU,EAC9B9oH,EAAO0U,KAAK3X,KACXoJ,MAEHA,KAAKuiH,UAAY,KACV1oH,GAcTwoH,EAAM9qH,UAAU8rH,WAAa,SAAUzzG,EAAMuzG,GACtC70G,MAAM9W,QAAQoY,KAASA,EAAO,CAAEA,IAErC5P,KAAKsiH,UAAUxqH,SAAQ,SAAUyhE,GAAQA,EAAKopD,SAAU,KAExD3iH,KAAKkjH,OAAOtzG,EAAMuzG,IAgBpBd,EAAM9qH,UAAU+rH,QAAU,SAAU1zG,EAAMuzG,GACnC70G,MAAM9W,QAAQoY,KAASA,EAAO,CAAEA,IAErC,IAAI/V,EAAS,GAeb,OAZA+V,EAAK9X,SAAQ,SAAUlB,GACrB,IAAIwsH,EAAMpjH,KAAKwiH,SAAS5rH,GAExB,GAAIwsH,EAAM,EAAG,CACX,GAAID,EAAiB,OACrB,MAAM,IAAIn2G,MAAM,oCAAsCpW,GAExDoJ,KAAKsiH,UAAUc,GAAKT,SAAU,EAC9B9oH,EAAO0U,KAAK3X,KACXoJ,MAEHA,KAAKuiH,UAAY,KACV1oH,GAaTwoH,EAAM9qH,UAAUgsH,SAAW,SAAUC,GAMnC,OALuB,OAAnBxjH,KAAKuiH,WACPviH,KAAKyiH,cAIAziH,KAAKuiH,UAAUiB,IAAc,IAGtC1tH,EAAOC,QAAUssH,G,6BCjVjB,SAASoB,EAAM5kH,EAAMia,EAAK4qG,GAMxB1jH,KAAKnB,KAAWA,EAOhBmB,KAAK8Y,IAAWA,EAOhB9Y,KAAKsiD,MAAW,KAOhBtiD,KAAK6P,IAAW,KAWhB7P,KAAK0jH,QAAWA,EAOhB1jH,KAAKohE,MAAW,EAOhBphE,KAAKulF,SAAW,KAQhBvlF,KAAK8G,QAAW,GAOhB9G,KAAK2jH,OAAW,GAOhB3jH,KAAK4jH,KAAW,GAOhB5jH,KAAK+jB,KAAW,KAQhB/jB,KAAKugE,OAAW,EAQhBvgE,KAAK6jH,QAAW,EASlBJ,EAAMlsH,UAAUusH,UAAY,SAAmBltH,GAC7C,IAAI0rD,EAAOrqD,EAAG4V,EAEd,IAAK7N,KAAKsiD,MAAS,OAAQ,EAI3B,IAAKrqD,EAAI,EAAG4V,GAFZy0C,EAAQtiD,KAAKsiD,OAEWnqD,OAAQF,EAAI4V,EAAK5V,IACvC,GAAIqqD,EAAMrqD,GAAG,KAAOrB,EAAQ,OAAOqB,EAErC,OAAQ,GASVwrH,EAAMlsH,UAAUwsH,SAAW,SAAkBC,GACvChkH,KAAKsiD,MACPtiD,KAAKsiD,MAAM/zC,KAAKy1G,GAEhBhkH,KAAKsiD,MAAQ,CAAE0hE,IAUnBP,EAAMlsH,UAAU0sH,QAAU,SAAiBrtH,EAAM0E,GAC/C,IAAI8nH,EAAMpjH,KAAK8jH,UAAUltH,GACrBotH,EAAW,CAAEptH,EAAM0E,GAEnB8nH,EAAM,EACRpjH,KAAK+jH,SAASC,GAEdhkH,KAAKsiD,MAAM8gE,GAAOY,GAUtBP,EAAMlsH,UAAU2sH,QAAU,SAAiBttH,GACzC,IAAIwsH,EAAMpjH,KAAK8jH,UAAUltH,GAAO0E,EAAQ,KAIxC,OAHI8nH,GAAO,IACT9nH,EAAQ0E,KAAKsiD,MAAM8gE,GAAK,IAEnB9nH,GAUTmoH,EAAMlsH,UAAU4sH,SAAW,SAAkBvtH,EAAM0E,GACjD,IAAI8nH,EAAMpjH,KAAK8jH,UAAUltH,GAErBwsH,EAAM,EACRpjH,KAAK+jH,SAAS,CAAEntH,EAAM0E,IAEtB0E,KAAKsiD,MAAM8gE,GAAK,GAAKpjH,KAAKsiD,MAAM8gE,GAAK,GAAK,IAAM9nH,GAKpDxF,EAAOC,QAAU0tH,G,oHCxGjB,IA5FO,IAAItzE,EAAO,CAChBi0E,EAAG,YACHC,EAAG,MACHC,GAAI,QACJC,GAAI,UACJ1kC,GAAI,QACJ2kC,GAAI,QACJC,GAAI,UACJC,GAAI,MACJC,GAAI,WACJC,GAAI,SACJC,GAAI,IACJC,GAAI,SACJC,GAAI,WACJC,GAAI,MACJC,GAAI,OACJC,GAAI,YACJC,GAAI,UACJC,GAAI,aACJC,GAAI,YACJC,GAAI,cACJC,GAAI,SACJC,GAAI,SACJC,GAAI,IACJC,GAAI,IACJC,GAAI,OACJC,GAAI,OACJC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,UACLC,IAAK,aACLC,IAAK,QACLC,IAAK,QACLC,IAAK,UACLC,IAAK,UACLC,IAAK,MACLC,IAAK,MACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,KACLC,IAAK,IACLC,IAAK,IACLC,IAAK,KAGI75F,EAAQ,CACjB85F,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJxC,GAAI,IACJC,GAAI,IACJiB,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,KAGHh6B,EAA6B,oBAAb9zF,WAA4B,gBAAgBzD,KAAKyD,UAAUyY,WAC3E27E,EAA6B,oBAAbp0F,WAA4B,iBAAiB0R,KAAK1R,UAAUq0F,QAC5ET,EAA4B,oBAAb5zF,WAA4B,aAAa0R,KAAK1R,UAAUyY,WACvE+6E,EAA0B,oBAAbxzF,WAA4B,MAAM0R,KAAK1R,UAAUurD,UAC9DkoC,EAAyB,oBAAbzzF,WAA4B,gDAAgDzD,KAAKyD,UAAUyY,WACvGg2G,EAAsB36B,IAAWN,IAAQM,EAAO,GAAK,KAAOF,GAASJ,EAGhEh1F,EAAI,EAAGA,EAAI,GAAIA,IAAKk4C,EAAK,GAAKl4C,GAAKk4C,EAAK,GAAKl4C,GAAKwC,OAAOxC,GAGlE,IAASA,EAAI,EAAGA,GAAK,GAAIA,IAAKk4C,EAAKl4C,EAAI,KAAO,IAAMA,EAGpD,IAASA,EAAI,GAAIA,GAAK,GAAIA,IACxBk4C,EAAKl4C,GAAKwC,OAAOuhD,aAAa/jD,EAAI,IAClCy1B,EAAMz1B,GAAKwC,OAAOuhD,aAAa/jD,GAIjC,IAAK,IAAI8mB,KAAQoxB,EAAWziB,EAAMr1B,eAAe0mB,KAAO2O,EAAM3O,GAAQoxB,EAAKpxB,I,aCnGvE,EAA0B,oBAAbtlB,WAA2B,MAAM0R,KAAK1R,UAAUurD,UAEjE,SAASmjE,EAAiBvxH,GACxB,IAEI8vF,EAAK0hC,EAAM16F,EAAO3J,EAFlBvS,EAAQ5a,EAAK+I,MAAM,UAAW9F,EAAS2X,EAAMA,EAAMrZ,OAAS,GAClD,SAAV0B,IAAqBA,EAAS,KAElC,IAAK,IAAI5B,EAAI,EAAGA,EAAIuZ,EAAMrZ,OAAS,EAAGF,IAAK,CACzC,IAAIowH,EAAM72G,EAAMvZ,GAChB,GAAI,kBAAkBkT,KAAKk9G,GAAQtkG,GAAO,OACrC,GAAI,YAAY5Y,KAAKk9G,GAAQ3hC,GAAM,OACnC,GAAI,sBAAsBv7E,KAAKk9G,GAAQD,GAAO,OAC9C,GAAI,cAAcj9G,KAAKk9G,GAAQ36F,GAAQ,MACvC,KAAI,SAASviB,KAAKk9G,GAChB,MAAM,IAAIr7G,MAAM,+BAAiCq7G,GADrB,EAAOtkG,GAAO,EAAeqkG,GAAO,GAOzE,OAJI1hC,IAAO7sF,EAAS,OAASA,GACzBuuH,IAAQvuH,EAAS,QAAUA,GAC3BkqB,IAAQlqB,EAAS,QAAUA,GAC3B6zB,IAAS7zB,EAAS,SAAWA,GAC1BA,EAST,SAASyuH,EAAU1xH,EAAM0J,EAAOotB,GAK9B,OAJIptB,EAAMs9F,SAAUhnG,EAAO,OAASA,GAChC0J,EAAMo9F,UAAW9mG,EAAO,QAAUA,GAClC0J,EAAMq9F,UAAW/mG,EAAO,QAAUA,IACxB,IAAV82B,GAAmBptB,EAAMu9F,WAAYjnG,EAAO,SAAWA,GACpDA,EAiCT,SAAS2xH,EAAOC,GACd,OAAO,IAAI,SAAO,CAACt3F,MAAO,CAACg1C,cAAeuiD,EAAeD,MAO3D,SAASC,EAAeD,GACtB,IAAI34G,EArDN,SAAmBA,GACjB,IAAImyC,EAAO1qD,OAAO4N,OAAO,MACzB,IAAK,IAAIma,KAAQxP,EAAOmyC,EAAKmmE,EAAiB9oG,IAASxP,EAAIwP,GAC3D,OAAO2iC,EAkDG3sC,CAAUmzG,GACpB,OAAO,SAASpkE,EAAM9jD,GACpB,IAAqEooH,EAAjE9xH,EDwBD,SAAiB0J,GAGtB,IAEI1J,IAFYsxH,IAAwB5nH,EAAMo9F,SAAWp9F,EAAMs9F,QAAUt9F,EAAMq9F,WAC5E9P,GAAUX,IAAO5sF,EAAMu9F,UAAYv9F,EAAMlI,KAA2B,GAApBkI,EAAMlI,IAAID,SACnCmI,EAAMlI,MAC7BkI,EAAMu9F,SAAWnwE,EAAQyiB,GAAM7vC,EAAM0uF,UACtC1uF,EAAMlI,KAAO,eASf,MAPY,OAARxB,IAAeA,EAAO,UACd,OAARA,IAAeA,EAAO,UAEd,QAARA,IAAgBA,EAAO,aACf,MAARA,IAAcA,EAAO,WACb,SAARA,IAAiBA,EAAO,cAChB,QAARA,IAAgBA,EAAO,aACpBA,ECxCM+xH,CAAQroH,GAAQsoH,EAAwB,GAAfhyH,EAAKuB,QAAuB,KAARvB,EACpDiyH,EAASh5G,EAAIy4G,EAAU1xH,EAAM0J,GAAQsoH,IACzC,GAAIC,GAAUA,EAAOzkE,EAAKpgD,MAAOogD,EAAKtG,SAAUsG,GAAS,OAAO,EAChE,GAAIwkE,IAAWtoH,EAAMu9F,UAAYv9F,EAAMs9F,QAAUt9F,EAAMq9F,WAClD+qB,EAAWv4E,EAAK7vC,EAAM0uF,WAAa05B,GAAY9xH,EAAM,CACxD,IAAIkyH,EAAWj5G,EAAIy4G,EAAUI,EAAUpoH,GAAO,IAC9C,GAAIwoH,GAAYA,EAAS1kE,EAAKpgD,MAAOogD,EAAKtG,SAAUsG,GAAS,OAAO,OAC/D,GAAIwkE,GAAUtoH,EAAMu9F,SAAU,CACnC,IAAIkrB,EAAYl5G,EAAIy4G,EAAU1xH,EAAM0J,GAAO,IAC3C,GAAIyoH,GAAaA,EAAU3kE,EAAKpgD,MAAOogD,EAAKtG,SAAUsG,GAAS,OAAO,EAExE,OAAO,K;;;;;;;GC9EX,IAAI4kE,EAAkB,UAOtBlzH,EAAOC,QAUP,SAAoByf,GAClB,IAOIvX,EAPA3D,EAAM,GAAKkb,EACXtJ,EAAQ88G,EAAgBhzH,KAAKsE,GAEjC,IAAK4R,EACH,OAAO5R,EAIT,IAAImM,EAAO,GACPgG,EAAQ,EACRZ,EAAY,EAEhB,IAAKY,EAAQP,EAAMO,MAAOA,EAAQnS,EAAInC,OAAQsU,IAAS,CACrD,OAAQnS,EAAIkf,WAAW/M,IACrB,KAAK,GACHxO,EAAS,SACT,MACF,KAAK,GACHA,EAAS,QACT,MACF,KAAK,GACHA,EAAS,QACT,MACF,KAAK,GACHA,EAAS,OACT,MACF,KAAK,GACHA,EAAS,OACT,MACF,QACE,SAGA4N,IAAcY,IAChBhG,GAAQnM,EAAI2E,UAAU4M,EAAWY,IAGnCZ,EAAYY,EAAQ,EACpBhG,GAAQxI,EAGV,OAAO4N,IAAcY,EACjBhG,EAAOnM,EAAI2E,UAAU4M,EAAWY,GAChChG,I,cC5EN3Q,EAAOC,QAAU,SAASD,GAoBzB,OAnBKA,EAAOmzH,kBACXnzH,EAAO2iC,UAAY,aACnB3iC,EAAOozH,MAAQ,GAEVpzH,EAAOyvF,WAAUzvF,EAAOyvF,SAAW,IACxCjuF,OAAOqD,eAAe7E,EAAQ,SAAU,CACvCgK,YAAY,EACZlF,IAAK,WACJ,OAAO9E,EAAOoC,KAGhBZ,OAAOqD,eAAe7E,EAAQ,KAAM,CACnCgK,YAAY,EACZlF,IAAK,WACJ,OAAO9E,EAAOmC,KAGhBnC,EAAOmzH,gBAAkB,GAEnBnzH,I,iBCbA,SAAWqzH,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,8FAA8F9+B,MAAM,KAC7G6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,4DAA4D1iC,MAAM,KAC7EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C4mC,cAAe,SACf7B,KAAO,SAAUr8B,GACb,MAAO,QAAQ8C,KAAK9C,IAExBytB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,KAAO,KAEjBA,EAAU,KAAO,MAGhC5c,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,eACVC,SAAW,eACXC,QAAU,iBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,YACPxR,EAAI,mBACJyR,GAAK,cACLjkB,EAAI,YACJkkB,GAAK,YACLrjC,EAAI,SACJsjC,GAAK,SACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,WACJ4F,GAAK,YACL1I,EAAI,UACJ2I,GAAK,WAETT,uBAAwB,kBACxBjL,QAAU,SAAUrM,GAChB,OAAOA,GAAsB,IAAXA,GAA2B,IAAXA,GAAgBA,GAAU,GAAM,MAAQ,OAE9EgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA7DwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KACNC,EAAa,SAAUzyG,GACtB,OAAa,IAANA,EAAU,EAAU,IAANA,EAAU,EAAU,IAANA,EAAU,EAAIA,EAAI,KAAO,GAAKA,EAAI,KAAO,GAAK,EAAIA,EAAI,KAAO,GAAK,EAAI,GAC1G0yG,EAAU,CACT/0F,EAAI,CAAC,eAAgB,cAAe,CAAC,UAAW,WAAY,UAAW,WAAY,YACnFxS,EAAI,CAAC,eAAgB,cAAe,CAAC,UAAW,WAAY,WAAY,WAAY,YACpFnf,EAAI,CAAC,cAAe,aAAc,CAAC,SAAU,UAAW,WAAY,UAAW,WAC/E29B,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,UAAW,WAAY,UAC1EC,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,UAAW,UAAW,UACzE9C,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,WAAY,WAAY,WAC5E6rF,EAAY,SAAU90E,GACrB,OAAO,SAAUnmB,EAAQklB,EAAej+B,EAAQ6hC,GAC5C,IAAIn8C,EAAIouH,EAAW/6F,GACfj0B,EAAMivH,EAAQ70E,GAAG40E,EAAW/6F,IAIhC,OAHU,IAANrzB,IACAZ,EAAMA,EAAIm5C,EAAgB,EAAI,IAE3Bn5C,EAAIC,QAAQ,MAAOg0B,KAE/BkQ,EAAS,CACR,QACA,SACA,OACA,QACA,OACA,QACA,QACA,QACA,SACA,SACA,SACA,UAGK0qF,EAAOniF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcC,EACd4D,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,wCAAwCziC,MAAM,KAC9DwiC,YAAc,gBAAgBxiC,MAAM,KACpC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXW,cAAe,MACf7B,KAAO,SAAUr8B,GACb,MAAO,MAAQA,GAEnBytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,IAEA,KAGf/G,SAAW,CACPC,QAAS,wBACTC,QAAS,uBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SACTC,KAAO,SACPxR,EAAIg1F,EAAU,KACdvjF,GAAKujF,EAAU,KACfxnG,EAAIwnG,EAAU,KACdtjF,GAAKsjF,EAAU,KACf3mH,EAAI2mH,EAAU,KACdrjF,GAAKqjF,EAAU,KACfhpF,EAAIgpF,EAAU,KACdpjF,GAAKojF,EAAU,KACf/oF,EAAI+oF,EAAU,KACdnjF,GAAKmjF,EAAU,KACf7rF,EAAI6rF,EAAU,KACdljF,GAAKkjF,EAAU,MAEnBn9E,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,MAClB3R,QAAQ,KAAM,MAErBi5C,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,MAClB3R,QAAQ,KAAM,MAErBgnC,KAAO,CACHL,IAAM,EACNC,IAAM,MA3HwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,yEAAyE9+B,MAAM,KACxF6+B,YAAc,yEAAyE7+B,MAAM,KAC7F0iC,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,wCAAwCziC,MAAM,KAC9DwiC,YAAc,wBAAwBxiC,MAAM,KAC5C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,wBACTC,QAAS,sBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,WACLjkB,EAAI,QACJkkB,GAAK,WACLrjC,EAAI,OACJsjC,GAAK,WACL3F,EAAI,MACJ4F,GAAK,UACL3F,EAAI,MACJ4F,GAAK,UACL1I,EAAI,MACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA/CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wEAAwE9+B,MAAM,KACvF6+B,YAAc,wEAAwE7+B,MAAM,KAC5F0iC,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,wCAAwCziC,MAAM,KAC9DwiC,YAAc,gBAAgBxiC,MAAM,KACpC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,wBACTC,QAAS,sBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,WACLjkB,EAAI,QACJkkB,GAAK,WACLrjC,EAAI,OACJsjC,GAAK,WACL3F,EAAI,MACJ4F,GAAK,UACL3F,EAAI,MACJ4F,GAAK,UACL1I,EAAI,MACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,MA/CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNE,EAAa,SAAUzyG,GACtB,OAAa,IAANA,EAAU,EAAU,IAANA,EAAU,EAAU,IAANA,EAAU,EAAIA,EAAI,KAAO,GAAKA,EAAI,KAAO,GAAK,EAAIA,EAAI,KAAO,GAAK,EAAI,GAC1G0yG,EAAU,CACT/0F,EAAI,CAAC,eAAgB,cAAe,CAAC,UAAW,WAAY,UAAW,WAAY,YACnFxS,EAAI,CAAC,eAAgB,cAAe,CAAC,UAAW,WAAY,WAAY,WAAY,YACpFnf,EAAI,CAAC,cAAe,aAAc,CAAC,SAAU,UAAW,WAAY,UAAW,WAC/E29B,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,UAAW,WAAY,UAC1EC,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,UAAW,UAAW,UACzE9C,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,WAAY,WAAY,WAC5E6rF,EAAY,SAAU90E,GACrB,OAAO,SAAUnmB,EAAQklB,EAAej+B,EAAQ6hC,GAC5C,IAAIn8C,EAAIouH,EAAW/6F,GACfj0B,EAAMivH,EAAQ70E,GAAG40E,EAAW/6F,IAIhC,OAHU,IAANrzB,IACAZ,EAAMA,EAAIm5C,EAAgB,EAAI,IAE3Bn5C,EAAIC,QAAQ,MAAOg0B,KAE/BkQ,EAAS,CACR,QACA,SACA,OACA,QACA,OACA,QACA,QACA,QACA,SACA,SACA,SACA,UAGO0qF,EAAOniF,aAAa,QAAS,CACpCvI,OAASA,EACTD,YAAcC,EACd4D,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,wCAAwCziC,MAAM,KAC9DwiC,YAAc,gBAAgBxiC,MAAM,KACpC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXW,cAAe,MACf7B,KAAO,SAAUr8B,GACb,MAAO,MAAQA,GAEnBytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,IAEA,KAGf/G,SAAW,CACPC,QAAS,wBACTC,QAAS,uBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SACTC,KAAO,SACPxR,EAAIg1F,EAAU,KACdvjF,GAAKujF,EAAU,KACfxnG,EAAIwnG,EAAU,KACdtjF,GAAKsjF,EAAU,KACf3mH,EAAI2mH,EAAU,KACdrjF,GAAKqjF,EAAU,KACfhpF,EAAIgpF,EAAU,KACdpjF,GAAKojF,EAAU,KACf/oF,EAAI+oF,EAAU,KACdnjF,GAAKmjF,EAAU,KACf7rF,EAAI6rF,EAAU,KACdljF,GAAKkjF,EAAU,MAEnBn9E,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCi5C,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,MAClB3R,QAAQ,KAAM,MAErBgnC,KAAO,CACHL,IAAM,EACNC,IAAM,MA9GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wEAAwE9+B,MAAM,KACvF6+B,YAAc,wEAAwE7+B,MAAM,KAC5F0iC,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,wCAAwCziC,MAAM,KAC9DwiC,YAAc,gBAAgBxiC,MAAM,KACpC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,wBACTC,QAAS,sBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,WACLjkB,EAAI,QACJkkB,GAAK,WACLrjC,EAAI,OACJsjC,GAAK,WACL3F,EAAI,MACJ4F,GAAK,UACL3F,EAAI,MACJ4F,GAAK,UACL1I,EAAI,MACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,MA/CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGEF,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,6EAA6E9+B,MAAM,KAC5F6+B,YAAc,6EAA6E7+B,MAAM,KACjG0iC,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,wCAAwCziC,MAAM,KAC9DwiC,YAAc,gBAAgBxiC,MAAM,KACpC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXW,cAAe,MACf7B,KAAO,SAAUr8B,GACb,MAAO,MAAQA,GAEnBytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,IAEA,KAGf/G,SAAW,CACPC,QAAS,wBACTC,QAAS,sBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,WACLjkB,EAAI,QACJkkB,GAAK,WACLrjC,EAAI,OACJsjC,GAAK,WACL3F,EAAI,MACJ4F,GAAK,UACL3F,EAAI,MACJ4F,GAAK,UACL1I,EAAI,MACJ2I,GAAK,YAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,MAClB3R,QAAQ,KAAM,MAErBi5C,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,MAClB3R,QAAQ,KAAM,MAErBgnC,KAAO,CACHL,IAAM,EACNC,IAAM,KA5FwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAQ,yEAAyE9+B,MAAM,KACvF6+B,YAAa,yEAAyE7+B,MAAM,KAC5F0iC,SAAU,sDAAsD1iC,MAAM,KACtEyiC,cAAe,wCAAwCziC,MAAM,KAC7DwiC,YAAa,gBAAgBxiC,MAAM,KACnC8pH,oBAAqB,EACrBnuF,eAAgB,CACZv0B,GAAI,QACJy+B,IAAK,WACLC,EAAG,aACHC,GAAI,cACJC,IAAK,oBACLC,KAAM,0BAEVX,SAAU,CACNC,QAAS,wBACTC,QAAS,sBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,QACRC,KAAM,SACNxR,EAAG,OACHyR,GAAK,WACLjkB,EAAG,QACHkkB,GAAI,WACJrjC,EAAG,OACHsjC,GAAI,WACJ3F,EAAG,MACH4F,GAAI,UACJ3F,EAAG,MACH4F,GAAI,UACJ1I,EAAG,MACH2I,GAAI,YAER/E,KAAM,CACFL,IAAK,EACLC,IAAK,KA/CyBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIO,EAAW,CACX9vG,EAAG,QACH+vG,EAAG,QACHvF,EAAG,QACHwF,GAAI,QACJC,GAAI,QACJ5pC,EAAG,OACH6pC,EAAG,OACHnF,GAAI,OACJ+C,GAAI,OACJqC,EAAG,QACH7pC,EAAG,QACH8pC,IAAK,QACLC,EAAG,OACH5F,EAAG,QACHC,GAAI,QACJ4F,GAAI,QACJC,GAAI,QACJC,GAAI,SAGCjB,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,+EAA+E9+B,MAAM,KAC9F6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,qEAAqE1iC,MAAM,KACtFyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,kBACVC,QAAU,kBACVC,SAAW,+BACXC,QAAU,aACVC,SAAW,+BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,WACPxR,EAAI,iBACJyR,GAAK,YACLjkB,EAAI,aACJkkB,GAAK,YACLrjC,EAAI,WACJsjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,SACJ2I,GAAK,SAETC,cAAe,0BACf7B,KAAO,SAAUr8B,GACb,MAAO,mBAAmB8C,KAAK9C,IAEnCytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,QACAA,EAAO,GACP,SAEA,SAGfnG,uBAAwB,wCACxBjL,QAAU,SAAUrM,GAChB,GAAe,IAAXA,EACA,OAAOA,EAAS,QAEpB,IAAIr0B,EAAIq0B,EAAS,GAGjB,OAAOA,GAAUm7F,EAASxvH,IAAMwvH,EAFxBn7F,EAAS,IAAMr0B,IAEwBwvH,EADvCn7F,GAAU,IAAM,IAAM,QAGlCgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA7FwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAOzB,SAASkB,EAAuB97F,EAAQklB,EAAer7C,GACnD,IALkBoqB,EACd8nG,EAYJ,MAAY,MAARlyH,EACOq7C,EAAgB,UAAY,UAEtB,MAARr7C,EACEq7C,EAAgB,UAAY,UAG5BllB,EAAS,KApBF/L,GAoB6B+L,EAnB3C+7F,EAIS,CACT,GAAM72E,EAAgB,yBAA2B,yBACjD,GAAMA,EAAgB,yBAA2B,yBACjD,GAAMA,EAAgB,yBAA2B,yBACjD,GAAM,iBACN,GAAM,uBACN,GAAM,kBAS8Br7C,GAnBvBuH,MAAM,KAChB6iB,EAAM,IAAO,GAAKA,EAAM,KAAQ,GAAK8nG,EAAM,GAAM9nG,EAAM,IAAM,GAAKA,EAAM,IAAM,IAAMA,EAAM,IAAM,IAAMA,EAAM,KAAO,IAAM8nG,EAAM,GAAKA,EAAM,IAsB5InB,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,CACL/b,OAAQ,uGAAuG/iB,MAAM,KACrH4qH,WAAY,qGAAqG5qH,MAAM,MAE3H6+B,YAAc,0DAA0D7+B,MAAM,KAC9E0iC,SAAW,CACP3f,OAAQ,0DAA0D/iB,MAAM,KACxE4qH,WAAY,0DAA0D5qH,MAAM,KAC5E63C,SAAU,+CAEdpV,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,iBACLC,IAAM,wBACNC,KAAO,+BAEXX,SAAW,CACPC,QAAS,eACTC,QAAS,gBACTE,QAAS,eACTD,SAAU,WACN,MAAO,mBAEXE,SAAU,WACN,OAAQtlC,KAAKkjC,OACT,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,0BACX,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,2BAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,UACTC,KAAO,UACPxR,EAAI,kBACJxS,EAAIqoG,EACJnkF,GAAKmkF,EACLxnH,EAAIwnH,EACJlkF,GAAKkkF,EACL7pF,EAAI,QACJ4F,GAAKikF,EACL5pF,EAAI,QACJ4F,GAAKgkF,EACL1sF,EAAI,MACJ2I,GAAK+jF,GAET9jF,cAAe,yBACf7B,KAAO,SAAUr8B,GACb,MAAO,iBAAiB8C,KAAK9C,IAEjCytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,SACAA,EAAO,GACP,MAEA,UAGfnG,uBAAwB,mBACxBjL,QAAS,SAAUrM,EAAQoiB,GACvB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACL,IAAK,IACD,OAAQpiB,EAAS,IAAO,GAAKA,EAAS,IAAO,GAAOA,EAAS,KAAQ,IAAMA,EAAS,KAAQ,GAAsBA,EAAS,KAAzBA,EAAS,KAC/G,IAAK,IACD,OAAOA,EAAS,MACpB,QACI,OAAOA,IAGnBgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAxHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,oFAAoF9+B,MAAM,KACnG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,yDAAyD1iC,MAAM,KAC1EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,YACJC,GAAK,cACLC,IAAM,mBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,cACVC,QAAU,cACVC,SAAW,cACXC,QAAU,eACVC,SAAW,WACP,OAAQtlC,KAAKkjC,OACT,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,6BACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,8BAGnBqC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,WACPxR,EAAI,kBACJyR,GAAK,aACLjkB,EAAI,SACJkkB,GAAK,YACLrjC,EAAI,MACJsjC,GAAK,UACL3F,EAAI,MACJ4F,GAAK,SACL3F,EAAI,QACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,aAETT,uBAAwB,8BACxBjL,QAAU,SAAUrM,GAChB,IAAIi8F,EAAYj8F,EAAS,GACrBk8F,EAAcl8F,EAAS,IAC3B,OAAe,IAAXA,EACOA,EAAS,MACO,IAAhBk8F,EACAl8F,EAAS,MACTk8F,EAAc,IAAMA,EAAc,GAClCl8F,EAAS,MACK,IAAdi8F,EACAj8F,EAAS,MACK,IAAdi8F,EACAj8F,EAAS,MACK,IAAdi8F,GAAiC,IAAdA,EACnBj8F,EAAS,MAETA,EAAS,OAGxBgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA9EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,8IAA8I9+B,MAAM,KAC7J6+B,YAAc,iDAAiD7+B,MAAM,KACrE0iC,SAAW,+CAA+C1iC,MAAM,KAChEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,2BACLC,IAAM,wCACNC,KAAO,8CAEXX,SAAW,CACPC,QAAU,eACVC,QAAU,iBACVC,SAAW,qBACXC,QAAU,iBACVC,SAAW,yBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,aACPxR,EAAI,kBACJyR,GAAK,aACLjkB,EAAI,eACJkkB,GAAK,YACLrjC,EAAI,aACJsjC,GAAK,UACL3F,EAAI,aACJ4F,GAAK,UACL3F,EAAI,aACJ4F,GAAK,UACL1I,EAAI,YACJ2I,GAAK,UAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA9CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,0FAA0F9+B,MAAM,KACzG6+B,YAAc,yDAAyD7+B,MAAM,KAC7E0iC,SAAW,4DAA4D1iC,MAAM,KAC7EyiC,cAAgB,uCAAuCziC,MAAM,KAC7DwiC,YAAc,kCAAkCxiC,MAAM,KACtD27B,eAAiB,CACbv0B,GAAK,aACLy+B,IAAM,gBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAU,UACVC,QAAU,gBACVC,SAAW,WACXC,QAAU,aACVC,SAAW,gBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,SACPxR,EAAI,eACJyR,GAAK,aACLjkB,EAAI,WACJkkB,GAAK,WACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,SACL1I,EAAI,SACJ2I,GAAK,UAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAGzBq6B,cAAe,2BACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEO,QAAblW,GAAsBkW,GAAQ,GACb,UAAblW,GAAwBkW,EAAO,GACnB,UAAblW,EACGkW,EAAO,GAEPA,GAGflW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,MACAA,EAAO,GACP,OACAA,EAAO,GACP,QACAA,EAAO,GACP,QAEA,OAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KA3GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,qJAAqJ9+B,MAAM,KACpK6+B,YAAc,qJAAqJ7+B,MAAM,KACzK0iC,SAAW,gFAAgF1iC,MAAM,KACjGyiC,cAAgB,oDAAoDziC,MAAM,KAC1EwiC,YAAc,oDAAoDxiC,MAAM,KACxE27B,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,sBACNC,KAAO,6BAEXX,SAAW,CACPC,QAAU,cACVC,QAAU,cACVC,SAAW,wBACXC,QAAU,YACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,WACPxR,EAAI,QACJyR,GAAK,YACLjkB,EAAI,aACJkkB,GAAK,WACLrjC,EAAI,cACJsjC,GAAK,YACL3F,EAAI,WACJ4F,GAAK,UACL3F,EAAI,YACJ4F,GAAK,UACL1I,EAAI,UACJ2I,GAAK,SAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAGzBq6B,cAAe,wCACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEO,WAAblW,GAAyBkW,GAAQ,GAChB,YAAblW,GAA0BkW,EAAO,GACrB,YAAblW,EACGkW,EAAO,GAEPA,GAGflW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,SACAA,EAAO,GACP,UACAA,EAAO,GACP,UACAA,EAAO,GACP,UAEA,UAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KA3GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAASuB,EAAyBn8F,EAAQklB,EAAer7C,GAMrD,OAAOm2B,EAAS,IAoBpB,SAAkBnwB,EAAMmwB,GACpB,OAAe,IAAXA,EAKR,SAAsBnwB,GAClB,IAAIusH,EAAgB,CAChB,EAAK,IACL,EAAK,IACL,EAAK,KAET,YAAsC/tH,IAAlC+tH,EAAcvsH,EAAKI,OAAO,IACnBJ,EAEJusH,EAAcvsH,EAAKI,OAAO,IAAMJ,EAAKa,UAAU,GAb3C2rH,CAAaxsH,GAEjBA,EAxBe6sE,CALT,CACT,GAAM,WACN,GAAM,MACN,GAAM,UAE4B7yE,GAAMm2B,GAsCvC46F,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,gFAAiF9+B,MAAM,KAChG6+B,YAAc,mDAAoD7+B,MAAM,KACxE0iC,SAAW,6CAA8C1iC,MAAM,KAC/DyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,wBAAwBxiC,MAAM,KAC5C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,WACLy+B,IAAM,cACNC,EAAI,aACJC,GAAK,sBACLC,IAAM,+BACNC,KAAO,sCAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,qBACVC,SAAW,eACXC,QAAU,gBACVC,SAAW,qBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,SACPxR,EAAI,wBACJyR,GAAK,YACLjkB,EAAI,cACJkkB,GAAKwkF,EACL7nH,EAAI,SACJsjC,GAAK,SACL3F,EAAI,YACJ4F,GAAKskF,EACLjqF,EAAI,SACJ4F,GAAKqkF,EACL/sF,EAAI,WACJ2I,GAzER,SAAiC/X,GAC7B,OAWJ,SAASs8F,EAAWt8F,GAChB,OAAIA,EAAS,EACFs8F,EAAWt8F,EAAS,IAExBA,EAfCs8F,CAAWt8F,IACf,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,OAAOA,EAAS,SACpB,QACI,OAAOA,EAAS,YAkExBsX,uBAAwB,kBACxBjL,QAAU,SAAUrM,GAEhB,OAAOA,GADkB,IAAXA,EAAgB,KAAO,QAGzCgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAhGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAAS2B,EAAUv8F,EAAQklB,EAAer7C,GACtC,IAAIyB,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,KAQD,OANIyB,GADW,IAAX00B,EACU,UACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,UAEA,UAGlB,IAAK,IACD,OAAOklB,EAAgB,eAAiB,eAC5C,IAAK,KAQD,OANI55C,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,SAEA,SAGlB,IAAK,IACD,OAAOklB,EAAgB,YAAc,cACzC,IAAK,KAQD,OANI55C,GADW,IAAX00B,EACU,MACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,OAEA,OAGlB,IAAK,KAMD,OAJI10B,GADW,IAAX00B,EACU,MAEA,OAGlB,IAAK,KAQD,OANI10B,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,UAEA,UAGlB,IAAK,KAQD,OANI10B,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,SAEA,UAMjB46F,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqF9+B,MAAM,KACpG6+B,YAAc,8DAA8D7+B,MAAM,KAClForH,kBAAkB,EAClB1oF,SAAW,4DAA4D1iC,MAAM,KAC7EyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAW,eACXC,QAAW,eACXC,SAAW,WACP,OAAQplC,KAAKkjC,OACT,KAAK,EACD,MAAO,wBACX,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,oBAGnBmC,QAAW,eACXC,SAAW,WACP,OAAQtlC,KAAKkjC,OACT,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,2BACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,yBAGnBqC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAS,WACTxR,EAAS,cACTyR,GAAS6kF,EACT9oG,EAAS8oG,EACT5kF,GAAS4kF,EACTjoH,EAASioH,EACT3kF,GAAS2kF,EACTtqF,EAAS,MACT4F,GAAS0kF,EACTrqF,EAAS,SACT4F,GAASykF,EACTntF,EAAS,SACT2I,GAASwkF,GAEbjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA3IwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,CACL8rF,WAAY,oFAAoF5qH,MAAM,KACtG+iB,OAAQ,qHAAwH/iB,MAAM,KACtI63C,SAAU,mBAEdhZ,YAAc,8DAA8D7+B,MAAM,KAClForH,kBAAmB,EACnB1oF,SAAW,8DAA8D1iC,MAAM,KAC/EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,aACJC,GAAK,mBACLslF,GAAK,aACLrlF,IAAM,gCACNslF,IAAM,mBACNrlF,KAAO,qCACPslF,KAAO,wBAEXjmF,SAAW,CACPC,QAAU,WACN,MAAO,YAAgC,IAAjBllC,KAAKkkC,QAAiB,MAAQ,MAAQ,QAEhEiB,QAAU,WACN,MAAO,YAAgC,IAAjBnlC,KAAKkkC,QAAiB,MAAQ,MAAQ,QAEhEkB,SAAW,WACP,MAAO,YAAgC,IAAjBplC,KAAKkkC,QAAiB,MAAQ,MAAQ,QAEhEmB,QAAU,WACN,MAAO,YAAgC,IAAjBrlC,KAAKkkC,QAAiB,MAAQ,MAAQ,QAEhEoB,SAAW,WACP,MAAO,wBAA4C,IAAjBtlC,KAAKkkC,QAAiB,MAAQ,MAAQ,QAE5EqB,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,QACPxR,EAAI,aACJyR,GAAK,YACLjkB,EAAI,WACJkkB,GAAK,YACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAwB,qBACxBjL,QAAU,SAAUrM,EAAQoiB,GACxB,IAAIzV,EAAqB,IAAX3M,EAAgB,IACd,IAAXA,EAAgB,IACL,IAAXA,EAAgB,IACL,IAAXA,EAAgB,IAAM,IAI3B,MAHe,MAAXoiB,GAA6B,MAAXA,IAClBzV,EAAS,KAEN3M,EAAS2M,GAEpBqG,KAAO,CACHL,IAAM,EACNC,IAAM,KA5EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI1qF,EAAS,oFAAoF9+B,MAAM,KACnG6+B,EAAc,kDAAkD7+B,MAAM,KAEtEi/B,EAAc,CAAC,QAAS,QAAS,QAAS,QAAS,QAAS,yBAA0B,4BAA6B,QAAS,QAAS,QAAS,QAAS,SAGvJD,EAAc,wJAElB,SAASwsF,EAAOt0G,GACZ,OAAQA,EAAI,GAAOA,EAAI,GAAsB,MAAZA,EAAI,IAEzC,SAASi0G,EAAUv8F,EAAQklB,EAAer7C,EAAKi/C,GAC3C,IAAIx9C,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,IACD,OAAQq7C,GAAiB4D,EAAY,aAAe,gBACxD,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,UAAY,UAEvC10B,EAAS,YAGxB,IAAK,IACD,OAAO45C,EAAgB,SAAY4D,EAAW,SAAW,UAC7D,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,SAAW,SAEtC10B,EAAS,WAGxB,IAAK,IACD,OAAO45C,EAAgB,SAAY4D,EAAW,SAAW,UAC7D,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,SAAW,SAEtC10B,EAAS,WAGxB,IAAK,IACD,OAAQ45C,GAAiB4D,EAAY,MAAQ,OACjD,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,MAAQ,OAEnC10B,EAAS,MAGxB,IAAK,IACD,OAAQ45C,GAAiB4D,EAAY,QAAU,UACnD,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,SAAW,UAEtC10B,EAAS,SAGxB,IAAK,IACD,OAAQ45C,GAAiB4D,EAAY,MAAQ,QACjD,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,OAAS,OAEpC10B,EAAS,QAMvBsvH,EAAOniF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcA,EACdG,YAAcA,EACdD,iBAAmBC,EAGnBysF,kBAAoB,uKACpBC,uBAAyB,sDACzBzsF,YAAcA,EACd0sF,gBAAkB1sF,EAClB2sF,iBAAmB3sF,EACnByD,SAAW,mDAAmD1iC,MAAM,KACpEyiC,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAI,OACJy+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,oBACNC,KAAO,yBACP1tC,EAAI,cAER+sC,SAAW,CACPC,QAAS,cACTC,QAAS,eACTC,SAAU,WACN,OAAQplC,KAAKkjC,OACT,KAAK,EACD,MAAO,kBACX,KAAK,EACL,KAAK,EACD,MAAO,kBACX,KAAK,EACD,MAAO,mBACX,KAAK,EACD,MAAO,oBACX,KAAK,EACD,MAAO,iBACX,KAAK,EACD,MAAO,oBAGnBmC,QAAS,eACTC,SAAU,WACN,OAAQtlC,KAAKkjC,OACT,KAAK,EACD,MAAO,wBACX,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,wBACX,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,0BAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAIs2F,EACJ7kF,GAAK6kF,EACL9oG,EAAI8oG,EACJ5kF,GAAK4kF,EACLjoH,EAAIioH,EACJ3kF,GAAK2kF,EACLtqF,EAAIsqF,EACJ1kF,GAAK0kF,EACLrqF,EAAIqqF,EACJzkF,GAAKykF,EACLntF,EAAImtF,EACJxkF,GAAKwkF,GAETjlF,uBAAyB,YACzBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhKwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,gEAAgE9+B,MAAM,KAC/E6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,oEAAoE1iC,MAAM,KACrFyiC,cAAgB,6BAA6BziC,MAAM,KACnDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,sCACLC,IAAM,6CACNC,KAAO,oDAEXX,SAAW,CACPC,QAAS,sBACTC,QAAS,sBACTE,QAAS,sBACTD,SAAU,4BACVE,SAAU,4BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SAAU7K,GAEf,OAAOA,GADK,UAAUllC,KAAKklC,GAAU,MAAQ,QAAQllC,KAAKklC,GAAU,MAAQ,QAGhF8K,KAAO,YACPxR,EAAI,iBACJyR,GAAK,aACLjkB,EAAI,YACJkkB,GAAK,WACLrjC,EAAI,YACJsjC,GAAK,WACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,WACJ4F,GAAK,UACL1I,EAAI,UACJ2I,GAAK,UAETT,uBAAwB,cACxBjL,QAAU,SACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAnDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAQ,yFAAyF9+B,MAAM,KACvG6+B,YAAa,qDAAqD7+B,MAAM,KACxE0iC,SAAU,+EAA+E1iC,MAAM,KAC/FyiC,cAAe,+BAA+BziC,MAAM,KACpDwiC,YAAa,uBAAuBxiC,MAAM,KAC1C8pH,oBAAqB,EAErBnuF,eAAgB,CACZv0B,GAAI,QACJy+B,IAAM,WACNC,EAAG,aACHC,GAAI,cACJC,IAAK,oBACLC,KAAM,2BAEVX,SAAU,CACNC,QAAS,iBACTC,QAAS,gBACTC,SAAU,eACVC,QAAS,eACTC,SAAU,wBACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,UACRC,KAAM,WACNxR,EAAG,mBACHyR,GAAI,YACJjkB,EAAG,QACHkkB,GAAI,WACJrjC,EAAG,MACHsjC,GAAI,SACJ3F,EAAG,UACH4F,GAAI,aACJ3F,EAAG,MACH4F,GAAI,SACJ1I,EAAG,WACH2I,GAAI,cAERT,uBAAwB,mCAExBjL,QAAS,SAAUrM,GACf,IACI2M,EAAS,GAcb,OAfQ3M,EAMA,GAEA2M,EADM,KAPN3M,GAOkB,KAPlBA,GAO8B,KAP9BA,GAO0C,KAP1CA,GAOsD,MAPtDA,EAQS,MAEA,MAVTA,EAYO,IACX2M,EAXS,CACL,GAAI,KAAM,KAAM,MAAO,MAAO,KAAM,KAAM,KAAM,MAAO,MAAO,MAC9D,KAAM,MAAO,KAAM,KAAM,MAAO,KAAM,KAAM,MAAO,KAAM,OAJzD3M,IAeDA,EAAS2M,GAEpBqG,KAAO,CACHL,IAAM,EACNC,IAAM,KApEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,sFAAsF9+B,MAAM,KACrG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,qDAAqD1iC,MAAM,KACtEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,eACLC,IAAM,qBACNC,KAAO,sCAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,oBACVC,SAAW,mBACXC,QAAU,iBACVC,SAAW,qBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,WACPxR,EAAI,cACJyR,GAAK,cACLjkB,EAAI,WACJkkB,GAAK,cACLrjC,EAAI,UACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,WACJ4F,GAAK,aACL1I,EAAI,QACJ2I,GAAK,SAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAASqC,EAAoBj9F,EAAQklB,EAAer7C,EAAKi/C,GACrD,IAAI30B,EAAS,CACT,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,UAAW,aACjB,GAAM,CAAC6L,EAAS,QAASA,EAAS,UAClC,EAAK,CAAC,YAAa,eACnB,GAAM,CAACA,EAAS,UAAWA,EAAS,YACpC,EAAK,CAAC,WAAY,cAClB,GAAM,CAACA,EAAS,SAAUA,EAAS,YAEvC,OAAOklB,EAAgB/wB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAG/C+wH,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqF9+B,MAAM,KACpG6+B,YAAc,6DAA6D7+B,MAAM,KACjForH,kBAAmB,EACnB1oF,SAAW,8DAA8D1iC,MAAM,KAC/EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAI,QACJy+B,IAAK,WACLC,EAAI,aACJC,GAAK,eACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAS,sBACTK,SAAU,IACVJ,QAAS,uBACTC,SAAU,qBACVC,QAAS,wBACTC,SAAU,gCAEdQ,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,oBACJyR,GAAK,cACLjkB,EAAIwpG,EACJtlF,GAAK,aACLrjC,EAAI2oH,EACJrlF,GAAK,aACL3F,EAAIgrF,EACJplF,GAAKolF,EACL/qF,EAAI+qF,EACJnlF,GAAKmlF,EACL7tF,EAAI6tF,EACJllF,GAAKklF,GAET3lF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAASqC,EAAoBj9F,EAAQklB,EAAer7C,EAAKi/C,GACrD,IAAI30B,EAAS,CACT,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,UAAW,aACjB,GAAM,CAAC6L,EAAS,QAASA,EAAS,UAClC,EAAK,CAAC,YAAa,eACnB,GAAM,CAACA,EAAS,UAAWA,EAAS,YACpC,EAAK,CAAC,WAAY,cAClB,GAAM,CAACA,EAAS,SAAUA,EAAS,YAEvC,OAAOklB,EAAgB/wB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAG7C+wH,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,qFAAqF9+B,MAAM,KACpG6+B,YAAc,6DAA6D7+B,MAAM,KACjForH,kBAAmB,EACnB1oF,SAAW,8DAA8D1iC,MAAM,KAC/EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAI,QACJy+B,IAAK,WACLC,EAAI,aACJC,GAAK,eACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAS,sBACTK,SAAU,IACVJ,QAAS,uBACTC,SAAU,qBACVC,QAAS,wBACTC,SAAU,gCAEdQ,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,oBACJyR,GAAK,cACLjkB,EAAIwpG,EACJtlF,GAAK,aACLrjC,EAAI2oH,EACJrlF,GAAK,aACL3F,EAAIgrF,EACJplF,GAAKolF,EACL/qF,EAAI+qF,EACJnlF,GAAKmlF,EACL7tF,EAAI6tF,EACJllF,GAAKklF,GAET3lF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAASqC,EAAoBj9F,EAAQklB,EAAer7C,EAAKi/C,GACrD,IAAI30B,EAAS,CACT,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,UAAW,aACjB,GAAM,CAAC6L,EAAS,QAASA,EAAS,UAClC,EAAK,CAAC,YAAa,eACnB,GAAM,CAACA,EAAS,UAAWA,EAAS,YACpC,EAAK,CAAC,WAAY,cAClB,GAAM,CAACA,EAAS,SAAUA,EAAS,YAEvC,OAAOklB,EAAgB/wB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAG7C+wH,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,qFAAqF9+B,MAAM,KACpG6+B,YAAc,6DAA6D7+B,MAAM,KACjForH,kBAAmB,EACnB1oF,SAAW,8DAA8D1iC,MAAM,KAC/EyiC,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAI,QACJy+B,IAAK,WACLC,EAAI,aACJC,GAAK,eACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAS,sBACTK,SAAU,IACVJ,QAAS,uBACTC,SAAU,qBACVC,QAAS,wBACTC,SAAU,gCAEdQ,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,oBACJyR,GAAK,cACLjkB,EAAIwpG,EACJtlF,GAAK,aACLrjC,EAAI2oH,EACJrlF,GAAK,aACL3F,EAAIgrF,EACJplF,GAAKolF,EACL/qF,EAAI+qF,EACJnlF,GAAKmlF,EACL7tF,EAAI6tF,EACJllF,GAAKklF,GAET3lF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI1qF,EAAS,CACT,WACA,aACA,SACA,WACA,KACA,OACA,SACA,WACA,eACA,aACA,aACA,cACD4D,EAAW,CACV,WACA,OACA,WACA,OACA,aACA,SACA,YAGK8mF,EAAOniF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcC,EACd4D,SAAWA,EACXD,cAAgBC,EAChBF,YAAc,qCAAqCxiC,MAAM,KACzD27B,eAAiB,CAEbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,WACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXW,cAAe,QACf7B,KAAO,SAAUr8B,GACb,MAAO,OAASA,GAEpBytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,KAEA,MAGf/G,SAAW,CACPC,QAAU,cACVC,QAAU,cACVC,SAAW,UACXC,QAAU,cACVC,SAAW,qBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,cACTC,KAAO,YACPxR,EAAI,iBACJyR,GAAK,cACLjkB,EAAI,WACJkkB,GAAK,YACLrjC,EAAI,aACJsjC,GAAK,cACL3F,EAAI,WACJ4F,GAAK,YACL3F,EAAI,SACJ4F,GAAK,UACL1I,EAAI,WACJ2I,GAAK,aAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCi5C,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCgnC,KAAO,CACHL,IAAM,EACNC,IAAM,MAvFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAOhBA,EAAOniF,aAAa,KAAM,CAC/BykF,mBAAqB,qHAAqH9rH,MAAM,KAChJ+rH,iBAAmB,qHAAqH/rH,MAAM,KAC9I8+B,OAAS,SAAUktF,EAAgBjpG,GAC/B,OAAKipG,EAEwB,iBAAXjpG,GAAuB,IAAIvX,KAAKuX,EAAOzjB,UAAU,EAAGyjB,EAAO9jB,QAAQ,UAC1EoB,KAAK4rH,kBAAkBD,EAAeztF,SAEtCl+B,KAAK6rH,oBAAoBF,EAAeztF,SAJxCl+B,KAAK6rH,qBAOpBrtF,YAAc,oDAAoD7+B,MAAM,KACxE0iC,SAAW,yDAAyD1iC,MAAM,KAC1EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3Cm2B,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,KAAO,KAEjBA,EAAU,KAAO,MAGhCxT,KAAO,SAAUr8B,GACb,MAA0C,OAAjCA,EAAQ,IAAI8J,cAAc,IAEvCo0B,cAAgB,gBAChBjL,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXkmF,WAAa,CACT5mF,QAAU,iBACVC,QAAU,gBACVC,SAAW,eACXC,QAAU,eACVC,SAAW,WACP,OAAQtlC,KAAKkjC,OACT,KAAK,EACD,MAAO,gCACX,QACI,MAAO,mCAGnBqC,SAAW,KAEfN,SAAW,SAAU7sC,EAAK6iC,GACtB,IAxDY5yB,EAwDR6yB,EAASl7B,KAAK+rH,YAAY3zH,GAC1B8rC,EAAQjJ,GAAOA,EAAIiJ,QAIvB,QA7DY77B,EA0DG6yB,aAzDK/jC,UAAsD,sBAA1CG,OAAOC,UAAUF,SAASK,KAAK2Q,MA0D3D6yB,EAASA,EAAOn1B,MAAMk1B,IAEnBC,EAAO3gC,QAAQ,KAAO2pC,EAAQ,IAAO,EAAI,MAAQ,SAE5D4B,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,oBACJyR,GAAK,kBACLjkB,EAAI,YACJkkB,GAAK,WACLrjC,EAAI,UACJsjC,GAAK,UACL3F,EAAI,WACJ4F,GAAK,WACL3F,EAAI,aACJ4F,GAAK,WACL1I,EAAI,cACJ2I,GAAK,aAETT,uBAAwB,WACxBjL,QAAS,MACT2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAxFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLjkB,EAAI,WACJkkB,GAAK,aACLrjC,EAAI,UACJsjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BonC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLjkB,EAAI,WACJkkB,GAAK,aACLrjC,EAAI,UACJsjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BonC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,eACLC,IAAM,sBACNC,KAAO,6BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLjkB,EAAI,WACJkkB,GAAK,aACLrjC,EAAI,UACJsjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,SAlDOuhD,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLjkB,EAAI,WACJkkB,GAAK,aACLrjC,EAAI,UACJsjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BonC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLjkB,EAAI,WACJkkB,GAAK,aACLrjC,EAAI,UACJsjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BonC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJxS,EAAI,WACJkkB,GAAK,aACLrjC,EAAI,UACJsjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,SAjDOuhD,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLjkB,EAAI,WACJkkB,GAAK,aACLrjC,EAAI,UACJsjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BonC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,6FAA6F9+B,MAAM,KAC5G6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,qDAAqD1iC,MAAM,KACtEyiC,cAAgB,gCAAgCziC,MAAM,KACtDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,sBACLC,IAAM,4BACNC,KAAO,wCAEXW,cAAe,cACf7B,KAAM,SAAUr8B,GACZ,MAAyC,MAAlCA,EAAM7J,OAAO,GAAG2T,eAE3B2jB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,SAAW,SAErBA,EAAU,SAAW,UAGpCjT,SAAW,CACPC,QAAU,iBACVC,QAAU,iBACVC,SAAW,eACXC,QAAU,iBACVC,SAAW,yBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,WACPxR,EAAI,WACJyR,GAAK,cACLjkB,EAAI,SACJkkB,GAAK,aACLrjC,EAAI,OACJsjC,GAAK,WACL3F,EAAI,OACJ4F,GAAK,WACL3F,EAAI,SACJ4F,GAAK,aACL1I,EAAI,OACJ2I,GAAK,YAETT,uBAAwB,WACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA3DwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI6C,EAAiB,8DAA8DrsH,MAAM,KACrF6+B,EAAc,kDAAkD7+B,MAAM,KAEtEi/B,EAAc,CAAC,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,SAClHD,EAAc,mLAETwqF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,2FAA2F9+B,MAAM,KAC1G6+B,YAAc,SAAUxc,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACb8b,EAAYxc,EAAEkc,SAEd8tF,EAAehqG,EAAEkc,SAJjB8tF,GAOfrtF,YAAcA,EACdD,iBAAmBC,EACnBysF,kBAAoB,+FACpBC,uBAAyB,0FACzBzsF,YAAcA,EACd0sF,gBAAkB1sF,EAClB2sF,iBAAmB3sF,EACnByD,SAAW,uDAAuD1iC,MAAM,KACxEyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,6BACNC,KAAO,oCAEXX,SAAW,CACPC,QAAU,WACN,MAAO,aAAiC,IAAjBllC,KAAKkkC,QAAiB,IAAM,IAAM,QAE7DiB,QAAU,WACN,MAAO,gBAAoC,IAAjBnlC,KAAKkkC,QAAiB,IAAM,IAAM,QAEhEkB,SAAW,WACP,MAAO,cAAkC,IAAjBplC,KAAKkkC,QAAiB,IAAM,IAAM,QAE9DmB,QAAU,WACN,MAAO,cAAkC,IAAjBrlC,KAAKkkC,QAAiB,IAAM,IAAM,QAE9DoB,SAAW,WACP,MAAO,0BAA8C,IAAjBtlC,KAAKkkC,QAAiB,IAAM,IAAM,QAE1EqB,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,gBACJyR,GAAK,cACLjkB,EAAI,YACJkkB,GAAK,aACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI6C,EAAiB,8DAA8DrsH,MAAM,KACrF6+B,EAAc,kDAAkD7+B,MAAM,KAEtEi/B,EAAc,CAAC,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,SAClHD,EAAc,mLAEPwqF,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,2FAA2F9+B,MAAM,KAC1G6+B,YAAc,SAAUxc,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACb8b,EAAYxc,EAAEkc,SAEd8tF,EAAehqG,EAAEkc,SAJjB8tF,GAOfrtF,YAAaA,EACbD,iBAAkBC,EAClBysF,kBAAmB,+FACnBC,uBAAwB,0FACxBzsF,YAAaA,EACb0sF,gBAAiB1sF,EACjB2sF,iBAAkB3sF,EAClByD,SAAW,uDAAuD1iC,MAAM,KACxEyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,+BACNC,KAAO,sCAEXX,SAAW,CACPC,QAAU,WACN,MAAO,aAAiC,IAAjBllC,KAAKkkC,QAAiB,IAAM,IAAM,QAE7DiB,QAAU,WACN,MAAO,gBAAoC,IAAjBnlC,KAAKkkC,QAAiB,IAAM,IAAM,QAEhEkB,SAAW,WACP,MAAO,cAAkC,IAAjBplC,KAAKkkC,QAAiB,IAAM,IAAM,QAE9DmB,QAAU,WACN,MAAO,cAAkC,IAAjBrlC,KAAKkkC,QAAiB,IAAM,IAAM,QAE9DoB,SAAW,WACP,MAAO,0BAA8C,IAAjBtlC,KAAKkkC,QAAiB,IAAM,IAAM,QAE1EqB,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,gBACJyR,GAAK,cACLjkB,EAAI,YACJkkB,GAAK,aACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI6C,EAAiB,8DAA8DrsH,MAAM,KACrF6+B,EAAc,kDAAkD7+B,MAAM,KAEtEi/B,EAAc,CAAC,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,SAClHD,EAAc,mLAEPwqF,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,2FAA2F9+B,MAAM,KAC1G6+B,YAAc,SAAUxc,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACb8b,EAAYxc,EAAEkc,SAEd8tF,EAAehqG,EAAEkc,SAJjB8tF,GAOfrtF,YAAaA,EACbD,iBAAkBC,EAClBysF,kBAAmB,+FACnBC,uBAAwB,0FACxBzsF,YAAaA,EACb0sF,gBAAiB1sF,EACjB2sF,iBAAkB3sF,EAClByD,SAAW,uDAAuD1iC,MAAM,KACxEyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,+BACNC,KAAO,sCAEXX,SAAW,CACPC,QAAU,WACN,MAAO,aAAiC,IAAjBllC,KAAKkkC,QAAiB,IAAM,IAAM,QAE7DiB,QAAU,WACN,MAAO,gBAAoC,IAAjBnlC,KAAKkkC,QAAiB,IAAM,IAAM,QAEhEkB,SAAW,WACP,MAAO,cAAkC,IAAjBplC,KAAKkkC,QAAiB,IAAM,IAAM,QAE9DmB,QAAU,WACN,MAAO,cAAkC,IAAjBrlC,KAAKkkC,QAAiB,IAAM,IAAM,QAE9DoB,SAAW,WACP,MAAO,0BAA8C,IAAjBtlC,KAAKkkC,QAAiB,IAAM,IAAM,QAE1EqB,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,gBACJyR,GAAK,cACLjkB,EAAI,YACJkkB,GAAK,aACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAASqC,EAAoBj9F,EAAQklB,EAAer7C,EAAKi/C,GACrD,IAAI30B,EAAS,CACT,EAAM,CAAC,eAAgB,cAAe,iBACtC,GAAM,CAAC6L,EAAS,UAAWA,EAAS,YACpC,EAAM,CAAC,aAAc,aACrB,GAAM,CAACA,EAAS,UAAWA,EAAS,YACpC,EAAM,CAAC,YAAa,YAAa,YACjC,GAAM,CAACA,EAAS,SAAUA,EAAS,UACnC,EAAM,CAAC,YAAa,YACpB,EAAM,CAAC,UAAW,WAAY,WAC9B,GAAM,CAACA,EAAS,OAAQA,EAAS,SACjC,EAAM,CAAC,YAAa,QAAS,aAC7B,GAAM,CAACA,EAAS,SAAUA,EAAS,YAEvC,OAAIklB,EACO/wB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAElDi/C,EAAW30B,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAG1C+wH,EAAOniF,aAAa,KAAM,CAC/BvI,OAAgB,6FAA6F9+B,MAAM,KACnH6+B,YAAgB,6DAA6D7+B,MAAM,KACnF0iC,SAAgB,iEAAiE1iC,MAAM,KACvFyiC,cAAgB,gBAAgBziC,MAAM,KACtCwiC,YAAgB,gBAAgBxiC,MAAM,KACtC27B,eAAiB,CACbv0B,GAAO,OACPy+B,IAAM,UACNC,EAAO,aACPC,GAAO,eACPC,IAAO,oBACPC,KAAO,2BAEXX,SAAW,CACPC,QAAW,aACXC,QAAW,cACXC,SAAW,qBACXC,QAAW,aACXC,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAS,YACTxR,EAASg3F,EACTvlF,GAASulF,EACTxpG,EAASwpG,EACTtlF,GAASslF,EACT3oH,EAAS2oH,EACTrlF,GAASqlF,EACThrF,EAASgrF,EACTplF,GAAS,WACT3F,EAAS+qF,EACTnlF,GAASmlF,EACT7tF,EAAS6tF,EACTllF,GAASklF,GAEb3lF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KApEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,+FAA+F9+B,MAAM,KAC9G6+B,YAAc,8DAA8D7+B,MAAM,KAClForH,kBAAmB,EACnB1oF,SAAW,sEAAsE1iC,MAAM,KACvFyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,0BACLC,IAAM,gCACNC,KAAO,sCACP1tC,EAAI,WACJ8yH,GAAK,oBACLC,IAAM,0BACNC,KAAO,gCAEXjmF,SAAW,CACPC,QAAU,kBACVC,QAAU,mBACVC,SAAW,gBACXC,QAAU,kBACVC,SAAW,0BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,WACPxR,EAAI,iBACJyR,GAAK,aACLjkB,EAAI,aACJkkB,GAAK,YACLrjC,EAAI,WACJsjC,GAAK,UACL3F,EAAI,WACJ4F,GAAK,UACL3F,EAAI,eACJ4F,GAAK,cACL1I,EAAI,WACJ2I,GAAK,WAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAtDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,wEAAwE9+B,MAAM,KACvF6+B,YAAc,wEAAwE7+B,MAAM,KAC5F0iC,SAAW,qDAAoE1iC,MAAM,KACrFyiC,cAAgB,qDAAoEziC,MAAM,KAC1FwiC,YAAc,gBAAgBxiC,MAAM,KACpC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXW,cAAe,wBACf7B,KAAM,SAAUr8B,GACZ,MAAO,aAAa8C,KAAK9C,IAE7BytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,aAEA,cAGf/G,SAAW,CACPC,QAAU,kBACVC,QAAU,iBACVC,SAAW,iBACXC,QAAU,kBACVC,SAAW,uBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,YACJyR,GAAK,WACLjkB,EAAI,WACJkkB,GAAK,WACLrjC,EAAI,UACJsjC,GAAK,UACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,SACL1I,EAAI,SACJ2I,GAAK,UAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,UAAU,SAAU2R,GACtC,OAAOm9G,EAAUn9G,MAClB3R,QAAQ,KAAM,MAErBi5C,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,MAClB3R,QAAQ,KAAM,MAErBsrC,uBAAwB,WACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,MA9FwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI8C,EAAc,wEAAwEtsH,MAAM,KAC5FusH,EAAgB,CACZ,QAAS,QAAS,SAAU,SAAU,SAAU,SAAU,SAC1DD,EAAY,GAAIA,EAAY,GAAIA,EAAY,IAEpD,SAASnB,EAAUv8F,EAAQklB,EAAer7C,EAAKi/C,GAC3C,IAAIx9C,EAAS,GACb,OAAQzB,GACJ,IAAK,IACD,OAAOi/C,EAAW,oBAAsB,kBAC5C,IAAK,KACD,OAAOA,EAAW,WAAa,WACnC,IAAK,IACD,OAAOA,EAAW,WAAa,WACnC,IAAK,KACDx9C,EAASw9C,EAAW,WAAa,YACjC,MACJ,IAAK,IACD,OAAOA,EAAW,SAAW,QACjC,IAAK,KACDx9C,EAASw9C,EAAW,SAAW,SAC/B,MACJ,IAAK,IACD,OAAOA,EAAW,SAAW,QACjC,IAAK,KACDx9C,EAASw9C,EAAW,SAAW,SAC/B,MACJ,IAAK,IACD,OAAOA,EAAW,YAAc,WACpC,IAAK,KACDx9C,EAASw9C,EAAW,YAAc,YAClC,MACJ,IAAK,IACD,OAAOA,EAAW,SAAW,QACjC,IAAK,KACDx9C,EAASw9C,EAAW,SAAW,SAIvC,OADAx9C,EAGJ,SAAsB00B,EAAQ8oB,GAC1B,OAAO9oB,EAAS,GAAM8oB,EAAW60E,EAAc39F,GAAU09F,EAAY19F,GAAWA,EAJvE49F,CAAa59F,EAAQ8oB,GAAY,IAAMx9C,EAO3CsvH,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,2GAA2G9+B,MAAM,KAC1H6+B,YAAc,uEAAuE7+B,MAAM,KAC3F0iC,SAAW,qEAAqE1iC,MAAM,KACtFyiC,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,mBACLC,IAAM,gCACNC,KAAO,sCACP1tC,EAAI,WACJ8yH,GAAK,cACLC,IAAM,2BACNC,KAAO,iCAEXjmF,SAAW,CACPC,QAAU,oBACVC,QAAU,sBACVC,SAAW,gBACXC,QAAU,mBACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,YACPxR,EAAIs2F,EACJ7kF,GAAK6kF,EACL9oG,EAAI8oG,EACJ5kF,GAAK4kF,EACLjoH,EAAIioH,EACJ3kF,GAAK2kF,EACLtqF,EAAIsqF,EACJ1kF,GAAK0kF,EACLrqF,EAAIqqF,EACJzkF,GAAKykF,EACLntF,EAAImtF,EACJxkF,GAAKwkF,GAETjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAjGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqF9+B,MAAM,KACpG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,4EAA4E1iC,MAAM,KAC7FyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,oBACVC,SAAW,gBACXC,QAAU,kBACVC,SAAW,wBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,YACPxR,EAAI,YACJyR,GAAK,cACLjkB,EAAI,eACJkkB,GAAK,cACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,YACJ4F,GAAK,WACL3F,EAAI,cACJ4F,GAAK,aACL1I,EAAI,UACJ2I,GAAK,SAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,uFAAuF9+B,MAAM,KACtG6+B,YAAc,iEAAiE7+B,MAAM,KACrForH,kBAAmB,EACnB1oF,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,qBACVC,QAAU,gBACVC,SAAW,cACXC,QAAU,cACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,YACPxR,EAAI,oBACJyR,GAAK,cACLjkB,EAAI,aACJkkB,GAAK,aACLrjC,EAAI,YACJsjC,GAAK,YACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,UACJ4F,GAAK,UACL1I,EAAI,QACJ2I,GAAK,UAETT,uBAAwB,eACxBjL,QAAU,SAAUrM,EAAQoiB,GACxB,OAAQA,GAIJ,IAAK,IACD,OAAOpiB,GAAqB,IAAXA,EAAe,KAAO,IAG3C,QACA,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACD,OAAOA,GAAqB,IAAXA,EAAe,KAAO,KAG3C,IAAK,IACL,IAAK,IACD,OAAOA,GAAqB,IAAXA,EAAe,KAAO,OAGnDgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAvEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,uFAAuF9+B,MAAM,KACtG6+B,YAAc,iEAAiE7+B,MAAM,KACrForH,kBAAmB,EACnB1oF,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,qBACVC,QAAU,gBACVC,SAAW,cACXC,QAAU,cACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,YACPxR,EAAI,oBACJyR,GAAK,cACLjkB,EAAI,aACJkkB,GAAK,aACLrjC,EAAI,YACJsjC,GAAK,YACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,UACJ4F,GAAK,UACL1I,EAAI,QACJ2I,GAAK,UAETT,uBAAwB,gBACxBjL,QAAU,SAAUrM,EAAQoiB,GACxB,OAAQA,GAEJ,QACA,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACD,OAAOpiB,GAAqB,IAAXA,EAAe,KAAO,KAG3C,IAAK,IACL,IAAK,IACD,OAAOA,GAAqB,IAAXA,EAAe,KAAO,SA7DjBmtB,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,uFAAuF9+B,MAAM,KACtG6+B,YAAc,iEAAiE7+B,MAAM,KACrForH,kBAAmB,EACnB1oF,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,qBACVC,QAAU,gBACVC,SAAW,cACXC,QAAU,cACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,YACPxR,EAAI,oBACJyR,GAAK,cACLjkB,EAAI,aACJkkB,GAAK,aACLrjC,EAAI,YACJsjC,GAAK,YACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,UACJ4F,GAAK,UACL1I,EAAI,QACJ2I,GAAK,UAETT,uBAAwB,gBACxBjL,QAAU,SAAUrM,EAAQoiB,GACxB,OAAQA,GAEJ,QACA,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACD,OAAOpiB,GAAqB,IAAXA,EAAe,KAAO,KAG3C,IAAK,IACL,IAAK,IACD,OAAOA,GAAqB,IAAXA,EAAe,KAAO,OAGnDgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAlEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIiD,EAAsB,6DAA6DzsH,MAAM,KACzF0sH,EAAyB,kDAAkD1sH,MAAM,KAE5EwpH,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,iGAAiG9+B,MAAM,KAChH6+B,YAAc,SAAUxc,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACb2pG,EAAuBrqG,EAAEkc,SAEzBkuF,EAAoBpqG,EAAEkc,SAJtBkuF,GAOfrB,kBAAmB,EACnB1oF,SAAW,wDAAwD1iC,MAAM,KACzEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,gBACTC,QAAS,gBACTC,SAAU,eACVC,QAAS,iBACTC,SAAU,wBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SACTC,KAAO,SACPxR,EAAI,mBACJyR,GAAK,cACLjkB,EAAI,YACJkkB,GAAK,aACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,aACJ4F,GAAK,aACL1I,EAAI,WACJ2I,GAAK,cAETT,uBAAwB,kBACxBjL,QAAU,SAAUrM,GAChB,OAAOA,GAAsB,IAAXA,GAA2B,IAAXA,GAAgBA,GAAU,GAAM,MAAQ,OAE9EgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA/DwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAgBhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAbS,CACT,SAAU,UAAW,QAAS,UAAW,YAAa,YAAa,OAAQ,SAAU,eAAgB,oBAAqB,UAAW,WAarID,YAVc,CAAC,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,QAWvGusF,kBAAkB,EAClB1oF,SAVW,CAAC,eAAgB,WAAY,WAAY,cAAe,YAAa,YAAa,cAW7FD,cATgB,CAAC,MAAO,MAAO,MAAO,MAAO,MAAO,MAAO,OAU3DD,YARc,CAAC,KAAM,KAAM,KAAM,KAAM,KAAM,KAAM,MASnD7G,eAAgB,CACZv0B,GAAI,QACJy+B,IAAK,WACLC,EAAG,aACHC,GAAI,cACJC,IAAK,oBACLC,KAAM,2BAEVX,SAAU,CACNC,QAAS,gBACTC,QAAS,kBACTC,SAAU,eACVC,QAAS,gBACTC,SAAU,2BACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,OACRC,KAAM,YACNxR,EAAG,gBACHyR,GAAI,aACJjkB,EAAG,UACHkkB,GAAI,aACJrjC,EAAG,iBACHsjC,GAAI,oBACJ3F,EAAG,KACH4F,GAAI,QACJ3F,EAAG,KACH4F,GAAI,QACJ1I,EAAG,SACH2I,GAAI,aAERT,uBAAwB,mBACxBjL,QAAS,SAAUrM,GAEf,OAAOA,GADiB,IAAXA,EAAe,IAAMA,EAAS,IAAO,EAAI,KAAO,OAGjEgT,KAAM,CACFL,IAAK,EACLC,IAAK,KAjEyBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAehBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAbS,CACT,gBAAiB,aAAc,UAAW,aAAc,aAAc,eAAgB,cAAe,cAAe,eAAgB,aAAc,eAAgB,gBAalKD,YAVc,CAAC,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,MAAO,OAAQ,OAAQ,OAAQ,QAWtGusF,kBAAmB,EACnB1oF,SAVW,CAAC,cAAe,UAAW,UAAW,YAAa,YAAa,WAAY,eAWvFD,cATgB,CAAC,MAAO,MAAO,MAAO,MAAO,MAAO,MAAO,OAU3DD,YARc,CAAC,KAAM,KAAM,KAAM,KAAM,KAAM,KAAM,MASnD7G,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,oBACVC,QAAU,sBACVC,SAAW,gBACXC,QAAU,iBACVC,SAAW,6BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,gBACPxR,EAAI,gBACJyR,GAAK,YACLjkB,EAAI,UACJkkB,GAAK,gBACLrjC,EAAI,OACJsjC,GAAK,aACL3F,EAAI,QACJ4F,GAAK,WACL3F,EAAI,OACJ4F,GAAK,YACL1I,EAAI,WACJ2I,GAAK,eAETT,uBAAyB,mBACzBjL,QAAU,SAAUrM,GAEhB,OAAOA,GADiB,IAAXA,EAAe,IAAMA,EAAS,IAAO,EAAI,KAAO,OAGjEgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAhEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,yFAAyF9+B,MAAM,KACxG6+B,YAAc,8DAA8D7+B,MAAM,KAClForH,kBAAkB,EAClB1oF,SAAW,mDAAmD1iC,MAAM,KACpEyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,6BACNC,KAAO,oCAEXX,SAAW,CACPC,QAAU,WACN,MAAO,UAA8B,IAAjBllC,KAAKkkC,QAAiB,KAAO,KAAO,QAE5DiB,QAAU,WACN,MAAO,UAA8B,IAAjBnlC,KAAKkkC,QAAiB,KAAO,KAAO,QAE5DkB,SAAW,WACP,MAAO,UAA8B,IAAjBplC,KAAKkkC,QAAiB,KAAO,KAAO,QAE5DmB,QAAU,WACN,MAAO,UAA8B,IAAjBrlC,KAAKkkC,QAAiB,IAAM,KAAO,QAE3DoB,SAAW,WACP,MAAO,qBAAyC,IAAjBtlC,KAAKkkC,QAAiB,KAAO,KAAO,QAEvEqB,SAAW,KAEfO,aAAe,CACXC,OAAS,SAAUzrC,GACf,OAA0B,IAAtBA,EAAIsE,QAAQ,MACL,IAAMtE,EAEV,MAAQA,GAEnB0rC,KAAO,SACPxR,EAAI,eACJyR,GAAK,cACLjkB,EAAI,YACJkkB,GAAK,aACLrjC,EAAI,YACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAjEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAASqC,EAAoBj9F,EAAQklB,EAAer7C,EAAKi/C,GACrD,IAAI30B,EAAS,CACT,EAAK,CAAC,oBAAqB,iBAC3B,GAAM,CAAC6L,EAAS,cAAeA,EAAS,WACxC,EAAK,CAAC,aAAc,aACpB,GAAM,CAACA,EAAS,YAAaA,EAAS,WACtC,EAAK,CAAC,YAAa,UACnB,GAAM,CAACA,EAAS,WAAYA,EAAS,UACrC,EAAK,CAAC,YAAa,UACnB,GAAM,CAACA,EAAS,WAAYA,EAAS,QACrC,EAAK,CAAC,eAAgB,aACtB,GAAM,CAACA,EAAS,cAAeA,EAAS,WACxC,EAAK,CAAC,aAAc,YACpB,GAAM,CAACA,EAAS,YAAaA,EAAS,YAE1C,OAAOklB,EAAgB/wB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAG1C+wH,EAAOniF,aAAa,WAAY,CAC1CvI,OAAS,4EAA4E9+B,MAAM,KAC3F6+B,YAAc,4DAA4D7+B,MAAM,KAChForH,kBAAmB,EACnB1oF,SAAW,qDAAsD1iC,MAAM,KACvEyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,iBACLy+B,IAAM,oBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,6BACNC,KAAO,6CACPslF,KAAM,mCAEVjmF,SAAW,CACPC,QAAS,WACTC,QAAS,cACTC,SAAU,uBACVC,QAAS,WACTC,SAAU,qBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,KACTC,KAAO,UACPxR,EAAIg3F,EACJvlF,GAAKulF,EACLxpG,EAAIwpG,EACJtlF,GAAKslF,EACL3oH,EAAI2oH,EACJrlF,GAAKqlF,EACLhrF,EAAIgrF,EACJplF,GAAKolF,EACL/qF,EAAI+qF,EACJnlF,GAAKmlF,EACL7tF,EAAI6tF,EACJllF,GAAKklF,GAET3lF,uBAAyB,cACzBjL,QAAU,SAAUrM,EAAQoiB,GACxB,OAAQA,GAEJ,IAAK,IACD,OAAOpiB,EAAS,KACpB,QACA,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACL,IAAK,IACL,IAAK,IACD,OAAOA,IAGnBgT,KAAO,CACHL,IAAM,EACNC,IAAM,GAEVoF,cAAe,8BACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,SAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,YAAblW,EACAkW,EACa,aAAblW,EACAkW,EAAO,GAAKA,EAAOA,EAAO,GACb,UAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,UACAA,EAAO,GACP,WACAA,EAAO,GACP,QAEA,UA9GmB0P,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACR,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGJF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAQ,yFAAyF9+B,MAAM,KACvG6+B,YAAa,yEAAyE7+B,MAAM,KAC5ForH,kBAAkB,EAClB1oF,SAAU,wDAAwD1iC,MAAM,KACxEyiC,cAAe,mCAAmCziC,MAAM,KACxDwiC,YAAa,qBAAqBxiC,MAAM,KACxC27B,eAAgB,CACZv0B,GAAI,gBACJy+B,IAAK,mBACLC,EAAG,aACHC,GAAI,cACJC,IAAK,6BACLC,KAAM,oCAEVX,SAAU,CACNC,QAAS,UACTC,QAAS,YACTC,SAAU,WACVC,QAAS,cACTC,SAAU,mBACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,QACRC,KAAM,WACNxR,EAAG,WACHyR,GAAI,WACJjkB,EAAG,WACHkkB,GAAI,WACJrjC,EAAG,UACHsjC,GAAI,UACJ3F,EAAG,UACH4F,GAAI,UACJ3F,EAAG,WACH4F,GAAI,WACJ1I,EAAG,UACH2I,GAAI,WAER+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAKzBq6B,cAAe,qBACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAEM,QAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,SAAblW,EACAkW,EACa,SAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,SAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAU,SAAUkW,EAAMc,EAAQoL,GAC9B,OAAIlM,EAAO,EACA,MACAA,EAAO,GACP,OACAA,EAAO,GACP,OACAA,EAAO,GACP,OAEA,OAGfzK,KAAM,CACFL,IAAK,EACLC,IAAK,KAhHyBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,0EAA0E9+B,MAAM,KACzF6+B,YAAc,4DAA4D7+B,MAAM,KAChF0iC,SAAW,uCAAuC1iC,MAAM,KACxDyiC,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,gBAAgBxiC,MAAM,KACpC27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,iBACLC,IAAM,uBACNC,KAAO,6BACP1tC,EAAI,WACJ8yH,GAAK,aACLC,IAAM,mBACNC,KAAO,yBAEXjmF,SAAW,CACPC,QAAU,cACVC,QAAU,aACVC,SAAW,iBACXC,QAAU,eACVC,SAAW,+BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,UACPxR,EAAI,aACJyR,GAAK,WACLjkB,EAAI,MACJkkB,GAAK,UACLrjC,EAAI,MACJsjC,GAAK,SAAU5X,GACX,OAAe,IAAXA,EACO,SAEJA,EAAS,SAEpBiS,EAAI,MACJ4F,GAAK,SAAU7X,GACX,OAAe,IAAXA,EACO,SAEJA,EAAS,SAEpBkS,EAAI,OACJ4F,GAAK,SAAU9X,GACX,OAAe,IAAXA,EACO,UAEJA,EAAS,WAEpBoP,EAAI,MACJ2I,GAAK,SAAU/X,GACX,OAAe,IAAXA,EACO,SACAA,EAAS,IAAO,GAAgB,KAAXA,EACrBA,EAAS,OAEbA,EAAS,UAGxBgY,cAAe,gEACf7B,KAAO,SAAUr8B,GACb,MAAO,8BAA8B8C,KAAK9C,IAE9CytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,aACAA,EAAO,GACP,QACAA,EAAO,GACPkM,EAAU,SAAW,eACrBlM,EAAO,GACPkM,EAAU,QAAU,eAEpB,UApFmBwD,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,8EAA8E9+B,MAAM,KAC7F6+B,YAAc,6DAA6D7+B,MAAM,KACjForH,kBAAkB,EAClB1oF,SAAW,uDAAuD1iC,MAAM,KACxEyiC,cAAgB,kCAAkCziC,MAAM,KACxDwiC,YAAc,qBAAqBxiC,MAAM,KACzC27B,eAAiB,CACbv0B,GAAK,aACLy+B,IAAM,gBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAU,UACVC,QAAU,UACVC,SAAW,WACXC,QAAU,UACVC,SAAW,mBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,UACPxR,EAAI,cACJyR,GAAK,WACLjkB,EAAI,UACJkkB,GAAK,UACLrjC,EAAI,UACJsjC,GAAK,UACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,WACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,WAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAKzBq6B,cAAe,qBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,QAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,SAAblW,EACAkW,EACa,UAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,QAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,MACAA,EAAO,GACP,OACAA,EAAO,GACP,QACAA,EAAO,GACP,MAEA,OAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KAhHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAAS2B,EAAUv8F,EAAQklB,EAAer7C,GACtC,IAAIyB,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,KAQD,OANIyB,GADW,IAAX00B,EACU,UACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,UAEA,UAGlB,IAAK,IACD,OAAOklB,EAAgB,eAAiB,eAC5C,IAAK,KAQD,OANI55C,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,SAEA,SAGlB,IAAK,IACD,OAAOklB,EAAgB,YAAc,cACzC,IAAK,KAQD,OANI55C,GADW,IAAX00B,EACU,MACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,OAEA,OAGlB,IAAK,KAMD,OAJI10B,GADW,IAAX00B,EACU,MAEA,OAGlB,IAAK,KAQD,OANI10B,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,UAEA,UAGlB,IAAK,KAQD,OANI10B,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,SAEA,UAMjB46F,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,CACL/b,OAAQ,oGAAoG/iB,MAAM,KAClH4qH,WAAY,gGAAgG5qH,MAAM,MAEtH6+B,YAAc,+DAA+D7+B,MAAM,KACnForH,kBAAkB,EAClB1oF,SAAW,4DAA4D1iC,MAAM,KAC7EyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAW,eACXC,QAAW,eACXC,SAAW,WACP,OAAQplC,KAAKkjC,OACT,KAAK,EACD,MAAO,wBACX,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,oBAGnBmC,QAAW,eACXC,SAAW,WACP,OAAQtlC,KAAKkjC,OACT,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,2BACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,yBAGnBqC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAS,WACTxR,EAAS,cACTyR,GAAS6kF,EACT9oG,EAAS8oG,EACT5kF,GAAS4kF,EACTjoH,EAASioH,EACT3kF,GAAS2kF,EACTtqF,EAAS,MACT4F,GAAS0kF,EACTrqF,EAAS,SACT4F,GAASykF,EACTntF,EAAS,SACT2I,GAASwkF,GAEbjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA9IwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAImD,EAAc,gEAAgE3sH,MAAM,KACxF,SAASmrH,EAAUv8F,EAAQklB,EAAer7C,EAAKi/C,GAC3C,IAAI70B,EAAM+L,EACV,OAAQn2B,GACJ,IAAK,IACD,OAAQi/C,GAAY5D,EAAiB,mBAAqB,oBAC9D,IAAK,KACD,OAAOjxB,GAAO60B,GAAY5D,GAAiB,aAAe,cAC9D,IAAK,IACD,MAAO,OAAS4D,GAAY5D,EAAgB,QAAU,UAC1D,IAAK,KACD,OAAOjxB,GAAO60B,GAAY5D,EAAgB,QAAU,UACxD,IAAK,IACD,MAAO,OAAS4D,GAAY5D,EAAgB,OAAS,UACzD,IAAK,KACD,OAAOjxB,GAAO60B,GAAY5D,EAAgB,OAAS,UACvD,IAAK,IACD,MAAO,OAAS4D,GAAY5D,EAAgB,OAAS,UACzD,IAAK,KACD,OAAOjxB,GAAO60B,GAAY5D,EAAgB,OAAS,UACvD,IAAK,IACD,MAAO,OAAS4D,GAAY5D,EAAgB,SAAW,YAC3D,IAAK,KACD,OAAOjxB,GAAO60B,GAAY5D,EAAgB,SAAW,YACzD,IAAK,IACD,MAAO,OAAS4D,GAAY5D,EAAgB,MAAQ,QACxD,IAAK,KACD,OAAOjxB,GAAO60B,GAAY5D,EAAgB,MAAQ,QAE1D,MAAO,GAEX,SAASlS,EAAK8V,GACV,OAAQA,EAAW,GAAK,WAAa,IAAMi1E,EAAYtsH,KAAKkjC,OAAS,aAGhEimF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,oGAAoG9+B,MAAM,KACnH6+B,YAAc,qDAAqD7+B,MAAM,KACzE0iC,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,gCAAgCziC,MAAM,KACtDwiC,YAAc,qBAAqBxiC,MAAM,KACzC27B,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,cACJC,GAAK,gBACLC,IAAM,qBACNC,KAAO,4BAEXW,cAAe,SACf7B,KAAM,SAAUr8B,GACZ,MAAyC,MAAlCA,EAAM7J,OAAO,GAAG2T,eAE3B2jB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,IACW,IAAZgU,EAAmB,KAAO,MAEd,IAAZA,EAAmB,KAAO,MAGzCjT,SAAW,CACPC,QAAU,gBACVC,QAAU,oBACVC,SAAW,WACP,OAAO7D,EAAK7pC,KAAKsI,MAAM,IAE3BqlC,QAAU,oBACVC,SAAW,WACP,OAAO/D,EAAK7pC,KAAKsI,MAAM,IAE3BulC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,KACPxR,EAAIs2F,EACJ7kF,GAAK6kF,EACL9oG,EAAI8oG,EACJ5kF,GAAK4kF,EACLjoH,EAAIioH,EACJ3kF,GAAK2kF,EACLtqF,EAAIsqF,EACJ1kF,GAAK0kF,EACLrqF,EAAIqqF,EACJzkF,GAAKykF,EACLntF,EAAImtF,EACJxkF,GAAKwkF,GAETjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAlGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,CACL/b,OAAQ,4GAA4G/iB,MAAM,KAC1H4qH,WAAY,gGAAgG5qH,MAAM,MAEtH6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,gEAAgE1iC,MAAM,KACjFyiC,cAAgB,+BAA+BziC,MAAM,KACrDwiC,YAAc,+BAA+BxiC,MAAM,KACnD27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,iBACLC,IAAM,wBACNC,KAAO,+BAEXX,SAAW,CACPC,QAAS,aACTC,QAAS,YACTE,QAAS,YACTD,SAAU,WACN,MAAO,sBAEXE,SAAU,WACN,MAAO,8BAEXC,SAAU,KAEdO,aAAe,CACXC,OAAS,UACTC,KAAO,UACPxR,EAAI,mBACJyR,GAAK,cACLjkB,EAAI,OACJkkB,GAAK,UACLrjC,EAAI,MACJsjC,GAAK,SACL3F,EAAI,KACJ4F,GAAK,QACL3F,EAAI,OACJ4F,GAAK,UACL1I,EAAI,OACJ2I,GAAK,WAETC,cAAe,oCACf7B,KAAM,SAAUr8B,GACZ,MAAO,uBAAuB8C,KAAK9C,IAEvCytB,SAAW,SAAUkW,GACjB,OAAIA,EAAO,EACA,UACAA,EAAO,GACP,WACAA,EAAO,GACP,UAEA,YAGfnG,uBAAwB,0BACxBjL,QAAS,SAAUrM,EAAQoiB,GACvB,OAAQA,GACJ,IAAK,MACL,IAAK,IACL,IAAK,IACL,IAAK,OACD,OAAe,IAAXpiB,EACOA,EAAS,MAEbA,EAAS,MACpB,QACI,OAAOA,IAGnBgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAnFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,yFAAyF9+B,MAAM,KACxG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,6CAA6C1iC,MAAM,KAC9DyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXW,cAAe,wBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,SAAblW,EACOkW,EACa,UAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,SAAblW,GAAoC,UAAbA,EACvBkW,EAAO,QADX,GAIXlW,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACD,OACAA,EAAQ,GACR,QACAA,EAAQ,GACR,OAEA,SAGfe,SAAW,CACPC,QAAU,sBACVC,QAAU,mBACVC,SAAW,kBACXC,QAAU,qBACVC,SAAW,uBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,eACPxR,EAAI,iBACJyR,GAAK,WACLjkB,EAAI,UACJkkB,GAAK,WACLrjC,EAAI,QACJsjC,GAAK,SACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KAtEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAASgC,EAAOt0G,GACZ,OAAIA,EAAI,KAAQ,IAELA,EAAI,IAAO,EAK1B,SAASi0G,EAAUv8F,EAAQklB,EAAer7C,EAAKi/C,GAC3C,IAAIx9C,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,IACD,OAAOq7C,GAAiB4D,EAAW,mBAAqB,mBAC5D,IAAK,KACD,OAAI8zE,EAAO58F,GACA10B,GAAU45C,GAAiB4D,EAAW,WAAa,YAEvDx9C,EAAS,UACpB,IAAK,IACD,OAAO45C,EAAgB,SAAW,SACtC,IAAK,KACD,OAAI03E,EAAO58F,GACA10B,GAAU45C,GAAiB4D,EAAW,UAAY,WAClD5D,EACA55C,EAAS,SAEbA,EAAS,SACpB,IAAK,KACD,OAAIsxH,EAAO58F,GACA10B,GAAU45C,GAAiB4D,EAAW,gBAAkB,iBAE5Dx9C,EAAS,cACpB,IAAK,IACD,OAAI45C,EACO,QAEJ4D,EAAW,MAAQ,OAC9B,IAAK,KACD,OAAI8zE,EAAO58F,GACHklB,EACO55C,EAAS,QAEbA,GAAUw9C,EAAW,OAAS,SAC9B5D,EACA55C,EAAS,QAEbA,GAAUw9C,EAAW,MAAQ,QACxC,IAAK,IACD,OAAI5D,EACO,UAEJ4D,EAAW,QAAU,SAChC,IAAK,KACD,OAAI8zE,EAAO58F,GACHklB,EACO55C,EAAS,UAEbA,GAAUw9C,EAAW,SAAW,WAChC5D,EACA55C,EAAS,UAEbA,GAAUw9C,EAAW,QAAU,UAC1C,IAAK,IACD,OAAO5D,GAAiB4D,EAAW,KAAO,MAC9C,IAAK,KACD,OAAI8zE,EAAO58F,GACA10B,GAAU45C,GAAiB4D,EAAW,KAAO,QAEjDx9C,GAAU45C,GAAiB4D,EAAW,KAAO,QAIvD8xE,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,oFAAoF9+B,MAAM,KACnG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,mFAAmF1iC,MAAM,KACpGyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,oBACVC,SAAW,gBACXC,QAAU,iBACVC,SAAW,0BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,iBACPxR,EAAIs2F,EACJ7kF,GAAK6kF,EACL9oG,EAAI8oG,EACJ5kF,GAAK4kF,EACLjoH,EAAI,cACJsjC,GAAK2kF,EACLtqF,EAAIsqF,EACJ1kF,GAAK0kF,EACLrqF,EAAIqqF,EACJzkF,GAAKykF,EACLntF,EAAImtF,EACJxkF,GAAKwkF,GAETjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAxHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,gGAAgG9+B,MAAM,KAC/G6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,iBACTC,QAAS,mBACTC,SAAU,iBACVC,QAAS,iBACTC,SAAU,WACN,OAAQtlC,KAAKkjC,OACT,KAAK,EACD,MAAO,6BACX,QACI,MAAO,+BAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,SAAUvR,GACf,OAAQ,YAAcrpB,KAAKqpB,GAAK,MAAQ,MAAQ,IAAMA,GAE1DwR,KAAO,QACPxR,EAAI,iBACJyR,GAAK,aACLjkB,EAAI,YACJkkB,GAAK,YACLrjC,EAAI,SACJsjC,GAAK,SACL3F,EAAI,YACJ4F,GAAK,YACL3F,EAAI,UACJ4F,GAAK,UACL1I,EAAI,UACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAS,MACT2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAzDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,gGAAgG9+B,MAAM,KAC/G6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,iBACTC,QAAS,mBACTC,SAAU,iBACVC,QAAS,iBACTC,SAAU,WACN,OAAQtlC,KAAKkjC,OACT,KAAK,EACD,MAAO,6BACX,QACI,MAAO,+BAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,SAAUvR,GACf,OAAQ,YAAcrpB,KAAKqpB,GAAK,MAAQ,MAAQ,IAAMA,GAE1DwR,KAAO,QACPxR,EAAI,iBACJyR,GAAK,aACLjkB,EAAI,YACJkkB,GAAK,YACLrjC,EAAI,SACJsjC,GAAK,SACL3F,EAAI,YACJ4F,GAAK,YACL3F,EAAI,UACJ4F,GAAK,UACL1I,EAAI,UACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAS,MACT2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAzDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,wCAAwC9+B,MAAM,KACvD6+B,YAAc,yCAAyC7+B,MAAM,KAC7D0iC,SAAW,8BAA8B1iC,MAAM,KAC/CyiC,cAAgB,gBAAgBziC,MAAM,KACtCwiC,YAAc,gBAAgBxiC,MAAM,KACpC27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,YACLC,IAAM,kBACNC,KAAO,uBACP1tC,EAAI,aACJ8yH,GAAK,YACLC,IAAM,kBACNC,KAAO,wBAEX3kF,cAAe,SACf7B,KAAO,SAAUr8B,GACb,MAAiB,OAAVA,GAEXytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,KAEA,MAGf/G,SAAW,CACPC,QAAU,UACVC,QAAU,UACVC,SAAW,SAAU/U,GACjB,OAAIA,EAAIkR,OAASvhC,KAAKuhC,OACX,cAEA,WAGf8D,QAAU,UACVC,SAAW,SAAUjV,GACjB,OAAIrwB,KAAKuhC,OAASlR,EAAIkR,OACX,cAEA,WAGfgE,SAAW,KAEfM,uBAAyB,WACzBjL,QAAU,SAAUrM,EAAQoiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOpiB,EAAS,IACpB,QACI,OAAOA,IAGnBuX,aAAe,CACXC,OAAS,MACTC,KAAO,MACPxR,EAAI,KACJyR,GAAK,MACLjkB,EAAI,KACJkkB,GAAK,MACLrjC,EAAI,MACJsjC,GAAK,OACL3F,EAAI,KACJ4F,GAAK,MACL3F,EAAI,MACJ4F,GAAK,OACL1I,EAAI,KACJ2I,GAAK,SAhFyBoV,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,yFAAyF9+B,MAAM,KACxG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,+CAA+C1iC,MAAM,KAChEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXW,cAAe,6BACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,WAAblW,EACOkW,EACa,WAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,WAAblW,GAAsC,UAAbA,EACzBkW,EAAO,QADX,GAIXlW,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACD,SACAA,EAAQ,GACR,SACAA,EAAQ,GACR,SAEA,SAGfe,SAAW,CACPC,QAAU,2BACVC,QAAU,sBACVC,SAAW,kBACXC,QAAU,wBACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,gBACTC,KAAO,uBACPxR,EAAI,kBACJyR,GAAK,WACLjkB,EAAI,kBACJkkB,GAAK,WACLrjC,EAAI,gBACJsjC,GAAK,SACL3F,EAAI,WACJ4F,GAAK,YACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KAtEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,CACL8rF,WAAY,qGAAqG5qH,MAAM,KACvH+iB,OAAQ,sGAAsG/iB,MAAM,MAExH6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,CACPkoF,WAAY,gEAAgE5qH,MAAM,KAClF+iB,OAAQ,iEAAiE/iB,MAAM,KAC/E63C,SAAU,iBAEdpV,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,iBACVE,QAAU,kBACVD,SAAW,wBACXE,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SAAUvR,GACf,MAAO,yBAA2BrpB,KAAKqpB,GACnCA,EAAEj6B,QAAQ,KAAM,MAChBi6B,EAAI,MAEZwR,KAAO,SAAUxR,GACb,MAAI,4BAA8BrpB,KAAKqpB,GAC5BA,EAAEj6B,QAAQ,SAAU,UAE3B,OAAS4Q,KAAKqpB,GACPA,EAAEj6B,QAAQ,QAAS,iBAD9B,GAIJi6B,EAAI,iBACJyR,GAAK,UACLjkB,EAAI,OACJkkB,GAAK,UACLrjC,EAAI,QACJsjC,GAAK,WACL3F,EAAI,MACJ4F,GAAK,SACL3F,EAAI,MACJ4F,GAAK,SACL1I,EAAI,OACJ2I,GAAK,WAETT,uBAAwB,8BACxBjL,QAAU,SAAUrM,GAChB,OAAe,IAAXA,EACOA,EAEI,IAAXA,EACOA,EAAS,MAEfA,EAAS,IAAQA,GAAU,KAAQA,EAAS,IAAO,GAAQA,EAAS,KAAQ,EACtE,MAAQA,EAEZA,EAAS,MAEpBgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA7EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIO,EAAW,CACX6C,EAAG,MACH3yG,EAAG,MACHqmE,EAAG,MACH8pC,EAAG,MACH7pC,EAAG,MACHypC,EAAG,MACHM,EAAG,MACHH,EAAG,MACH1F,EAAG,MACHC,EAAG,MACHC,GAAI,MACJK,GAAI,MACJuF,GAAI,MACJ7E,GAAI,MACJqC,GAAI,MACJyC,GAAI,MACJP,GAAI,MACJC,GAAI,MACJO,GAAI,MACJJ,IAAK,OAGAb,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqF9+B,MAAM,KACpG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,0DAA0D1iC,MAAM,KAC3EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,mBACVC,QAAU,mBACVC,SAAW,kBACXC,QAAU,kBACVC,SAAW,kCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,WACPxR,EAAI,iBACJyR,GAAK,YACLjkB,EAAI,YACJkkB,GAAK,WACLrjC,EAAI,YACJsjC,GAAK,WACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,UACJ2I,GAAK,UAETT,uBAAwB,kBACxBjL,QAAU,SAAUrM,GAGhB,OAAOA,GAAUm7F,EAASn7F,IAAWm7F,EAF7Bn7F,EAAS,KAEmCm7F,EAD5Cn7F,GAAU,IAAM,IAAM,QAGlCgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA3EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAQ,yEAAyE9+B,MAC7E,KAEJ6+B,YAAa,yEAAyE7+B,MAClF,KAEJ0iC,SAAU,iDAAiD1iC,MAAM,KACjEyiC,cAAe,oBAAoBziC,MAAM,KACzCwiC,YAAa,oBAAoBxiC,MAAM,KACvC8pH,oBAAoB,EACpBnuF,eAAgB,CACZv0B,GAAI,QACJy+B,IAAK,WACLC,EAAG,aACHC,GAAI,cACJC,IAAK,oBACLC,KAAM,2BAEVW,cAAe,cACf7B,KAAM,SAAUr8B,GACZ,MAAiB,UAAVA,GAEXytB,SAAU,SAAUkW,EAAMc,EAAQoL,GAC9B,OAAIlM,EAAO,GACA,QAEA,SAGf/G,SAAU,CACNC,QAAS,oBACTC,QAAS,kBACTC,SAAU,iBACVC,QAAS,qBACTC,SAAU,8BACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,QACRC,KAAM,QACNxR,EAAG,iBACHyR,GAAI,YACJjkB,EAAG,UACHkkB,GAAI,UACJrjC,EAAG,UACHsjC,GAAI,UACJ3F,EAAG,UACH4F,GAAI,UACJ3F,EAAG,QACH4F,GAAI,QACJ1I,EAAG,WACH2I,GAAI,YAERT,uBAAyB,YACzBjL,QAAU,OACVyR,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAGzBq1B,KAAM,CACFL,IAAK,EACLC,IAAK,KAlGyBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,6FAA6F9+B,MAAM,KAC5G6+B,YAAc,2EAA2E7+B,MAAM,KAC/ForH,kBAAkB,EAClB1oF,SAAW,0DAA0D1iC,MAAM,KAC3EyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,wBAAwBxiC,MAAM,KAC5C27B,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,sBACNC,KAAO,6BAEXX,SAAW,CACPC,QAAU,YACVC,QAAU,YACVC,SAAW,WACXC,QAAU,cACVC,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,WACPxR,EAAI,gBACJyR,GAAK,gBACLjkB,EAAI,aACJkkB,GAAK,WACLrjC,EAAI,YACJsjC,GAAK,UACL3F,EAAI,WACJ4F,GAAK,SACL3F,EAAI,cACJ4F,GAAK,YACL1I,EAAI,YACJ2I,GAAK,WAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAGzBq6B,cAAe,gCACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,WAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,aAAblW,EACAkW,EACa,aAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,SAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,SACAA,EAAO,GACP,WACAA,EAAO,GACP,WACAA,EAAO,GACP,OAEA,UAGfnG,uBAAwB,eACxBjL,QAAU,SAAUrM,GAChB,OAAOA,EAAS,OAEpBgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAlHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,yCAAyC9+B,MAAM,KACxD6+B,YAAc,yCAAyC7+B,MAAM,KAC7D0iC,SAAW,8BAA8B1iC,MAAM,KAC/CyiC,cAAgB,gBAAgBziC,MAAM,KACtCwiC,YAAc,gBAAgBxiC,MAAM,KACpC27B,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,cACJC,GAAK,gBACLC,IAAM,uBACNC,KAAO,4BACP1tC,EAAI,cACJ8yH,GAAK,gBACLC,IAAM,uBACNC,KAAO,6BAEXjmF,SAAW,CACPC,QAAU,QACVC,QAAU,QACVC,SAAW,UACXC,QAAU,QACVC,SAAW,cACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,OACTC,KAAO,OACPxR,EAAI,MACJyR,GAAK,MACLjkB,EAAI,KACJkkB,GAAK,MACLrjC,EAAI,OACJsjC,GAAK,OACL3F,EAAI,KACJ4F,GAAK,MACL3F,EAAI,MACJ4F,GAAK,MACL1I,EAAI,MACJ2I,GAAK,OAETT,uBAAyB,iBACzBjL,QAAU,SAAUrM,EAAQoiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOpiB,EAAS,IACpB,IAAK,IACD,OAAOA,EAAS,IACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,IACpB,QACI,OAAOA,IAGnBgY,cAAgB,QAChB7B,KAAO,SAAUh/B,GACb,MAAiB,OAAVA,GAEXowB,SAAW,SAAUkW,EAAMc,EAAQ0/E,GAC/B,OAAOxgF,EAAO,GAAK,KAAO,QArEI0P,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAET5qF,EAAS,CACL,eACA,QACA,QACA,QACA,QACA,WACA,SACA,MACA,UACA,eACA,eACA,gBAIK0qF,EAAOniF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcC,EACd4D,SAAW,0EAA0E1iC,MAAM,KAC3FyiC,cAAgB,2DAA2DziC,MAAM,KACjFwiC,YAAc,gBAAgBxiC,MAAM,KACpC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXW,cAAe,kBACf7B,KAAM,SAAUr8B,GACZ,MAAO,UAAU8C,KAAK9C,IAE1BytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,UAEA,WAGf/G,SAAW,CACPC,QAAU,sBACVC,QAAU,uBACVC,SAAW,oBACXC,QAAU,qBACVC,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,KACPxR,EAAI,kBACJyR,GAAK,WACLjkB,EAAI,cACJkkB,GAAK,YACLrjC,EAAI,eACJsjC,GAAK,aACL3F,EAAI,WACJ4F,GAAK,SACL3F,EAAI,YACJ4F,GAAK,UACL1I,EAAI,WACJ2I,GAAK,UAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,MAClB3R,QAAQ,KAAM,MAErBi5C,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,MAClB3R,QAAQ,KAAM,MAErBgnC,KAAO,CACHL,IAAM,EACNC,IAAM,MA3GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIO,EAAW,CACX6C,EAAG,MACH3yG,EAAG,MACHqmE,EAAG,MACH8pC,EAAG,MACH7pC,EAAG,MACHypC,EAAG,MACHM,EAAG,MACHH,EAAG,MACH1F,EAAG,MACHC,EAAG,MACHC,GAAI,MACJK,GAAI,MACJuF,GAAI,MACJ7E,GAAI,MACJqC,GAAI,MACJyC,GAAI,MACJP,GAAI,MACJC,GAAI,MACJO,GAAI,MACJJ,IAAK,OAGAb,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,kFAAkF9+B,MAAM,KACjG6+B,YAAc,qDAAqD7+B,MAAM,KACzE0iC,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,kBACVC,QAAU,kBACVC,SAAW,iBACXC,QAAU,kBACVC,SAAW,wCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,WACPxR,EAAI,iBACJyR,GAAK,YACLjkB,EAAI,YACJkkB,GAAK,WACLrjC,EAAI,WACJsjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,UACJ2I,GAAK,UAETT,uBAAwB,wBACxBjL,QAAU,SAAUrM,GAGhB,OAAOA,GAAUm7F,EAASn7F,IAAWm7F,EAF7Bn7F,EAAS,KAEmCm7F,EAD5Cn7F,GAAU,IAAM,IAAM,QAGlCgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA3EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAASqC,EAAoBj9F,EAAQklB,EAAer7C,EAAKi/C,GACrD,IAAI30B,EAAS,CACT,EAAK,CAAC,aAAc,gBACpB,EAAK,CAAC,YAAa,eACnB,EAAK,CAAC,UAAW,aACjB,EAAK,CAAC,WAAY,eAClB,EAAK,CAAC,UAAW,eAErB,OAAO+wB,EAAgB/wB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAuBxD,SAASq0H,EAA4Bl+F,GAEjC,GADAA,EAASsP,SAAStP,EAAQ,IACtB/pB,MAAM+pB,GACN,OAAO,EAEX,GAAIA,EAAS,EAET,OAAO,EACJ,GAAIA,EAAS,GAEhB,OAAI,GAAKA,GAAUA,GAAU,EAI1B,GAAIA,EAAS,IAAK,CAErB,IAAIi8F,EAAYj8F,EAAS,GACzB,OACWk+F,EADO,IAAdjC,EADsCj8F,EAAS,GAIhBi8F,GAChC,GAAIj8F,EAAS,IAAO,CAEvB,KAAOA,GAAU,IACbA,GAAkB,GAEtB,OAAOk+F,EAA4Bl+F,GAInC,OAAOk+F,EADPl+F,GAAkB,KAKjB46F,EAAOniF,aAAa,KAAM,CAC/BvI,OAAQ,uFAAuF9+B,MAAM,KACrG6+B,YAAa,+DAA+D7+B,MAAM,KAClForH,kBAAmB,EACnB1oF,SAAU,mEAAmE1iC,MAAM,KACnFyiC,cAAe,8BAA8BziC,MAAM,KACnDwiC,YAAa,uBAAuBxiC,MAAM,KAC1C8pH,oBAAqB,EACrBnuF,eAAgB,CACZv0B,GAAI,cACJy+B,IAAK,iBACLC,EAAG,aACHC,GAAI,eACJC,IAAK,2BACLC,KAAM,kCAEVX,SAAU,CACNC,QAAS,eACTK,SAAU,IACVJ,QAAS,eACTC,SAAU,eACVC,QAAS,mBACTC,SAAU,WAEN,OAAQtlC,KAAKkjC,OACT,KAAK,EACL,KAAK,EACD,MAAO,0BACX,QACI,MAAO,4BAIvB4C,aAAe,CACXC,OAzFR,SAA2BvwB,GAEvB,OAAIi3G,EADSj3G,EAAO2Z,OAAO,EAAG3Z,EAAO5W,QAAQ,OAElC,KAAO4W,EAEX,MAAQA,GAqFXwwB,KAnFR,SAAyBxwB,GAErB,OAAIi3G,EADSj3G,EAAO2Z,OAAO,EAAG3Z,EAAO5W,QAAQ,OAElC,QAAU4W,EAEd,SAAWA,GA+Edgf,EAAI,kBACJyR,GAAK,cACLjkB,EAAIwpG,EACJtlF,GAAK,cACLrjC,EAAI2oH,EACJrlF,GAAK,aACL3F,EAAIgrF,EACJplF,GAAK,UACL3F,EAAI+qF,EACJnlF,GAAK,WACL1I,EAAI6tF,EACJllF,GAAK,WAETT,uBAAwB,YACxBjL,QAAS,MACT2G,KAAM,CACFL,IAAK,EACLC,IAAK,KA5HyBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,6EAA6E9+B,MAAM,KAC5F6+B,YAAc,6EAA6E7+B,MAAM,KACjG0iC,SAAW,sCAAsC1iC,MAAM,KACvDyiC,cAAgB,oCAAoCziC,MAAM,KAC1DwiC,YAAc,mBAAmBxiC,MAAM,KACvC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,6BAEXW,cAAe,kBACf7B,KAAM,SAAUr8B,GACZ,MAAiB,WAAVA,GAEXytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,WAEA,UAGf/G,SAAW,CACPC,QAAU,kBACVC,QAAU,mBACVC,SAAW,wBACXC,QAAU,qBACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EAAI,mBACJyR,GAAK,YACLjkB,EAAI,SACJkkB,GAAK,UACLrjC,EAAI,YACJsjC,GAAK,aACL3F,EAAI,QACJ4F,GAAK,SACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,OACJ2I,GAAK,SAETT,uBAAwB,eACxBjL,QAAU,SAAUrM,GAChB,MAAO,MAAQA,KA1DemtB,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI3vF,EAAQ,CACR,GAAO,6BACP,EAAM,wBACN,GAAM,0BACN,EAAM,2BACN,GAAM,4BACN,EAAM,qBACN,GAAM,sBACN,EAAM,uBACN,GAAM,4BACN,EAAM,mBACN,GAAM,oBASV,SAASkzF,EAAkBn+F,EAAQklB,EAAer7C,EAAKi/C,GACnD,OAAO5D,EAAgB62E,EAAMlyH,GAAK,GAAMi/C,EAAWizE,EAAMlyH,GAAK,GAAKkyH,EAAMlyH,GAAK,GAElF,SAASu0H,EAAQp+F,GACb,OAAOA,EAAS,IAAO,GAAMA,EAAS,IAAMA,EAAS,GAEzD,SAAS+7F,EAAMlyH,GACX,OAAOohC,EAAMphC,GAAKuH,MAAM,KAE5B,SAASmrH,EAAUv8F,EAAQklB,EAAer7C,EAAKi/C,GAC3C,IAAIx9C,EAAS00B,EAAS,IACtB,OAAe,IAAXA,EACO10B,EAAS6yH,EAAkBn+F,EAAQklB,EAAer7C,EAAI,GAAIi/C,GAC1D5D,EACA55C,GAAU8yH,EAAQp+F,GAAU+7F,EAAMlyH,GAAK,GAAKkyH,EAAMlyH,GAAK,IAE1Di/C,EACOx9C,EAASywH,EAAMlyH,GAAK,GAEpByB,GAAU8yH,EAAQp+F,GAAU+7F,EAAMlyH,GAAK,GAAKkyH,EAAMlyH,GAAK,IAIjE+wH,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,CACL/b,OAAQ,oGAAoG/iB,MAAM,KAClH4qH,WAAY,kGAAkG5qH,MAAM,KACpH63C,SAAU,+DAEdhZ,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,CACP3f,OAAQ,oFAAoF/iB,MAAM,KAClG4qH,WAAY,2FAA2F5qH,MAAM,KAC7G63C,SAAU,cAEdpV,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,iBAAiBxiC,MAAM,KACrC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,sCACNC,KAAO,4CACP1tC,EAAI,aACJ8yH,GAAK,wBACLC,IAAM,sCACNC,KAAO,4CAEXjmF,SAAW,CACPC,QAAU,gBACVC,QAAU,aACVC,SAAW,UACXC,QAAU,aACVC,SAAW,qBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,WACPxR,EApER,SAA0BjG,EAAQklB,EAAer7C,EAAKi/C,GAClD,OAAI5D,EACO,kBAEA4D,EAAW,kBAAoB,mBAiEtCpR,GAAK6kF,EACL9oG,EAAI0qG,EACJxmF,GAAK4kF,EACLjoH,EAAI6pH,EACJvmF,GAAK2kF,EACLtqF,EAAIksF,EACJtmF,GAAK0kF,EACLrqF,EAAIisF,EACJrmF,GAAKykF,EACLntF,EAAI+uF,EACJpmF,GAAKwkF,GAETjlF,uBAAwB,cACxBjL,QAAU,SAAUrM,GAChB,OAAOA,EAAS,QAEpBgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA1GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI3vF,EAAQ,CACR,GAAM,qCAAqC75B,MAAM,KACjD,EAAK,iCAAiCA,MAAM,KAC5C,GAAM,iCAAiCA,MAAM,KAC7C,EAAK,iCAAiCA,MAAM,KAC5C,GAAM,iCAAiCA,MAAM,KAC7C,EAAK,6BAA6BA,MAAM,KACxC,GAAM,6BAA6BA,MAAM,KACzC,EAAK,iCAAiCA,MAAM,KAC5C,GAAM,iCAAiCA,MAAM,KAC7C,EAAK,wBAAwBA,MAAM,KACnC,GAAM,wBAAwBA,MAAM,MAKxC,SAAS+iB,EAAO4nG,EAAO/7F,EAAQklB,GAC3B,OAAIA,EAEOllB,EAAS,IAAO,GAAKA,EAAS,KAAQ,GAAK+7F,EAAM,GAAKA,EAAM,GAI5D/7F,EAAS,IAAO,GAAKA,EAAS,KAAQ,GAAK+7F,EAAM,GAAKA,EAAM,GAG3E,SAASD,EAAuB97F,EAAQklB,EAAer7C,GACnD,OAAOm2B,EAAS,IAAM7L,EAAO8W,EAAMphC,GAAMm2B,EAAQklB,GAErD,SAASm5E,EAAyBr+F,EAAQklB,EAAer7C,GACrD,OAAOsqB,EAAO8W,EAAMphC,GAAMm2B,EAAQklB,GAM7B01E,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,uGAAuG9+B,MAAM,KACtH6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,0EAA0E1iC,MAAM,KAC3FyiC,cAAgB,kBAAkBziC,MAAM,KACxCwiC,YAAc,kBAAkBxiC,MAAM,KACtC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,cACJC,GAAK,uBACLC,IAAM,8BACNC,KAAO,qCAEXX,SAAW,CACPC,QAAU,uBACVC,QAAU,oBACVC,SAAW,qBACXC,QAAU,sBACVC,SAAW,gCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EA9BR,SAAyBjG,EAAQklB,GAC7B,OAAOA,EAAgB,iBAAmB,kBA8BtCxN,GAAKokF,EACLroG,EAAI4qG,EACJ1mF,GAAKmkF,EACLxnH,EAAI+pH,EACJzmF,GAAKkkF,EACL7pF,EAAIosF,EACJxmF,GAAKikF,EACL5pF,EAAImsF,EACJvmF,GAAKgkF,EACL1sF,EAAIivF,EACJtmF,GAAK+jF,GAETxkF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KArFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI0D,EAAa,CACbC,MAAO,CACH7mF,GAAI,CAAC,SAAU,UAAW,WAC1BjkB,EAAG,CAAC,cAAe,iBACnBkkB,GAAI,CAAC,QAAS,SAAU,UACxBrjC,EAAG,CAAC,YAAa,eACjBsjC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,SAAU,UAAW,WAC1BC,GAAI,CAAC,SAAU,SAAU,WAE7BymF,uBAAwB,SAAUx+F,EAAQy+F,GACtC,OAAkB,IAAXz+F,EAAey+F,EAAQ,GAAMz+F,GAAU,GAAKA,GAAU,EAAIy+F,EAAQ,GAAKA,EAAQ,IAE1FlC,UAAW,SAAUv8F,EAAQklB,EAAer7C,GACxC,IAAI40H,EAAUH,EAAWC,MAAM10H,GAC/B,OAAmB,IAAfA,EAAID,OACGs7C,EAAgBu5E,EAAQ,GAAKA,EAAQ,GAErCz+F,EAAS,IAAMs+F,EAAWE,uBAAuBx+F,EAAQy+F,KAKnE7D,EAAOniF,aAAa,KAAM,CAC/BvI,OAAQ,mFAAmF9+B,MAAM,KACjG6+B,YAAa,2DAA2D7+B,MAAM,KAC9EorH,kBAAmB,EACnB1oF,SAAU,4DAA4D1iC,MAAM,KAC5EyiC,cAAe,qCAAqCziC,MAAM,KAC1DwiC,YAAa,uBAAuBxiC,MAAM,KAC1C8pH,oBAAqB,EACrBnuF,eAAgB,CACZv0B,GAAI,OACJy+B,IAAM,UACNC,EAAG,aACHC,GAAI,eACJC,IAAK,oBACLC,KAAM,2BAEVX,SAAU,CACNC,QAAS,eACTC,QAAS,gBAETC,SAAU,WACN,OAAQplC,KAAKkjC,OACT,KAAK,EACD,MAAO,wBACX,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,oBAGnBmC,QAAW,cACXC,SAAW,WAUP,MATmB,CACf,6BACA,iCACA,4BACA,4BACA,8BACA,2BACA,4BAEgBtlC,KAAKkjC,QAE7BqC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAS,WACTxR,EAAS,mBACTyR,GAAS4mF,EAAW/B,UACpB9oG,EAAS6qG,EAAW/B,UACpB5kF,GAAS2mF,EAAW/B,UACpBjoH,EAASgqH,EAAW/B,UACpB3kF,GAAS0mF,EAAW/B,UACpBtqF,EAAS,MACT4F,GAASymF,EAAW/B,UACpBrqF,EAAS,SACT4F,GAASwmF,EAAW/B,UACpBntF,EAAS,SACT2I,GAASumF,EAAW/B,WAExBjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KApGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAQ,8IAA8I9+B,MAAM,KAC5J6+B,YAAa,iEAAiE7+B,MAAM,KACpFg/B,YAAa,yCACbysF,kBAAmB,yCACnB1sF,iBAAkB,yCAClB2sF,uBAAwB,yCACxBhpF,SAAU,kDAAkD1iC,MAAM,KAClEyiC,cAAe,wBAAwBziC,MAAM,KAC7CwiC,YAAa,wBAAwBxiC,MAAM,KAC3C27B,eAAgB,CACZv0B,GAAI,QACJy+B,IAAK,WACLC,EAAG,aACHC,GAAI,cACJC,IAAK,wBACLC,KAAM,+BAEVX,SAAU,CACNC,QAAS,wBACTC,QAAS,eACTC,SAAU,cACVC,QAAS,iBACTC,SAAU,2BACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,cACRC,KAAM,WACNxR,EAAG,mBACHyR,GAAI,YACJjkB,EAAG,YACHkkB,GAAI,YACJrjC,EAAG,WACHsjC,GAAI,WACJ3F,EAAG,QACH4F,GAAI,QACJ3F,EAAG,YACH4F,GAAI,YACJ1I,EAAG,SACH2I,GAAI,UAERT,uBAAwB,WACxBjL,QAAS,MACT2G,KAAO,CACHL,IAAM,EACNC,IAAM,KApDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,uFAAuF9+B,MAAM,KACtG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,wDAAwD1iC,MAAM,KACzEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,YACJC,GAAK,cACLC,IAAM,mBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,eACVC,SAAW,oBACXC,QAAU,gBACVC,SAAW,WACP,OAAQtlC,KAAKkjC,OACT,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,4BACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,8BAGnBqC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,UACPxR,EAAI,kBACJyR,GAAK,aACLjkB,EAAI,SACJkkB,GAAK,YACLrjC,EAAI,MACJsjC,GAAK,UACL3F,EAAI,MACJ4F,GAAK,UACL3F,EAAI,QACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,aAETT,uBAAwB,8BACxBjL,QAAU,SAAUrM,GAChB,IAAIi8F,EAAYj8F,EAAS,GACrBk8F,EAAcl8F,EAAS,IAC3B,OAAe,IAAXA,EACOA,EAAS,MACO,IAAhBk8F,EACAl8F,EAAS,MACTk8F,EAAc,IAAMA,EAAc,GAClCl8F,EAAS,MACK,IAAdi8F,EACAj8F,EAAS,MACK,IAAdi8F,EACAj8F,EAAS,MACK,IAAdi8F,GAAiC,IAAdA,EACnBj8F,EAAS,MAETA,EAAS,OAGxBgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA9EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,yFAAyF9+B,MAAM,KACxG6+B,YAAc,yEAAyE7+B,MAAM,KAC7ForH,kBAAmB,EACnB1oF,SAAW,wEAAwE1iC,MAAM,KACzFyiC,cAAgB,2CAA2CziC,MAAM,KACjEwiC,YAAc,wBAAwBxiC,MAAM,KAC5C27B,eAAiB,CACbv0B,GAAK,aACLy+B,IAAM,gBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAU,aACVC,QAAU,YACVC,SAAW,WACXC,QAAU,cACVC,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,aACTC,KAAO,WACPxR,EAAI,gBACJyR,GAAK,cACLjkB,EAAI,eACJkkB,GAAK,cACLrjC,EAAI,eACJsjC,GAAK,cACL3F,EAAI,YACJ4F,GAAK,WACL3F,EAAI,WACJ4F,GAAK,UACL1I,EAAI,WACJ2I,GAAK,WAETC,cAAe,gDACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEO,WAAblW,GAAyBkW,GAAQ,GACjB,iBAAblW,GACa,eAAbA,EACGkW,EAAO,GAEPA,GAGflW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,SACAA,EAAO,GACP,SACAA,EAAO,GACP,eACAA,EAAO,GACP,aAEA,YApEmB0P,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAAS2B,EAAUv8F,EAAQklB,EAAer7C,EAAKi/C,GAC3C,OAAQj/C,GACJ,IAAK,IACD,OAAOq7C,EAAgB,gBAAkB,kBAC7C,IAAK,KACD,OAAOllB,GAAUklB,EAAgB,UAAY,aACjD,IAAK,IACL,IAAK,KACD,OAAOllB,GAAUklB,EAAgB,SAAW,YAChD,IAAK,IACL,IAAK,KACD,OAAOllB,GAAUklB,EAAgB,OAAS,WAC9C,IAAK,IACL,IAAK,KACD,OAAOllB,GAAUklB,EAAgB,QAAU,WAC/C,IAAK,IACL,IAAK,KACD,OAAOllB,GAAUklB,EAAgB,OAAS,UAC9C,IAAK,IACL,IAAK,KACD,OAAOllB,GAAUklB,EAAgB,OAAS,WAC9C,QACI,OAAOllB,GAIV46F,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,+LAA+L9+B,MAAM,KAC9M6+B,YAAc,6EAA6E7+B,MAAM,KACjGorH,kBAAmB,EACnB1oF,SAAW,6CAA6C1iC,MAAM,KAC9DyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,oBACLC,IAAM,0BACNC,KAAO,iCAEXW,cAAe,SACf7B,KAAO,SAAUr8B,GACb,MAAiB,OAAVA,GAEXytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,KAEA,MAGf/G,SAAW,CACPC,QAAU,eACVC,QAAU,eACVC,SAAW,iBACXC,QAAU,eACVC,SAAW,qBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,UACPxR,EAAIs2F,EACJ7kF,GAAK6kF,EACL9oG,EAAI8oG,EACJ5kF,GAAK4kF,EACLjoH,EAAIioH,EACJ3kF,GAAK2kF,EACLtqF,EAAIsqF,EACJ1kF,GAAK0kF,EACLrqF,EAAIqqF,EACJzkF,GAAKykF,EACLntF,EAAImtF,EACJxkF,GAAKwkF,GAETjlF,uBAAwB,eACxBjL,QAAU,SAAUrM,EAAQoiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOpiB,EAAS,QACpB,QACI,OAAOA,MA3FemtB,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGT,SAAS4D,EAAe1+F,EAAQklB,EAAej+B,EAAQ6hC,GAEnD,IAAInc,EAAS,GACb,GAAIuY,EACA,OAAQj+B,GACJ,IAAK,IAAK0lB,EAAS,aAAc,MACjC,IAAK,KAAMA,EAAS,WAAY,MAChC,IAAK,IAAKA,EAAS,WAAY,MAC/B,IAAK,KAAMA,EAAS,YAAa,MACjC,IAAK,IAAKA,EAAS,SAAU,MAC7B,IAAK,KAAMA,EAAS,SAAU,MAC9B,IAAK,IAAKA,EAAS,UAAW,MAC9B,IAAK,KAAMA,EAAS,UAAW,MAC/B,IAAK,IAAKA,EAAS,WAAY,MAC/B,IAAK,KAAMA,EAAS,WAAY,MAChC,IAAK,IAAKA,EAAS,UAAW,MAC9B,IAAK,KAAMA,EAAS,gBAIxB,OAAQ1lB,GACJ,IAAK,IAAK0lB,EAAS,eAAgB,MACnC,IAAK,KAAMA,EAAS,aAAc,MAClC,IAAK,IAAKA,EAAS,aAAc,MACjC,IAAK,KAAMA,EAAS,aAAc,MAClC,IAAK,IAAKA,EAAS,WAAY,MAC/B,IAAK,KAAMA,EAAS,WAAY,MAChC,IAAK,IAAKA,EAAS,YAAa,MAChC,IAAK,KAAMA,EAAS,YAAa,MACjC,IAAK,IAAKA,EAAS,cAAe,MAClC,IAAK,KAAMA,EAAS,cAAe,MACnC,IAAK,IAAKA,EAAS,YAAa,MAChC,IAAK,KAAMA,EAAS,YAG5B,OAAOA,EAAO3gC,QAAQ,MAAOg0B,GAGxB46F,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAa,gFAAgF7+B,MAAM,KACnGorH,kBAAmB,EACnB1oF,SAAW,uDAAuD1iC,MAAM,KACxEyiC,cAAgB,kCAAkCziC,MAAM,KACxDwiC,YAAc,qBAAqBxiC,MAAM,KACzC27B,eAAiB,CACbv0B,GAAK,eACLy+B,IAAM,kBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXX,SAAW,CACPC,QAAU,UACVC,QAAU,aACVC,SAAW,WACXC,QAAU,WACVC,SAAU,mBACVC,SAAW,KAEfO,aAAe,CACXC,OAAQ,UACRC,KAAM,WACNxR,EAAGy4F,EACHhnF,GAAIgnF,EACJjrG,EAAGirG,EACH/mF,GAAI+mF,EACJpqH,EAAGoqH,EACH9mF,GAAI8mF,EACJzsF,EAAGysF,EACH7mF,GAAI6mF,EACJxsF,EAAGwsF,EACH5mF,GAAI4mF,EACJtvF,EAAGsvF,EACH3mF,GAAI2mF,GAER5gF,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAGzBq6B,cAAe,+BACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,WAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,UAAblW,EACAkW,EACa,WAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,aAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAU,SAAUkW,EAAMc,EAAQoL,GAC9B,OAAIlM,EAAO,EACA,SACAA,EAAO,GACP,QACAA,EAAO,GACP,SACAA,EAAO,GACP,WAEA,UAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KApJwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,oFAAoF9+B,MAAM,KACnG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,6CAA6C1iC,MAAM,KAC9DyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXW,cAAe,8BACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAEM,SAAblW,EACOkW,EACa,cAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,WAAblW,GAAsC,UAAbA,EACzBkW,EAAO,QADX,GAIXlW,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACD,OACAA,EAAQ,GACR,YACAA,EAAQ,GACR,SAEA,SAGfe,SAAW,CACPC,QAAU,sBACVC,QAAU,kBACVC,SAAW,kBACXC,QAAU,sBACVC,SAAW,wBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,gBACPxR,EAAI,gBACJyR,GAAK,UACLjkB,EAAI,UACJkkB,GAAK,WACLrjC,EAAI,QACJsjC,GAAK,SACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KAtEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,oFAAoF9+B,MAAM,KACnG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,6CAA6C1iC,MAAM,KAC9DyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXW,cAAe,8BACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAEM,SAAblW,EACOkW,EACa,cAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,WAAblW,GAAsC,UAAbA,EACzBkW,EAAO,QADX,GAIXlW,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACD,OACAA,EAAQ,GACR,YACAA,EAAQ,GACR,SAEA,SAGfe,SAAW,CACPC,QAAU,sBACVC,QAAU,kBACVC,SAAW,kBACXC,QAAU,sBACVC,SAAW,wBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,gBACPxR,EAAI,gBACJyR,GAAK,UACLjkB,EAAI,UACJkkB,GAAK,WACLrjC,EAAI,QACJsjC,GAAK,SACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KAtEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,iEAAiE1iC,MAAM,KAClFyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,iBACVC,SAAW,gBACXC,QAAU,qBACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,eACJyR,GAAK,aACLjkB,EAAI,SACJkkB,GAAK,YACLrjC,EAAI,SACJsjC,GAAK,aACL3F,EAAI,UACJ4F,GAAK,YACL3F,EAAI,QACJ4F,GAAK,UACL1I,EAAI,OACJ2I,GAAK,UAETT,uBAAyB,WACzBjL,QAAS,MACT2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAQ,2FAA2F9+B,MAAM,KACzG6+B,YAAa,mDAAmD7+B,MAAM,KACtE0iC,SAAU,wDAAwD1iC,MAAM,KACxEyiC,cAAe,2BAA2BziC,MAAM,KAChDwiC,YAAa,2BAA2BxiC,MAAM,KAE9C27B,eAAgB,CACZv0B,GAAI,QACJy+B,IAAK,WACLC,EAAG,aACHC,GAAI,cACJC,IAAK,oBACLC,KAAM,0BAEVX,SAAU,CACNC,QAAS,kBACTC,QAAS,sBACTC,SAAU,gBACVC,QAAS,mBACTC,SAAU,6BACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,gBACRC,KAAM,kBACNxR,EAAG,kBACHyR,GAAK,aACLjkB,EAAG,WACHkkB,GAAI,WACJrjC,EAAG,UACHsjC,GAAI,UACJ3F,EAAG,SACH4F,GAAI,SACJ3F,EAAG,OACH4F,GAAI,OACJ1I,EAAG,UACH2I,GAAI,WAER+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAGzBq1B,KAAM,CACFL,IAAK,EACLC,IAAK,KAjFyBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqF9+B,MAAM,KACpG6+B,YAAc,8DAA8D7+B,MAAM,KAClForH,kBAAmB,EACnB1oF,SAAW,qDAAqD1iC,MAAM,KACtEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,eACLC,IAAM,2BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAS,iBACTC,QAAS,oBACTC,SAAU,gBACVC,QAAS,iBACTC,SAAU,0BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,WACPxR,EAAI,gBACJyR,GAAK,cACLjkB,EAAI,aACJkkB,GAAK,cACLrjC,EAAI,UACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,WACL3F,EAAI,WACJ4F,GAAK,aACL1I,EAAI,SACJ2I,GAAK,SAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAlDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,uFAAuF9+B,MAAM,KACtG6+B,YAAc,uEAAuE7+B,MAAM,KAC3ForH,kBAAmB,EACnB1oF,SAAW,wDAAwD1iC,MAAM,KACzEyiC,cAAgB,0CAA0CziC,MAAM,KAChEwiC,YAAc,4BAA4BxiC,MAAM,KAChD8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,eACLy+B,IAAM,kBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXyG,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAGzBq6B,cAAe,yBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,SAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,UAAblW,EACAkW,EACa,WAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,SAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,QACAA,EAAO,GACP,SACAA,EAAO,GACP,OAEA,QAGf/G,SAAW,CACPC,QAAU,UACVC,QAAU,YACVC,SAAW,qBACXC,QAAU,YACVC,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,OACTC,KAAO,WACPxR,EAAI,YACJyR,GAAK,aACLjkB,EAAI,WACJkkB,GAAK,WACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,WACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,WAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA/GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIiD,EAAsB,6DAA6DzsH,MAAM,KACzF0sH,EAAyB,kDAAkD1sH,MAAM,KAEjFi/B,EAAc,CAAC,QAAS,QAAS,iBAAkB,QAAS,SAAU,cAAe,cAAe,QAAS,QAAS,QAAS,QAAS,SACxID,EAAc,qKAETwqF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,0FAA0F9+B,MAAM,KACzG6+B,YAAc,SAAUxc,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACb2pG,EAAuBrqG,EAAEkc,SAEzBkuF,EAAoBpqG,EAAEkc,SAJtBkuF,GAQfztF,YAAaA,EACbD,iBAAkBC,EAClBysF,kBAAmB,4FACnBC,uBAAwB,mFAExBzsF,YAAcA,EACd0sF,gBAAkB1sF,EAClB2sF,iBAAmB3sF,EAEnByD,SAAW,6DAA6D1iC,MAAM,KAC9EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,kBACTC,QAAS,iBACTC,SAAU,eACVC,QAAS,mBACTC,SAAU,2BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,UACTC,KAAO,aACPxR,EAAI,oBACJyR,GAAK,cACLjkB,EAAI,aACJkkB,GAAK,aACLrjC,EAAI,UACJsjC,GAAK,SACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,YACJ4F,GAAK,aACL1I,EAAI,WACJ2I,GAAK,WAETT,uBAAwB,kBACxBjL,QAAU,SAAUrM,GAChB,OAAOA,GAAsB,IAAXA,GAA2B,IAAXA,GAAgBA,GAAU,GAAM,MAAQ,OAE9EgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA3EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIiD,EAAsB,6DAA6DzsH,MAAM,KACzF0sH,EAAyB,kDAAkD1sH,MAAM,KAEjFi/B,EAAc,CAAC,QAAS,QAAS,iBAAkB,QAAS,SAAU,cAAe,cAAe,QAAS,QAAS,QAAS,QAAS,SACxID,EAAc,qKAEPwqF,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,0FAA0F9+B,MAAM,KACzG6+B,YAAc,SAAUxc,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACb2pG,EAAuBrqG,EAAEkc,SAEzBkuF,EAAoBpqG,EAAEkc,SAJtBkuF,GAQfztF,YAAaA,EACbD,iBAAkBC,EAClBysF,kBAAmB,4FACnBC,uBAAwB,mFAExBzsF,YAAcA,EACd0sF,gBAAkB1sF,EAClB2sF,iBAAmB3sF,EAEnByD,SAAW,6DAA6D1iC,MAAM,KAC9EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,kBACTC,QAAS,iBACTC,SAAU,eACVC,QAAS,mBACTC,SAAU,2BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,UACTC,KAAO,aACPxR,EAAI,oBACJyR,GAAK,cACLjkB,EAAI,aACJkkB,GAAK,aACLrjC,EAAI,UACJsjC,GAAK,SACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,YACJ4F,GAAK,aACL1I,EAAI,WACJ2I,GAAK,WAETT,uBAAwB,kBACxBjL,QAAU,SAAUrM,GAChB,OAAOA,GAAsB,IAAXA,GAA2B,IAAXA,GAAgBA,GAAU,GAAM,MAAQ,OAE9EgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA3EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqF9+B,MAAM,KACpG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,qDAAqD1iC,MAAM,KACtEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,eACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAS,oBACTC,QAAS,uBACTC,SAAU,mBACVC,QAAS,oBACTC,SAAU,gCACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,WACPxR,EAAI,eACJyR,GAAK,YACLjkB,EAAI,aACJkkB,GAAK,YACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,YACJ4F,GAAK,aACL1I,EAAI,SACJ2I,GAAK,SAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGEF,EAAOniF,aAAa,QAAS,CAEpCvI,OAAS,sEAAsE9+B,MAAM,KACrF6+B,YAAc,sEAAsE7+B,MAAM,KAC1F0iC,SAAW,yDAAyD1iC,MAAM,KAC1EyiC,cAAgB,iCAAiCziC,MAAM,KACvDwiC,YAAc,iCAAiCxiC,MAAM,KACrD27B,eAAiB,CACbv0B,GAAK,aACLy+B,IAAM,gBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAU,UACVC,QAAU,UACVC,SAAW,kBACXC,QAAU,UACVC,SAAW,mBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,WACPxR,EAAI,YACJyR,GAAK,WACLjkB,EAAI,UACJkkB,GAAK,UACLrjC,EAAI,WACJsjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,YACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,UAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAKzBq6B,cAAe,uBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,QAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,SAAblW,EACAkW,EACa,WAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,SAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,MACAA,EAAO,GACP,OACAA,EAAO,GACP,SACAA,EAAO,GACP,OAEA,OAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KAhHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI+D,EAAmB,mGAAmGvtH,MAAM,KAC5HwtH,EAAmB,qGAAqGxtH,MAAM,KAClI,SAASwrH,EAAOt0G,GACZ,OAAQA,EAAI,GAAK,GAAOA,EAAI,GAAK,MAAWA,EAAI,IAAM,IAAQ,EAElE,SAASi0G,EAAUv8F,EAAQklB,EAAer7C,GACtC,IAAIyB,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,KACD,OAAOyB,GAAUsxH,EAAO58F,GAAU,UAAY,UAClD,IAAK,IACD,OAAOklB,EAAgB,SAAW,SACtC,IAAK,KACD,OAAO55C,GAAUsxH,EAAO58F,GAAU,SAAW,SACjD,IAAK,IACD,OAAOklB,EAAiB,UAAa,UACzC,IAAK,KACD,OAAO55C,GAAUsxH,EAAO58F,GAAU,UAAY,UAClD,IAAK,KACD,OAAO10B,GAAUsxH,EAAO58F,GAAU,WAAa,YACnD,IAAK,KACD,OAAO10B,GAAUsxH,EAAO58F,GAAU,OAAS,QAI9C46F,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,SAAUktF,EAAgBjpG,GAC/B,OAAKipG,EAEiB,KAAXjpG,EAIA,IAAMyqG,EAAiBxB,EAAeztF,SAAW,IAAMgvF,EAAiBvB,EAAeztF,SAAW,IAClG,SAAS/yB,KAAKuX,GACdyqG,EAAiBxB,EAAeztF,SAEhCgvF,EAAiBvB,EAAeztF,SAThCgvF,GAYf1uF,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,6DAA6D1iC,MAAM,KAC9EyiC,cAAgB,2BAA2BziC,MAAM,KACjDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAS,cACTC,QAAS,eACTC,SAAU,WACN,OAAQplC,KAAKkjC,OACT,KAAK,EACD,MAAO,qBAEX,KAAK,EACD,MAAO,mBAEX,KAAK,EACD,MAAO,iBAEX,KAAK,EACD,MAAO,kBAEX,QACI,MAAO,oBAGnBmC,QAAS,iBACTC,SAAU,WACN,OAAQtlC,KAAKkjC,OACT,KAAK,EACD,MAAO,4BACX,KAAK,EACD,MAAO,wBACX,KAAK,EACD,MAAO,yBACX,QACI,MAAO,2BAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,eACJyR,GAAK6kF,EACL9oG,EAAI8oG,EACJ5kF,GAAK4kF,EACLjoH,EAAIioH,EACJ3kF,GAAK2kF,EACLtqF,EAAI,UACJ4F,GAAK,SACL3F,EAAI,UACJ4F,GAAKykF,EACLntF,EAAI,MACJ2I,GAAKwkF,GAETjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAlHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,2FAA2F9+B,MAAM,KAC1G6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,iFAAiF1iC,MAAM,KAClGyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,8BACNC,KAAO,qCAEXX,SAAW,CACPC,QAAS,eACTC,QAAS,iBACTC,SAAU,eACVC,QAAS,gBACTC,SAAU,WACN,OAAuB,IAAftlC,KAAKkjC,OAA8B,IAAfljC,KAAKkjC,MAC7B,wBACA,yBAERqC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,QACPxR,EAAI,WACJyR,GAAK,cACLjkB,EAAI,YACJkkB,GAAK,aACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAwB,WACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KArDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,2FAA2F9+B,MAAM,KAC1G6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,iFAAiF1iC,MAAM,KAClGyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,mCACNC,KAAO,0CAEXX,SAAW,CACPC,QAAS,eACTC,QAAS,iBACTC,SAAU,eACVC,QAAS,gBACTC,SAAU,WACN,OAAuB,IAAftlC,KAAKkjC,OAA8B,IAAfljC,KAAKkjC,MAC7B,wBACA,yBAERqC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,QACPxR,EAAI,kBACJyR,GAAK,cACLjkB,EAAI,YACJkkB,GAAK,aACLrjC,EAAI,WACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAwB,WACxBjL,QAAU,QAlDwB8gB,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAASkB,EAAuB97F,EAAQklB,EAAer7C,GACnD,IAQI02C,EAAY,IAIhB,OAHIvgB,EAAS,KAAO,IAAOA,GAAU,KAAOA,EAAS,KAAQ,KACzDugB,EAAY,QAETvgB,EAASugB,EAZH,CACL,GAAM,UACN,GAAM,SACN,GAAM,MACN,GAAM,OACN,GAAM,OACN,GAAM,OAMqB12C,GAG9B+wH,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,oGAAoG9+B,MAAM,KACnH6+B,YAAc,gEAAgE7+B,MAAM,KACpForH,kBAAkB,EAClB1oF,SAAW,kDAAkD1iC,MAAM,KACnEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,aACJC,GAAK,cACLC,IAAM,mBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,cACTC,QAAS,gBACTC,SAAU,eACVC,QAAS,eACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,WACTC,KAAO,aACPxR,EAAI,iBACJyR,GAAKokF,EACLroG,EAAI,WACJkkB,GAAKmkF,EACLxnH,EAAI,QACJsjC,GAAKkkF,EACL7pF,EAAI,OACJ4F,GAAKikF,EACL5pF,EAAI,SACJ4F,GAAKgkF,EACL1sF,EAAI,QACJ2I,GAAK+jF,GAET9oF,KAAO,CACHL,IAAM,EACNC,IAAM,KA/DwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAOzB,SAASkB,EAAuB97F,EAAQklB,EAAer7C,GACnD,IALkBoqB,EACd8nG,EAYJ,MAAY,MAARlyH,EACOq7C,EAAgB,SAAW,SAG3BllB,EAAS,KAjBF/L,GAiB6B+L,EAhB3C+7F,EAIS,CACT,GAAM72E,EAAgB,yBAA2B,yBACjD,GAAMA,EAAgB,sBAAwB,sBAC9C,GAAM,iBACN,GAAM,gBACN,GAAM,uBACN,GAAM,gBAM8Br7C,GAhBvBuH,MAAM,KAChB6iB,EAAM,IAAO,GAAKA,EAAM,KAAQ,GAAK8nG,EAAM,GAAM9nG,EAAM,IAAM,GAAKA,EAAM,IAAM,IAAMA,EAAM,IAAM,IAAMA,EAAM,KAAO,IAAM8nG,EAAM,GAAKA,EAAM,IAkBrJ,IAAI1rF,EAAc,CAAC,QAAS,QAAS,QAAS,QAAS,WAAY,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,SAKhHuqF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,CACL/b,OAAQ,oFAAoF/iB,MAAM,KAClG4qH,WAAY,kFAAkF5qH,MAAM,MAExG6+B,YAAc,CAEV9b,OAAQ,gEAAgE/iB,MAAM,KAC9E4qH,WAAY,gEAAgE5qH,MAAM,MAEtF0iC,SAAW,CACPkoF,WAAY,gEAAgE5qH,MAAM,KAClF+iB,OAAQ,gEAAgE/iB,MAAM,KAC9E63C,SAAU,kDAEdpV,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,uBAAuBxiC,MAAM,KAC3Ci/B,YAAcA,EACd0sF,gBAAkB1sF,EAClB2sF,iBAAmB3sF,EAGnBD,YAAa,2MAGbD,iBAAkB,2MAGlB0sF,kBAAmB,wHAGnBC,uBAAwB,6FACxB/vF,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,aACJC,GAAK,iBACLC,IAAM,uBACNC,KAAO,8BAEXX,SAAW,CACPC,QAAS,kBACTC,QAAS,iBACTE,QAAS,gBACTD,SAAU,SAAU/U,GAChB,GAAIA,EAAIkR,SAAWvhC,KAAKuhC,OAcpB,OAAmB,IAAfvhC,KAAKkjC,MACE,oBAEA,mBAhBX,OAAQljC,KAAKkjC,OACT,KAAK,EACD,MAAO,6BACX,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,6BACX,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,+BAUvBoC,SAAU,SAAUjV,GAChB,GAAIA,EAAIkR,SAAWvhC,KAAKuhC,OAcpB,OAAmB,IAAfvhC,KAAKkjC,MACE,oBAEA,mBAhBX,OAAQljC,KAAKkjC,OACT,KAAK,EACD,MAAO,2BACX,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,2BACX,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,6BAUvBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,WACTC,KAAO,WACPxR,EAAI,mBACJyR,GAAKokF,EACLroG,EAAIqoG,EACJnkF,GAAKmkF,EACLxnH,EAAI,MACJsjC,GAAKkkF,EACL7pF,EAAI,OACJ4F,GAAKikF,EACL5pF,EAAI,QACJ4F,GAAKgkF,EACL1sF,EAAI,MACJ2I,GAAK+jF,GAET9jF,cAAe,wBACf7B,KAAO,SAAUr8B,GACb,MAAO,iBAAiB8C,KAAK9C,IAEjCytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,OACAA,EAAO,GACP,MAEA,UAGfnG,uBAAwB,mBACxBjL,QAAS,SAAUrM,EAAQoiB,GACvB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOpiB,EAAS,KACpB,IAAK,IACD,OAAOA,EAAS,MACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,KACpB,QACI,OAAOA,IAGnBgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA1KwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI1qF,EAAS,CACT,QACA,UACA,OACA,QACA,MACA,MACA,SACA,OACA,UACA,SACA,QACA,SAEAuP,EAAO,CACP,MACA,OACA,QACA,OACA,OACA,MACA,QAGKm7E,EAAOniF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcC,EACd4D,SAAW2L,EACX5L,cAAgB4L,EAChB7L,YAAc6L,EACd1S,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXW,cAAe,UACf7B,KAAO,SAAUr8B,GACb,MAAO,QAAUA,GAErBytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,MAEJ,OAEX/G,SAAW,CACPC,QAAU,UACVC,QAAU,aACVC,SAAW,yBACXC,QAAU,aACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,QACPxR,EAAI,YACJyR,GAAK,WACLjkB,EAAI,SACJkkB,GAAK,SACLrjC,EAAI,UACJsjC,GAAK,UACL3F,EAAI,WACJ4F,GAAK,WACL3F,EAAI,WACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,UAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCi5C,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCgnC,KAAO,CACHL,IAAM,EACNC,IAAM,KAtFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,mJAAmJ9+B,MAAM,KAClK6+B,YAAc,6DAA6D7+B,MAAM,KACjF0iC,SAAW,6EAA6E1iC,MAAM,KAC9FyiC,cAAgB,mCAAmCziC,MAAM,KACzDwiC,YAAc,gBAAgBxiC,MAAM,KACpC27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,oBACLC,IAAM,gCACNC,KAAO,uCAEXX,SAAW,CACPC,QAAS,eACTC,QAAS,iBACTC,SAAU,eACVC,QAAS,eACTC,SAAU,wBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,YACTC,KAAO,WACPxR,EAAI,mBACJyR,GAAI,eACJjkB,EAAI,eACJkkB,GAAK,cACLrjC,EAAI,cACJsjC,GAAK,aACL3F,EAAI,cACJ4F,GAAK,cACL3F,EAAI,aACJ4F,GAAK,WACL1I,EAAI,aACJ2I,GAAK,YAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAIhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,kGAAkG9+B,MAAM,KACjH6+B,YAAc,wDAAwD7+B,MAAM,KAC5E0iC,SAAW,gEAAgE1iC,MAAM,KACjFyiC,cAAgB,gCAAgCziC,MAAM,KACtDwiC,YAAc,qBAAqBxiC,MAAM,KACzC8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,sBACNC,KAAO,sCAEXX,SAAW,CACPC,QAAU,aACVC,QAAU,cACVC,SAAW,aACXC,QAAU,cACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EAAI,eACJyR,GAAK,WACLjkB,EAAI,YACJkkB,GAAK,cACLrjC,EAAI,MACJsjC,GAAK,SACL3F,EAAI,OACJ4F,GAAK,SACL3F,EAAI,OACJ4F,GAAK,SACL1I,EAAI,MACJ2I,GAAK,UAETT,uBAAwB,eACxBjL,QAAU,SAAUrM,GAChB,OAAOA,EAAS,SAEpBgY,cAAgB,4BAChB7B,KAAO,SAAUr8B,GACb,MAAiB,SAAVA,GAA8B,YAAVA,GAE/BytB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,OAAS,UAEnBA,EAAU,QAAU,aA1DDwD,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI1qF,EAAS,oFAAoF9+B,MAAM,KACnG6+B,EAAc,kDAAkD7+B,MAAM,KAC1E,SAASwrH,EAAOt0G,GACZ,OAAQA,EAAI,GAAOA,EAAI,EAE3B,SAASi0G,EAAUv8F,EAAQklB,EAAer7C,EAAKi/C,GAC3C,IAAIx9C,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,IACD,OAAQq7C,GAAiB4D,EAAY,aAAe,gBACxD,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,UAAY,UAEvC10B,EAAS,YAGxB,IAAK,IACD,OAAO45C,EAAgB,SAAY4D,EAAW,SAAW,UAC7D,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,SAAW,SAEtC10B,EAAS,WAGxB,IAAK,IACD,OAAO45C,EAAgB,SAAY4D,EAAW,SAAW,UAC7D,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,SAAW,SAEtC10B,EAAS,WAGxB,IAAK,IACD,OAAQ45C,GAAiB4D,EAAY,MAAQ,OACjD,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,MAAQ,OAEnC10B,EAAS,QAGxB,IAAK,IACD,OAAQ45C,GAAiB4D,EAAY,SAAW,WACpD,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,UAAY,YAEvC10B,EAAS,WAGxB,IAAK,IACD,OAAQ45C,GAAiB4D,EAAY,MAAQ,QACjD,IAAK,KACD,OAAI5D,GAAiB4D,EACVx9C,GAAUsxH,EAAO58F,GAAU,OAAS,SAEpC10B,EAAS,SAMvBsvH,EAAOniF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcA,EACd6D,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAI,OACJy+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,cACTC,QAAS,gBACTC,SAAU,WACN,OAAQplC,KAAKkjC,OACT,KAAK,EACD,MAAO,kBACX,KAAK,EACL,KAAK,EACD,MAAO,kBACX,KAAK,EACD,MAAO,kBACX,KAAK,EACD,MAAO,oBACX,KAAK,EACD,MAAO,kBACX,KAAK,EACD,MAAO,oBAGnBmC,QAAS,eACTC,SAAU,WACN,OAAQtlC,KAAKkjC,OACT,KAAK,EACD,MAAO,uBACX,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,uBACX,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,yBAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAIs2F,EACJ7kF,GAAK6kF,EACL9oG,EAAI8oG,EACJ5kF,GAAK4kF,EACLjoH,EAAIioH,EACJ3kF,GAAK2kF,EACLtqF,EAAIsqF,EACJ1kF,GAAK0kF,EACLrqF,EAAIqqF,EACJzkF,GAAKykF,EACLntF,EAAImtF,EACJxkF,GAAKwkF,GAETjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhJwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,SAASqC,EAAoBj9F,EAAQklB,EAAer7C,EAAKi/C,GACrD,IAAIx9C,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,IACD,OAAOq7C,GAAiB4D,EAAW,eAAiB,kBACxD,IAAK,KAUD,OARIx9C,GADW,IAAX00B,EACUklB,EAAgB,UAAY,UACpB,IAAXllB,EACGklB,GAAiB4D,EAAW,UAAY,WAC3C9oB,EAAS,EACNklB,GAAiB4D,EAAW,UAAY,WAExC,SAGlB,IAAK,IACD,OAAO5D,EAAgB,aAAe,aAC1C,IAAK,KAUD,OARI55C,GADW,IAAX00B,EACUklB,EAAgB,SAAW,SACnB,IAAXllB,EACGklB,GAAiB4D,EAAW,SAAW,WAC1C9oB,EAAS,EACNklB,GAAiB4D,EAAW,SAAW,WAEvC5D,GAAiB4D,EAAW,QAAU,WAGxD,IAAK,IACD,OAAO5D,EAAgB,UAAY,UACvC,IAAK,KAUD,OARI55C,GADW,IAAX00B,EACUklB,EAAgB,MAAQ,MAChB,IAAXllB,EACGklB,GAAiB4D,EAAW,MAAQ,QACvC9oB,EAAS,EACNklB,GAAiB4D,EAAW,MAAQ,QAEpC5D,GAAiB4D,EAAW,KAAO,QAGrD,IAAK,IACD,OAAO5D,GAAiB4D,EAAW,SAAW,YAClD,IAAK,KAQD,OANIx9C,GADW,IAAX00B,EACUklB,GAAiB4D,EAAW,MAAQ,OAC5B,IAAX9oB,EACGklB,GAAiB4D,EAAW,MAAQ,UAEpC5D,GAAiB4D,EAAW,MAAQ,QAGtD,IAAK,IACD,OAAO5D,GAAiB4D,EAAW,WAAa,eACpD,IAAK,KAUD,OARIx9C,GADW,IAAX00B,EACUklB,GAAiB4D,EAAW,QAAU,UAC9B,IAAX9oB,EACGklB,GAAiB4D,EAAW,SAAW,WAC1C9oB,EAAS,EACNklB,GAAiB4D,EAAW,SAAW,SAEvC5D,GAAiB4D,EAAW,UAAY,SAG1D,IAAK,IACD,OAAO5D,GAAiB4D,EAAW,WAAa,aACpD,IAAK,KAUD,OARIx9C,GADW,IAAX00B,EACUklB,GAAiB4D,EAAW,OAAS,QAC7B,IAAX9oB,EACGklB,GAAiB4D,EAAW,OAAS,SACxC9oB,EAAS,EACNklB,GAAiB4D,EAAW,OAAS,OAErC5D,GAAiB4D,EAAW,MAAQ,QAMrD8xE,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,8DAA8D7+B,MAAM,KAClForH,kBAAkB,EAClB1oF,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAW,gBACXC,QAAW,gBAEXC,SAAW,WACP,OAAQplC,KAAKkjC,OACT,KAAK,EACD,MAAO,wBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACD,MAAO,uBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,qBAGnBmC,QAAW,iBACXC,SAAW,WACP,OAAQtlC,KAAKkjC,OACT,KAAK,EACD,MAAO,+BACX,KAAK,EACD,MAAO,6BACX,KAAK,EACD,MAAO,8BACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,4BAGnBqC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAS,UACTxR,EAASg3F,EACTvlF,GAASulF,EACTxpG,EAASwpG,EACTtlF,GAASslF,EACT3oH,EAAS2oH,EACTrlF,GAASqlF,EACThrF,EAASgrF,EACTplF,GAASolF,EACT/qF,EAAS+qF,EACTnlF,GAASmlF,EACT7tF,EAAS6tF,EACTllF,GAASklF,GAEb3lF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAjKwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,gFAAgF9+B,MAAM,KAC/F6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,4DAA4D1iC,MAAM,KAC7EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,mBAAmBxiC,MAAM,KACvC8pH,oBAAqB,EACrBljF,cAAe,QACf7B,KAAM,SAAUr8B,GACZ,MAA2B,MAApBA,EAAM7J,OAAO,IAExBs3B,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAOhU,EAAQ,GAAK,KAAO,MAE/B5I,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,cACVC,QAAU,gBACVC,SAAW,eACXC,QAAU,cACVC,SAAW,wBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,aACPxR,EAAI,eACJyR,GAAK,aACLjkB,EAAI,aACJkkB,GAAK,YACLrjC,EAAI,UACJsjC,GAAK,SACL3F,EAAI,WACJ4F,GAAK,UACL3F,EAAI,WACJ4F,GAAK,UACL1I,EAAI,UACJ2I,GAAK,WAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAxDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI0D,EAAa,CACbC,MAAO,CACH7mF,GAAI,CAAC,UAAW,UAAW,WAC3BjkB,EAAG,CAAC,cAAe,gBACnBkkB,GAAI,CAAC,QAAS,SAAU,UACxBrjC,EAAG,CAAC,YAAa,eACjBsjC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,QAAS,SAAU,UACxBC,GAAI,CAAC,SAAU,SAAU,WAE7BymF,uBAAwB,SAAUx+F,EAAQy+F,GACtC,OAAkB,IAAXz+F,EAAey+F,EAAQ,GAAMz+F,GAAU,GAAKA,GAAU,EAAIy+F,EAAQ,GAAKA,EAAQ,IAE1FlC,UAAW,SAAUv8F,EAAQklB,EAAer7C,GACxC,IAAI40H,EAAUH,EAAWC,MAAM10H,GAC/B,OAAmB,IAAfA,EAAID,OACGs7C,EAAgBu5E,EAAQ,GAAKA,EAAQ,GAErCz+F,EAAS,IAAMs+F,EAAWE,uBAAuBx+F,EAAQy+F,KAKnE7D,EAAOniF,aAAa,KAAM,CAC/BvI,OAAQ,mFAAmF9+B,MAAM,KACjG6+B,YAAa,2DAA2D7+B,MAAM,KAC9EorH,kBAAkB,EAClB1oF,SAAU,wDAAwD1iC,MAAM,KACxEyiC,cAAe,qCAAqCziC,MAAM,KAC1DwiC,YAAa,uBAAuBxiC,MAAM,KAC1C8pH,oBAAqB,EACrBnuF,eAAgB,CACZv0B,GAAI,OACJy+B,IAAM,UACNC,EAAG,aACHC,GAAI,eACJC,IAAK,oBACLC,KAAM,2BAEVX,SAAU,CACNC,QAAS,eACTC,QAAS,eACTC,SAAU,WACN,OAAQplC,KAAKkjC,OACT,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,qBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,oBAGnBmC,QAAW,cACXC,SAAW,WAUP,MATmB,CACf,4BACA,gCACA,4BACA,0BACA,8BACA,2BACA,4BAEgBtlC,KAAKkjC,QAE7BqC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAS,SACTxR,EAAS,mBACTyR,GAAS4mF,EAAW/B,UACpB9oG,EAAS6qG,EAAW/B,UACpB5kF,GAAS2mF,EAAW/B,UACpBjoH,EAASgqH,EAAW/B,UACpB3kF,GAAS0mF,EAAW/B,UACpBtqF,EAAS,MACT4F,GAASymF,EAAW/B,UACpBrqF,EAAS,QACT4F,GAASwmF,EAAW/B,UACpBntF,EAAS,SACT2I,GAASumF,EAAW/B,WAExBjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAnGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI0D,EAAa,CACbC,MAAO,CACH7mF,GAAI,CAAC,UAAW,UAAW,WAC3BjkB,EAAG,CAAC,cAAe,gBACnBkkB,GAAI,CAAC,QAAS,SAAU,UACxBrjC,EAAG,CAAC,YAAa,eACjBsjC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,QAAS,SAAU,UACxBC,GAAI,CAAC,SAAU,SAAU,WAE7BymF,uBAAwB,SAAUx+F,EAAQy+F,GACtC,OAAkB,IAAXz+F,EAAey+F,EAAQ,GAAMz+F,GAAU,GAAKA,GAAU,EAAIy+F,EAAQ,GAAKA,EAAQ,IAE1FlC,UAAW,SAAUv8F,EAAQklB,EAAer7C,GACxC,IAAI40H,EAAUH,EAAWC,MAAM10H,GAC/B,OAAmB,IAAfA,EAAID,OACGs7C,EAAgBu5E,EAAQ,GAAKA,EAAQ,GAErCz+F,EAAS,IAAMs+F,EAAWE,uBAAuBx+F,EAAQy+F,KAK/D7D,EAAOniF,aAAa,UAAW,CACxCvI,OAAQ,mFAAmF9+B,MAAM,KACjG6+B,YAAa,2DAA2D7+B,MAAM,KAC9EorH,kBAAkB,EAClB1oF,SAAU,sDAAsD1iC,MAAM,KACtEyiC,cAAe,qCAAqCziC,MAAM,KAC1DwiC,YAAa,uBAAuBxiC,MAAM,KAC1C8pH,oBAAqB,EACrBnuF,eAAgB,CACZv0B,GAAI,OACJy+B,IAAM,UACNC,EAAG,aACHC,GAAI,eACJC,IAAK,oBACLC,KAAM,2BAEVX,SAAU,CACNC,QAAS,eACTC,QAAS,eACTC,SAAU,WACN,OAAQplC,KAAKkjC,OACT,KAAK,EACD,MAAO,sBACX,KAAK,EACD,MAAO,qBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,oBAGnBmC,QAAW,cACXC,SAAW,WAUP,MATmB,CACf,2BACA,+BACA,4BACA,0BACA,8BACA,2BACA,4BAEgBtlC,KAAKkjC,QAE7BqC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAS,SACTxR,EAAS,mBACTyR,GAAS4mF,EAAW/B,UACpB9oG,EAAS6qG,EAAW/B,UACpB5kF,GAAS2mF,EAAW/B,UACpBjoH,EAASgqH,EAAW/B,UACpB3kF,GAAS0mF,EAAW/B,UACpBtqF,EAAS,MACT4F,GAASymF,EAAW/B,UACpBrqF,EAAS,QACT4F,GAASwmF,EAAW/B,UACpBntF,EAAS,SACT2I,GAASumF,EAAW/B,WAExBjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAnGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,mHAAmH9+B,MAAM,KAClI6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,sEAAsE1iC,MAAM,KACvFyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,mBACVC,QAAU,kBACVC,SAAW,gBACXC,QAAU,iBACVC,SAAW,8BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,iBACPxR,EAAI,qBACJyR,GAAK,cACLjkB,EAAI,SACJkkB,GAAK,aACLrjC,EAAI,SACJsjC,GAAK,aACL3F,EAAI,UACJ4F,GAAK,cACL3F,EAAI,UACJ4F,GAAK,cACL1I,EAAI,UACJ2I,GAAK,eAETC,cAAe,mCACfzQ,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACD,UACAA,EAAQ,GACR,QACAA,EAAQ,GACR,aAEA,WAGfgI,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,YAAblW,EACOkW,EACa,UAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,eAAblW,GAA0C,YAAbA,EACvB,IAATkW,EACO,EAEJA,EAAO,QAJX,GAOXnG,uBAAwB,UACxBjL,QAAU,KACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA5EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,oDAAoD1iC,MAAM,KACrEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,0BACNC,KAAO,+BACPqlF,IAAM,mBACNC,KAAO,wBAEXjmF,SAAW,CACPC,QAAS,YACTC,QAAS,eACTE,QAAS,YACTD,SAAU,eACVE,SAAU,iBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,eACPxR,EAAI,iBACJyR,GAAK,cACLjkB,EAAI,WACJkkB,GAAK,aACLrjC,EAAI,WACJsjC,GAAK,YACL3F,EAAI,SACJ4F,GAAK,WACL3F,EAAI,WACJ4F,GAAK,aACL1I,EAAI,SACJ2I,GAAK,SAETT,uBAAwB,eACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,IAClC,IAANp0B,GACM,IAANA,EADW,IAEA,MAGpBonC,KAAO,CACHL,IAAM,EACNC,IAAM,KAzDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,sFAAsF9+B,MAAM,KACrG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,8DAA8D1iC,MAAM,KAC/EyiC,cAAgB,kCAAkCziC,MAAM,KACxDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,eACVC,QAAU,iBACVC,SAAW,8BACXC,QAAU,YACVC,SAAW,kCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,aACTC,KAAO,WACPxR,EAAI,aACJyR,GAAK,aACLjkB,EAAI,cACJkkB,GAAK,YACLrjC,EAAI,aACJsjC,GAAK,WACL3F,EAAI,YACJ4F,GAAK,YACL3F,EAAI,cACJ4F,GAAK,WACL1I,EAAI,cACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA/CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,0FAA0F9+B,MAAM,KACzG6+B,YAAc,0FAA0F7+B,MAAM,KAC9G0iC,SAAW,8FAA8F1iC,MAAM,KAC/GyiC,cAAgB,mDAAmDziC,MAAM,KACzEwiC,YAAc,sBAAsBxiC,MAAM,KAC1C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,aACVC,QAAU,YACVC,SAAW,WACXC,QAAU,cACVC,SAAW,yBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,UACPxR,EAAI,oBACJyR,GAAK,eACLjkB,EAAI,cACJkkB,GAAK,gBACLrjC,EAAI,gBACJsjC,GAAK,eACL3F,EAAI,WACJ4F,GAAK,aACL3F,EAAI,YACJ4F,GAAK,cACL1I,EAAI,aACJ2I,GAAK,eAETT,uBAAwB,aACxBjL,QAAU,SAAUrM,GAChB,OAAOA,EAAS,OAEpB8d,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOm9G,EAAUn9G,OAGzBsnC,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAOk9G,EAAUl9G,OAIzBq6B,cAAe,wCACfzQ,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,SACAA,EAAO,EACP,SACAA,EAAO,GACP,QACAA,EAAO,GACP,WACAA,EAAO,GACP,WACAA,EAAO,GACP,QAEA,UAGfE,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,UAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,UAAblW,GAAqC,SAAbA,GAEX,YAAbA,GACAkW,GAAQ,GAFRA,EAIAA,EAAO,IAGtBzK,KAAO,CACHL,IAAM,EACNC,IAAM,KArHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,mEAAmE7+B,MAAM,KACvForH,kBAAmB,EACnB1oF,SAAW,8DAA8D1iC,MAAM,KAC/EyiC,cAAgB,kCAAkCziC,MAAM,KACxDwiC,YAAc,qBAAqBxiC,MAAM,KACzC27B,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,sBACNC,KAAO,6BAEXX,SAAW,CACPC,QAAU,YACVC,QAAU,YACVC,SAAW,WACXC,QAAU,aACVC,SAAW,gBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,YACPxR,EAAI,iBACJyR,GAAK,aACLjkB,EAAI,YACJkkB,GAAK,cACLrjC,EAAI,SACJsjC,GAAK,WACL3F,EAAI,UACJ4F,GAAK,YACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,cACJ2I,GAAK,iBAETT,uBAAyB,WACzBjL,QAAU,MACV2L,cAAe,iCACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,WAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,SAAblW,EACAkW,EACa,cAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,aAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,SACAA,EAAO,GACP,OACAA,EAAO,GACP,YACAA,EAAO,GACP,WAEA,UAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KA7EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGfA,EAAOniF,aAAa,MAAO,CACjCvI,OAAS,0FAA0F9+B,MAAM,KACzG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,kDAAkD1iC,MAAM,KACnEyiC,cAAgB,iCAAiCziC,MAAM,KACvDwiC,YAAc,yBAAyBxiC,MAAM,KAC7C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAS,gBACTC,QAAS,gBACTC,SAAU,gBACVC,QAAS,oBACTC,SAAU,+BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EAAI,eACJyR,GAAK,YACLjkB,EAAI,aACJkkB,GAAK,YACLrjC,EAAI,WACJsjC,GAAK,UACL3F,EAAI,YACJ4F,GAAK,WACL3F,EAAI,YACJ4F,GAAK,WACL1I,EAAI,YACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BonC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIO,EAAW,CACX6C,EAAG,MACH3yG,EAAG,MACHqmE,EAAG,MACH8pC,EAAG,MACH7pC,EAAG,MACHypC,EAAG,MACHM,EAAG,MACHH,EAAG,MACH1F,EAAG,MACHC,EAAG,MACHC,GAAI,MACJC,GAAI,MACJ1kC,GAAI,MACJ8kC,GAAI,MACJuF,GAAI,MACJ7E,GAAI,MACJqC,GAAI,MACJyC,GAAI,MACJP,GAAI,MACJC,GAAI,MACJO,GAAI,MACJJ,IAAK,OAGAb,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,yEAAyE9+B,MAAM,KACxF6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,yDAAyD1iC,MAAM,KAC1EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,mBACVC,QAAU,mBACVE,QAAU,mBACVD,SAAW,kCACXE,SAAW,oCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,SACPxR,EAAI,eACJxS,EAAI,YACJkkB,GAAK,YACLrjC,EAAI,UACJsjC,GAAK,UACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,SACL1I,EAAI,SACJ2I,GAAK,UAETC,cAAe,qBACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAEM,QAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,SAAblW,EACAkW,EACa,QAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,UAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAU,SAAUkW,EAAMc,EAAQoL,GAC9B,OAAIlM,EAAO,EACA,MACAA,EAAO,GACP,OACAA,EAAO,GACP,MACAA,EAAO,GACP,QAEA,OAGfnG,uBAAwB,kBACxBjL,QAAS,SAAUrM,GAGf,OAAOA,GAAUm7F,EAASn7F,IAAWm7F,EAF7Bn7F,EAAS,KAEmCm7F,EAD5Cn7F,GAAU,IAAM,IAAM,QAGlCgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAxGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,oGAAoG9+B,MAAM,KACnH6+B,YAAc,iEAAiE7+B,MAAM,KACrForH,kBAAkB,EAClB1oF,SAAW,iDAAiD1iC,MAAM,KAClEyiC,cAAgB,8CAA8CziC,MAAM,KACpEwiC,YAAc,yBAAyBxiC,MAAM,KAC7C8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,OACLy+B,IAAM,UACNC,EAAI,aACJC,GAAK,cACLC,IAAM,wBACNC,KAAO,oCAEXW,cAAe,wBACf7B,KAAM,SAAUr8B,GACZ,MAAiB,eAAVA,GAEXytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,aAEA,cAGf/G,SAAW,CACPC,QAAU,mBACVC,QAAU,qBACVC,SAAW,qBACXC,QAAU,wBACVC,SAAW,6BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,YACPxR,EAAI,eACJyR,GAAK,YACLjkB,EAAI,SACJkkB,GAAK,UACLrjC,EAAI,YACJsjC,GAAK,aACL3F,EAAI,QACJ4F,GAAK,SACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,OACJ2I,GAAK,WAvDyBoV,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,0FAA0F9+B,MAAM,KACzG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,yDAAyD1iC,MAAM,KAC1EyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,wBAAwBxiC,MAAM,KAC5C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,YACJC,GAAK,eACLC,IAAM,qBACNC,KAAO,6BAEXX,SAAW,CACPC,QAAS,oBACTC,QAAS,gBACTC,SAAU,0BACVC,QAAS,eACTC,SAAU,4BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,gBACTC,KAAO,mBACPxR,EAAI,gBACJyR,GAAK,aACLjkB,EAAI,eACJkkB,GAAK,YACLrjC,EAAI,aACJsjC,GAAK,UACL3F,EAAI,aACJ4F,GAAK,UACL3F,EAAI,cACJ4F,GAAK,WACL1I,EAAI,aACJ2I,GAAK,WAETT,uBAAwB,UACxBjL,QAAU,SAAUrM,GAChB,OAAOA,GAEXgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAlDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAIiE,EAAe,iDAAiDztH,MAAM,KA0B1E,SAASmrH,EAAUv8F,EAAQklB,EAAej+B,EAAQ6hC,GAC9C,IAAIg2E,EAiBR,SAAsB9+F,GAClB,IAAI++F,EAAUx2H,KAAKyN,MAAOgqB,EAAS,IAAQ,KAC3Cg/F,EAAMz2H,KAAKyN,MAAOgqB,EAAS,IAAO,IAClC8kC,EAAM9kC,EAAS,GACf26C,EAAO,GAUP,OATIokD,EAAU,IACVpkD,GAAQkkD,EAAaE,GAAW,SAEhCC,EAAM,IACNrkD,IAAmB,KAATA,EAAe,IAAM,IAAMkkD,EAAaG,GAAO,OAEzDl6D,EAAM,IACN6V,IAAmB,KAATA,EAAe,IAAM,IAAMkkD,EAAa/5D,IAErC,KAAT6V,EAAe,OAASA,EA/BfskD,CAAaj/F,GAC9B,OAAQ/Y,GACJ,IAAK,KACD,OAAO63G,EAAa,OACxB,IAAK,KACD,OAAOA,EAAa,OACxB,IAAK,KACD,OAAOA,EAAa,OACxB,IAAK,KACD,OAAOA,EAAa,OACxB,IAAK,KACD,OAAOA,EAAa,OACxB,IAAK,KACD,OAAOA,EAAa,QAqBtBlE,EAAOniF,aAAa,MAAO,CACjCvI,OAAS,kMAAkM9+B,MAAM,KACjN6+B,YAAc,0HAA0H7+B,MAAM,KAC9IorH,kBAAmB,EACnB1oF,SAAW,2DAA2D1iC,MAAM,KAC5EyiC,cAAgB,2DAA2DziC,MAAM,KACjFwiC,YAAc,2DAA2DxiC,MAAM,KAC/E27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAS,cACTC,QAAS,cACTC,SAAU,MACVC,QAAS,cACTC,SAAU,MACVC,SAAU,KAEdO,aAAe,CACXC,OAnFR,SAAyB7K,GACrB,IAAI2X,EAAO3X,EAQX,OAPA2X,GAAmC,IAA3B3X,EAAOt8B,QAAQ,OACvBi0C,EAAK5vC,MAAM,GAAI,GAAK,OACQ,IAA3Bi4B,EAAOt8B,QAAQ,OAChBi0C,EAAK5vC,MAAM,GAAI,GAAK,OACQ,IAA3Bi4B,EAAOt8B,QAAQ,OAChBi0C,EAAK5vC,MAAM,GAAI,GAAK,MACpB4vC,EAAO,QA4EH7M,KAxER,SAAuB9K,GACnB,IAAI2X,EAAO3X,EAQX,OAPA2X,GAAmC,IAA3B3X,EAAOt8B,QAAQ,OACvBi0C,EAAK5vC,MAAM,GAAI,GAAK,OACQ,IAA3Bi4B,EAAOt8B,QAAQ,OAChBi0C,EAAK5vC,MAAM,GAAI,GAAK,OACQ,IAA3Bi4B,EAAOt8B,QAAQ,OAChBi0C,EAAK5vC,MAAM,GAAI,GAAK,MACpB4vC,EAAO,QAiEHre,EAAI,UACJyR,GAAK6kF,EACL9oG,EAAI,UACJkkB,GAAK4kF,EACLjoH,EAAI,UACJsjC,GAAK2kF,EACLtqF,EAAI,UACJ4F,GAAK0kF,EACLrqF,EAAI,UACJ4F,GAAKykF,EACLntF,EAAI,UACJ2I,GAAKwkF,GAETjlF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA9GwBua,CAAQ,EAAQ,O,iBCElD,SAAWytE,GAAU,aAEzB,IAAIO,EAAW,CACX9vG,EAAG,QACH+vG,EAAG,QACHvF,EAAG,QACHwF,GAAI,QACJC,GAAI,QACJ5pC,EAAG,OACH6pC,EAAG,OACHnF,GAAI,OACJ+C,GAAI,OACJqC,EAAG,QACH7pC,EAAG,QACH8pC,IAAK,QACLC,EAAG,OACH5F,EAAG,QACHC,GAAI,QACJ4F,GAAI,QACJC,GAAI,QACJC,GAAI,SAGCjB,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,6EAA6E9+B,MAAM,KAC5F6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,wDAAwD1iC,MAAM,KACzEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,kBACVC,QAAU,kBACVC,SAAW,2BACXC,QAAU,WACVC,SAAW,yBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,UACPxR,EAAI,gBACJyR,GAAK,YACLjkB,EAAI,aACJkkB,GAAK,YACLrjC,EAAI,WACJsjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,UACJ2I,GAAK,UAET1L,QAAS,SAAUrM,EAAQoiB,GACvB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,KACL,IAAK,KACD,OAAOpiB,EACX,QACI,GAAe,IAAXA,EACA,OAAOA,EAAS,QAEpB,IAAIr0B,EAAIq0B,EAAS,GAGjB,OAAOA,GAAUm7F,EAASxvH,IAAMwvH,EAFxBn7F,EAAS,IAAMr0B,IAEwBwvH,EADvCn7F,GAAU,IAAM,IAAM,SAI1CgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAnFwBua,CAAQ,EAAQ,O,iBCIlD,SAAWytE,GAAU,aA8DzB,SAASqC,EAAoBj9F,EAAQklB,EAAer7C,EAAKi/C,GACrD,IAAI30B,EAAS,CACT,EAAK,CAAC,kBAAmB,mBACzB,GAAM,CAAC6L,EAAS,WAAiBA,EAAS,YAC1C,EAAK,CAAC,UAAY,cAClB,GAAM,CAACA,EAAS,SAAeA,EAAS,UACxC,EAAK,CAAC,UAAY,eAClB,GAAM,CAACA,EAAS,SAAeA,EAAS,UACxC,EAAK,CAAC,UAAY,eAClB,GAAM,CAACA,EAAS,SAAeA,EAAS,UACxC,EAAK,CAAC,SAAW,aACjB,GAAM,CAACA,EAAS,SAAeA,EAAS,UACxC,EAAK,CAAC,QAAU,YAChB,GAAM,CAACA,EAAS,OAAaA,EAAS,SAE1C,OAAO8oB,GAA6B5D,EAAlB/wB,EAAOtqB,GAAK,GAAuCsqB,EAAOtqB,GAAK,GAxE3E+wH,EAAOniF,aAAa,MAAO,CACjCvI,OAAS,sFAAsF9+B,MAAM,KACrG6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,sDAAsD1iC,MAAM,KACvEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,8BACNC,KAAO,0CAEXW,cAAe,aACf7B,KAAO,SAAUr8B,GACb,MAAO,QAAWA,EAAM8J,eAE5B2jB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,MAAS,MAEnBA,EAAU,MAAS,OAGlCjT,SAAW,CACPC,QAAU,cACVC,QAAU,cACVC,SAAW,cACXC,QAAU,eACVC,SAAW,8BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,OACPxR,EAAIg3F,EACJvlF,GAAKulF,EACLxpG,EAAIwpG,EACJtlF,GAAKslF,EACL3oH,EAAI2oH,EACJrlF,GAAKqlF,EACLhrF,EAAIgrF,EACJplF,GAAKolF,EACL/qF,EAAI+qF,EACJnlF,GAAKmlF,EACL7tF,EAAI6tF,EACJllF,GAAKklF,GAET3lF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA7DwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGfA,EAAOniF,aAAa,MAAO,CACjCvI,OAAS,kFAAkF9+B,MAAM,KACjG6+B,YAAc,kFAAkF7+B,MAAM,KACtG0iC,SAAW,kDAAkD1iC,MAAM,KACnEyiC,cAAgB,kDAAkDziC,MAAM,KACxEwiC,YAAc,kDAAkDxiC,MAAM,KACtE27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAK,WACLC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,cACTC,QAAS,cACTC,SAAU,cACVC,QAAS,eACTC,SAAU,cACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,gBACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,UACLjkB,EAAI,QACJkkB,GAAK,WACLrjC,EAAI,OACJsjC,GAAK,cACL3F,EAAI,MACJ4F,GAAK,WACL3F,EAAI,QACJ4F,GAAK,YACL1I,EAAI,QACJ2I,GAAK,aAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,MA9CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGXA,EAAOniF,aAAa,WAAY,CAC1CvI,OAAS,wFAAwF9+B,MAAM,KACvG6+B,YAAc,wFAAwF7+B,MAAM,KAC5G0iC,SAAW,kDAAkD1iC,MAAM,KACnEyiC,cAAgB,kDAAkDziC,MAAM,KACxEwiC,YAAc,kDAAkDxiC,MAAM,KACtE27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,eACTC,QAAS,cACTC,SAAU,cACVC,QAAS,gBACTC,SAAU,cACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,iBACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,UACLjkB,EAAI,QACJkkB,GAAK,WACLrjC,EAAI,OACJsjC,GAAK,cACL3F,EAAI,MACJ4F,GAAK,WACL3F,EAAI,QACJ4F,GAAK,YACL1I,EAAI,QACJ2I,GAAK,aAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,MA9CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAQ,sFAAsF9+B,MAC1F,KAEJ6+B,YAAa,sFAAsF7+B,MAC/F,KAEJ0iC,SAAU,yDAAyD1iC,MAC/D,KAEJyiC,cAAe,uBAAuBziC,MAAM,KAC5CwiC,YAAa,uBAAuBxiC,MAAM,KAC1C27B,eAAgB,CACZv0B,GAAI,QACJy+B,IAAK,WACLC,EAAG,aACHC,GAAI,0BACJC,IAAK,iCACLC,KAAM,wCAEVW,cAAe,qDACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAGM,eAAblW,GACa,UAAbA,GACa,iBAAbA,EAEOkW,EACa,iBAAblW,GAA4C,QAAbA,EAC/BkW,EAAO,GAEPA,GAAQ,GAAKA,EAAOA,EAAO,IAG1ClW,SAAU,SAAUkW,EAAMc,EAAQoL,GAC9B,IAAIzM,EAAY,IAAPO,EAAac,EACtB,OAAIrB,EAAK,IACE,aACAA,EAAK,IACL,QACAA,EAAK,KACL,eACAA,EAAK,KACL,MACAA,EAAK,KACL,eAEA,OAGfxG,SAAU,CACNC,QAAS,mBACTC,QAAS,kBACTC,SAAU,4BACVC,QAAS,eACTC,SAAU,6BACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,WACRC,KAAM,WACNxR,EAAG,eACHyR,GAAI,YACJjkB,EAAG,YACHkkB,GAAI,WACJrjC,EAAG,YACHsjC,GAAI,WACJ3F,EAAG,UACH4F,GAAI,SACJ3F,EAAG,UACH4F,GAAI,SACJ1I,EAAG,UACH2I,GAAI,UAGRT,uBAAwB,6BACxBjL,QAAS,SAAUrM,EAAQoiB,GACvB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOpiB,EAAS,QACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,SACpB,QACI,OAAOA,IAGnB8d,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCi5C,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCgnC,KAAM,CAEFL,IAAK,EACLC,IAAK,KA3GyBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAOzB,SAASkB,EAAuB97F,EAAQklB,EAAer7C,GACnD,IALkBoqB,EACd8nG,EAYJ,MAAY,MAARlyH,EACOq7C,EAAgB,UAAY,UAEtB,MAARr7C,EACEq7C,EAAgB,SAAW,SAG3BllB,EAAS,KApBF/L,GAoB6B+L,EAnB3C+7F,EAIS,CACT,GAAM72E,EAAgB,yBAA2B,yBACjD,GAAMA,EAAgB,yBAA2B,yBACjD,GAAMA,EAAgB,sBAAwB,sBAC9C,GAAM,gBACN,GAAM,wBACN,GAAM,kBAS8Br7C,GAnBvBuH,MAAM,KAChB6iB,EAAM,IAAO,GAAKA,EAAM,KAAQ,GAAK8nG,EAAM,GAAM9nG,EAAM,IAAM,GAAKA,EAAM,IAAM,IAAMA,EAAM,IAAM,IAAMA,EAAM,KAAO,IAAM8nG,EAAM,GAAKA,EAAM,IA0CrJ,SAASmD,EAAqBnzH,GAC1B,OAAO,WACH,OAAOA,EAAM,KAAwB,KAAjB0F,KAAKkkC,QAAiB,IAAM,IAAM,QAIrDilF,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,CACL,OAAU,yFAAyF9+B,MAAM,KACzG,WAAc,iGAAiGA,MAAM,MAEzH6+B,YAAc,yDAAyD7+B,MAAM,KAC7E0iC,SAjCJ,SAA6BrgB,EAAGU,GAC5B,IAAI2f,EAAW,CACX,WAAc,0DAA0D1iC,MAAM,KAC9E,WAAc,0DAA0DA,MAAM,KAC9E,SAAY,4DAA4DA,MAAM,MAGlF,OAAU,IAANqiB,EACOqgB,EAAqB,WAAEp/B,MAAM,EAAG,GAAGD,OAAOq/B,EAAqB,WAAEp/B,MAAM,EAAG,IAEhF+e,EASEqgB,EALQ,qBAAuBl3B,KAAKuX,GACvC,aACC,sCAAwCvX,KAAKuX,GAC1C,WACA,cACkBV,EAAEkhB,OARjBb,EAAqB,YAuBhCD,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,iBACLC,IAAM,wBACNC,KAAO,+BAEXX,SAAW,CACPC,QAASuoF,EAAqB,cAC9BtoF,QAASsoF,EAAqB,YAC9BpoF,QAASooF,EAAqB,WAC9BroF,SAAUqoF,EAAqB,cAC/BnoF,SAAU,WACN,OAAQtlC,KAAKkjC,OACT,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,OAAOuqF,EAAqB,oBAAoB/1H,KAAKsI,MACzD,KAAK,EACL,KAAK,EACL,KAAK,EACD,OAAOytH,EAAqB,qBAAqB/1H,KAAKsI,QAGlEulC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,kBACJyR,GAAKokF,EACLroG,EAAIqoG,EACJnkF,GAAKmkF,EACLxnH,EAAI,SACJsjC,GAAKkkF,EACL7pF,EAAI,OACJ4F,GAAKikF,EACL5pF,EAAI,SACJ4F,GAAKgkF,EACL1sF,EAAI,MACJ2I,GAAK+jF,GAGT9jF,cAAe,wBACf7B,KAAM,SAAUr8B,GACZ,MAAO,iBAAiB8C,KAAK9C,IAEjCytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,QACAA,EAAO,GACP,MAEA,UAGfnG,uBAAwB,iBACxBjL,QAAS,SAAUrM,EAAQoiB,GACvB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACL,IAAK,IACD,OAAOpiB,EAAS,KACpB,IAAK,IACD,OAAOA,EAAS,MACpB,QACI,OAAOA,IAGnBgT,KAAO,CACHL,IAAM,EACNC,IAAM,KA9IwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGzB,IAAI1qF,EAAS,CACT,QACA,QACA,OACA,QACA,MACA,MACA,SACA,OACA,QACA,SACA,QACA,SAEAuP,EAAO,CACP,QACA,MACA,OACA,MACA,SACA,OACA,QAGKm7E,EAAOniF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcC,EACd4D,SAAW2L,EACX5L,cAAgB4L,EAChB7L,YAAc6L,EACd1S,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXW,cAAe,UACf7B,KAAO,SAAUr8B,GACb,MAAO,QAAUA,GAErBytB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,MAEJ,OAEX/G,SAAW,CACPC,QAAU,eACVC,QAAU,eACVC,SAAW,iBACXC,QAAU,sBACVC,SAAW,yBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,SACPxR,EAAI,YACJyR,GAAK,WACLjkB,EAAI,UACJkkB,GAAK,SACLrjC,EAAI,YACJsjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,QACL3F,EAAI,UACJ4F,GAAK,SACL1I,EAAI,UACJ2I,GAAK,UAET+F,SAAU,SAAU72B,GAChB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCi5C,WAAY,SAAUh+B,GAClB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCgnC,KAAO,CACHL,IAAM,EACNC,IAAM,KAtFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,yEAAyE9+B,MAAM,KACxF6+B,YAAc,kDAAkD7+B,MAAM,KACtE0iC,SAAW,uDAAuD1iC,MAAM,KACxEyiC,cAAgB,8BAA8BziC,MAAM,KACpDwiC,YAAc,uBAAuBxiC,MAAM,KAC3C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,uBACVC,QAAU,mBACVC,SAAW,2BACXC,QAAU,sBACVC,SAAW,mCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,gBACTC,KAAO,oBACPxR,EAAI,SACJyR,GAAK,YACLjkB,EAAI,aACJkkB,GAAK,YACLrjC,EAAI,WACJsjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,UACJ2I,GAAK,UAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA9CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGZA,EAAOniF,aAAa,UAAW,CACxCvI,OAAS,6EAA6E9+B,MAAM,KAC5F6+B,YAAc,oDAAoD7+B,MAAM,KACxE0iC,SAAW,+DAA+D1iC,MAAM,KAChFyiC,cAAgB,kCAAkCziC,MAAM,KACxDwiC,YAAc,yBAAyBxiC,MAAM,KAC7C27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,uBACVC,QAAU,mBACVC,SAAW,2BACXC,QAAU,uBACVC,SAAW,oCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,kBACTC,KAAO,qBACPxR,EAAI,SACJyR,GAAK,YACLjkB,EAAI,aACJkkB,GAAK,YACLrjC,EAAI,WACJsjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,UACJ2I,GAAK,UAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA9CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,qGAAqG9+B,MAAM,KACpH6+B,YAAc,8DAA8D7+B,MAAM,KAClForH,kBAAmB,EACnB1oF,SAAW,yDAAyD1iC,MAAM,KAC1EyiC,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,uBAAuBxiC,MAAM,KAC3C8pH,oBAAqB,EACrBljF,cAAe,SACf7B,KAAO,SAAUr8B,GACb,MAAO,QAAQ8C,KAAK9C,IAExBytB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,KAAO,KAEjBA,EAAU,KAAO,MAGhC5c,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,oBACLC,IAAM,0BACNC,KAAO,gCACP1tC,EAAI,YACJ8yH,GAAK,aACLC,IAAM,mBACNC,KAAO,yBAEXjmF,SAAW,CACPC,QAAS,mBACTC,QAAS,oBACTC,SAAU,yBACVC,QAAS,mBACTC,SAAU,yBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EAAI,WACJyR,GAAK,UACLjkB,EAAI,WACJkkB,GAAK,UACLrjC,EAAI,UACJsjC,GAAK,SACL3F,EAAI,WACJ4F,GAAK,UACL3F,EAAI,YACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,UAETT,uBAAwB,UACxBjL,QAAU,SAAUrM,GAChB,OAAOA,GAEXgT,KAAO,CACHL,IAAM,EACNC,IAAM,KAnEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGXA,EAAOniF,aAAa,WAAY,CAC1CvI,OAAS,6GAA6G9+B,MAAM,KAC5H6+B,YAAc,8DAA8D7+B,MAAM,KAClForH,kBAAmB,EACnB1oF,SAAW,yEAAyE1iC,MAAM,KAC1FyiC,cAAgB,qCAAqCziC,MAAM,KAC3DwiC,YAAc,4BAA4BxiC,MAAM,KAChD8pH,oBAAqB,EACrBnuF,eAAiB,CACbv0B,GAAK,QACL0+B,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,kBACVC,QAAU,sBACVC,SAAW,eACXC,QAAU,uBACVC,SAAW,uBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,UACPxR,EAAI,mBACJyR,GAAK,eACLjkB,EAAI,aACJkkB,GAAK,eACLrjC,EAAI,YACJsjC,GAAK,YACL3F,EAAI,SACJ4F,GAAK,WACL3F,EAAI,YACJ4F,GAAK,cACL1I,EAAI,UACJ2I,GAAK,aAETT,uBAAwB,uBACxBjL,QAAU,SAAUrM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BonC,KAAO,CACHL,IAAM,EACNC,IAAM,KAxDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGhBA,EAAOniF,aAAa,KAAM,CAC/BvI,OAAS,0FAA0F9+B,MAAM,KACzG6+B,YAAc,gEAAgE7+B,MAAM,KACpF0iC,SAAW,uDAAuD1iC,MAAM,KACxEyiC,cAAgB,sCAAsCziC,MAAM,KAC5DwiC,YAAc,2BAA2BxiC,MAAM,KAC/C27B,eAAiB,CACbv0B,GAAK,SACLy+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,eACVC,SAAW,8BACXC,QAAU,eACVC,SAAW,6BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EAAI,oBACJyR,GAAI,WACJjkB,EAAI,cACJkkB,GAAK,aACLrjC,EAAI,cACJsjC,GAAK,aACL3F,EAAI,WACJ4F,GAAK,UACL3F,EAAI,WACJ4F,GAAK,UACL1I,EAAI,YACJ2I,GAAK,YAETT,uBAAyB,gBACzBjL,QAAU,UACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wCAAwC9+B,MAAM,KACvD6+B,YAAc,yCAAyC7+B,MAAM,KAC7D0iC,SAAW,8BAA8B1iC,MAAM,KAC/CyiC,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,gBAAgBxiC,MAAM,KACpC27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,YACLC,IAAM,kBACNC,KAAO,sBACP1tC,EAAI,WACJ8yH,GAAK,YACLC,IAAM,kBACNC,KAAO,uBAEX3kF,cAAe,oBACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAEM,OAAblW,GAAkC,OAAbA,GACJ,OAAbA,EACGkW,EACa,OAAblW,GAAkC,OAAbA,EACrBkW,EAAO,GAGPA,GAAQ,GAAKA,EAAOA,EAAO,IAG1ClW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,IAAIzM,EAAY,IAAPO,EAAac,EACtB,OAAIrB,EAAK,IACE,KACAA,EAAK,IACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KAEA,MAGfxG,SAAW,CACPC,QAAU,SACVC,QAAU,SACVC,SAAW,YACXC,QAAU,SACVC,SAAW,YACXC,SAAW,KAEfM,uBAAwB,iBACxBjL,QAAU,SAAUrM,EAAQoiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOpiB,EAAS,IACpB,IAAK,IACD,OAAOA,EAAS,IACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,IACpB,QACI,OAAOA,IAGnBuX,aAAe,CACXC,OAAS,MACTC,KAAO,MACPxR,EAAI,KACJyR,GAAK,OACLjkB,EAAI,OACJkkB,GAAK,QACLrjC,EAAI,OACJsjC,GAAK,QACL3F,EAAI,MACJ4F,GAAK,OACL3F,EAAI,OACJ4F,GAAK,QACL1I,EAAI,MACJ2I,GAAK,QAET/E,KAAO,CAEHL,IAAM,EACNC,IAAM,KAlGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wCAAwC9+B,MAAM,KACvD6+B,YAAc,yCAAyC7+B,MAAM,KAC7D0iC,SAAW,8BAA8B1iC,MAAM,KAC/CyiC,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,gBAAgBxiC,MAAM,KACpC27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,YACLC,IAAM,kBACNC,KAAO,sBACP1tC,EAAI,WACJ8yH,GAAK,YACLC,IAAM,kBACNC,KAAO,uBAEX3kF,cAAe,oBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,OAAblW,GAAkC,OAAbA,GAAkC,OAAbA,EACnCkW,EACa,OAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,OAAblW,GAAkC,OAAbA,EACrBkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,IAAIzM,EAAY,IAAPO,EAAac,EACtB,OAAIrB,EAAK,IACE,KACAA,EAAK,IACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KAEA,MAGfxG,SAAW,CACPC,QAAU,SACVC,QAAU,SACVC,SAAW,YACXC,QAAU,SACVC,SAAW,YACXC,SAAW,KAEfM,uBAAwB,iBACxBjL,QAAU,SAAUrM,EAAQoiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOpiB,EAAS,IACpB,IAAK,IACD,OAAOA,EAAS,IACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,IACpB,QACI,OAAOA,IAGnBuX,aAAe,CACXC,OAAS,MACTC,KAAO,MACPxR,EAAI,KACJyR,GAAK,OACLjkB,EAAI,OACJkkB,GAAK,QACLrjC,EAAI,OACJsjC,GAAK,QACL3F,EAAI,MACJ4F,GAAK,OACL3F,EAAI,OACJ4F,GAAK,QACL1I,EAAI,MACJ2I,GAAK,UA3FyBoV,CAAQ,EAAQ,O,iBCGlD,SAAWytE,GAAU,aAGdA,EAAOniF,aAAa,QAAS,CACpCvI,OAAS,wCAAwC9+B,MAAM,KACvD6+B,YAAc,yCAAyC7+B,MAAM,KAC7D0iC,SAAW,8BAA8B1iC,MAAM,KAC/CyiC,cAAgB,uBAAuBziC,MAAM,KAC7CwiC,YAAc,gBAAgBxiC,MAAM,KACpC27B,eAAiB,CACbv0B,GAAK,QACLy+B,IAAM,WACNC,EAAI,aACJC,GAAK,YACLC,IAAM,kBACNC,KAAO,sBACP1tC,EAAI,WACJ8yH,GAAK,YACLC,IAAM,kBACNC,KAAO,uBAEX3kF,cAAe,oBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,OAAblW,GAAkC,OAAbA,GAAkC,OAAbA,EACnCkW,EACa,OAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,OAAblW,GAAkC,OAAbA,EACrBkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,IAAIzM,EAAY,IAAPO,EAAac,EACtB,OAAIrB,EAAK,IACE,KACAA,EAAK,IACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KAEA,MAGfxG,SAAW,CACPC,QAAU,UACVC,QAAU,UACVC,SAAW,aACXC,QAAU,UACVC,SAAW,aACXC,SAAW,KAEfM,uBAAwB,iBACxBjL,QAAU,SAAUrM,EAAQoiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOpiB,EAAS,IACpB,IAAK,IACD,OAAOA,EAAS,IACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,IACpB,QACI,OAAOA,IAGnBuX,aAAe,CACXC,OAAS,MACTC,KAAO,MACPxR,EAAI,KACJyR,GAAK,OACLjkB,EAAI,OACJkkB,GAAK,QACLrjC,EAAI,OACJsjC,GAAK,QACL3F,EAAI,MACJ4F,GAAK,OACL3F,EAAI,OACJ4F,GAAK,QACL1I,EAAI,MACJ2I,GAAK,UA3FyBoV,CAAQ,EAAQ,O,6BCJ1D,o+DAiBIgyE,EAAeC,EAjBnB,6CAoBA,GAAsB,oBAAXpqH,QAAwB,CACjC,IAAIyC,EAAQ,IAAIzC,QAChBmqH,EAAgB,SAAUt1H,GAAO,OAAO4N,EAAMpL,IAAIxC,IAClDu1H,EAAa,SAAUv1H,EAAKkD,GAE1B,OADA0K,EAAM9C,IAAI9K,EAAKkD,GACRA,OAEJ,CACL,IAAIsyH,EAAU,GAAoBC,EAAW,EAC7CH,EAAgB,SAAUt1H,GACxB,IAAK,IAAIH,EAAI,EAAGA,EAAI21H,EAAQz1H,OAAQF,GAAK,EACrC,GAAI21H,EAAQ31H,IAAMG,EAAO,OAAOw1H,EAAQ31H,EAAI,IAElD01H,EAAa,SAAUv1H,EAAKkD,GAG1B,OAR4B,IAMxBuyH,IAAyBA,EAAW,GACxCD,EAAQC,KAAcz1H,EACfw1H,EAAQC,KAAcvyH,GAIjC,IAAIwyH,EAAO,SAAc9pD,EAAMnG,EAAKoG,EAAOF,GACzC/jE,KAAKgkE,KAAOA,EAAMhkE,KAAK69D,IAAMA,EAAK79D,KAAKikE,MAAQA,EAAOjkE,KAAK+jE,OAASA,GAOlEuwC,EAAW,SAAkBrxC,EAAO0B,EAAQ90D,EAAKk+G,GAEnD/tH,KAAKijE,MAAQA,EAEbjjE,KAAK2kE,OAASA,EAGd3kE,KAAK6P,IAAMA,EAGX7P,KAAK+tH,SAAWA,GA2MlB,SAASC,EAAc1rE,GACrB,GAAIA,EAAM2rE,SAAY,OAAO3rE,EAAM2rE,SAAShrH,QAE5C,IADA,IAAIpJ,EAAS,GACJ5B,EAAI,EAAGA,EAAIqqD,EAAMm2D,QAASxgH,IAAO4B,EAAO0U,KAAK,GACtD,OAAO1U,EA1MTy6G,EAAS/8G,UAAUg+G,SAAW,SAAmBr8F,GAC/C,IAAK,IAAIjhB,EAAI,EAAGA,EAAI+H,KAAK6P,IAAI1X,OAAQF,IAAK,CACxC,IAAIu2D,EAASxuD,KAAK6P,IAAI5X,GACtB,GAAIu2D,GAAUt1C,EAAd,CAGA,IAFA,IAAI8qD,EAAO/rE,EAAI+H,KAAKijE,MAAOpF,EAAO5lE,EAAI+H,KAAKijE,MAAS,EAChDgB,EAAQD,EAAO,EAAGD,EAASlG,EAAM,EAC5BjrD,EAAI,EAAGqxD,EAAQjkE,KAAKijE,OAASjjE,KAAK6P,IAAI5X,EAAI2a,IAAM47C,EAAQ57C,IAAOqxD,IACxE,IAAK,IAAI8xB,EAAM,EAAGhyB,EAAS/jE,KAAK2kE,QAAU3kE,KAAK6P,IAAI5X,EAAK+H,KAAKijE,MAAQ8yB,IAASvnC,EAAQunC,IAAShyB,IAC/F,OAAO,IAAI+pD,EAAK9pD,EAAMnG,EAAKoG,EAAOF,IAEpC,MAAM,IAAItd,WAAW,uBAAyBvtC,EAAM,WAKtDo7F,EAAS/8G,UAAU22H,SAAW,SAAmBh1G,GAC/C,IAAK,IAAIjhB,EAAI,EAAGA,EAAI+H,KAAK6P,IAAI1X,OAAQF,IACjC,GAAI+H,KAAK6P,IAAI5X,IAAMihB,EAAO,OAAOjhB,EAAI+H,KAAKijE,MAC9C,MAAM,IAAIxc,WAAW,uBAAyBvtC,EAAM,WAMtDo7F,EAAS/8G,UAAU42H,SAAW,SAAmBj1G,EAAKktD,EAAM32D,GAC1D,IAAIyvC,EAAMl/C,KAAKu1G,SAASr8F,GAClB8qD,EAAO9kB,EAAI8kB,KACXC,EAAQ/kB,EAAI+kB,MACZpG,EAAM3e,EAAI2e,IACVkG,EAAS7kB,EAAI6kB,OACnB,MAAY,SAARqC,GACE32D,EAAM,EAAY,GAARu0D,EAAYC,GAASjkE,KAAKijE,OAAgB,KACjDjjE,KAAK6P,IAAIguD,EAAM79D,KAAKijE,OAASxzD,EAAM,EAAIu0D,EAAO,EAAIC,KAErDx0D,EAAM,EAAW,GAAPouD,EAAWkG,GAAU/jE,KAAK2kE,QAAiB,KAClD3kE,KAAK6P,IAAIm0D,EAAOhkE,KAAKijE,OAASxzD,EAAM,EAAIouD,EAAM,EAAIkG,KAM7DuwC,EAAS/8G,UAAUo9G,YAAc,SAAsBz6G,EAAGC,GACxD,IAAI+kD,EAAMl/C,KAAKu1G,SAASr7G,GAClBk0H,EAAQlvE,EAAI8kB,KACZqqD,EAASnvE,EAAI+kB,MACbqqD,EAAOpvE,EAAI2e,IACX0wD,EAAUrvE,EAAI6kB,OAChBrgB,EAAQ1jD,KAAKu1G,SAASp7G,GACpBq0H,EAAQ9qE,EAAMsgB,KACdyqD,EAAS/qE,EAAMugB,MACfyqD,EAAOhrE,EAAMma,IACb8wD,EAAUjrE,EAAMqgB,OACtB,OAAO,IAAI+pD,EAAKh3H,KAAKkK,IAAIotH,EAAOI,GAAQ13H,KAAKkK,IAAIstH,EAAMI,GACvC53H,KAAKkwB,IAAIqnG,EAAQI,GAAS33H,KAAKkwB,IAAIunG,EAASI,KAM9Dra,EAAS/8G,UAAUk9G,YAAc,SAAsB9wC,GAErD,IADA,IAAI9pE,EAAS,GAAIqxD,EAAO,GACfyqD,EAAMhyC,EAAK9F,IAAK83C,EAAMhyC,EAAKI,OAAQ4xC,IAC1C,IAAK,IAAIlR,EAAM9gC,EAAKK,KAAMygC,EAAM9gC,EAAKM,MAAOwgC,IAAO,CACjD,IAAIh4F,EAAQkpG,EAAM31G,KAAKijE,MAAQwhC,EAAKvrF,EAAMlZ,KAAK6P,IAAIpD,GAC/Cy+C,EAAKhyC,KACTgyC,EAAKhyC,IAAO,EACPurF,GAAO9gC,EAAKK,MAASygC,GAAOzkG,KAAK6P,IAAIpD,EAAQ,IAAMyM,GACnDy8F,GAAOhyC,EAAK9F,KAAQ83C,GAAO31G,KAAK6P,IAAIpD,EAAQzM,KAAKijE,QAAU/pD,GAC5Drf,EAAO0U,KAAK2K,IAGpB,OAAOrf,GAMTy6G,EAAS/8G,UAAUq3H,WAAa,SAAqBjZ,EAAKlR,EAAKroC,GAC7D,IAAK,IAAInkE,EAAI,EAAG42H,EAAW,GAAI52H,IAAK,CAClC,IAAI62H,EAASD,EAAWzyD,EAAMrU,MAAM9vD,GAAGupD,SACvC,GAAIvpD,GAAK09G,EAAK,CAGZ,IAFA,IAAIlpG,EAAQg4F,EAAMkR,EAAM31G,KAAKijE,MAAO8rD,GAAepZ,EAAM,GAAK31G,KAAKijE,MAE5Dx2D,EAAQsiH,GAAe/uH,KAAK6P,IAAIpD,GAASoiH,GAAYpiH,IAC5D,OAAOA,GAASsiH,EAAcD,EAAS,EAAI9uH,KAAK6P,IAAIpD,GAEtDoiH,EAAWC,IAMfxa,EAAS15G,IAAM,SAAcwhE,GAC3B,OAAOsxD,EAActxD,IAAUuxD,EAAWvxD,EAI5C,SAAoBA,GAClB,GAAiC,SAA7BA,EAAMv9D,KAAK4/C,KAAKy1D,UAAwB,MAAM,IAAIztD,WAAW,qBAAuB2V,EAAMv9D,KAAKjI,MAGnG,IAFA,IAAIqsE,EA2DN,SAAmB7G,GAEjB,IADA,IAAI6G,GAAS,EAAG+rD,GAAa,EACpBrZ,EAAM,EAAGA,EAAMv5C,EAAMrd,WAAY42D,IAAO,CAC/C,IAAIsZ,EAAU7yD,EAAMrU,MAAM4tD,GAAM4C,EAAW,EAC3C,GAAIyW,EAAc,IAAK,IAAIp8G,EAAI,EAAGA,EAAI+iG,EAAK/iG,IAEzC,IADA,IAAIs8G,EAAU9yD,EAAMrU,MAAMn1C,GACjB3a,EAAI,EAAGA,EAAIi3H,EAAQnwE,WAAY9mD,IAAK,CAC3C,IAAIq9G,EAAO4Z,EAAQnnE,MAAM9vD,GACrB2a,EAAI0iG,EAAKhzD,MAAMk2D,QAAU7C,IAAO4C,GAAYjD,EAAKhzD,MAAMm2D,SAG/D,IAAK,IAAI70D,EAAM,EAAGA,EAAMqrE,EAAQlwE,WAAY6E,IAAO,CACjD,IAAIurE,EAASF,EAAQlnE,MAAMnE,GAC3B20D,GAAY4W,EAAO7sE,MAAMm2D,QACrB0W,EAAO7sE,MAAMk2D,QAAU,IAAKwW,GAAa,IAEjC,GAAV/rD,EACAA,EAAQs1C,EACHt1C,GAASs1C,IACdt1C,EAAQnsE,KAAKkwB,IAAIi8C,EAAOs1C,IAE9B,OAAOt1C,EAhFKmsD,CAAUhzD,GAAQuI,EAASvI,EAAMrd,WACzClvC,EAAM,GAAIw/G,EAAS,EAAGtB,EAAW,KAAMuB,EAAY,GAC9Cr3H,EAAI,EAAG0U,EAAIs2D,EAAQ0B,EAAQ1sE,EAAI0U,EAAG1U,IAAO4X,EAAI5X,GAAK,EAE3D,IAAK,IAAI09G,EAAM,EAAGz8F,EAAM,EAAGy8F,EAAMhxC,EAAQgxC,IAAO,CAC9C,IAAIsZ,EAAU7yD,EAAMrU,MAAM4tD,GAC1Bz8F,IACA,IAAK,IAAI0qC,EAAM,GAAIA,IAAO,CACxB,KAAOyrE,EAASx/G,EAAI1X,QAAyB,GAAf0X,EAAIw/G,IAAgBA,IAClD,GAAIzrE,GAAOqrE,EAAQlwE,WAAc,MAMjC,IALA,IAAIwwE,EAAWN,EAAQlnE,MAAMnE,GACzB1E,EAAMqwE,EAASjtE,MACfm2D,EAAUv5D,EAAIu5D,QACdD,EAAUt5D,EAAIs5D,QACdyV,EAAW/uE,EAAI+uE,SACVprH,EAAI,EAAGA,EAAI21G,EAAS31G,IAAK,CAChC,GAAIA,EAAI8yG,GAAOhxC,EAAQ,EACpBopD,IAAaA,EAAW,KAAKx/G,KAAK,CAAC1P,KAAM,mBAAoBqa,IAAKA,EAAKrC,EAAG2hG,EAAU31G,IACrF,MAGF,IADA,IAAIiqD,EAAQuiE,EAAUxsH,EAAIogE,EACjB76B,EAAI,EAAGA,EAAIqwE,EAASrwE,IAAK,CACV,GAAlBv4B,EAAIi9C,EAAQ1kB,GACZv4B,EAAIi9C,EAAQ1kB,GAAKlvB,GAEhB60G,IAAaA,EAAW,KAAKx/G,KAAK,CAAC1P,KAAM,YAAa82G,IAAKA,EAAKz8F,IAAKA,EAAKrC,EAAG4hG,EAAUrwE,IAC5F,IAAIonF,EAAOvB,GAAYA,EAAS7lF,GAChC,GAAIonF,EAAM,CACR,IAAIC,GAAe3iE,EAAQ1kB,GAAK66B,EAAS,EAAGjvC,EAAOs7F,EAAUG,GACjD,MAARz7F,GAAiBA,GAAQw7F,GAAqC,GAA7BF,EAAUG,EAAa,IAC1DH,EAAUG,GAAcD,EACxBF,EAAUG,EAAa,GAAK,GACnBz7F,GAAQw7F,GACjBF,EAAUG,EAAa,OAK/BJ,GAAU5W,EACVv/F,GAAOq2G,EAAS/tE,SAGlB,IADA,IAAIkuE,GAAe/Z,EAAM,GAAK1yC,EAAO0sD,EAAU,EACxCN,EAASK,GAAoC,GAAjB7/G,EAAIw/G,MAAkBM,IACrDA,IAAY5B,IAAaA,EAAW,KAAKx/G,KAAK,CAAC1P,KAAM,UAAW82G,IAAKA,EAAK9+F,EAAG84G,IACjFz2G,IAQF,IALA,IAAI02G,EAAW,IAAItb,EAASrxC,EAAO0B,EAAQ90D,EAAKk+G,GAAW8B,GAAY,EAK9Dz6D,EAAM,GAAIy6D,GAAaz6D,EAAMk6D,EAAUn3H,OAAQi9D,GAAO,EACrC,MAAlBk6D,EAAUl6D,IAAgBk6D,EAAUl6D,EAAM,GAAKuP,IAAUkrD,GAAY,GACzEA,GA6BN,SAA0BhgH,EAAKy/G,EAAWlzD,GACnCvsD,EAAIk+G,WAAYl+G,EAAIk+G,SAAW,IACpC,IAAK,IAAI91H,EAAI,EAAGizD,EAAO,GAAIjzD,EAAI4X,EAAIA,IAAI1X,OAAQF,IAAK,CAClD,IAAIihB,EAAMrJ,EAAIA,IAAI5X,GAClB,IAAIizD,EAAKhyC,GAAT,CACAgyC,EAAKhyC,IAAO,EAEZ,IADA,IAAIilC,EAAOie,EAAMtJ,OAAO55C,GAAMwvC,EAAU,KAC/B91C,EAAI,EAAGA,EAAIurC,EAAKmE,MAAMm2D,QAAS7lG,IAAK,CAC3C,IAAI6xF,GAAOxsG,EAAI2a,GAAK/C,EAAIozD,MAAO6sD,EAAWR,EAAgB,EAAN7qB,GACpC,MAAZqrB,GAAsB3xE,EAAKmE,MAAM2rE,UAAY9vE,EAAKmE,MAAM2rE,SAASr7G,IAAMk9G,KACtEpnE,IAAYA,EAAUslE,EAAc7vE,EAAKmE,SAAS1vC,GAAKk9G,GAE1DpnE,GAAW74C,EAAIk+G,SAASxgG,QAAQ,CAAC1uB,KAAM,oBAAqBqa,IAAKA,EAAK+0G,SAAUvlE,MAzCrEqnE,CAAiBH,EAAUN,EAAWlzD,GAEvD,OAAOwzD,EA9D0CI,CAAW5zD,KAkH9D,IAAIhkE,EAAM,IAAI,YAAU,kBAExB,SAAS63H,EAAWzxE,GAClB,IAAK,IAAIhe,EAAIge,EAAKG,MAAQ,EAAGne,EAAI,EAAGA,IAChC,GAAwC,OAApCge,EAAKL,KAAK3d,GAAG3hC,KAAK4/C,KAAKy1D,UAAsB,OAAO11D,EAAKL,KAAK,GAAG9hC,QAAQmiC,EAAKK,OAAOre,EAAI,IACjG,OAAO,KAWT,SAAS0vF,EAAUlsH,GAEjB,IADA,IAAI47C,EAAQ57C,EAAM+5C,UAAU6B,MACnBpf,EAAIof,EAAMjB,MAAOne,EAAI,EAAGA,IAAO,GAAyC,OAArCof,EAAMzB,KAAK3d,GAAG3hC,KAAK4/C,KAAKy1D,UAAsB,OAAO,EACjG,OAAO,EAGT,SAASic,EAAcnsH,GACrB,IAAI6jD,EAAM7jD,EAAM+5C,UAChB,OAAI8J,EAAI0sD,YACC1sD,EAAI0sD,YAAYr7F,IAAM2uC,EAAI+sD,UAAU17F,IAAM2uC,EAAI0sD,YAAc1sD,EAAI+sD,UAC9D/sD,EAAI1J,MAAwC,QAAhC0J,EAAI1J,KAAKt/C,KAAK4/C,KAAKy1D,UACjCrsD,EAAIhI,QAENowE,EAAWpoE,EAAIjI,QAGxB,SAAkBpB,GAChB,IAAK,IAAIQ,EAAQR,EAAK6C,UAAWnoC,EAAMslC,EAAKtlC,IAAK8lC,EAAOA,EAAQA,EAAMzqC,WAAY2E,IAAO,CACvF,IAAIk3G,EAAOpxE,EAAMngD,KAAK4/C,KAAKy1D,UAC3B,GAAY,QAARkc,GAA0B,eAARA,EAAyB,OAAO5xE,EAAKI,IAAIviC,QAAQnD,GAEzE,IAAK,IAAI2lC,EAASL,EAAK4C,WAAYmwC,EAAQ/yC,EAAKtlC,IAAK2lC,EAAQA,EAASA,EAAOR,UAAWkzC,IAAS,CAC/F,IAAI8+B,EAASxxE,EAAOhgD,KAAK4/C,KAAKy1D,UAC9B,GAAc,QAAVmc,GAA8B,eAAVA,EAA2B,OAAO7xE,EAAKI,IAAIviC,QAAQk1E,EAAQ1yC,EAAO2C,WAV5D8uE,CAASzoE,EAAIjI,OAc/C,SAAS2wE,EAAa/xE,GACpB,MAA0C,OAAnCA,EAAKr8C,OAAOtD,KAAK4/C,KAAKy1D,WAAsB11D,EAAK6C,UAG1D,SAASmvE,EAAgBhyE,GACvB,OAAOA,EAAKL,KAAK,GAAG9hC,QAAQmiC,EAAKtlC,IAAMslC,EAAK6C,UAAUG,UAGxD,SAASivE,EAAYC,EAAIC,GACvB,OAAOD,EAAG/xE,OAASgyE,EAAGhyE,OAAS+xE,EAAGx3G,KAAOy3G,EAAG7jE,OAAO,IAAM4jE,EAAGx3G,KAAOy3G,EAAG7uE,KAAK,GAG7E,SAASyzD,EAAS/2D,GAChB,OAAO81D,EAAS15G,IAAI4jD,EAAKL,MAAM,IAAIo3D,SAAS/2D,EAAKtlC,IAAMslC,EAAKsO,OAAO,IAGrE,SAASohE,EAAS1vE,GAChB,OAAO81D,EAAS15G,IAAI4jD,EAAKL,MAAM,IAAI+vE,SAAS1vE,EAAKtlC,IAAMslC,EAAKsO,OAAO,IAGrE,SAASqhE,EAAS3vE,EAAM4nB,EAAM32D,GAC5B,IAAIq9C,EAAQtO,EAAKsO,OAAO,GACpBm8B,EAD8BqrB,EAAS15G,IAAI4jD,EAAKL,MAAM,IAC1CgwE,SAAS3vE,EAAKtlC,IAAM4zC,EAAOsZ,EAAM32D,GACjD,OAAgB,MAATw5E,EAAgB,KAAOzqC,EAAKL,KAAK,GAAG9hC,QAAQywC,EAAQm8B,GAG7D,SAAS2nC,EAAQtuE,EAAO1rD,EAAM0E,GAC5B,IAAIzB,EAAS,GACb,IAAK,IAAIwlB,KAAQijC,EAASzoD,EAAOwlB,GAAQijC,EAAMjjC,GAE/C,OADAxlB,EAAOjD,GAAQ0E,EACRzB,EAGT,SAASg3H,EAAUvuE,EAAOppC,EAAKrC,QAClB,IAANA,IAAeA,EAAE,GAEtB,IAAIhd,EAAS+2H,EAAQtuE,EAAO,UAAWA,EAAMm2D,QAAU5hG,GAMvD,OALIhd,EAAOo0H,WACTp0H,EAAOo0H,SAAWp0H,EAAOo0H,SAAShrH,QAClCpJ,EAAOo0H,SAASniE,OAAO5yC,EAAKrC,GACvBhd,EAAOo0H,SAASt2G,MAAK,SAAUywB,GAAK,OAAOA,EAAI,OAASvuC,EAAOo0H,SAAW,OAE1Ep0H,EAGT,SAASi3H,EAAWxuE,EAAOppC,EAAKrC,QACnB,IAANA,IAAeA,EAAE,GAEtB,IAAIhd,EAAS+2H,EAAQtuE,EAAO,UAAWA,EAAMm2D,QAAU5hG,GACvD,GAAIhd,EAAOo0H,SAAU,CACnBp0H,EAAOo0H,SAAWp0H,EAAOo0H,SAAShrH,QAClC,IAAK,IAAIhL,EAAI,EAAGA,EAAI4e,EAAG5e,IAAO4B,EAAOo0H,SAASniE,OAAO5yC,EAAK,EAAG,GAE/D,OAAOrf,EAUT,IAAIw9G,EAA8B,SAAUlyD,GAC1C,SAASkyD,EAAc9C,EAAaK,QACf,IAAdA,IAAuBA,EAAYL,GAExC,IAAIn4C,EAAQm4C,EAAYp2D,MAAM,GAAItuC,EAAMykG,EAAS15G,IAAIwhE,GAAQtP,EAAQynD,EAAYznD,OAAO,GACpF6W,EAAO9zD,EAAI8kG,YAAYJ,EAAYr7F,IAAM4zC,EAAO8nD,EAAU17F,IAAM4zC,GAChElO,EAAM21D,EAAYp2D,KAAK,GACvBq2D,EAAQ3kG,EAAI4kG,YAAY9wC,GAAM9vD,QAAO,SAAU6S,GAAK,OAAOA,GAAKkuF,EAAU17F,IAAM4zC,KAGpF0nD,EAAMjnF,QAAQqnF,EAAU17F,IAAM4zC,GAC9B,IAAI/J,EAASyxD,EAAM3kG,KAAI,SAAUqJ,GAC/B,IAAIo8F,EAAOl5C,EAAMtJ,OAAO55C,GAAMiS,EAAOjS,EAAM4zC,EAAQ,EACnD,OAAO,IAAI,iBAAelO,EAAIviC,QAAQ8O,GAAOyzB,EAAIviC,QAAQ8O,EAAOmqF,EAAKxuG,QAAQyS,UAE/E4rC,EAAUztD,KAAKsI,KAAM+iD,EAAO,GAAG5D,MAAO4D,EAAO,GAAG3D,IAAK2D,GAIrD/iD,KAAKu0G,YAAcA,EAInBv0G,KAAK40G,UAAYA,EAiLnB,OA9KKzvD,IAAYkyD,EAAc7zF,UAAY2hC,GAC3CkyD,EAAc9/G,UAAYD,OAAO4N,OAAQigD,GAAaA,EAAU5tD,WAChE8/G,EAAc9/G,UAAUiB,YAAc6+G,EAEtCA,EAAc9/G,UAAUsY,IAAM,SAAc+uC,EAAKkC,GAC/C,IAAIyzD,EAAc31D,EAAIviC,QAAQykC,EAAQjxC,IAAI7P,KAAKu0G,YAAYr7F,MACvD07F,EAAYh2D,EAAIviC,QAAQykC,EAAQjxC,IAAI7P,KAAK40G,UAAU17F,MACvD,GAAIq3G,EAAahc,IAAgBgc,EAAa3b,IAAc6b,EAAYlc,EAAaK,GAAY,CAC/F,IAAImc,EAAe/wH,KAAKu0G,YAAYp2D,MAAM,IAAMo2D,EAAYp2D,MAAM,GAClE,OAAI4yE,GAAgB/wH,KAAK43G,iBACdP,EAAc2Z,aAAazc,EAAaK,GAC1Cmc,GAAgB/wH,KAAKixH,iBACnB5Z,EAAc6Z,aAAa3c,EAAaK,GAExC,IAAIyC,EAAc9C,EAAaK,GAE5C,OAAO,gBAAc9tD,QAAQytD,EAAaK,IAM5CyC,EAAc9/G,UAAUuP,QAAU,WAIhC,IAHA,IAAIs1D,EAAQp8D,KAAKu0G,YAAYp2D,MAAM,GAAItuC,EAAMykG,EAAS15G,IAAIwhE,GAAQtP,EAAQ9sD,KAAKu0G,YAAYznD,OAAO,GAC9F6W,EAAO9zD,EAAI8kG,YAAY30G,KAAKu0G,YAAYr7F,IAAM4zC,EAAO9sD,KAAK40G,UAAU17F,IAAM4zC,GAC1E5B,EAAO,GAAI+pD,EAAO,GACbU,EAAMhyC,EAAK9F,IAAK83C,EAAMhyC,EAAKI,OAAQ4xC,IAAO,CAEjD,IADA,IAAIwb,EAAa,GACR1kH,EAAQkpG,EAAM9lG,EAAIozD,MAAQU,EAAKK,KAAMygC,EAAM9gC,EAAKK,KAAMygC,EAAM9gC,EAAKM,MAAOwgC,IAAOh4F,IAAS,CAC/F,IAAIyM,EAAMrJ,EAAIA,IAAIpD,GAClB,IAAKy+C,EAAKhyC,GAAM,CACdgyC,EAAKhyC,IAAO,EACZ,IAAIk4G,EAAWvhH,EAAI0lG,SAASr8F,GAAMo8F,EAAOl5C,EAAMtJ,OAAO55C,GAClDm4G,EAAY1tD,EAAKK,KAAOotD,EAASptD,KAAMstD,EAAaF,EAASntD,MAAQN,EAAKM,MAC9E,GAAIotD,EAAY,GAAKC,EAAa,EAAG,CACnC,IAAIhvE,EAAQgzD,EAAKhzD,MACb+uE,EAAY,IAAK/uE,EAAQuuE,EAAUvuE,EAAO,EAAG+uE,IAC7CC,EAAa,IAAKhvE,EAAQuuE,EAAUvuE,EAAOA,EAAMm2D,QAAU6Y,EAAYA,IAC1Chc,EAA7B8b,EAASptD,KAAOL,EAAKK,KAAesxC,EAAKz2G,KAAKuhD,cAAckC,GAClDgzD,EAAKz2G,KAAKqG,OAAOo9C,EAAOgzD,EAAKxuG,SAE7C,GAAIsqH,EAASvzD,IAAM8F,EAAK9F,KAAOuzD,EAASrtD,OAASJ,EAAKI,OAAQ,CAC5D,IAAIwtD,EAAUX,EAAQtb,EAAKhzD,MAAO,UAAWxrD,KAAKkK,IAAIowH,EAASrtD,OAAQJ,EAAKI,QAAUjtE,KAAKkwB,IAAIoqG,EAASvzD,IAAK8F,EAAK9F,MACnFy3C,EAA3B8b,EAASvzD,IAAM8F,EAAK9F,IAAcy3C,EAAKz2G,KAAKuhD,cAAcmxE,GAChDjc,EAAKz2G,KAAKqG,OAAOqsH,EAASjc,EAAKxuG,SAE/CqqH,EAAW5iH,KAAK+mG,IAGpBL,EAAK1mG,KAAK6tD,EAAMrU,MAAM4tD,GAAK3zD,KAAK,WAAS72B,KAAKgmG,KAGhD,IAAIrgE,EAAW9wD,KAAKixH,kBAAoBjxH,KAAK43G,iBAAmBx7C,EAAQ64C,EACxE,OAAO,IAAI,QAAM,WAAS9pF,KAAK2lC,GAAW,EAAG,IAG/CumD,EAAc9/G,UAAUgD,QAAU,SAAkByjD,EAAIl3C,QACrC,IAAZA,IAAqBA,EAAU,QAAMquB,OAG1C,IADA,IAAIswB,EAAUzH,EAAG0H,MAAMvtD,OAAQ4qD,EAAS/iD,KAAK+iD,OACpC9qD,EAAI,EAAGA,EAAI8qD,EAAO5qD,OAAQF,IAAK,CACtC,IAAIinD,EAAM6D,EAAO9qD,GACbknD,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACV0B,EAAU9C,EAAG8C,QAAQ79C,MAAMwiD,GAC/BzH,EAAGzjD,QAAQumD,EAAQjxC,IAAIsvC,EAAMjmC,KAAM4nC,EAAQjxC,IAAIuvC,EAAIlmC,KAAMjhB,EAAI,QAAMk9B,MAAQruB,GAE7E,IAAI+gD,EAAM1C,EAAUhD,SAASnE,EAAGY,IAAIviC,QAAQ2hC,EAAG8C,QAAQ79C,MAAMwiD,GAAS51C,IAAI7P,KAAK+2B,MAAO,GAClF8wB,GAAO7J,EAAGqC,aAAawH,IAG7BwvD,EAAc9/G,UAAU4oD,YAAc,SAAsBnC,EAAIG,GAC9Dn+C,KAAKzF,QAAQyjD,EAAI,IAAI,QAAM,WAAS7yB,KAAKgzB,GAAO,EAAG,KAGrDk5D,EAAc9/G,UAAUi6H,YAAc,SAAsBt2H,GAG1D,IAFA,IAAIkhE,EAAQp8D,KAAKu0G,YAAYp2D,MAAM,GAAItuC,EAAMykG,EAAS15G,IAAIwhE,GAAQtP,EAAQ9sD,KAAKu0G,YAAYznD,OAAO,GAC9F0nD,EAAQ3kG,EAAI4kG,YAAY5kG,EAAI8kG,YAAY30G,KAAKu0G,YAAYr7F,IAAM4zC,EAAO9sD,KAAK40G,UAAU17F,IAAM4zC,IACtF70D,EAAI,EAAGA,EAAIu8G,EAAMr8G,OAAQF,IAC9BiD,EAAEkhE,EAAMtJ,OAAO0hD,EAAMv8G,IAAK60D,EAAQ0nD,EAAMv8G,KAM9Co/G,EAAc9/G,UAAU05H,eAAiB,WACvC,IAAIQ,EAAYzxH,KAAKu0G,YAAY9nG,OAAO,GAAIilH,EAAU1xH,KAAK40G,UAAUnoG,OAAO,GAC5E,GAAI3V,KAAKkK,IAAIywH,EAAWC,GAAW,EAAK,OAAO,EAC/C,IAAIC,EAAYF,EAAYzxH,KAAKu0G,YAAYlzD,UAAUiB,MAAMk2D,QACzDoZ,EAAUF,EAAU1xH,KAAK40G,UAAUvzD,UAAUiB,MAAMk2D,QACvD,OAAO1hH,KAAKkwB,IAAI2qG,EAAWC,IAAY5xH,KAAK40G,UAAUz2D,MAAM,GAAGY,YAMjEs4D,EAAc6Z,aAAe,SAAuB3c,EAAaK,QAC5C,IAAdA,IAAuBA,EAAYL,GAExC,IAAI1kG,EAAMykG,EAAS15G,IAAI25G,EAAYp2D,MAAM,IAAK2O,EAAQynD,EAAYznD,OAAO,GACrE+kE,EAAahiH,EAAI0lG,SAAShB,EAAYr7F,IAAM4zC,GAAQglE,EAAWjiH,EAAI0lG,SAASX,EAAU17F,IAAM4zC,GAC5FlO,EAAM21D,EAAYp2D,KAAK,GAY3B,OAXI0zE,EAAWh0D,KAAOi0D,EAASj0D,KACzBg0D,EAAWh0D,IAAM,IACjB02C,EAAc31D,EAAIviC,QAAQywC,EAAQj9C,EAAIA,IAAIgiH,EAAW7tD,QACrD8tD,EAAS/tD,OAASl0D,EAAI80D,SACtBiwC,EAAYh2D,EAAIviC,QAAQywC,EAAQj9C,EAAIA,IAAIA,EAAIozD,OAASpzD,EAAI80D,OAAS,GAAKmtD,EAAS7tD,MAAQ,OAExF6tD,EAASj0D,IAAM,IACf+2C,EAAYh2D,EAAIviC,QAAQywC,EAAQj9C,EAAIA,IAAIiiH,EAAS9tD,QACjD6tD,EAAW9tD,OAASl0D,EAAI80D,SACxB4vC,EAAc31D,EAAIviC,QAAQywC,EAAQj9C,EAAIA,IAAIA,EAAIozD,OAASpzD,EAAI80D,OAAS,GAAKktD,EAAW5tD,MAAQ,MAE3F,IAAIozC,EAAc9C,EAAaK,IAMxCyC,EAAc9/G,UAAUqgH,eAAiB,WACvC,IAAI/nG,EAAMykG,EAAS15G,IAAIoF,KAAKu0G,YAAYp2D,MAAM,IAAK2O,EAAQ9sD,KAAKu0G,YAAYznD,OAAO,GAC/EilE,EAAaliH,EAAIq+G,SAASluH,KAAKu0G,YAAYr7F,IAAM4zC,GACjDklE,EAAWniH,EAAIq+G,SAASluH,KAAK40G,UAAU17F,IAAM4zC,GACjD,GAAIh2D,KAAKkK,IAAI+wH,EAAYC,GAAY,EAAK,OAAO,EACjD,IAAIC,EAAcF,EAAa/xH,KAAKu0G,YAAYlzD,UAAUiB,MAAMm2D,QAC5DyZ,EAAYF,EAAWhyH,KAAK40G,UAAUvzD,UAAUiB,MAAMm2D,QAC1D,OAAO3hH,KAAKkwB,IAAIirG,EAAaC,IAAcriH,EAAIozD,OAGjDo0C,EAAc9/G,UAAU4vD,GAAK,SAAaxkC,GACxC,OAAOA,aAAiB00F,GAAiB10F,EAAM4xF,YAAYr7F,KAAOlZ,KAAKu0G,YAAYr7F,KACjFyJ,EAAMiyF,UAAU17F,KAAOlZ,KAAK40G,UAAU17F,KAM1Cm+F,EAAc2Z,aAAe,SAAuBzc,EAAaK,QAC5C,IAAdA,IAAuBA,EAAYL,GAExC,IAAI1kG,EAAMykG,EAAS15G,IAAI25G,EAAYp2D,MAAM,IAAK2O,EAAQynD,EAAYznD,OAAO,GACrE+kE,EAAahiH,EAAI0lG,SAAShB,EAAYr7F,IAAM4zC,GAAQglE,EAAWjiH,EAAI0lG,SAASX,EAAU17F,IAAM4zC,GAC5FlO,EAAM21D,EAAYp2D,KAAK,GAY3B,OAXI0zE,EAAW7tD,MAAQ8tD,EAAS9tD,MAC1B6tD,EAAW7tD,KAAO,IAClBuwC,EAAc31D,EAAIviC,QAAQywC,EAAQj9C,EAAIA,IAAIgiH,EAAWh0D,IAAMhuD,EAAIozD,SAC/D6uD,EAAS7tD,MAAQp0D,EAAIozD,QACrB2xC,EAAYh2D,EAAIviC,QAAQywC,EAAQj9C,EAAIA,IAAIA,EAAIozD,OAAS6uD,EAASj0D,IAAM,GAAK,OAEzEi0D,EAAS9tD,KAAO,IAChB4wC,EAAYh2D,EAAIviC,QAAQywC,EAAQj9C,EAAIA,IAAIiiH,EAASj0D,IAAMhuD,EAAIozD,SAC3D4uD,EAAW5tD,MAAQp0D,EAAIozD,QACvBsxC,EAAc31D,EAAIviC,QAAQywC,EAAQj9C,EAAIA,IAAIA,EAAIozD,OAAS4uD,EAAWh0D,IAAM,GAAK,MAE5E,IAAIw5C,EAAc9C,EAAaK,IAGxCyC,EAAc9/G,UAAU82B,OAAS,WAC/B,MAAO,CAACxvB,KAAM,OAAQsyC,OAAQnxC,KAAKu0G,YAAYr7F,IAAKtH,KAAM5R,KAAK40G,UAAU17F,MAG3Em+F,EAAc9wD,SAAW,SAAmB3H,EAAK4H,GAC/C,OAAO,IAAI6wD,EAAcz4D,EAAIviC,QAAQmqC,EAAKrV,QAASyN,EAAIviC,QAAQmqC,EAAK50C,QAItEylG,EAAcnyG,OAAS,SAAiB05C,EAAKuzE,EAAYpY,GAGvD,YAFkB,IAAbA,IAAsBA,EAAWoY,GAE/B,IAAI9a,EAAcz4D,EAAIviC,QAAQ81G,GAAavzE,EAAIviC,QAAQ09F,KAGhE1C,EAAc9/G,UAAUsvD,YAAc,WAA0B,OAAO,IAAIurE,EAAapyH,KAAKu0G,YAAYr7F,IAAKlZ,KAAK40G,UAAU17F,MAEtHm+F,EAxMwB,CAyM/B,aAEFA,EAAc9/G,UAAUwvD,SAAU,EAElC,YAAUJ,OAAO,OAAQ0wD,GAEzB,IAAI+a,EAAe,SAAsBjhF,EAAQv/B,GAC/C5R,KAAKmxC,OAASA,EACdnxC,KAAK4R,KAAOA,GAiBd,SAASygH,EAAkBruH,GACzB,KAAMA,EAAM+5C,qBAAqBs5D,GAAkB,OAAO,KAC1D,IAAI7C,EAAQ,GAIZ,OAHAxwG,EAAM+5C,UAAUyzE,aAAY,SAAUrzE,EAAMjlC,GAC1Cs7F,EAAMjmG,KAAK,IAAW4vC,KAAKjlC,EAAKA,EAAMilC,EAAKqD,SAAU,CAAC2hB,MAAO,qBAExD,IAAcj+D,OAAOlB,EAAM46C,IAAK41D,GAmEzC,SAAS8d,EAAa74D,EAAK84D,GACzB,IAAIC,EAAY/4D,EAAI5oC,aAAa,iBAC7B4hG,EAASD,GAAa,eAAernH,KAAKqnH,GAAaA,EAAU7yH,MAAM,KAAKkQ,KAAI,SAAU2kB,GAAK,OAAOzrB,OAAOyrB,MAAS,KACtHikF,EAAU1vG,OAAO0wD,EAAI5oC,aAAa,YAAc,GAChDh3B,EAAS,CACX4+G,QAASA,EACTD,QAASzvG,OAAO0wD,EAAI5oC,aAAa,YAAc,GAC/Co9F,SAAUwE,GAAUA,EAAOt6H,QAAUsgH,EAAUga,EAAS,MAE1D,IAAK,IAAIpzG,KAAQkzG,EAAY,CAC3B,IAAIzgF,EAASygF,EAAWlzG,GAAMyrE,WAC1BxvF,EAAQw2C,GAAUA,EAAO2nB,GAChB,MAATn+D,IAAiBzB,EAAOwlB,GAAQ/jB,GAEtC,OAAOzB,EAGT,SAASi/G,EAAa36D,EAAMo0E,GAC1B,IAAIjwE,EAAQ,GAKZ,IAAK,IAAIjjC,KAJiB,GAAtB8+B,EAAKmE,MAAMm2D,UAAgBn2D,EAAMm2D,QAAUt6D,EAAKmE,MAAMm2D,SAChC,GAAtBt6D,EAAKmE,MAAMk2D,UAAgBl2D,EAAMk2D,QAAUr6D,EAAKmE,MAAMk2D,SACtDr6D,EAAKmE,MAAM2rE,WACX3rE,EAAM,iBAAmBnE,EAAKmE,MAAM2rE,SAASluH,KAAK,MACrCwyH,EAAY,CAC3B,IAAIjvG,EAASivG,EAAWlzG,GAAM2rE,WAC1B1nE,GAAUA,EAAO66B,EAAKmE,MAAMjjC,GAAOijC,GAEzC,OAAOA,EAiCT,SAAS81D,EAAWp8G,GAClB,IAAIu2H,EAAav2H,EAAQ4uF,gBAAkB,GACvC8nC,EAAY,CACdja,QAAS,CAAC5zG,QAAS,GACnB2zG,QAAS,CAAC3zG,QAAS,GACnBopH,SAAU,CAACppH,QAAS,OAEtB,IAAK,IAAIwa,KAAQkzG,EACbG,EAAUrzG,GAAQ,CAACxa,QAAS0tH,EAAWlzG,GAAMxa,SAEjD,MAAO,CACLu3D,MAAO,CACLt1D,QAAS,aACTotG,UAAW,QACXx1D,WAAW,EACXmZ,MAAO77D,EAAQ0uF,WACftwB,SAAU,CAAC,CAACthD,IAAK,UACjB0oD,MAAO,WAAmB,MAAO,CAAC,QAAS,CAAC,QAAS,MAEvDmxD,UAAW,CACT7rH,QAAS,+BACTotG,UAAW,MACX95C,SAAU,CAAC,CAACthD,IAAK,OACjB0oD,MAAO,WAAmB,MAAO,CAAC,KAAM,KAE1CoxD,WAAY,CACV9rH,QAAS9K,EAAQ2uF,YACjBroC,MAAOowE,EACPxe,UAAW,OACXx1D,WAAW,EACX0b,SAAU,CAAC,CAACthD,IAAK,KAAMmhD,SAAU,SAAUR,GAAO,OAAO64D,EAAa74D,EAAK84D,MAC3E/wD,MAAO,SAAerjB,GAAQ,MAAO,CAAC,KAAM26D,EAAa36D,EAAMo0E,GAAa,KAE9EM,aAAc,CACZ/rH,QAAS9K,EAAQ2uF,YACjBroC,MAAOowE,EACPxe,UAAW,cACXx1D,WAAW,EACX0b,SAAU,CAAC,CAACthD,IAAK,KAAMmhD,SAAU,SAAUR,GAAO,OAAO64D,EAAa74D,EAAK84D,MAC3E/wD,MAAO,SAAerjB,GAAQ,MAAO,CAAC,KAAM26D,EAAa36D,EAAMo0E,GAAa,MAKlF,SAASve,EAAevqD,GACtB,IAAI5vD,EAAS4vD,EAAO0I,OAAO6hD,eAC3B,IAAKn6G,EAEH,IAAK,IAAIjD,KADTiD,EAAS4vD,EAAO0I,OAAO6hD,eAAiB,GACvBvqD,EAAOoF,MAAO,CAC7B,IAAIhwD,EAAO4qD,EAAOoF,MAAMj4D,GAAOw5H,EAAOvxH,EAAK4/C,KAAKy1D,UAC5Ckc,IAAQv2H,EAAOu2H,GAAQvxH,GAG/B,OAAOhF,EAUT,SAASi5H,EAAY7vH,GACnB,IAAKA,EAAMsW,KAAQ,OAAO,KAI1B,IAHA,IAAIzS,EAAU7D,EAAM6D,QAChB0oD,EAAYvsD,EAAMusD,UAClBhK,EAAUviD,EAAMuiD,QACS,GAAtB1+C,EAAQi4C,aAAoByQ,EAAY,GAAKhK,EAAU,GAA+C,SAA1C1+C,EAAQyN,WAAW1V,KAAK4/C,KAAKy1D,YAC9F1kD,IACAhK,IACA1+C,EAAUA,EAAQyN,WAAWzN,QAE/B,IAAIqS,EAAQrS,EAAQyN,WAAY67G,EAAOj3G,EAAMta,KAAK4/C,KAAKy1D,UACnDzqD,EAAStwC,EAAMta,KAAK4qD,OAAQwrD,EAAO,GACvC,GAAY,OAARmb,EACF,IAAK,IAAIn4H,EAAI,EAAGA,EAAI6O,EAAQi4C,WAAY9mD,IAAK,CAC3C,IAAIu8G,EAAQ1tG,EAAQihD,MAAM9vD,GAAG6O,QACzBk9D,EAAO/rE,EAAI,EAAInB,KAAKkwB,IAAI,EAAGwoC,EAAY,GACvCyU,EAAQhsE,EAAI6O,EAAQi4C,WAAa,EAAI,EAAIjoD,KAAKkwB,IAAI,EAAGw+B,EAAU,IAC/Dwe,GAAQC,KAASuwC,EAAQue,EAAS/e,EAAevqD,GAAQksD,IAAK,IAAI,QAAMnB,EAAOxwC,EAAMC,IAAQn9D,SACjGmuG,EAAK1mG,KAAKimG,OAEP,IAAY,QAAR4b,GAA0B,eAARA,EAG3B,OAAO,KAFPnb,EAAK1mG,KAAKihD,GAAahK,EAAUutE,EAAS/e,EAAevqD,GAAQksD,IAAK,IAAI,QAAM7uG,EAAS0oD,EAAWhK,IAAU1+C,QAAUA,GAI1H,OAMF,SAA2B2iD,EAAQwrD,GAEjC,IADA,IAAIwd,EAAS,GACJx6H,EAAI,EAAGA,EAAIg9G,EAAK98G,OAAQF,IAE/B,IADA,IAAI09G,EAAMV,EAAKh9G,GACN2a,EAAI+iG,EAAI52D,WAAa,EAAGnsC,GAAK,EAAGA,IAIvC,IAHA,IAAIssC,EAAMy2D,EAAI5tD,MAAMn1C,GAAG0vC,MACnBk2D,EAAUt5D,EAAIs5D,QACdC,EAAUv5D,EAAIu5D,QACTn6G,EAAIrG,EAAGqG,EAAIrG,EAAIugH,EAASl6G,IAC7Bm0H,EAAOn0H,IAAMm0H,EAAOn0H,IAAM,GAAKm6G,EAIvC,IADA,IAAIx1C,EAAQ,EACH+vD,EAAM,EAAGA,EAAMP,EAAOt6H,OAAQ66H,IAAS/vD,EAAQnsE,KAAKkwB,IAAIi8C,EAAOwvD,EAAOO,IAC/E,IAAK,IAAIC,EAAM,EAAGA,EAAMR,EAAOt6H,OAAQ86H,IAErC,GADIA,GAAOhe,EAAK98G,QAAU88G,EAAK1mG,KAAK,WAAS4mB,OACzCs9F,EAAOQ,GAAOhwD,EAAO,CAEvB,IADA,IAAI9tC,EAAQ6+E,EAAevqD,GAAQ6rD,KAAKl1D,gBAAiBo0D,EAAQ,GACxD5wD,EAAM6uE,EAAOQ,GAAMrvE,EAAMqf,EAAOrf,IAAS4wD,EAAMjmG,KAAK4mB,GAC7D8/E,EAAKge,GAAOhe,EAAKge,GAAKtmE,OAAO,WAASxhC,KAAKqpF,IAG/C,MAAO,CAAC7vC,OAAQswC,EAAK98G,OAAQ8qE,MAAOA,EAAOgyC,KAAMA,GA5B1Cie,CAAkBzpE,EAAQwrD,GA+BnC,SAAS8d,EAAS1wE,EAAUp/C,GAC1B,IAAIk7C,EAAOkE,EAASjC,gBAEpB,OADS,IAAI,IAAUjC,GAAM5jD,QAAQ,EAAG4jD,EAAKr3C,QAAQyS,KAAMtW,GACjD27C,IAOZ,SAASu0E,EAAUj0E,EAAKk0E,EAAUC,GAChC,IAAIpwD,EAAQ/jB,EAAI+jB,MACZ0B,EAASzlB,EAAIylB,OACbswC,EAAO/1D,EAAI+1D,KAEf,GAAIhyC,GAASmwD,EAAU,CAErB,IADA,IAAI93C,EAAQ,GAAIg4C,EAAU,GACjB3d,EAAM,EAAGA,EAAMV,EAAK98G,OAAQw9G,IAAO,CAE1C,IADA,IAAIjgD,EAAOu/C,EAAKU,GAAMnB,EAAQ,GACrB/P,EAAMnpB,EAAMq6B,IAAQ,EAAG19G,EAAI,EAAGwsG,EAAM2uB,EAAUn7H,IAAK,CAC1D,IAAIq9G,EAAO5/C,EAAK3N,MAAM9vD,EAAIy9D,EAAK3W,YAC3B0lD,EAAM6Q,EAAKhzD,MAAMm2D,QAAU2a,IAC3B9d,EAAOA,EAAKz2G,KAAKqG,OAAO2rH,EAAUvb,EAAKhzD,MAAOgzD,EAAKhzD,MAAMm2D,QAAShU,EAAM6Q,EAAKhzD,MAAMm2D,QAAU2a,GAAW9d,EAAKxuG,UACjH0tG,EAAMjmG,KAAK+mG,GACX7Q,GAAO6Q,EAAKhzD,MAAMm2D,QAClB,IAAK,IAAI7lG,EAAI,EAAGA,EAAI0iG,EAAKhzD,MAAMk2D,QAAS5lG,IACpC0oE,EAAMq6B,EAAM/iG,IAAM0oE,EAAMq6B,EAAM/iG,IAAM,GAAK0iG,EAAKhzD,MAAMm2D,QAE1D6a,EAAQ/kH,KAAK,WAAS4c,KAAKqpF,IAE7BS,EAAOqe,EACPrwD,EAAQmwD,EAGV,GAAIzuD,GAAU0uD,EAAW,CAEvB,IADA,IAAIE,EAAY,GACPC,EAAQ,EAAG5vE,EAAM,EAAG4vE,EAAQH,EAAWG,IAAS5vE,IAAO,CAE9D,IADA,IAAI6vE,EAAU,GAAIx3H,EAASg5G,EAAKrxD,EAAM+gB,GAC7BoxB,EAAM,EAAGA,EAAM95F,EAAO8iD,WAAYg3C,IAAO,CAChD,IAAIo5B,EAASlzH,EAAO8rD,MAAMguC,GACtBy9B,EAAQrE,EAAO7sE,MAAMk2D,QAAU6a,IAC/BlE,EAASA,EAAOtwH,KAAKqG,OAAO0rH,EAAQzB,EAAO7sE,MAAO,UAAW+wE,EAAYlE,EAAO7sE,MAAMk2D,SAAU2W,EAAOroH,UAC3G2sH,EAAQllH,KAAK4gH,GAEfoE,EAAUhlH,KAAK,WAAS4c,KAAKsoG,IAE/Bxe,EAAOse,EACP5uD,EAAS0uD,EAGX,MAAO,CAACpwD,MAAOA,EAAO0B,OAAQA,EAAQswC,KAAMA,GAwC9C,SAASye,EAAkB11E,EAAInuC,EAAKusD,EAAOtP,EAAOkX,EAAMC,EAAOpG,EAAKpY,GAClE,GAAW,GAAPoY,GAAYA,GAAOhuD,EAAI80D,OAAU,OAAO,EAE5C,IADA,IAAIxe,GAAQ,EACHs+C,EAAMzgC,EAAMygC,EAAMxgC,EAAOwgC,IAAO,CACvC,IAAIh4F,EAAQoxD,EAAMhuD,EAAIozD,MAAQwhC,EAAKvrF,EAAMrJ,EAAIA,IAAIpD,GACjD,GAAIoD,EAAIA,IAAIpD,EAAQoD,EAAIozD,QAAU/pD,EAAK,CACrCitC,GAAQ,EACR,IAAImvD,EAAOl5C,EAAMtJ,OAAO55C,GACpBgmC,EAAMrvC,EAAI0lG,SAASr8F,GACnBy6G,EAAUz0E,EAAI2e,IACd+1D,EAAW10E,EAAI8kB,KACnBhmB,EAAGgD,cAAchD,EAAG8C,QAAQ79C,MAAMwiD,GAAS51C,IAAIqJ,EAAM4zC,GAAQ,KAAM8jE,EAAQtb,EAAKhzD,MAAO,UAAWub,EAAM81D,IACxG31E,EAAG6G,OAAO7G,EAAG8C,QAAQ79C,MAAMwiD,GAAS51C,IAAIA,EAAI++G,WAAW/wD,EAAK+1D,EAAUx3D,IAC5Dk5C,EAAKz2G,KAAKuhD,cAAcwwE,EAAQtb,EAAKhzD,MAAO,UAAYqxE,EAAUre,EAAKhzD,MAAMk2D,QAAW36C,KAClG4mC,GAAO6Q,EAAKhzD,MAAMm2D,QAAU,GAGhC,OAAOtyD,EAMT,SAAS0tE,EAAgB71E,EAAInuC,EAAKusD,EAAOtP,EAAO+Q,EAAKkG,EAAQC,EAAMve,GACjE,GAAY,GAARue,GAAaA,GAAQn0D,EAAIozD,MAAS,OAAO,EAE7C,IADA,IAAI9c,GAAQ,EACHwvD,EAAM93C,EAAK83C,EAAM5xC,EAAQ4xC,IAAO,CACvC,IAAIlpG,EAAQkpG,EAAM9lG,EAAIozD,MAAQe,EAAM9qD,EAAMrJ,EAAIA,IAAIpD,GAClD,GAAIoD,EAAIA,IAAIpD,EAAQ,IAAMyM,EAAK,CAC7BitC,GAAQ,EACR,IAAImvD,EAAOl5C,EAAMtJ,OAAO55C,GAAM06G,EAAW/jH,EAAIq+G,SAASh1G,GAClD46G,EAAY91E,EAAG8C,QAAQ79C,MAAMwiD,GAAS51C,IAAIqJ,EAAM4zC,GACpD9O,EAAGgD,cAAc8yE,EAAW,KAAMjD,EAAUvb,EAAKhzD,MAAO0hB,EAAO4vD,EAAUte,EAAKhzD,MAAMm2D,SAAWz0C,EAAO4vD,KACtG51E,EAAG6G,OAAOivE,EAAYxe,EAAK9zD,SAAU8zD,EAAKz2G,KAAKuhD,cAAcywE,EAAUvb,EAAKhzD,MAAO,EAAG0hB,EAAO4vD,KAC7Fje,GAAOL,EAAKhzD,MAAMk2D,QAAU,GAGhC,OAAOryD,EAKT,SAAS4tE,EAAY/vH,EAAO85C,EAAUo7D,EAAYv1C,EAAM6wC,GACtD,IAAIp4C,EAAQ88C,EAAal1G,EAAM46C,IAAIkU,OAAOomD,EAAa,GAAKl1G,EAAM46C,IAAK/uC,EAAMykG,EAAS15G,IAAIwhE,GACtFyB,EAAM8F,EAAK9F,IACXmG,EAAOL,EAAKK,KACZC,EAAQD,EAAOwwC,EAAMvxC,MAAOc,EAASlG,EAAM22C,EAAM7vC,OACjD3mB,EAAKh6C,EAAMg6C,GAAIyH,EAAU,EAC7B,SAASuuE,IACP53D,EAAQ88C,EAAal7D,EAAGY,IAAIkU,OAAOomD,EAAa,GAAKl7D,EAAGY,IACxD/uC,EAAMykG,EAAS15G,IAAIwhE,GACnB3W,EAAUzH,EAAG8C,QAAQmH,KAAK9vD,QAtF9B,SAAmB6lD,EAAInuC,EAAKusD,EAAOtP,EAAOmW,EAAO0B,EAAQlf,GACvD,IAAiEtwB,EAAO8+F,EAAvCrzE,EAAQozD,EAA5Bh2D,EAAGY,IAAI//C,KAAK4qD,QACzB,GAAIwZ,EAAQpzD,EAAIozD,MACd,IAAK,IAAI0yC,EAAM,EAAGmZ,EAAS,EAAGnZ,EAAM9lG,EAAI80D,OAAQgxC,IAAO,CACrD,IAAIsZ,EAAU7yD,EAAMrU,MAAM4tD,GAC1BmZ,GAAUG,EAAQztE,SAClB,IAAIgzD,EAAQ,GAAIlyG,OAAM,EAElBA,EADqB,MAArB2sH,EAAQ5wE,WAAqB4wE,EAAQ5wE,UAAUx/C,MAAQ+hD,EAAM00D,KACvDngF,IAAUA,EAAQyrB,EAAM00D,KAAKl1D,iBAE7B6zE,IAAcA,EAAYrzE,EAAMg9D,YAAYx9D,iBACtD,IAAK,IAAInoD,EAAI4X,EAAIozD,MAAOhrE,EAAIgrE,EAAOhrE,IAAOu8G,EAAMjmG,KAAKjM,GACrD07C,EAAG6G,OAAO7G,EAAG8C,QAAQ79C,MAAMwiD,GAAS51C,IAAIi/G,EAAS,EAAIhiE,GAAQ0nD,GAGjE,GAAI7vC,EAAS90D,EAAI80D,OAAQ,CAEvB,IADA,IAAI8uD,EAAU,GACL7vE,EAAM,EAAGswE,GAAWrkH,EAAI80D,OAAS,GAAK90D,EAAIozD,MAAOrf,EAAM9sD,KAAKkwB,IAAInX,EAAIozD,MAAOA,GAAQrf,IAAO,CACjG,IAAIgY,IAAShY,GAAO/zC,EAAIozD,QACpB7G,EAAMtJ,OAAOjjD,EAAIA,IAAIqkH,EAAUtwE,IAAM/kD,MAAQ+hD,EAAMg9D,YACvD6V,EAAQllH,KAAKqtD,EACCq4D,IAAcA,EAAYrzE,EAAMg9D,YAAYx9D,iBAC5CjrB,IAAUA,EAAQyrB,EAAM00D,KAAKl1D,kBAI7C,IADA,IAAI+zE,EAAWvzE,EAAM+0D,IAAIzwG,OAAO,KAAM,WAASimB,KAAKsoG,IAAWxe,EAAO,GAC7D7/C,EAAMvlD,EAAI80D,OAAQvP,EAAMuP,EAAQvP,IAAS6/C,EAAK1mG,KAAK4lH,GAC5Dn2E,EAAG6G,OAAO7G,EAAG8C,QAAQ79C,MAAMwiD,GAAS51C,IAAIi9C,EAAQsP,EAAM5a,SAAW,GAAIyzD,GAEvE,SAAU9/E,IAAS8+F,IA+DfG,CAAUp2E,EAAInuC,EAAKusD,EAAO88C,EAAYj1C,EAAOF,EAAQte,IAAYuuE,IACjEN,EAAkB11E,EAAInuC,EAAKusD,EAAO88C,EAAYl1C,EAAMC,EAAOpG,EAAKpY,IAAYuuE,IAC5EN,EAAkB11E,EAAInuC,EAAKusD,EAAO88C,EAAYl1C,EAAMC,EAAOF,EAAQte,IAAYuuE,IAC/EH,EAAgB71E,EAAInuC,EAAKusD,EAAO88C,EAAYr7C,EAAKkG,EAAQC,EAAMve,IAAYuuE,IAC3EH,EAAgB71E,EAAInuC,EAAKusD,EAAO88C,EAAYr7C,EAAKkG,EAAQE,EAAOxe,IAAYuuE,IAEhF,IAAK,IAAIre,EAAM93C,EAAK83C,EAAM5xC,EAAQ4xC,IAAO,CACvC,IAAIxqF,EAAOtb,EAAI++G,WAAWjZ,EAAK3xC,EAAM5H,GAAQrlC,EAAKlnB,EAAI++G,WAAWjZ,EAAK1xC,EAAO7H,GAC7Epe,EAAGzjD,QAAQyjD,EAAG8C,QAAQ79C,MAAMwiD,GAAS51C,IAAIsb,EAAO+tF,GAAal7D,EAAG8C,QAAQ79C,MAAMwiD,GAAS51C,IAAIknB,EAAKmiF,GACrF,IAAI,QAAM1E,EAAMS,KAAKU,EAAM93C,GAAM,EAAG,IAEjDm2D,IACAh2E,EAAGqC,aAAa,IAAIg3D,EAAcr5D,EAAGY,IAAIviC,QAAQ68F,EAAarpG,EAAI++G,WAAW/wD,EAAKmG,EAAM5H,IACtDpe,EAAGY,IAAIviC,QAAQ68F,EAAarpG,EAAI++G,WAAW7qD,EAAS,EAAGE,EAAQ,EAAG7H,MACpGte,EAASE,GA5aXo0E,EAAa76H,UAAUsY,IAAM,SAAcixC,GACzC,OAAO,IAAIsxE,EAAatxE,EAAQjxC,IAAI7P,KAAKmxC,QAAS2P,EAAQjxC,IAAI7P,KAAK4R,QAErEwgH,EAAa76H,UAAU8kB,QAAU,SAAkBuiC,GACjD,IAAI21D,EAAc31D,EAAIviC,QAAQrc,KAAKmxC,QAASyjE,EAAYh2D,EAAIviC,QAAQrc,KAAK4R,MACzE,MAA8C,OAA1C2iG,EAAYpyG,OAAOtD,KAAK4/C,KAAKy1D,WACW,OAAxCU,EAAUzyG,OAAOtD,KAAK4/C,KAAKy1D,WAC3BK,EAAY9nG,QAAU8nG,EAAYpyG,OAAO48C,YACzC61D,EAAUnoG,QAAUmoG,EAAUzyG,OAAO48C,YACrC0xE,EAAYlc,EAAaK,GAClB,IAAIyC,EAAc9C,EAAaK,GAE/B,YAAUt0D,KAAKs0D,EAAW,IAqavC,IAAI1uC,EAAgB,YAAe,CACjC,UAAaC,EAAM,SAAU,GAC7B,WAAcA,EAAM,QAAS,GAC7B,QAAWA,EAAM,QAAS,GAC1B,UAAaA,EAAM,OAAQ,GAE3B,kBAAmBkuD,EAAW,SAAU,GACxC,mBAAoBA,EAAW,QAAS,GACxC,gBAAiBA,EAAW,QAAS,GACrC,kBAAmBA,EAAW,OAAQ,GAEtC,UAAaC,EACb,gBAAiBA,EACjB,OAAUA,EACV,aAAcA,IAGhB,SAASC,EAAkBvwH,EAAO85C,EAAUC,GAC1C,OAAIA,EAAUoJ,GAAGnjD,EAAM+5C,aACnBD,GAAYA,EAAS95C,EAAMg6C,GAAGqC,aAAatC,GAAWE,mBACnD,GAGT,SAASkoB,EAAMC,EAAM32D,GACnB,OAAO,SAAUzL,EAAO85C,EAAUsG,GAChC,IAAIyD,EAAM7jD,EAAM+5C,UAChB,GAAI8J,aAAewvD,EACjB,OAAOkd,EAAkBvwH,EAAO85C,EAAU,YAAUwC,KAAKuH,EAAI+sD,UAAWnlG,IAE1E,GAAY,SAAR22D,IAAoBve,EAAI1yB,MAAS,OAAO,EAC5C,IAAI2sB,EAAM0yE,EAAYpwE,EAAMgiB,EAAM32D,GAClC,GAAW,MAAPqyC,EAAe,OAAO,EAC1B,GAAY,SAARskB,EACF,OAAOmuD,EAAkBvwH,EAAO85C,EAAU,YAAUwC,KAAKt8C,EAAM46C,IAAIviC,QAAQwrC,EAAIj2C,KAAOnC,GAAMA,IAE5F,IAAwE2wF,EAApEq0B,EAAQzwH,EAAM46C,IAAIviC,QAAQylC,GAAMigD,EAAQosB,EAASsG,EAAOruD,EAAM32D,GAIlE,OAHa2wF,EAAT2B,EAAkB,YAAUzhD,KAAKyhD,EAAO,GACnCtyF,EAAM,EAAc,YAAU6wC,KAAKt8C,EAAM46C,IAAIviC,QAAQo4G,EAAM51E,QAAQ,KAAM,GAClE,YAAUyB,KAAKt8C,EAAM46C,IAAIviC,QAAQo4G,EAAMz1E,OAAO,IAAK,GAC5Du1E,EAAkBvwH,EAAO85C,EAAUsiD,IAKhD,SAASi0B,EAAWjuD,EAAM32D,GACxB,OAAO,SAAUzL,EAAO85C,EAAUsG,GAChC,IAAIyD,EAAM7jD,EAAM+5C,UAChB,KAAM8J,aAAewvD,GAAgB,CACnC,IAAIv1D,EAAM0yE,EAAYpwE,EAAMgiB,EAAM32D,GAClC,GAAW,MAAPqyC,EAAe,OAAO,EAC1B+F,EAAM,IAAIwvD,EAAcrzG,EAAM46C,IAAIviC,QAAQylC,IAE5C,IAAIlC,EAAQuuE,EAAStmE,EAAI+sD,UAAWxuC,EAAM32D,GAC1C,QAAKmwC,GACE20E,EAAkBvwH,EAAO85C,EAAU,IAAIu5D,EAAcxvD,EAAI0sD,YAAa30D,KAIjF,SAAS00E,EAAoBtwH,EAAO85C,GAClC,IAAI+J,EAAM7jD,EAAM+5C,UAChB,KAAM8J,aAAewvD,GAAkB,OAAO,EAC9C,GAAIv5D,EAAU,CACZ,IAAIE,EAAKh6C,EAAMg6C,GAAI02E,EAAc1gB,EAAehwG,EAAMylD,QAAQ6rD,KAAKl1D,gBAAgBt5C,QACnF+gD,EAAI2pE,aAAY,SAAUlc,EAAMp8F,GACzBo8F,EAAKxuG,QAAQqgD,GAAGutE,IACjB12E,EAAGzjD,QAAQyjD,EAAG8C,QAAQjxC,IAAIqJ,EAAM,GAAI8kC,EAAG8C,QAAQjxC,IAAIqJ,EAAMo8F,EAAK9zD,SAAW,GAChE,IAAI,QAAMkzE,EAAa,EAAG,OAErC12E,EAAGq0B,YAAcv0B,EAASE,GAEhC,OAAO,EAGT,SAASoqD,EAAkBhkD,EAAMlrC,GAC/B,IAA0Bu7G,EAAQxE,EAAxB7rE,EAAKpgD,MAAM46C,IAA4BviC,QAAQnD,IACzD,QAAKu7G,IACLrwE,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAGqC,aAAa,IAAIg3D,EAAcod,MACpD,GAGT,SAAS/iD,EAAYttB,EAAMwF,EAAG3mD,GAC5B,IAAKitH,EAAU9rE,EAAKpgD,OAAU,OAAO,EACrC,IAAIwwG,EAAQse,EAAY7vH,GAAQ4kD,EAAMzD,EAAKpgD,MAAM+5C,UACjD,GAAI8J,aAAewvD,EAAe,CAC3B7C,IAASA,EAAQ,CAACvxC,MAAO,EAAG0B,OAAQ,EAAGswC,KAAM,CAAC,WAAS9pF,KAAK4nG,EAAS/e,EAAe5vD,EAAKpgD,MAAMylD,QAAQ6rD,KAAMryG,OAClH,IAAIm5D,EAAQvU,EAAI0sD,YAAYp2D,MAAM,GAAI2O,EAAQjF,EAAI0sD,YAAYznD,OAAO,GACjE6W,EAAO2wC,EAAS15G,IAAIwhE,GAAOu4C,YAAY9sD,EAAI0sD,YAAYr7F,IAAM4zC,EAAOjF,EAAI+sD,UAAU17F,IAAM4zC,GAG5F,OAFA0nD,EAAQ2e,EAAU3e,EAAO7wC,EAAKM,MAAQN,EAAKK,KAAML,EAAKI,OAASJ,EAAK9F,KACpEk2D,EAAY3vE,EAAKpgD,MAAOogD,EAAKtG,SAAUgP,EAAO6W,EAAM6wC,IAC7C,EACF,GAAIA,EAAO,CAChB,IAAIigB,EAAQtE,EAAc/rE,EAAKpgD,OAAQkwH,EAAUO,EAAM3nE,OAAO,GAE9D,OADAinE,EAAY3vE,EAAKpgD,MAAOogD,EAAKtG,SAAUo2E,EAAS5f,EAAS15G,IAAI65H,EAAMt2E,MAAM,IAAIo3D,SAASkf,EAAMv7G,IAAMg7G,GAAU1f,IACrG,EAEP,OAAO,EAIX,SAASmgB,EAAgBvwE,EAAMwwE,GAC7B,IAAIA,EAAWl3B,UAAWk3B,EAAWj3B,QAArC,CAEA,IAAuD99C,EAAnDg1E,EAAeC,EAAU1wE,EAAMwwE,EAAW14H,QAC9C,GAAI04H,EAAW/2B,UAAaz5C,EAAKpgD,MAAM+5C,qBAAqBs5D,EAE1D0d,EAAiB3wE,EAAKpgD,MAAM+5C,UAAUw2D,YAAaqgB,GACnDA,EAAW9tC,sBACN,GAAI8tC,EAAW/2B,UAAYg3B,GACiC,OAAvDh1E,EAAUowE,EAAW7rE,EAAKpgD,MAAM+5C,UAAU8B,WAC3Cm1E,EAAe5wE,EAAMwwE,GAAY17G,KAAO2mC,EAAQ3mC,IAGzD67G,EAAiBl1E,EAAS+0E,GAC1BA,EAAW9tC,sBACN,IAAK+tC,EAEV,OAwCFzwE,EAAKitC,KAAKjzE,iBAAiB,UAAWzF,GACtCyrC,EAAKitC,KAAKjzE,iBAAiB,YAAazF,GACxCyrC,EAAKitC,KAAKjzE,iBAAiB,YAAa6/D,GArCxC,SAAS82C,EAAiBl1E,EAASv/C,GACjC,IAAIs/C,EAAQo1E,EAAe5wE,EAAM9jD,GAC7B20H,EAAuC,MAA5B78H,EAAI6zD,SAAS7H,EAAKpgD,OACjC,IAAK47C,IAAU6wE,EAAY5wE,EAASD,GAAQ,CAC1C,IAAIq1E,EACG,OADSr1E,EAAQC,EAG1B,IAAI9B,EAAY,IAAIs5D,EAAcx3D,EAASD,GAC3C,GAAIq1E,IAAa7wE,EAAKpgD,MAAM+5C,UAAUoJ,GAAGpJ,GAAY,CACnD,IAAIC,EAAKoG,EAAKpgD,MAAMg6C,GAAGqC,aAAatC,GAChCk3E,GAAYj3E,EAAG0L,QAAQtxD,EAAKynD,EAAQ3mC,KACxCkrC,EAAKtG,SAASE,IAKlB,SAASrlC,IACPyrC,EAAKitC,KAAK9tB,oBAAoB,UAAW5qD,GACzCyrC,EAAKitC,KAAK9tB,oBAAoB,YAAa5qD,GAC3CyrC,EAAKitC,KAAK9tB,oBAAoB,YAAa0a,GACX,MAA5B7lF,EAAI6zD,SAAS7H,EAAKpgD,QAAkBogD,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAG0L,QAAQtxD,GAAM,IAGpF,SAAS6lF,EAAK39E,GACZ,IAAuCu/C,EAAnC1O,EAAS/4C,EAAI6zD,SAAS7H,EAAKpgD,OAC/B,GAAc,MAAVmtC,EAEF0O,EAAUuE,EAAKpgD,MAAM46C,IAAIviC,QAAQ80B,QAC5B,GAAI2jF,EAAU1wE,EAAM9jD,EAAMpE,SAAW24H,KAE1Ch1E,EAAUm1E,EAAe5wE,EAAMwwE,IACf,OAAOj8G,IAErBknC,GAAWk1E,EAAiBl1E,EAASv/C,IAS7C,SAASk0H,EAAYpwE,EAAMgiB,EAAM32D,GAC/B,KAAM20C,EAAKpgD,MAAM+5C,qBAAqB,iBAAkB,OAAO,KAG/D,IAFA,IACI6B,EADMwE,EAAKpgD,MAAM+5C,UACL6B,MACPpf,EAAIof,EAAMjB,MAAQ,EAAGne,GAAK,EAAGA,IAAK,CACzC,IAAIr+B,EAASy9C,EAAMzB,KAAK3d,GACxB,IADoC/wB,EAAM,EAAImwC,EAAMnzC,MAAM+zB,GAAKof,EAAMI,WAAWxf,MAClE/wB,EAAM,EAAI,EAAItN,EAAO48C,YAAe,OAAO,KACzD,GAAkC,QAA9B58C,EAAOtD,KAAK4/C,KAAKy1D,WAAqD,eAA9B/xG,EAAOtD,KAAK4/C,KAAKy1D,UAA4B,CACvF,IAAImB,EAAUz1D,EAAMf,OAAOre,GACvB6lC,EAAiB,QAARD,EAAkB32D,EAAM,EAAI,OAAS,KAASA,EAAM,EAAI,QAAU,OAC/E,OAAO20C,EAAKE,eAAe+hB,GAAUgvC,EAAU,MAGnD,OAAO,KAGT,SAASyf,EAAU1wE,EAAMqV,GACvB,KAAOA,GAAOA,GAAOrV,EAAKqV,IAAKA,EAAMA,EAAIrmD,WACrC,GAAoB,MAAhBqmD,EAAIoF,UAAoC,MAAhBpF,EAAIoF,SAAoB,OAAOpF,EAGjE,SAASu7D,EAAe5wE,EAAM9jD,GAC5B,IAAI40H,EAAW9wE,EAAK2gB,YAAY,CAACf,KAAM1jE,EAAM0kE,QAASnH,IAAKv9D,EAAM2kE,UACjE,OAAKiwD,GACEA,EAAWjF,EAAW7rE,EAAKpgD,MAAM46C,IAAIviC,QAAQ64G,EAASh8G,MADrC,KAM1B,IAAIi8G,EAAe,IAAI,YAAU,cA+BjC,SAASC,GAAUpxH,EAAOqnD,GACxB,IAAIrN,EAAIjnD,EAAQ,SAAUonD,EAAMjlC,GACE,SAA5BilC,EAAKt/C,KAAK4/C,KAAKy1D,YAAwBl2D,EAU/C,SAAkBh6C,EAAOo4D,EAAOi5D,EAAUr3E,GACxC,IAAInuC,EAAMykG,EAAS15G,IAAIwhE,GACvB,IAAKvsD,EAAIk+G,SAAY,OAAO/vE,EACvBA,IAAMA,EAAKh6C,EAAMg6C,IAKtB,IADA,IAkBI7kC,EAAOua,EAlBP4hG,EAAU,GACLr9H,EAAI,EAAGA,EAAI4X,EAAI80D,OAAQ1sE,IAAOq9H,EAAQ/mH,KAAK,GACpD,IAAK,IAAIq1C,EAAM,EAAGA,EAAM/zC,EAAIk+G,SAAS51H,OAAQyrD,IAAO,CAClD,IAAI2xE,EAAO1lH,EAAIk+G,SAASnqE,GACxB,GAAiB,aAAb2xE,EAAK12H,KAAqB,CAE5B,IADA,IAAIy2G,EAAOl5C,EAAMtJ,OAAOyiE,EAAKr8G,KACpBtG,EAAI,EAAGA,EAAI0iG,EAAKhzD,MAAMk2D,QAAS5lG,IAAO0iH,EAAQC,EAAK5f,IAAM/iG,IAAM2iH,EAAK1+G,EAC7EmnC,EAAGgD,cAAchD,EAAG8C,QAAQjxC,IAAIwlH,EAAW,EAAIE,EAAKr8G,KAAM,KAAM23G,EAAUvb,EAAKhzD,MAAOgzD,EAAKhzD,MAAMm2D,QAAU8c,EAAK1+G,EAAG0+G,EAAK1+G,SACnH,GAAiB,WAAb0+G,EAAK12H,KACdy2H,EAAQC,EAAK5f,MAAQ4f,EAAK1+G,OACrB,GAAiB,oBAAb0+G,EAAK12H,KAA4B,CAC1C,IAAIswH,EAAS/yD,EAAMtJ,OAAOyiE,EAAKr8G,KAC/B8kC,EAAGgD,cAAchD,EAAG8C,QAAQjxC,IAAIwlH,EAAW,EAAIE,EAAKr8G,KAAM,KAAM03G,EAAQzB,EAAO7sE,MAAO,UAAW6sE,EAAO7sE,MAAMk2D,QAAU+c,EAAK1+G,SACxH,GAAiB,qBAAb0+G,EAAK12H,KAA6B,CAC3C,IAAI22H,EAASp5D,EAAMtJ,OAAOyiE,EAAKr8G,KAC/B8kC,EAAGgD,cAAchD,EAAG8C,QAAQjxC,IAAIwlH,EAAW,EAAIE,EAAKr8G,KAAM,KAAM03G,EAAQ4E,EAAOlzE,MAAO,WAAYizE,EAAKtH,YAI3G,IAAK,IAAI74D,EAAM,EAAGA,EAAMkgE,EAAQn9H,OAAQi9D,IAAakgE,EAAQlgE,KAC9C,MAATj8C,IAAiBA,EAAQi8C,GAC7B1hC,EAAO0hC,GAMT,IAAK,IAAI6jC,EAAM,EAAG//E,EAAMm8G,EAAW,EAAGp8B,EAAMppF,EAAI80D,OAAQs0B,IAAO,CAC7D,IAAI0c,EAAMv5C,EAAMrU,MAAMkxC,GAClBn3C,EAAM5oC,EAAMy8F,EAAIn0D,SAChBl/C,EAAMgzH,EAAQr8B,GAClB,GAAI32F,EAAM,EAAG,CACX,IAAImzH,EAAgB,OAChB9f,EAAIphG,aACNkhH,EAAgB9f,EAAIphG,WAAW1V,KAAK4/C,KAAKy1D,WAG3C,IADA,IAAIrlD,EAAQ,GACHknC,EAAM,EAAGA,EAAMzzF,EAAKyzF,IACzBlnC,EAAMtgD,KAAKylG,EAAehwG,EAAMylD,QAAQgsE,GAAer1E,iBAC3D,IAAIhC,EAAe,GAAP66C,GAAY9/E,GAAS8/E,EAAM,GAAMvlE,GAAQulE,EAAgBn3C,EAAM,EAAhB5oC,EAAM,EACjE8kC,EAAG6G,OAAO7G,EAAG8C,QAAQjxC,IAAIuuC,GAAOyQ,GAElC31C,EAAM4oC,EAER,OAAO9D,EAAG0L,QAAQyrE,EAAc,CAAEC,WAAW,IA7DKM,CAAS1xH,EAAOm6C,EAAMjlC,EAAK8kC,KAI7E,OAFKqN,EACIA,EAASzM,KAAO56C,EAAM46C,KA/BjC,SAAS+2E,EAAmBh0B,EAAKzsC,EAAKrmB,EAAQ3zC,GAC5C,IAAIo7E,EAAUqrB,EAAI5iD,WAAY62E,EAAU1gE,EAAInW,WAC5Cs6B,EAAO,IAAK,IAAIphF,EAAI,EAAG2a,EAAI,EAAG3a,EAAI29H,EAAS39H,IAAK,CAE9C,IADA,IAAI8vD,EAAQmN,EAAInN,MAAM9vD,GACbo+D,EAAOzjD,EAAGjG,EAAI7V,KAAKkK,IAAIs1E,EAASr+E,EAAI,GAAIo+D,EAAO1pD,EAAG0pD,IACzD,GAAIsrC,EAAI55C,MAAMsO,IAAStO,EAAO,CAC5Bn1C,EAAIyjD,EAAO,EACXxnB,GAAUkZ,EAAMvG,SAChB,SAAS63B,EAGbn+E,EAAE6sD,EAAOlZ,GACLj8B,EAAI0jE,GAAWqrB,EAAI55C,MAAMn1C,GAAGy6C,WAAWtF,GACvC4tE,EAAmBh0B,EAAI55C,MAAMn1C,GAAIm1C,EAAOlZ,EAAS,EAAG3zC,GAEpD6sD,EAAMrF,aAAa,EAAGqF,EAAMjhD,QAAQyS,KAAMre,EAAG2zC,EAAS,GAC1DA,GAAUkZ,EAAMvG,UAeoBm0E,CAAmBtqE,EAASzM,IAAK56C,EAAM46C,IAAK,EAAG7nD,GADpEiN,EAAM46C,IAAImO,YAAYh2D,GAEhCinD,EAiET,SAAS63E,GAAa7xH,GACpB,IAEI2/D,EAFA9b,EAAM7jD,EAAM+5C,UAAWS,EAAO2xE,EAAcnsH,GAC5Co4D,EAAQ5d,EAAKL,MAAM,GAAI+6D,EAAa16D,EAAKsO,OAAO,GAAIj9C,EAAMykG,EAAS15G,IAAIwhE,GAS3E,OANIuH,EADA9b,aAAewvD,EACRxnG,EAAI8kG,YAAY9sD,EAAI0sD,YAAYr7F,IAAMggG,EAAYrxD,EAAI+sD,UAAU17F,IAAMggG,GAEtErpG,EAAI0lG,SAAS/2D,EAAKtlC,IAAMggG,IAC9BA,WAAaA,EAClBv1C,EAAK9zD,IAAMA,EACX8zD,EAAKvH,MAAQA,EACNuH,EAYT,SAAS63C,GAAUx9D,EAAIkB,EAAKulD,GAC1B,IAAI50F,EAAMqvC,EAAIrvC,IACVqpG,EAAah6D,EAAIg6D,WACjB98C,EAAQld,EAAIkd,MAEZ05D,EAAYrxB,EAAM,GAAK,EAAI,GAdjC,SAAwB50F,EAAKusD,EAAOqoC,GAElC,IADA,IAAIsxB,EAAa/hB,EAAe53C,EAAMv9D,KAAK4qD,QAAQm0D,YAC1CjI,EAAM,EAAGA,EAAM9lG,EAAI80D,OAAQgxC,IAChC,GAAIv5C,EAAMtJ,OAAOjjD,EAAIA,IAAI40F,EAAMkR,EAAM9lG,EAAIozD,QAAQpkE,MAAQk3H,EACvD,OAAO,EACb,OAAO,GAUHC,CAAenmH,EAAKusD,EAAOqoC,EAAMqxB,KACjCA,EAAmB,GAAPrxB,GAAYA,GAAO50F,EAAIozD,MAAQ,KAAO,GAEtD,IAAK,IAAI0yC,EAAM,EAAGA,EAAM9lG,EAAI80D,OAAQgxC,IAAO,CACzC,IAAIlpG,EAAQkpG,EAAM9lG,EAAIozD,MAAQwhC,EAE9B,GAAIA,EAAM,GAAKA,EAAM50F,EAAIozD,OAASpzD,EAAIA,IAAIpD,EAAQ,IAAMoD,EAAIA,IAAIpD,GAAQ,CACtE,IAAIyM,EAAMrJ,EAAIA,IAAIpD,GAAQ6oG,EAAOl5C,EAAMtJ,OAAO55C,GAC9C8kC,EAAGgD,cAAchD,EAAG8C,QAAQjxC,IAAIqpG,EAAahgG,GAAM,KAClC43G,EAAWxb,EAAKhzD,MAAOmiD,EAAM50F,EAAIq+G,SAASh1G,KAE3Dy8F,GAAOL,EAAKhzD,MAAMk2D,QAAU,MACvB,CACL,IAAI35G,EAAoB,MAAbi3H,EAAoB9hB,EAAe53C,EAAMv9D,KAAK4qD,QAAQ6rD,KAC3Dl5C,EAAMtJ,OAAOjjD,EAAIA,IAAIpD,EAAQqpH,IAAYj3H,KAC3C0yF,EAAQ1hF,EAAI++G,WAAWjZ,EAAKlR,EAAKroC,GACrCpe,EAAG6G,OAAO7G,EAAG8C,QAAQjxC,IAAIqpG,EAAa3nB,GAAQ1yF,EAAKuhD,kBAGvD,OAAOpC,EAKT,SAASi4E,GAAgBjyH,EAAO85C,GAC9B,IAAKoyE,EAAUlsH,GAAU,OAAO,EAChC,GAAI85C,EAAU,CACZ,IAAI6lB,EAAOkyD,GAAa7xH,GACxB85C,EAAS09D,GAAUx3G,EAAMg6C,GAAI2lB,EAAMA,EAAKK,OAE1C,OAAO,EAKT,SAASkyD,GAAelyH,EAAO85C,GAC7B,IAAKoyE,EAAUlsH,GAAU,OAAO,EAChC,GAAI85C,EAAU,CACZ,IAAI6lB,EAAOkyD,GAAa7xH,GACxB85C,EAAS09D,GAAUx3G,EAAMg6C,GAAI2lB,EAAMA,EAAKM,QAE1C,OAAO,EAGT,SAASg1C,GAAaj7D,EAAIkB,EAAKulD,GAM7B,IALA,IAAI50F,EAAMqvC,EAAIrvC,IACVusD,EAAQld,EAAIkd,MACZ88C,EAAah6D,EAAIg6D,WAEjBid,EAAWn4E,EAAG8C,QAAQmH,KAAK9vD,OACtBw9G,EAAM,EAAGA,EAAM9lG,EAAI80D,QAAS,CACnC,IAAIl4D,EAAQkpG,EAAM9lG,EAAIozD,MAAQwhC,EAAKvrF,EAAMrJ,EAAIA,IAAIpD,GAAQ6oG,EAAOl5C,EAAMtJ,OAAO55C,GAE7E,GAAKurF,EAAM,GAAK50F,EAAIA,IAAIpD,EAAQ,IAAMyM,GAASurF,EAAM50F,EAAIozD,MAAQ,GAAKpzD,EAAIA,IAAIpD,EAAQ,IAAMyM,EAC1F8kC,EAAGgD,cAAchD,EAAG8C,QAAQ79C,MAAMkzH,GAAUtmH,IAAIqpG,EAAahgG,GAAM,KAClD23G,EAAUvb,EAAKhzD,MAAOmiD,EAAM50F,EAAIq+G,SAASh1G,SACrD,CACL,IAAI4zC,EAAQ9O,EAAG8C,QAAQ79C,MAAMkzH,GAAUtmH,IAAIqpG,EAAahgG,GACxD8kC,EAAGuD,OAAOuL,EAAOA,EAAQwoD,EAAK9zD,UAEhCm0D,GAAOL,EAAKhzD,MAAMk2D,SAMtB,SAAS4d,GAAapyH,EAAO85C,GAC3B,IAAKoyE,EAAUlsH,GAAU,OAAO,EAChC,GAAI85C,EAAU,CACZ,IAAI6lB,EAAOkyD,GAAa7xH,GAAQg6C,EAAKh6C,EAAMg6C,GAC3C,GAAiB,GAAb2lB,EAAKK,MAAaL,EAAKM,OAASN,EAAK9zD,IAAIozD,MAAS,OAAO,EAC7D,IAAK,IAAIhrE,EAAI0rE,EAAKM,MAAQ,EACxBg1C,GAAaj7D,EAAI2lB,EAAM1rE,GACnBA,GAAK0rE,EAAKK,KAFc/rE,IAG5B0rE,EAAKvH,MAAQuH,EAAKu1C,WAAal7D,EAAGY,IAAIkU,OAAO6Q,EAAKu1C,WAAa,GAAKl7D,EAAGY,IACvE+kB,EAAK9zD,IAAMykG,EAAS15G,IAAI+oE,EAAKvH,OAE/Bte,EAASE,GAEX,OAAO,EAGT,SAASq4E,GAAYxmH,EAAKusD,EAAOu5C,GAE/B,IADA,IAAIogB,EAAa/hB,EAAe53C,EAAMv9D,KAAK4qD,QAAQm0D,YAC1CnZ,EAAM,EAAGA,EAAM50F,EAAIozD,MAAOwhC,IAC/B,GAAIroC,EAAMtJ,OAAOjjD,EAAIA,IAAI40F,EAAMkR,EAAM9lG,EAAIozD,QAAQpkE,MAAQk3H,EACvD,OAAO,EACb,OAAO,EAGT,SAASpZ,GAAO3+D,EAAIkB,EAAKy2D,GAMvB,IALA,IAAI9lG,EAAMqvC,EAAIrvC,IACVqpG,EAAah6D,EAAIg6D,WACjB98C,EAAQld,EAAIkd,MAEZi8C,EAASa,EACJjhH,EAAI,EAAGA,EAAI09G,EAAK19G,IAAOogH,GAAUj8C,EAAMrU,MAAM9vD,GAAGupD,SACzD,IAAIgzD,EAAQ,GAAI8hB,EAAS3gB,EAAM,GAAK,EAAI,EACpC0gB,GAAYxmH,EAAKusD,EAAOu5C,EAAM2gB,KAC9BA,EAAgB,GAAP3gB,GAAYA,GAAO9lG,EAAI80D,OAAS,KAAO,GACpD,IAAK,IAAI8/B,EAAM,EAAGh4F,EAAQoD,EAAIozD,MAAQ0yC,EAAKlR,EAAM50F,EAAIozD,MAAOwhC,IAAOh4F,IAEjE,GAAIkpG,EAAM,GAAKA,EAAM9lG,EAAI80D,QAAU90D,EAAIA,IAAIpD,IAAUoD,EAAIA,IAAIpD,EAAQoD,EAAIozD,OAAQ,CAC/E,IAAI/pD,EAAMrJ,EAAIA,IAAIpD,GAAQ61C,EAAQ8Z,EAAMtJ,OAAO55C,GAAKopC,MACpDtE,EAAGgD,cAAck4D,EAAahgG,EAAK,KAAM03G,EAAQtuE,EAAO,UAAWA,EAAMk2D,QAAU,IACnF/T,GAAOniD,EAAMm2D,QAAU,MAClB,CACL,IAAI55G,EAAiB,MAAVy3H,EAAiBtiB,EAAe53C,EAAMv9D,KAAK4qD,QAAQ6rD,KACxDl5C,EAAMtJ,OAAOjjD,EAAIA,IAAIpD,EAAQ6pH,EAASzmH,EAAIozD,QAAQpkE,KACxD21G,EAAMjmG,KAAK1P,EAAKuhD,iBAIpB,OADApC,EAAG6G,OAAOwzD,EAAQrE,EAAe53C,EAAMv9D,KAAK4qD,QAAQksD,IAAIzwG,OAAO,KAAMsvG,IAC9Dx2D,EAKT,SAASu4E,GAAavyH,EAAO85C,GAC3B,IAAKoyE,EAAUlsH,GAAU,OAAO,EAChC,GAAI85C,EAAU,CACZ,IAAI6lB,EAAOkyD,GAAa7xH,GACxB85C,EAAS6+D,GAAO34G,EAAMg6C,GAAI2lB,EAAMA,EAAK9F,MAEvC,OAAO,EAKT,SAAS24D,GAAYxyH,EAAO85C,GAC1B,IAAKoyE,EAAUlsH,GAAU,OAAO,EAChC,GAAI85C,EAAU,CACZ,IAAI6lB,EAAOkyD,GAAa7xH,GACxB85C,EAAS6+D,GAAO34G,EAAMg6C,GAAI2lB,EAAMA,EAAKI,SAEvC,OAAO,EAGT,SAASq1C,GAAUp7D,EAAIkB,EAAKy2D,GAM1B,IALA,IAAI9lG,EAAMqvC,EAAIrvC,IACVusD,EAAQld,EAAIkd,MACZ88C,EAAah6D,EAAIg6D,WAEjBb,EAAS,EACJpgH,EAAI,EAAGA,EAAI09G,EAAK19G,IAAOogH,GAAUj8C,EAAMrU,MAAM9vD,GAAGupD,SACzD,IAAIi1E,EAAUpe,EAASj8C,EAAMrU,MAAM4tD,GAAKn0D,SAEpCiE,EAAUzH,EAAG8C,QAAQmH,KAAK9vD,OAC9B6lD,EAAGuD,OAAO82D,EAASa,EAAYud,EAAUvd,GAEzC,IAAK,IAAIzU,EAAM,EAAGh4F,EAAQkpG,EAAM9lG,EAAIozD,MAAOwhC,EAAM50F,EAAIozD,MAAOwhC,IAAOh4F,IAAS,CAC1E,IAAIyM,EAAMrJ,EAAIA,IAAIpD,GAClB,GAAIkpG,EAAM,GAAKz8F,GAAOrJ,EAAIA,IAAIpD,EAAQoD,EAAIozD,OAAQ,CAEhD,IAAI3gB,EAAQ8Z,EAAMtJ,OAAO55C,GAAKopC,MAC9BtE,EAAGgD,cAAchD,EAAG8C,QAAQ79C,MAAMwiD,GAAS51C,IAAIqJ,EAAMggG,GAAa,KAAM0X,EAAQtuE,EAAO,UAAWA,EAAMk2D,QAAU,IAClH/T,GAAOniD,EAAMm2D,QAAU,OAClB,GAAI9C,EAAM9lG,EAAIozD,OAAS/pD,GAAOrJ,EAAIA,IAAIpD,EAAQoD,EAAIozD,OAAQ,CAE/D,IAAIqyC,EAAOl5C,EAAMtJ,OAAO55C,GACpB8oC,EAAOszD,EAAKz2G,KAAKqG,OAAO0rH,EAAQtb,EAAKhzD,MAAO,UAAWgzD,EAAKhzD,MAAMk2D,QAAU,GAAIlD,EAAKxuG,SACrF4vH,EAAS7mH,EAAI++G,WAAWjZ,EAAM,EAAGlR,EAAKroC,GAC1Cpe,EAAG6G,OAAO7G,EAAG8C,QAAQ79C,MAAMwiD,GAAS51C,IAAIqpG,EAAawd,GAAS10E,GAC9DyiD,GAAO6Q,EAAKhzD,MAAMm2D,QAAU,IAOlC,SAASke,GAAU3yH,EAAO85C,GACxB,IAAKoyE,EAAUlsH,GAAU,OAAO,EAChC,GAAI85C,EAAU,CACZ,IAAI6lB,EAAOkyD,GAAa7xH,GAAQg6C,EAAKh6C,EAAMg6C,GAC3C,GAAgB,GAAZ2lB,EAAK9F,KAAY8F,EAAKI,QAAUJ,EAAK9zD,IAAI80D,OAAU,OAAO,EAC9D,IAAK,IAAI1sE,EAAI0rE,EAAKI,OAAS,EACzBq1C,GAAUp7D,EAAI2lB,EAAM1rE,GAChBA,GAAK0rE,EAAK9F,IAFe5lE,IAG7B0rE,EAAKvH,MAAQuH,EAAKu1C,WAAal7D,EAAGY,IAAIkU,OAAO6Q,EAAKu1C,WAAa,GAAKl7D,EAAGY,IACvE+kB,EAAK9zD,IAAMykG,EAAS15G,IAAI+oE,EAAKvH,OAE/Bte,EAASE,GAEX,OAAO,EAGT,SAAS44E,GAAQthB,GACf,IAAIxvG,EAAIwvG,EAAKxuG,QACb,OAAuB,GAAhBhB,EAAEi5C,YAAmBj5C,EAAEyO,WAAW+pC,aAA0C,GAA3Bx4C,EAAEyO,WAAWwqC,WA0BvE,SAAS83E,GAAW7yH,EAAO85C,GACzB,IAAI+J,EAAM7jD,EAAM+5C,UAChB,KAAM8J,aAAewvD,IAAkBxvD,EAAI0sD,YAAYr7F,KAAO2uC,EAAI+sD,UAAU17F,IAAO,OAAO,EAC1F,IAAIyqD,EAAOkyD,GAAa7xH,GACpB6L,EAAM8zD,EAAK9zD,IACf,GA5BF,SAA+BqvC,EAAKykB,GAOlC,IANA,IAAIV,EAAQ/jB,EAAI+jB,MACZ0B,EAASzlB,EAAIylB,OACb90D,EAAMqvC,EAAIrvC,IAEVinH,EAAWnzD,EAAK9F,IAAMoF,EAAQU,EAAKK,KAAM+yD,EAAYD,EACrDE,GAAerzD,EAAKI,OAAS,GAAKd,EAAQU,EAAKK,KAAMizD,EAAaH,GAAYnzD,EAAKM,MAAQN,EAAKK,KAAO,GAClG/rE,EAAI0rE,EAAK9F,IAAK5lE,EAAI0rE,EAAKI,OAAQ9rE,IAAK,CAC3C,GAAI0rE,EAAKK,KAAO,GAAKn0D,EAAIknH,IAAclnH,EAAIknH,EAAY,IACnDpzD,EAAKM,MAAQhB,GAASpzD,EAAIonH,IAAepnH,EAAIonH,EAAa,GAAM,OAAO,EAC3EF,GAAa9zD,EAAOg0D,GAAch0D,EAEpC,IAAK,IAAIrf,EAAM+f,EAAKK,KAAMpgB,EAAM+f,EAAKM,MAAOrgB,IAAO,CACjD,GAAI+f,EAAK9F,IAAM,GAAKhuD,EAAIinH,IAAajnH,EAAIinH,EAAW7zD,IAChDU,EAAKI,OAASY,GAAU90D,EAAImnH,IAAgBnnH,EAAImnH,EAAc/zD,GAAU,OAAO,EACnF6zD,IAAYE,IAEd,OAAO,EAWHE,CAAsBrnH,EAAK8zD,GAAS,OAAO,EAC/C,GAAI7lB,EAAU,CAEZ,IADA,IAAwDq5E,EAAWC,EAA/Dp5E,EAAKh6C,EAAMg6C,GAAIkN,EAAO,GAAIpkD,EAAU,WAASquB,MACxCwgF,EAAMhyC,EAAK9F,IAAK83C,EAAMhyC,EAAKI,OAAQ4xC,IAC1C,IAAK,IAAIlR,EAAM9gC,EAAKK,KAAMygC,EAAM9gC,EAAKM,MAAOwgC,IAAO,CACjD,IAAI4Q,EAAUxlG,EAAIA,IAAI8lG,EAAM9lG,EAAIozD,MAAQwhC,GAAM6Q,EAAO3xC,EAAKvH,MAAMtJ,OAAOuiD,GACvE,IAAInqD,EAAKmqD,GAET,GADAnqD,EAAKmqD,IAAW,EACC,MAAb8hB,EACFA,EAAY9hB,EACZ+hB,EAAa9hB,MACR,CACAshB,GAAQthB,KAASxuG,EAAUA,EAAQ6lD,OAAO2oD,EAAKxuG,UACpD,IAAIwzE,EAASt8B,EAAG8C,QAAQjxC,IAAIwlG,EAAU1xC,EAAKu1C,YAC3Cl7D,EAAGuD,OAAO+4B,EAAQA,EAASg7B,EAAK9zD,WAOtC,GAHAxD,EAAGgD,cAAcm2E,EAAYxzD,EAAKu1C,WAAY,KAC7B0X,EAAQE,EAAWsG,EAAW90E,MAAO80E,EAAW90E,MAAMm2D,QAAU90C,EAAKM,MAAQN,EAAKK,KAAQozD,EAAW90E,MAAMm2D,SACnG,UAAW90C,EAAKI,OAASJ,EAAK9F,MACnD/2D,EAAQyS,KAAM,CAChB,IAAIuoC,EAAMq1E,EAAY,EAAIC,EAAWtwH,QAAQyS,KACzCuzC,EAAQ8pE,GAAQQ,GAAcD,EAAY,EAAIr1E,EAClD9D,EAAGmC,YAAY2M,EAAQ6W,EAAKu1C,WAAYp3D,EAAM6hB,EAAKu1C,WAAYpyG,GAEjEk3C,EAAGqC,aAAa,IAAIg3D,EAAcr5D,EAAGY,IAAIviC,QAAQ86G,EAAYxzD,EAAKu1C,cAClEp7D,EAASE,GAEX,OAAO,EAKT,SAASq5E,GAAUrzH,EAAO85C,GACxB,IAAIgW,EAAYkgD,EAAehwG,EAAMylD,QACrC,OAAO6tE,IAAkB,SAAUp4E,GACjC,IAAIf,EAAOe,EAAIf,KAEf,OAAO2V,EAAU3V,EAAKt/C,KAAK4/C,KAAKy1D,aAH3BojB,CAIJtzH,EAAO85C,GAMZ,SAASw5E,GAAkBC,GACzB,OAAO,SAAUvzH,EAAO85C,GACtB,IACIyxE,EAAUla,EADVxtD,EAAM7jD,EAAM+5C,UAEhB,GAAM8J,aAAewvD,EAId,CACL,GAAIxvD,EAAI0sD,YAAYr7F,KAAO2uC,EAAI+sD,UAAU17F,IAAO,OAAO,EACvDq2G,EAAW1nE,EAAI0sD,YAAYlzD,UAC3Bg0D,EAAUxtD,EAAI0sD,YAAYr7F,QAPS,CAEnC,KADAq2G,EAvzCN,SAAsB/wE,GACpB,IAAK,IAAIhe,EAAIge,EAAKG,MAAOne,EAAI,EAAGA,IAAK,CACnC,IAAI4vF,EAAO5xE,EAAKL,KAAK3d,GAAG3hC,KAAK4/C,KAAKy1D,UAClC,GAAa,SAATkc,GAA4B,gBAATA,EAA0B,OAAO5xE,EAAKL,KAAK3d,GAEpE,OAAO,KAkzCQg3F,CAAa3vE,EAAI1I,QACX,OAAO,EACxBk2D,EAAU4a,EAAWpoE,EAAI1I,OAAOjmC,IAMlC,GAA8B,GAA1Bq2G,EAASjtE,MAAMm2D,SAA0C,GAA1B8W,EAASjtE,MAAMk2D,QAAe,OAAO,EACxE,GAAI16D,EAAU,CACZ,IAAI25E,EAAYlI,EAASjtE,MAAOA,EAAQ,GAAI2rE,EAAWwJ,EAAUxJ,SAC7DwJ,EAAUjf,QAAU,IAAKif,EAAY7G,EAAQ6G,EAAW,UAAW,IACnEA,EAAUhf,QAAU,IAAKgf,EAAY7G,EAAQ6G,EAAW,UAAW,IAEvE,IADA,IAGIC,EAHA/zD,EAAOkyD,GAAa7xH,GAAQg6C,EAAKh6C,EAAMg6C,GAClC/lD,EAAI,EAAGA,EAAI0rE,EAAKM,MAAQN,EAAKK,KAAM/rE,IACxCqqD,EAAM/zC,KAAK0/G,EAAW2C,EAAQ6G,EAAW,WAAYxJ,GAAYA,EAASh2H,GAAK,CAACg2H,EAASh2H,IAAM,MAAQw/H,GAE3G,IAAK,IAAI9hB,EAAMhyC,EAAK9F,IAAK83C,EAAMhyC,EAAKI,OAAQ4xC,IAAO,CACjD,IAAIz8F,EAAMyqD,EAAK9zD,IAAI++G,WAAWjZ,EAAKhyC,EAAKK,KAAML,EAAKvH,OAC/Cu5C,GAAOhyC,EAAK9F,MAAO3kD,GAAOq2G,EAAS/tE,UACvC,IAAK,IAAIijD,EAAM9gC,EAAKK,KAAMpgB,EAAM,EAAG6gD,EAAM9gC,EAAKM,MAAOwgC,IAAO7gD,IACtD6gD,GAAO9gC,EAAKK,MAAQ2xC,GAAOhyC,EAAK9F,KACpC7f,EAAG6G,OAAO6yE,EAAW15E,EAAG8C,QAAQjxC,IAAIqJ,EAAMyqD,EAAKu1C,WAAY,GAAIqe,EAAY,CAAEp5E,KAAMoxE,EAAU5Z,IAAKA,EAAKlR,IAAKA,IAAMrkD,cAAckC,EAAMsB,KAG1I5F,EAAGgD,cAAcq0D,EAASkiB,EAAY,CAAEp5E,KAAMoxE,EAAU5Z,IAAKhyC,EAAK9F,IAAK4mC,IAAK9gC,EAAKK,OAAQ1hB,EAAM,IAC3FuF,aAAewvD,GACfr5D,EAAGqC,aAAa,IAAIg3D,EAAcr5D,EAAGY,IAAIviC,QAAQwrC,EAAI0sD,YAAYr7F,KACjCw+G,GAAY15E,EAAGY,IAAIviC,QAAQq7G,KAC/D55E,EAASE,GAEX,OAAO,GAQX,SAAS25E,GAAY/gI,EAAM0E,GACzB,OAAO,SAAS0I,EAAO85C,GACrB,IAAKoyE,EAAUlsH,GAAU,OAAO,EAChC,IAAIywH,EAAQtE,EAAcnsH,GAC1B,GAAIywH,EAAMpzE,UAAUiB,MAAM1rD,KAAU0E,EAAS,OAAO,EACpD,GAAIwiD,EAAU,CACZ,IAAIE,EAAKh6C,EAAMg6C,GACXh6C,EAAM+5C,qBAAqBs5D,EAC3BrzG,EAAM+5C,UAAUyzE,aAAY,SAAUrzE,EAAMjlC,GACxCilC,EAAKmE,MAAM1rD,KAAU0E,GACrB0iD,EAAGgD,cAAc9nC,EAAK,KAAM03G,EAAQzyE,EAAKmE,MAAO1rD,EAAM0E,OAG1D0iD,EAAGgD,cAAcyzE,EAAMv7G,IAAK,KAAM03G,EAAQ6D,EAAMpzE,UAAUiB,MAAO1rD,EAAM0E,IAC3EwiD,EAASE,GAEX,OAAO,GAwBX,SAAS45E,GAAsB/4H,EAAM8kE,EAAM/iB,GASzC,IAPA,IAAIi3E,EAAgBl0D,EAAK9zD,IAAI4kG,YAAY,CACvCzwC,KAAM,EACNnG,IAAK,EACLoG,MAAe,OAARplE,EAAgB8kE,EAAK9zD,IAAIozD,MAAQ,EACxCc,OAAgB,UAARllE,EAAmB8kE,EAAK9zD,IAAI80D,OAAS,IAGtC1sE,EAAI,EAAGA,EAAI4/H,EAAc1/H,OAAQF,IAAK,CAC7C,IAAIq9G,EAAO3xC,EAAKvH,MAAMtJ,OAAO+kE,EAAc5/H,IAC3C,GAAIq9G,GAAQA,EAAKz2G,OAAS+hD,EAAMg9D,YAC9B,OAAO,EAIX,OAAO,EAMT,SAASka,GAAaj5H,EAAM7C,GAG1B,OAFAA,EAAUA,GAAW,CAAE+7H,oBAAoB,IAE/BA,mBA7Cd,SAAiCl5H,GAC/B,OAAO,SAASmF,EAAO85C,GACrB,IAAKoyE,EAAUlsH,GAAU,OAAO,EAChC,GAAI85C,EAAU,CAMZ,IALA,IAAI8C,EAAQozD,EAAehwG,EAAMylD,QAC7Bka,EAAOkyD,GAAa7xH,GAAQg6C,EAAKh6C,EAAMg6C,GACvCw2D,EAAQ7wC,EAAK9zD,IAAI4kG,YAAoB,UAAR51G,EAAmB,IAAIivH,EAAKnqD,EAAKK,KAAM,EAAGL,EAAKM,MAAON,EAAK9zD,IAAI80D,QACvD,OAAR9lE,EAAgB,IAAIivH,EAAK,EAAGnqD,EAAK9F,IAAK8F,EAAK9zD,IAAIozD,MAAOU,EAAKI,QAAUJ,GAClG9U,EAAQ2lD,EAAM3kG,KAAI,SAAUqJ,GAAO,OAAOyqD,EAAKvH,MAAMtJ,OAAO55C,MACvDjhB,EAAI,EAAGA,EAAIu8G,EAAMr8G,OAAQF,IAC1B42D,EAAM52D,GAAG4G,MAAQ+hD,EAAMg9D,aACzB5/D,EAAGgD,cAAc2iB,EAAKu1C,WAAa1E,EAAMv8G,GAAI2oD,EAAM00D,KAAMzmD,EAAM52D,GAAGqqD,OACxE,GAAuB,GAAnBtE,EAAG0H,MAAMvtD,OAAe,IAAK,IAAIyrD,EAAM,EAAGA,EAAM4wD,EAAMr8G,OAAQyrD,IAC9D5F,EAAGgD,cAAc2iB,EAAKu1C,WAAa1E,EAAM5wD,GAAMhD,EAAMg9D,YAAa/uD,EAAMjL,GAAKtB,OACjFxE,EAASE,GAEX,OAAO,GA8BEg6E,CAAwBn5H,GAE5B,SAASmF,EAAO85C,GACrB,IAAKoyE,EAAUlsH,GAAU,OAAO,EAChC,GAAI85C,EAAU,CACZ,IAAI8C,EAAQozD,EAAehwG,EAAMylD,QAC7Bka,EAAOkyD,GAAa7xH,GAAQg6C,EAAKh6C,EAAMg6C,GAEvCi6E,EAAqBL,GAAsB,MAAOj0D,EAAM/iB,GACxDs3E,EAAwBN,GAAsB,SAAUj0D,EAAM/iB,GAK9Du3E,GAH2B,WAATt5H,EAAoBo5H,EACX,QAATp5H,GAAoBq5H,GAEA,EAAI,EAE1CE,EAAoB,UAARv5H,EAAmB,IAAIivH,EAAK,EAAGqK,EAAmB,EAAGx0D,EAAK9zD,IAAI80D,QACtD,OAAR9lE,EAAgB,IAAIivH,EAAKqK,EAAmB,EAAGx0D,EAAK9zD,IAAIozD,MAAO,GAAKU,EAEhF00D,EAAkB,UAARx5H,EAAmBq5H,EAAwBt3E,EAAM00D,KAAO10D,EAAMg9D,YACtD,OAAR/+G,EAAgBo5H,EAAqBr3E,EAAM00D,KAAO10D,EAAMg9D,YAAch9D,EAAM00D,KAE1F3xC,EAAK9zD,IAAI4kG,YAAY2jB,GAAWtgI,SAAQ,SAAUwgI,GAChD,IAAIjjB,EAAUijB,EAAkB30D,EAAKu1C,WACjC5D,EAAOt3D,EAAGY,IAAIkU,OAAOuiD,GAErBC,GACFt3D,EAAGgD,cAAcq0D,EAASgjB,EAAS/iB,EAAKhzD,UAI5CxE,EAASE,GAEX,OAAO,GAMX,IAAIu6E,GAAkBT,GAAa,MAAO,CAAEC,oBAAoB,IAI5DS,GAAqBV,GAAa,SAAU,CAAEC,oBAAoB,IAIlEU,GAAmBX,GAAa,OAAQ,CAAEC,oBAAoB,IAyBlE,SAASW,GAAahoF,GACpB,OAAO,SAAS1sC,EAAO85C,GACrB,IAAKoyE,EAAUlsH,GAAU,OAAO,EAChC,IAAIsxG,EA1BR,SAAsBmf,EAAOhlH,GAC3B,GAAIA,EAAM,EAAG,CACX,IAAIovC,EAAS41E,EAAMrzE,WACnB,GAAIvC,EAAU,OAAO41E,EAAMv7G,IAAM2lC,EAAO2C,SACxC,IAAK,IAAIm0D,EAAM8e,EAAMhoH,OAAO,GAAK,EAAGqiH,EAAS2F,EAAM51E,SAAU82D,GAAO,EAAGA,IAAO,CAC5E,IAAIsZ,EAAUwF,EAAMt2E,MAAM,GAAG4J,MAAM4tD,GACnC,GAAIsZ,EAAQlwE,WAAc,OAAO+vE,EAAS,EAAIG,EAAQ5wE,UAAUmD,SAChEstE,GAAUG,EAAQztE,cAEf,CACL,GAAIizE,EAAMhoH,QAAUgoH,EAAMtyH,OAAO48C,WAAa,EAAK,OAAO01E,EAAMv7G,IAAMu7G,EAAMpzE,UAAUG,SAEtF,IADA,IAAI4a,EAAQq4D,EAAMt2E,MAAM,GACfq1E,EAAQiB,EAAMz0E,YAAY,GAAI6uE,EAAW4F,EAAMz1E,QAASw0E,EAAQp3D,EAAMrd,WAAYy0E,IAAS,CAClG,IAAImF,EAAYv8D,EAAMrU,MAAMyrE,GAC5B,GAAImF,EAAU55E,WAAc,OAAO8vE,EAAW,EAC9CA,GAAY8J,EAAUn3E,WAWbo3E,CAAazI,EAAcnsH,GAAQ0sC,GAC9C,GAAY,MAAR4kE,EAAJ,CACA,GAAIx3D,EAAU,CACZ,IAAI22E,EAAQzwH,EAAM46C,IAAIviC,QAAQi5F,GAC9Bx3D,EAAS95C,EAAMg6C,GAAGqC,aAAa,gBAAcyG,QAAQ2tE,EAAOjE,EAAgBiE,KAASx2E,kBAEvF,OAAO,IAMX,SAAS46E,GAAY70H,EAAO85C,GAE1B,IADA,IAAIU,EAAOx6C,EAAM+5C,UAAU8B,QAClBrf,EAAIge,EAAKG,MAAOne,EAAI,EAAGA,IAAK,CAEnC,GAAgC,SADrBge,EAAKL,KAAK3d,GACZ3hC,KAAK4/C,KAAKy1D,UAEjB,OADIp2D,GAAYA,EAAS95C,EAAMg6C,GAAGuD,OAAO/C,EAAKK,OAAOre,GAAIge,EAAKQ,MAAMxe,IAAIyd,mBACjE,EAGX,OAAO,EAGT,IAAI66E,GAAY,SAAmB36E,EAAM46E,GACvC/4H,KAAKm+C,KAAOA,EACZn+C,KAAK+4H,aAAeA,EACpB/4H,KAAKy5D,IAAM9/D,SAASqZ,cAAc,OAClChT,KAAKy5D,IAAI4K,UAAY,eACrBrkE,KAAKo8D,MAAQp8D,KAAKy5D,IAAI7xD,YAAYjO,SAASqZ,cAAc,UACzDhT,KAAKwkG,SAAWxkG,KAAKo8D,MAAMx0D,YAAYjO,SAASqZ,cAAc,aAC9DgmH,GAAc76E,EAAMn+C,KAAKwkG,SAAUxkG,KAAKo8D,MAAO28D,GAC/C/4H,KAAK+/D,WAAa//D,KAAKo8D,MAAMx0D,YAAYjO,SAASqZ,cAAc,WAclE,SAASgmH,GAAc76E,EAAMqmD,EAAUpoC,EAAO28D,EAAcE,EAAaC,GAGvE,IAFA,IAAIC,EAAa,EAAGC,GAAa,EAC7B15B,EAAU8E,EAASjwF,WAAYohG,EAAMx3D,EAAK5pC,WACrCtc,EAAI,EAAGwsG,EAAM,EAAGxsG,EAAI09G,EAAI52D,WAAY9mD,IAI3C,IAHA,IAAIinD,EAAMy2D,EAAI5tD,MAAM9vD,GAAGqqD,MACnBm2D,EAAUv5D,EAAIu5D,QACdwV,EAAW/uE,EAAI+uE,SACVr7G,EAAI,EAAGA,EAAI6lG,EAAS7lG,IAAK6xF,IAAO,CACvC,IAAI40B,EAAWJ,GAAex0B,EAAMy0B,EAAgBjL,GAAYA,EAASr7G,GACrE0mH,EAAWD,EAAWA,EAAW,KAAO,GAC5CF,GAAcE,GAAYN,EACrBM,IAAYD,GAAa,GACzB15B,GAGCA,EAAQh4F,MAAMu7D,OAASq2D,IAAY55B,EAAQh4F,MAAMu7D,MAAQq2D,GAC7D55B,EAAUA,EAAQxgC,aAHlBslC,EAAS58F,YAAYjO,SAASqZ,cAAc,QAAQtL,MAAMu7D,MAAQq2D,EAQxE,KAAO55B,GAAS,CACd,IAAI1gD,EAAQ0gD,EAAQxgC,YACpBwgC,EAAQtsF,WAAWC,YAAYqsF,GAC/BA,EAAU1gD,EAGRo6E,GACFh9D,EAAM10D,MAAMu7D,MAAQk2D,EAAa,KACjC/8D,EAAM10D,MAAM6xH,SAAW,KAEvBn9D,EAAM10D,MAAMu7D,MAAQ,GACpB7G,EAAM10D,MAAM6xH,SAAWJ,EAAa,MA3CxCL,GAAUvhI,UAAU0b,OAAS,SAAiBkrC,GAC5C,OAAIA,EAAKt/C,MAAQmB,KAAKm+C,KAAKt/C,OAC3BmB,KAAKm+C,KAAOA,EACZ66E,GAAc76E,EAAMn+C,KAAKwkG,SAAUxkG,KAAKo8D,MAAOp8D,KAAK+4H,eAC7C,IAGTD,GAAUvhI,UAAUi/F,eAAiB,SAAyBgjC,GAC5D,MAAsB,cAAfA,EAAO36H,OAAyB26H,EAAOt9H,QAAU8D,KAAKo8D,OAASp8D,KAAKwkG,SAAS5jC,SAAS44D,EAAOt9H,UAuCtG,IAAIu9H,GAAQ,IAAI,YAAU,uBAE1B,SAASC,GAAex6E,QACT,IAARA,IAAiBA,EAAM,IAC5B,IAAIy6E,EAAcz6E,EAAIy6E,iBAAkC,IAAhBA,IAAyBA,EAAc,GAC/E,IAAIZ,EAAe75E,EAAI65E,kBAAoC,IAAjBA,IAA0BA,EAAe,IACnF,IAAIa,EAAO16E,EAAI06E,UAAoB,IAATA,IAAkBA,EAAOd,IACnD,IAAIe,EAAsB36E,EAAI26E,oBAkC9B,YAlCgF,IAAxBA,IAAiCA,GAAsB,GAElG,IAAI,SAAO,CACtBzhI,IAAKqhI,GACLz1H,MAAO,CACLiuB,KAAM,SAAc23B,EAAG5lD,GAGrB,OAFAhE,KAAKy+C,KAAKvtB,MAAM8gD,UAAUgiC,EAAehwG,EAAMylD,QAAQ2S,MAAMxlE,MAC3D,SAAUunD,EAAMiG,GAAQ,OAAO,IAAIw1E,EAAKz7E,EAAM46E,EAAc30E,IACvD,IAAI01E,IAAa,GAAG,IAE7B/zH,MAAO,SAAei4C,EAAIhqB,GACxB,OAAOA,EAAKjuB,MAAMi4C,KAGtB9sB,MAAO,CACLigD,WAAY,SAAoBntE,GAE9B,OADkBy1H,GAAMxtE,SAASjoD,GACd+1H,cAAgB,EAAI,CAAC52D,MAAO,iBAAmB,MAGpEkO,gBAAiB,CACf2oD,UAAW,SAAmB51E,EAAM9jD,IAmC5C,SAAyB8jD,EAAM9jD,EAAOq5H,EAAaZ,EAAcc,GAC/D,IAAII,EAAcR,GAAMxtE,SAAS7H,EAAKpgD,OAEtC,IAAKi2H,EAAY/0D,SAAU,CACzB,IAAIhpE,EA8ER,SAAuBA,GACrB,KAAOA,GAA6B,MAAnBA,EAAO2iE,UAAuC,MAAnB3iE,EAAO2iE,UAC/C3iE,EAASA,EAAO66F,UAAUn2B,SAAS,eAAiB,KAAO1kE,EAAOkX,WACtE,OAAOlX,EAjFQg+H,CAAc55H,EAAMpE,QAASo5G,GAAQ,EAClD,GAAIp5G,EAAQ,CACV,IAAIgjD,EAAMhjD,EAAO4nE,wBACbE,EAAO9kB,EAAI8kB,KACXC,EAAQ/kB,EAAI+kB,MACZ3jE,EAAM0kE,QAAUhB,GAAQ21D,EACxBrkB,EAAO6kB,GAAS/1E,EAAM9jD,EAAO,QACxB2jE,EAAQ3jE,EAAM0kE,SAAW20D,IAC9BrkB,EAAO6kB,GAAS/1E,EAAM9jD,EAAO,UAGnC,GAAIg1G,GAAQ2kB,EAAYF,aAAc,CACpC,IAAKF,IAAiC,IAAVvkB,EAAa,CACvC,IAAImf,EAAQrwE,EAAKpgD,MAAM46C,IAAIviC,QAAQi5F,GAC/Bl5C,EAAQq4D,EAAMt2E,MAAM,GAAItuC,EAAMykG,EAAS15G,IAAIwhE,GAAQtP,EAAQ2nE,EAAM3nE,OAAO,GAG5E,GAFUj9C,EAAIq+G,SAASuG,EAAMv7G,IAAM4zC,GAAS2nE,EAAMpzE,UAAUiB,MAAMm2D,QAAU,GAEjE5oG,EAAIozD,MAAQ,EACrB,OAIJm3D,GAAah2E,EAAMkxD,KA7D4B+kB,CAAgBj2E,EAAM9jD,EAAOq5H,EAAaZ,EAAcc,IACrGS,WAAY,SAAoBl2E,IAiExC,SAA0BA,GACxB,IAAI61E,EAAcR,GAAMxtE,SAAS7H,EAAKpgD,OAClCi2H,EAAYF,cAAgB,IAAME,EAAY/0D,UAAYk1D,GAAah2E,GAAO,GAnEpCm2E,CAAiBn2E,IACzD0kD,UAAW,SAAmB1kD,EAAM9jD,IAqE5C,SAA2B8jD,EAAM9jD,EAAOy4H,GACtC,IAAIkB,EAAcR,GAAMxtE,SAAS7H,EAAKpgD,OACtC,IAAiC,GAA7Bi2H,EAAYF,cAAsBE,EAAY/0D,SAAY,OAAO,EAErE,IAAIowC,EAAOlxD,EAAKpgD,MAAM46C,IAAIkU,OAAOmnE,EAAYF,cACzC92D,EAyBN,SAAyB7e,EAAMixD,EAASn2D,GACtC,IAAIu5D,EAAUv5D,EAAIu5D,QACdwV,EAAW/uE,EAAI+uE,SAEfhrD,EAAQgrD,GAAYA,EAASA,EAAS91H,OAAS,GACnD,GAAI8qE,EAAS,OAAOA,EACpB,IAAIxJ,EAAMrV,EAAKytD,SAASwD,GAEpBmlB,EADO/gE,EAAItb,KAAKhqC,WAAWslD,EAAI5qB,QACf4rF,YAAajpH,EAAQinG,EACzC,GAAIwV,EAAY,IAAK,IAAIh2H,EAAI,EAAGA,EAAIwgH,EAASxgH,IAAWg2H,EAASh2H,KAC/DuiI,GAAYvM,EAASh2H,GACrBuZ,KAEF,OAAOgpH,EAAWhpH,EAtCNkpH,CAAgBt2E,EAAM61E,EAAYF,aAAczkB,EAAKhzD,OAGjE,SAASsX,EAAOt5D,GACdrJ,OAAOssE,oBAAoB,UAAW3J,GACtC3iE,OAAOssE,oBAAoB,YAAa0a,GACxC,IAAIg8C,EAAcR,GAAMxtE,SAAS7H,EAAKpgD,OAClCi2H,EAAY/0D,YA4DpB,SAA2B9gB,EAAMkxD,EAAMryC,GAKrC,IAJA,IAAIwxD,EAAQrwE,EAAKpgD,MAAM46C,IAAIviC,QAAQi5F,GAC/Bl5C,EAAQq4D,EAAMt2E,MAAM,GAAItuC,EAAMykG,EAAS15G,IAAIwhE,GAAQtP,EAAQ2nE,EAAM3nE,OAAO,GACxE23C,EAAM50F,EAAIq+G,SAASuG,EAAMv7G,IAAM4zC,GAAS2nE,EAAMpzE,UAAUiB,MAAMm2D,QAAU,EACxEz6D,EAAKoG,EAAKpgD,MAAMg6C,GACX23D,EAAM,EAAGA,EAAM9lG,EAAI80D,OAAQgxC,IAAO,CACzC,IAAIglB,EAAWhlB,EAAM9lG,EAAIozD,MAAQwhC,EAEjC,IAAIkR,GAAO9lG,EAAIA,IAAI8qH,IAAa9qH,EAAIA,IAAI8qH,EAAW9qH,EAAIozD,OAAvD,CACA,IAAI/pD,EAAMrJ,EAAIA,IAAI8qH,GAEdr4E,EADM8Z,EAAMtJ,OAAO55C,GACPopC,MACZ71C,EAAyB,GAAjB61C,EAAMm2D,QAAe,EAAIhU,EAAM50F,EAAIq+G,SAASh1G,GACxD,IAAIopC,EAAM2rE,UAAY3rE,EAAM2rE,SAASxhH,IAAUw2D,EAA/C,CACA,IAAIgrD,EAAW3rE,EAAM2rE,SAAW3rE,EAAM2rE,SAAShrH,QAAU23H,GAAOt4E,EAAMm2D,SACtEwV,EAASxhH,GAASw2D,EAClBjlB,EAAGgD,cAAc8L,EAAQ5zC,EAAK,KAAM03G,EAAQtuE,EAAO,WAAY2rE,MAE7DjwE,EAAGq0B,YAAcjuB,EAAKtG,SAASE,GA7E/B68E,CAAkBz2E,EAAM61E,EAAYF,aAAce,GAAab,EAAY/0D,SAAU5kE,EAAOy4H,IAC5F30E,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAG0L,QAAQ+vE,GAAO,CAACsB,YAAa,SAG7D,SAAS98C,EAAK39E,GACZ,IAAKA,EAAM06H,MAAS,OAAOphE,EAAOt5D,GAClC,IAAI25H,EAAcR,GAAMxtE,SAAS7H,EAAKpgD,OAClCi3H,EAAUH,GAAab,EAAY/0D,SAAU5kE,EAAOy4H,IAyE5D,SAA4B30E,EAAMkxD,EAAMryC,EAAO81D,GAC7C,IAAItE,EAAQrwE,EAAKpgD,MAAM46C,IAAIviC,QAAQi5F,GAC/Bl5C,EAAQq4D,EAAMt2E,MAAM,GAAI2O,EAAQ2nE,EAAM3nE,OAAO,GAC7C23C,EAAM6P,EAAS15G,IAAIwhE,GAAO8xD,SAASuG,EAAMv7G,IAAM4zC,GAAS2nE,EAAMpzE,UAAUiB,MAAMm2D,QAAU,EACxFh/C,EAAMrV,EAAKytD,SAAS4iB,EAAM3nE,OAAO,IAAI3O,KACzC,KAAuB,SAAhBsb,EAAIoF,UAAuBpF,EAAMA,EAAIrmD,WAC5C4lH,GAAc58D,EAAO3C,EAAIllD,WAAYklD,EAAKs/D,EAAct0B,EAAKxhC,GA9E3Di4D,CAAmB92E,EAAM61E,EAAYF,aAAckB,EAASlC,GAf9D30E,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAG0L,QAAQ+vE,GAAO,CAACsB,YAAa,CAAC9qC,OAAQ3vF,EAAM0kE,QAASm2D,WAAYl4D,MAkB7FhsE,OAAOmnB,iBAAiB,UAAWw7C,GACnC3iE,OAAOmnB,iBAAiB,YAAa6/D,GACrC39E,EAAMwmF,iBA/F6Cs0C,CAAkBh3E,EAAM9jD,EAAOy4H,KAG9EvvD,YAAa,SAAqBxlE,GAChC,IAAIi2H,EAAcR,GAAMxtE,SAASjoD,GACjC,GAAIi2H,EAAYF,cAAgB,EAAK,OA4K7C,SAA2B/1H,EAAOsxG,GAKhC,IAJA,IAAI9rC,EAAc,GACdirD,EAAQzwH,EAAM46C,IAAIviC,QAAQi5F,GAC1Bl5C,EAAQq4D,EAAMt2E,MAAM,GAAItuC,EAAMykG,EAAS15G,IAAIwhE,GAAQtP,EAAQ2nE,EAAM3nE,OAAO,GACxE23C,EAAM50F,EAAIq+G,SAASuG,EAAMv7G,IAAM4zC,GAAS2nE,EAAMpzE,UAAUiB,MAAMm2D,QACzD9C,EAAM,EAAGA,EAAM9lG,EAAI80D,OAAQgxC,IAAO,CACzC,IAAIlpG,EAAQg4F,EAAMkR,EAAM9lG,EAAIozD,MAAQ,EAIpC,KAAKwhC,GAAO50F,EAAIozD,OAASpzD,EAAIA,IAAIpD,IAAUoD,EAAIA,IAAIpD,EAAQ,IAC/C,GAAPkpG,GAAY9lG,EAAIA,IAAIpD,EAAQ,IAAMoD,EAAIA,IAAIpD,EAAQ,EAAIoD,EAAIozD,QAAS,CACtE,IAAIoyC,EAAUxlG,EAAIA,IAAIpD,GAClByM,EAAM4zC,EAAQuoD,EAAUj5C,EAAMtJ,OAAOuiD,GAAS7zD,SAAW,EACzDiY,EAAM9/D,SAASqZ,cAAc,OACjCymD,EAAI4K,UAAY,uBAChBmF,EAAYj7D,KAAK,IAAWk4D,OAAOvtD,EAAKugD,KAG5C,OAAO,IAAcv0D,OAAOlB,EAAM46C,IAAK4qB,GA/LW6xD,CAAkBr3H,EAAOi2H,EAAYF,eAGnF/nD,UAAW,MAMjB,IAAI8nD,GAAc,SAAqBC,EAAc70D,GACnDllE,KAAK+5H,aAAeA,EACpB/5H,KAAKklE,SAAWA,GAyGlB,SAASi1D,GAAS/1E,EAAM9jD,EAAO89C,GAC7B,IACIllC,EADMkrC,EAAK2gB,YAAY,CAACf,KAAM1jE,EAAM0kE,QAASnH,IAAKv9D,EAAM2kE,UAC9C/rD,IACVu7G,EAAQxE,EAAW7rE,EAAKpgD,MAAM46C,IAAIviC,QAAQnD,IAC9C,IAAKu7G,EAAS,OAAQ,EACtB,GAAY,SAARr2E,EAAmB,OAAOq2E,EAAMv7G,IACpC,IAAIrJ,EAAMykG,EAAS15G,IAAI65H,EAAMt2E,MAAM,IAAK2O,EAAQ2nE,EAAM3nE,OAAO,GACzDrgD,EAAQoD,EAAIA,IAAIjR,QAAQ61H,EAAMv7G,IAAM4zC,GACxC,OAAOrgD,EAAQoD,EAAIozD,OAAS,GAAK,EAAInW,EAAQj9C,EAAIA,IAAIpD,EAAQ,GAG/D,SAASquH,GAAa51D,EAAU5kE,EAAOy4H,GACrC,IAAIlqF,EAASvuC,EAAM0kE,QAAUE,EAAS+qB,OACtC,OAAOn5F,KAAKkwB,IAAI+xG,EAAc7zD,EAASi2D,WAAatsF,GAGtD,SAASurF,GAAah2E,EAAM9oD,GAC1B8oD,EAAKtG,SAASsG,EAAKpgD,MAAMg6C,GAAG0L,QAAQ+vE,GAAO,CAAC6B,UAAWhgI,KAiCzD,SAASs/H,GAAO/jH,GAEd,IADA,IAAIhd,EAAS,GACJ5B,EAAI,EAAGA,EAAI4e,EAAG5e,IAAO4B,EAAO0U,KAAK,GAC1C,OAAO1U,EAuCT,SAAS0hI,GAAar8E,QACP,IAARA,IAAiBA,EAAM,IAC5B,IAAIs8E,EAA0Bt8E,EAAIs8E,wBAElC,YAF4F,IAA5BA,IAAqCA,GAA0B,GAExH,IAAI,SAAO,CAChBpjI,IAAKA,EAKL4L,MAAO,CACLiuB,KAAM,WAAkB,OAAO,MAC/BlsB,MAAO,SAAei4C,EAAIkX,GACxB,IAAIhyD,EAAM86C,EAAG2L,QAAQvxD,GACrB,GAAW,MAAP8K,EAAe,OAAe,GAARA,EAAY,KAAOA,EAC7C,GAAW,MAAPgyD,IAAgBlX,EAAGq0B,WAAc,OAAOnd,EAC5C,IAAIhW,EAAMlB,EAAG8C,QAAQ0G,UAAU0N,GAC3BzN,EAAUvI,EAAIuI,QACdvuC,EAAMgmC,EAAIhmC,IACd,OAAOuuC,EAAU,KAAOvuC,IAI5BgY,MAAO,CACLs4C,YAAa6oD,EAEbhhD,gBAAiB,CACfy3B,UAAW6rB,GAGb1jD,uBAAwB,SAAgC7sB,GACtD,GAAgC,MAA5BhsD,EAAI6zD,SAAS7H,EAAKpgD,OAAkB,OAAOogD,EAAKpgD,MAAM+5C,WAG5DqqD,kBAAmBA,EAEnBliC,cAAeA,EAEfwL,YAAaA,GAGftmB,kBAAmB,SAA2BxB,EAAGyB,EAAUrnD,GACzD,OAt+CN,SAA4BA,EAAOg6C,EAAIw9E,GACrC,IAA4DnmH,EAAW+6G,EAAnEvoE,GAAO7J,GAAMh6C,GAAO+5C,UAAWa,GAAOZ,GAAMh6C,GAAO46C,IACvD,GAAIiJ,aAAe,kBAAkBuoE,EAAOvoE,EAAI1J,KAAKt/C,KAAK4/C,KAAKy1D,YAC7D,GAAY,QAARkc,GAA0B,eAARA,EACpB/6G,EAAYgiG,EAAcnyG,OAAO05C,EAAKiJ,EAAI18B,WACrC,GAAY,OAARilG,EAAe,CACxB,IAAIqE,EAAQ71E,EAAIviC,QAAQwrC,EAAI18B,KAAO,GACnC9V,EAAYgiG,EAAc2Z,aAAayD,EAAOA,QACzC,IAAK+G,EAAyB,CACnC,IAAI3rH,EAAMykG,EAAS15G,IAAIitD,EAAI1J,MAAO2O,EAAQjF,EAAI18B,KAAO,EACjDusG,EAAW5qE,EAAQj9C,EAAIA,IAAIA,EAAIozD,MAAQpzD,EAAI80D,OAAS,GACxDtvD,EAAYgiG,EAAcnyG,OAAO05C,EAAKkO,EAAQ,EAAG4qE,SAE1C7vE,aAAe,iBApD5B,SAAiC3I,GAC/B,IAAIC,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IAEd,GAAID,EAAMjmC,KAAOkmC,EAAIlmC,KAAOimC,EAAMjmC,IAAMimC,EAAMjmC,IAAM,EAAK,OAAO,EAEhE,IADA,IAAIuiH,EAAYt8E,EAAMjmC,IAAKwiH,EAAWt8E,EAAIlmC,IAAKylC,EAAQQ,EAAMR,MACtDA,GAAS,KACRQ,EAAMH,MAAML,EAAQ,GAAKQ,EAAM2C,IAAInD,IADxBA,IAAS88E,KAE5B,IAAK,IAAIj7F,EAAI4e,EAAIT,MAAOne,GAAK,KACrB4e,EAAIP,OAAOre,EAAI,GAAK4e,EAAI0N,MAAMtsB,IADNA,IAAKk7F,KAErC,OAAOD,GAAaC,GAAY,YAAYvwH,KAAKg0C,EAAMhB,KAAKQ,GAAO9/C,KAAK4/C,KAAKy1D,WA0ClCynB,CAAwB9zE,GACjExyC,EAAY,gBAAcnQ,OAAO05C,EAAKiJ,EAAI18B,MACjC08B,aAAe,iBAzC5B,SAAoC3I,GAOlC,IANA,IAGI08E,EACAC,EAJA18E,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IAKLnnD,EAAIknD,EAAMR,MAAO1mD,EAAI,EAAGA,IAAK,CACpC,IAAIkmD,EAAOgB,EAAMhB,KAAKlmD,GACtB,GAAiC,SAA7BkmD,EAAKt/C,KAAK4/C,KAAKy1D,WAAqD,gBAA7B/1D,EAAKt/C,KAAK4/C,KAAKy1D,UAA6B,CACrF0nB,EAAuBz9E,EACvB,OAIJ,IAAK,IAAIyF,EAAMxE,EAAIT,MAAOiF,EAAM,EAAGA,IAAO,CACxC,IAAIk4E,EAAS18E,EAAIjB,KAAKyF,GACtB,GAAmC,SAA/Bk4E,EAAOj9H,KAAK4/C,KAAKy1D,WAAuD,gBAA/B4nB,EAAOj9H,KAAK4/C,KAAKy1D,UAA6B,CACzF2nB,EAAqBC,EACrB,OAIJ,OAAOF,IAAyBC,GAA2C,IAArBz8E,EAAIqB,aAkBfs7E,CAA2Bl0E,KACpExyC,EAAY,gBAAcnQ,OAAO05C,EAAKiJ,EAAI1I,MAAM2N,QAASjF,EAAI1I,MAAM2C,QAIrE,OAFIzsC,IACC2oC,IAAOA,EAAKh6C,EAAMg6C,KAAKqC,aAAahrC,GAClC2oC,EAk9CIg+E,CAAmBh4H,EAAOoxH,GAAUpxH,EAAOqnD,GAAWmwE,MA5OnE1B,GAAYviI,UAAUwO,MAAQ,SAAgBi4C,GAC5C,IAAIh6C,EAAQhE,KAAMi8H,EAASj+E,EAAG2L,QAAQ8vE,IACtC,GAAIwC,GAA8B,MAApBA,EAAOX,UACjB,OAAO,IAAIxB,GAAYmC,EAAOX,UAAW,MAC7C,GAAIW,QAAiCr/H,IAAvBq/H,EAAOlB,YACjB,OAAO,IAAIjB,GAAY91H,EAAM+1H,aAAckC,EAAOlB,aACtD,GAAI/2H,EAAM+1H,cAAgB,GAAK/7E,EAAGq0B,WAAY,CAC5C,IAAI5E,EAASzvB,EAAG8C,QAAQjxC,IAAI7L,EAAM+1H,cAAe,GAC5CxJ,EAAavyE,EAAGY,IAAIviC,QAAQoxD,MAAYA,EAAS,MACtDzpE,EAAQ,IAAI81H,GAAYrsD,EAAQzpE,EAAMkhE,UAExC,OAAOlhE,I,6BC54DTlO,EAAOC,QAAU,EAAQ,M,6BCEzBD,EAAOC,QAAU,EAAQ,M,6BCFzBD,EAAOC,QAAQ0kB,OAAS,EAAQ,KAChC3kB,EAAOC,QAAQmmI,OAAS,EAAQ,KAChCpmI,EAAOC,QAAQ2sB,OAAS,EAAQ,KAChC5sB,EAAOC,QAAQwlB,MAAS,EAAQ,M,cCNhCzlB,EAAOC,QAAQ,oI,cCAfD,EAAOC,QAAQ,sB,cCAfD,EAAOC,QAAQ,4D,6BCIf,IAUIomI,EAAc,kIAEdC,EAAc,mCAMdC,EAAc,IAAI5wH,OAAO,OAAS0wH,EAAW,IAAMC,EAA1B,qHAEzBE,EAAyB,IAAI7wH,OAAO,OAAS0wH,EAAW,IAAMC,EAAY,KAE9EtmI,EAAOC,QAAQsmI,YAAcA,EAC7BvmI,EAAOC,QAAQumI,uBAAyBA,G,6BCpBxCxmI,EAAOC,QAAQwmI,SAAW,SAAuBv4H,EAAO4mE,GACtD,IAAI3yE,EAAGukI,EAAgB3uH,EAAK+a,EACxBkkC,EAAQ9oD,EAAMkV,IACdnL,EAAS/J,EAAM6D,IAAI2R,WAAWszC,GAElC,GAAI8d,EAAU,OAAO,EAErB,GAAe,MAAX78D,EAA0B,OAAO,EAMrC,GAHAF,GADA2uH,EAAUx4H,EAAMy4H,WAAWz4H,EAAMkV,KAAK,IACxB/gB,OACdywB,EAAKnuB,OAAOuhD,aAAajuC,GAErBF,EAAM,EAAK,OAAO,EAQtB,IANIA,EAAM,IACQ7J,EAAMuK,KAAK,OAAQ,GAAI,GACjCzH,QAAU8hB,EAChB/a,KAGG5V,EAAI,EAAGA,EAAI4V,EAAK5V,GAAK,EACR+L,EAAMuK,KAAK,OAAQ,GAAI,GACjCzH,QAAU8hB,EAAKA,EAErB5kB,EAAM04H,WAAWnuH,KAAK,CACpBR,OAAQA,EACR4uH,KAAQ1kI,EACRyN,MAAQ1B,EAAMk5B,OAAO/kC,OAAS,EAC9BipE,MAAQp9D,EAAMo9D,MACdtf,KAAS,EACT/5C,KAAQy0H,EAAQI,SAChBt1H,MAAQk1H,EAAQK,YAMpB,OAFA74H,EAAMkV,KAAOsjH,EAAQrkI,QAEd,GAMTrC,EAAOC,QAAQ+mI,YAAc,SAAuB94H,GAClD,IAAI/L,EAAG2a,EACHmqH,EACAC,EACAt3H,EACAu3H,EAAc,GACdP,EAAa14H,EAAM04H,WACnB11G,EAAMhjB,EAAM04H,WAAWvkI,OAE3B,IAAKF,EAAI,EAAGA,EAAI+uB,EAAK/uB,IAGO,OAF1B8kI,EAAaL,EAAWzkI,IAET8V,SAIS,IAApBgvH,EAAWj7E,MAIfk7E,EAAWN,EAAWK,EAAWj7E,MAEjCp8C,EAAgB1B,EAAMk5B,OAAO6/F,EAAWr3H,QAClC7G,KAAU,SAChB6G,EAAMoT,IAAU,IAChBpT,EAAMg+G,QAAU,EAChBh+G,EAAMi+G,OAAU,KAChBj+G,EAAMoB,QAAU,IAEhBpB,EAAgB1B,EAAMk5B,OAAO8/F,EAASt3H,QAChC7G,KAAU,UAChB6G,EAAMoT,IAAU,IAChBpT,EAAMg+G,SAAW,EACjBh+G,EAAMi+G,OAAU,KAChBj+G,EAAMoB,QAAU,GAE8B,SAA1C9C,EAAMk5B,OAAO8/F,EAASt3H,MAAQ,GAAG7G,MACY,MAA7CmF,EAAMk5B,OAAO8/F,EAASt3H,MAAQ,GAAGoB,SAEnCm2H,EAAY1uH,KAAKyuH,EAASt3H,MAAQ,IAUtC,KAAOu3H,EAAY9kI,QAAQ,CAIzB,IAFAya,GADA3a,EAAIglI,EAAY1mE,OACR,EAED3jD,EAAI5O,EAAMk5B,OAAO/kC,QAAmC,YAAzB6L,EAAMk5B,OAAOtqB,GAAG/T,MAChD+T,IAKE3a,MAFJ2a,IAGElN,EAAQ1B,EAAMk5B,OAAOtqB,GACrB5O,EAAMk5B,OAAOtqB,GAAK5O,EAAMk5B,OAAOjlC,GAC/B+L,EAAMk5B,OAAOjlC,GAAKyN,M,6BC1GxB5P,EAAOC,QAAQwmI,SAAW,SAAkBv4H,EAAO4mE,GACjD,IAAI3yE,EAAGukI,EACH1vE,EAAQ9oD,EAAMkV,IACdnL,EAAS/J,EAAM6D,IAAI2R,WAAWszC,GAElC,GAAI8d,EAAU,OAAO,EAErB,GAAe,KAAX78D,GAAsC,KAAXA,EAA2B,OAAO,EAIjE,IAFAyuH,EAAUx4H,EAAMy4H,WAAWz4H,EAAMkV,IAAgB,KAAXnL,GAEjC9V,EAAI,EAAGA,EAAIukI,EAAQrkI,OAAQF,IACd+L,EAAMuK,KAAK,OAAQ,GAAI,GACjCzH,QAAUrM,OAAOuhD,aAAajuC,GAEpC/J,EAAM04H,WAAWnuH,KAAK,CAGpBR,OAAQA,EAIR5V,OAAQqkI,EAAQrkI,OAShBwkI,KAAQ1kI,EAIRyN,MAAQ1B,EAAMk5B,OAAO/kC,OAAS,EAI9BipE,MAAQp9D,EAAMo9D,MAKdtf,KAAS,EAKT/5C,KAAQy0H,EAAQI,SAChBt1H,MAAQk1H,EAAQK,YAMpB,OAFA74H,EAAMkV,KAAOsjH,EAAQrkI,QAEd,GAMTrC,EAAOC,QAAQ+mI,YAAc,SAAkB94H,GAC7C,IAAI/L,EACA8kI,EACAC,EACAt3H,EACAkjB,EACAs0G,EACAR,EAAa14H,EAAM04H,WAGvB,IAAKzkI,EAFK+L,EAAM04H,WAAWvkI,OAEZ,EAAGF,GAAK,EAAGA,IAGE,MAF1B8kI,EAAaL,EAAWzkI,IAET8V,QAAgD,KAAtBgvH,EAAWhvH,SAK5B,IAApBgvH,EAAWj7E,MAIfk7E,EAAWN,EAAWK,EAAWj7E,KAOjCo7E,EAAWjlI,EAAI,GACJykI,EAAWzkI,EAAI,GAAG6pD,MAAQi7E,EAAWj7E,IAAM,GAC3C46E,EAAWzkI,EAAI,GAAGyN,QAAUq3H,EAAWr3H,MAAQ,GAC/Cg3H,EAAWK,EAAWj7E,IAAM,GAAGp8C,QAAUs3H,EAASt3H,MAAQ,GAC1Dg3H,EAAWzkI,EAAI,GAAG8V,SAAWgvH,EAAWhvH,OAEnD6a,EAAKnuB,OAAOuhD,aAAa+gF,EAAWhvH,SAEpCrI,EAAgB1B,EAAMk5B,OAAO6/F,EAAWr3H,QAClC7G,KAAUq+H,EAAW,cAAgB,UAC3Cx3H,EAAMoT,IAAUokH,EAAW,SAAW,KACtCx3H,EAAMg+G,QAAU,EAChBh+G,EAAMi+G,OAAUuZ,EAAWt0G,EAAKA,EAAKA,EACrCljB,EAAMoB,QAAU,IAEhBpB,EAAgB1B,EAAMk5B,OAAO8/F,EAASt3H,QAChC7G,KAAUq+H,EAAW,eAAiB,WAC5Cx3H,EAAMoT,IAAUokH,EAAW,SAAW,KACtCx3H,EAAMg+G,SAAW,EACjBh+G,EAAMi+G,OAAUuZ,EAAWt0G,EAAKA,EAAKA,EACrCljB,EAAMoB,QAAU,GAEZo2H,IACFl5H,EAAMk5B,OAAOw/F,EAAWzkI,EAAI,GAAGyN,OAAOoB,QAAU,GAChD9C,EAAMk5B,OAAOw/F,EAAWK,EAAWj7E,IAAM,GAAGp8C,OAAOoB,QAAU,GAC7D7O,Q,gNC3H6MhB,OAAlJnB,EAAOC,QAAqK,SAASmE,GAAG,IAAI2c,EAAE,GAAG,SAASlK,EAAE6nB,GAAG,GAAG3d,EAAE2d,GAAG,OAAO3d,EAAE2d,GAAGz+B,QAAQ,IAAIgqB,EAAElJ,EAAE2d,GAAG,CAACv8B,EAAEu8B,EAAEt8B,GAAE,EAAGnC,QAAQ,IAAI,OAAOmE,EAAEs6B,GAAG98B,KAAKqoB,EAAEhqB,QAAQgqB,EAAEA,EAAEhqB,QAAQ4W,GAAGoT,EAAE7nB,GAAE,EAAG6nB,EAAEhqB,QAAQ,OAAO4W,EAAEqV,EAAE9nB,EAAEyS,EAAE7G,EAAE+Q,EAAElK,EAAE6zB,EAAE,SAAStmC,EAAE2c,EAAE2d,GAAG7nB,EAAE4xB,EAAErkC,EAAE2c,IAAIvf,OAAOqD,eAAeT,EAAE2c,EAAE,CAAC/W,YAAW,EAAGlF,IAAI45B,KAAK7nB,EAAErO,EAAE,SAASpE,GAAG,oBAAoBzD,QAAQA,OAAOmpF,aAAatoF,OAAOqD,eAAeT,EAAEzD,OAAOmpF,YAAY,CAACtkF,MAAM,WAAWhE,OAAOqD,eAAeT,EAAE,aAAa,CAACoB,OAAM,KAAMqR,EAAEoT,EAAE,SAAS7lB,EAAE2c,GAAG,GAAG,EAAEA,IAAI3c,EAAEyS,EAAEzS,IAAI,EAAE2c,EAAE,OAAO3c,EAAE,GAAG,EAAE2c,GAAG,iBAAiB3c,GAAGA,GAAGA,EAAE6K,WAAW,OAAO7K,EAAE,IAAIs6B,EAAEl9B,OAAO4N,OAAO,MAAM,GAAGyH,EAAErO,EAAEk2B,GAAGl9B,OAAOqD,eAAe65B,EAAE,UAAU,CAAC10B,YAAW,EAAGxE,MAAMpB,IAAI,EAAE2c,GAAG,iBAAiB3c,EAAE,IAAI,IAAI6lB,KAAK7lB,EAAEyS,EAAE6zB,EAAEhM,EAAEzU,EAAE,SAASlJ,GAAG,OAAO3c,EAAE2c,IAAIzf,KAAK,KAAK2oB,IAAI,OAAOyU,GAAG7nB,EAAEkK,EAAE,SAAS3c,GAAG,IAAI2c,EAAE3c,GAAGA,EAAE6K,WAAW,WAAW,OAAO7K,EAAE2K,SAAS,WAAW,OAAO3K,GAAG,OAAOyS,EAAE6zB,EAAE3pB,EAAE,IAAIA,GAAGA,GAAGlK,EAAE4xB,EAAE,SAASrkC,EAAE2c,GAAG,OAAOvf,OAAOC,UAAUc,eAAeX,KAAKwC,EAAE2c,IAAIlK,EAAE+Z,EAAE,GAAG/Z,EAAEA,EAAE6nB,EAAE,GAAj5B,CAAq5B,CAAC,SAASt6B,EAAE2c,GAAG3c,EAAEnE,QAAQ,EAAQ,MAAW,SAASmE,EAAE2c,GAAG3c,EAAEnE,QAAQ,EAAQ,MAAiB,SAASmE,EAAE2c,GAAG3c,EAAEnE,QAAQ,EAAQ,MAAoB,SAASmE,EAAE2c,EAAElK,GAAG,aAAaA,EAAErO,EAAEuY,GAAG,IAAI2d,EAAE7nB,EAAE,GAAGoT,EAAEpT,EAAEkK,EAAE2d,GAAGl2B,EAAEqO,EAAE,GAAGzU,EAAEyU,EAAEkK,EAAEvY,GAAGigC,EAAE5xB,EAAE,GAAGqV,EAAE,IAAI9pB,EAAEgC,EAAEw6C,EAAEp9C,OAAOinC,EAAEwI,UAATzvC,GAAsB,CAAC,CAACu9B,OAAO,MAAM2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,oCAAoC,gBAAgB,kEAAkE,eAAe,4BAA4Bi4H,SAAS,MAAM,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,qDAAqD2Q,OAAO,CAAC,6OAA6Oj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,QAAQ2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,gDAAgD,gBAAgB,kFAAkF,eAAe,4BAA4Bi4H,SAAS,QAAQ,eAAe,gHAAgHC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,iEAAiE2Q,OAAO,CAAC,2VAA2Vj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,eAAe,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,+DAA+D,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,wEAAwE2Q,OAAO,CAAC,4PAA4Pj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,QAAQ2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,4EAA4E,eAAe,4BAA4Bi4H,SAAS,QAAQ,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,wEAAwE2Q,OAAO,CAAC,4QAA4Qj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,0CAA0C,gBAAgB,8DAA8D,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,2DAA2D2Q,OAAO,CAAC,8OAA8Oj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,qBAAqB,CAAC3oG,OAAO,QAAQ2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,oFAAoF,eAAe,4BAA4Bi4H,SAAS,QAAQ,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,wEAAwE2Q,OAAO,CAAC,oRAAoRj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,gEAAgE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,gEAAgE2Q,OAAO,CAAC,qPAAqPj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,6DAA6D,gBAAgB,+DAA+D,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,8EAA8E2Q,OAAO,CAAC,kQAAkQj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,wCAAwC,gBAAgB,+DAA+D,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,+BAA+BC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,yDAAyD2Q,OAAO,CAAC,4OAA4Oj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,iDAAiD,gBAAgB,iEAAiE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,kEAAkE2Q,OAAO,CAAC,wPAAwPj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,8CAA8C,gBAAgB,+DAA+D,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,8HAA8HC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,+DAA+D2Q,OAAO,CAAC,iVAAiVj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,cAAc,CAAC3oG,OAAO,QAAQ2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,6CAA6C,gBAAgB,+EAA+E,eAAe,4BAA4Bi4H,SAAS,QAAQ,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,8DAA8D2Q,OAAO,CAAC,qQAAqQj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,kBAAkB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,yCAAyC,gBAAgB,kEAAkE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,sDAAsDC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,0DAA0D2Q,OAAO,CAAC,uQAAuQj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,iBAAiB,gBAAgB,gEAAgE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,kCAAkC2Q,OAAO,CAAC,uNAAuNj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC3oG,OAAO,QAAQ2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,4EAA4E,eAAe,4BAA4Bi4H,SAAS,QAAQ,eAAe,yBAAyBC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,gEAAgE2Q,OAAO,CAAC,6PAA6Pj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,UAAU,CAAC3oG,OAAO,QAAQ2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,YAAY,gBAAgB,kFAAkF,eAAe,4BAA4Bi4H,SAAS,QAAQ,eAAe,mKAAmKC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,6BAA6B2Q,OAAO,CAAC,0WAA0Wj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,aAAa,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,mCAAmC,gBAAgB,gEAAgE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,mEAAmEC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,oDAAoD2Q,OAAO,CAAC,4QAA4Qj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,mEAAmE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,8DAA8DC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,uCAAuC2Q,OAAO,CAAC,6PAA6Pj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,iDAAiD,gBAAgB,8DAA8D,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,kEAAkE2Q,OAAO,CAAC,qPAAqPj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,4EAA4E,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,+BAA+BC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,uCAAuC2Q,OAAO,CAAC,uOAAuOj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,yDAAyD,gBAAgB,+DAA+D,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,kLAAkLC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,0EAA0E2Q,OAAO,CAAC,gZAAgZj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC3oG,OAAO,QAAQ2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,oDAAoD,gBAAgB,+EAA+E,eAAe,4BAA4Bi4H,SAAS,QAAQ,eAAe,+BAA+BC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,qEAAqE2Q,OAAO,CAAC,2QAA2Qj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,QAAQ2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,sCAAsC,gBAAgB,iFAAiF,eAAe,4BAA4Bi4H,SAAS,QAAQ,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,uDAAuD2Q,OAAO,CAAC,gQAAgQj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,6CAA6C,gBAAgB,gEAAgE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,0KAA0KC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,8DAA8D2Q,OAAO,CAAC,6XAA6Xj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,cAAc,gBAAgB,iEAAiE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,+BAA+B2Q,OAAO,CAAC,qNAAqNj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,2CAA2C,gBAAgB,gEAAgE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,0GAA0GC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,4DAA4D2Q,OAAO,CAAC,2TAA2Tj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,uBAAuB,gBAAgB,gEAAgE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,wCAAwC2Q,OAAO,CAAC,6NAA6Nj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,gDAAgD,gBAAgB,gEAAgE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,+BAA+BC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,iEAAiE2Q,OAAO,CAAC,qPAAqPj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,eAAe,CAAC3oG,OAAO,KAAK2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,kEAAkE,eAAe,4BAA4Bi4H,SAAS,KAAK,eAAe,8PAA8PC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,wEAAwE2Q,OAAO,CAAC,6dAA6dj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC3oG,OAAO,QAAQ2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,sCAAsC,gBAAgB,2EAA2E,eAAe,4BAA4Bi4H,SAAS,QAAQ,eAAe,yBAAyBC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,uDAAuD2Q,OAAO,CAAC,mPAAmPj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,UAAU,CAAC3oG,OAAO,QAAQ2xB,KAAK,CAAC22E,QAAQ,QAAQh4H,QAAQ,CAAC,kBAAkB,2CAA2C,gBAAgB,4EAA4E,eAAe,4BAA4Bi4H,SAAS,QAAQ,eAAe,yBAAyBC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAAC1Q,WAAW,4DAA4D2Q,OAAO,CAAC,yPAAyPj5F,QAAQ,CAAC+4F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,WAAW3tH,KAAI,SAAU3V,GAAG8nB,EAAE07G,gBAAgBxjI,EAAE26B,OAAO,WAAW36B,EAAEssD,SAASxkC,EAAE27G,UAAUjpF,GAAG30B,EAAE7lB,EAAE26B,OAAO6f,GAAG30B,EAAE7lB,EAAEsgD,aAAaz6B,EAAE7lB,EAAE26B,SAAS,CAACsS,aAAapnB,EAAE7lB,EAAE26B,SAASiR,aAAaxuC,OAAO0G,OAAO+hB,EAAE7lB,EAAE4gC,WAAW/a,EAAE7lB,EAAE26B,UAAUyiB,cAAc,CAAC9iB,EAAExS,EAAE47G,QAAQ,eAAe/mH,EAAEhS,QAAQkb,EAAE7lB,M,gCCEjl0B,IAAI2jI,EAAO,EAAQ,KACfC,EAAQ,EAAQ,KAKpB,SAASC,KAETA,EAAKxmI,UAAYsmI,EAGjB,IAAIG,EAAM,IAAID,EAEdjoI,EAAOC,QAAUioI,EAEjBA,EAAIC,UAsFJ,SAAmBC,EAAU5iI,EAAOU,GAClC,IACIk5C,GADWl5C,GAAW,IACJk5C,OAElBA,UACFA,EAjFgB,SAoFlB,OAAO7/B,EAAU8oH,EAAcD,EAAU5iI,GAAO,EAAM45C,KA7FxD8oF,EAAIx4C,cAAgB44C,EACpBJ,EAAIp4C,iBAgGJ,SAA0BhvF,EAAMynI,GAC9B,IAAI9sF,EAAO8sF,EAAOL,GAElBzsF,EAAK+sF,cAAgBD,EAAOjnI,KAAK,KAAM4mI,GAEvCr4C,EAAU/uF,GAAQ26C,EAElBgtF,EAAchwH,KAAK3X,GAEf26C,EAAKrY,SACPslG,EAAc5nI,EAAM26C,EAAKrY,UAzG7B8kG,EAAIS,cA8GJ,WACE,OAAOF,EAAcv7H,UA9GvBg7H,EAAIQ,cAAgBA,EACpBR,EAAIU,YAAcA,EAElB,IAAIC,EAAUd,EAAKc,QACfC,EAAM,GAAGvmI,eAWTwmI,EAAiB,CAAC,KAAM,MAAO,MAAO,KAAM,MAAO,KAAM,KAAM,QAG/DN,EAAgB,GAChB54C,EAAY,GACZzsD,EAAU,GAWd,SAASklG,EAAc9iI,EAAOU,GAC5B,IAKInC,EACAilI,EACApxE,EACA92D,EARAmoI,EAAW/iI,GAAW,GACtBgjI,EAASD,EAASC,QAAUT,EAC5BrpF,EAAS6pF,EAAS7pF,OAClB/8C,EAAS6mI,EAAO7mI,OAChBsU,GAAS,EAUb,GAJIyoC,UACFA,EArCgB,SAwCG,iBAAV55C,EACT,MAAMwiI,EAAM,wCAAyCxiI,GAMvD,IAHAwjI,EAAazpH,EAAU,IACvBxb,EAASwb,EAAU,MAEV5I,EAAQtU,GAGVumI,EAFL9nI,EAAOooI,EAAOvyH,OAMdihD,EAAUr4C,EAAU8oH,EAAcvnI,EAAM0E,GAAO,EAAO45C,KAE9CgpF,SAAWtnI,EAEf82D,EAAQuxE,UAAYH,EAAWG,YACjCH,EAAapxE,GAGXA,EAAQuxE,UAAYplI,EAAOolI,YAC7BH,EAAajlI,EACbA,EAAS6zD,IAQb,OAJIoxE,EAAWZ,WACbrkI,EAAOilI,WAAaA,GAGfjlI,EAoCT,SAAS2kI,EAAc5nI,EAAM8hD,GAC3B,IACItgD,EACAwX,EACAzX,EACAsU,EAJAoD,EAAMjZ,EAWV,IAAKwB,KALDsgD,KACF7oC,EAAM,IACFjZ,GAAQ8hD,GAGF7oC,EAMV,IAHA1X,GADAyX,EAAuB,iBADvBA,EAAOC,EAAIzX,IACuB,CAACwX,GAAQA,GAC7BzX,OACdsU,GAAS,IAEAA,EAAQtU,GACf+gC,EAAQtpB,EAAKnD,IAAUrU,EAS7B,SAAS+lI,EAAcvnI,EAAM0E,EAAOsvD,EAAQ1V,EAAQgqF,GAClD,IAKIhB,EACArgE,EACAnQ,EACAyxE,EACAtwF,EACAwtC,EACAnwE,EACAq5E,EAZA65C,EAAY,GACZC,EAAgB,GAChB1wG,EAAQ,GACR2wG,EAAa,GACbL,EAAY,EAUhB,GAAoB,iBAATroI,EACT,MAAMknI,EAAM,uCAAwClnI,GAGtD,GAAqB,iBAAV0E,EACT,MAAMwiI,EAAM,wCAAyCxiI,GAUvD,GAPA4iI,EAAWQ,EAAY9nI,GAIvB82D,EAHAmQ,EAAMqhE,GAAgBhB,EAItBiB,EAHA55C,EAAW,IAKN24C,EACH,MAAMJ,EAAM,2CAA4ClnI,IAyY5D,SAAyBsnI,GAIvB,SAASqB,EAAYzlH,EAAM3X,GACrB2X,EAAK0lH,WAIT1lH,EAAK0lH,UAAW,EAEhB1lH,EAAK2lH,SAAW3lH,EAAK2lH,UAAY3lH,EAAK4lH,cAElC5lH,EAAK2lH,WACP3lH,EAAK2lH,SAwOX,SAAyBx0H,EAAQ00H,GAC/B,IACIvnI,EADAonI,EAAW,GAGf,GAAsB,iBAAXv0H,EACTg2E,EAAQ,UAAWh2E,QAEnB,IAAK7S,KAAO6S,EACVg2E,EAAQ7oF,EAAK6S,EAAO7S,IAIxB,OAAOonI,EAEP,SAASv+C,EAAQ7oF,EAAKkD,IAKtB,SAAalD,EAAK6S,GAChB,IAEI20H,EAFAznI,EAAS8S,EAAO9S,OAChBsU,GAAS,EAGb,OAASA,EAAQtU,GACfynI,EAAO30H,EAAOwB,GAAO9M,MA1zBT,KA2zBZ6/H,EAASI,EAAK,IAAM,CAACxnI,EAAK2Q,OAAO62H,EAAK,KAAO/jH,EAAO+jH,EAAK,IAAM,EAAI,GAVrE7yG,CAAI30B,GADMunI,EAAkBrkI,EAAM6W,cAAgB7W,GACrCqE,MAlzBL,OA0jBUkgI,CAAgB/lH,EAAK2lH,SAAUvB,EAAuB,mBAGxEpkH,EAAKgmH,UAAYC,EAAOjmH,EAAKkmH,SAAW,OAAO,GAE3C79H,IACE2X,EAAK4lH,gBACP5lH,EAAKmmH,MACH,OAASnmH,EAAK4lH,cAAc//H,MAlkB1B,KAkkBuCI,KAjkBjC,KAikBqD,QAG5D+Z,EAAKmmH,QACRnmH,EAAKmmH,MAAQ,SAGfnmH,EAAKomH,QAAUH,EAAOjmH,EAAKmmH,OAEvBnmH,EAAKqmH,iBACPrmH,EAAKgoC,IAAMhoC,EAAKmmH,OAGbnmH,EAAKgoC,KAAQhoC,EAAKsmH,iBACrBtmH,EAAKgoC,IAAM,SAGThoC,EAAKgoC,MACPhoC,EAAKumH,MAAQN,EAAOjmH,EAAKgoC,MAG3BhoC,EAAqB,eAAI7d,EAAO6d,EAAKgoC,MAAQ,GAEzChoC,EAAKsmH,gBAAkBj+H,EAAuB,iBAChD2X,EAAqB,iBAClBA,EAAKgoC,IA1lBE,IA0lBkB,IAAM3/C,EAAuB,iBAIzD2X,EAAKwmH,UACPxmH,EAAKymH,UAAYR,EAAOjmH,EAAKwmH,eAGR1jI,IAAnBkd,EAAKmlH,YACPnlH,EAAKmlH,UAAY,GAGnBnlH,EAAK8mD,SASP,SAAyBA,EAAU9mD,GACjC,IAGI0mH,EAHA3mI,EAAS,GACT1B,EAASyoE,EAASzoE,OAClBsU,GAAS,EAGb,OAASA,EAAQtU,GACfqoI,EAAY5/D,EAASn0D,GACrB5S,EAASA,EAAOmJ,OACdy9H,EAAgC,SAAdD,EAAuB1mH,EAAO0mH,IAIpDroI,EAAS0B,EAAO1B,OAChBsU,GAAS,EAET,OAASA,EAAQtU,GACfonI,EAAY1lI,EAAO4S,GAAQqN,GAG7B,OAAOjgB,EA7BS6mI,CAAgB5mH,EAAK8mD,UAAY,GAAI9mD,GAEjDA,EAAK6mH,QACPpB,EAAYzlH,EAAK6mH,OAAQx+H,GAG3B2X,EAAK8mH,YA0BP,SAAwB9mH,GACtB,IACI+mH,EAEA1xF,EAEAolB,EALAusE,EAAU,GAEVvkG,EAAU,GAEVwkG,EAAU,EAEV91H,EAAS6O,EAAK8mD,SACdzoE,EAAS8S,EAAO9S,OAChBsU,GAAS,EACTm0H,EAAc,GAElB,OAASn0H,EAAQtU,GAGf6oI,EAFAzsE,EAAOtpD,EAAOwB,GAIZ8nD,EAAKmrE,cAAgB,UAAYnrE,EAAK0rE,MAAQ,QAAU1rE,EAAK0rE,OAI7DnmH,EAAqB,gBACvBknH,EAAQ,MAAOlnH,EAAqB,gBAGlCA,EAAKwmH,SACPU,EAAQ,UAAWlnH,EAAKwmH,SAG1BnoI,EAASokC,EAAQpkC,OACjBsU,GAAS,EAET,OAASA,EAAQtU,GACfyoI,EAAYn0H,GAAS8vB,EAAQ9vB,GAAO,GAOtC,OAJAo0H,EAAad,EAqDf,SAAgBxjG,EAASuS,GACvB,IAKIrS,EACAoS,EACAgyF,EACA30H,EARA+0H,EAAkB,8CAClB54G,EAAW,EACXxuB,EAAS,GACT1B,EAASokC,EAAQpkC,OACjBsU,GAAS,EAMb,OAASA,EAAQtU,GAAQ,CAYvB,IAXAskC,EAAQF,EAAQ9vB,GAChBo0H,EAAa5kI,EAAOwgC,GAEpBoS,EADAxmB,GAAY,EAGE,IAAV5b,IACF5S,GAAUi1C,GAGZj1C,GAnvBU,IAqvBHgnI,EAAW1oI,OAAS,GAAG,CAG5B,GAAc,QAFd+T,EAAQ+0H,EAAgBjrI,KAAK6qI,IAET,CAClBhnI,GAAUgnI,EACV,MAGFhnI,GAAUgnI,EAAW59H,MAAM,EAAGiJ,EAAMO,OACpCo0H,EAAaA,EAAW59H,MAAMiJ,EAAMO,MAAQP,EAAM,GAAG/T,QA5vB7C,OA8vBJ+T,EAAM,GAAG,IAAoBA,EAAM,GAErCrS,GAhwBM,KAgwBgBY,OAAOsO,OAAOmD,EAAM,IAAM2iC,IAEhDh1C,GAAUqS,EAAM,GApwBV,MAswBFA,EAAM,IACRmc,KAKNxuB,GA3wBW,IA8wBb,OAAOA,EAtGaqnI,CAAON,EA1qBb,MA0qBwC,GAEtDzxF,EAAU,CAACtjC,UAAW,EAAG7V,KAAMA,GAI/B,SAASA,EAAKsF,GACZ,IAAInD,EACAsU,EACA8sD,EACArtD,EAGJ,GAAuB,IAAnBqwB,EAAQpkC,OAAc,OAAO,KAKjC,GAHA0oI,EAAWh1H,UAAYsjC,EAAQtjC,YAC/BK,EAAQ20H,EAAW7qI,KAAKsF,IAGtB,OAAO,KAMT,IAHAnD,EAAS+T,EAAM/T,OACfsU,GAAS,IAEAA,EAAQtU,GAGf,QAAiByE,IAFNsP,EAAMO,SAEgC7P,IAAnBkkI,EAAQr0H,GAAsB,CAC1D8sD,EAAOunE,EAAQr0H,GACf,MAaJ,MARoB,iBAAT8sD,GACTrtD,EAAMrN,KAAO06D,EACbrtD,EAAMyjD,MAAQ,CAAC71C,EAAKwmH,QAASxmH,EAAKqnH,kBAElCj1H,EAAMrN,KAAO,QACbqN,EAAMqtD,KAAOA,GAGRrtD,EAGT,SAAS80H,EAAQznE,EAAM98B,GACrBqkG,EAAQC,GAAWxnE,EACnBh9B,EAAQhuB,KAAK,CAACgrD,EAAM98B,IACpBskG,GAAW,IAAIt1H,OAAOgxB,EAAMplC,WA3tBhB,KA2tB0CrB,KAAK,IAAImC,QA/G9CipI,CAAetnH,IAwKpC,SAASimH,EAAOzkI,EAAOpF,GACrB,OAAO,IAAIuV,OACTxP,EAAOX,GACP,KAAO4iI,EAAuB,iBAAI,IAAM,KAAOhoI,EAAS,IAAM,KAKlE,SAAS+F,EAAOkQ,GACd,OAAQA,GAAMA,EAAGlQ,QAAWkQ,EAjP9BozH,EAAYrB,GAvYZmD,CAAgBnD,GAEhB,IAKE,IAJArgE,EAAI+iE,YAAY/0H,UAAY,EAC5BgjC,EAAS,EACT3iC,EAAQ2xD,EAAI+iE,YAAY5qI,KAAKsF,GAEtB4Q,GACLmwE,EAAQilD,EAAchmI,EAAM2H,MAAM4rC,EAAQ3iC,EAAMO,OAAQP,GACxD2iC,EAAS3iC,EAAMO,MAAQ4vE,EACvBxe,EAAI+iE,YAAY/0H,UAAYgjC,EAC5B3iC,EAAQ2xD,EAAI+iE,YAAY5qI,KAAKsF,GAM/B,IAHAgmI,EAAchmI,EAAM2H,MAAM4rC,IAC1B6e,EAAUmQ,EAEHnQ,EAAQvrD,QACTurD,EAAQ2W,WACV9N,IAGF7I,EAAUA,EAAQvrD,OAGpB,MAAO,CACL88H,UAAWA,EACX3jI,MAAO6jI,EACPmB,SAAS,EACTpC,SAAUtnI,EACVinE,IAAKA,GAEP,MAAO5nE,GAEP,IAA0C,IAAtCA,EAAM6oB,QAAQlgB,QAAQ,WACxB,MAAM3I,EAGR,MAAO,CAACgpI,UAAW,EAAGqB,SAAS,EAAMhlI,MAAOimI,EAAQjmI,EAAO,KAO7D,SAASkmI,EAAat1H,GACpB,IAAIu1H,EAASv1H,EAAM,GACfw1H,EAAUx1H,EAAMqtD,KAsBpB,OApBImoE,GAAWA,EAAQvB,iBACrBuB,EAAQrB,MATZ,SAAkB/kI,GAChB,OAAO,IAAImQ,OAAOnQ,EAAMf,QAAQ,wBAAyB,QAAS,KAQhDonI,CAASF,IAGvBC,EAAQriE,KACVigE,GAAcmC,GAEVC,EAAQE,eACVtC,GAAcmC,GAGhBI,EAAYC,IAAiB3C,GAExBuC,EAAQK,aAAgBL,EAAQE,eACnCtC,EAAamC,IAIjBO,EAAaN,GAENA,EAAQK,YAAc,EAAIN,EAAOtpI,OAG1C,SAAS8pI,EAAW/1H,GAClB,IAAIu1H,EAASv1H,EAAM,GACfg2H,EAAqB5mI,EAAM2H,MAAMiJ,EAAMO,OACvC01H,EAqSN,SAASC,EAAUtoH,EAAM2nH,GACvB,GAyVJ,SAAcZ,EAAYY,GACxB,IAAIv1H,EAAQ20H,GAAcA,EAAW7qI,KAAKyrI,GAC1C,OAAOv1H,GAAyB,IAAhBA,EAAMO,MA3VhBtB,CAAK2O,EAAKumH,MAAOoB,GAAS,CAC5B,KAAO3nH,EAAKuoH,YAAcvoH,EAAK3X,QAC7B2X,EAAOA,EAAK3X,OAGd,OAAO2X,EAGT,GAAIA,EAAKsmH,eACP,OAAOgC,EAAUtoH,EAAK3X,OAAQs/H,GA/SlBW,CAAUvkE,EAAKqkE,GAE7B,GAAKC,EAAL,CAIA,IAAIlkC,EAASpgC,EAETogC,EAAO5+B,KACTigE,GAAcmC,GAERxjC,EAAOqkC,WAAarkC,EAAOskC,aAC/BjD,GAAcmC,GAGhBI,EAAYC,IAAiB3C,GAEzBlhC,EAAOskC,aACTjD,EAAamC,IAIjB,GACM5jE,EAAIwG,WACN9N,IAGGsH,EAAIwB,MAASxB,EAAI2kE,cACpBvD,GAAaphE,EAAIohE,WAGnBphE,EAAMA,EAAI17D,aACH07D,IAAQskE,EAAQhgI,QAWzB,OATIggI,EAAQxB,SAENwB,EAAQhC,iBACVgC,EAAQxB,OAAON,MAAQ8B,EAAQ9B,OAGjC2B,EAAaG,EAAQxB,SAGhB1iC,EAAOqkC,UAAY,EAAIb,EAAOtpI,QAGvC,SAASmpI,EAAcmB,EAAiBv2H,GACtC,IACIw2H,EADAjB,EAASv1H,GAASA,EAAM,GAM5B,GAFAozH,GAAcmD,OAEC7lI,IAAX6kI,EAEF,OADAI,EAAYC,IAAiB3C,GACtB,EAQT,GACqB,UAAnBC,EAAUvgI,MACK,QAAfqN,EAAMrN,MACNugI,EAAU3yH,QAAUP,EAAMO,OACf,KAAXg1H,EAIA,OADAnC,GAAchkI,EAAM2H,MAAMiJ,EAAMO,MAAOP,EAAMO,MAAQ,GAC9C,EAKT,GAFA2yH,EAAYlzH,EAEO,UAAfA,EAAMrN,KACR,OAAO2iI,EAAat1H,GAGtB,GAAmB,QAAfA,EAAMrN,WAGUjC,KAFlB8lI,EAAYT,EAAW/1H,IAGrB,OAAOw2H,EAIX,GAAmB,YAAfx2H,EAAMrN,OAAuB+rD,EAE/B,MAAMkzE,EACJ,oCACA2D,EACA5jE,EAAIwG,WAAa,aAmBrB,OAFAi7D,GAAcmC,EAEPA,EAAOtpI,OAIhB,SAAS6pI,EAAaloH,GACpB,IAAIqkC,EAEArkC,EAAKuqD,YACPlmB,EAAO17B,EAAM3I,EAAKuqD,UAAW,KAI3BlmB,IACFghF,EAAgB5wH,KAAK4vC,GACrBxvB,EAAMpgB,KAAK4wH,GACXA,EAAkBhhF,EAAKonC,UAGzB1nB,EAAMvmE,OAAO4N,OAAO4U,EAAM,CAAC3X,OAAQ,CAAC7G,MAAOuiE,KAI7C,SAASikE,IACP,IAAIjoI,EAASgkE,EAAI2kE,YAMnB,WACE,IACIG,EADAC,EAAsC,iBAApB/kE,EAAI2kE,YAI1B,GAAII,IAAaj9C,EAAU9nB,EAAI2kE,aAC7B,OAAOjB,EAAQjC,EAAY,IAI3BqD,EADEC,EACSzE,EACTtgE,EAAI2kE,YACJlD,GACA,EACApqF,EACAmqF,EAAcxhE,EAAI2kE,cAGTpE,EAAckB,EAAY,CACnCN,OAAmC,IAA3BnhE,EAAI2kE,YAAYrqI,YAAeyE,EAAYihE,EAAI2kE,YACvDttF,OAAQA,IAMZ,IAAKytF,EAASzE,SACZ,MAAO,CAAC2E,EAAUvD,IAOhBzhE,EAAIohE,UAAY,IAClBA,GAAa0D,EAAS1D,WAGpB2D,IACFvD,EAAcxhE,EAAI2kE,aAAeG,EAAS9kE,KAG5C,MAAO,CAACp7C,EAAMkgH,EAASzE,SAAUyE,EAASrnI,OAAO,IAhDlBwnI,GAoDjC,WACE,IACIj3H,EACAk3H,EACA5kF,EACA6kF,EAJAn0E,EAAQ,GAMZ,IAAKgP,EAAI4hE,SACP,OAAO8B,EAAQjC,EAAYzwE,GAG7BhjD,EAAY,EAEZgyD,EAAIiiE,UAAUj0H,UAAY,EAE1Bk3H,EAAUllE,EAAIiiE,UAAU9pI,KAAKspI,GAE7B,KAAOyD,GACLxB,EAAQjC,EAAWr8H,MAAM4I,EAAWk3H,EAAQt2H,OAAQoiD,IAEpDm0E,EAAWC,EAAaplE,EAAKklE,KAG3B9D,GAAa+D,EAAS,GAEtB7kF,EAAO17B,EAAMugH,EAAS,GAAI,IAE1Bn0E,EAAMtgD,KAAK4vC,GAEXojF,EAAQwB,EAAQ,GAAI5kF,EAAKonC,WAEzBg8C,EAAQwB,EAAQ,GAAIl0E,GAGtBhjD,EAAYgyD,EAAIiiE,UAAUj0H,UAC1Bk3H,EAAUllE,EAAIiiE,UAAU9pI,KAAKspI,GAK/B,OAFAiC,EAAQjC,EAAWr8H,MAAM4I,GAAYgjD,GAE9BA,EA5F+Cq0E,GAEtD,OADA5D,EAAa,GACNzlI,EA8FT,SAASgoI,EAAYsB,EAAUt0E,GAK7B,IAJA,IAEIm1C,EAFA7rG,EAASgrI,EAAShrI,OAClBsU,GAAS,IAGJA,EAAQtU,GAGM,UAFrB6rG,EAAUm/B,EAAS12H,IAEP5N,KACV0iI,EAAQv9B,EAAQ1oG,MAAOuzD,GAEvBA,EAAMtgD,KAAKy1F,GAMjB,SAASu9B,EAAQjmI,EAAOuzD,GACtB,IAAIu0E,EAYJ,OAVI9nI,KACF8nI,EAAOv0E,EAAMA,EAAM12D,OAAS,KAEF,SAAdirI,EAAKvkI,KACfukI,EAAK9nI,OAASA,EAEduzD,EAAMtgD,KAAKs0H,EAAUvnI,KAIlBuzD,EAIT,SAASg0E,EAAUvnI,GACjB,MAAO,CAACuD,KAAM,OAAQvD,MAAOA,GAI/B,SAASmnB,EAAM7rB,EAAMysI,EAAUC,GAC7B,MAAO,CACLzkI,KAAM,UACNyjE,QAAS,OACTgjB,WAAY,CACVjhB,UAAW,EAAEi/D,EAAW,GAAKpuF,GAAUt+C,IAEzC2uF,SAAU89C,GAKd,SAASJ,EAAanpH,EAAM2lH,GAC1B,IAAIsD,EAAUtD,EAAS,GAMvB,OAJIvB,EAAuB,mBACzB6E,EAAUA,EAAQ5wH,eAGbysH,EAAIlnI,KAAKoiB,EAAK2lH,SAAUsD,IAAYjpH,EAAK2lH,SAASsD,GAmB3D,SAASxsE,IAEP4oE,EAAkBxwG,EAAM4nC,OAASgvB,GA0RrC,SAAS1pE,EAAOvgB,GACd,OAAwD,IAAjDujI,EAAejgI,QAAQtD,EAAM6W,eAGtC,SAASsuH,EAAkB3mH,GACzB,IAAI3hB,EACAsU,EACA82H,EACA1pI,EAEJ,GAAIigB,EAAKypH,WAAazpH,EAAsB,gBAAG,CAM7C,IAJA3hB,GADAorI,EAAWzpH,EAAKypH,UACEprI,OAClBsU,GAAS,EACT5S,EAAS,KAEA4S,EAAQtU,GACf0B,EAAO4S,GAASkyH,EAAQ7kH,EAAM,CAACypH,SAAU,MAAOA,EAAS92H,IAG3DqN,EAAsB,gBAAIjgB,EAI5B,OAAIigB,EAAK0pH,gBAAwB1pH,EAAK0pH,gBASxC,SAASC,EAAmB3pH,GAC1B,QAAOA,IAAOA,EAAKsmH,gBAAkBqD,EAAmB3pH,EAAK6mH,SAPzD8C,CAAmB3pH,GACd,CAAC6kH,EAAQ7kH,EAAM,CAAC6mH,OAAQ7mH,EAAK6mH,OAAShC,EAAQ7kH,EAAK6mH,QAAU,QAE/D,CAAC7mH,GAQV,SAASzE,EAAUxb,GACjB,MAAO,CACLolI,UAAWplI,EAAOolI,WAAa,EAC/Bf,SAAUrkI,EAAOqkI,UAAY,KAC7B5iI,MAAOzB,EAAOyB,OAAS,IAW3B,SAASojI,EAAY9nI,GAGnB,OAFAA,EAAOA,EAAKub,cAELwzE,EAAU/uF,IAAS+uF,EAAUzsD,EAAQtiC,M,gBCx5B9C,MAKU8kD,EAGJgoF,EAHIhoF,EAwBR,SAASioF,GAET,IAAIC,EAAa,GACbx5G,EAAa9yB,OAAOoR,KAGpBi9E,EAAY,GACZzsD,EAAY,GAGZ2qG,EAAmB,gCACnBC,EAAmB,8BACnBC,EAAmB,+BAUnB/nI,EAAU,CACZgoI,YAAa,QACbC,WAAY,KACZC,OAAO,EACPv+C,eAAW/oF,GAITunI,EAAkB,+BAA+BxkI,MAAM,KAK3D,SAAS1B,EAAO3C,GACd,OAAOA,EAAMf,QAAQ,KAAM,SAASA,QAAQ,KAAM,QAAQA,QAAQ,KAAM,QAG1E,SAASue,EAAIqlC,GACX,OAAOA,EAAK0gB,SAAS1sD,cAQvB,SAASiyH,EAAiBlG,GACxB,OAAO2F,EAAc14H,KAAK+yH,GA0B5B,SAASS,EAAQx8H,GACf,IAAI/J,EACAyB,EAAS,GACTwqI,EAAU/1H,MAAM/W,UAAU0L,MAAMvL,KAAKqC,UAAW,GAEpD,IAAK3B,KAAO+J,EACVtI,EAAOzB,GAAO+J,EAAO/J,GAKvB,OAJAisI,EAAQvsI,SAAQ,SAASC,GACvB,IAAKK,KAAOL,EACV8B,EAAOzB,GAAOL,EAAIK,MAEfyB,EAKT,SAASyqI,EAAWnmF,GAClB,IAAItkD,EAAS,GA0Bb,OAzBA,SAAU0qI,EAAYpmF,EAAMtP,GAC1B,IAAK,IAAIkZ,EAAQ5J,EAAK5pC,WAAYwzC,EAAOA,EAAQA,EAAMmX,YAC9B,IAAnBnX,EAAM1F,SACRxT,GAAUkZ,EAAM2W,UAAUvmE,OACA,IAAnB4vD,EAAM1F,WACbxoD,EAAO0U,KAAK,CACVjO,MAAO,QACPuuC,OAAQA,EACRsP,KAAM4J,IAERlZ,EAAS01F,EAAYx8E,EAAOlZ,GAIvB/1B,EAAIivC,GAAO77C,MAAM,oBACpBrS,EAAO0U,KAAK,CACVjO,MAAO,OACPuuC,OAAQA,EACRsP,KAAM4J,KAKd,OAAOlZ,EAvBT,CAwBGsP,EAAM,GACFtkD,EAoFT,SAAS2qI,EAAqB1qH,GAU5B,OATIA,EAAKypH,WAAazpH,EAAK0pH,kBACzB1pH,EAAK0pH,gBAAkB1pH,EAAKypH,SAAS1zH,KAAI,SAAS40H,GAChD,OAAO9F,EAAQ7kH,EAAM,CAACypH,SAAU,MAAOkB,OAOvC3qH,EAAK0pH,gBACA1pH,EAAK0pH,gBAjBhB,SAASC,EAAmB3pH,GAC1B,QAAKA,IAEEA,EAAKsmH,gBAAkBqD,EAAmB3pH,EAAK6mH,SAoBlD8C,CAAmB3pH,GACd,CAAC6kH,EAAQ7kH,EAAM,CAAE6mH,OAAQ7mH,EAAK6mH,OAAShC,EAAQ7kH,EAAK6mH,QAAU,QAGhE,CAAC7mH,GAqCV,SAAS4qH,EAAgB3B,EAAS4B,GAGhC,OAAIA,EACK57H,OAAO47H,IAKKz7D,EAHA65D,GAIkC,GAAhDoB,EAAgBvlI,QAAQsqE,EAAK/2D,eAJJ,EAAI,GAGtC,IAAuB+2D,EAIvB,SAASm4D,EAAgBnD,GAEvB,SAAS0G,EAAMz4H,GACX,OAAQA,GAAMA,EAAGlQ,QAAWkQ,EAGhC,SAAS4zH,EAAOzkI,EAAOpF,GACrB,OAAO,IAAIuV,OACTm5H,EAAMtpI,GACN,KAAO4iI,EAAS2G,iBAAmB,IAAM,KAAO3uI,EAAS,IAAM,KAuDnE,SAASkrI,EAAetnH,GAEtB,IACIgrH,EAWAvwE,EAZAwwE,EAAe,GAEfxoG,EAAU,GACV4S,EAAU,GACV4xF,EAAU,EAEd,SAASC,EAAQznE,EAAM98B,GACrBsoG,EAAahE,GAAWxnE,EACxBh9B,EAAQhuB,KAAK,CAACgrD,EAAM98B,IACpBskG,GA9DJ,SAA4B50H,GAC1B,OAAO,IAAKV,OAAOU,EAAG9U,WAAa,KAAMrB,KAAK,IAAImC,OAAS,EA6D9C6sI,CAAmBvoG,GAAS,EAIzC,IAAK,IAAIxkC,EAAE,EAAGA,EAAI6hB,EAAK8mD,SAASzoE,OAAQF,IAQtC+oI,EANAzsE,EAAOz6C,EAAK8mD,SAAS3oE,GACjBs8D,EAAKmrE,cACF,UAAYnrE,EAAK0rE,MAAQ,QAEzB1rE,EAAK0rE,OAIVnmH,EAAKqnH,gBACPH,EAAQ,MAAOlnH,EAAKqnH,gBAClBrnH,EAAKwmH,SACPU,EAAQ,UAAWlnH,EAAKwmH,SAE1B,IAAIM,EAAcrkG,EAAQ1sB,KAAI,SAASsK,GAAM,OAAOA,EAAG,MA+BvD,OA9BA2qH,EAAY/E,EAzEd,SAAgBkF,EAASn2F,GAWvB,IAHA,IAAImyF,EAAkB,iDAClBiE,EAAc,EACdj1F,EAAM,GACDh4C,EAAI,EAAGA,EAAIgtI,EAAQ9sI,OAAQF,IAAK,CAEvC,IAAI42C,EADJq2F,GAAe,EAEX/4H,EAAKy4H,EAAMK,EAAQhtI,IAKvB,IAJIA,EAAI,IACNg4C,GAAOnB,GAETmB,GAAO,IACA9jC,EAAGhU,OAAS,GAAG,CACpB,IAAI+T,EAAQ+0H,EAAgBjrI,KAAKmW,GACjC,GAAa,MAATD,EAAe,CACjB+jC,GAAO9jC,EACP,MAEF8jC,GAAO9jC,EAAGlN,UAAU,EAAGiN,EAAMO,OAC7BN,EAAKA,EAAGlN,UAAUiN,EAAMO,MAAQP,EAAM,GAAG/T,QACtB,MAAf+T,EAAM,GAAG,IAAcA,EAAM,GAE/B+jC,GAAO,KAAOx1C,OAAOsO,OAAOmD,EAAM,IAAM2iC,IAExCoB,GAAO/jC,EAAM,GACG,KAAZA,EAAM,IACRg5H,KAINj1F,GAAO,IAET,OAAOA,EAkCYixF,CAAON,EAAa,MAAM,GAE7CzxF,EAAQtjC,UAAY,EACpBsjC,EAAQn5C,KAAO,SAASw+B,GACtB,IAAI+kC,EAEJ,GAAuB,IAAnBh9B,EAAQpkC,OAAc,OAAO,KAEjC2sI,EAAUj5H,UAAYsjC,EAAQtjC,UAC9B,IAAIK,EAAQ44H,EAAU9uI,KAAKw+B,GAC3B,IAAKtoB,EAAS,OAAO,KAErB,IAAI,IAAIjU,EAAI,EAAGA,EAAEiU,EAAM/T,OAAQF,IAC7B,GAAgB2E,MAAZsP,EAAMjU,IAA0C2E,MAAvBmoI,EAAa,GAAI9sI,GAAkB,CAC9DshE,EAAOwrE,EAAa,GAAG9sI,GACvB,MAYJ,MAPoB,iBAATshE,GACTrtD,EAAMrN,KAAO06D,EACbrtD,EAAMyjD,MAAQ,CAAC71C,EAAKwmH,QAASxmH,EAAKqnH,kBAElCj1H,EAAMrN,KAAO,QACbqN,EAAMqtD,KAAOA,GAERrtD,GAGFijC,GAGT,SAASowF,EAAYzlH,EAAM3X,GACrB2X,EAAK0lH,WAET1lH,EAAK0lH,UAAW,EAEhB1lH,EAAK2lH,SAAW3lH,EAAK2lH,UAAY3lH,EAAK4lH,cAClC5lH,EAAK2lH,WACP3lH,EAAK2lH,SA7KX,SAAyB0F,EAAaN,GAClC,IAAIO,EAAoB,GAS1B,MAP6B,iBAAhBD,EACTE,EAAgB,UAAWF,GAE3B/6G,EAAW+6G,GAAartI,SAAQ,SAAUusE,GACxCghE,EAAgBhhE,EAAW8gE,EAAY9gE,OAGtC+gE,EAIP,SAASC,EAAgBhhE,EAAW/pE,GAC9BuqI,IACFvqI,EAAMA,EAAI6X,eAEZ7X,EAAIqF,MAAM,KAAK7H,SAAQ,SAASirI,GAC9B,IAAInD,EAAOmD,EAAQpjI,MAAM,KACzBylI,EAAkBxF,EAAK,IAAM,CAACv7D,EAAWqgE,EAAgB9E,EAAK,GAAIA,EAAK,SAyJvDC,CAAgB/lH,EAAK2lH,SAAUvB,EAAS2G,mBAE1D/qH,EAAKgmH,UAAYC,EAAOjmH,EAAKkmH,SAAW,OAAO,GAE3C79H,IACE2X,EAAK4lH,gBACP5lH,EAAKmmH,MAAQ,OAASnmH,EAAK4lH,cAAc//H,MAAM,KAAKI,KAAK,KAAO,QAE7D+Z,EAAKmmH,QACRnmH,EAAKmmH,MAAQ,SACfnmH,EAAKomH,QAAUH,EAAOjmH,EAAKmmH,OACvBnmH,EAAKqmH,iBACPrmH,EAAKgoC,IAAMhoC,EAAKmmH,OACbnmH,EAAKgoC,KAAQhoC,EAAKsmH,iBACrBtmH,EAAKgoC,IAAM,SACThoC,EAAKgoC,MACPhoC,EAAKumH,MAAQN,EAAOjmH,EAAKgoC,MAC3BhoC,EAAKqnH,eAAiByD,EAAM9qH,EAAKgoC,MAAQ,GACrChoC,EAAKsmH,gBAAkBj+H,EAAOg/H,iBAChCrnH,EAAKqnH,iBAAmBrnH,EAAKgoC,IAAM,IAAM,IAAM3/C,EAAOg/H,iBAEtDrnH,EAAKwmH,UACPxmH,EAAKymH,UAAYR,EAAOjmH,EAAKwmH,UACT,MAAlBxmH,EAAKmlH,YACPnlH,EAAKmlH,UAAY,GACdnlH,EAAK8mD,WACR9mD,EAAK8mD,SAAW,IAElB9mD,EAAK8mD,SAAWtyD,MAAM/W,UAAUyL,OAAO+C,MAAM,GAAI+T,EAAK8mD,SAAS/wD,KAAI,SAAS/J,GAC1E,OAAO0+H,EAA2B,SAAN1+H,EAAegU,EAAOhU,OAEpDgU,EAAK8mD,SAAS9oE,SAAQ,SAASgO,GAAIy5H,EAAYz5H,EAAGgU,MAE9CA,EAAK6mH,QACPpB,EAAYzlH,EAAK6mH,OAAQx+H,GAG3B2X,EAAK8mH,YAAcQ,EAAetnH,IAGpCylH,CAAYrB,GAYd,SAASD,EAAUrnI,EAAM0E,EAAOgqI,EAAiBpG,GAkB/C,SAAS+D,EAAanpH,EAAM5N,GAC1B,IAAIq5H,EAAYrH,EAAS2G,iBAAmB34H,EAAM,GAAGiG,cAAgBjG,EAAM,GAC3E,OAAO4N,EAAK2lH,SAASpnI,eAAektI,IAAczrH,EAAK2lH,SAAS8F,GAGlE,SAASC,EAAUC,EAAWC,EAAYC,EAAWrC,GACnD,IAAKqC,GAA4B,KAAfD,EAAmB,MAAO,GAC5C,IAAKD,EAAW,OAAOC,EAEvB,IACIE,EAAc,iBADAtC,EAAW,GAAKtnI,EAAQgoI,aAM1C,OAFA4B,GAAYH,EAAY,MAENC,GAJAC,EAAY,GAvdjB,WA+gBf,SAAS7D,IACPjoI,GAA8B,MAAnBgkE,EAAI2kE,YAxBjB,WACE,IAAII,EAAsC,iBAApB/kE,EAAI2kE,YAC1B,GAAII,IAAaj9C,EAAU9nB,EAAI2kE,aAC7B,OAAOvkI,EAAO4nI,GAGhB,IAAIhsI,EAAS+oI,EACA3E,EAAUpgE,EAAI2kE,YAAaqD,GAAa,EAAMxG,EAAcxhE,EAAI2kE,cAChEh9C,EAAcqgD,EAAahoE,EAAI2kE,YAAYrqI,OAAS0lE,EAAI2kE,iBAAc5lI,GAYnF,OANIihE,EAAIohE,UAAY,IAClBA,GAAaplI,EAAOolI,WAElB2D,IACFvD,EAAcxhE,EAAI2kE,aAAe3oI,EAAOgkE,KAEnC2nE,EAAU3rI,EAAOqkI,SAAUrkI,EAAOyB,OAAO,GAAO,GAIlBwnI,GAlDvC,WACE,IAAIgD,EAAeC,EAAY75H,EAAOrS,EAEtC,IAAKgkE,EAAI4hE,SACP,OAAOxhI,EAAO4nI,GAOhB,IALAhsI,EAAS,GACTksI,EAAa,EACbloE,EAAIiiE,UAAUj0H,UAAY,EAC1BK,EAAQ2xD,EAAIiiE,UAAU9pI,KAAK6vI,GAEpB35H,GACLrS,GAAUoE,EAAO4nI,EAAY5mI,UAAU8mI,EAAY75H,EAAMO,SACzDq5H,EAAgB7C,EAAaplE,EAAK3xD,KAEhC+yH,GAAa6G,EAAc,GAC3BjsI,GAAU2rI,EAAUM,EAAc,GAAI7nI,EAAOiO,EAAM,MAEnDrS,GAAUoE,EAAOiO,EAAM,IAEzB65H,EAAaloE,EAAIiiE,UAAUj0H,UAC3BK,EAAQ2xD,EAAIiiE,UAAU9pI,KAAK6vI,GAE7B,OAAOhsI,EAASoE,EAAO4nI,EAAY12G,OAAO42G,IA2BkB7C,GAC5D2C,EAAc,GAGhB,SAAS7D,EAAaloH,GACpBjgB,GAAUigB,EAAKuqD,UAAWmhE,EAAU1rH,EAAKuqD,UAAW,IAAI,GAAO,GAC/DxG,EAAMvmE,OAAO4N,OAAO4U,EAAM,CAAC3X,OAAQ,CAAC7G,MAAOuiE,KAI7C,SAAS2jE,EAAat1H,GACpB,IAAIu1H,EAASv1H,EAAM,GACf85H,EAAW95H,EAAMqtD,KAkBrB,OAhBIysE,GAAYA,EAAS7F,iBACvB6F,EAAS3F,MAnGb,SAAkB/kI,GAChB,OAAO,IAAImQ,OAAOnQ,EAAMf,QAAQ,yBAA0B,QAAS,KAkGhDonI,CAAUF,IAGzBuE,EAAS3mE,KACXwmE,GAAepE,GAEXuE,EAASpE,eACXiE,GAAepE,GAEjBK,IACKkE,EAASjE,aAAgBiE,EAASpE,eACrCiE,EAAcpE,IAGlBO,EAAagE,GACNA,EAASjE,YAAc,EAAIN,EAAOtpI,OAG3C,SAAS8pI,EAAW/1H,GAClB,IAAIu1H,EAASv1H,EAAM,GACf+5H,EAnHN,SAAS7D,EAAUtoH,EAAM2nH,GACvB,GAxaJ,SAAgBt1H,EAAIs1H,GAClB,IAAIv1H,EAAQC,GAAMA,EAAGnW,KAAKyrI,GAC1B,OAAOv1H,GAAyB,IAAhBA,EAAMO,MAsahBy5H,CAAOpsH,EAAKumH,MAAOoB,GAAS,CAC9B,KAAO3nH,EAAKuoH,YAAcvoH,EAAK3X,QAC7B2X,EAAOA,EAAK3X,OAEd,OAAO2X,EAET,GAAIA,EAAKsmH,eACP,OAAOgC,EAAUtoH,EAAK3X,OAAQs/H,GA2GjBW,CAAUvkE,EAAK4jE,GAC9B,GAAKwE,EAAL,CAEA,IAAIhoC,EAASpgC,EACTogC,EAAO5+B,KACTwmE,GAAepE,GAETxjC,EAAOqkC,WAAarkC,EAAOskC,aAC/BsD,GAAepE,GAEjBK,IACI7jC,EAAOskC,aACTsD,EAAcpE,IAGlB,GACM5jE,EAAIwG,YACNxqE,GApkBS,WAskBNgkE,EAAIwB,MAASxB,EAAI2kE,cACpBvD,GAAaphE,EAAIohE,WAEnBphE,EAAMA,EAAI17D,aACH07D,IAAQooE,EAAS9jI,QAO1B,OANI8jI,EAAStF,SACPsF,EAAS9F,iBACX8F,EAAStF,OAAON,MAAQ4F,EAAS5F,OAEnC2B,EAAaiE,EAAStF,SAEjB1iC,EAAOqkC,UAAY,EAAIb,EAAOtpI,QAGvC,IAAIinI,EAAY,GAChB,SAASkC,EAAc6E,EAAmBj6H,GAExC,IAAIu1H,EAASv1H,GAASA,EAAM,GAK5B,GAFA25H,GAAeM,EAED,MAAV1E,EAEF,OADAK,IACO,EAOT,GAAoB,SAAhB1C,EAAUvgI,MAA6B,OAAZqN,EAAMrN,MAAeugI,EAAU3yH,OAASP,EAAMO,OAAoB,KAAXg1H,EAGpF,OADAoE,GAAevqI,EAAM2H,MAAMiJ,EAAMO,MAAOP,EAAMO,MAAQ,GAC/C,EAIT,GAFA2yH,EAAYlzH,EAEK,UAAbA,EAAMrN,KACR,OAAO2iI,EAAat1H,GACf,GAAiB,YAAbA,EAAMrN,OAAqBymI,EAEpC,MAAM,IAAIt4H,MAAM,mBAAqBy0H,EAAS,gBAAkB5jE,EAAIwG,WAAa,aAAe,KAC3F,GAAiB,QAAbn4D,EAAMrN,KAAc,CAC7B,IAAI6jI,EAAYT,EAAW/1H,GAC3B,GAAiBtP,MAAb8lI,EACF,OAAOA,EAgBX,OADAmD,GAAepE,EACRA,EAAOtpI,OAGhB,IAAI+lI,EAAWQ,EAAY9nI,GAC3B,IAAKsnI,EACH,MAAM,IAAIlxH,MAAM,sBAAwBpW,EAAO,KAGjDyqI,EAAgBnD,GAChB,IAEiBxwE,EAFbmQ,EAAMqhE,GAAgBhB,EACtBmB,EAAgB,GAChBxlI,EAAS,GACb,IAAI6zD,EAAUmQ,EAAKnQ,IAAYwwE,EAAUxwE,EAAUA,EAAQvrD,OACrDurD,EAAQ2W,YACVxqE,EAAS2rI,EAAU93E,EAAQ2W,UAAW,IAAI,GAAQxqE,GAGtD,IAAIgsI,EAAc,GACd5G,EAAY,EAChB,IAEE,IADA,IAAI/yH,EAAOmwE,EAAO5vE,EAAQ,EAExBoxD,EAAI+iE,YAAY/0H,UAAYY,EAC5BP,EAAQ2xD,EAAI+iE,YAAY5qI,KAAKsF,IAG7B+gF,EAAQilD,EAAchmI,EAAM2D,UAAUwN,EAAOP,EAAMO,OAAQP,GAC3DO,EAAQP,EAAMO,MAAQ4vE,EAGxB,IADAilD,EAAchmI,EAAM6zB,OAAO1iB,IACvBihD,EAAUmQ,EAAKnQ,EAAQvrD,OAAQurD,EAAUA,EAAQvrD,OAC/CurD,EAAQ2W,YACVxqE,GApqBS,WAuqBb,MAAO,CACLolI,UAAWA,EACX3jI,MAAOzB,EACPymI,SAAQ,EACRpC,SAAUtnI,EACVinE,IAAKA,GAEP,MAAOlxD,GACP,GAAIA,EAAEmS,UAA6C,IAAlCnS,EAAEmS,QAAQlgB,QAAQ,WACjC,MAAO,CACL0hI,SAAS,EACTrB,UAAW,EACX3jI,MAAO2C,EAAO3C,IAGhB,MAAMqR,GAgBZ,SAAS64E,EAAcpnF,EAAMgoI,GAC3BA,EAAiBA,GAAkBpqI,EAAQ2pF,WAAav7D,EAAWu7D,GACnE,IAAI9rF,EAAS,CACXolI,UAAW,EACX3jI,MAAO2C,EAAOG,IAEZioI,EAAcxsI,EAelB,OAdAusI,EAAevyH,OAAO6qH,GAAa7qH,OAAOyyH,GAAexuI,SAAQ,SAASlB,GACxE,IAAI82D,EAAUuwE,EAAUrnI,EAAMwH,GAAM,GACpCsvD,EAAQwwE,SAAWtnI,EACf82D,EAAQuxE,UAAYoH,EAAYpH,YAClCoH,EAAc34E,GAEZA,EAAQuxE,UAAYplI,EAAOolI,YAC7BoH,EAAcxsI,EACdA,EAAS6zD,MAGT24E,EAAYnI,WACdrkI,EAAOwsI,YAAcA,GAEhBxsI,EAUT,SAAS0sI,EAAUjrI,GACjB,OAASU,EAAQioI,YAAcjoI,EAAQkoI,MAEnC5oI,EAAMf,QAAQwpI,GAAa,SAAS73H,EAAO4wB,GACzC,OAAI9gC,EAAQkoI,OAAmB,OAAVh4H,EACZ,OACElQ,EAAQioI,WACVnnG,EAAGviC,QAAQ,MAAOyB,EAAQioI,YAE5B,MAPT3oI,EA8BN,SAASkrI,EAAejmE,GACtB,IAAIpiB,EAAMsoF,EAAgB5sI,EAAQ6sI,EAAYtoI,EAC1C8/H,EAruBN,SAAuB39D,GACrB,IAAItoE,EAAGiU,EAAO/T,EAAQ2kD,EAClBuoC,EAAU9kB,EAAM8D,UAAY,IAMhC,GAJAghB,GAAW9kB,EAAMntD,WAAamtD,EAAMntD,WAAWixD,UAAY,GAG3Dn4D,EAAQ43H,EAAiB9tI,KAAKqvF,GAE5B,OAAOq5C,EAAYxyH,EAAM,IAAMA,EAAM,GAAK,eAK5C,IAAKjU,EAAI,EAAGE,GAFZktF,EAAUA,EAAQ1lF,MAAM,QAEKxH,OAAQF,EAAIE,EAAQF,IAG/C,GAAImsI,EAFJtnF,EAASuoC,EAAQptF,KAEeymI,EAAY5hF,GAC1C,OAAOA,EAmtBI6pF,CAAcpmE,GAEzB6jE,EAAiBlG,KAGjBliI,EAAQkoI,OACV/lF,EAAOxkD,SAAS6oE,gBAAgB,+BAAgC,QAC3D+O,UAAYhR,EAAMgR,UAAUh3E,QAAQ,MAAO,IAAIA,QAAQ,cAAe,MAE3E4jD,EAAOoiB,EAETniE,EAAO+/C,EAAKuU,YACZ74D,EAASqkI,EAAWD,EAAUC,EAAU9/H,GAAM,GAAQonF,EAAcpnF,IAEpEqoI,EAAiBnC,EAAWnmF,IACThmD,UACjBuuI,EAAa/sI,SAAS6oE,gBAAgB,+BAAgC,QAC3D+O,UAAY13E,EAAOyB,MAC9BzB,EAAOyB,MAlrBX,SAAsBsrI,EAAUC,EAAavrI,GAC3C,IAAIonI,EAAY,EACZ7oI,EAAS,GACTitI,EAAY,GAEhB,SAASC,IACP,OAAKH,EAASzuI,QAAW0uI,EAAY1uI,OAGjCyuI,EAAS,GAAG/3F,SAAWg4F,EAAY,GAAGh4F,OAChC+3F,EAAS,GAAG/3F,OAASg4F,EAAY,GAAGh4F,OAAU+3F,EAAWC,EAkBnC,UAAzBA,EAAY,GAAGvmI,MAAoBsmI,EAAWC,EArB5CD,EAASzuI,OAASyuI,EAAWC,EAwBxC,SAAS9+H,EAAKo2C,GAEZtkD,GAAU,IAAMif,EAAIqlC,GAAQylF,EAAW/zH,IAAInY,KAAKymD,EAAKgzB,YADrD,SAAkBj3E,GAAI,MAAO,IAAMA,EAAE2kE,SAAW,KAAO5gE,EAAO/D,EAAEoB,OAAOf,QAAQ,IAAK,UAAY,OACrBwF,KAAK,IAAM,IAGxF,SAASuH,EAAM62C,GACbtkD,GAAU,KAAOif,EAAIqlC,GAAQ,IAG/B,SAAS98C,EAAOf,IACG,UAAhBA,EAAMA,MAAoByH,EAAOT,GAAOhH,EAAM69C,MAGjD,KAAOyoF,EAASzuI,QAAU0uI,EAAY1uI,QAAQ,CAC5C,IAAI47D,EAASgzE,IAGb,GAFAltI,GAAUoE,EAAO3C,EAAM2D,UAAUyjI,EAAW3uE,EAAO,GAAGllB,SACtD6zF,EAAY3uE,EAAO,GAAGllB,OAClBklB,IAAW6yE,EAAU,CAOvBE,EAAU1wE,UAAUt+D,QAAQwP,GAC5B,GACEjG,EAAO0yD,EAAOjI,OAAO,EAAG,GAAG,IAC3BiI,EAASgzE,UACFhzE,IAAW6yE,GAAY7yE,EAAO57D,QAAU47D,EAAO,GAAGllB,SAAW6zF,GACtEoE,EAAU1wE,UAAUt+D,QAAQiQ,OAEJ,UAApBgsD,EAAO,GAAGzzD,MACZwmI,EAAUv4H,KAAKwlD,EAAO,GAAG5V,MAEzB2oF,EAAUvwE,MAEZl1D,EAAO0yD,EAAOjI,OAAO,EAAG,GAAG,IAG/B,OAAOjyD,EAASoE,EAAO3C,EAAM6zB,OAAOuzG,IA4mBnBsE,CAAaP,EAAgBnC,EAAWoC,GAAatoI,IAEtEvE,EAAOyB,MAAQirI,EAAU1sI,EAAOyB,OAEhCilE,EAAMgR,UAAY13E,EAAOyB,MACzBilE,EAAM8D,UA5CR,SAAwB4iE,EAAeC,EAAaC,GAClD,IAAIjJ,EAAWgJ,EAAchuG,EAAQguG,GAAeC,EAChDttI,EAAW,CAACotI,EAAc5sI,QAU9B,OARK4sI,EAAc/6H,MAAM,aACvBrS,EAAO0U,KAAK,SAG2B,IAArC04H,EAAcroI,QAAQs/H,IACxBrkI,EAAO0U,KAAK2vH,GAGPrkI,EAAOkG,KAAK,KAAK1F,OAgCN+sI,CAAe7mE,EAAM8D,UAAW65D,EAAUrkI,EAAOqkI,UACnE39D,EAAM1mE,OAAS,CACbqkI,SAAUrkI,EAAOqkI,SACjB/xH,GAAItS,EAAOolI,WAETplI,EAAOwsI,cACT9lE,EAAM8lE,YAAc,CAClBnI,SAAUrkI,EAAOwsI,YAAYnI,SAC7B/xH,GAAItS,EAAOwsI,YAAYpH,aAe7B,SAASoI,IACP,IAAIA,EAAiBp8G,OAArB,CAEAo8G,EAAiBp8G,QAAS,EAE1B,IAAIk6D,EAASxrF,SAAS2tI,iBAAiB,YACvC1D,EAAW9rI,QAAQJ,KAAKytF,EAAQqhD,IAyBlC,SAAS9H,EAAY9nI,GAEnB,OADAA,GAAQA,GAAQ,IAAIub,cACbwzE,EAAU/uF,IAAS+uF,EAAUzsD,EAAQtiC,IAG9C,SAAS0vI,EAAc1vI,GACrB,IAAI26C,EAAOmtF,EAAY9nI,GACvB,OAAO26C,IAASA,EAAKg2F,kBA0HvB,OArHA5D,EAAK1F,UAAYA,EACjB0F,EAAKn+C,cAAgBA,EACrBm+C,EAAK4C,UAAYA,EACjB5C,EAAK6C,eAAiBA,EACtB7C,EAAK6D,UAtDL,SAAmBC,GACjBzrI,EAAU2iI,EAAQ3iI,EAASyrI,IAsD7B9D,EAAK0D,iBAAmBA,EACxB1D,EAAK+D,uBArCL,WACEtpH,iBAAiB,mBAAoBipH,GAAkB,GACvDjpH,iBAAiB,OAAQipH,GAAkB,IAoC7C1D,EAAK/9C,iBAjCL,SAA0BhvF,EAAMsnI,GAC9B,IAAI3sF,EAAOo0C,EAAU/uF,GAAQsnI,EAASyF,GAEtCpyF,EAAK+sF,cAAgBJ,EAAS9mI,KAAK,KAAKusI,GAEpCpyF,EAAKrY,SACPqY,EAAKrY,QAAQphC,SAAQ,SAAS4gD,GAAQxf,EAAQwf,GAAS9hD,MA4B3D+sI,EAAKlF,cAxBL,WACE,OAAOr0G,EAAWu7D,IAwBpBg+C,EAAKjF,YAAcA,EACnBiF,EAAK2C,cAAgBA,EACrB3C,EAAKhF,QAAUA,EAGfgF,EAAKgE,SAAW,eAChBhE,EAAKiE,oBAAsB,gBAC3BjE,EAAKkE,UAAY,oBACjBlE,EAAKmE,YAAc,yEACnBnE,EAAKoE,iBAAmB,eACxBpE,EAAKqE,eAAiB,+IAGtBrE,EAAKsE,iBAAmB,CACtBhI,MAAO,eAAgBhB,UAAW,GAEpC0E,EAAKuE,iBAAmB,CACtB7jE,UAAW,SACX47D,MAAO,IAAMn+E,IAAK,IAClBw+E,QAAS,MACT1/D,SAAU,CAAC+iE,EAAKsE,mBAElBtE,EAAKwE,kBAAoB,CACvB9jE,UAAW,SACX47D,MAAO,IAAKn+E,IAAK,IACjBw+E,QAAS,MACT1/D,SAAU,CAAC+iE,EAAKsE,mBAElBtE,EAAKyE,mBAAqB,CACxBnI,MAAO,8IAET0D,EAAK0E,QAAU,SAAUpI,EAAOn+E,EAAKwmF,GACnC,IAAIxuH,EAAO6pH,EAAKhF,QACd,CACEt6D,UAAW,UACX47D,MAAOA,EAAOn+E,IAAKA,EACnB8e,SAAU,IAEZ0nE,GAAY,IAQd,OANAxuH,EAAK8mD,SAASryD,KAAKo1H,EAAKyE,oBACxBtuH,EAAK8mD,SAASryD,KAAK,CACjB81D,UAAW,SACX47D,MAAO,+BACPhB,UAAW,IAENnlH,GAET6pH,EAAK4E,oBAAsB5E,EAAK0E,QAAQ,KAAM,KAC9C1E,EAAK6E,qBAAuB7E,EAAK0E,QAAQ,OAAQ,QACjD1E,EAAK8E,kBAAoB9E,EAAK0E,QAAQ,IAAK,KAC3C1E,EAAK+E,YAAc,CACjBrkE,UAAW,SACX47D,MAAO0D,EAAKkE,UACZ5I,UAAW,GAEb0E,EAAKgF,cAAgB,CACnBtkE,UAAW,SACX47D,MAAO0D,EAAKmE,YACZ7I,UAAW,GAEb0E,EAAKiF,mBAAqB,CACxBvkE,UAAW,SACX47D,MAAO0D,EAAKoE,iBACZ9I,UAAW,GAEb0E,EAAKkF,gBAAkB,CACrBxkE,UAAW,SACX47D,MAAO0D,EAAKkE,UAALlE,kGASP1E,UAAW,GAEb0E,EAAKmF,YAAc,CACjBzkE,UAAW,SACX47D,MAAO,KAAMn+E,IAAK,aAClBw+E,QAAS,KACT1/D,SAAU,CACR+iE,EAAKsE,iBACL,CACEhI,MAAO,KAAMn+E,IAAK,KAClBm9E,UAAW,EACXr+D,SAAU,CAAC+iE,EAAKsE,qBAItBtE,EAAKoF,WAAa,CAChB1kE,UAAW,QACX47D,MAAO0D,EAAKgE,SACZ1I,UAAW,GAEb0E,EAAKqF,sBAAwB,CAC3B3kE,UAAW,QACX47D,MAAO0D,EAAKiE,oBACZ3I,UAAW,GAEb0E,EAAKsF,aAAe,CAElBhJ,MAAO,UAAY0D,EAAKiE,oBACxB3I,UAAW,GAGN0E,GA5/BHD,EAAiC,iBAAXzsI,QAAuBA,QACd,iBAATC,MAAqBA,KAKTnB,EAAQssD,SAEpCqhF,IAGRA,EAAaC,KAAOjoF,EAAQ,SAMzB,KAFU,EAAF,WACP,OAAOgoF,EAAaC,MACrB,QAFM,OAEN,cAVHjoF,EAAQ3lD,I,6BCbZ,IAAImzI,EAAY,EAAQ,KAEpBpL,EAAQ54H,EAAO8H,OAcnB,SAAS9H,EAAOikI,GAGd,OAFAC,EAAeh1G,YAAc+0G,EAAa/0G,aAAe+0G,EAAavyI,KAE/DwyI,EAEP,SAASA,EAAe1mH,GAKtB,OAJIA,IACFA,EAASwmH,EAAUnjI,MAAM,KAAMhM,YAG1B,IAAIovI,EAAazmH,IAtB5B5sB,EAAOC,QAAU+nI,EAEjBA,EAAMuL,KAAOnkI,EAAOokI,WACpBxL,EAAMz+E,MAAQn6C,EAAOuhD,YACrBq3E,EAAML,UAAYv4H,EAAOyiE,gBACzBm2D,EAAMO,OAASn5H,EAAOyyD,aACtBmmE,EAAMj/H,KAAOqG,EAAO1K,WACpBsjI,EAAMyL,IAAMrkI,EAAOskI,UAEnB1L,EAAM54H,OAASA,G,iBCJb,WAGA,IAAId,EA4BJ,SAASse,EAAO+mH,GAsBd,IArBA,IAKI3jI,EAEA2Q,EACAm6B,EAEA84F,EAVAC,EAAW,EACXt7H,EAAO,GAAGpL,MAAMvL,KAAKqC,WACrB9B,EAAI,EACJ4e,EAAI4yH,EAAItxI,OACR0B,EAAS,GAETojD,GAAU,EAGV2sF,GAAc,EAEdC,EAAU,WAAa,OAAOx7H,EAAKs7H,MACnCG,EAAc,WAEZ,IADA,IAAIC,EAAS,GACN,KAAK5+H,KAAKs+H,EAAIxxI,KACnB8xI,GAAUN,EAAIxxI,KACd6N,EAAI2jI,EAAIxxI,GAEV,OAAO8xI,EAAO5xI,OAAS,EAAI0lC,SAASksG,GAAU,MAG7C9xI,EAAI4e,IAAK5e,EAEd,GADA6N,EAAI2jI,EAAIxxI,GACJglD,EAeF,OAdAA,GAAU,EACD,KAALn3C,GACF8jI,GAAc,EACd9jI,EAAI2jI,IAAMxxI,IAEE,KAAL6N,GAA0B,KAAd2jI,EAAIxxI,EAAI,IAC3B2xI,GAAc,EAEd9jI,EAAI2jI,EADJxxI,GAAK,IAIL2xI,GAAc,EAEhBF,EAAYI,IACJhkI,GACR,IAAK,IACHjM,GAAUgkC,SAASgsG,IAAW,IAAIxyI,SAAS,GAC3C,MACF,IAAK,IAGDwC,GADiB,iBADnB4c,EAAMozH,MACyBpzH,aAAehc,OAClCgc,EAEAhc,OAAOuhD,aAAane,SAASpnB,EAAK,KAC9C,MACF,IAAK,IACH5c,GAAUgkC,SAASgsG,IAAW,IAC9B,MACF,IAAK,IACHj5F,EAAMn2C,OAAO0zC,WAAW07F,KAAWjwF,QAAQ8vF,GAAa,IACxD7vI,GAAU+vI,EAAch5F,EAAMA,EAAIr2C,QAAQ,KAAM,IAChD,MACF,IAAK,IACHV,GAAUwW,KAAKC,UAAUu5H,KACzB,MACF,IAAK,IACHhwI,GAAU,IAAMgkC,SAASgsG,IAAW,IAAIxyI,SAAS,GACjD,MACF,IAAK,IACHwC,GAAUgwI,IACV,MACF,IAAK,IACHhwI,GAAU,KAAOgkC,SAASgsG,IAAW,IAAIxyI,SAAS,IAClD,MACF,IAAK,IACHwC,GAAU,KAAOgkC,SAASgsG,IAAW,IAAIxyI,SAAS,IAAI2lB,cACtD,MACF,QACEnjB,GAAUiM,MAGG,MAANA,EACTm3C,GAAU,EAEVpjD,GAAUiM,EAGd,OAAOjM,GA1GPuK,EAAYtO,EAAOC,QAAU2sB,GASrBA,OAASA,EACnBte,EAAU4lI,SAUV,SAAkBP,EAAKQ,GACrB,OAAOvnH,EAAO3c,MAAM,KAAM,CAAC0jI,GAAKzmI,OAAOinI,KATlB,oBAAZtpI,SAAkD,mBAAhBA,QAAQupI,MACnD9lI,EAAU+lI,OAGZ,WACExpI,QAAQupI,IAAIxnH,EAAO3c,MAAM,KAAMhM,cAxBlC,I,iBCXD,YA4BA,SAASqwI,EAAe54H,EAAO64H,GAG7B,IADA,IAAIzgC,EAAK,EACA3xG,EAAIuZ,EAAMrZ,OAAS,EAAGF,GAAK,EAAGA,IAAK,CAC1C,IAAIy7B,EAAOliB,EAAMvZ,GACJ,MAATy7B,EACFliB,EAAMs6C,OAAO7zD,EAAG,GACE,OAATy7B,GACTliB,EAAMs6C,OAAO7zD,EAAG,GAChB2xG,KACSA,IACTp4F,EAAMs6C,OAAO7zD,EAAG,GAChB2xG,KAKJ,GAAIygC,EACF,KAAOzgC,IAAMA,EACXp4F,EAAM+b,QAAQ,MAIlB,OAAO/b,EA0OT,SAASqC,EAAQy2H,EAAIpvI,GACjB,GAAIovI,EAAGz2H,OAAQ,OAAOy2H,EAAGz2H,OAAO3Y,GAEhC,IADA,IAAI2sB,EAAM,GACD5vB,EAAI,EAAGA,EAAIqyI,EAAGnyI,OAAQF,IACvBiD,EAAEovI,EAAGryI,GAAIA,EAAGqyI,IAAKziH,EAAItZ,KAAK+7H,EAAGryI,IAErC,OAAO4vB,EA3OX9xB,EAAQsmB,QAAU,WAIhB,IAHA,IAAIkuH,EAAe,GACfC,GAAmB,EAEdvyI,EAAI8B,UAAU5B,OAAS,EAAGF,IAAM,IAAMuyI,EAAkBvyI,IAAK,CACpE,IAAIgM,EAAQhM,GAAK,EAAK8B,UAAU9B,GAAK6U,EAAQyC,MAG7C,GAAoB,iBAATtL,EACT,MAAM,IAAIzJ,UAAU,6CACVyJ,IAIZsmI,EAAetmI,EAAO,IAAMsmI,EAC5BC,EAAsC,MAAnBvmI,EAAKzF,OAAO,IAWjC,OAASgsI,EAAmB,IAAM,KAJlCD,EAAeH,EAAev2H,EAAO02H,EAAa5qI,MAAM,MAAM,SAAS+mB,GACrE,QAASA,MACN8jH,GAAkBzqI,KAAK,OAE6B,KAK3DhK,EAAQsf,UAAY,SAASpR,GAC3B,IAAIwmI,EAAa10I,EAAQ00I,WAAWxmI,GAChCymI,EAAqC,MAArBv7G,EAAOlrB,GAAO,GAclC,OAXAA,EAAOmmI,EAAev2H,EAAO5P,EAAKtE,MAAM,MAAM,SAAS+mB,GACrD,QAASA,MACN+jH,GAAY1qI,KAAK,OAER0qI,IACZxmI,EAAO,KAELA,GAAQymI,IACVzmI,GAAQ,MAGFwmI,EAAa,IAAM,IAAMxmI,GAInClO,EAAQ00I,WAAa,SAASxmI,GAC5B,MAA0B,MAAnBA,EAAKzF,OAAO,IAIrBzI,EAAQgK,KAAO,WACb,IAAImpH,EAAQ56G,MAAM/W,UAAU0L,MAAMvL,KAAKqC,UAAW,GAClD,OAAOhE,EAAQsf,UAAUxB,EAAOq1G,GAAO,SAASxiG,EAAGja,GACjD,GAAiB,iBAANia,EACT,MAAM,IAAIlsB,UAAU,0CAEtB,OAAOksB,KACN3mB,KAAK,OAMVhK,EAAQ40I,SAAW,SAASx/G,EAAM4L,GAIhC,SAAS18B,EAAKo2B,GAEZ,IADA,IAAIq8B,EAAQ,EACLA,EAAQr8B,EAAIt4B,QACE,KAAfs4B,EAAIq8B,GADiBA,KAK3B,IADA,IAAIhL,EAAMrxB,EAAIt4B,OAAS,EAChB2pD,GAAO,GACK,KAAbrxB,EAAIqxB,GADOA,KAIjB,OAAIgL,EAAQhL,EAAY,GACjBrxB,EAAIxtB,MAAM6pD,EAAOhL,EAAMgL,EAAQ,GAfxC3hC,EAAOp1B,EAAQsmB,QAAQ8O,GAAMgE,OAAO,GACpC4H,EAAKhhC,EAAQsmB,QAAQ0a,GAAI5H,OAAO,GAsBhC,IALA,IAAIy7G,EAAYvwI,EAAK8wB,EAAKxrB,MAAM,MAC5BkrI,EAAUxwI,EAAK08B,EAAGp3B,MAAM,MAExBxH,EAASrB,KAAKkK,IAAI4pI,EAAUzyI,OAAQ0yI,EAAQ1yI,QAC5C2yI,EAAkB3yI,EACbF,EAAI,EAAGA,EAAIE,EAAQF,IAC1B,GAAI2yI,EAAU3yI,KAAO4yI,EAAQ5yI,GAAI,CAC/B6yI,EAAkB7yI,EAClB,MAIJ,IAAI8yI,EAAc,GAClB,IAAS9yI,EAAI6yI,EAAiB7yI,EAAI2yI,EAAUzyI,OAAQF,IAClD8yI,EAAYx8H,KAAK,MAKnB,OAFAw8H,EAAcA,EAAY/nI,OAAO6nI,EAAQ5nI,MAAM6nI,KAE5B/qI,KAAK,MAG1BhK,EAAQi1I,IAAM,IACdj1I,EAAQk1I,UAAY,IAEpBl1I,EAAQm1I,QAAU,SAAUjnI,GAE1B,GADoB,iBAATA,IAAmBA,GAAc,IACxB,IAAhBA,EAAK9L,OAAc,MAAO,IAK9B,IAJA,IAAI4mB,EAAO9a,EAAKuV,WAAW,GACvB2xH,EAAmB,KAATpsH,EACV+iC,GAAO,EACPspF,GAAe,EACVnzI,EAAIgM,EAAK9L,OAAS,EAAGF,GAAK,IAAKA,EAEtC,GAAa,MADb8mB,EAAO9a,EAAKuV,WAAWvhB,KAEnB,IAAKmzI,EAAc,CACjBtpF,EAAM7pD,EACN,YAIJmzI,GAAe,EAInB,OAAa,IAATtpF,EAAmBqpF,EAAU,IAAM,IACnCA,GAAmB,IAARrpF,EAGN,IAEF79C,EAAKhB,MAAM,EAAG6+C,IAiCvB/rD,EAAQs1I,SAAW,SAAUpnI,EAAMqnI,GACjC,IAAIpwI,EA/BN,SAAkB+I,GACI,iBAATA,IAAmBA,GAAc,IAE5C,IAGIhM,EAHA60D,EAAQ,EACRhL,GAAO,EACPspF,GAAe,EAGnB,IAAKnzI,EAAIgM,EAAK9L,OAAS,EAAGF,GAAK,IAAKA,EAClC,GAA2B,KAAvBgM,EAAKuV,WAAWvhB,IAGhB,IAAKmzI,EAAc,CACjBt+E,EAAQ70D,EAAI,EACZ,YAEgB,IAAT6pD,IAGXspF,GAAe,EACftpF,EAAM7pD,EAAI,GAId,OAAa,IAAT6pD,EAAmB,GAChB79C,EAAKhB,MAAM6pD,EAAOhL,GAMjBupF,CAASpnI,GAIjB,OAHIqnI,GAAOpwI,EAAEi0B,QAAQ,EAAIm8G,EAAInzI,UAAYmzI,IACvCpwI,EAAIA,EAAEi0B,OAAO,EAAGj0B,EAAE/C,OAASmzI,EAAInzI,SAE1B+C,GAGTnF,EAAQw1I,QAAU,SAAUtnI,GACN,iBAATA,IAAmBA,GAAc,IAQ5C,IAPA,IAAIunI,GAAY,EACZC,EAAY,EACZ3pF,GAAO,EACPspF,GAAe,EAGfM,EAAc,EACTzzI,EAAIgM,EAAK9L,OAAS,EAAGF,GAAK,IAAKA,EAAG,CACzC,IAAI8mB,EAAO9a,EAAKuV,WAAWvhB,GAC3B,GAAa,KAAT8mB,GASS,IAAT+iC,IAGFspF,GAAe,EACftpF,EAAM7pD,EAAI,GAEC,KAAT8mB,GAEkB,IAAdysH,EACFA,EAAWvzI,EACY,IAAhByzI,IACPA,EAAc,IACK,IAAdF,IAGTE,GAAe,QArBb,IAAKN,EAAc,CACjBK,EAAYxzI,EAAI,EAChB,OAuBR,OAAkB,IAAduzI,IAA4B,IAAT1pF,GAEH,IAAhB4pF,GAEgB,IAAhBA,GAAqBF,IAAa1pF,EAAM,GAAK0pF,IAAaC,EAAY,EACjE,GAEFxnI,EAAKhB,MAAMuoI,EAAU1pF,IAa9B,IAAI3yB,EAA6B,MAApB,KAAKA,QAAQ,GACpB,SAAU70B,EAAKwyD,EAAOj/C,GAAO,OAAOvT,EAAI60B,OAAO29B,EAAOj/C,IACtD,SAAUvT,EAAKwyD,EAAOj/C,GAEpB,OADIi/C,EAAQ,IAAGA,EAAQxyD,EAAInC,OAAS20D,GAC7BxyD,EAAI60B,OAAO29B,EAAOj/C,M,iDCtSjC,IAAI2M,EAAe,EAAQ,KACvBmxH,EAAe,EAAQ,KACvBC,EAAe,EAAQ,KACvBC,EAAe,EAAQ,KACvBC,EAAe,EAAQ,KACvBC,EAAe,EAAQ,KACvBC,EAAe,EAAQ,KACvBpvF,EAAe,EAAQ,KACvBqvF,EAAe,EAAQ,KAGvBvtI,EAAS,CACX,QAAW,EAAQ,KACnBwtI,KAAM,EAAQ,KACdC,WAAY,EAAQ,MAYlBC,EAAe,oCACfC,EAAe,oCAEnB,SAASC,EAAazuI,GAEpB,IAAIvD,EAAMuD,EAAIxD,OAAO8X,cAErB,OAAOi6H,EAAajhI,KAAK7Q,MAAQ+xI,EAAalhI,KAAK7Q,GAMrD,IAAIiyI,EAAsB,CAAE,QAAS,SAAU,WAE/C,SAASC,EAAc3uI,GACrB,IAAIoxB,EAAS2tB,EAAMrhC,MAAM1d,GAAK,GAE9B,GAAIoxB,EAAOU,YAOJV,EAAOxxB,UAAY8uI,EAAoB3tI,QAAQqwB,EAAOxxB,WAAa,GACtE,IACEwxB,EAAOU,SAAWs8G,EAASQ,QAAQx9G,EAAOU,UAC1C,MAAO6B,IAIb,OAAOorB,EAAMniC,OAAOmiC,EAAMl6B,OAAOuM,IAGnC,SAASy9G,EAAkB7uI,GACzB,IAAIoxB,EAAS2tB,EAAMrhC,MAAM1d,GAAK,GAE9B,GAAIoxB,EAAOU,YAOJV,EAAOxxB,UAAY8uI,EAAoB3tI,QAAQqwB,EAAOxxB,WAAa,GACtE,IACEwxB,EAAOU,SAAWs8G,EAASU,UAAU19G,EAAOU,UAC5C,MAAO6B,IAIb,OAAOorB,EAAMs/E,OAAOt/E,EAAMl6B,OAAOuM,IAyInC,SAAS29G,EAAWC,EAAY7wI,GAC9B,KAAMgE,gBAAgB4sI,GACpB,OAAO,IAAIA,EAAWC,EAAY7wI,GAG/BA,GACEwe,EAAMzhB,SAAS8zI,KAClB7wI,EAAU6wI,GAAc,GACxBA,EAAa,WAWjB7sI,KAAKs2D,OAAS,IAAIy1E,EASlB/rI,KAAKugE,MAAQ,IAAIurE,EASjB9rI,KAAK8sI,KAAO,IAAIjB,EAuBhB7rI,KAAK+sI,SAAW,IAAInB,EASpB5rI,KAAKgtI,QAAU,IAAIhB,EAiBnBhsI,KAAKssI,aAAeA,EAQpBtsI,KAAKwsI,cAAgBA,EAOrBxsI,KAAK0sI,kBAAoBA,EAWzB1sI,KAAKwa,MAAQA,EAQbxa,KAAK2rI,QAAUnxH,EAAMxc,OAAO,GAAI2tI,GAGhC3rI,KAAKhE,QAAU,GACfgE,KAAKwnI,UAAUqF,GAEX7wI,GAAWgE,KAAKkD,IAAIlH,GAuB1B4wI,EAAWr1I,UAAU2L,IAAM,SAAUlH,GAEnC,OADAwe,EAAMxc,OAAOgC,KAAKhE,QAASA,GACpBgE,MAcT4sI,EAAWr1I,UAAUiwI,UAAY,SAAUyF,GACzC,IAAiBJ,EAAb31I,EAAO8I,KAEX,GAAIwa,EAAMzhB,SAASk0I,MAEjBA,EAAUvuI,EADVmuI,EAAaI,IAEG,MAAM,IAAIjgI,MAAM,+BAAiC6/H,EAAa,iBAGhF,IAAKI,EAAW,MAAM,IAAIjgI,MAAM,8CAchC,OAZIigI,EAAQjxI,SAAW9E,EAAKgM,IAAI+pI,EAAQjxI,SAEpCixI,EAAQC,YACV51I,OAAOoR,KAAKukI,EAAQC,YAAYp1I,SAAQ,SAAUlB,GAC5Cq2I,EAAQC,WAAWt2I,GAAMyiE,OAC3BniE,EAAKN,GAAMu2I,MAAM9pB,WAAW4pB,EAAQC,WAAWt2I,GAAMyiE,OAEnD4zE,EAAQC,WAAWt2I,GAAMw2I,QAC3Bl2I,EAAKN,GAAMy2I,OAAOhqB,WAAW4pB,EAAQC,WAAWt2I,GAAMw2I,WAIrDptI,MAqBT4sI,EAAWr1I,UAAU2rH,OAAS,SAAUtzG,EAAMuzG,GAC5C,IAAItpH,EAAS,GAERyU,MAAM9W,QAAQoY,KAASA,EAAO,CAAEA,IAErC,CAAE,OAAQ,QAAS,UAAW9X,SAAQ,SAAUu1B,GAC9CxzB,EAASA,EAAOmJ,OAAOhD,KAAKqtB,GAAO8/G,MAAMjqB,OAAOtzG,GAAM,MACrD5P,MAEHnG,EAASA,EAAOmJ,OAAOhD,KAAKs2D,OAAO+2E,OAAOnqB,OAAOtzG,GAAM,IAEvD,IAAI09H,EAAS19H,EAAKiE,QAAO,SAAUjd,GAAQ,OAAOiD,EAAO+E,QAAQhI,GAAQ,KAEzE,GAAI02I,EAAOn1I,SAAWgrH,EACpB,MAAM,IAAIn2G,MAAM,iDAAmDsgI,GAGrE,OAAOttI,MAWT4sI,EAAWr1I,UAAU+rH,QAAU,SAAU1zG,EAAMuzG,GAC7C,IAAItpH,EAAS,GAERyU,MAAM9W,QAAQoY,KAASA,EAAO,CAAEA,IAErC,CAAE,OAAQ,QAAS,UAAW9X,SAAQ,SAAUu1B,GAC9CxzB,EAASA,EAAOmJ,OAAOhD,KAAKqtB,GAAO8/G,MAAM7pB,QAAQ1zG,GAAM,MACtD5P,MAEHnG,EAASA,EAAOmJ,OAAOhD,KAAKs2D,OAAO+2E,OAAO/pB,QAAQ1zG,GAAM,IAExD,IAAI09H,EAAS19H,EAAKiE,QAAO,SAAUjd,GAAQ,OAAOiD,EAAO+E,QAAQhI,GAAQ,KAEzE,GAAI02I,EAAOn1I,SAAWgrH,EACpB,MAAM,IAAIn2G,MAAM,kDAAoDsgI,GAEtE,OAAOttI,MAoBT4sI,EAAWr1I,UAAUs2B,IAAM,SAAU28B,GACnC,IAAIn8C,EAAO,CAAErO,MAAOgD,OAAOsL,MAAM/W,UAAU0L,MAAMvL,KAAKqC,UAAW,IAEjE,OADAywD,EAAOzkD,MAAMykD,EAAQn8C,GACdrO,MAmBT4sI,EAAWr1I,UAAUgkB,MAAQ,SAAU1T,EAAK6G,GAC1C,GAAmB,iBAAR7G,EACT,MAAM,IAAImF,MAAM,iCAGlB,IAAIhJ,EAAQ,IAAIhE,KAAK8sI,KAAKS,MAAM1lI,EAAK7H,KAAM0O,GAI3C,OAFA1O,KAAK8sI,KAAKhgI,QAAQ9I,GAEXA,EAAMk5B,QAef0vG,EAAWr1I,UAAU8J,OAAS,SAAUwG,EAAK6G,GAG3C,OAFAA,EAAMA,GAAO,GAEN1O,KAAK+sI,SAAS1rI,OAAOrB,KAAKub,MAAM1T,EAAK6G,GAAM1O,KAAKhE,QAAS0S,IAalEk+H,EAAWr1I,UAAUi2I,YAAc,SAAU3lI,EAAK6G,GAChD,IAAI1K,EAAQ,IAAIhE,KAAK8sI,KAAKS,MAAM1lI,EAAK7H,KAAM0O,GAK3C,OAHA1K,EAAMypI,YAAa,EACnBztI,KAAK8sI,KAAKhgI,QAAQ9I,GAEXA,EAAMk5B,QAYf0vG,EAAWr1I,UAAUm2I,aAAe,SAAU7lI,EAAK6G,GAGjD,OAFAA,EAAMA,GAAO,GAEN1O,KAAK+sI,SAAS1rI,OAAOrB,KAAKwtI,YAAY3lI,EAAK6G,GAAM1O,KAAKhE,QAAS0S,IAIxE5Y,EAAOC,QAAU62I,G,ig5BChkBjB,IAAIe,EAAc,GAsClB,SAASlzH,EAAOjF,EAAQo4H,EAASC,GAC/B,IAAI51I,EAAGC,EAAG6mB,EAAM+uH,EAAU9nI,EACtBnM,EAAS,GAcb,IAZuB,iBAAZ+zI,IAETC,EAAeD,EACfA,EAAUnzH,EAAOszH,mBAGQ,IAAhBF,IACTA,GAAc,GAGhB7nI,EA9CF,SAAwB4nI,GACtB,IAAI31I,EAAG2wB,EAAI5iB,EAAQ2nI,EAAYC,GAC/B,GAAI5nI,EAAS,OAAOA,EAIpB,IAFAA,EAAQ2nI,EAAYC,GAAW,GAE1B31I,EAAI,EAAGA,EAAI,IAAKA,IACnB2wB,EAAKnuB,OAAOuhD,aAAa/jD,GAErB,cAAckT,KAAKyd,GAErB5iB,EAAMuI,KAAKqa,GAEX5iB,EAAMuI,KAAK,KAAO,IAAMtW,EAAEZ,SAAS,IAAI2lB,eAAe/Z,OAAO,IAIjE,IAAKhL,EAAI,EAAGA,EAAI21I,EAAQz1I,OAAQF,IAC9B+N,EAAM4nI,EAAQp0H,WAAWvhB,IAAM21I,EAAQ31I,GAGzC,OAAO+N,EAyBCgoI,CAAeJ,GAElB31I,EAAI,EAAGC,EAAIsd,EAAOrd,OAAQF,EAAIC,EAAGD,IAGpC,GAFA8mB,EAAOvJ,EAAOgE,WAAWvhB,GAErB41I,GAAwB,KAAT9uH,GAAyB9mB,EAAI,EAAIC,GAC9C,iBAAiBiT,KAAKqK,EAAOvS,MAAMhL,EAAI,EAAGA,EAAI,IAChD4B,GAAU2b,EAAOvS,MAAMhL,EAAGA,EAAI,GAC9BA,GAAK,OAKT,GAAI8mB,EAAO,IACTllB,GAAUmM,EAAM+Y,QAIlB,GAAIA,GAAQ,OAAUA,GAAQ,MAA9B,CACE,GAAIA,GAAQ,OAAUA,GAAQ,OAAU9mB,EAAI,EAAIC,IAC9C41I,EAAWt4H,EAAOgE,WAAWvhB,EAAI,KACjB,OAAU61I,GAAY,MAAQ,CAC5Cj0I,GAAU0E,mBAAmBiX,EAAOvd,GAAKud,EAAOvd,EAAI,IACpDA,IACA,SAGJ4B,GAAU,iBAIZA,GAAU0E,mBAAmBiX,EAAOvd,IAGtC,OAAO4B,EAGT4gB,EAAOszH,aAAiB,uBACxBtzH,EAAOwzH,eAAiB,YAGxBn4I,EAAOC,QAAU0kB,G,6BC3FjB,IAAIyzH,EAAc,GAwBlB,SAAShS,EAAO1mH,EAAQo4H,GACtB,IAAI5nI,EAQJ,MANuB,iBAAZ4nI,IACTA,EAAU1R,EAAO6R,cAGnB/nI,EA7BF,SAAwB4nI,GACtB,IAAI31I,EAAG2wB,EAAI5iB,EAAQkoI,EAAYN,GAC/B,GAAI5nI,EAAS,OAAOA,EAIpB,IAFAA,EAAQkoI,EAAYN,GAAW,GAE1B31I,EAAI,EAAGA,EAAI,IAAKA,IACnB2wB,EAAKnuB,OAAOuhD,aAAa/jD,GACzB+N,EAAMuI,KAAKqa,GAGb,IAAK3wB,EAAI,EAAGA,EAAI21I,EAAQz1I,OAAQF,IAE9B+N,EADA4iB,EAAKglH,EAAQp0H,WAAWvhB,IACZ,KAAO,IAAM2wB,EAAGvxB,SAAS,IAAI2lB,eAAe/Z,OAAO,GAGjE,OAAO+C,EAaCmoI,CAAeP,GAEhBp4H,EAAOjb,QAAQ,qBAAqB,SAAS6zI,GAClD,IAAIn2I,EAAGC,EAAGm2I,EAAIC,EAAIC,EAAIC,EAAI/jH,EACtB5wB,EAAS,GAEb,IAAK5B,EAAI,EAAGC,EAAIk2I,EAAIj2I,OAAQF,EAAIC,EAAGD,GAAK,GACtCo2I,EAAKxwG,SAASuwG,EAAInrI,MAAMhL,EAAI,EAAGA,EAAI,GAAI,KAE9B,IACP4B,GAAUmM,EAAMqoI,GAIE,MAAV,IAALA,IAAwBp2I,EAAI,EAAIC,GAIf,MAAV,KAFVo2I,EAAKzwG,SAASuwG,EAAInrI,MAAMhL,EAAI,EAAGA,EAAI,GAAI,OAMnC4B,IAHF4wB,EAAQ4jH,GAAM,EAAK,KAAe,GAALC,GAEnB,IACE,KAEA7zI,OAAOuhD,aAAavxB,GAGhCxyB,GAAK,GAKW,MAAV,IAALo2I,IAAwBp2I,EAAI,EAAIC,IAEnCo2I,EAAKzwG,SAASuwG,EAAInrI,MAAMhL,EAAI,EAAGA,EAAI,GAAI,IACvCs2I,EAAK1wG,SAASuwG,EAAInrI,MAAMhL,EAAI,EAAGA,EAAI,GAAI,IAEnB,MAAV,IAALq2I,IAAuC,MAAV,IAALC,KAIzB10I,IAHF4wB,EAAQ4jH,GAAM,GAAM,MAAYC,GAAM,EAAK,KAAe,GAALC,GAE3C,MAAU9jH,GAAO,OAAUA,GAAO,MAChC,MAEAhwB,OAAOuhD,aAAavxB,GAGhCxyB,GAAK,GAKW,MAAV,IAALo2I,IAAwBp2I,EAAI,EAAIC,IAEnCo2I,EAAKzwG,SAASuwG,EAAInrI,MAAMhL,EAAI,EAAGA,EAAI,GAAI,IACvCs2I,EAAK1wG,SAASuwG,EAAInrI,MAAMhL,EAAI,EAAGA,EAAI,GAAI,IACvCu2I,EAAK3wG,SAASuwG,EAAInrI,MAAMhL,EAAI,GAAIA,EAAI,IAAK,IAErB,MAAV,IAALq2I,IAAuC,MAAV,IAALC,IAAuC,MAAV,IAALC,MACnD/jH,EAAQ4jH,GAAM,GAAM,QAAcC,GAAM,GAAM,OAAaC,GAAM,EAAK,KAAe,GAALC,GAEtE,OAAW/jH,EAAM,QACzB5wB,GAAU,QAEV4wB,GAAO,MACP5wB,GAAUY,OAAOuhD,aAAa,OAAUvxB,GAAO,IAAK,OAAgB,KAANA,KAGhExyB,GAAK,GAKT4B,GAAU,IAGZ,OAAOA,KAKXqiI,EAAO6R,aAAiB,cACxB7R,EAAO+R,eAAiB,GAGxBn4I,EAAOC,QAAUmmI,G,6BCrHjBpmI,EAAOC,QAAU,SAAgB8H,GAC/B,IAAIhE,EAAS,GAkBb,OAhBAA,GAAUgE,EAAIJ,UAAY,GAC1B5D,GAAUgE,EAAI4wI,QAAU,KAAO,GAC/B50I,GAAUgE,EAAI6e,KAAO7e,EAAI6e,KAAO,IAAM,GAElC7e,EAAI8xB,WAA2C,IAA/B9xB,EAAI8xB,SAAS/wB,QAAQ,KAEvC/E,GAAU,IAAMgE,EAAI8xB,SAAW,IAE/B91B,GAAUgE,EAAI8xB,UAAY,GAG5B91B,GAAUgE,EAAI+xB,KAAO,IAAM/xB,EAAI+xB,KAAO,GACtC/1B,GAAUgE,EAAIgyB,UAAY,GAC1Bh2B,GAAUgE,EAAI4xB,QAAU,GACxB51B,GAAUgE,EAAI6xB,MAAQ,K,6BCwBxB,SAASg/G,IACP1uI,KAAKvC,SAAW,KAChBuC,KAAKyuI,QAAU,KACfzuI,KAAK0c,KAAO,KACZ1c,KAAK4vB,KAAO,KACZ5vB,KAAK2vB,SAAW,KAChB3vB,KAAK0vB,KAAO,KACZ1vB,KAAKyvB,OAAS,KACdzvB,KAAK6vB,SAAW,KAOlB,IAAI8+G,EAAkB,oBAClBC,EAAc,WAGdC,EAAoB,qCAOpBC,EAAS,CAAE,IAAK,IAAK,IAAK,KAAM,IAAK,KAAM9rI,OAHlC,CAAE,IAAK,IAAK,IAAK,IAAK,IAAK,KAAM,KAAM,OAMhD+rI,EAAa,CAAE,KAAO/rI,OAAO8rI,GAK7BE,EAAe,CAAE,IAAK,IAAK,IAAK,IAAK,KAAMhsI,OAAO+rI,GAClDE,EAAkB,CAAE,IAAK,IAAK,KAE9BC,EAAsB,yBACtBC,EAAoB,+BAIpBC,EAAmB,CACjB,YAAc,EACd,eAAe,GAGjBC,EAAkB,CAChB,MAAQ,EACR,OAAS,EACT,KAAO,EACP,QAAU,EACV,MAAQ,EACR,SAAS,EACT,UAAU,EACV,QAAQ,EACR,WAAW,EACX,SAAS,GAYfX,EAAIn3I,UAAUgkB,MAAQ,SAAS1d,EAAKyxI,GAClC,IAAIr3I,EAAGC,EAAGq3I,EAAYC,EAAKf,EACvB30D,EAAOj8E,EAMX,GAFAi8E,EAAOA,EAAKz/E,QAEPi1I,GAA+C,IAA1BzxI,EAAI8B,MAAM,KAAKxH,OAAc,CAErD,IAAIs3I,EAAaZ,EAAkB74I,KAAK8jF,GACxC,GAAI21D,EAKF,OAJAzvI,KAAK6vB,SAAW4/G,EAAW,GACvBA,EAAW,KACbzvI,KAAKyvB,OAASggH,EAAW,IAEpBzvI,KAIX,IAAIkL,EAAQyjI,EAAgB34I,KAAK8jF,GAoBjC,GAnBI5uE,IAEFqkI,GADArkI,EAAQA,EAAM,IACKiH,cACnBnS,KAAKvC,SAAWyN,EAChB4uE,EAAOA,EAAK3qD,OAAOjkB,EAAM/S,UAOvBm3I,GAAqBpkI,GAAS4uE,EAAK5tE,MAAM,6BAC3CuiI,EAAgC,OAAtB30D,EAAK3qD,OAAO,EAAG,KACRjkB,GAASkkI,EAAiBlkI,KACzC4uE,EAAOA,EAAK3qD,OAAO,GACnBnvB,KAAKyuI,SAAU,KAIdW,EAAiBlkI,KACjBujI,GAAYvjI,IAAUmkI,EAAgBnkI,IAAU,CAkBnD,IAUIwR,EAAMgzH,EAVNC,GAAW,EACf,IAAK13I,EAAI,EAAGA,EAAIg3I,EAAgB92I,OAAQF,KAEzB,KADbu3I,EAAM11D,EAAKl7E,QAAQqwI,EAAgBh3I,QACH,IAAb03I,GAAkBH,EAAMG,KACzCA,EAAUH,GA0Bd,KARgB,KATdE,GAFe,IAAbC,EAEO71D,EAAKzY,YAAY,KAIjByY,EAAKzY,YAAY,IAAKsuE,MAM/BjzH,EAAOo9D,EAAK72E,MAAM,EAAGysI,GACrB51D,EAAOA,EAAK72E,MAAMysI,EAAS,GAC3B1vI,KAAK0c,KAAOA,GAIdizH,GAAW,EACN13I,EAAI,EAAGA,EAAI+2I,EAAa72I,OAAQF,KAEtB,KADbu3I,EAAM11D,EAAKl7E,QAAQowI,EAAa/2I,QACA,IAAb03I,GAAkBH,EAAMG,KACzCA,EAAUH,IAIG,IAAbG,IACFA,EAAU71D,EAAK3hF,QAGS,MAAtB2hF,EAAK61D,EAAU,IAAcA,IACjC,IAAIjyI,EAAOo8E,EAAK72E,MAAM,EAAG0sI,GACzB71D,EAAOA,EAAK72E,MAAM0sI,GAGlB3vI,KAAK4vI,UAAUlyI,GAIfsC,KAAK2vB,SAAW3vB,KAAK2vB,UAAY,GAIjC,IAAIkgH,EAAoC,MAArB7vI,KAAK2vB,SAAS,IACe,MAA5C3vB,KAAK2vB,SAAS3vB,KAAK2vB,SAASx3B,OAAS,GAGzC,IAAK03I,EAAc,CACjB,IAAIC,EAAY9vI,KAAK2vB,SAAShwB,MAAM,MACpC,IAAK1H,EAAI,EAAGC,EAAI43I,EAAU33I,OAAQF,EAAIC,EAAGD,IAAK,CAC5C,IAAIoZ,EAAOy+H,EAAU73I,GACrB,GAAKoZ,IACAA,EAAKnF,MAAMgjI,GAAsB,CAEpC,IADA,IAAIa,EAAU,GACLn9H,EAAI,EAAG8D,EAAIrF,EAAKlZ,OAAQya,EAAI8D,EAAG9D,IAClCvB,EAAKmI,WAAW5G,GAAK,IAIvBm9H,GAAW,IAEXA,GAAW1+H,EAAKuB,GAIpB,IAAKm9H,EAAQ7jI,MAAMgjI,GAAsB,CACvC,IAAIc,EAAaF,EAAU7sI,MAAM,EAAGhL,GAChCg4I,EAAUH,EAAU7sI,MAAMhL,EAAI,GAC9Bi4I,EAAM7+H,EAAKnF,MAAMijI,GACjBe,IACFF,EAAWzhI,KAAK2hI,EAAI,IACpBD,EAAQ1iH,QAAQ2iH,EAAI,KAElBD,EAAQ93I,SACV2hF,EAAOm2D,EAAQlwI,KAAK,KAAO+5E,GAE7B95E,KAAK2vB,SAAWqgH,EAAWjwI,KAAK,KAChC,SAMJC,KAAK2vB,SAASx3B,OA1LD,MA2Lf6H,KAAK2vB,SAAW,IAKdkgH,IACF7vI,KAAK2vB,SAAW3vB,KAAK2vB,SAASR,OAAO,EAAGnvB,KAAK2vB,SAASx3B,OAAS,IAKnE,IAAIu3B,EAAOoqD,EAAKl7E,QAAQ,MACV,IAAV8wB,IAEF1vB,KAAK0vB,KAAOoqD,EAAK3qD,OAAOO,GACxBoqD,EAAOA,EAAK72E,MAAM,EAAGysB,IAEvB,IAAIygH,EAAKr2D,EAAKl7E,QAAQ,KAWtB,OAVY,IAARuxI,IACFnwI,KAAKyvB,OAASqqD,EAAK3qD,OAAOghH,GAC1Br2D,EAAOA,EAAK72E,MAAM,EAAGktI,IAEnBr2D,IAAQ95E,KAAK6vB,SAAWiqD,GACxBu1D,EAAgBE,IAChBvvI,KAAK2vB,WAAa3vB,KAAK6vB,WACzB7vB,KAAK6vB,SAAW,IAGX7vB,MAGT0uI,EAAIn3I,UAAUq4I,UAAY,SAASlyI,GACjC,IAAIkyB,EAAOg/G,EAAY54I,KAAK0H,GACxBkyB,IAEW,OADbA,EAAOA,EAAK,MAEV5vB,KAAK4vB,KAAOA,EAAKT,OAAO,IAE1BzxB,EAAOA,EAAKyxB,OAAO,EAAGzxB,EAAKvF,OAASy3B,EAAKz3B,SAEvCuF,IAAQsC,KAAK2vB,SAAWjyB,IAG9B5H,EAAOC,QA7MP,SAAkB8H,EAAKyxI,GACrB,GAAIzxI,GAAOA,aAAe6wI,EAAO,OAAO7wI,EAExC,IAAI62C,EAAI,IAAIg6F,EAEZ,OADAh6F,EAAEn5B,MAAM1d,EAAKyxI,GACN56F,I,6BC7GT3+C,EAAQq6I,IAAM,EAAQ,KACtBr6I,EAAQs6I,GAAM,EAAQ,KACtBt6I,EAAQu6I,GAAM,EAAQ,KACtBv6I,EAAQqF,EAAM,EAAQ,KACtBrF,EAAQw6I,EAAM,EAAQ,M,cCNtBz6I,EAAOC,QAAQ,yN,6BCIfA,EAAQy6I,eAAuB,EAAQ,KACvCz6I,EAAQ06I,qBAAuB,EAAQ,KACvC16I,EAAQ26I,eAAuB,EAAQ,M,6BCCvC56I,EAAOC,QAAU,SAAwBiO,EAAO8oD,EAAO6jF,GACrD,IAAIvvE,EAAOjb,EAAOp4C,EAAQ6iI,EACtBC,GAAY,EACZ7pH,EAAMhjB,EAAM8sI,OACZC,EAAS/sI,EAAMkV,IAKnB,IAHAlV,EAAMkV,IAAM4zC,EAAQ,EACpBsU,EAAQ,EAEDp9D,EAAMkV,IAAM8N,GAAK,CAEtB,GAAe,MADfjZ,EAAS/J,EAAM6D,IAAI2R,WAAWxV,EAAMkV,OAGpB,MADdkoD,EACiB,CACfjb,GAAQ,EACR,MAMJ,GAFAyqF,EAAU5sI,EAAMkV,IAChBlV,EAAMgtI,GAAG16E,OAAO26E,UAAUjtI,GACX,KAAX+J,EACF,GAAI6iI,IAAY5sI,EAAMkV,IAAM,EAE1BkoD,SACK,GAAIuvE,EAET,OADA3sI,EAAMkV,IAAM63H,GACJ,EAYd,OAPI5qF,IACF0qF,EAAW7sI,EAAMkV,KAInBlV,EAAMkV,IAAM63H,EAELF,I,6BCzCT,IAAItzF,EAAc,EAAQ,KAAmBA,QACzCP,EAAc,EAAQ,KAAmBA,YAG7ClnD,EAAOC,QAAU,SAA8BuE,EAAK4e,EAAK8N,GACvD,IAAIjI,EAAMqiD,EAENtU,EAAQ5zC,EACRrf,EAAS,CACPo/D,IAAI,EACJ//C,IAAK,EACLg4H,MAAO,EACP52I,IAAK,IAGX,GAA4B,KAAxBA,EAAIkf,WAAWN,GAAuB,CAExC,IADAA,IACOA,EAAM8N,GAAK,CAEhB,GAAa,MADbjI,EAAOzkB,EAAIkf,WAAWN,KACQqkC,EAAQx+B,GAAS,OAAOllB,EACtD,GAAa,KAATklB,EAIF,OAHAllB,EAAOqf,IAAMA,EAAM,EACnBrf,EAAOS,IAAM0iD,EAAY1iD,EAAI2I,MAAM6pD,EAAQ,EAAG5zC,IAC9Crf,EAAOo/D,IAAK,EACLp/D,EAEI,KAATklB,GAAyB7F,EAAM,EAAI8N,EACrC9N,GAAO,EAITA,IAIF,OAAOrf,EAMT,IADAunE,EAAQ,EACDloD,EAAM8N,GAGE,MAFbjI,EAAOzkB,EAAIkf,WAAWN,OAKlB6F,EAAO,IAAiB,MAATA,IAEnB,GAAa,KAATA,GAAyB7F,EAAM,EAAI8N,EACrC9N,GAAO,MADT,CASA,GAJa,KAAT6F,GACFqiD,IAGW,KAATriD,EAAuB,CACzB,GAAc,IAAVqiD,EAAe,MACnBA,IAGFloD,IAGF,OAAI4zC,IAAU5zC,GACA,IAAVkoD,IAEJvnE,EAAOS,IAAM0iD,EAAY1iD,EAAI2I,MAAM6pD,EAAO5zC,IAC1Crf,EAAOq3I,MAhEK,EAiEZr3I,EAAOqf,IAAMA,EACbrf,EAAOo/D,IAAK,GANgBp/D,I,6BClE9B,IAAImjD,EAAc,EAAQ,KAAmBA,YAG7ClnD,EAAOC,QAAU,SAAwBuE,EAAK4e,EAAK8N,GACjD,IAAIjI,EACAhR,EACAmjI,EAAQ,EACRpkF,EAAQ5zC,EACRrf,EAAS,CACPo/D,IAAI,EACJ//C,IAAK,EACLg4H,MAAO,EACP52I,IAAK,IAGX,GAAI4e,GAAO8N,EAAO,OAAOntB,EAIzB,GAAe,MAFfkU,EAASzT,EAAIkf,WAAWN,KAEkB,KAAXnL,GAAsC,KAAXA,EAA2B,OAAOlU,EAO5F,IALAqf,IAGe,KAAXnL,IAAmBA,EAAS,IAEzBmL,EAAM8N,GAAK,CAEhB,IADAjI,EAAOzkB,EAAIkf,WAAWN,MACTnL,EAKX,OAJAlU,EAAOqf,IAAMA,EAAM,EACnBrf,EAAOq3I,MAAQA,EACfr3I,EAAOS,IAAM0iD,EAAY1iD,EAAI2I,MAAM6pD,EAAQ,EAAG5zC,IAC9Crf,EAAOo/D,IAAK,EACLp/D,EACW,KAATklB,EACTmyH,IACkB,KAATnyH,GAAyB7F,EAAM,EAAI8N,IAC5C9N,IAC4B,KAAxB5e,EAAIkf,WAAWN,IACjBg4H,KAIJh4H,IAGF,OAAOrf,I,6BCzCT,IAAImE,EAAkB,EAAQ,KAAkBA,OAC5Cg/C,EAAkB,EAAQ,KAAkBA,YAC5CI,EAAkB,EAAQ,KAAkBA,WAK5C+zF,EAAgB,GAgHpB,SAASvF,IA8BP5rI,KAAKq5D,MAAQr7D,EAAO,GAAImzI,GA3I1BA,EAAcC,YAAc,SAAUl0G,EAAQkmF,EAAKpnH,EAAS0S,EAAK2iI,GAC/D,IAAI3rI,EAAQw3B,EAAOkmF,GAEnB,MAAQ,QAAUiuB,EAAIC,YAAY5rI,GAAS,IACnC03C,EAAWlgB,EAAOkmF,GAAKt8G,SACvB,WAIVqqI,EAAcI,WAAa,SAAUr0G,EAAQkmF,EAAKpnH,EAAS0S,EAAK2iI,GAC9D,IAAI3rI,EAAQw3B,EAAOkmF,GAEnB,MAAQ,OAASiuB,EAAIC,YAAY5rI,GAAS,UAClC03C,EAAWlgB,EAAOkmF,GAAKt8G,SACvB,mBAIVqqI,EAAcK,MAAQ,SAAUt0G,EAAQkmF,EAAKpnH,EAAS0S,EAAK2iI,GACzD,IAGIxK,EAAa5uI,EAAGw5I,EAAUC,EAH1BhsI,EAAQw3B,EAAOkmF,GACfQ,EAAOl+G,EAAMk+G,KAAO5mE,EAAYt3C,EAAMk+G,MAAMvpH,OAAS,GACrDs3I,EAAW,GAaf,OAVI/tB,IACF+tB,EAAW/tB,EAAKjkH,MAAM,QAAQ,IASI,KALlCknI,EADE7qI,EAAQiiI,WACIjiI,EAAQiiI,UAAUv4H,EAAMoB,QAAS6qI,IAEjCv0F,EAAW13C,EAAMoB,UAGjBlI,QAAQ,QACfioI,EAAc,KAMnBjjB,GACF3rH,EAAWyN,EAAMo+G,UAAU,SAC3B2tB,EAAW/rI,EAAM48C,MAAQ58C,EAAM48C,MAAMr/C,QAAU,GAE3ChL,EAAI,EACNw5I,EAASljI,KAAK,CAAE,QAASvS,EAAQ41I,WAAaD,IAE9CF,EAASx5I,GAAG,IAAM,IAAM+D,EAAQ41I,WAAaD,EAI/CD,EAAW,CACTpvF,MAAOmvF,GAGD,aAAeJ,EAAIC,YAAYI,GAAY,IAC3C7K,EACA,mBAIF,aAAewK,EAAIC,YAAY5rI,GAAS,IACxCmhI,EACA,mBAIVsK,EAAcnqD,MAAQ,SAAU9pD,EAAQkmF,EAAKpnH,EAAS0S,EAAK2iI,GACzD,IAAI3rI,EAAQw3B,EAAOkmF,GAUnB,OAHA19G,EAAM48C,MAAM58C,EAAMo+G,UAAU,QAAQ,GAClCutB,EAAIQ,mBAAmBnsI,EAAM6/E,SAAUvpF,EAAS0S,GAE3C2iI,EAAIS,YAAY50G,EAAQkmF,EAAKpnH,IAItCm1I,EAAcY,UAAY,SAAU70G,EAAQkmF,EAAKpnH,GAC/C,OAAOA,EAAQg2I,SAAW,WAAa,UAEzCb,EAAcc,UAAY,SAAU/0G,EAAQkmF,EAAKpnH,GAC/C,OAAOA,EAAQk2I,OAAUl2I,EAAQg2I,SAAW,WAAa,SAAY,MAIvEb,EAAc/yI,KAAO,SAAU8+B,EAAQkmF,GACrC,OAAOhmE,EAAWlgB,EAAOkmF,GAAKt8G,UAIhCqqI,EAAcgB,WAAa,SAAUj1G,EAAQkmF,GAC3C,OAAOlmF,EAAOkmF,GAAKt8G,SAErBqqI,EAAciB,YAAc,SAAUl1G,EAAQkmF,GAC5C,OAAOlmF,EAAOkmF,GAAKt8G,SAgDrB8kI,EAASr0I,UAAU+5I,YAAc,SAAqB5rI,GACpD,IAAIzN,EAAGC,EAAG2B,EAEV,IAAK6L,EAAM48C,MAAS,MAAO,GAI3B,IAFAzoD,EAAS,GAEJ5B,EAAI,EAAGC,EAAIwN,EAAM48C,MAAMnqD,OAAQF,EAAIC,EAAGD,IACzC4B,GAAU,IAAMujD,EAAW13C,EAAM48C,MAAMrqD,GAAG,IAAM,KAAOmlD,EAAW13C,EAAM48C,MAAMrqD,GAAG,IAAM,IAGzF,OAAO4B,GAaT+xI,EAASr0I,UAAUu6I,YAAc,SAAqB50G,EAAQkmF,EAAKpnH,GACjE,IAAIq2I,EACAx4I,EAAS,GACTy4I,GAAS,EACT5sI,EAAQw3B,EAAOkmF,GAGnB,OAAI19G,EAAMm+G,OACD,IAULn+G,EAAM66D,QAA4B,IAAnB76D,EAAMg+G,SAAkBN,GAAOlmF,EAAOkmF,EAAM,GAAGS,SAChEhqH,GAAU,MAIZA,KAA8B,IAAnB6L,EAAMg+G,QAAiB,KAAO,KAAOh+G,EAAMoT,IAGtDjf,GAAUmG,KAAKsxI,YAAY5rI,GAGL,IAAlBA,EAAMg+G,SAAiB1nH,EAAQg2I,WACjCn4I,GAAU,MAIR6L,EAAM66D,QACR+xE,GAAS,EAEa,IAAlB5sI,EAAMg+G,SACJN,EAAM,EAAIlmF,EAAO/kC,SAGI,YAFvBk6I,EAAYn1G,EAAOkmF,EAAM,IAEXvkH,MAAqBwzI,EAAUxuB,SAKX,IAAvBwuB,EAAU3uB,SAAkB2uB,EAAUv5H,MAAQpT,EAAMoT,OAF7Dw5H,GAAS,IAWjBz4I,GAAUy4I,EAAS,MAAQ,MAc7B1G,EAASr0I,UAAUm2I,aAAe,SAAUxwG,EAAQlhC,EAAS0S,GAK3D,IAJA,IAAI7P,EACAhF,EAAS,GACTw/D,EAAQr5D,KAAKq5D,MAERphE,EAAI,EAAG4V,EAAMqvB,EAAO/kC,OAAQF,EAAI4V,EAAK5V,SAGjB,IAAhBohE,EAFXx6D,EAAOq+B,EAAOjlC,GAAG4G,MAGfhF,GAAUw/D,EAAMx6D,GAAMq+B,EAAQjlC,EAAG+D,EAAS0S,EAAK1O,MAE/CnG,GAAUmG,KAAK8xI,YAAY50G,EAAQjlC,EAAG+D,GAI1C,OAAOnC,GAcT+xI,EAASr0I,UAAUs6I,mBAAqB,SAAU30G,EAAQlhC,EAAS0S,GAGjE,IAFA,IAAI7U,EAAS,GAEJ5B,EAAI,EAAG4V,EAAMqvB,EAAO/kC,OAAQF,EAAI4V,EAAK5V,IACrB,SAAnBilC,EAAOjlC,GAAG4G,KACZhF,GAAUqjC,EAAOjlC,GAAG6O,QACQ,UAAnBo2B,EAAOjlC,GAAG4G,OACnBhF,GAAUmG,KAAK6xI,mBAAmB30G,EAAOjlC,GAAGstF,SAAUvpF,EAAS0S,IAInE,OAAO7U,GAaT+xI,EAASr0I,UAAU8J,OAAS,SAAU67B,EAAQlhC,EAAS0S,GACrD,IAAIzW,EAAG4V,EAAKhP,EACRhF,EAAS,GACTw/D,EAAQr5D,KAAKq5D,MAEjB,IAAKphE,EAAI,EAAG4V,EAAMqvB,EAAO/kC,OAAQF,EAAI4V,EAAK5V,IAG3B,YAFb4G,EAAOq+B,EAAOjlC,GAAG4G,MAGfhF,GAAUmG,KAAK0tI,aAAaxwG,EAAOjlC,GAAGstF,SAAUvpF,EAAS0S,QACzB,IAAhB2qD,EAAMx6D,GACtBhF,GAAUw/D,EAAMn8B,EAAOjlC,GAAG4G,MAAMq+B,EAAQjlC,EAAG+D,EAAS0S,EAAK1O,MAEzDnG,GAAUmG,KAAK8xI,YAAY50G,EAAQjlC,EAAG+D,EAAS0S,GAInD,OAAO7U,GAGT/D,EAAOC,QAAU61I,G,6BCrUjB,IAAIvpB,EAAS,EAAQ,KAGjBkwB,EAAS,CACX,CAAE,YAAkB,EAAQ,MAC5B,CAAE,QAAkB,EAAQ,MAC5B,CAAE,SAAkB,EAAQ,MAC5B,CAAE,UAAkB,EAAQ,MAC5B,CAAE,eAAkB,EAAQ,MAC5B,CAAE,cAAkB,EAAQ,OAO9B,SAASC,IAMPxyI,KAAKmtI,MAAQ,IAAI9qB,EAEjB,IAAK,IAAIpqH,EAAI,EAAGA,EAAIs6I,EAAOp6I,OAAQF,IACjC+H,KAAKmtI,MAAM5+H,KAAKgkI,EAAOt6I,GAAG,GAAIs6I,EAAOt6I,GAAG,IAU5Cu6I,EAAKj7I,UAAUuV,QAAU,SAAU9I,GACjC,IAAI/L,EAAGC,EAAGmhE,EAIV,IAAKphE,EAAI,EAAGC,GAFZmhE,EAAQr5D,KAAKmtI,MAAM5pB,SAAS,KAENprH,OAAQF,EAAIC,EAAGD,IACnCohE,EAAMphE,GAAG+L,IAIbwuI,EAAKj7I,UAAUg2I,MAAQ,EAAQ,KAG/Bz3I,EAAOC,QAAUy8I,G,6BCpDjB,IAAIC,EAAe,sCACfC,EAAe,UAGnB58I,EAAOC,QAAU,SAAgBiO,GAC/B,IAAI1J,EAMJA,GAHAA,EAAM0J,EAAM6D,IAAItN,QAAQk4I,EAAa,OAG3Bl4I,QAAQm4I,EAAS,KAE3B1uI,EAAM6D,IAAMvN,I,6BCfdxE,EAAOC,QAAU,SAAeiO,GAC9B,IAAI0B,EAEA1B,EAAMypI,aACR/nI,EAAiB,IAAI1B,EAAMy/G,MAAM,SAAU,GAAI,IACzC38G,QAAW9C,EAAM6D,IACvBnC,EAAMmK,IAAW,CAAE,EAAG,GACtBnK,EAAM6/E,SAAW,GACjBvhF,EAAMk5B,OAAO3uB,KAAK7I,IAElB1B,EAAMgtI,GAAGzwE,MAAMhlD,MAAMvX,EAAM6D,IAAK7D,EAAMgtI,GAAIhtI,EAAM0K,IAAK1K,EAAMk5B,U,6BCX/DpnC,EAAOC,QAAU,SAAgBiO,GAC/B,IAA2B0zD,EAAKz/D,EAAGC,EAA/BglC,EAASl5B,EAAMk5B,OAGnB,IAAKjlC,EAAI,EAAGC,EAAIglC,EAAO/kC,OAAQF,EAAIC,EAAGD,IAEnB,YADjBy/D,EAAMx6B,EAAOjlC,IACL4G,MACNmF,EAAMgtI,GAAG16E,OAAO/6C,MAAMm8C,EAAI5wD,QAAS9C,EAAMgtI,GAAIhtI,EAAM0K,IAAKgpD,EAAI6tB,Y,6BCFlE,IAAIloC,EAAiB,EAAQ,KAAmBA,eAMhD,SAASs1F,EAAYr4I,GACnB,MAAO,aAAa6Q,KAAK7Q,GAI3BxE,EAAOC,QAAU,SAAiBiO,GAChC,IAAI/L,EAAG2a,EAAG1a,EAAGglC,EAAQx3B,EAAOktI,EAAc/jF,EAAOgkF,EAAIz0I,EAAM8a,EAAK45H,EAC5D1xE,EAAO2xE,EAAel1I,EAAKm1I,EAASC,EAEpCC,EAZc54I,EAWd64I,EAAcnvI,EAAMk5B,OAGxB,GAAKl5B,EAAMgtI,GAAGh1I,QAAQgxI,QAEtB,IAAKp6H,EAAI,EAAG1a,EAAIi7I,EAAYh7I,OAAQya,EAAI1a,EAAG0a,IACzC,GAA4B,WAAxBugI,EAAYvgI,GAAG/T,MACdmF,EAAMgtI,GAAGhE,QAAQoG,QAAQD,EAAYvgI,GAAG9L,SAU7C,IAJAisI,EAAgB,EAIX96I,GANLilC,EAASi2G,EAAYvgI,GAAG2yE,UAMRptF,OAAS,EAAGF,GAAK,EAAGA,IAIlC,GAA0B,gBAH1B26I,EAAe11G,EAAOjlC,IAGL4G,MAiBjB,GAR0B,gBAAtB+zI,EAAa/zI,OAzCHvE,EA0CGs4I,EAAa9rI,QAzC3B,YAAYqE,KAAK7Q,IAyCsBy4I,EAAgB,GACtDA,IAEEJ,EAAYC,EAAa9rI,UAC3BisI,OAGAA,EAAgB,IAEM,SAAtBH,EAAa/zI,MAAmBmF,EAAMgtI,GAAGhE,QAAQ7hI,KAAKynI,EAAa9rI,SAAU,CAU/E,IARA1I,EAAOw0I,EAAa9rI,QACpBosI,EAAQlvI,EAAMgtI,GAAGhE,QAAQ9gI,MAAM9N,GAG/BywD,EAAQ,GACRuS,EAAQwxE,EAAaxxE,MACrB0xE,EAAU,EAELD,EAAK,EAAGA,EAAKK,EAAM/6I,OAAQ06I,IAE9Bh1I,EAAMq1I,EAAML,GAAIh1I,IAChBm1I,EAAUhvI,EAAMgtI,GAAGxE,cAAc3uI,GAC5BmG,EAAMgtI,GAAG1E,aAAa0G,KAE3BC,EAAUC,EAAML,GAAIz0I,KAWlB60I,EALGC,EAAML,GAAIppF,OAEiB,YAArBypF,EAAML,GAAIppF,QAAyB,YAAYt+C,KAAK8nI,GAGnDjvI,EAAMgtI,GAAGtE,kBAAkBuG,GAF3BjvI,EAAMgtI,GAAGtE,kBAAkB,UAAYuG,GAAS14I,QAAQ,WAAY,IAFpEyJ,EAAMgtI,GAAGtE,kBAAkB,UAAYuG,GAAS14I,QAAQ,aAAc,KAOlF2e,EAAMg6H,EAAML,GAAIpmI,OAENqmI,KACRptI,EAAgB,IAAI1B,EAAMy/G,MAAM,OAAQ,GAAI,IACtC38G,QAAU1I,EAAK6E,MAAM6vI,EAAS55H,GACpCxT,EAAM07D,MAAUA,EAChBvS,EAAMtgD,KAAK7I,KAGbA,EAAgB,IAAI1B,EAAMy/G,MAAM,YAAa,IAAK,IAC5CnhE,MAAU,CAAE,CAAE,OAAQ0wF,IAC5BttI,EAAM07D,MAAUA,IAChB17D,EAAMi+G,OAAU,UAChBj+G,EAAMk+G,KAAU,OAChB/0D,EAAMtgD,KAAK7I,IAEXA,EAAgB,IAAI1B,EAAMy/G,MAAM,OAAQ,GAAI,IACtC38G,QAAUmsI,EAChBvtI,EAAM07D,MAAUA,EAChBvS,EAAMtgD,KAAK7I,IAEXA,EAAgB,IAAI1B,EAAMy/G,MAAM,aAAc,KAAM,IAC9CriD,QAAYA,EAClB17D,EAAMi+G,OAAU,UAChBj+G,EAAMk+G,KAAU,OAChB/0D,EAAMtgD,KAAK7I,GAEXotI,EAAUI,EAAML,GAAIhnI,WAElBinI,EAAU10I,EAAKjG,UACjBuN,EAAgB,IAAI1B,EAAMy/G,MAAM,OAAQ,GAAI,IACtC38G,QAAU1I,EAAK6E,MAAM6vI,GAC3BptI,EAAM07D,MAAUA,EAChBvS,EAAMtgD,KAAK7I,IAIbytI,EAAYvgI,GAAG2yE,SAAWroD,EAASmgB,EAAengB,EAAQjlC,EAAG42D,SApF7D,IADA52D,IACOilC,EAAOjlC,GAAGmpE,QAAUwxE,EAAaxxE,OAA4B,cAAnBlkC,EAAOjlC,GAAG4G,MACzD5G,M,6BC5BV,IAAIo7I,EAAU,+BAIVC,EAAsB,kBAEtBC,EAAiB,mBACjBC,EAAc,CAChB1tI,EAAG,IACHxH,EAAG,IACHooB,EAAG,IACH+sH,GAAI,KAGN,SAASC,EAAUxnI,EAAOtV,GACxB,OAAO48I,EAAY58I,EAAKub,eAG1B,SAASwhI,EAAeC,GACtB,IAAI37I,EAAGyN,EAAOmuI,EAAkB,EAEhC,IAAK57I,EAAI27I,EAAaz7I,OAAS,EAAGF,GAAK,EAAGA,IAGrB,UAFnByN,EAAQkuI,EAAa37I,IAEX4G,MAAoBg1I,IAC5BnuI,EAAMoB,QAAUpB,EAAMoB,QAAQvM,QAAQg5I,EAAgBG,IAGrC,cAAfhuI,EAAM7G,MAAuC,SAAf6G,EAAMk+G,MACtCiwB,IAGiB,eAAfnuI,EAAM7G,MAAwC,SAAf6G,EAAMk+G,MACvCiwB,IAKN,SAASC,EAAaF,GACpB,IAAI37I,EAAGyN,EAAOmuI,EAAkB,EAEhC,IAAK57I,EAAI27I,EAAaz7I,OAAS,EAAGF,GAAK,EAAGA,IAGrB,UAFnByN,EAAQkuI,EAAa37I,IAEX4G,MAAoBg1I,GACxBR,EAAQloI,KAAKzF,EAAMoB,WACrBpB,EAAMoB,QAAUpB,EAAMoB,QACTvM,QAAQ,OAAQ,KAGhBA,QAAQ,UAAW,KAAKA,QAAQ,WAAY,QAC5CA,QAAQ,cAAe,UAAUA,QAAQ,SAAU,KAEnDA,QAAQ,wBAAyB,SAEjCA,QAAQ,mBAAoB,SAC5BA,QAAQ,2BAA4B,UAIlC,cAAfmL,EAAM7G,MAAuC,SAAf6G,EAAMk+G,MACtCiwB,IAGiB,eAAfnuI,EAAM7G,MAAwC,SAAf6G,EAAMk+G,MACvCiwB,IAMN/9I,EAAOC,QAAU,SAAiBiO,GAChC,IAAI+vI,EAEJ,GAAK/vI,EAAMgtI,GAAGh1I,QAAQg4I,YAEtB,IAAKD,EAAS/vI,EAAMk5B,OAAO/kC,OAAS,EAAG47I,GAAU,EAAGA,IAEhB,WAA9B/vI,EAAMk5B,OAAO62G,GAAQl1I,OAErBy0I,EAAoBnoI,KAAKnH,EAAMk5B,OAAO62G,GAAQjtI,UAChD6sI,EAAe3vI,EAAMk5B,OAAO62G,GAAQxuD,UAGlC8tD,EAAQloI,KAAKnH,EAAMk5B,OAAO62G,GAAQjtI,UACpCgtI,EAAa9vI,EAAMk5B,OAAO62G,GAAQxuD,a,6BCjGxC,IAAI/nC,EAAiB,EAAQ,KAAmBA,aAC5CE,EAAiB,EAAQ,KAAmBA,YAC5CD,EAAiB,EAAQ,KAAmBA,eAE5Cw2F,EAAgB,OAChBC,EAAW,QAIf,SAASC,EAAU75I,EAAKmS,EAAOmc,GAC7B,OAAOtuB,EAAI60B,OAAO,EAAG1iB,GAASmc,EAAKtuB,EAAI60B,OAAO1iB,EAAQ,GAGxD,SAAS2nI,EAAgBl3G,EAAQl5B,GAC/B,IAAI/L,EAAGyN,EAAOtH,EAAM2hB,EAAG7G,EAAK8N,EAAKqtH,EAAWvkI,EAAMwkI,EAAUC,EACxDC,EAAiBC,EAAiBC,EAAkBC,EACpDC,EAASC,EAAUjiI,EAAGkiI,EAAUnmH,EAAOomH,EAAWC,EAItD,IAFArmH,EAAQ,GAEH12B,EAAI,EAAGA,EAAIilC,EAAO/kC,OAAQF,IAAK,CAKlC,IAJAyN,EAAQw3B,EAAOjlC,GAEfo8I,EAAYn3G,EAAOjlC,GAAGmpE,MAEjBxuD,EAAI+b,EAAMx2B,OAAS,EAAGya,GAAK,KAC1B+b,EAAM/b,GAAGwuD,OAASizE,GADWzhI,KAKnC,GAFA+b,EAAMx2B,OAASya,EAAI,EAEA,SAAflN,EAAM7G,KAAV,CAGAqa,EAAM,EACN8N,GAFA5oB,EAAOsH,EAAMoB,SAEF3O,OAGX88I,EACA,KAAO/7H,EAAM8N,IACXktH,EAASroI,UAAYqN,EACrB6G,EAAIm0H,EAASl+I,KAAKoI,KAFF,CAchB,GATAw2I,EAAUC,GAAW,EACrB37H,EAAM6G,EAAEtT,MAAQ,EAChBqoI,EAAqB,MAAT/0H,EAAE,GAKdu0H,EAAW,GAEPv0H,EAAEtT,MAAQ,GAAK,EACjB6nI,EAAWl2I,EAAKob,WAAWuG,EAAEtT,MAAQ,QAErC,IAAKmG,EAAI3a,EAAI,EAAG2a,GAAK,IACI,cAAnBsqB,EAAOtqB,GAAG/T,MAA2C,cAAnBq+B,EAAOtqB,GAAG/T,MAD1B+T,IAEtB,GAAuB,SAAnBsqB,EAAOtqB,GAAG/T,KAAd,CAEAy1I,EAAWp3G,EAAOtqB,GAAG9L,QAAQ0S,WAAW0jB,EAAOtqB,GAAG9L,QAAQ3O,OAAS,GACnE,MASJ,GAFAo8I,EAAW,GAEPr7H,EAAM8N,EACRutH,EAAWn2I,EAAKob,WAAWN,QAE3B,IAAKtG,EAAI3a,EAAI,EAAG2a,EAAIsqB,EAAO/kC,SACF,cAAnB+kC,EAAOtqB,GAAG/T,MAA2C,cAAnBq+B,EAAOtqB,GAAG/T,MADf+T,IAEjC,GAAuB,SAAnBsqB,EAAOtqB,GAAG/T,KAAd,CAEA01I,EAAWr3G,EAAOtqB,GAAG9L,QAAQ0S,WAAW,GACxC,MAuCJ,GAnCAg7H,EAAkB/2F,EAAe62F,IAAa52F,EAAYjjD,OAAOuhD,aAAas4F,IAC9EG,EAAkBh3F,EAAe82F,IAAa72F,EAAYjjD,OAAOuhD,aAAau4F,IAE9EG,EAAmBl3F,EAAa82F,IAChCK,EAAmBn3F,EAAa+2F,IAG9BK,GAAU,EACDH,IACHC,GAAoBF,IACxBI,GAAU,IAIVF,EACFG,GAAW,EACFL,IACHG,GAAoBF,IACxBI,GAAW,IAIE,KAAbN,GAAsC,MAATx0H,EAAE,IAC7Bu0H,GAAY,IAAgBA,GAAY,KAE1CO,EAAWD,GAAU,GAIrBA,GAAWC,IAEbD,GAAU,EACVC,EAAWJ,GAGRG,GAAYC,EAAjB,CAQA,GAAIA,EAEF,IAAKjiI,EAAI+b,EAAMx2B,OAAS,EAAGya,GAAK,IAC9B9C,EAAO6e,EAAM/b,KACT+b,EAAM/b,GAAGwuD,MAAQizE,IAFYzhI,IAGjC,GAAI9C,EAAKolI,SAAWJ,GAAYnmH,EAAM/b,GAAGwuD,QAAUizE,EAAW,CAC5DvkI,EAAO6e,EAAM/b,GAETkiI,GACFC,EAAY/wI,EAAMgtI,GAAGh1I,QAAQm5I,OAAO,GACpCH,EAAahxI,EAAMgtI,GAAGh1I,QAAQm5I,OAAO,KAErCJ,EAAY/wI,EAAMgtI,GAAGh1I,QAAQm5I,OAAO,GACpCH,EAAahxI,EAAMgtI,GAAGh1I,QAAQm5I,OAAO,IAMvCzvI,EAAMoB,QAAUqtI,EAAUzuI,EAAMoB,QAASiZ,EAAEtT,MAAOuoI,GAClD93G,EAAOptB,EAAKpK,OAAOoB,QAAUqtI,EAC3Bj3G,EAAOptB,EAAKpK,OAAOoB,QAASgJ,EAAKoJ,IAAK67H,GAExC77H,GAAO87H,EAAW78I,OAAS,EACvB2X,EAAKpK,QAAUzN,IAAKihB,GAAO67H,EAAU58I,OAAS,GAGlD6uB,GADA5oB,EAAOsH,EAAMoB,SACF3O,OAEXw2B,EAAMx2B,OAASya,EACf,SAASqiI,EAKXL,EACFjmH,EAAMpgB,KAAK,CACT7I,MAAOzN,EACPihB,IAAK6G,EAAEtT,MACPyoI,OAAQJ,EACR1zE,MAAOizE,IAEAQ,GAAYC,IACrBpvI,EAAMoB,QAAUqtI,EAAUzuI,EAAMoB,QAASiZ,EAAEtT,MAjKlC,WAgHLqoI,IACFpvI,EAAMoB,QAAUqtI,EAAUzuI,EAAMoB,QAASiZ,EAAEtT,MAjHpC,SAwKjB3W,EAAOC,QAAU,SAAqBiO,GAEpC,IAAI+vI,EAEJ,GAAK/vI,EAAMgtI,GAAGh1I,QAAQg4I,YAEtB,IAAKD,EAAS/vI,EAAMk5B,OAAO/kC,OAAS,EAAG47I,GAAU,EAAGA,IAEhB,WAA9B/vI,EAAMk5B,OAAO62G,GAAQl1I,MACpBo1I,EAAc9oI,KAAKnH,EAAMk5B,OAAO62G,GAAQjtI,UAI7CstI,EAAgBpwI,EAAMk5B,OAAO62G,GAAQxuD,SAAUvhF,K,6BC5LnD,IAAIy/G,EAAQ,EAAQ,KAGpB,SAAS2xB,EAAUvtI,EAAKmpI,EAAItiI,GAC1B1O,KAAK6H,IAAMA,EACX7H,KAAK0O,IAAMA,EACX1O,KAAKk9B,OAAS,GACdl9B,KAAKytI,YAAa,EAClBztI,KAAKgxI,GAAKA,EAIZoE,EAAU79I,UAAUksH,MAAQA,EAG5B3tH,EAAOC,QAAUq/I,G,6BCXjB,IAAI/yB,EAAkB,EAAQ,KAG1BkwB,EAAS,CAGX,CAAE,QAAc,EAAQ,KAA6B,CAAE,YAAa,cACpE,CAAE,OAAc,EAAQ,MACxB,CAAE,QAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,aAAc,SAC/F,CAAE,aAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,aAAc,SAC/F,CAAE,KAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,aAAc,SAC/F,CAAE,OAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,eACjF,CAAE,YAAc,EAAQ,MACxB,CAAE,UAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,eACjF,CAAE,WAAc,EAAQ,MACxB,CAAE,aAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,eACjF,CAAE,YAAc,EAAQ,OAO1B,SAASzG,IAMP9rI,KAAKmtI,MAAQ,IAAI9qB,EAEjB,IAAK,IAAIpqH,EAAI,EAAGA,EAAIs6I,EAAOp6I,OAAQF,IACjC+H,KAAKmtI,MAAM5+H,KAAKgkI,EAAOt6I,GAAG,GAAIs6I,EAAOt6I,GAAG,GAAI,CAAEyuF,KAAM6rD,EAAOt6I,GAAG,IAAM,IAAIgL,UAO5E6oI,EAAYv0I,UAAUglI,SAAW,SAAUv4H,EAAOqxI,EAAWC,GAQ3D,IAPA,IAAQr9I,EACJohE,EAAQr5D,KAAKmtI,MAAM5pB,SAAS,IAC5B11G,EAAMwrD,EAAMlhE,OACZ+2B,EAAOmmH,EACPE,GAAgB,EAChBC,EAAaxxI,EAAMgtI,GAAGh1I,QAAQw5I,WAE3BtmH,EAAOomH,IACZtxI,EAAMkrB,KAAOA,EAAOlrB,EAAMyxI,eAAevmH,KACrCA,GAAQomH,OAIRtxI,EAAM0xI,OAAOxmH,GAAQlrB,EAAM2xI,YANV,CAUrB,GAAI3xI,EAAMo9D,OAASo0E,EAAY,CAC7BxxI,EAAMkrB,KAAOomH,EACb,MAUF,IAAKr9I,EAAI,EAAGA,EAAI4V,IACTwrD,EAAMphE,GAAG+L,EAAOkrB,EAAMomH,GAAS,GADjBr9I,KAOrB+L,EAAM4xI,OAASL,EAGXvxI,EAAM4yH,QAAQ5yH,EAAMkrB,KAAO,KAC7BqmH,GAAgB,IAGlBrmH,EAAOlrB,EAAMkrB,MAEFomH,GAAWtxI,EAAM4yH,QAAQ1nG,KAClCqmH,GAAgB,EAChBrmH,IACAlrB,EAAMkrB,KAAOA,KAWnB48G,EAAYv0I,UAAUgkB,MAAQ,SAAU1T,EAAKmpI,EAAItiI,EAAKmnI,GACpD,IAAI7xI,EAEC6D,IAEL7D,EAAQ,IAAIhE,KAAKutI,MAAM1lI,EAAKmpI,EAAItiI,EAAKmnI,GAErC71I,KAAKu8H,SAASv4H,EAAOA,EAAMkrB,KAAMlrB,EAAM8xI,WAIzChK,EAAYv0I,UAAUg2I,MAAQ,EAAQ,KAGtCz3I,EAAOC,QAAU+1I,G,6BCrHjB,IAAIvuF,EAAU,EAAQ,KAAmBA,QAGzC,SAASw4F,EAAQ/xI,EAAOkrB,GACtB,IAAIhW,EAAMlV,EAAMgyI,OAAO9mH,GAAQlrB,EAAM2xI,UACjC3uH,EAAMhjB,EAAMiyI,OAAO/mH,GAEvB,OAAOlrB,EAAM6D,IAAIsnB,OAAOjW,EAAK8N,EAAM9N,GAGrC,SAASg9H,EAAa57I,GACpB,IAGIsuB,EAHA/uB,EAAS,GACTqf,EAAM,EACN8N,EAAM1sB,EAAInC,OAEVg+I,EAAU,EACVrD,EAAU,EACVsD,GAAa,EACbC,EAAe,EAInB,IAFAztH,EAAMtuB,EAAIkf,WAAWN,GAEdA,EAAM8N,GACA,KAAP4B,EACEwtH,GAGFA,GAAa,EACbC,EAAen9H,GACNi9H,EAAU,GAAM,IACzBC,GAAa,EACbC,EAAen9H,GAED,MAAP0P,GAAuButH,EAAU,GAAM,GAAOC,IACvDv8I,EAAO0U,KAAKjU,EAAI2E,UAAU6zI,EAAS55H,IACnC45H,EAAU55H,EAAM,GAGP,KAAP0P,EACFutH,IAEAA,EAAU,IAGZj9H,IAIY8N,GAAOovH,IACjBA,GAAa,EACbl9H,EAAMm9H,EAAe,GAGvBztH,EAAKtuB,EAAIkf,WAAWN,GAKtB,OAFArf,EAAO0U,KAAKjU,EAAI2E,UAAU6zI,IAEnBj5I,EAIT/D,EAAOC,QAAU,SAAeiO,EAAOqxI,EAAWC,EAAS1qE,GACzD,IAAIhiD,EAAI0tH,EAAUp9H,EAAKjhB,EAAGs+I,EAAUC,EAASC,EAAa/wI,EACtDgxI,EAAQ32H,EAAG42H,EAAYC,EAG3B,GAAIvB,EAAY,EAAIC,EAAW,OAAO,EAItC,GAFAiB,EAAWlB,EAAY,EAEnBrxI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,UAAa,OAAO,EAGvD,GAAI3xI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,WAAa,EAAK,OAAO,EAO5D,IADAz8H,EAAMlV,EAAMgyI,OAAOO,GAAYvyI,EAAM6yI,OAAON,KACjCvyI,EAAMiyI,OAAOM,GAAa,OAAO,EAG5C,GAAW,OADX3tH,EAAK5kB,EAAM6D,IAAI2R,WAAWN,OACO,KAAP0P,GAA6B,KAAPA,EAAsB,OAAO,EAE7E,KAAO1P,EAAMlV,EAAMiyI,OAAOM,IAAW,CAGnC,GAAW,OAFX3tH,EAAK5kB,EAAM6D,IAAI2R,WAAWN,KAEO,KAAP0P,GAA6B,KAAPA,IAAuB20B,EAAQ30B,GAAO,OAAO,EAE7F1P,IAOF,IAFAs9H,GAFAF,EAAWP,EAAQ/xI,EAAOqxI,EAAY,IAEnB11I,MAAM,KACzB+2I,EAAS,GACJz+I,EAAI,EAAGA,EAAIu+I,EAAQr+I,OAAQF,IAAK,CAEnC,KADA8nB,EAAIy2H,EAAQv+I,GAAGoC,QACP,CAGN,GAAU,IAANpC,GAAWA,IAAMu+I,EAAQr+I,OAAS,EACpC,SAEA,OAAO,EAIX,IAAK,WAAWgT,KAAK4U,GAAM,OAAO,EACC,KAA/BA,EAAEvG,WAAWuG,EAAE5nB,OAAS,GAC1Bu+I,EAAOnoI,KAAyB,KAApBwR,EAAEvG,WAAW,GAAqB,SAAW,SAC5B,KAApBuG,EAAEvG,WAAW,GACtBk9H,EAAOnoI,KAAK,QAEZmoI,EAAOnoI,KAAK,IAKhB,IAA+B,KAD/B+nI,EAAWP,EAAQ/xI,EAAOqxI,GAAWh7I,QACxBuE,QAAQ,KAAe,OAAO,EAC3C,GAAIoF,EAAM0xI,OAAOL,GAAarxI,EAAM2xI,WAAa,EAAK,OAAO,EAM7D,IADAc,GAJAD,EAAUN,EAAaI,EAAS/7I,QAAQ,WAAY,MAI9BpC,QACJu+I,EAAOv+I,OAAU,OAAO,EAE1C,GAAIyyE,EAAU,OAAO,EAWrB,KATAllE,EAAY1B,EAAMuK,KAAK,aAAc,QAAS,IACxCsB,IAAM8mI,EAAa,CAAEtB,EAAW,IAEtC3vI,EAAY1B,EAAMuK,KAAK,aAAc,QAAS,IACxCsB,IAAM,CAAEwlI,EAAWA,EAAY,IAErC3vI,EAAY1B,EAAMuK,KAAK,UAAW,KAAM,IAClCsB,IAAM,CAAEwlI,EAAWA,EAAY,GAEhCp9I,EAAI,EAAGA,EAAIu+I,EAAQr+I,OAAQF,KAC9ByN,EAAiB1B,EAAMuK,KAAK,UAAW,KAAM,IACvCsB,IAAW,CAAEwlI,EAAWA,EAAY,GACtCqB,EAAOz+I,KACTyN,EAAM48C,MAAS,CAAE,CAAE,QAAS,cAAgBo0F,EAAOz+I,OAGrDyN,EAAiB1B,EAAMuK,KAAK,SAAU,GAAI,IACpCzH,QAAW0vI,EAAQv+I,GAAGoC,OAC5BqL,EAAMmK,IAAW,CAAEwlI,EAAWA,EAAY,GAC1C3vI,EAAM6/E,SAAW,GAEjB7/E,EAAiB1B,EAAMuK,KAAK,WAAY,MAAO,GASjD,IANA7I,EAAY1B,EAAMuK,KAAK,WAAY,MAAO,GAC1C7I,EAAY1B,EAAMuK,KAAK,cAAe,SAAU,IAEhD7I,EAAY1B,EAAMuK,KAAK,aAAc,QAAS,IACxCsB,IAAM+mI,EAAa,CAAEvB,EAAY,EAAG,GAErCkB,EAAWlB,EAAY,EAAGkB,EAAWjB,KACpCtxI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,aAGJ,KAD/BW,EAAWP,EAAQ/xI,EAAOuyI,GAAUl8I,QACvBuE,QAAQ,QACjBoF,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,WAAa,GALCY,IAAY,CAS7D,IAHAC,EAAUN,EAAaI,EAAS/7I,QAAQ,WAAY,KAEpDmL,EAAQ1B,EAAMuK,KAAK,UAAW,KAAM,GAC/BtW,EAAI,EAAGA,EAAIw+I,EAAax+I,IAC3ByN,EAAiB1B,EAAMuK,KAAK,UAAW,KAAM,GACzCmoI,EAAOz+I,KACTyN,EAAM48C,MAAS,CAAE,CAAE,QAAS,cAAgBo0F,EAAOz+I,OAGrDyN,EAAiB1B,EAAMuK,KAAK,SAAU,GAAI,IACpCzH,QAAW0vI,EAAQv+I,GAAKu+I,EAAQv+I,GAAGoC,OAAS,GAClDqL,EAAM6/E,SAAW,GAEjB7/E,EAAiB1B,EAAMuK,KAAK,WAAY,MAAO,GAEjD7I,EAAQ1B,EAAMuK,KAAK,WAAY,MAAO,GAOxC,OALA7I,EAAQ1B,EAAMuK,KAAK,cAAe,SAAU,GAC5C7I,EAAQ1B,EAAMuK,KAAK,cAAe,SAAU,GAE5CooI,EAAW,GAAKC,EAAW,GAAKL,EAChCvyI,EAAMkrB,KAAOqnH,GACN,I,6BC7LTzgJ,EAAOC,QAAU,SAAciO,EAAOqxI,EAAWC,GAC/C,IAAIiB,EAAU7iH,EAAMhuB,EAEpB,GAAI1B,EAAM0xI,OAAOL,GAAarxI,EAAM2xI,UAAY,EAAK,OAAO,EAI5D,IAFAjiH,EAAO6iH,EAAWlB,EAAY,EAEvBkB,EAAWjB,GAChB,GAAItxI,EAAM4yH,QAAQ2f,GAChBA,QADF,CAKA,KAAIvyI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,WAAa,GAKhD,MAHEjiH,IADA6iH,EAaJ,OANAvyI,EAAMkrB,KAAOwE,GAEbhuB,EAAgB1B,EAAMuK,KAAK,aAAc,OAAQ,IAC3CzH,QAAU9C,EAAM8yI,SAASzB,EAAW3hH,EAAM,EAAI1vB,EAAM2xI,WAAW,GACrEjwI,EAAMmK,IAAU,CAAEwlI,EAAWrxI,EAAMkrB,OAE5B,I,6BC3BTp5B,EAAOC,QAAU,SAAeiO,EAAOqxI,EAAWC,EAAS1qE,GACzD,IAAI78D,EAAQF,EAAK/P,EAAQy4I,EAAUQ,EAAKrxI,EAAOi+G,EAC3CqzB,GAAgB,EAChB99H,EAAMlV,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,GAC7CruH,EAAMhjB,EAAMiyI,OAAOZ,GAGvB,GAAIrxI,EAAM0xI,OAAOL,GAAarxI,EAAM2xI,WAAa,EAAK,OAAO,EAE7D,GAAIz8H,EAAM,EAAI8N,EAAO,OAAO,EAI5B,GAAe,OAFfjZ,EAAS/J,EAAM6D,IAAI2R,WAAWN,KAEW,KAAXnL,EAC5B,OAAO,EAST,GALAgpI,EAAM79H,GAGNrL,GAFAqL,EAAMlV,EAAMizI,UAAU/9H,EAAKnL,IAEfgpI,GAEF,EAAK,OAAO,EAKtB,GAHApzB,EAAS3/G,EAAM6D,IAAI5E,MAAM8zI,EAAK79H,IAC9Bpb,EAASkG,EAAM6D,IAAI5E,MAAMiW,EAAK8N,IAEnBpoB,QAAQnE,OAAOuhD,aAAajuC,KAAY,EAAK,OAAO,EAG/D,GAAI68D,EAAU,OAAO,EAKrB,IAFA2rE,EAAWlB,MAGTkB,GACgBjB,OAMhBp8H,EAAM69H,EAAM/yI,EAAMgyI,OAAOO,GAAYvyI,EAAM6yI,OAAON,KAClDvvH,EAAMhjB,EAAMiyI,OAAOM,KAEFvyI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,YAOhD,GAAI3xI,EAAM6D,IAAI2R,WAAWN,KAASnL,KAE9B/J,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,WAAa,IAKhDz8H,EAAMlV,EAAMizI,UAAU/9H,EAAKnL,IAGjBgpI,EAAMlpI,IAGhBqL,EAAMlV,EAAMkzI,WAAWh+H,IAEb8N,GAAV,CAEAgwH,GAAgB,EAEhB,MAcF,OAVAnpI,EAAM7J,EAAM0xI,OAAOL,GAEnBrxI,EAAMkrB,KAAOqnH,GAAYS,EAAgB,EAAI,IAE7CtxI,EAAgB1B,EAAMuK,KAAK,QAAS,OAAQ,IACtCq1G,KAAU9lH,EAChB4H,EAAMoB,QAAU9C,EAAM8yI,SAASzB,EAAY,EAAGkB,EAAU1oI,GAAK,GAC7DnI,EAAMi+G,OAAUA,EAChBj+G,EAAMmK,IAAU,CAAEwlI,EAAWrxI,EAAMkrB,OAE5B,I,6BCxFT,IAAIquB,EAAU,EAAQ,KAAmBA,QAGzCznD,EAAOC,QAAU,SAAoBiO,EAAOqxI,EAAWC,EAAS1qE,GAC9D,IAAIusE,EACAvuH,EACA3wB,EACAm/I,EACAl/I,EACAm/I,EACAnG,EACAqF,EACA1nG,EACAyoG,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACApyI,EACAqyI,EACAC,EAAah0I,EAAM8xI,QACnB58H,EAAMlV,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,GAC7CruH,EAAMhjB,EAAMiyI,OAAOZ,GAGvB,GAAIrxI,EAAM0xI,OAAOL,GAAarxI,EAAM2xI,WAAa,EAAK,OAAO,EAG7D,GAAoC,KAAhC3xI,EAAM6D,IAAI2R,WAAWN,KAA0B,OAAO,EAI1D,GAAI0xD,EAAU,OAAO,EAqCrB,IAlCAwsE,EAAUvoG,EAAS7qC,EAAM0xI,OAAOL,GAAan8H,GAAOlV,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,IAGzD,KAA9BrxI,EAAM6D,IAAI2R,WAAWN,IAGvBA,IACAk+H,IACAvoG,IACAsoG,GAAY,EACZS,GAAmB,GACoB,IAA9B5zI,EAAM6D,IAAI2R,WAAWN,IAC9B0+H,GAAmB,GAEd5zI,EAAMi0I,QAAQ5C,GAAaxmG,GAAU,GAAM,GAG9C31B,IACAk+H,IACAvoG,IACAsoG,GAAY,GAKZA,GAAY,GAGdS,GAAmB,EAGrBN,EAAY,CAAEtzI,EAAMgyI,OAAOX,IAC3BrxI,EAAMgyI,OAAOX,GAAan8H,EAEnBA,EAAM8N,IACX4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,GAEtBqkC,EAAQ30B,KACC,IAAPA,EACFimB,GAAU,GAAKA,EAAS7qC,EAAMi0I,QAAQ5C,IAAc8B,EAAY,EAAI,IAAM,EAE1EtoG,IAMJ31B,IAsCF,IAnCAq+H,EAAa,CAAEvzI,EAAMi0I,QAAQ5C,IAC7BrxI,EAAMi0I,QAAQ5C,GAAarxI,EAAM0xI,OAAOL,GAAa,GAAKuC,EAAmB,EAAI,GAEjFP,EAAgBn+H,GAAO8N,EAEvB0wH,EAAY,CAAE1zI,EAAM0xI,OAAOL,IAC3BrxI,EAAM0xI,OAAOL,GAAaxmG,EAASuoG,EAEnCO,EAAY,CAAE3zI,EAAM6yI,OAAOxB,IAC3BrxI,EAAM6yI,OAAOxB,GAAan8H,EAAMlV,EAAMgyI,OAAOX,GAE7CyC,EAAkB9zI,EAAMgtI,GAAGzwE,MAAM4sE,MAAM5pB,SAAS,cAEhDk0B,EAAgBzzI,EAAM43E,WACtB53E,EAAM43E,WAAa,aACnBm8D,GAAe,EAoBVxB,EAAWlB,EAAY,EAAGkB,EAAWjB,IASpCtxI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,YAAWoC,GAAe,MAE7D7+H,EAAMlV,EAAMgyI,OAAOO,GAAYvyI,EAAM6yI,OAAON,MAC5CvvH,EAAMhjB,EAAMiyI,OAAOM,MAZ8BA,IAmBjD,GAAoC,KAAhCvyI,EAAM6D,IAAI2R,WAAWN,MAA2B6+H,EAApD,CAoEA,GAAIV,EAAiB,MAIrB,IADAQ,GAAY,EACP5/I,EAAI,EAAGC,EAAI4/I,EAAgB3/I,OAAQF,EAAIC,EAAGD,IAC7C,GAAI6/I,EAAgB7/I,GAAG+L,EAAOuyI,EAAUjB,GAAS,GAAO,CACtDuC,GAAY,EACZ,MAIJ,GAAIA,EAAW,CAKb7zI,EAAM8xI,QAAUS,EAEQ,IAApBvyI,EAAM2xI,YAIR2B,EAAU/oI,KAAKvK,EAAMgyI,OAAOO,IAC5BgB,EAAWhpI,KAAKvK,EAAMi0I,QAAQ1B,IAC9BoB,EAAUppI,KAAKvK,EAAM6yI,OAAON,IAC5BmB,EAAUnpI,KAAKvK,EAAM0xI,OAAOa,IAC5BvyI,EAAM0xI,OAAOa,IAAavyI,EAAM2xI,WAGlC,MAGF2B,EAAU/oI,KAAKvK,EAAMgyI,OAAOO,IAC5BgB,EAAWhpI,KAAKvK,EAAMi0I,QAAQ1B,IAC9BoB,EAAUppI,KAAKvK,EAAM6yI,OAAON,IAC5BmB,EAAUnpI,KAAKvK,EAAM0xI,OAAOa,IAI5BvyI,EAAM0xI,OAAOa,IAAa,MA3G1B,CAsCE,IAlCAa,EAAUvoG,EAAS7qC,EAAM0xI,OAAOa,GAAYr9H,GAAOlV,EAAMgyI,OAAOO,GAAYvyI,EAAM6yI,OAAON,IAGvD,KAA9BvyI,EAAM6D,IAAI2R,WAAWN,IAGvBA,IACAk+H,IACAvoG,IACAsoG,GAAY,EACZS,GAAmB,GACoB,IAA9B5zI,EAAM6D,IAAI2R,WAAWN,IAC9B0+H,GAAmB,GAEd5zI,EAAMi0I,QAAQ1B,GAAY1nG,GAAU,GAAM,GAG7C31B,IACAk+H,IACAvoG,IACAsoG,GAAY,GAKZA,GAAY,GAGdS,GAAmB,EAGrBN,EAAU/oI,KAAKvK,EAAMgyI,OAAOO,IAC5BvyI,EAAMgyI,OAAOO,GAAYr9H,EAElBA,EAAM8N,IACX4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,GAEtBqkC,EAAQ30B,KACC,IAAPA,EACFimB,GAAU,GAAKA,EAAS7qC,EAAMi0I,QAAQ1B,IAAaY,EAAY,EAAI,IAAM,EAEzEtoG,IAMJ31B,IAGFm+H,EAAgBn+H,GAAO8N,EAEvBuwH,EAAWhpI,KAAKvK,EAAMi0I,QAAQ1B,IAC9BvyI,EAAMi0I,QAAQ1B,GAAYvyI,EAAM0xI,OAAOa,GAAY,GAAKqB,EAAmB,EAAI,GAE/EF,EAAUnpI,KAAKvK,EAAM0xI,OAAOa,IAC5BvyI,EAAM0xI,OAAOa,GAAY1nG,EAASuoG,EAElCO,EAAUppI,KAAKvK,EAAM6yI,OAAON,IAC5BvyI,EAAM6yI,OAAON,GAAYr9H,EAAMlV,EAAMgyI,OAAOO,GAiEhD,IAlBAiB,EAAYxzI,EAAM2xI,UAClB3xI,EAAM2xI,UAAY,GAElBjwI,EAAe1B,EAAMuK,KAAK,kBAAmB,aAAc,IACrDo1G,OAAS,IACfj+G,EAAMmK,IAASqhI,EAAQ,CAAEmE,EAAW,GAEpCrxI,EAAMgtI,GAAGzwE,MAAMg8D,SAASv4H,EAAOqxI,EAAWkB,IAE1C7wI,EAAe1B,EAAMuK,KAAK,mBAAoB,cAAe,IACvDo1G,OAAS,IAEf3/G,EAAM8xI,QAAUkC,EAChBh0I,EAAM43E,WAAa67D,EACnBvG,EAAM,GAAKltI,EAAMkrB,KAIZj3B,EAAI,EAAGA,EAAI0/I,EAAUx/I,OAAQF,IAChC+L,EAAMgyI,OAAO/9I,EAAIo9I,GAAaiC,EAAUr/I,GACxC+L,EAAM6yI,OAAO5+I,EAAIo9I,GAAasC,EAAU1/I,GACxC+L,EAAM0xI,OAAOz9I,EAAIo9I,GAAaqC,EAAUz/I,GACxC+L,EAAMi0I,QAAQhgJ,EAAIo9I,GAAakC,EAAWt/I,GAI5C,OAFA+L,EAAM2xI,UAAY6B,GAEX,I,6BCvRT,IAAIj6F,EAAU,EAAQ,KAAmBA,QAGzCznD,EAAOC,QAAU,SAAYiO,EAAOqxI,EAAWC,EAAS1qE,GACtD,IAAI78D,EAAQmqI,EAAKtvH,EAAIljB,EACjBwT,EAAMlV,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,GAC7CruH,EAAMhjB,EAAMiyI,OAAOZ,GAGvB,GAAIrxI,EAAM0xI,OAAOL,GAAarxI,EAAM2xI,WAAa,EAAK,OAAO,EAK7D,GAAe,MAHf5nI,EAAS/J,EAAM6D,IAAI2R,WAAWN,OAIf,KAAXnL,GACW,KAAXA,EACF,OAAO,EAMT,IADAmqI,EAAM,EACCh/H,EAAM8N,GAAK,CAEhB,IADA4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,QACfnL,IAAWwvC,EAAQ30B,GAAO,OAAO,EACxCA,IAAO7a,GAAUmqI,IAGvB,QAAIA,EAAM,KAENttE,IAEJ5mE,EAAMkrB,KAAOmmH,EAAY,GAEzB3vI,EAAe1B,EAAMuK,KAAK,KAAM,KAAM,IAChCsB,IAAS,CAAEwlI,EAAWrxI,EAAMkrB,MAClCxpB,EAAMi+G,OAASr1G,MAAM4pI,EAAM,GAAGn4I,KAAKtF,OAAOuhD,aAAajuC,MANlC,K,6BC/BvB,IAAIwvC,EAAU,EAAQ,KAAmBA,QAKzC,SAAS46F,EAAqBn0I,EAAOqxI,GACnC,IAAItnI,EAAQmL,EAAK8N,EAAK4B,EAOtB,OALA1P,EAAMlV,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,GAC7CruH,EAAMhjB,EAAMiyI,OAAOZ,GAIJ,MAFftnI,EAAS/J,EAAM6D,IAAI2R,WAAWN,OAGf,KAAXnL,GACW,KAAXA,GAIAmL,EAAM8N,IACR4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,IAErBqkC,EAAQ30B,KANL,EAYH1P,EAKT,SAASk/H,EAAsBp0I,EAAOqxI,GACpC,IAAIzsH,EACAkkC,EAAQ9oD,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,GAC/Cn8H,EAAM4zC,EACN9lC,EAAMhjB,EAAMiyI,OAAOZ,GAGvB,GAAIn8H,EAAM,GAAK8N,EAAO,OAAQ,EAI9B,IAFA4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,MAEjB,IAAe0P,EAAK,GAAe,OAAQ,EAEpD,OAAS,CAEP,GAAI1P,GAAO8N,EAAO,OAAQ,EAI1B,MAFA4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,OAEhB,IAAe0P,GAAM,IAA/B,CAUA,GAAW,KAAPA,GAA6B,KAAPA,EACxB,MAGF,OAAQ,EAVN,GAAI1P,EAAM4zC,GAAS,GAAM,OAAQ,EAcrC,OAAI5zC,EAAM8N,IACR4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,IAErBqkC,EAAQ30B,KAEH,EAGL1P,EAiBTpjB,EAAOC,QAAU,SAAciO,EAAOqxI,EAAWC,EAAS1qE,GACxD,IAAIhiD,EACAyvH,EACApgJ,EACAqgJ,EACAC,EACAnB,EACAoB,EACAC,EACAvgJ,EACAwgJ,EACAC,EACAC,EACAC,EACA7xH,EACAuvH,EACA1nG,EACA2oG,EACAsB,EACArB,EACAE,EACAoB,EACA7/H,EACA8/H,EACAC,EACAnsF,EACA+qF,EACAC,EACApyI,EACAwzI,GAAyB,EACzBtD,GAAQ,EAGZ,GAAI5xI,EAAM0xI,OAAOL,GAAarxI,EAAM2xI,WAAa,EAAK,OAAO,EAgB7D,GAZI/qE,GAA+B,cAArB5mE,EAAM43E,YAMd53E,EAAM6yI,OAAOxB,IAAcrxI,EAAM2xI,YACnCuD,GAAyB,IAKxBF,EAAiBZ,EAAsBp0I,EAAOqxI,KAAe,GAOhE,GANAmD,GAAY,EACZ1rF,EAAQ9oD,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,GAC/CwD,EAAc9vI,OAAO/E,EAAM6D,IAAIsnB,OAAO29B,EAAOksF,EAAiBlsF,EAAQ,IAIlEosF,GAA0C,IAAhBL,EAAmB,OAAO,MAEnD,OAAKG,EAAiBb,EAAqBn0I,EAAOqxI,KAAe,GAItE,OAAO,EAHPmD,GAAY,EAQd,GAAIU,GACEl1I,EAAMkzI,WAAW8B,IAAmBh1I,EAAMiyI,OAAOZ,GAAY,OAAO,EAO1E,GAHAuD,EAAiB50I,EAAM6D,IAAI2R,WAAWw/H,EAAiB,GAGnDpuE,EAAU,OAAO,EA6BrB,IA1BA+tE,EAAa30I,EAAMk5B,OAAO/kC,OAEtBqgJ,GACF9yI,EAAc1B,EAAMuK,KAAK,oBAAqB,KAAM,GAChC,IAAhBsqI,IACFnzI,EAAM48C,MAAQ,CAAE,CAAE,QAASu2F,MAI7BnzI,EAAc1B,EAAMuK,KAAK,mBAAoB,KAAM,GAGrD7I,EAAMmK,IAAS6oI,EAAY,CAAErD,EAAW,GACxC3vI,EAAMi+G,OAASlpH,OAAOuhD,aAAa48F,GAMnCrC,EAAWlB,EACX4D,GAAe,EACfnB,EAAkB9zI,EAAMgtI,GAAGzwE,MAAM4sE,MAAM5pB,SAAS,QAEhDk0B,EAAgBzzI,EAAM43E,WACtB53E,EAAM43E,WAAa,OAEZ26D,EAAWjB,GAAS,CAMzB,IALAp8H,EAAM8/H,EACNhyH,EAAMhjB,EAAMiyI,OAAOM,GAEnBa,EAAUvoG,EAAS7qC,EAAM0xI,OAAOa,GAAYyC,GAAkBh1I,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,IAE9Fn8H,EAAM8N,GAAK,CAGhB,GAAW,KAFX4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,IAGxB21B,GAAU,GAAKA,EAAS7qC,EAAMi0I,QAAQ1B,IAAa,MAC9C,IAAW,KAAP3tH,EAGT,MAFAimB,IAKF31B,IAmEF,IA5DEq/H,GAJFF,EAAen/H,IAEK8N,EAEE,EAEA6nB,EAASuoG,GAKP,IAAKmB,EAAoB,GAIjDD,EAASlB,EAAUmB,GAGnB7yI,EAAe1B,EAAMuK,KAAK,iBAAkB,KAAM,IAC5Co1G,OAASlpH,OAAOuhD,aAAa48F,GACnClzI,EAAMmK,IAAS4oI,EAAY,CAAEpD,EAAW,GAExCmC,EAAYxzI,EAAM2xI,UAClBoD,EAAW/0I,EAAM4xI,MACjB+B,EAAY3zI,EAAM6yI,OAAOxB,GACzByD,EAAa90I,EAAM0xI,OAAOL,GAC1BrxI,EAAM2xI,UAAY2C,EAClBt0I,EAAM4xI,OAAQ,EACd5xI,EAAM6yI,OAAOxB,GAAagD,EAAer0I,EAAMgyI,OAAOX,GACtDrxI,EAAM0xI,OAAOL,GAAaxmG,EAEtBwpG,GAAgBrxH,GAAOhjB,EAAM4yH,QAAQye,EAAY,GAQnDrxI,EAAMkrB,KAAOp4B,KAAKkK,IAAIgD,EAAMkrB,KAAO,EAAGomH,GAEtCtxI,EAAMgtI,GAAGzwE,MAAMg8D,SAASv4H,EAAOqxI,EAAWC,GAAS,GAIhDtxI,EAAM4xI,QAASqD,IAClBrD,GAAQ,GAIVqD,EAAgBj1I,EAAMkrB,KAAOmmH,EAAa,GAAKrxI,EAAM4yH,QAAQ5yH,EAAMkrB,KAAO,GAE1ElrB,EAAM2xI,UAAY6B,EAClBxzI,EAAM6yI,OAAOxB,GAAasC,EAC1B3zI,EAAM0xI,OAAOL,GAAayD,EAC1B90I,EAAM4xI,MAAQmD,GAEdrzI,EAAe1B,EAAMuK,KAAK,kBAAmB,MAAO,IAC9Co1G,OAASlpH,OAAOuhD,aAAa48F,GAEnCrC,EAAWlB,EAAYrxI,EAAMkrB,KAC7BupH,EAAU,GAAKlC,EACf8B,EAAer0I,EAAMgyI,OAAOX,GAExBkB,GAAYjB,EAAW,MAK3B,GAAItxI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,UAAa,MAIhD,IADAkC,GAAY,EACP5/I,EAAI,EAAGC,EAAI4/I,EAAgB3/I,OAAQF,EAAIC,EAAGD,IAC7C,GAAI6/I,EAAgB7/I,GAAG+L,EAAOuyI,EAAUjB,GAAS,GAAO,CACtDuC,GAAY,EACZ,MAGJ,GAAIA,EAAa,MAGjB,GAAIW,GAEF,IADAQ,EAAiBZ,EAAsBp0I,EAAOuyI,IACzB,EAAK,WAG1B,IADAyC,EAAiBb,EAAqBn0I,EAAOuyI,IACxB,EAAK,MAG5B,GAAIqC,IAAmB50I,EAAM6D,IAAI2R,WAAWw/H,EAAiB,GAAM,MAqBrE,OAhBEtzI,EADE8yI,EACMx0I,EAAMuK,KAAK,qBAAsB,MAAO,GAExCvK,EAAMuK,KAAK,oBAAqB,MAAO,IAE3Co1G,OAASlpH,OAAOuhD,aAAa48F,GAEnCF,EAAU,GAAKnC,EACfvyI,EAAMkrB,KAAOqnH,EAEbvyI,EAAM43E,WAAa67D,EAGf7B,GArPN,SAA6B5xI,EAAOo/G,GAClC,IAAInrH,EAAGC,EACHkpE,EAAQp9D,EAAMo9D,MAAQ,EAE1B,IAAKnpE,EAAImrH,EAAM,EAAGlrH,EAAI8L,EAAMk5B,OAAO/kC,OAAS,EAAGF,EAAIC,EAAGD,IAChD+L,EAAMk5B,OAAOjlC,GAAGmpE,QAAUA,GAAkC,mBAAzBp9D,EAAMk5B,OAAOjlC,GAAG4G,OACrDmF,EAAMk5B,OAAOjlC,EAAI,GAAG4rH,QAAS,EAC7B7/G,EAAMk5B,OAAOjlC,GAAG4rH,QAAS,EACzB5rH,GAAK,GA8OPkhJ,CAAoBn1I,EAAO20I,IAGtB,I,6BC3UT,IAAI/6F,EAAuB,EAAQ,KAAmBA,mBAClDL,EAAuB,EAAQ,KAAmBA,QAGtDznD,EAAOC,QAAU,SAAmBiO,EAAOqxI,EAAW+D,EAAUxuE,GAC9D,IAAIhiD,EACAywH,EACAC,EACAhE,EACA9lH,EACAv3B,EACAC,EACAqhJ,EACA1I,EACA4G,EACA5vH,EACAilC,EACAxyD,EACAu9I,EACAC,EACAtpI,EACA0iI,EAAQ,EACRh4H,EAAMlV,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,GAC7CruH,EAAMhjB,EAAMiyI,OAAOZ,GACnBkB,EAAWlB,EAAY,EAG3B,GAAIrxI,EAAM0xI,OAAOL,GAAarxI,EAAM2xI,WAAa,EAAK,OAAO,EAE7D,GAAkC,KAA9B3xI,EAAM6D,IAAI2R,WAAWN,GAAwB,OAAO,EAIxD,OAASA,EAAM8N,GACb,GAAkC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,IACa,KAAlClV,EAAM6D,IAAI2R,WAAWN,EAAM,GAAoB,CACjD,GAAIA,EAAM,IAAM8N,EAAO,OAAO,EAC9B,GAAsC,KAAlChjB,EAAM6D,IAAI2R,WAAWN,EAAM,GAAsB,OAAO,EAC5D,MAYJ,IARAo8H,EAAUtxI,EAAM8xI,QAGhBgC,EAAkB9zI,EAAMgtI,GAAGzwE,MAAM4sE,MAAM5pB,SAAS,aAEhDk0B,EAAgBzzI,EAAM43E,WACtB53E,EAAM43E,WAAa,YAEZ26D,EAAWjB,IAAYtxI,EAAM4yH,QAAQ2f,GAAWA,IAGrD,KAAIvyI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,UAAY,GAG3C3xI,EAAM0xI,OAAOa,GAAY,GAA7B,CAIA,IADAsB,GAAY,EACP5/I,EAAI,EAAGC,EAAI4/I,EAAgB3/I,OAAQF,EAAIC,EAAGD,IAC7C,GAAI6/I,EAAgB7/I,GAAG+L,EAAOuyI,EAAUjB,GAAS,GAAO,CACtDuC,GAAY,EACZ,MAGJ,GAAIA,EAAa,MAMnB,IAFA7wH,GADA1sB,EAAM0J,EAAM8yI,SAASzB,EAAWkB,EAAUvyI,EAAM2xI,WAAW,GAAOt7I,QACxDlC,OAEL+gB,EAAM,EAAGA,EAAM8N,EAAK9N,IAAO,CAE9B,GAAW,MADX0P,EAAKtuB,EAAIkf,WAAWN,IAElB,OAAO,EACF,GAAW,KAAP0P,EAAqB,CAC9BioH,EAAW33H,EACX,OACgB,KAAP0P,GAEO,KAAPA,KACT1P,EACU8N,GAA+B,KAAxB1sB,EAAIkf,WAAWN,KAHhCg4H,IASJ,GAAIL,EAAW,GAAsC,KAAjCv2I,EAAIkf,WAAWq3H,EAAW,GAAsB,OAAO,EAI3E,IAAK33H,EAAM23H,EAAW,EAAG33H,EAAM8N,EAAK9N,IAElC,GAAW,MADX0P,EAAKtuB,EAAIkf,WAAWN,IAElBg4H,SACK,IAAI3zF,EAAQ30B,GAGjB,MAOJ,KADAf,EAAM7jB,EAAMgtI,GAAGrF,QAAQ8E,qBAAqBn2I,EAAK4e,EAAK8N,IAC7CiyC,GAAM,OAAO,EAGtB,GADAzpC,EAAOxrB,EAAMgtI,GAAGxE,cAAc3kH,EAAIvtB,MAC7B0J,EAAMgtI,GAAG1E,aAAa98G,GAAS,OAAO,EAY3C,IANA6pH,EAJAngI,EAAM2O,EAAI3O,IAKVogI,EAJApI,GAASrpH,EAAIqpH,MAQbpkF,EAAQ5zC,EACDA,EAAM8N,EAAK9N,IAEhB,GAAW,MADX0P,EAAKtuB,EAAIkf,WAAWN,IAElBg4H,SACK,IAAI3zF,EAAQ30B,GAGjB,MAkBJ,IAZAf,EAAM7jB,EAAMgtI,GAAGrF,QAAQ+E,eAAep2I,EAAK4e,EAAK8N,GAC5C9N,EAAM8N,GAAO8lC,IAAU5zC,GAAO2O,EAAIoxC,IACpCzqD,EAAQqZ,EAAIvtB,IACZ4e,EAAM2O,EAAI3O,IACVg4H,GAASrpH,EAAIqpH,QAEb1iI,EAAQ,GACR0K,EAAMmgI,EACNnI,EAAQoI,GAIHpgI,EAAM8N,IACX4B,EAAKtuB,EAAIkf,WAAWN,GACfqkC,EAAQ30B,KACb1P,IAGF,GAAIA,EAAM8N,GAA+B,KAAxB1sB,EAAIkf,WAAWN,IAC1B1K,EAMF,IAHAA,EAAQ,GACR0K,EAAMmgI,EACNnI,EAAQoI,EACDpgI,EAAM8N,IACX4B,EAAKtuB,EAAIkf,WAAWN,GACfqkC,EAAQ30B,KACb1P,IAKN,QAAIA,EAAM8N,GAA+B,KAAxB1sB,EAAIkf,WAAWN,SAKhCqgI,EAAQ37F,EAAmBtjD,EAAI2I,MAAM,EAAG4tI,OAQpCjmE,SAEgC,IAAzB5mE,EAAM0K,IAAI8qI,aACnBx1I,EAAM0K,IAAI8qI,WAAa,SAEkB,IAAhCx1I,EAAM0K,IAAI8qI,WAAWD,KAC9Bv1I,EAAM0K,IAAI8qI,WAAWD,GAAS,CAAE/qI,MAAOA,EAAOghB,KAAMA,IAGtDxrB,EAAM43E,WAAa67D,EAEnBzzI,EAAMkrB,KAAOmmH,EAAYnE,EAAQ,IAXZ,M,6BCpLvB,IAAI3zF,EAAU,EAAQ,KAAmBA,QAGzCznD,EAAOC,QAAU,SAAiBiO,EAAOqxI,EAAWC,EAAS1qE,GAC3D,IAAIhiD,EAAIw4C,EAAOxwB,EAAKlrC,EAChBwT,EAAMlV,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,GAC7CruH,EAAMhjB,EAAMiyI,OAAOZ,GAGvB,GAAIrxI,EAAM0xI,OAAOL,GAAarxI,EAAM2xI,WAAa,EAAK,OAAO,EAI7D,GAAW,MAFX/sH,EAAM5kB,EAAM6D,IAAI2R,WAAWN,KAEDA,GAAO8N,EAAO,OAAO,EAK/C,IAFAo6C,EAAQ,EACRx4C,EAAK5kB,EAAM6D,IAAI2R,aAAaN,GACd,KAAP0P,GAAsB1P,EAAM8N,GAAOo6C,GAAS,GACjDA,IACAx4C,EAAK5kB,EAAM6D,IAAI2R,aAAaN,GAG9B,QAAIkoD,EAAQ,GAAMloD,EAAM8N,IAAQu2B,EAAQ30B,MAEpCgiD,IAIJ5jD,EAAMhjB,EAAMy1I,eAAezyH,EAAK9N,IAChC03B,EAAM5sC,EAAM01I,cAAc1yH,EAAK,GAAM9N,IAC3BA,GAAOqkC,EAAQv5C,EAAM6D,IAAI2R,WAAWo3B,EAAM,MAClD5pB,EAAM4pB,GAGR5sC,EAAMkrB,KAAOmmH,EAAY,GAEzB3vI,EAAe1B,EAAMuK,KAAK,eAAgB,IAAM9T,OAAO2mE,GAAQ,IACzDuiD,OAAS,WAAW1gH,MAAM,EAAGm+D,GACnC17D,EAAMmK,IAAS,CAAEwlI,EAAWrxI,EAAMkrB,OAElCxpB,EAAiB1B,EAAMuK,KAAK,SAAU,GAAI,IACpCzH,QAAW9C,EAAM6D,IAAI5E,MAAMiW,EAAK8N,GAAK3sB,OAC3CqL,EAAMmK,IAAW,CAAEwlI,EAAWrxI,EAAMkrB,MACpCxpB,EAAM6/E,SAAW,IAEjB7/E,EAAe1B,EAAMuK,KAAK,gBAAiB,IAAM9T,OAAO2mE,IAAS,IAC3DuiD,OAAS,WAAW1gH,MAAM,EAAGm+D,KAtBd,K,6BCxBvBtrE,EAAOC,QAAU,SAAkBiO,EAAOqxI,EAAWC,GACnD,IAAIxuI,EAAS+wI,EAAW5/I,EAAGC,EAAGwN,EAAOwT,EAAK8N,EAAKo6C,EAAOrzD,EACxB0pI,EAA1BlB,EAAWlB,EAAY,EACvByC,EAAkB9zI,EAAMgtI,GAAGzwE,MAAM4sE,MAAM5pB,SAAS,aAGpD,GAAIv/G,EAAM0xI,OAAOL,GAAarxI,EAAM2xI,WAAa,EAAK,OAAO,EAM7D,IAJA8B,EAAgBzzI,EAAM43E,WACtB53E,EAAM43E,WAAa,YAGZ26D,EAAWjB,IAAYtxI,EAAM4yH,QAAQ2f,GAAWA,IAGrD,KAAIvyI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,UAAY,GAA/C,CAKA,GAAI3xI,EAAM0xI,OAAOa,IAAavyI,EAAM2xI,YAClCz8H,EAAMlV,EAAMgyI,OAAOO,GAAYvyI,EAAM6yI,OAAON,KAC5CvvH,EAAMhjB,EAAMiyI,OAAOM,MAKF,MAFfxoI,EAAS/J,EAAM6D,IAAI2R,WAAWN,KAEW,KAAXnL,KAC5BmL,EAAMlV,EAAMizI,UAAU/9H,EAAKnL,IAC3BmL,EAAMlV,EAAMkzI,WAAWh+H,KAEZ8N,GAAK,CACdo6C,EAAoB,KAAXrzD,EAAyB,EAAI,EACtC,MAOR,KAAI/J,EAAM0xI,OAAOa,GAAY,GAA7B,CAIA,IADAsB,GAAY,EACP5/I,EAAI,EAAGC,EAAI4/I,EAAgB3/I,OAAQF,EAAIC,EAAGD,IAC7C,GAAI6/I,EAAgB7/I,GAAG+L,EAAOuyI,EAAUjB,GAAS,GAAO,CACtDuC,GAAY,EACZ,MAGJ,GAAIA,EAAa,OAGnB,QAAKz2E,IAKLt6D,EAAU9C,EAAM8yI,SAASzB,EAAWkB,EAAUvyI,EAAM2xI,WAAW,GAAOt7I,OAEtE2J,EAAMkrB,KAAOqnH,EAAW,GAExB7wI,EAAiB1B,EAAMuK,KAAK,eAAgB,IAAM9T,OAAO2mE,GAAQ,IAC3DuiD,OAAWlpH,OAAOuhD,aAAajuC,GACrCrI,EAAMmK,IAAW,CAAEwlI,EAAWrxI,EAAMkrB,OAEpCxpB,EAAiB1B,EAAMuK,KAAK,SAAU,GAAI,IACpCzH,QAAWA,EACjBpB,EAAMmK,IAAW,CAAEwlI,EAAWrxI,EAAMkrB,KAAO,GAC3CxpB,EAAM6/E,SAAW,IAEjB7/E,EAAiB1B,EAAMuK,KAAK,gBAAiB,IAAM9T,OAAO2mE,IAAS,IAC7DuiD,OAAWlpH,OAAOuhD,aAAajuC,GAErC/J,EAAM43E,WAAa67D,GAEZ,K,6BC5ET,IAAIkC,EAAc,EAAQ,KACtBrd,EAAyB,EAAQ,KAAqBA,uBAKtDsd,EAAiB,CACnB,CAAE,oCAAqC,2BAA2B,GAClE,CAAE,QAAgB,OAAS,GAC3B,CAAE,OAAgB,OAAS,GAC3B,CAAE,WAAgB,KAAS,GAC3B,CAAE,eAAgB,SAAS,GAC3B,CAAE,IAAInuI,OAAO,QAAUkuI,EAAY55I,KAAK,KAAO,mBAAoB,KAAM,MAAM,GAC/E,CAAE,IAAI0L,OAAO6wH,EAAuBrgI,OAAS,SAAW,MAAM,IAIhEnG,EAAOC,QAAU,SAAoBiO,EAAOqxI,EAAWC,EAAS1qE,GAC9D,IAAI3yE,EAAGs+I,EAAU7wI,EAAO4wI,EACpBp9H,EAAMlV,EAAMgyI,OAAOX,GAAarxI,EAAM6yI,OAAOxB,GAC7CruH,EAAMhjB,EAAMiyI,OAAOZ,GAGvB,GAAIrxI,EAAM0xI,OAAOL,GAAarxI,EAAM2xI,WAAa,EAAK,OAAO,EAE7D,IAAK3xI,EAAMgtI,GAAGh1I,QAAQyK,KAAQ,OAAO,EAErC,GAAkC,KAA9BzC,EAAM6D,IAAI2R,WAAWN,GAAwB,OAAO,EAIxD,IAFAo9H,EAAWtyI,EAAM6D,IAAI5E,MAAMiW,EAAK8N,GAE3B/uB,EAAI,EAAGA,EAAI2hJ,EAAezhJ,SACzByhJ,EAAe3hJ,GAAG,GAAGkT,KAAKmrI,GADOr+I,KAIvC,GAAIA,IAAM2hJ,EAAezhJ,OAAU,OAAO,EAE1C,GAAIyyE,EAEF,OAAOgvE,EAAe3hJ,GAAG,GAO3B,GAJAs+I,EAAWlB,EAAY,GAIlBuE,EAAe3hJ,GAAG,GAAGkT,KAAKmrI,GAC7B,KAAOC,EAAWjB,KACZtxI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,WADVY,IAOzB,GAJAr9H,EAAMlV,EAAMgyI,OAAOO,GAAYvyI,EAAM6yI,OAAON,GAC5CvvH,EAAMhjB,EAAMiyI,OAAOM,GACnBD,EAAWtyI,EAAM6D,IAAI5E,MAAMiW,EAAK8N,GAE5B4yH,EAAe3hJ,GAAG,GAAGkT,KAAKmrI,GAAW,CACf,IAApBA,EAASn+I,QAAgBo+I,IAC7B,MAWN,OANAvyI,EAAMkrB,KAAOqnH,GAEb7wI,EAAgB1B,EAAMuK,KAAK,aAAc,GAAI,IACvCsB,IAAU,CAAEwlI,EAAWkB,GAC7B7wI,EAAMoB,QAAU9C,EAAM8yI,SAASzB,EAAWkB,EAAUvyI,EAAM2xI,WAAW,IAE9D,I,6BClET7/I,EAAOC,QAAU,CACf,UACA,UACA,QACA,OACA,WACA,aACA,OACA,UACA,SACA,MACA,WACA,KACA,UACA,SACA,MACA,MACA,KACA,KACA,WACA,aACA,SACA,SACA,OACA,QACA,WACA,KACA,KACA,KACA,KACA,KACA,KACA,OACA,SACA,KACA,OACA,SACA,SACA,KACA,OACA,OACA,OACA,WACA,OACA,MACA,WACA,KACA,WACA,SACA,IACA,QACA,UACA,SACA,UACA,QACA,QACA,KACA,QACA,KACA,QACA,QACA,KACA,QACA,O,6BChEFD,EAAOC,QAAU,SAAmBiO,EAAOqxI,GACzC,IAAIvuI,EAAS+wI,EAAW5/I,EAAGC,EAAGwN,EAAO+xI,EACjClB,EAAWlB,EAAY,EACvByC,EAAkB9zI,EAAMgtI,GAAGzwE,MAAM4sE,MAAM5pB,SAAS,aAChD+xB,EAAUtxI,EAAM8xI,QAMpB,IAJA2B,EAAgBzzI,EAAM43E,WACtB53E,EAAM43E,WAAa,YAGZ26D,EAAWjB,IAAYtxI,EAAM4yH,QAAQ2f,GAAWA,IAGrD,KAAIvyI,EAAM0xI,OAAOa,GAAYvyI,EAAM2xI,UAAY,GAG3C3xI,EAAM0xI,OAAOa,GAAY,GAA7B,CAIA,IADAsB,GAAY,EACP5/I,EAAI,EAAGC,EAAI4/I,EAAgB3/I,OAAQF,EAAIC,EAAGD,IAC7C,GAAI6/I,EAAgB7/I,GAAG+L,EAAOuyI,EAAUjB,GAAS,GAAO,CACtDuC,GAAY,EACZ,MAGJ,GAAIA,EAAa,MAmBnB,OAhBA/wI,EAAU9C,EAAM8yI,SAASzB,EAAWkB,EAAUvyI,EAAM2xI,WAAW,GAAOt7I,OAEtE2J,EAAMkrB,KAAOqnH,GAEb7wI,EAAiB1B,EAAMuK,KAAK,iBAAkB,IAAK,IAC7CsB,IAAW,CAAEwlI,EAAWrxI,EAAMkrB,OAEpCxpB,EAAiB1B,EAAMuK,KAAK,SAAU,GAAI,IACpCzH,QAAWA,EACjBpB,EAAMmK,IAAW,CAAEwlI,EAAWrxI,EAAMkrB,MACpCxpB,EAAM6/E,SAAW,GAEjB7/E,EAAiB1B,EAAMuK,KAAK,kBAAmB,KAAM,GAErDvK,EAAM43E,WAAa67D,GAEZ,I,6BC9CT,IAAIh0B,EAAQ,EAAQ,KAChBlmE,EAAU,EAAQ,KAAmBA,QAGzC,SAASs8F,EAAWhyI,EAAKmpI,EAAItiI,EAAKwuB,GAChC,IAAItU,EAAI4L,EAAGs4B,EAAO5zC,EAAKrL,EAAKyqI,EAAQzpG,EAAQirG,EAsD5C,IApDA95I,KAAK6H,IAAMA,EAGX7H,KAAKgxI,GAASA,EAEdhxI,KAAK0O,IAAMA,EAMX1O,KAAKk9B,OAASA,EAEdl9B,KAAKg2I,OAAS,GACdh2I,KAAKi2I,OAAS,GACdj2I,KAAK62I,OAAS,GACd72I,KAAK01I,OAAS,GAYd11I,KAAKi4I,QAAU,GAGfj4I,KAAK21I,UAAa,EAElB31I,KAAKkvB,KAAa,EAClBlvB,KAAK81I,QAAa,EAClB91I,KAAK41I,OAAa,EAClB51I,KAAK+5I,UAAc,EAInB/5I,KAAK47E,WAAa,OAElB57E,KAAKohE,MAAQ,EAGbphE,KAAKnG,OAAS,GAKdigJ,GAAe,EAEVhtF,EAAQ5zC,EAAMo/H,EAASzpG,EAAS,EAAGhhC,GAHxC2mB,EAAIx0B,KAAK6H,KAGuC1P,OAAQ+gB,EAAMrL,EAAKqL,IAAO,CAGxE,GAFA0P,EAAK4L,EAAEhb,WAAWN,IAEb4gI,EAAc,CACjB,GAAIv8F,EAAQ30B,GAAK,CACf0vH,IAEW,IAAP1vH,EACFimB,GAAU,EAAIA,EAAS,EAEvBA,IAEF,SAEAirG,GAAe,EAIR,KAAPlxH,GAAe1P,IAAQrL,EAAM,IACpB,KAAP+a,GAAe1P,IACnBlZ,KAAKg2I,OAAOznI,KAAKu+C,GACjB9sD,KAAKi2I,OAAO1nI,KAAK2K,GACjBlZ,KAAK62I,OAAOtoI,KAAK+pI,GACjBt4I,KAAK01I,OAAOnnI,KAAKsgC,GACjB7uC,KAAKi4I,QAAQ1pI,KAAK,GAElBurI,GAAe,EACfxB,EAAS,EACTzpG,EAAS,EACTie,EAAQ5zC,EAAM,GAKlBlZ,KAAKg2I,OAAOznI,KAAKimB,EAAEr8B,QACnB6H,KAAKi2I,OAAO1nI,KAAKimB,EAAEr8B,QACnB6H,KAAK62I,OAAOtoI,KAAK,GACjBvO,KAAK01I,OAAOnnI,KAAK,GACjBvO,KAAKi4I,QAAQ1pI,KAAK,GAElBvO,KAAK81I,QAAU91I,KAAKg2I,OAAO79I,OAAS,EAKtC0hJ,EAAWtiJ,UAAUgX,KAAO,SAAU1P,EAAMia,EAAK4qG,GAC/C,IAAIh+G,EAAQ,IAAI+9G,EAAM5kH,EAAMia,EAAK4qG,GAQjC,OAPAh+G,EAAM66D,OAAQ,EAEVmjD,EAAU,GAAK1jH,KAAKohE,QACxB17D,EAAM07D,MAAQphE,KAAKohE,MACfsiD,EAAU,GAAK1jH,KAAKohE,QAExBphE,KAAKk9B,OAAO3uB,KAAK7I,GACVA,GAGTm0I,EAAWtiJ,UAAUq/H,QAAU,SAAiB1nG,GAC9C,OAAOlvB,KAAKg2I,OAAO9mH,GAAQlvB,KAAK62I,OAAO3nH,IAASlvB,KAAKi2I,OAAO/mH,IAG9D2qH,EAAWtiJ,UAAUk+I,eAAiB,SAAwBtqH,GAC5D,IAAK,IAAInE,EAAMhnB,KAAK81I,QAAS3qH,EAAOnE,KAC9BhnB,KAAKg2I,OAAO7qH,GAAQnrB,KAAK62I,OAAO1rH,GAAQnrB,KAAKi2I,OAAO9qH,IADjBA,KAKzC,OAAOA,GAIT0uH,EAAWtiJ,UAAU2/I,WAAa,SAAoBh+H,GAGpD,IAFA,IAAI0P,EAEK5B,EAAMhnB,KAAK6H,IAAI1P,OAAQ+gB,EAAM8N,IACpC4B,EAAK5oB,KAAK6H,IAAI2R,WAAWN,GACpBqkC,EAAQ30B,IAF4B1P,KAI3C,OAAOA,GAIT2gI,EAAWtiJ,UAAUkiJ,eAAiB,SAAwBvgI,EAAKlY,GACjE,GAAIkY,GAAOlY,EAAO,OAAOkY,EAEzB,KAAOA,EAAMlY,GACX,IAAKu8C,EAAQv9C,KAAK6H,IAAI2R,aAAaN,IAAS,OAAOA,EAAM,EAE3D,OAAOA,GAIT2gI,EAAWtiJ,UAAU0/I,UAAY,SAAmB/9H,EAAK6F,GACvD,IAAK,IAAIiI,EAAMhnB,KAAK6H,IAAI1P,OAAQ+gB,EAAM8N,GAChChnB,KAAK6H,IAAI2R,WAAWN,KAAS6F,EADQ7F,KAG3C,OAAOA,GAIT2gI,EAAWtiJ,UAAUmiJ,cAAgB,SAAuBxgI,EAAK6F,EAAM/d,GACrE,GAAIkY,GAAOlY,EAAO,OAAOkY,EAEzB,KAAOA,EAAMlY,GACX,GAAI+d,IAAS/e,KAAK6H,IAAI2R,aAAaN,GAAQ,OAAOA,EAAM,EAE1D,OAAOA,GAIT2gI,EAAWtiJ,UAAUu/I,SAAW,SAAkB7W,EAAOn+E,EAAKw2F,EAAQ0B,GACpE,IAAI/hJ,EAAGgiJ,EAAYrxH,EAAIzP,EAAOua,EAAMnmB,EAAO2sI,EACvChrH,EAAO+wG,EAEX,GAAIA,GAASn+E,EACX,MAAO,GAKT,IAFAv0C,EAAQ,IAAIe,MAAMwzC,EAAMm+E,GAEnBhoI,EAAI,EAAGi3B,EAAO4yB,EAAK5yB,IAAQj3B,IAAK,CAWnC,IAVAgiJ,EAAa,EACbC,EAAY/gI,EAAQnZ,KAAKg2I,OAAO9mH,GAI9BwE,EAFExE,EAAO,EAAI4yB,GAAOk4F,EAEbh6I,KAAKi2I,OAAO/mH,GAAQ,EAEpBlvB,KAAKi2I,OAAO/mH,GAGd/V,EAAQua,GAAQumH,EAAa3B,GAAQ,CAG1C,GAFA1vH,EAAK5oB,KAAK6H,IAAI2R,WAAWL,GAErBokC,EAAQ30B,GACC,IAAPA,EACFqxH,GAAc,GAAKA,EAAaj6I,KAAKi4I,QAAQ/oH,IAAS,EAEtD+qH,QAEG,MAAI9gI,EAAQ+gI,EAAYl6I,KAAK62I,OAAO3nH,IAIzC,MAFA+qH,IAKF9gI,IAMA5L,EAAMtV,GAHJgiJ,EAAa3B,EAGJ,IAAIhqI,MAAM2rI,EAAa3B,EAAS,GAAGv4I,KAAK,KAAOC,KAAK6H,IAAI5E,MAAMkW,EAAOua,GAErE1zB,KAAK6H,IAAI5E,MAAMkW,EAAOua,GAIrC,OAAOnmB,EAAMxN,KAAK,KAIpB85I,EAAWtiJ,UAAUksH,MAAQA,EAG7B3tH,EAAOC,QAAU8jJ,G,6BC7NjB,IAAIx3B,EAAkB,EAAQ,KAM1BkwB,EAAS,CACX,CAAE,OAAmB,EAAQ,MAC7B,CAAE,UAAmB,EAAQ,MAC7B,CAAE,SAAmB,EAAQ,MAC7B,CAAE,YAAmB,EAAQ,MAC7B,CAAE,gBAAmB,EAAQ,KAAgChW,UAC7D,CAAE,WAAmB,EAAQ,KAA2BA,UACxD,CAAE,OAAmB,EAAQ,MAC7B,CAAE,QAAmB,EAAQ,MAC7B,CAAE,WAAmB,EAAQ,MAC7B,CAAE,cAAmB,EAAQ,MAC7B,CAAE,SAAmB,EAAQ,OAG3B4d,EAAU,CACZ,CAAE,gBAAmB,EAAQ,MAC7B,CAAE,gBAAmB,EAAQ,KAAgCrd,aAC7D,CAAE,WAAmB,EAAQ,KAA2BA,aACxD,CAAE,gBAAmB,EAAQ,OAO/B,SAASiP,IACP,IAAI9zI,EASJ,IAFA+H,KAAKmtI,MAAQ,IAAI9qB,EAEZpqH,EAAI,EAAGA,EAAIs6I,EAAOp6I,OAAQF,IAC7B+H,KAAKmtI,MAAM5+H,KAAKgkI,EAAOt6I,GAAG,GAAIs6I,EAAOt6I,GAAG,IAW1C,IAFA+H,KAAKqtI,OAAS,IAAIhrB,EAEbpqH,EAAI,EAAGA,EAAIkiJ,EAAQhiJ,OAAQF,IAC9B+H,KAAKqtI,OAAO9+H,KAAK4rI,EAAQliJ,GAAG,GAAIkiJ,EAAQliJ,GAAG,IAQ/C8zI,EAAax0I,UAAU05I,UAAY,SAAUjtI,GAC3C,IAAIi1D,EAAIhhE,EAAGihB,EAAMlV,EAAMkV,IACnBmgD,EAAQr5D,KAAKmtI,MAAM5pB,SAAS,IAC5B11G,EAAMwrD,EAAMlhE,OACZq9I,EAAaxxI,EAAMgtI,GAAGh1I,QAAQw5I,WAC9BxvI,EAAQhC,EAAMgC,MAGlB,QAA0B,IAAfA,EAAMkT,GAAjB,CAKA,GAAIlV,EAAMo9D,MAAQo0E,EAChB,IAAKv9I,EAAI,EAAGA,EAAI4V,IAKd7J,EAAMo9D,QACNnI,EAAKI,EAAMphE,GAAG+L,GAAO,GACrBA,EAAMo9D,SAEFnI,GATehhE,UAuBrB+L,EAAMkV,IAAMlV,EAAM8sI,OAGf73E,GAAMj1D,EAAMkV,MACjBlT,EAAMkT,GAAOlV,EAAMkV,SAhCjBlV,EAAMkV,IAAMlT,EAAMkT,IAsCtB6yH,EAAax0I,UAAUglI,SAAW,SAAUv4H,GAO1C,IANA,IAAIi1D,EAAIhhE,EACJohE,EAAQr5D,KAAKmtI,MAAM5pB,SAAS,IAC5B11G,EAAMwrD,EAAMlhE,OACZ2pD,EAAM99C,EAAM8sI,OACZ0E,EAAaxxI,EAAMgtI,GAAGh1I,QAAQw5I,WAE3BxxI,EAAMkV,IAAM4oC,GAAK,CAQtB,GAAI99C,EAAMo9D,MAAQo0E,EAChB,IAAKv9I,EAAI,EAAGA,EAAI4V,KACdorD,EAAKI,EAAMphE,GAAG+L,GAAO,IADF/L,KAMvB,GAAIghE,GACF,GAAIj1D,EAAMkV,KAAO4oC,EAAO,WAI1B99C,EAAMm5D,SAAWn5D,EAAM6D,IAAI7D,EAAMkV,OAG/BlV,EAAMm5D,SACRn5D,EAAMo2I,eAUVrO,EAAax0I,UAAUgkB,MAAQ,SAAUjhB,EAAK02I,EAAItiI,EAAKmnI,GACrD,IAAI59I,EAAGohE,EAAOxrD,EACV7J,EAAQ,IAAIhE,KAAKutI,MAAMjzI,EAAK02I,EAAItiI,EAAKmnI,GAOzC,IALA71I,KAAKu8H,SAASv4H,GAGd6J,GADAwrD,EAAQr5D,KAAKqtI,OAAO9pB,SAAS,KACjBprH,OAEPF,EAAI,EAAGA,EAAI4V,EAAK5V,IACnBohE,EAAMphE,GAAG+L,IAKb+nI,EAAax0I,UAAUg2I,MAAQ,EAAQ,KAGvCz3I,EAAOC,QAAUg2I,G,6BCnKjB,SAASsO,EAAiBzxH,GACxB,OAAQA,GACN,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,IACL,KAAK,IACL,KAAK,IACH,OAAO,EACT,QACE,OAAO,GAIb9yB,EAAOC,QAAU,SAAciO,EAAO4mE,GAGpC,IAFA,IAAI1xD,EAAMlV,EAAMkV,IAETA,EAAMlV,EAAM8sI,SAAWuJ,EAAiBr2I,EAAM6D,IAAI2R,WAAWN,KAClEA,IAGF,OAAIA,IAAQlV,EAAMkV,MAEb0xD,IAAU5mE,EAAMm5D,SAAWn5D,EAAM6D,IAAI5E,MAAMe,EAAMkV,IAAKA,IAE3DlV,EAAMkV,IAAMA,GAEL,K,6BCrDT,IAAIqkC,EAAU,EAAQ,KAAmBA,QAGzCznD,EAAOC,QAAU,SAAiBiO,EAAO4mE,GACvC,IAAI0vE,EAAMtzH,EAAK9N,EAAMlV,EAAMkV,IAE3B,GAAkC,KAA9BlV,EAAM6D,IAAI2R,WAAWN,GAAyB,OAAO,EA2BzD,IAzBAohI,EAAOt2I,EAAMm5D,QAAQhlE,OAAS,EAC9B6uB,EAAMhjB,EAAM8sI,OAMPlmE,IACC0vE,GAAQ,GAAwC,KAAnCt2I,EAAMm5D,QAAQ3jD,WAAW8gI,GACpCA,GAAQ,GAA4C,KAAvCt2I,EAAMm5D,QAAQ3jD,WAAW8gI,EAAO,IAC/Ct2I,EAAMm5D,QAAUn5D,EAAMm5D,QAAQ5iE,QAAQ,MAAO,IAC7CyJ,EAAMuK,KAAK,YAAa,KAAM,KAE9BvK,EAAMm5D,QAAUn5D,EAAMm5D,QAAQl6D,MAAM,GAAI,GACxCe,EAAMuK,KAAK,YAAa,KAAM,IAIhCvK,EAAMuK,KAAK,YAAa,KAAM,IAIlC2K,IAGOA,EAAM8N,GAAOu2B,EAAQv5C,EAAM6D,IAAI2R,WAAWN,KAASA,IAG1D,OADAlV,EAAMkV,IAAMA,GACL,I,6BChCT,IAJA,IAAIqkC,EAAU,EAAQ,KAAmBA,QAErCg9F,EAAU,GAELtiJ,EAAI,EAAGA,EAAI,IAAKA,IAAOsiJ,EAAQhsI,KAAK,GAE7C,qCACG5O,MAAM,IAAI7H,SAAQ,SAAU8wB,GAAM2xH,EAAQ3xH,EAAGpP,WAAW,IAAM,KAGjE1jB,EAAOC,QAAU,SAAgBiO,EAAO4mE,GACtC,IAAIhiD,EAAI1P,EAAMlV,EAAMkV,IAAK8N,EAAMhjB,EAAM8sI,OAErC,GAAkC,KAA9B9sI,EAAM6D,IAAI2R,WAAWN,GAAwB,OAAO,EAIxD,KAFAA,EAEU8N,EAAK,CAGb,IAFA4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,IAEjB,KAAuB,IAAhBqhI,EAAQ3xH,GAGtB,OAFKgiD,IAAU5mE,EAAMm5D,SAAWn5D,EAAM6D,IAAIqR,IAC1ClV,EAAMkV,KAAO,GACN,EAGT,GAAW,KAAP0P,EAAa,CAOf,IANKgiD,GACH5mE,EAAMuK,KAAK,YAAa,KAAM,GAGhC2K,IAEOA,EAAM8N,IACX4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,GACrBqkC,EAAQ30B,KACb1P,IAIF,OADAlV,EAAMkV,IAAMA,GACL,GAMX,OAFK0xD,IAAU5mE,EAAMm5D,SAAW,MAChCn5D,EAAMkV,OACC,I,6BC9CTpjB,EAAOC,QAAU,SAAkBiO,EAAO4mE,GACxC,IAAI9d,EAAO9lC,EAAKjZ,EAAQ6xG,EAAYC,EAAUn6G,EAC1CwT,EAAMlV,EAAMkV,IAGhB,GAAW,KAFFlV,EAAM6D,IAAI2R,WAAWN,GAEJ,OAAO,EAMjC,IAJA4zC,EAAQ5zC,EACRA,IACA8N,EAAMhjB,EAAM8sI,OAEL53H,EAAM8N,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,IAAwBA,IAMjE,IAJAnL,EAAS/J,EAAM6D,IAAI5E,MAAM6pD,EAAO5zC,GAEhC0mG,EAAaC,EAAW3mG,GAEoC,KAApD0mG,EAAa57G,EAAM6D,IAAIjJ,QAAQ,IAAKihH,KAAmB,CAG7D,IAFAA,EAAWD,EAAa,EAEjBC,EAAW74F,GAA0C,KAAnChjB,EAAM6D,IAAI2R,WAAWqmG,IAA6BA,IAE3E,GAAIA,EAAWD,IAAe7xG,EAAO5V,OASnC,OARKyyE,KACHllE,EAAgB1B,EAAMuK,KAAK,cAAe,OAAQ,IAC5Co1G,OAAU51G,EAChBrI,EAAMoB,QAAU9C,EAAM6D,IAAI5E,MAAMiW,EAAK0mG,GACXrlH,QAAQ,UAAW,KACnBF,QAE5B2J,EAAMkV,IAAM2mG,GACL,EAMX,OAFKj1C,IAAU5mE,EAAMm5D,SAAWpvD,GAChC/J,EAAMkV,KAAOnL,EAAO5V,QACb,I,6BCrCT,IAAIylD,EAAuB,EAAQ,KAAmBA,mBAClDL,EAAuB,EAAQ,KAAmBA,QAGtDznD,EAAOC,QAAU,SAAciO,EAAO4mE,GACpC,IAAItoB,EACAvjC,EACAw6H,EACA1I,EACA2J,EACAthI,EACA2O,EACAq3B,EACA1wC,EAEAghB,EAAO,GACPuhH,EAAS/sI,EAAMkV,IACf8N,EAAMhjB,EAAM8sI,OACZhkF,EAAQ9oD,EAAMkV,IACduhI,GAAiB,EAErB,GAAwC,KAApCz2I,EAAM6D,IAAI2R,WAAWxV,EAAMkV,KAAwB,OAAO,EAM9D,GAJAshI,EAAax2I,EAAMkV,IAAM,GACzB23H,EAAW7sI,EAAMgtI,GAAGrF,QAAQ6E,eAAexsI,EAAOA,EAAMkV,KAAK,IAG9C,EAAK,OAAO,EAG3B,IADAA,EAAM23H,EAAW,GACP7pH,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,GAAsB,CAW1D,IALAuhI,GAAiB,EAIjBvhI,IACOA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBqkC,EAAQx+B,IAAkB,KAATA,GAFN7F,KAIlB,GAAIA,GAAO8N,EAAO,OAAO,EAkBzB,IAdA8lC,EAAQ5zC,GACR2O,EAAM7jB,EAAMgtI,GAAGrF,QAAQ8E,qBAAqBzsI,EAAM6D,IAAKqR,EAAKlV,EAAM8sI,SAC1D73E,KACNzpC,EAAOxrB,EAAMgtI,GAAGxE,cAAc3kH,EAAIvtB,KAC9B0J,EAAMgtI,GAAG1E,aAAa98G,GACxBtW,EAAM2O,EAAI3O,IAEVsW,EAAO,IAMXs9B,EAAQ5zC,EACDA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBqkC,EAAQx+B,IAAkB,KAATA,GAFN7F,KAQlB,GADA2O,EAAM7jB,EAAMgtI,GAAGrF,QAAQ+E,eAAe1sI,EAAM6D,IAAKqR,EAAKlV,EAAM8sI,QACxD53H,EAAM8N,GAAO8lC,IAAU5zC,GAAO2O,EAAIoxC,GAMpC,IALAzqD,EAAQqZ,EAAIvtB,IACZ4e,EAAM2O,EAAI3O,IAIHA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBqkC,EAAQx+B,IAAkB,KAATA,GAFN7F,UAKlB1K,EAAQ,IAGN0K,GAAO8N,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,MAErCuhI,GAAiB,GAEnBvhI,IAGF,GAAIuhI,EAAgB,CAIlB,QAAoC,IAAzBz2I,EAAM0K,IAAI8qI,WAA8B,OAAO,EAmB1D,GAjBItgI,EAAM8N,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,IACpC4zC,EAAQ5zC,EAAM,GACdA,EAAMlV,EAAMgtI,GAAGrF,QAAQ6E,eAAexsI,EAAOkV,KAClC,EACTqgI,EAAQv1I,EAAM6D,IAAI5E,MAAM6pD,EAAO5zC,KAE/BA,EAAM23H,EAAW,GAGnB33H,EAAM23H,EAAW,EAKd0I,IAASA,EAAQv1I,EAAM6D,IAAI5E,MAAMu3I,EAAY3J,MAElD3xF,EAAMl7C,EAAM0K,IAAI8qI,WAAW57F,EAAmB27F,KAG5C,OADAv1I,EAAMkV,IAAM63H,GACL,EAETvhH,EAAO0vB,EAAI1vB,KACXhhB,EAAQ0wC,EAAI1wC,MAwBd,OAjBKo8D,IACH5mE,EAAMkV,IAAMshI,EACZx2I,EAAM8sI,OAASD,EAEA7sI,EAAMuK,KAAK,YAAa,IAAK,GACtC+zC,MAASA,EAAQ,CAAE,CAAE,OAAQ9yB,IAC/BhhB,GACF8zC,EAAM/zC,KAAK,CAAE,QAASC,IAGxBxK,EAAMgtI,GAAG16E,OAAOimE,SAASv4H,GAEVA,EAAMuK,KAAK,aAAc,KAAM,IAGhDvK,EAAMkV,IAAMA,EACZlV,EAAM8sI,OAAS9pH,GACR,I,6BChJT,IAAI42B,EAAuB,EAAQ,KAAmBA,mBAClDL,EAAuB,EAAQ,KAAmBA,QAGtDznD,EAAOC,QAAU,SAAeiO,EAAO4mE,GACrC,IAAItoB,EACAvjC,EACAjY,EACAyyI,EACA1I,EACA2J,EACAthI,EACAgmC,EACAr3B,EACArZ,EACA9I,EACAw3B,EACA4vB,EACAt9B,EAAO,GACPuhH,EAAS/sI,EAAMkV,IACf8N,EAAMhjB,EAAM8sI,OAEhB,GAAwC,KAApC9sI,EAAM6D,IAAI2R,WAAWxV,EAAMkV,KAAwB,OAAO,EAC9D,GAA4C,KAAxClV,EAAM6D,IAAI2R,WAAWxV,EAAMkV,IAAM,GAAsB,OAAO,EAMlE,GAJAshI,EAAax2I,EAAMkV,IAAM,GACzB23H,EAAW7sI,EAAMgtI,GAAGrF,QAAQ6E,eAAexsI,EAAOA,EAAMkV,IAAM,GAAG,IAGlD,EAAK,OAAO,EAG3B,IADAA,EAAM23H,EAAW,GACP7pH,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,GAAsB,CAQ1D,IADAA,IACOA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBqkC,EAAQx+B,IAAkB,KAATA,GAFN7F,KAIlB,GAAIA,GAAO8N,EAAO,OAAO,EAkBzB,IAdA8lC,EAAQ5zC,GACR2O,EAAM7jB,EAAMgtI,GAAGrF,QAAQ8E,qBAAqBzsI,EAAM6D,IAAKqR,EAAKlV,EAAM8sI,SAC1D73E,KACNzpC,EAAOxrB,EAAMgtI,GAAGxE,cAAc3kH,EAAIvtB,KAC9B0J,EAAMgtI,GAAG1E,aAAa98G,GACxBtW,EAAM2O,EAAI3O,IAEVsW,EAAO,IAMXs9B,EAAQ5zC,EACDA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBqkC,EAAQx+B,IAAkB,KAATA,GAFN7F,KAQlB,GADA2O,EAAM7jB,EAAMgtI,GAAGrF,QAAQ+E,eAAe1sI,EAAM6D,IAAKqR,EAAKlV,EAAM8sI,QACxD53H,EAAM8N,GAAO8lC,IAAU5zC,GAAO2O,EAAIoxC,GAMpC,IALAzqD,EAAQqZ,EAAIvtB,IACZ4e,EAAM2O,EAAI3O,IAIHA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBqkC,EAAQx+B,IAAkB,KAATA,GAFN7F,UAKlB1K,EAAQ,GAGV,GAAI0K,GAAO8N,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,GAErC,OADAlV,EAAMkV,IAAM63H,GACL,EAET73H,QACK,CAIL,QAAoC,IAAzBlV,EAAM0K,IAAI8qI,WAA8B,OAAO,EAmB1D,GAjBItgI,EAAM8N,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,IACpC4zC,EAAQ5zC,EAAM,GACdA,EAAMlV,EAAMgtI,GAAGrF,QAAQ6E,eAAexsI,EAAOkV,KAClC,EACTqgI,EAAQv1I,EAAM6D,IAAI5E,MAAM6pD,EAAO5zC,KAE/BA,EAAM23H,EAAW,GAGnB33H,EAAM23H,EAAW,EAKd0I,IAASA,EAAQv1I,EAAM6D,IAAI5E,MAAMu3I,EAAY3J,MAElD3xF,EAAMl7C,EAAM0K,IAAI8qI,WAAW57F,EAAmB27F,KAG5C,OADAv1I,EAAMkV,IAAM63H,GACL,EAETvhH,EAAO0vB,EAAI1vB,KACXhhB,EAAQ0wC,EAAI1wC,MA6Bd,OAtBKo8D,IACH9jE,EAAU9C,EAAM6D,IAAI5E,MAAMu3I,EAAY3J,GAEtC7sI,EAAMgtI,GAAG16E,OAAO/6C,MACdzU,EACA9C,EAAMgtI,GACNhtI,EAAM0K,IACNwuB,EAAS,KAGXx3B,EAAiB1B,EAAMuK,KAAK,QAAS,MAAO,IACtC+zC,MAAWA,EAAQ,CAAE,CAAE,MAAO9yB,GAAQ,CAAE,MAAO,KACrD9pB,EAAM6/E,SAAWroD,EACjBx3B,EAAMoB,QAAWA,EAEb0H,GACF8zC,EAAM/zC,KAAK,CAAE,QAASC,KAI1BxK,EAAMkV,IAAMA,EACZlV,EAAM8sI,OAAS9pH,GACR,I,6BChJT,IAAI0zH,EAAc,2IACdC,EAAc,uDAGlB7kJ,EAAOC,QAAU,SAAkBiO,EAAO4mE,GACxC,IAAIw4D,EAAMwX,EAAWC,EAAYh9I,EAAKm1I,EAASttI,EAC3CwT,EAAMlV,EAAMkV,IAEhB,OAAkC,KAA9BlV,EAAM6D,IAAI2R,WAAWN,QAEzBkqH,EAAOp/H,EAAM6D,IAAI5E,MAAMiW,IAEdta,QAAQ,KAAO,KAEpB+7I,EAAYxvI,KAAKi4H,IAGnBvlI,GAFA+8I,EAAYxX,EAAKl3H,MAAMyuI,IAEP,GAAG13I,MAAM,GAAI,GAC7B+vI,EAAUhvI,EAAMgtI,GAAGxE,cAAc3uI,KAC5BmG,EAAMgtI,GAAG1E,aAAa0G,KAEtBpoE,KACHllE,EAAgB1B,EAAMuK,KAAK,YAAa,IAAK,IACvC+zC,MAAU,CAAE,CAAE,OAAQ0wF,IAC5BttI,EAAMi+G,OAAU,WAChBj+G,EAAMk+G,KAAU,QAEhBl+G,EAAgB1B,EAAMuK,KAAK,OAAQ,GAAI,IACjCzH,QAAU9C,EAAMgtI,GAAGtE,kBAAkB7uI,IAE3C6H,EAAgB1B,EAAMuK,KAAK,aAAc,KAAM,IACzCo1G,OAAU,WAChBj+G,EAAMk+G,KAAU,QAGlB5/G,EAAMkV,KAAO0hI,EAAU,GAAGziJ,QACnB,MAGLuiJ,EAASvvI,KAAKi4H,KAGhBvlI,GAFAg9I,EAAazX,EAAKl3H,MAAMwuI,IAEP,GAAGz3I,MAAM,GAAI,GAC9B+vI,EAAUhvI,EAAMgtI,GAAGxE,cAAc,UAAY3uI,KACxCmG,EAAMgtI,GAAG1E,aAAa0G,KAEtBpoE,KACHllE,EAAgB1B,EAAMuK,KAAK,YAAa,IAAK,IACvC+zC,MAAU,CAAE,CAAE,OAAQ0wF,IAC5BttI,EAAMi+G,OAAU,WAChBj+G,EAAMk+G,KAAU,QAEhBl+G,EAAgB1B,EAAMuK,KAAK,OAAQ,GAAI,IACjCzH,QAAU9C,EAAMgtI,GAAGtE,kBAAkB7uI,IAE3C6H,EAAgB1B,EAAMuK,KAAK,aAAc,KAAM,IACzCo1G,OAAU,WAChBj+G,EAAMk+G,KAAU,QAGlB5/G,EAAMkV,KAAO2hI,EAAW,GAAG1iJ,QACpB,Q,6BC9DX,IAAIkkI,EAAc,EAAQ,KAAqBA,YAU/CvmI,EAAOC,QAAU,SAAqBiO,EAAO4mE,GAC3C,IAAIhiD,EAAI1c,EAAO8a,EACX9N,EAAMlV,EAAMkV,IAEhB,QAAKlV,EAAMgtI,GAAGh1I,QAAQyK,OAGtBugB,EAAMhjB,EAAM8sI,SACsB,KAA9B9sI,EAAM6D,IAAI2R,WAAWN,IACrBA,EAAM,GAAK8N,OAMJ,MADX4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,EAAM,KAErB,KAAP0P,GACO,KAAPA,IAxBN,SAAkBA,GAEhB,IAAIkyH,EAAU,GAALlyH,EACT,OAAQkyH,GAAM,IAAiBA,GAAM,IAsBhCC,CAASnyH,SAId1c,EAAQlI,EAAM6D,IAAI5E,MAAMiW,GAAKhN,MAAMmwH,MAG9BzxD,IACa5mE,EAAMuK,KAAK,cAAe,GAAI,GACxCzH,QAAU9C,EAAM6D,IAAI5E,MAAMiW,EAAKA,EAAMhN,EAAM,GAAG/T,SAEtD6L,EAAMkV,KAAOhN,EAAM,GAAG/T,QACf,Q,6BCzCT,IAAIikD,EAAoB,EAAQ,KAC5BhmD,EAAoB,EAAQ,KAAmBA,IAC/CwlD,EAAoB,EAAQ,KAAmBA,kBAC/CC,EAAoB,EAAQ,KAAmBA,cAG/Cm/F,EAAa,uCACbC,EAAa,4BAGjBnlJ,EAAOC,QAAU,SAAgBiO,EAAO4mE,GACtC,IAAQ7rD,EAAM7S,EAAOgN,EAAMlV,EAAMkV,IAAK8N,EAAMhjB,EAAM8sI,OAElD,GAAkC,KAA9B9sI,EAAM6D,IAAI2R,WAAWN,GAAwB,OAAO,EAExD,GAAIA,EAAM,EAAI8N,EAGZ,GAAW,KAFNhjB,EAAM6D,IAAI2R,WAAWN,EAAM,IAI9B,GADAhN,EAAQlI,EAAM6D,IAAI5E,MAAMiW,GAAKhN,MAAM8uI,GAOjC,OALKpwE,IACH7rD,EAAqC,MAA9B7S,EAAM,GAAG,GAAGiG,cAAwB0rB,SAAS3xB,EAAM,GAAGjJ,MAAM,GAAI,IAAM46B,SAAS3xB,EAAM,GAAI,IAChGlI,EAAMm5D,SAAWvhB,EAAkB78B,GAAQ88B,EAAc98B,GAAQ88B,EAAc,QAEjF73C,EAAMkV,KAAOhN,EAAM,GAAG/T,QACf,OAIT,IADA+T,EAAQlI,EAAM6D,IAAI5E,MAAMiW,GAAKhN,MAAM+uI,KAE7B7kJ,EAAIgmD,EAAUlwC,EAAM,IAGtB,OAFK0+D,IAAU5mE,EAAMm5D,SAAW/gB,EAASlwC,EAAM,KAC/ClI,EAAMkV,KAAOhN,EAAM,GAAG/T,QACf,EAQf,OAFKyyE,IAAU5mE,EAAMm5D,SAAW,KAChCn5D,EAAMkV,OACC,I,6BCzCTpjB,EAAOC,QAAU,SAAoBiO,GACnC,IAAI/L,EAAG2a,EAAGsoI,EAAWC,EACjBze,EAAa14H,EAAM04H,WACnB11G,EAAMhjB,EAAM04H,WAAWvkI,OAE3B,IAAKF,EAAI,EAAGA,EAAI+uB,EAAK/uB,IAGnB,IAFAijJ,EAAYxe,EAAWzkI,IAERqP,MAIf,IAFAsL,EAAI3a,EAAIijJ,EAAUve,KAAO,EAElB/pH,GAAK,GAAG,CAGb,IAFAuoI,EAAYze,EAAW9pH,IAET7K,MACVozI,EAAUptI,SAAWmtI,EAAUntI,QAC/BotI,EAAUr5F,IAAM,GAChBq5F,EAAU/5E,QAAU85E,EAAU95E,MAQhC,MALiB+5E,EAAU7zI,OAAS4zI,EAAUnzI,YACF,IAArBozI,EAAUhjJ,aACW,IAArB+iJ,EAAU/iJ,SAChBgjJ,EAAUhjJ,OAAS+iJ,EAAU/iJ,QAAU,GAAM,GAE9C,CACd+iJ,EAAUve,KAAO1kI,EAAI2a,EACrBsoI,EAAUnzI,MAAO,EACjBozI,EAAUr5F,IAAO7pD,EACjBkjJ,EAAUxe,KAAO,EACjB,MAIJ/pH,GAAKuoI,EAAUxe,KAAO,K,6BCnC5B7mI,EAAOC,QAAU,SAAuBiO,GACtC,IAAIo3I,EAAM1nH,EACN0tC,EAAQ,EACRlkC,EAASl5B,EAAMk5B,OACflW,EAAMhjB,EAAMk5B,OAAO/kC,OAEvB,IAAKijJ,EAAO1nH,EAAO,EAAG0nH,EAAOp0H,EAAKo0H,IAEhCh6E,GAASlkC,EAAOk+G,GAAM13B,QACtBxmF,EAAOk+G,GAAMh6E,MAAQA,EAEK,SAAtBlkC,EAAOk+G,GAAMv8I,MACbu8I,EAAO,EAAIp0H,GACe,SAA1BkW,EAAOk+G,EAAO,GAAGv8I,KAGnBq+B,EAAOk+G,EAAO,GAAGt0I,QAAUo2B,EAAOk+G,GAAMt0I,QAAUo2B,EAAOk+G,EAAO,GAAGt0I,SAE/Ds0I,IAAS1nH,IAAQwJ,EAAOxJ,GAAQwJ,EAAOk+G,IAE3C1nH,KAIA0nH,IAAS1nH,IACXwJ,EAAO/kC,OAASu7B,K,6BCzBpB,IAAI+vF,EAAiB,EAAQ,KACzBjmE,EAAiB,EAAQ,KAAmBA,aAC5CE,EAAiB,EAAQ,KAAmBA,YAC5CD,EAAiB,EAAQ,KAAmBA,eAGhD,SAAS49F,EAAYxzI,EAAKmpI,EAAItiI,EAAKmnI,GACjC71I,KAAK6H,IAAMA,EACX7H,KAAK0O,IAAMA,EACX1O,KAAKgxI,GAAKA,EACVhxI,KAAKk9B,OAAS24G,EAEd71I,KAAKkZ,IAAM,EACXlZ,KAAK8wI,OAAS9wI,KAAK6H,IAAI1P,OACvB6H,KAAKohE,MAAQ,EACbphE,KAAKm9D,QAAU,GACfn9D,KAAKs7I,aAAe,EAEpBt7I,KAAKgG,MAAQ,GAGbhG,KAAK08H,WAAa,GAMpB2e,EAAY9jJ,UAAU6iJ,YAAc,WAClC,IAAI10I,EAAQ,IAAI+9G,EAAM,OAAQ,GAAI,GAKlC,OAJA/9G,EAAMoB,QAAU9G,KAAKm9D,QACrBz3D,EAAM07D,MAAQphE,KAAKs7I,aACnBt7I,KAAKk9B,OAAO3uB,KAAK7I,GACjB1F,KAAKm9D,QAAU,GACRz3D,GAOT21I,EAAY9jJ,UAAUgX,KAAO,SAAU1P,EAAMia,EAAK4qG,GAC5C1jH,KAAKm9D,SACPn9D,KAAKo6I,cAGP,IAAI10I,EAAQ,IAAI+9G,EAAM5kH,EAAMia,EAAK4qG,GAQjC,OANIA,EAAU,GAAK1jH,KAAKohE,QACxB17D,EAAM07D,MAAQphE,KAAKohE,MACfsiD,EAAU,GAAK1jH,KAAKohE,QAExBphE,KAAKs7I,aAAet7I,KAAKohE,MACzBphE,KAAKk9B,OAAO3uB,KAAK7I,GACVA,GAUT21I,EAAY9jJ,UAAUklI,WAAa,SAAU3vE,EAAOyuF,GAClD,IAAiBjH,EAAUC,EAAUl4D,EAAOugD,EAAUC,EAClD6X,EAAkBF,EAClBG,EAAkBF,EAFlBv7H,EAAM4zC,EAGN0uF,GAAgB,EAChBC,GAAiB,EACjBz0H,EAAMhnB,KAAK8wI,OACX/iI,EAAS/N,KAAK6H,IAAI2R,WAAWszC,GAKjC,IAFAwnF,EAAWxnF,EAAQ,EAAI9sD,KAAK6H,IAAI2R,WAAWszC,EAAQ,GAAK,GAEjD5zC,EAAM8N,GAAOhnB,KAAK6H,IAAI2R,WAAWN,KAASnL,GAAUmL,IAqC3D,OAnCAmjE,EAAQnjE,EAAM4zC,EAGdynF,EAAWr7H,EAAM8N,EAAMhnB,KAAK6H,IAAI2R,WAAWN,GAAO,GAElDs7H,EAAkB/2F,EAAe62F,IAAa52F,EAAYjjD,OAAOuhD,aAAas4F,IAC9EG,EAAkBh3F,EAAe82F,IAAa72F,EAAYjjD,OAAOuhD,aAAau4F,IAE9EG,EAAmBl3F,EAAa82F,IAChCK,EAAmBn3F,EAAa+2F,IAG9BiH,GAAgB,EACP/G,IACHC,GAAoBF,IACxBgH,GAAgB,IAIhB9G,EACF+G,GAAiB,EACRjH,IACHG,GAAoBF,IACxBgH,GAAiB,IAIhBF,GAIH3e,EAAY4e,EACZ3e,EAAY4e,IAJZ7e,EAAY4e,KAAoBC,GAAkBjH,GAClD3X,EAAY4e,KAAoBD,GAAkB/G,IAM7C,CACL7X,SAAWA,EACXC,UAAWA,EACX1kI,OAAWkkF,IAMfg/D,EAAY9jJ,UAAUksH,MAAQA,EAG9B3tH,EAAOC,QAAUslJ,G,6BCzHjB,SAASr9I,EAAOjG,GACd,IAAI0Y,EAAUnC,MAAM/W,UAAU0L,MAAMvL,KAAKqC,UAAW,GAUpD,OARA0W,EAAQ3Y,SAAQ,SAAUmE,GACnBA,GAEL3E,OAAOoR,KAAKzM,GAAQnE,SAAQ,SAAUM,GACpCL,EAAIK,GAAO6D,EAAO7D,SAIfL,EAGT,SAAS+kD,EAAO/kD,GAAO,OAAOT,OAAOC,UAAUF,SAASK,KAAKK,GAI7D,SAASF,EAAWE,GAAO,MAAuB,sBAAhB+kD,EAAO/kD,GAGzC,SAAS4lD,EAASrjD,GAAO,OAAOA,EAAIC,QAAQ,uBAAwB,QAKpE,IAAI+xE,EAAiB,CACnBovE,WAAW,EACXC,YAAY,EACZC,SAAS,GAWX,IAAIC,EAAiB,CACnB,QAAS,CACPC,SAAU,SAAU19I,EAAM8a,EAAKhiB,GAC7B,IAAIksI,EAAOhlI,EAAK6E,MAAMiW,GAQtB,OANKhiB,EAAKiV,GAAG4vI,OAEX7kJ,EAAKiV,GAAG4vI,KAAQ,IAAItwI,OAClB,UAAYvU,EAAKiV,GAAG6vI,SAAW9kJ,EAAKiV,GAAG8vI,qBAAuB/kJ,EAAKiV,GAAG+vI,SAAU,MAGhFhlJ,EAAKiV,GAAG4vI,KAAK5wI,KAAKi4H,GACbA,EAAKl3H,MAAMhV,EAAKiV,GAAG4vI,MAAM,GAAG5jJ,OAE9B,IAGX,SAAW,QACX,OAAW,QACX,KAAW,CACT2jJ,SAAU,SAAU19I,EAAM8a,EAAKhiB,GAC7B,IAAIksI,EAAOhlI,EAAK6E,MAAMiW,GAkBtB,OAhBKhiB,EAAKiV,GAAGgwI,UAEXjlJ,EAAKiV,GAAGgwI,QAAW,IAAI1wI,OACrB,IACAvU,EAAKiV,GAAG6vI,SAGR,sBAAwB9kJ,EAAKiV,GAAGiwI,WAAa,SAAWllJ,EAAKiV,GAAGkwI,gBAAkB,IAClFnlJ,EAAKiV,GAAGmwI,SACRplJ,EAAKiV,GAAGowI,oBACRrlJ,EAAKiV,GAAG+vI,SAER,MAIAhlJ,EAAKiV,GAAGgwI,QAAQhxI,KAAKi4H,GAEnBlqH,GAAO,GAAuB,MAAlB9a,EAAK8a,EAAM,IACvBA,GAAO,GAAuB,MAAlB9a,EAAK8a,EAAM,GADqB,EAEzCkqH,EAAKl3H,MAAMhV,EAAKiV,GAAGgwI,SAAS,GAAGhkJ,OAEjC,IAGX,UAAW,CACT2jJ,SAAU,SAAU19I,EAAM8a,EAAKhiB,GAC7B,IAAIksI,EAAOhlI,EAAK6E,MAAMiW,GAOtB,OALKhiB,EAAKiV,GAAGqwI,SACXtlJ,EAAKiV,GAAGqwI,OAAU,IAAI/wI,OACpB,IAAMvU,EAAKiV,GAAGswI,eAAiB,IAAMvlJ,EAAKiV,GAAGuwI,gBAAiB,MAG9DxlJ,EAAKiV,GAAGqwI,OAAOrxI,KAAKi4H,GACfA,EAAKl3H,MAAMhV,EAAKiV,GAAGqwI,QAAQ,GAAGrkJ,OAEhC,KAWTwkJ,EAAe,8EAA8Eh9I,MAAM,KA8BvG,SAASm1D,EAAQ59D,GAGf,IAAIiV,EAAKjV,EAAKiV,GAAK,EAAQ,IAAR,CAAoBjV,EAAK0lJ,UAGxCC,EAAO3lJ,EAAK4lJ,SAAS75I,QAWzB,SAAS85I,EAAMC,GAAO,OAAOA,EAAIziJ,QAAQ,SAAU4R,EAAG8wI,UATtD/lJ,EAAKgmJ,YAEAhmJ,EAAKimJ,mBACRN,EAAKtuI,KA5Ca,2VA8CpBsuI,EAAKtuI,KAAKpC,EAAGixI,QAEbjxI,EAAG8wI,SAAWJ,EAAK98I,KAAK,KAIxBoM,EAAGkxI,YAAmB5xI,OAAOsxI,EAAM5wI,EAAGmxI,iBAAkB,KACxDnxI,EAAGoxI,WAAmB9xI,OAAOsxI,EAAM5wI,EAAGqxI,gBAAiB,KACvDrxI,EAAGsxI,iBAAmBhyI,OAAOsxI,EAAM5wI,EAAGuxI,sBAAuB,KAC7DvxI,EAAGwxI,gBAAmBlyI,OAAOsxI,EAAM5wI,EAAGyxI,qBAAsB,KAM5D,IAAI1kH,EAAU,GAId,SAAS2kH,EAAYjnJ,EAAMa,GACzB,MAAM,IAAIuV,MAAM,+BAAiCpW,EAAO,MAAQa,GAHlEP,EAAK4mJ,aAAe,GAMpBxmJ,OAAOoR,KAAKxR,EAAK6mJ,aAAajmJ,SAAQ,SAAUlB,GAC9C,IAAIa,EAAMP,EAAK6mJ,YAAYnnJ,GAG3B,GAAY,OAARa,EAAJ,CAEA,IAAI+nI,EAAW,CAAEsc,SAAU,KAAM98I,KAAM,MAIvC,GAFA9H,EAAK4mJ,aAAalnJ,GAAQ4oI,EAzKkB,oBAAhB1iF,EA2KfrlD,GAiBX,OA3LN,SAAkBM,GAAO,MAAuB,oBAAhB+kD,EAAO/kD,GA2K7BimJ,CAASvmJ,EAAIqkJ,UAENjkJ,EAAWJ,EAAIqkJ,UACxBtc,EAASsc,SAAWrkJ,EAAIqkJ,SAExB+B,EAAYjnJ,EAAMa,GAJlB+nI,EAASsc,SAnEjB,SAAyB3vI,GACvB,OAAO,SAAU/N,EAAM8a,GACrB,IAAIkqH,EAAOhlI,EAAK6E,MAAMiW,GAEtB,OAAI/M,EAAGhB,KAAKi4H,GACHA,EAAKl3H,MAAMC,GAAI,GAAGhU,OAEpB,GA4DiB8lJ,CAAgBxmJ,EAAIqkJ,eAOtCjkJ,EAAWJ,EAAI4d,WACjBmqH,EAASnqH,UAAY5d,EAAI4d,UACf5d,EAAI4d,UAGdwoI,EAAYjnJ,EAAMa,GAFlB+nI,EAASnqH,UAjER,SAAUnJ,EAAOhV,GACtBA,EAAKme,UAAUnJ,MAxHnB,SAAkBnU,GAAO,MAAuB,oBAAhB+kD,EAAO/kD,GAgM/BgB,CAAStB,GAKbomJ,EAAYjnJ,EAAMa,GAJhByhC,EAAQ3qB,KAAK3X,OAWjBsiC,EAAQphC,SAAQ,SAAU4gD,GACnBxhD,EAAK4mJ,aAAa5mJ,EAAK6mJ,YAAYrlG,MAMxCxhD,EAAK4mJ,aAAaplG,GAAOojG,SACvB5kJ,EAAK4mJ,aAAa5mJ,EAAK6mJ,YAAYrlG,IAAQojG,SAC7C5kJ,EAAK4mJ,aAAaplG,GAAOrjC,UACvBne,EAAK4mJ,aAAa5mJ,EAAK6mJ,YAAYrlG,IAAQrjC,cAM/Cne,EAAK4mJ,aAAa,IAAM,CAAEhC,SAAU,KAAMzmI,UArGnC,SAAUnJ,EAAOhV,GACtBA,EAAKme,UAAUnJ,KAyGjB,IAAIgyI,EAAQ5mJ,OAAOoR,KAAKxR,EAAK4mJ,cACRjqI,QAAO,SAAUjd,GAEhB,OAAOA,EAAKuB,OAAS,GAAKjB,EAAK4mJ,aAAalnJ,MAE7CiZ,IAAI8tC,GACJ59C,KAAK,KAE1B7I,EAAKiV,GAAGgyI,YAAgB1yI,OAAO,oBAA2BU,EAAGiyI,SAAW,MAAQF,EAAQ,IAAK,KAC7FhnJ,EAAKiV,GAAGkyI,cAAgB5yI,OAAO,oBAA2BU,EAAGiyI,SAAW,MAAQF,EAAQ,IAAK,MAE7FhnJ,EAAKiV,GAAGinI,QAAU3nI,OAChB,IAAMvU,EAAKiV,GAAGgyI,YAAYliJ,OAAS,MAAQ/E,EAAKiV,GAAGwxI,gBAAgB1hJ,OAAS,MAC5E,KAxIJ,SAAwB/E,GACtBA,EAAKonJ,WAAa,EAClBpnJ,EAAKqnJ,eAAmB,GA6IxBC,CAAetnJ,GAQjB,SAASunJ,EAAMvnJ,EAAMw2B,GACnB,IAAIo/B,EAAQ51D,EAAKonJ,UACbx8F,EAAQ5qD,EAAKwnJ,eACbtgJ,EAAQlH,EAAKqnJ,eAAet7I,MAAM6pD,EAAOhL,GAO7C9hD,KAAKypD,OAAYvyD,EAAKynJ,WAAWxsI,cAMjCnS,KAAKyM,MAAYqgD,EAAQp/B,EAMzB1tB,KAAK6L,UAAYi2C,EAAMp0B,EAMvB1tB,KAAKmiB,IAAY/jB,EAMjB4B,KAAK5B,KAAYA,EAMjB4B,KAAKnC,IAAYO,EAGnB,SAASwgJ,EAAY1nJ,EAAMw2B,GACzB,IAAIxhB,EAAQ,IAAIuyI,EAAMvnJ,EAAMw2B,GAI5B,OAFAx2B,EAAK4mJ,aAAa5xI,EAAMu9C,QAAQp0C,UAAUnJ,EAAOhV,GAE1CgV,EA0CT,SAAS8/H,EAAU6S,EAAS7iJ,GAC1B,KAAMgE,gBAAgBgsI,GACpB,OAAO,IAAIA,EAAU6S,EAAS7iJ,GAvUlC,IAAsBjE,EA0UfiE,IA1UejE,EA2UD8mJ,EA1UZvnJ,OAAOoR,KAAK3Q,GAAO,IAAIi9D,QAAO,SAAUyiD,EAAK/gG,GAClD,OAAO+gG,GAAOnrC,EAAej0E,eAAeqe,MAC3C,KAyUC1a,EAAU6iJ,EACVA,EAAU,KAId7+I,KAAK48I,SAAqB5+I,EAAO,GAAIsuE,EAAgBtwE,GAGrDgE,KAAKs+I,WAAsB,EAC3Bt+I,KAAK0+I,gBAAsB,EAC3B1+I,KAAK2+I,WAAqB,GAC1B3+I,KAAKu+I,eAAqB,GAE1Bv+I,KAAK+9I,YAAqB//I,EAAO,GAAI69I,EAAgBgD,GACrD7+I,KAAK89I,aAAqB,GAE1B99I,KAAK88I,SAAqBH,EAC1B38I,KAAKm9I,mBAAqB,EAE1Bn9I,KAAKmM,GAAK,GAEV2oD,EAAQ90D,MAWVgsI,EAAUz0I,UAAU+K,IAAM,SAAamnD,EAAQq1F,GAG7C,OAFA9+I,KAAK+9I,YAAYt0F,GAAUq1F,EAC3BhqF,EAAQ90D,MACDA,MAUTgsI,EAAUz0I,UAAU2L,IAAM,SAAalH,GAErC,OADAgE,KAAK48I,SAAW5+I,EAAOgC,KAAK48I,SAAU5gJ,GAC/BgE,MASTgsI,EAAUz0I,UAAU4T,KAAO,SAAc/M,GAKvC,GAHA4B,KAAKu+I,eAAiBngJ,EACtB4B,KAAKs+I,WAAkB,GAElBlgJ,EAAKjG,OAAU,OAAO,EAE3B,IAAI6pB,EAAG+8H,EAAIC,EAAInxI,EAAK6f,EAAOxjB,EAAMiC,EAAI8yI,EAGrC,GAAIj/I,KAAKmM,GAAGgyI,YAAYhzI,KAAK/M,GAG3B,KAFA+N,EAAKnM,KAAKmM,GAAGkyI,eACVxyI,UAAY,EACgB,QAAvBmW,EAAI7V,EAAGnW,KAAKoI,KAElB,GADAyP,EAAM7N,KAAKk/I,aAAa9gJ,EAAM4jB,EAAE,GAAI7V,EAAGN,WAC9B,CACP7L,KAAK2+I,WAAiB38H,EAAE,GACxBhiB,KAAKs+I,UAAiBt8H,EAAEvV,MAAQuV,EAAE,GAAG7pB,OACrC6H,KAAK0+I,eAAiB18H,EAAEvV,MAAQuV,EAAE,GAAG7pB,OAAS0V,EAC9C,MA8CN,OAzCI7N,KAAK48I,SAASlB,WAAa17I,KAAK89I,aAAa,WAE/CmB,EAAU7gJ,EAAKqxB,OAAOzvB,KAAKmM,GAAGwxI,mBACf,IAET39I,KAAKs+I,UAAY,GAAKW,EAAUj/I,KAAKs+I,YAC0D,QAA5FS,EAAK3gJ,EAAK8N,MAAMlM,KAAK48I,SAAShB,QAAU57I,KAAKmM,GAAGoxI,WAAav9I,KAAKmM,GAAGsxI,qBAExE/vH,EAAQqxH,EAAGtyI,MAAQsyI,EAAG,GAAG5mJ,QAErB6H,KAAKs+I,UAAY,GAAK5wH,EAAQ1tB,KAAKs+I,aACrCt+I,KAAK2+I,WAAiB,GACtB3+I,KAAKs+I,UAAiB5wH,EACtB1tB,KAAK0+I,eAAiBK,EAAGtyI,MAAQsyI,EAAG,GAAG5mJ,SAO7C6H,KAAK48I,SAASjB,YAAc37I,KAAK89I,aAAa,YAEvC1/I,EAAKQ,QAAQ,MACR,GAGmC,QAA1CogJ,EAAK5gJ,EAAK8N,MAAMlM,KAAKmM,GAAGkxI,gBAE3B3vH,EAAQsxH,EAAGvyI,MAAQuyI,EAAG,GAAG7mJ,OACzB+R,EAAQ80I,EAAGvyI,MAAQuyI,EAAG,GAAG7mJ,QAErB6H,KAAKs+I,UAAY,GAAK5wH,EAAQ1tB,KAAKs+I,WAClC5wH,IAAU1tB,KAAKs+I,WAAap0I,EAAOlK,KAAK0+I,kBAC3C1+I,KAAK2+I,WAAiB,UACtB3+I,KAAKs+I,UAAiB5wH,EACtB1tB,KAAK0+I,eAAiBx0I,IAMvBlK,KAAKs+I,WAAa,GAW3BtS,EAAUz0I,UAAU67I,QAAU,SAAiBh1I,GAC7C,OAAO4B,KAAKmM,GAAGinI,QAAQjoI,KAAK/M,IAa9B4tI,EAAUz0I,UAAU2nJ,aAAe,SAAsB9gJ,EAAMqrD,EAAQvwC,GAErE,OAAKlZ,KAAK89I,aAAar0F,EAAOt3C,eAGvBnS,KAAK89I,aAAar0F,EAAOt3C,eAAe2pI,SAAS19I,EAAM8a,EAAKlZ,MAF1D,GAsBXgsI,EAAUz0I,UAAU2U,MAAQ,SAAe9N,GACzC,IAAIsvB,EAAQ,EAAG7zB,EAAS,GAGpBmG,KAAKs+I,WAAa,GAAKt+I,KAAKu+I,iBAAmBngJ,IACjDvE,EAAO0U,KAAKqwI,EAAY5+I,KAAM0tB,IAC9BA,EAAQ1tB,KAAK0+I,gBAOf,IAHA,IAAItb,EAAO11G,EAAQtvB,EAAK6E,MAAMyqB,GAAStvB,EAGhC4B,KAAKmL,KAAKi4H,IACfvpI,EAAO0U,KAAKqwI,EAAY5+I,KAAM0tB,IAE9B01G,EAAOA,EAAKngI,MAAMjD,KAAK0+I,gBACvBhxH,GAAS1tB,KAAK0+I,eAGhB,OAAI7kJ,EAAO1B,OACF0B,EAGF,MAmBTmyI,EAAUz0I,UAAUslJ,KAAO,SAAcjtI,EAAMuvI,GAG7C,OAFAvvI,EAAOtB,MAAM9W,QAAQoY,GAAQA,EAAO,CAAEA,GAEjCuvI,GAOLn/I,KAAK88I,SAAW98I,KAAK88I,SAAS95I,OAAO4M,GACJswB,OACArsB,QAAO,SAAUsG,EAAIipG,EAAK3yF,GACzB,OAAOtW,IAAOsW,EAAI2yF,EAAM,MAEzBhtD,UAEjCtB,EAAQ90D,MACDA,OAdLA,KAAK88I,SAAWltI,EAAK3M,QACrBjD,KAAKm9I,mBAAoB,EACzBroF,EAAQ90D,MACDA,OAmBXgsI,EAAUz0I,UAAU8d,UAAY,SAAmBnJ,GAK5CA,EAAMu9C,SAAUv9C,EAAMrO,IAAM,UAAYqO,EAAMrO,KAE9B,YAAjBqO,EAAMu9C,QAAyB,YAAYt+C,KAAKe,EAAMrO,OACxDqO,EAAMrO,IAAM,UAAYqO,EAAMrO,MAUlCmuI,EAAUz0I,UAAU2lJ,UAAY,aAIhCpnJ,EAAOC,QAAUi2I,G,6BCxnBjBl2I,EAAOC,QAAU,SAAU6lH,GACzB,IAAIzvG,EAAK,GAGTA,EAAGizI,QAAU,EAAQ,KAAiCnjJ,OACtDkQ,EAAGkzI,OAAU,EAAQ,KAAgCpjJ,OACrDkQ,EAAGmzI,MAAU,EAAQ,KAA+BrjJ,OACpDkQ,EAAGozI,MAAU,EAAQ,KAA+BtjJ,OAGpDkQ,EAAGiyI,SAAW,CAAEjyI,EAAGmzI,MAAOnzI,EAAGozI,MAAOpzI,EAAGkzI,QAASt/I,KAAK,KAGrDoM,EAAGqzI,QAAU,CAAErzI,EAAGmzI,MAAOnzI,EAAGkzI,QAASt/I,KAAK,KAiK1C,OAxJAoM,EAAGszI,kBAA0B,eAAmCtzI,EAAGiyI,SAAW,IAAMjyI,EAAGizI,QAAU,IAMjGjzI,EAAGuzI,QAED,yFAGFvzI,EAAG6vI,SAAc,YAAc7vI,EAAGqzI,QAAU,uBAE5CrzI,EAAGmwI,SAED,kFAEFnwI,EAAGowI,oBAED,cAAkCpwI,EAAGiyI,SAAW,6BAA+BjyI,EAAGiyI,SAAW,KAE/FjyI,EAAG+vI,SAED,iBAGc/vI,EAAGqzI,QAHjB,0CAIoBrzI,EAAGqzI,QAJvB,wBAKoBrzI,EAAGqzI,QALvB,wBAMoBrzI,EAAGqzI,QANvB,wBAOoBrzI,EAAGqzI,QAPvB,yBAQoBrzI,EAAGqzI,QARvB,qBASiBrzI,EAAGszI,kBATpB,sCAiBiBtzI,EAAGqzI,QAAU,WACvB5jC,GAAQA,EAAK,OACZ,6BAEA,SAEF,SAAWzvG,EAAGqzI,QAvBpB,YAwBiBrzI,EAAGqzI,QAxBpB,gBAyBiBrzI,EAAGqzI,QAzBpB,iBAgCFrzI,EAAGswI,eAED,iEAEFtwI,EAAGixI,OAED,wBAKFjxI,EAAGkwI,gBAGD,MACElwI,EAAGixI,OACH,IACAjxI,EAAGszI,kBAHL,UAMFtzI,EAAGiwI,WAED,MACEjwI,EAAGixI,OADL,OAGUjxI,EAAGszI,kBAHb,QAKUtzI,EAAGszI,kBAAoB,QAAUtzI,EAAGszI,kBAAoB,UAAYtzI,EAAGszI,kBALjF,KAQFtzI,EAAGwzI,SAED,eAIgBxzI,EAAGiwI,WAAa,SAAWjwI,EAAGiwI,WAJ9C,KAOFjwI,EAAGyzI,eAED,MACEzzI,EAAGuzI,QADL,aAGgBvzI,EAAGiwI,WAHnB,qBAMFjwI,EAAG0zI,qBAED,YAAc1zI,EAAGiwI,WAAa,oBAEhCjwI,EAAGuwI,gBAEDvwI,EAAGwzI,SAAWxzI,EAAGowI,oBAEnBpwI,EAAG2zI,sBAED3zI,EAAGyzI,eAAiBzzI,EAAGowI,oBAEzBpwI,EAAG8vI,qBAED9vI,EAAGwzI,SAAWxzI,EAAGmwI,SAAWnwI,EAAGowI,oBAEjCpwI,EAAG4zI,2BAED5zI,EAAGyzI,eAAiBzzI,EAAGmwI,SAAWnwI,EAAGowI,oBAEvCpwI,EAAG6zI,iCAED7zI,EAAG0zI,qBAAuB1zI,EAAGmwI,SAAWnwI,EAAGowI,oBAO7CpwI,EAAGyxI,oBAED,sDAAwDzxI,EAAGiyI,SAAW,SAExEjyI,EAAGmxI,gBAEC,kBAAsCnxI,EAAGqzI,QAAzC,KACMrzI,EAAGswI,eAAiB,IAAMtwI,EAAG2zI,sBAAwB,IAE/D3zI,EAAGqxI,eAGC,mCAA0CrxI,EAAGiyI,SAA7C,qBAC0BjyI,EAAG4zI,2BAA6B5zI,EAAG+vI,SAAW,IAE5E/vI,EAAGuxI,qBAGC,mCAA0CvxI,EAAGiyI,SAA7C,qBAC0BjyI,EAAG6zI,iCAAmC7zI,EAAG+vI,SAAW,IAE3E/vI,I,iBCjLT,uEACE,SAASklF,GAGsCt7F,GAC9CA,EAAQssD,SACoCvsD,GAC5CA,EAAOusD,SAHT,IAII49F,EAA8B,iBAAV/pJ,GAAsBA,EAE7C+pJ,EAAW/pJ,SAAW+pJ,GACtBA,EAAWhpJ,SAAWgpJ,GACtBA,EAAW/oJ,KAUZ,IAAI+0I,EAGJiU,EAAS,WAaTC,EAAgB,QAChBC,EAAgB,eAChBC,EAAkB,4BAGlBC,EAAS,CACR,SAAY,kDACZ,YAAa,iDACb,gBAAiB,iBAKlB/7I,EAAQzN,KAAKyN,MACbg8I,EAAqB9lJ,OAAOuhD,aAa5B,SAAS/lD,EAAM4I,GACd,MAAM,IAAI4nD,WAAW65F,EAAOzhJ,IAW7B,SAASgR,EAAI3B,EAAOlW,GAGnB,IAFA,IAAIG,EAAS+V,EAAM/V,OACf0B,EAAS,GACN1B,KACN0B,EAAO1B,GAAUH,EAAGkW,EAAM/V,IAE3B,OAAO0B,EAaR,SAAS2mJ,EAAUhrI,EAAQxd,GAC1B,IAAIwZ,EAAQgE,EAAO7V,MAAM,KACrB9F,EAAS,GAWb,OAVI2X,EAAMrZ,OAAS,IAGlB0B,EAAS2X,EAAM,GAAK,IACpBgE,EAAShE,EAAM,IAMT3X,EADOgW,GAFd2F,EAASA,EAAOjb,QAAQ8lJ,EAAiB,MACrB1gJ,MAAM,KACA3H,GAAI+H,KAAK,KAiBpC,SAAS0gJ,EAAWjrI,GAMnB,IALA,IAGIla,EACAq0D,EAJAz0B,EAAS,GACTwlH,EAAU,EACVvoJ,EAASqd,EAAOrd,OAGbuoJ,EAAUvoJ,IAChBmD,EAAQka,EAAOgE,WAAWknI,OACb,OAAUplJ,GAAS,OAAUolJ,EAAUvoJ,EAG3B,QAAX,OADbw3D,EAAQn6C,EAAOgE,WAAWknI,OAEzBxlH,EAAO3sB,OAAe,KAARjT,IAAkB,KAAe,KAARq0D,GAAiB,QAIxDz0B,EAAO3sB,KAAKjT,GACZolJ,KAGDxlH,EAAO3sB,KAAKjT,GAGd,OAAO4/B,EAWR,SAASylH,EAAWzyI,GACnB,OAAO2B,EAAI3B,GAAO,SAAS5S,GAC1B,IAAI4/B,EAAS,GAOb,OANI5/B,EAAQ,QAEX4/B,GAAUqlH,GADVjlJ,GAAS,SAC8B,GAAK,KAAQ,OACpDA,EAAQ,MAAiB,KAARA,GAElB4/B,GAAUqlH,EAAmBjlJ,MAE3ByE,KAAK,IAoCT,SAAS6gJ,EAAaC,EAAOC,GAG5B,OAAOD,EAAQ,GAAK,IAAMA,EAAQ,MAAgB,GAARC,IAAc,GAQzD,SAASC,EAAMC,EAAOC,EAAWvoH,GAChC,IAAIhiB,EAAI,EAGR,IAFAsqI,EAAQtoH,EAAYn0B,EAAMy8I,EA1LpB,KA0LoCA,GAAS,EACnDA,GAASz8I,EAAMy8I,EAAQC,GACOD,EAAQE,IAA2BxqI,GAhM3D,GAiMLsqI,EAAQz8I,EAAMy8I,EA3KA7wG,IA6Kf,OAAO5rC,EAAMmS,EAAI,GAAsBsqI,GAASA,EAhM1C,KA0MP,SAAS9kB,EAAO7zH,GAEf,IAEIwuC,EAIAsqG,EACAvuI,EACAnG,EACA20I,EACAh5G,EACA1xB,EACAmqI,EACA9gI,EAEAshI,EArEiBC,EAsDjBpmH,EAAS,GACTqmH,EAAcl5I,EAAMlQ,OAEpBF,EAAI,EACJ4e,EA7MM,IA8MNuvC,EA/MS,GAoOb,KALA+6F,EAAQ94I,EAAMg5D,YA7NH,MA8NC,IACX8/E,EAAQ,GAGJvuI,EAAI,EAAGA,EAAIuuI,IAASvuI,EAEpBvK,EAAMmR,WAAW5G,IAAM,KAC1B3c,EAAM,aAEPilC,EAAO3sB,KAAKlG,EAAMmR,WAAW5G,IAM9B,IAAKnG,EAAQ00I,EAAQ,EAAIA,EAAQ,EAAI,EAAG10I,EAAQ80I,GAAwC,CAOvF,IAAKH,EAAOnpJ,EAAGmwC,EAAI,EAAG1xB,EA3PjB,GA6PAjK,GAAS80I,GACZtrJ,EAAM,mBAGP4qJ,GAxGmBS,EAwGEj5I,EAAMmR,WAAW/M,MAvGxB,GAAK,GACb60I,EAAY,GAEhBA,EAAY,GAAK,GACbA,EAAY,GAEhBA,EAAY,GAAK,GACbA,EAAY,GAjKd,SAmQiBT,EAAQt8I,GAAO27I,EAASjoJ,GAAKmwC,KACjDnyC,EAAM,YAGPgC,GAAK4oJ,EAAQz4G,IAGTy4G,GAFJ9gI,EAAIrJ,GAAK0vC,EAvQL,EAuQoB1vC,GAAK0vC,EAtQzB,MAsQ8C1vC,EAAI0vC,IAbH1vC,GA3P/C,GA+QA0xB,EAAI7jC,EAAM27I,GADdmB,EA9QI,GA8QgBthI,KAEnB9pB,EAAM,YAGPmyC,GAAKi5G,EAKNj7F,EAAO26F,EAAM9oJ,EAAImpJ,EADjBvqG,EAAM3b,EAAO/iC,OAAS,EACc,GAARipJ,GAIxB78I,EAAMtM,EAAI4+C,GAAOqpG,EAASrpI,GAC7B5gB,EAAM,YAGP4gB,GAAKtS,EAAMtM,EAAI4+C,GACf5+C,GAAK4+C,EAGL3b,EAAO4wB,OAAO7zD,IAAK,EAAG4e,GAIvB,OAAO8pI,EAAWzlH,GAUnB,SAASzgB,EAAOpS,GACf,IAAIwO,EACAmqI,EACAQ,EACAC,EACAr7F,EACAxzC,EACAoP,EACA0/H,EACAhrI,EACAqJ,EACA4hI,EAGAJ,EAEAK,EACAP,EACAQ,EANA3mH,EAAS,GAoBb,IARAqmH,GAHAl5I,EAAQo4I,EAAWp4I,IAGClQ,OAGpB0e,EAvUU,IAwUVmqI,EAAQ,EACR56F,EA1Ua,GA6URxzC,EAAI,EAAGA,EAAI2uI,IAAe3uI,GAC9B+uI,EAAet5I,EAAMuK,IACF,KAClBsoB,EAAO3sB,KAAKgyI,EAAmBoB,IAejC,IAXAH,EAAiBC,EAAcvmH,EAAO/iC,OAMlCspJ,GACHvmH,EAAO3sB,KAzVG,KA6VJizI,EAAiBD,GAAa,CAIpC,IAAKv/H,EAAIk+H,EAAQttI,EAAI,EAAGA,EAAI2uI,IAAe3uI,GAC1C+uI,EAAet5I,EAAMuK,KACDiE,GAAK8qI,EAAe3/H,IACvCA,EAAI2/H,GAcN,IAPI3/H,EAAInL,EAAItS,GAAO27I,EAASc,IAD5BY,EAAwBJ,EAAiB,KAExCvrJ,EAAM,YAGP+qJ,IAAUh/H,EAAInL,GAAK+qI,EACnB/qI,EAAImL,EAECpP,EAAI,EAAGA,EAAI2uI,IAAe3uI,EAO9B,IANA+uI,EAAet5I,EAAMuK,IAEFiE,KAAOmqI,EAAQd,GACjCjqJ,EAAM,YAGH0rJ,GAAgB9qI,EAAG,CAEtB,IAAK6qI,EAAIV,EAAOtqI,EAlYb,KAoYEgrI,GADJ3hI,EAAIrJ,GAAK0vC,EAlYP,EAkYsB1vC,GAAK0vC,EAjY3B,MAiYgD1vC,EAAI0vC,IADT1vC,GAlY3C,GAuYFmrI,EAAUH,EAAI3hI,EACdshI,EAxYE,GAwYkBthI,EACpBmb,EAAO3sB,KACNgyI,EAAmBK,EAAa7gI,EAAI8hI,EAAUR,EAAY,KAE3DK,EAAIn9I,EAAMs9I,EAAUR,GAGrBnmH,EAAO3sB,KAAKgyI,EAAmBK,EAAac,EAAG,KAC/Ct7F,EAAO26F,EAAMC,EAAOY,EAAuBJ,GAAkBC,GAC7DT,EAAQ,IACNQ,IAIFR,IACAnqI,EAGH,OAAOqkB,EAAOn7B,KAAK,IA4CpBksI,EAAW,CAMV,QAAW,QAQX,KAAQ,CACP,OAAUwU,EACV,OAAUE,GAEX,OAAUzkB,EACV,OAAUzhH,EACV,QA/BD,SAAiBpS,GAChB,OAAOm4I,EAAUn4I,GAAO,SAASmN,GAChC,OAAO4qI,EAAcj1I,KAAKqK,GACvB,OAASiF,EAAOjF,GAChBA,MA4BJ,UAnDD,SAAmBnN,GAClB,OAAOm4I,EAAUn4I,GAAO,SAASmN,GAChC,OAAO2qI,EAAch1I,KAAKqK,GACvB0mH,EAAO1mH,EAAOvS,MAAM,GAAGkP,eACvBqD,YA4DH,KAFD,aACC,OAAOy2H,GACP,8BAngBF,K,yDCIDn2I,EAAOC,QAAU,CACfiG,QAAS,CACPyK,MAAc,EACdurI,UAAc,EACdE,QAAc,EACdN,WAAc,YACd5E,SAAc,EAGdgH,aAAc,EAOdmB,OAAQ,OAQRlX,UAAW,KAEXuX,WAAc,KAGhBtI,WAAY,CAEVJ,KAAM,GACNvsE,MAAO,GACPjK,OAAQ,M,6BChCZxgE,EAAOC,QAAU,CACfiG,QAAS,CACPyK,MAAc,EACdurI,UAAc,EACdE,QAAc,EACdN,WAAc,YACd5E,SAAc,EAGdgH,aAAc,EAOdmB,OAAQ,OAQRlX,UAAW,KAEXuX,WAAc,IAGhBtI,WAAY,CAEVJ,KAAM,CACJzzE,MAAO,CACL,YACA,QACA,WAIJkH,MAAO,CACLlH,MAAO,CACL,cAIJ/C,OAAQ,CACN+C,MAAO,CACL,QAEF+zE,OAAQ,CACN,gBACA,qB,6BCpDRt3I,EAAOC,QAAU,CACfiG,QAAS,CACPyK,MAAc,EACdurI,UAAc,EACdE,QAAc,EACdN,WAAc,YACd5E,SAAc,EAGdgH,aAAc,EAOdmB,OAAQ,OAQRlX,UAAW,KAEXuX,WAAc,IAGhBtI,WAAY,CAEVJ,KAAM,CACJzzE,MAAO,CACL,YACA,QACA,WAIJkH,MAAO,CACLlH,MAAO,CACL,aACA,OACA,QACA,UACA,KACA,aACA,WACA,OACA,YACA,cAIJ/C,OAAQ,CACN+C,MAAO,CACL,WACA,YACA,WACA,SACA,SACA,cACA,QACA,OACA,UACA,QAEF+zE,OAAQ,CACN,gBACA,WACA,qB,cCtER,IAAI0U,GAAoB,EACpBC,GAAkB,EAClBC,GAAgB,EAqBpB,SAAS/9B,EAAQv+G,EAAO9O,EAAM0E,GAC7B,IAAImR,EAAQ/G,EAAMo+G,UAAUltH,GACxBugE,EAAO,CAACvgE,EAAM0E,GAEdmR,EAAQ,EACX/G,EAAMq+G,SAAS5sD,GAEfzxD,EAAM48C,MAAM71C,GAAS0qD,EAIvB,SAAS8qF,EAAY/kH,EAAQzwB,GAE5B,IADA,IAAIy1I,EAAchlH,EAAOzwB,GAAO20D,MAAQ,EAC/BnpE,EAAIwU,EAAQ,EAAGxU,GAAK,EAAGA,IAC/B,GAAIilC,EAAOjlC,GAAGmpE,QAAU8gF,EACvB,OAAOjqJ,EAGT,OAAQ,EAGT,SAASkqJ,EAAWjlH,EAAQzwB,GAC3B,MA0DgD,WA1DhCywB,EAAOzwB,GA0DgB5N,MACxC,SAAqB6G,GAAS,MAAsB,mBAAfA,EAAM7G,KA1DnCujJ,CAAYllH,EAAOzwB,EAAQ,KA2DnC,SAAoB/G,GAAS,MAAsB,mBAAfA,EAAM7G,KA1DlCwjJ,CAAWnlH,EAAOzwB,EAAQ,KA4DlC,SAAgC/G,GAE/B,OAAyC,IAAlCA,EAAMoB,QAAQlI,QAAQ,SAAmD,IAAlC8G,EAAMoB,QAAQlI,QAAQ,SAAmD,IAAlC8G,EAAMoB,QAAQlI,QAAQ,QA7DpG0jJ,CAAuBplH,EAAOzwB,IAGtC,SAAS81I,EAAQ78I,EAAO88I,GAKvB,GAJA98I,EAAM6/E,SAASh4D,QAmBhB,SAAsB7nB,EAAO88I,GAC5B,IAAIC,EAAW,IAAID,EAAiB,cAAe,GAAI,GACnDE,EAAeZ,EAAoB,gBAAkB,GACnB,IAAlCp8I,EAAMoB,QAAQlI,QAAQ,QACzB6jJ,EAAS37I,QAAU,yCAA2C47I,EAAe,mBACjC,IAAlCh9I,EAAMoB,QAAQlI,QAAQ,SAAmD,IAAlC8G,EAAMoB,QAAQlI,QAAQ,UACvE6jJ,EAAS37I,QAAU,oDAAsD47I,EAAe,oBAEzF,OAAOD,EA3BgBE,CAAaj9I,EAAO88I,IAC3C98I,EAAM6/E,SAAS,GAAGz+E,QAAUpB,EAAM6/E,SAAS,GAAGz+E,QAAQ7D,MAAM,GAC5DyC,EAAMoB,QAAUpB,EAAMoB,QAAQ7D,MAAM,GAEhC8+I,EACH,GAAIC,EAAe,CAClBt8I,EAAM6/E,SAAShvB,MAGf,IAAI5tD,EAAK,aAAe7R,KAAKwN,KAAqB,IAAhBxN,KAAK+R,SAA4B,KACnEnD,EAAM6/E,SAAS,GAAGz+E,QAAUpB,EAAM6/E,SAAS,GAAGz+E,QAAQ7D,MAAM,GAAI,GAAK,QAAU0F,EAAK,KACpFjD,EAAM6/E,SAASh3E,KAiClB,SAAoBzH,EAAS6B,EAAI65I,GAChC,IAAI98I,EAAQ,IAAI88I,EAAiB,cAAe,GAAI,GAGpD,OAFA98I,EAAMoB,QAAU,4CAA8C6B,EAAK,KAAO7B,EAAU,WACpFpB,EAAM48C,MAAQ,CAAC,CAACsgG,IAAKj6I,IACdjD,EArCem9I,CAAWn9I,EAAMoB,QAAS6B,EAAI65I,SAElD98I,EAAM6/E,SAASh4D,QAmBlB,SAAoBi1H,GACnB,IAAI98I,EAAQ,IAAI88I,EAAiB,cAAe,GAAI,GAEpD,OADA98I,EAAMoB,QAAU,UACTpB,EAtBkBo9I,CAAWN,IAClC98I,EAAM6/E,SAASh3E,KAwBlB,SAAkBi0I,GACjB,IAAI98I,EAAQ,IAAI88I,EAAiB,cAAe,GAAI,GAEpD,OADA98I,EAAMoB,QAAU,WACTpB,EA3Beq9I,CAASP,IA9DhC1sJ,EAAOC,QAAU,SAASi7I,EAAIh1I,GACzBA,IACH8lJ,GAAqB9lJ,EAAQ2mH,QAC7Bo/B,IAAoB/lJ,EAAQu9I,MAC5ByI,IAAkBhmJ,EAAQgnJ,YAG3BhS,EAAGlE,KAAKK,MAAMnuF,MAAM,SAAU,qBAAqB,SAASh7C,GAE3D,IADA,IAAIk5B,EAASl5B,EAAMk5B,OACVjlC,EAAI,EAAGA,EAAIilC,EAAO/kC,OAAQF,IAC9BkqJ,EAAWjlH,EAAQjlC,KACtBsqJ,EAAQrlH,EAAOjlC,GAAI+L,EAAMy/G,OACzBQ,EAAQ/mF,EAAOjlC,EAAE,GAAI,QAAS,kBAAqB6pJ,EAAiC,GAAb,aACvE79B,EAAQ/mF,EAAO+kH,EAAY/kH,EAAQjlC,EAAE,IAAK,QAAS,4B,8BCtBvD,cAkBA,IAAUgrJ,UAOP,IAAuBn2I,GACtB,qBAAuB,GAAGzV,SAASK,KAAKoV,IACzC,oBAAuBrT,WAAmC,gBAAtBA,UAAUC,QAC3CxD,EACAgB,MAVK,QAGT+rJ,EAAMx7E,MAAQy7E,EAAQ,IAARA,GACdD,EAAMx7E,MAAN,UAA2Bw7E,EAAMx7E,MAAM07E,a,2QCPzCrtJ,EAAOC,QAAU,WACf,IACIqtJ,EADAC,EAAe,KAOnB,SAASzrJ,EAAS2mC,GAChB,QAAOA,IAAkB,WAAb,EAAOA,IAA+B,mBAANA,GAoJ9C,OA5IA6kH,EAAgB,SAASlnJ,EAAQgE,GAC/B,IAAKtI,EAASsE,KAAYtE,EAASsI,GACjC,MAAM,IAAI1F,UAAU,8DAMtB,IAAI8oJ,EAAe,aACnBD,EAAe,WAEbnnJ,EAAS,KACTonJ,EAAe,SAASC,GACtB,MAAM,IAAI/oJ,UAAJ,0BAAiC+oJ,EAAjC,yCAGVn2I,YAAW,WACTi2I,EAAe,OACd,GAIH,IAAMG,EAAgBtjJ,EAEtB,IAAK,IAAIwW,KADTxW,EAAU,CAAE,IAAO,KAAM,IAAO,KAAM,MAAS,KAAM,UAAa,MACpDsjJ,EAAe,CAC3B,KAAM9sI,KAAKxW,GACT,MAAM,IAAI1F,UAAJ,gDAAuDkc,EAAvD,MAERxW,EAAQwW,GAAK8sI,EAAc9sI,GAEA,mBAAlB8sI,IAGTtjJ,EAAQ6F,MAAQy9I,EAAcz9I,MAAM3O,KAAKosJ,IAK3C,IAAIC,EAAQzjJ,KACR0jJ,GAAW,EACXlsJ,GAAU,EACQ,mBAAX0E,GACTunJ,EAAQ,WACN,IAAME,EAAY3jJ,MAAQA,KAAKxH,cAAgBirJ,EACzCp1I,EAAOC,MAAM/W,UAAU0L,MAAMvL,KAAKqC,WAGxC,GAFAupJ,EAAaK,EAAW,YAAc,SAElCA,GAAYzjJ,EAAO,UACrB,OAAOA,EAAO,UAAcxI,KAAKsI,KAAM9D,EAAQmS,GAC1C,IAAKs1I,GAAYzjJ,EAAQ6F,MAC9B,OAAO7F,EAAO,MAAUhE,EAAQ8D,KAAMqO,GAIxC,GAAIs1I,EAAU,CAEZt1I,EAAKkf,QAAQrxB,GAEb,IAAMhB,EAA8BgB,EAAO9E,KAAK2O,MAAM7J,EAAQmS,GAC9D,OAAO,IAAInT,EAEb,OAAOgB,EAAO6J,MAAM/F,KAAMqO,IAE5Bq1I,GAAW,GACFxnJ,aAAkBoS,QAC3Bm1I,EAAQ,GACRjsJ,GAAU,GAKZ,IAAMs6C,EAAS5xC,EAAQtF,IAAM,SAASykB,GAEpC,OADAikI,EAAa,OACNpjJ,EAAQtF,IAAIoF,KAAMqf,EAAMokI,IAC7B,SAASpkI,GAEX,OADAikI,EAAa,OACNtjJ,KAAKqf,IAERiE,EAASpjB,EAAQgD,IAAM,SAASmc,EAAM/jB,GAC1CgoJ,EAAa,OACEpjJ,EAAQgD,IAAIlD,KAAMqf,EAAM/jB,EAAOmoJ,IAM5C,SAASpkI,EAAM/jB,GACjBgoJ,EAAa,OACbtjJ,KAAKqf,GAAQ/jB,GAITsoJ,EAAgBtsJ,OAAOmyB,oBAAoBvtB,GAC3C2nJ,EAAc,GACpBD,EAAc9rJ,SAAQ,SAASunB,GAC7B,IAAKqkI,IAAYlsJ,KAAY6nB,KAAQokI,GAArC,CAGA,IACM35F,EAAO,CACXhqD,aAFWxI,OAAOoE,yBAAyBQ,EAAQmjB,GAEhCvf,WACnBlF,IAAKk3C,EAAO16C,KAAK8E,EAAQmjB,GACzBnc,IAAKogB,EAAOlsB,KAAK8E,EAAQmjB,IAE3B/nB,OAAOqD,eAAe8oJ,EAAOpkI,EAAMyqC,GACnC+5F,EAAYxkI,IAAQ,MAMtB,IAAIykI,GAAc,EAQlB,GAPIxsJ,OAAOgS,eACThS,OAAOgS,eAAem6I,EAAOnsJ,OAAO+R,eAAenN,IAC1CunJ,EAAMjgI,UACfigI,EAAMjgI,UAAYtnB,EAAOsnB,UAEzBsgI,GAAc,EAEZ5jJ,EAAQtF,MAAQkpJ,EAClB,IAAK,IAAIptI,KAAKxa,EACR2nJ,EAAYntI,IAGhBpf,OAAOqD,eAAe8oJ,EAAO/sI,EAAG,CAAE9b,IAAKk3C,EAAO16C,KAAK8E,EAAQwa,KAQ/D,OAHApf,OAAOysJ,KAAK7nJ,GACZ5E,OAAOysJ,KAAKN,GAELA,IAGKN,UAAY,SAASjnJ,EAAQgE,GAEzC,MAAO,CAAE,MADC,IAAIkjJ,EAAclnJ,EAAQgE,GACf,OAAUmjJ,IAG1BD,I,6BC7KT,mGAII35F,EAAS,IAAI,SAAO,CACtBoF,MAAO,CACLjQ,IAAK,CACH93C,QAAS,UAGXg+E,UAAW,CACTh+E,QAAS,UACT+wD,MAAO,QACPuC,SAAU,CAAC,CAACthD,IAAK,MACjB0oD,MAAO,WAAmB,MAAO,CAAC,IAAK,KAGzC3G,WAAY,CACV/zD,QAAS,SACT+wD,MAAO,QACPuC,SAAU,CAAC,CAACthD,IAAK,eACjB0oD,MAAO,WAAmB,MAAO,CAAC,aAAc,KAGlDwiF,gBAAiB,CACfnsF,MAAO,QACPuC,SAAU,CAAC,CAACthD,IAAK,OACjB0oD,MAAO,WAAmB,MAAO,CAAC,MAAO,CAAC,SAG5CyiF,QAAS,CACP3hG,MAAO,CAAC8e,MAAO,CAACv8D,QAAS,IACzBiC,QAAS,kBACT+wD,MAAO,QACPumB,UAAU,EACVhkB,SAAU,CAAC,CAACthD,IAAK,KAAMwpC,MAAO,CAAC8e,MAAO,IAC3B,CAACtoD,IAAK,KAAMwpC,MAAO,CAAC8e,MAAO,IAC3B,CAACtoD,IAAK,KAAMwpC,MAAO,CAAC8e,MAAO,IAC3B,CAACtoD,IAAK,KAAMwpC,MAAO,CAAC8e,MAAO,IAC3B,CAACtoD,IAAK,KAAMwpC,MAAO,CAAC8e,MAAO,IAC3B,CAACtoD,IAAK,KAAMwpC,MAAO,CAAC8e,MAAO,KACtCI,MAAO,SAAerjB,GAAQ,MAAO,CAAC,IAAMA,EAAKmE,MAAM8e,MAAO,KAGhEmwE,WAAY,CACVzqI,QAAS,QACT+wD,MAAO,QACP94C,MAAM,EACNq/D,UAAU,EACV76B,MAAO,GACPjB,MAAO,CAACxkD,OAAQ,CAAC+G,QAAS,KAC1Bu1D,SAAU,CAAC,CAACthD,IAAK,MAAO6jD,mBAAoB,OAAQ1C,SAAU,SAAU9b,GAAQ,MAAO,CACpFrgD,OAAQqgD,EAAKttB,aAAa,gBAAkB,OAE/C2wC,MAAO,SAAerjB,GAAQ,MAAO,CAAC,MAAOA,EAAKmE,MAAMxkD,OAAS,CAAC,cAAeqgD,EAAKmE,MAAMxkD,QAAU,GAAI,CAAC,OAAQ,MAGrH+iH,aAAc,CACZ/5G,QAAS,aACT+wD,MAAO,QACPvV,MAAO,CAACioC,MAAO,CAAC1lF,QAAS,GAAI+wI,MAAO,CAAC/wI,SAAS,IAC9Cu1D,SAAU,CAAC,CAACthD,IAAK,KAAMmhD,SAAU,SAAkBR,GACjD,MAAO,CAAC8wB,MAAO9wB,EAAI+wB,aAAa,UAAY/wB,EAAI5oC,aAAa,SAAW,EAChE+kH,MAAOn8E,EAAI+wB,aAAa,kBAElChpB,MAAO,SAAerjB,GACpB,MAAO,CAAC,KAAM,CAAC2O,MAA2B,GAApB3O,EAAKmE,MAAMioC,MAAa,KAAOpsC,EAAKmE,MAAMioC,MACjD,aAAcpsC,EAAKmE,MAAMszF,MAAQ,OAAS,MAAO,KAIpEh1B,YAAa,CACX95G,QAAS,aACT+wD,MAAO,QACPvV,MAAO,CAACszF,MAAO,CAAC/wI,SAAS,IACzBu1D,SAAU,CAAC,CAACthD,IAAK,KAAMmhD,SAAU,SAAUR,GAAO,MAAO,CAAEm8E,MAAOn8E,EAAI+wB,aAAa,kBACnFhpB,MAAO,SAAerjB,GAAQ,MAAO,CAAC,KAAM,CAAC,aAAcA,EAAKmE,MAAMszF,MAAQ,OAAS,MAAO,KAGhG5wD,UAAW,CACTl+E,QAAS,mBACTs3E,UAAU,EACVhkB,SAAU,CAAC,CAACthD,IAAK,OACjB0oD,MAAO,WAAmB,MAAO,CAAC,KAAM,KAG1CpjE,KAAM,CACJy5D,MAAO,UAGTmvB,MAAO,CACL1wB,QAAQ,EACRhU,MAAO,CACLz6C,IAAK,GACL6+E,IAAK,CAAC7hF,QAAS,MACf2J,MAAO,CAAC3J,QAAS,OAEnBgzD,MAAO,SACPuT,WAAW,EACXhR,SAAU,CAAC,CAACthD,IAAK,WAAYmhD,SAAU,SAAkBR,GACvD,MAAO,CACL5xD,IAAK4xD,EAAI5oC,aAAa,OACtBriB,MAAOirD,EAAI5oC,aAAa,SACxB61D,IAAKjtB,EAAI5oC,aAAa,WAG1B2wC,MAAO,SAAerjB,GAAQ,MAAO,CAAC,MAAOA,EAAKmE,SAGpD4hG,WAAY,CACV5tF,QAAQ,EACRuB,MAAO,SACPjQ,YAAY,EACZwS,SAAU,CAAC,CAACthD,IAAK,OACjB0oD,MAAO,WAAmB,MAAO,CAAC,SAItCje,MAAO,CACL4gG,GAAI,CACF/pF,SAAU,CAAC,CAACthD,IAAK,KAAM,CAACA,IAAK,MAClB,CAACpR,MAAO,aAAcuyD,SAAU,SAAU3+D,GAAS,MAAgB,UAATA,GAAqB,QAC1FkmE,MAAO,WAAmB,MAAO,CAAC,QAGpC4iF,OAAQ,CACNhqF,SAAU,CAAC,CAACthD,IAAK,KAAM,CAACA,IAAK,UAClB,CAACpR,MAAO,cAAeuyD,SAAU,SAAU3+D,GAAS,MAAO,4BAA4B6P,KAAK7P,IAAU,QACjHkmE,MAAO,WAAmB,MAAO,CAAC,YAGpCxiE,KAAM,CACJsjD,MAAO,CACL9yB,KAAM,GACNhhB,MAAO,CAAC3J,QAAS,OAEnB+sD,WAAW,EACXwI,SAAU,CAAC,CAACthD,IAAK,UAAWmhD,SAAU,SAAkBR,GACtD,MAAO,CAACjqC,KAAMiqC,EAAI5oC,aAAa,QAASriB,MAAOirD,EAAI5oC,aAAa,aAElE2wC,MAAO,SAAerjB,GAAQ,MAAO,CAAC,IAAKA,EAAKmE,SAGlDvjC,KAAM,CACJq7C,SAAU,CAAC,CAACthD,IAAK,SACjB0oD,MAAO,WAAmB,MAAO,CAAC,aAWxC,IAAI6iF,EAAqB,SAA4B56F,EAAQ66F,GAC3DtkJ,KAAKypD,OAASA,EACdzpD,KAAK2uB,MAAQ,CAAC,CAAC9vB,KAAM4qD,EAAOO,YAAaljD,QAAS,KAClD9G,KAAKujD,MAAQ,OAAKiG,KAClBxpD,KAAKskJ,cAAgBA,GAmEvB,SAAShiG,EAAM7D,EAAM/4C,GACnB,OAAI+4C,EAAKwb,SAAmBxb,EAAKwb,SAASv0D,GAEjC+4C,EAAK6D,iBAAiBnrD,SAAmBsnD,EAAK6D,MAAM58C,GAC/C+4C,EAAK6D,MAKrB,SAASiiG,EAAY1lJ,GACnB,MAAe,eAARA,GAAiC,cAARA,GAAgC,SAARA,EAG1D,SAAS2lJ,EAAuBlqJ,GAC9B,MAA8B,MAAvBA,EAAIA,EAAInC,OAAS,GAAamC,EAAI2I,MAAM,EAAG3I,EAAInC,OAAS,GAAKmC,EAGtE,SAASmqJ,KAjFTJ,EAAmB9sJ,UAAUsmE,IAAM,WACjC,OAAO79D,KAAK2uB,MAAM3uB,KAAK2uB,MAAMx2B,OAAS,IAGxCksJ,EAAmB9sJ,UAAUgX,KAAO,SAAewyE,GAC7C/gF,KAAK2uB,MAAMx2B,QAAU6H,KAAK69D,MAAM/2D,QAAQyH,KAAKwyE,IAMnDsjE,EAAmB9sJ,UAAUgqI,QAAU,SAAkBnjI,GACvD,GAAKA,EAAL,CACA,IAC+C6jF,EAD3CpzB,EAAQ7uD,KAAK69D,MAAM/2D,QAAS4sB,EAAOm7B,EAAMA,EAAM12D,OAAS,GACxDgmD,EAAOn+C,KAAKypD,OAAOrrD,KAAKA,EAAM4B,KAAKujD,OACnC7vB,IAASuuD,EA5Bf,SAAoB/nF,EAAGC,GACrB,GAAID,EAAEytD,QAAUxtD,EAAEwtD,QAAU,OAAKqB,QAAQ9uD,EAAEqpD,MAAOppD,EAAEopD,OAChD,OAAOrpD,EAAEozD,SAASpzD,EAAEkE,KAAOjE,EAAEiE,MA0BXsmJ,CAAWhxH,EAAMyqB,IAAU0Q,EAAMA,EAAM12D,OAAS,GAAK8pF,EACpEpzB,EAAMtgD,KAAK4vC,KAKpBkmG,EAAmB9sJ,UAAUotJ,SAAW,SAAmB17F,GACzDjpD,KAAKujD,MAAQ0F,EAAKC,SAASlpD,KAAKujD,QAKlC8gG,EAAmB9sJ,UAAUqtJ,UAAY,SAAoB37F,GAC3DjpD,KAAKujD,MAAQ0F,EAAKE,cAAcnpD,KAAKujD,QAGvC8gG,EAAmB9sJ,UAAUstJ,YAAc,SAAsBC,GAC/D,IAAK,IAAI7sJ,EAAI,EAAGA,EAAI6sJ,EAAK3sJ,OAAQF,IAAK,CACpC,IAAIy/D,EAAMotF,EAAK7sJ,GACXiI,EAAUF,KAAKskJ,cAAc5sF,EAAI74D,MACrC,IAAKqB,EACD,MAAM,IAAI8M,MAAM,eAAiB0qD,EAAI74D,KAAO,sCAChDqB,EAAQF,KAAM03D,KAMlB2sF,EAAmB9sJ,UAAU44D,QAAU,SAAkBtxD,EAAMyjD,EAAOx7C,GACpE,IAAIq3C,EAAOt/C,EAAKuhD,cAAckC,EAAOx7C,EAAS9G,KAAKujD,OACnD,OAAKpF,GACLn+C,KAAKuO,KAAK4vC,GACHA,GAFa,MAOtBkmG,EAAmB9sJ,UAAUwtJ,SAAW,SAAmBlmJ,EAAMyjD,GAC/DtiD,KAAK2uB,MAAMpgB,KAAK,CAAC1P,KAAMA,EAAMyjD,MAAOA,EAAOx7C,QAAS,MAKtDu9I,EAAmB9sJ,UAAUytJ,UAAY,WACnChlJ,KAAKujD,MAAMprD,SAAU6H,KAAKujD,MAAQ,OAAKiG,MAC3C,IAAIo6D,EAAO5jH,KAAK2uB,MAAM4nC,MACtB,OAAOv2D,KAAKmwD,QAAQyzD,EAAK/kH,KAAM+kH,EAAKthE,MAAOshE,EAAK98G,UA8ElD,IAAIm+I,EAAiB,SAAwBx7F,EAAQy7F,EAAWhoH,GAI9Dl9B,KAAKk9B,OAASA,EACdl9B,KAAKypD,OAASA,EACdzpD,KAAKklJ,UAAYA,EACjBllJ,KAAKskJ,cA/DP,SAAuB76F,EAAQvsB,GAC7B,IAAItP,EAAWt2B,OAAO4N,OAAO,MACzB89C,EAAO,SAAWnkD,GACpB,IAAI4/C,EAAOvhB,EAAOr+B,GAClB,GAAI4/C,EAAK8hB,MAAO,CACd,IAAIle,EAAWoH,EAAOpH,SAAS5D,EAAK8hB,OAChCgkF,EAAY1lJ,GACd+uB,EAAS/uB,GAAQ,SAAUmF,EAAO0zD,GAChC1zD,EAAM+gJ,SAAS1iG,EAAUC,EAAM7D,EAAMiZ,IACrC1zD,EAAMu9H,QAAQijB,EAAuB9sF,EAAI5wD,UACzC9C,EAAMghJ,cAGRp3H,EAAS/uB,EAAO,SAAW,SAAUmF,EAAO0zD,GAAO,OAAO1zD,EAAM+gJ,SAAS1iG,EAAUC,EAAM7D,EAAMiZ,KAC/F9pC,EAAS/uB,EAAO,UAAY,SAAUmF,GAAS,OAAOA,EAAMghJ,mBAEzD,GAAIvmG,EAAKN,KAAM,CACpB,IAAIgnG,EAAa17F,EAAOpH,SAAS5D,EAAKN,MACtCvwB,EAAS/uB,GAAQ,SAAUmF,EAAO0zD,GAAO,OAAO1zD,EAAMmsD,QAAQg1F,EAAY7iG,EAAM7D,EAAMiZ,UACjF,GAAIjZ,EAAKwK,KAAM,CACpB,IAAIpG,EAAW4G,EAAOlG,MAAM9E,EAAKwK,MAC7Bs7F,EAAY1lJ,GACd+uB,EAAS/uB,GAAQ,SAAUmF,EAAO0zD,GAChC1zD,EAAM2gJ,SAAS9hG,EAAS39C,OAAOo9C,EAAM7D,EAAMiZ,KAC3C1zD,EAAMu9H,QAAQijB,EAAuB9sF,EAAI5wD,UACzC9C,EAAM4gJ,UAAU/hG,KAGlBj1B,EAAS/uB,EAAO,SAAW,SAAUmF,EAAO0zD,GAAO,OAAO1zD,EAAM2gJ,SAAS9hG,EAAS39C,OAAOo9C,EAAM7D,EAAMiZ,MACrG9pC,EAAS/uB,EAAO,UAAY,SAAUmF,GAAS,OAAOA,EAAM4gJ,UAAU/hG,SAEnE,KAAIpE,EAAKmM,OAQd,MAAM,IAAInE,WAAW,6BAA+Bp2C,KAAKC,UAAUmuC,IAP/D8lG,EAAY1lJ,GACd+uB,EAAS/uB,GAAQ4lJ,GAEjB72H,EAAS/uB,EAAO,SAAW4lJ,EAC3B72H,EAAS/uB,EAAO,UAAY4lJ,KAOlC,IAAK,IAAI5lJ,KAAQq+B,EAAQ8lB,EAAMnkD,GAM/B,OAJA+uB,EAASxvB,KAAO,SAAU4F,EAAO0zD,GAAO,OAAO1zD,EAAMu9H,QAAQ7pE,EAAI5wD,UACjE8mB,EAAS0oC,OAAS,SAAUtyD,EAAO0zD,GAAO,OAAO1zD,EAAM6gJ,YAAYntF,EAAI6tB,WACvE33D,EAASqkH,UAAYrkH,EAASqkH,WAAa,SAAWjuI,GAAS,OAAOA,EAAMu9H,QAAQ,OAE7E3zG,EAcc02H,CAAc76F,EAAQvsB,IAO7C+nH,EAAe1tJ,UAAUgkB,MAAQ,SAAgBnd,GAC/C,IAAqEwgD,EAAjE56C,EAAQ,IAAIqgJ,EAAmBrkJ,KAAKypD,OAAQzpD,KAAKskJ,eACrDtgJ,EAAM6gJ,YAAY7kJ,KAAKklJ,UAAU3pI,MAAMnd,EAAM,KAC7C,GAAKwgD,EAAM56C,EAAMghJ,kBAAsBhhJ,EAAM2qB,MAAMx2B,QACnD,OAAOymD,GAMmB,IAAIqmG,EAAex7F,EAAQ,IAAW,aAAc,CAAChjD,MAAM,IAAS,CAC9Fo0D,WAAY,CAAC0F,MAAO,cACpBukB,UAAW,CAACvkB,MAAO,aACnBykB,UAAW,CAACzkB,MAAO,aACnBqgD,YAAa,CAACrgD,MAAO,eACrBsgD,aAAc,CAACtgD,MAAO,eAAgBtG,SAAU,SAAUvC,GAAO,MAAO,CAAE6yB,OAAQ7yB,EAAIwsD,QAAQ,UAAY,KAC1G+/B,QAAS,CAAC1jF,MAAO,UAAWtG,SAAU,SAAUvC,GAAO,MAAO,CAAE0J,OAAQ1J,EAAI5+C,IAAI7V,MAAM,MACtFsuI,WAAY,CAAChxE,MAAO,cACpBixE,MAAO,CAACjxE,MAAO,aAActG,SAAU,SAAUvC,GAAO,MAAO,CAAE55D,OAAQ45D,EAAIksD,MAAQ,MACrF9nD,GAAI,CAAC3d,KAAM,mBACX6oC,MAAO,CAAC7oC,KAAM,QAAS8b,SAAU,SAAUvC,GAAO,MAAO,CACvD7vD,IAAK6vD,EAAIwsD,QAAQ,OACjB11G,MAAOkpD,EAAIwsD,QAAQ,UAAY,KAC/Bx9B,IAAKhvB,EAAI6tB,SAAS,IAAM7tB,EAAI6tB,SAAS,GAAGz+E,SAAW,QAErDirI,UAAW,CAAC5zF,KAAM,cAElBgmG,GAAI,CAACl7F,KAAM,MACXm7F,OAAQ,CAACn7F,KAAM,UACfjqD,KAAM,CAACiqD,KAAM,OAAQgR,SAAU,SAAUvC,GAAO,MAAO,CACrDloC,KAAMkoC,EAAIwsD,QAAQ,QAClB11G,MAAOkpD,EAAIwsD,QAAQ,UAAY,QAEjCktB,YAAa,CAACnoF,KAAM,UAvBtB,IA4BIm8F,EAAqB,SAA4Bv2F,EAAOtL,GAG1DvjD,KAAK6uD,MAAQA,EAEb7uD,KAAKujD,MAAQA,GAMf6hG,EAAmB7tJ,UAAU8tJ,UAAY,SAAoBv+I,EAAS9K,GACpE,IAAIgI,EAAQ,IAAIshJ,EAAwBtlJ,KAAK6uD,MAAO7uD,KAAKujD,MAAOvnD,GAEhE,OADAgI,EAAMuhJ,cAAcz+I,GACb9C,EAAM6yC,KAKf,IAAI2uG,EAA4B,IAAIJ,EAAmB,CACrDvqF,WAAY,SAAoB72D,EAAOm6C,GACrCn6C,EAAMyhJ,UAAU,KAAM,KAAMtnG,GAAM,WAAc,OAAOn6C,EAAMuhJ,cAAcpnG,OAE7EozF,WAAY,SAAoBvtI,EAAOm6C,GACrCn6C,EAAMqD,MAAM,OAAS82C,EAAKmE,MAAMxkD,QAAU,IAAM,MAChDkG,EAAM5F,KAAK+/C,EAAKuU,aAAa,GAC7B1uD,EAAM0hJ,gBACN1hJ,EAAMqD,MAAM,OACZrD,EAAM2hJ,WAAWxnG,IAEnB8lG,QAAS,SAAiBjgJ,EAAOm6C,GAC/Bn6C,EAAMqD,MAAMrD,EAAM4hJ,OAAO,IAAKznG,EAAKmE,MAAM8e,OAAS,KAClDp9D,EAAM0pI,aAAavvF,GACnBn6C,EAAM2hJ,WAAWxnG,IAEnB6lG,gBAAiB,SAAyBhgJ,EAAOm6C,GAC/Cn6C,EAAMqD,MAAM82C,EAAKmE,MAAMqhE,QAAU,OACjC3/G,EAAM2hJ,WAAWxnG,IAEnByiE,YAAa,SAAqB58G,EAAOm6C,GACvCn6C,EAAM6hJ,WAAW1nG,EAAM,MAAM,WAAc,OAAQA,EAAKmE,MAAMwjG,QAAU,KAAO,QAEjFjlC,aAAc,SAAsB78G,EAAOm6C,GACzC,IAAI2O,EAAQ3O,EAAKmE,MAAMioC,OAAS,EAC5Bw7D,EAAOtrJ,OAAOqyD,EAAQ3O,EAAKY,WAAa,GAAG5mD,OAC3CoqE,EAAQv+D,EAAM4hJ,OAAO,IAAKG,EAAO,GACrC/hJ,EAAM6hJ,WAAW1nG,EAAMokB,GAAO,SAAUtqE,GACtC,IAAI+tJ,EAAOvrJ,OAAOqyD,EAAQ70D,GAC1B,OAAO+L,EAAM4hJ,OAAO,IAAKG,EAAOC,EAAK7tJ,QAAU6tJ,EAAO,SAG1DhhE,UAAW,SAAmBhhF,EAAOm6C,GACnCn6C,EAAMuhJ,cAAcpnG,IAEtB2mC,UAAW,SAAmB9gF,EAAOm6C,GACnCn6C,EAAM0pI,aAAavvF,GACnBn6C,EAAM2hJ,WAAWxnG,IAGnB6oC,MAAO,SAAehjF,EAAOm6C,GAC3Bn6C,EAAMqD,MAAM,KAAOrD,EAAMiiJ,IAAI9nG,EAAKmE,MAAMokC,KAAO,IAAM,KAAO1iF,EAAMiiJ,IAAI9nG,EAAKmE,MAAMz6C,MACpEs2C,EAAKmE,MAAM9zC,MAAQ,IAAMxK,EAAMkiJ,MAAM/nG,EAAKmE,MAAM9zC,OAAS,IAAM,MAE9E01I,WAAY,SAAoBlgJ,EAAOm6C,EAAMh8C,EAAQsK,GACnD,IAAK,IAAIxU,EAAIwU,EAAQ,EAAGxU,EAAIkK,EAAO48C,WAAY9mD,IAC3C,GAAIkK,EAAO4lD,MAAM9vD,GAAG4G,MAAQs/C,EAAKt/C,KAEjC,YADAmF,EAAMqD,MAAM,SAIlBjJ,KAAM,SAAc4F,EAAOm6C,GACzBn6C,EAAM5F,KAAK+/C,EAAK//C,QAEjB,CACD+lJ,GAAI,CAACp8I,KAAM,IAAKT,MAAO,IAAK6+I,SAAS,EAAMC,0BAA0B,GACrEhC,OAAQ,CAACr8I,KAAM,KAAMT,MAAO,KAAM6+I,SAAS,EAAMC,0BAA0B,GAC3EpnJ,KAAM,CACJ+I,KAAM,SAAcs+I,EAAQp9F,EAAM9mD,EAAQsK,GACxC,OAAO65I,EAAWr9F,EAAM9mD,EAAQsK,EAAO,GAAK,IAAM,KAEpDnF,MAAO,SAAetD,EAAOilD,EAAM9mD,EAAQsK,GACzC,OAAO65I,EAAWr9F,EAAM9mD,EAAQsK,GAAQ,GAAK,IACzC,KAAOzI,EAAMiiJ,IAAIh9F,EAAK3G,MAAM9yB,OAASy5B,EAAK3G,MAAM9zC,MAAQ,IAAMxK,EAAMkiJ,MAAMj9F,EAAK3G,MAAM9zC,OAAS,IAAM,MAG5GuQ,KAAM,CAAChX,KAAM,SAAcs+I,EAAQE,EAAOpkJ,EAAQsK,GAAS,OAAO+5I,EAAarkJ,EAAO4lD,MAAMt7C,IAAS,IAC9FnF,MAAO,SAAe++I,EAAQE,EAAOpkJ,EAAQsK,GAAS,OAAO+5I,EAAarkJ,EAAO4lD,MAAMt7C,EAAQ,GAAI,IACnGxO,QAAQ,KAGjB,SAASuoJ,EAAaroG,EAAMC,GAC1B,IAAmBp8B,EAAfykI,EAAQ,MAAU54I,EAAM,EAC5B,GAAIswC,EAAKwJ,OAAU,KAAO3lC,EAAIykI,EAAMzwJ,KAAKmoD,EAAK//C,OAASyP,EAAM/W,KAAKkwB,IAAInZ,EAAKmU,EAAE,GAAG7pB,QAEhF,IADA,IAAI0B,EAASgU,EAAM,GAAKuwC,EAAO,EAAI,KAAO,IACjCnmD,EAAI,EAAGA,EAAI4V,EAAK5V,IAAO4B,GAAU,IAE1C,OADIgU,EAAM,GAAKuwC,EAAO,IAAKvkD,GAAU,KAC9BA,EAGT,SAASysJ,EAAWtnJ,EAAMmD,EAAQsK,EAAO2xC,GACvC,GAAIp/C,EAAKsjD,MAAM9zC,QAAU,QAAQrD,KAAKnM,EAAKsjD,MAAM9yB,MAAS,OAAO,EACjE,IAAI1oB,EAAU3E,EAAO4lD,MAAMt7C,GAAS2xC,EAAO,GAAK,EAAI,IACpD,IAAKt3C,EAAQ6gD,QAAU7gD,EAAQ1I,MAAQY,EAAKsjD,MAAM9yB,MAAQ1oB,EAAQy8C,MAAMz8C,EAAQy8C,MAAMprD,OAAS,IAAM6G,EAAQ,OAAO,EACpH,GAAIyN,IAAU2xC,EAAO,EAAI,EAAIj8C,EAAO48C,WAAa,GAAM,OAAO,EAC9D,IAAI70C,EAAO/H,EAAO4lD,MAAMt7C,GAAS2xC,EAAO,GAAK,EAAI,IACjD,OAAQp/C,EAAKqkD,QAAQn5C,EAAKq5C,OAM5B,IAAI+hG,EAA0B,SAAiCz2F,EAAOtL,EAAOvnD,GAC3EgE,KAAK6uD,MAAQA,EACb7uD,KAAKujD,MAAQA,EACbvjD,KAAK0mJ,MAAQ1mJ,KAAK62C,IAAM,GACxB72C,KAAK2mJ,QAAS,EACd3mJ,KAAK4mJ,aAAc,EAOnB5mJ,KAAKhE,QAAUA,GAAW,QACY,IAA3BgE,KAAKhE,QAAQ6qJ,aACpB7mJ,KAAKhE,QAAQ6qJ,YAAa,IAGhCvB,EAAwB/tJ,UAAUuvJ,WAAa,SAAqBvtI,GAClE,GAAIvZ,KAAK2mJ,OAAQ,CAGf,GAFK3mJ,KAAK+mJ,YAAa/mJ,KAAK62C,KAAO,MACvB,MAARt9B,IAAgBA,EAAO,GACvBA,EAAO,EAAG,CACZ,IAAIytI,EAAWhnJ,KAAK0mJ,MAChBrsJ,EAAO,OAAOrE,KAAKgxJ,GACnB3sJ,IAAQ2sJ,EAAWA,EAAS/jJ,MAAM,EAAG+jJ,EAAS7uJ,OAASkC,EAAK,GAAGlC,SACnE,IAAK,IAAIF,EAAI,EAAGA,EAAIshB,EAAMthB,IACtB+H,KAAK62C,KAAOmwG,EAAW,KAE7BhnJ,KAAK2mJ,QAAS,IASlBrB,EAAwB/tJ,UAAUkuJ,UAAY,SAAoBiB,EAAOO,EAAY9oG,EAAMjjD,GACzF,IAAIymG,EAAM3hG,KAAK0mJ,MACf1mJ,KAAKqH,MAAM4/I,GAAcP,GACzB1mJ,KAAK0mJ,OAASA,EACdxrJ,IACA8E,KAAK0mJ,MAAQ/kD,EACb3hG,KAAK2lJ,WAAWxnG,IAGlBmnG,EAAwB/tJ,UAAUwvJ,QAAU,WAC1C,MAAO,UAAU57I,KAAKnL,KAAK62C,MAK7ByuG,EAAwB/tJ,UAAUmuJ,cAAgB,WAC3C1lJ,KAAK+mJ,YAAa/mJ,KAAK62C,KAAO,OAOrCyuG,EAAwB/tJ,UAAU8P,MAAQ,SAAgBP,GACxD9G,KAAK8mJ,aACD9mJ,KAAK0mJ,OAAS1mJ,KAAK+mJ,YACnB/mJ,KAAK62C,KAAO72C,KAAK0mJ,OACjB5/I,IAAW9G,KAAK62C,KAAO/vC,IAK7Bw+I,EAAwB/tJ,UAAUouJ,WAAa,SAAqBxnG,GAClEn+C,KAAK2mJ,OAASxoG,GAMhBmnG,EAAwB/tJ,UAAU6G,KAAO,SAAe+6D,EAAQl7D,GAE9D,IADA,IAAIizI,EAAQ/3E,EAAOx5D,MAAM,MAChB1H,EAAI,EAAGA,EAAIi5I,EAAM/4I,OAAQF,IAAK,CACrC,IAAI4vF,EAAc7nF,KAAK+mJ,WAAa/mJ,KAAK2mJ,OACzC3mJ,KAAKqH,QACLrH,KAAK62C,MAAkB,IAAX54C,EAAmB+B,KAAKimJ,IAAI/U,EAAMj5I,GAAI4vF,GAAeqpD,EAAMj5I,GACnEA,GAAKi5I,EAAM/4I,OAAS,IAAK6H,KAAK62C,KAAO,QAM7CyuG,EAAwB/tJ,UAAU8J,OAAS,SAAiB88C,EAAMh8C,EAAQsK,GACxE,GAAqB,iBAAVtK,EAAsB,MAAM,IAAI6K,MAAM,KACjDhN,KAAK6uD,MAAM1Q,EAAKt/C,KAAKjI,MAAMoJ,KAAMm+C,EAAMh8C,EAAQsK,IAKjD64I,EAAwB/tJ,UAAUguJ,cAAgB,SAAwBpjJ,GACtE,IAAIkoD,EAASrqD,KAEfmC,EAAOrK,SAAQ,SAAUqmD,EAAMyL,EAAG3xD,GAAK,OAAOoyD,EAAOhpD,OAAO88C,EAAMh8C,EAAQlK,OAK5EqtJ,EAAwB/tJ,UAAUm2I,aAAe,SAAuBvrI,GACpE,IAAIkoD,EAASrqD,KAEXk2D,EAAS,GAAIgxF,EAAW,GACxBC,EAAW,SAAUhpG,EAAMyL,EAAGn9C,GAChC,IAAI82C,EAAQpF,EAAOA,EAAKoF,MAAQ,GAO5BpF,GAA2B,eAAnBA,EAAKt/C,KAAKjI,OAClB2sD,EAAQA,EAAM1vC,QAAO,SAAUmO,GAC/B,GAAIvV,EAAQ,GAAKtK,EAAO48C,WAAc,OAAO,EAC7C,IAAI70C,EAAO/H,EAAO4lD,MAAMt7C,EAAQ,GAChC,OAAOuV,EAAEqhC,QAAQn5C,EAAKq5C,UAAYr5C,EAAKy9C,QAAU,KAAKx8C,KAAKjB,EAAK9L,WAGpE,IAAIgpJ,EAAUF,EAId,GAHAA,EAAW,GAGP/oG,GAAQA,EAAKwJ,QAAUpE,EAAM5rC,MAAK,SAAUsxC,GAC9C,IAAI26D,EAAOv5D,EAAO9G,MAAM0F,EAAKpqD,KAAKjI,MAClC,OAAOgtH,GAAQA,EAAKwiC,4BAClB,CACF,IAAIlnG,EAAM,qBAAqBlpD,KAAKmoD,EAAK//C,MAEnCipJ,GADMnoG,EAAI,GACHA,EAAI,IACXooG,EAAUpoG,EAAI,GACdqoG,EAAQroG,EAAI,GAClBkoG,GAAWC,EACXH,EAAWK,GACPF,GAAQE,MACVppG,EAAOmpG,EAAUnpG,EAAKmP,SAASg6F,GAAW,QAC7B/jG,EAAQ2S,IAIzB,IAAIlQ,EAAQzC,EAAMprD,QAAUorD,EAAMA,EAAMprD,OAAS,GAAIqvJ,EAAQxhG,IAAkD,IAAzCqE,EAAO9G,MAAMyC,EAAMnnD,KAAKjI,MAAMqH,OAChG4P,EAAM01C,EAAMprD,QAAUqvJ,EAAQ,EAAI,GAMtCnuE,EAAO,IAAK,IAAIphF,EAAI,EAAGA,EAAI4V,EAAK5V,IAAK,CACnC,IAAIgxD,EAAO1F,EAAMtrD,GACjB,IAAKoyD,EAAO9G,MAAM0F,EAAKpqD,KAAKjI,MAAMuvJ,QAAW,MAC7C,IAAK,IAAIvzI,EAAI,EAAGA,EAAIsjD,EAAO/9D,OAAQya,IAAK,CACtC,IAAI+P,EAAQuzC,EAAOtjD,GACnB,IAAKy3C,EAAO9G,MAAM5gC,EAAM9jB,KAAKjI,MAAMuvJ,QAAW,MAC9C,GAAIl9F,EAAK9B,GAAGxkC,GAAQ,CACd1qB,EAAI2a,EACJ2wC,EAAQA,EAAMtgD,MAAM,EAAG2P,GAAG5P,OAAOimD,GAAMjmD,OAAOugD,EAAMtgD,MAAM2P,EAAG3a,IAAI+K,OAAOugD,EAAMtgD,MAAMhL,EAAI,EAAG4V,IACtF+E,EAAI3a,IACTsrD,EAAQA,EAAMtgD,MAAM,EAAGhL,GAAG+K,OAAOugD,EAAMtgD,MAAMhL,EAAI,EAAG2a,IAAI5P,OAAOimD,GAAMjmD,OAAOugD,EAAMtgD,MAAM2P,EAAG/E,KAC/F,SAASwrE,IAOf,IADA,IAAI1X,EAAO,EACJA,EAAO7qE,KAAKkK,IAAIk1D,EAAO/9D,OAAQ0V,IAAQ01C,EAAMoe,GAAMxa,GAAG+O,EAAOyL,OAAYA,EAGhF,KAAOA,EAAOzL,EAAO/9D,QACjBkyD,EAAOjsD,KAAKisD,EAAOo9F,WAAWvxF,EAAOK,OAAO,EAAOp0D,EAAQsK,IAAQ,GAMvE,GAHI26I,GAAW/8F,EAAOjsD,KAAKgpJ,GAGvBjpG,EAAM,CACR,KAAO+X,EAAO/9D,OAAS0V,GAAK,CAC1B,IAAIvL,EAAMihD,EAAM2S,EAAO/9D,QACvB+9D,EAAO3nD,KAAKjM,GACZ+nD,EAAOjsD,KAAKisD,EAAOo9F,WAAWnlJ,GAAK,EAAMH,EAAQsK,IAAQ,GAKvD+6I,GAASrpG,EAAKwJ,OACd0C,EAAOjsD,KAAKisD,EAAOo9F,WAAWzhG,GAAO,EAAM7jD,EAAQsK,GAAS0xC,EAAK//C,KACzDisD,EAAOo9F,WAAWzhG,GAAO,EAAO7jD,EAAQsK,EAAQ,IAAI,GAE5D49C,EAAOhpD,OAAO88C,EAAMh8C,EAAQsK,KAGpCtK,EAAOrK,QAAQqvJ,GACfA,EAAS,KAAM,EAAMhlJ,EAAO48C,aAQ9BumG,EAAwB/tJ,UAAUsuJ,WAAa,SAAqB1nG,EAAMuoG,EAAOO,GAC7E,IAAI58F,EAASrqD,KAEXA,KAAK2mJ,QAAU3mJ,KAAK2mJ,OAAO9nJ,MAAQs/C,EAAKt/C,KACxCmB,KAAK8mJ,WAAW,GACX9mJ,KAAK4mJ,aACV5mJ,KAAK8mJ,WAAW,GAEpB,IAAIY,OAAqC,IAApBvpG,EAAKmE,MAAMszF,MAAuBz3F,EAAKmE,MAAMszF,MAAQ51I,KAAKhE,QAAQ6qJ,WACnFc,EAAY3nJ,KAAK4mJ,YACrB5mJ,KAAK4mJ,YAAcc,EACnBvpG,EAAKrmD,SAAQ,SAAUiwD,EAAO6B,EAAG3xD,GAC3BA,GAAKyvJ,GAAWr9F,EAAOy8F,WAAW,GACtCz8F,EAAOo7F,UAAUiB,EAAOO,EAAWhvJ,GAAIkmD,GAAM,WAAc,OAAOkM,EAAOhpD,OAAO0mD,EAAO5J,EAAMlmD,SAE/F+H,KAAK4mJ,YAAce,GAOrBrC,EAAwB/tJ,UAAU0uJ,IAAM,SAAc3rJ,EAAKutF,GAGzD,OAFAvtF,EAAMA,EAAIC,QAAQ,eAAgB,QAC9BstF,IAAevtF,EAAMA,EAAIC,QAAQ,YAAa,QAAQA,QAAQ,WAAY,UACvED,GAGTgrJ,EAAwB/tJ,UAAU2uJ,MAAQ,SAAgB5rJ,GACxD,IAAIynD,GAA4B,GAArBznD,EAAIsE,QAAQ,KAAa,MAA4B,GAArBtE,EAAIsE,QAAQ,KAAa,KAAO,KAC3E,OAAOmjD,EAAK,GAAKznD,EAAMynD,EAAK,IAK9BujG,EAAwB/tJ,UAAUquJ,OAAS,SAAiBtrJ,EAAKuc,GAE/D,IADA,IAAIggC,EAAM,GACD5+C,EAAI,EAAGA,EAAI4e,EAAG5e,IAAO4+C,GAAOv8C,EACrC,OAAOu8C,GAKTyuG,EAAwB/tJ,UAAUkwJ,WAAa,SAAqBx+F,EAAMlhD,EAAM5F,EAAQsK,GACtF,IAAIm3G,EAAO5jH,KAAKujD,MAAM0F,EAAKpqD,KAAKjI,MAC5B0E,EAAQyM,EAAO67G,EAAK77G,KAAO67G,EAAKt8G,MACpC,MAAuB,iBAAThM,EAAoBA,EAAQA,EAAM0E,KAAMipD,EAAM9mD,EAAQsK,IAOtE64I,EAAwB/tJ,UAAUqwJ,uBAAyB,SAAiCxpJ,GAC1F,MAAO,CACLgpJ,SAAUhpJ,EAAK8N,MAAM,WAAa,IAAI,GACtCg7I,UAAW9oJ,EAAK8N,MAAM,WAAa,IAAI","file":"vendors~editor.js?v=120d4b01f30f8b7368ba","sourcesContent":["module.exports = function (exec) {\n try {\n return !!exec();\n } catch (error) {\n return true;\n }\n};\n","var global = require('../internals/global');\nvar shared = require('../internals/shared');\nvar has = require('../internals/has');\nvar uid = require('../internals/uid');\nvar NATIVE_SYMBOL = require('../internals/native-symbol');\nvar USE_SYMBOL_AS_UID = require('../internals/use-symbol-as-uid');\n\nvar WellKnownSymbolsStore = shared('wks');\nvar Symbol = global.Symbol;\nvar createWellKnownSymbol = USE_SYMBOL_AS_UID ? Symbol : Symbol && Symbol.withoutSetter || uid;\n\nmodule.exports = function (name) {\n if (!has(WellKnownSymbolsStore, name)) {\n if (NATIVE_SYMBOL && has(Symbol, name)) WellKnownSymbolsStore[name] = Symbol[name];\n else WellKnownSymbolsStore[name] = createWellKnownSymbol('Symbol.' + name);\n } return WellKnownSymbolsStore[name];\n};\n","var check = function (it) {\n return it && it.Math == Math && it;\n};\n\n// https://github.com/zloirock/core-js/issues/86#issuecomment-115759028\nmodule.exports =\n // eslint-disable-next-line no-undef\n check(typeof globalThis == 'object' && globalThis) ||\n check(typeof window == 'object' && window) ||\n check(typeof self == 'object' && self) ||\n check(typeof global == 'object' && global) ||\n // eslint-disable-next-line no-new-func\n Function('return this')();\n","'use strict';\n\nvar bind = require('./helpers/bind');\n\n/*global toString:true*/\n\n// utils is a library of generic helper functions non-specific to axios\n\nvar toString = Object.prototype.toString;\n\n/**\n * Determine if a value is an Array\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Array, otherwise false\n */\nfunction isArray(val) {\n return toString.call(val) === '[object Array]';\n}\n\n/**\n * Determine if a value is undefined\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if the value is undefined, otherwise false\n */\nfunction isUndefined(val) {\n return typeof val === 'undefined';\n}\n\n/**\n * Determine if a value is a Buffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Buffer, otherwise false\n */\nfunction isBuffer(val) {\n return val !== null && !isUndefined(val) && val.constructor !== null && !isUndefined(val.constructor)\n && typeof val.constructor.isBuffer === 'function' && val.constructor.isBuffer(val);\n}\n\n/**\n * Determine if a value is an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an ArrayBuffer, otherwise false\n */\nfunction isArrayBuffer(val) {\n return toString.call(val) === '[object ArrayBuffer]';\n}\n\n/**\n * Determine if a value is a FormData\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an FormData, otherwise false\n */\nfunction isFormData(val) {\n return (typeof FormData !== 'undefined') && (val instanceof FormData);\n}\n\n/**\n * Determine if a value is a view on an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a view on an ArrayBuffer, otherwise false\n */\nfunction isArrayBufferView(val) {\n var result;\n if ((typeof ArrayBuffer !== 'undefined') && (ArrayBuffer.isView)) {\n result = ArrayBuffer.isView(val);\n } else {\n result = (val) && (val.buffer) && (val.buffer instanceof ArrayBuffer);\n }\n return result;\n}\n\n/**\n * Determine if a value is a String\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a String, otherwise false\n */\nfunction isString(val) {\n return typeof val === 'string';\n}\n\n/**\n * Determine if a value is a Number\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Number, otherwise false\n */\nfunction isNumber(val) {\n return typeof val === 'number';\n}\n\n/**\n * Determine if a value is an Object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Object, otherwise false\n */\nfunction isObject(val) {\n return val !== null && typeof val === 'object';\n}\n\n/**\n * Determine if a value is a Date\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Date, otherwise false\n */\nfunction isDate(val) {\n return toString.call(val) === '[object Date]';\n}\n\n/**\n * Determine if a value is a File\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a File, otherwise false\n */\nfunction isFile(val) {\n return toString.call(val) === '[object File]';\n}\n\n/**\n * Determine if a value is a Blob\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Blob, otherwise false\n */\nfunction isBlob(val) {\n return toString.call(val) === '[object Blob]';\n}\n\n/**\n * Determine if a value is a Function\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Function, otherwise false\n */\nfunction isFunction(val) {\n return toString.call(val) === '[object Function]';\n}\n\n/**\n * Determine if a value is a Stream\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Stream, otherwise false\n */\nfunction isStream(val) {\n return isObject(val) && isFunction(val.pipe);\n}\n\n/**\n * Determine if a value is a URLSearchParams object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a URLSearchParams object, otherwise false\n */\nfunction isURLSearchParams(val) {\n return typeof URLSearchParams !== 'undefined' && val instanceof URLSearchParams;\n}\n\n/**\n * Trim excess whitespace off the beginning and end of a string\n *\n * @param {String} str The String to trim\n * @returns {String} The String freed of excess whitespace\n */\nfunction trim(str) {\n return str.replace(/^\\s*/, '').replace(/\\s*$/, '');\n}\n\n/**\n * Determine if we're running in a standard browser environment\n *\n * This allows axios to run in a web worker, and react-native.\n * Both environments support XMLHttpRequest, but not fully standard globals.\n *\n * web workers:\n * typeof window -> undefined\n * typeof document -> undefined\n *\n * react-native:\n * navigator.product -> 'ReactNative'\n * nativescript\n * navigator.product -> 'NativeScript' or 'NS'\n */\nfunction isStandardBrowserEnv() {\n if (typeof navigator !== 'undefined' && (navigator.product === 'ReactNative' ||\n navigator.product === 'NativeScript' ||\n navigator.product === 'NS')) {\n return false;\n }\n return (\n typeof window !== 'undefined' &&\n typeof document !== 'undefined'\n );\n}\n\n/**\n * Iterate over an Array or an Object invoking a function for each item.\n *\n * If `obj` is an Array callback will be called passing\n * the value, index, and complete array for each item.\n *\n * If 'obj' is an Object callback will be called passing\n * the value, key, and complete object for each property.\n *\n * @param {Object|Array} obj The object to iterate\n * @param {Function} fn The callback to invoke for each item\n */\nfunction forEach(obj, fn) {\n // Don't bother if no value provided\n if (obj === null || typeof obj === 'undefined') {\n return;\n }\n\n // Force an array if not already something iterable\n if (typeof obj !== 'object') {\n /*eslint no-param-reassign:0*/\n obj = [obj];\n }\n\n if (isArray(obj)) {\n // Iterate over array values\n for (var i = 0, l = obj.length; i < l; i++) {\n fn.call(null, obj[i], i, obj);\n }\n } else {\n // Iterate over object keys\n for (var key in obj) {\n if (Object.prototype.hasOwnProperty.call(obj, key)) {\n fn.call(null, obj[key], key, obj);\n }\n }\n }\n}\n\n/**\n * Accepts varargs expecting each argument to be an object, then\n * immutably merges the properties of each object and returns result.\n *\n * When multiple objects contain the same key the later object in\n * the arguments list will take precedence.\n *\n * Example:\n *\n * ```js\n * var result = merge({foo: 123}, {foo: 456});\n * console.log(result.foo); // outputs 456\n * ```\n *\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction merge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = merge(result[key], val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Function equal to merge with the difference being that no reference\n * to original objects is kept.\n *\n * @see merge\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction deepMerge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = deepMerge(result[key], val);\n } else if (typeof val === 'object') {\n result[key] = deepMerge({}, val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Extends object a by mutably adding to it the properties of object b.\n *\n * @param {Object} a The object to be extended\n * @param {Object} b The object to copy properties from\n * @param {Object} thisArg The object to bind function to\n * @return {Object} The resulting value of object a\n */\nfunction extend(a, b, thisArg) {\n forEach(b, function assignValue(val, key) {\n if (thisArg && typeof val === 'function') {\n a[key] = bind(val, thisArg);\n } else {\n a[key] = val;\n }\n });\n return a;\n}\n\nmodule.exports = {\n isArray: isArray,\n isArrayBuffer: isArrayBuffer,\n isBuffer: isBuffer,\n isFormData: isFormData,\n isArrayBufferView: isArrayBufferView,\n isString: isString,\n isNumber: isNumber,\n isObject: isObject,\n isUndefined: isUndefined,\n isDate: isDate,\n isFile: isFile,\n isBlob: isBlob,\n isFunction: isFunction,\n isStream: isStream,\n isURLSearchParams: isURLSearchParams,\n isStandardBrowserEnv: isStandardBrowserEnv,\n forEach: forEach,\n merge: merge,\n deepMerge: deepMerge,\n extend: extend,\n trim: trim\n};\n","var hasOwnProperty = {}.hasOwnProperty;\n\nmodule.exports = function (it, key) {\n return hasOwnProperty.call(it, key);\n};\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it)) {\n throw TypeError(String(it) + ' is not an object');\n } return it;\n};\n","module.exports = function (it) {\n return typeof it === 'object' ? it !== null : typeof it === 'function';\n};\n","var fails = require('../internals/fails');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !fails(function () {\n return Object.defineProperty({}, 1, { get: function () { return 7; } })[1] != 7;\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\nvar anObject = require('../internals/an-object');\nvar toPrimitive = require('../internals/to-primitive');\n\nvar nativeDefineProperty = Object.defineProperty;\n\n// `Object.defineProperty` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperty\nexports.f = DESCRIPTORS ? nativeDefineProperty : function defineProperty(O, P, Attributes) {\n anObject(O);\n P = toPrimitive(P, true);\n anObject(Attributes);\n if (IE8_DOM_DEFINE) try {\n return nativeDefineProperty(O, P, Attributes);\n } catch (error) { /* empty */ }\n if ('get' in Attributes || 'set' in Attributes) throw TypeError('Accessors not supported');\n if ('value' in Attributes) O[P] = Attributes.value;\n return O;\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = DESCRIPTORS ? function (object, key, value) {\n return definePropertyModule.f(object, key, createPropertyDescriptor(1, value));\n} : function (object, key, value) {\n object[key] = value;\n return object;\n};\n","var global = require('../internals/global');\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar setGlobal = require('../internals/set-global');\nvar copyConstructorProperties = require('../internals/copy-constructor-properties');\nvar isForced = require('../internals/is-forced');\n\n/*\n options.target - name of the target object\n options.global - target is the global object\n options.stat - export as static methods of target\n options.proto - export as prototype methods of target\n options.real - real prototype method for the `pure` version\n options.forced - export even if the native feature is available\n options.bind - bind methods to the target, required for the `pure` version\n options.wrap - wrap constructors to preventing global pollution, required for the `pure` version\n options.unsafe - use the simple assignment of property instead of delete + defineProperty\n options.sham - add a flag to not completely full polyfills\n options.enumerable - export as enumerable property\n options.noTargetGet - prevent calling a getter on target\n*/\nmodule.exports = function (options, source) {\n var TARGET = options.target;\n var GLOBAL = options.global;\n var STATIC = options.stat;\n var FORCED, target, key, targetProperty, sourceProperty, descriptor;\n if (GLOBAL) {\n target = global;\n } else if (STATIC) {\n target = global[TARGET] || setGlobal(TARGET, {});\n } else {\n target = (global[TARGET] || {}).prototype;\n }\n if (target) for (key in source) {\n sourceProperty = source[key];\n if (options.noTargetGet) {\n descriptor = getOwnPropertyDescriptor(target, key);\n targetProperty = descriptor && descriptor.value;\n } else targetProperty = target[key];\n FORCED = isForced(GLOBAL ? key : TARGET + (STATIC ? '.' : '#') + key, options.forced);\n // contained in target\n if (!FORCED && targetProperty !== undefined) {\n if (typeof sourceProperty === typeof targetProperty) continue;\n copyConstructorProperties(sourceProperty, targetProperty);\n }\n // add a flag to not completely full polyfills\n if (options.sham || (targetProperty && targetProperty.sham)) {\n createNonEnumerableProperty(sourceProperty, 'sham', true);\n }\n // extend global\n redefine(target, key, sourceProperty, options);\n }\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.index-of\");\n\nrequire(\"core-js/modules/es.object.assign\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.regexp.to-string\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRootUrl = exports.generateFilePath = exports.imagePath = exports.generateUrl = exports.generateOcsUrl = exports.generateRemoteUrl = exports.linkTo = void 0;\n\n/// <reference types=\"@nextcloud/typings\" />\n\n/**\n * Get an absolute url to a file in an app\n *\n * @param {string} app the id of the app the file belongs to\n * @param {string} file the file path relative to the app folder\n * @return {string} Absolute URL to a file\n */\nvar linkTo = function linkTo(app, file) {\n return generateFilePath(app, '', file);\n};\n/**\n * Creates a relative url for remote use\n *\n * @param {string} service id\n * @return {string} the url\n */\n\n\nexports.linkTo = linkTo;\n\nvar linkToRemoteBase = function linkToRemoteBase(service) {\n return getRootUrl() + '/remote.php/' + service;\n};\n/**\n * @brief Creates an absolute url for remote use\n * @param {string} service id\n * @return {string} the url\n */\n\n\nvar generateRemoteUrl = function generateRemoteUrl(service) {\n return window.location.protocol + '//' + window.location.host + linkToRemoteBase(service);\n};\n/**\n * Get the base path for the given OCS API service\n *\n * @param {string} service name\n * @param {int} version OCS API version\n * @return {string} OCS API base path\n */\n\n\nexports.generateRemoteUrl = generateRemoteUrl;\n\nvar generateOcsUrl = function generateOcsUrl(service, version) {\n version = version !== 2 ? 1 : 2;\n return window.location.protocol + '//' + window.location.host + getRootUrl() + '/ocs/v' + version + '.php/' + service + '/';\n};\n\nexports.generateOcsUrl = generateOcsUrl;\n\n/**\n * Generate the absolute url for the given relative url, which can contain parameters\n *\n * Parameters will be URL encoded automatically\n *\n * @return {string} Absolute URL for the given relative URL\n */\nvar generateUrl = function generateUrl(url, params, options) {\n var allOptions = Object.assign({\n escape: true,\n noRewrite: false\n }, options || {});\n\n var _build = function _build(text, vars) {\n vars = vars || {};\n return text.replace(/{([^{}]*)}/g, function (a, b) {\n var r = vars[b];\n\n if (allOptions.escape) {\n return typeof r === 'string' || typeof r === 'number' ? encodeURIComponent(r.toString()) : encodeURIComponent(a);\n } else {\n return typeof r === 'string' || typeof r === 'number' ? r.toString() : a;\n }\n });\n };\n\n if (url.charAt(0) !== '/') {\n url = '/' + url;\n }\n\n if (OC.config.modRewriteWorking === true && !allOptions.noRewrite) {\n return getRootUrl() + _build(url, params || {});\n }\n\n return getRootUrl() + '/index.php' + _build(url, params || {});\n};\n/**\n * Get the absolute path to an image file\n * if no extension is given for the image, it will automatically decide\n * between .png and .svg based on what the browser supports\n *\n * @param {string} app the app id to which the image belongs\n * @param {string} file the name of the image file\n * @return {string}\n */\n\n\nexports.generateUrl = generateUrl;\n\nvar imagePath = function imagePath(app, file) {\n if (file.indexOf('.') === -1) {\n //if no extension is given, use svg\n return generateFilePath(app, 'img', file + '.svg');\n }\n\n return generateFilePath(app, 'img', file);\n};\n/**\n * Get the absolute url for a file in an app\n *\n * @param {string} app the id of the app\n * @param {string} type the type of the file to link to (e.g. css,img,ajax.template)\n * @param {string} file the filename\n * @return {string} Absolute URL for a file in an app\n */\n\n\nexports.imagePath = imagePath;\n\nvar generateFilePath = function generateFilePath(app, type, file) {\n var isCore = OC.coreApps.indexOf(app) !== -1;\n var link = getRootUrl();\n\n if (file.substring(file.length - 3) === 'php' && !isCore) {\n link += '/index.php/apps/' + app;\n\n if (file !== 'index.php') {\n link += '/';\n\n if (type) {\n link += encodeURI(type + '/');\n }\n\n link += file;\n }\n } else if (file.substring(file.length - 3) !== 'php' && !isCore) {\n link = OC.appswebroots[app];\n\n if (type) {\n link += '/' + type + '/';\n }\n\n if (link.substring(link.length - 1) !== '/') {\n link += '/';\n }\n\n link += file;\n } else {\n if ((app === 'settings' || app === 'core' || app === 'search') && type === 'ajax') {\n link += '/index.php/';\n } else {\n link += '/';\n }\n\n if (!isCore) {\n link += 'apps/';\n }\n\n if (app !== '') {\n app += '/';\n link += app;\n }\n\n if (type) {\n link += type + '/';\n }\n\n link += file;\n }\n\n return link;\n};\n/**\n * Return the web root path where this Nextcloud instance\n * is accessible, with a leading slash.\n * For example \"/nextcloud\".\n *\n * @return {string} web root path\n */\n\n\nexports.generateFilePath = generateFilePath;\n\nvar getRootUrl = function getRootUrl() {\n return OC.webroot;\n};\n\nexports.getRootUrl = getRootUrl;\n//# sourceMappingURL=index.js.map","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar setGlobal = require('../internals/set-global');\nvar inspectSource = require('../internals/inspect-source');\nvar InternalStateModule = require('../internals/internal-state');\n\nvar getInternalState = InternalStateModule.get;\nvar enforceInternalState = InternalStateModule.enforce;\nvar TEMPLATE = String(String).split('String');\n\n(module.exports = function (O, key, value, options) {\n var unsafe = options ? !!options.unsafe : false;\n var simple = options ? !!options.enumerable : false;\n var noTargetGet = options ? !!options.noTargetGet : false;\n if (typeof value == 'function') {\n if (typeof key == 'string' && !has(value, 'name')) createNonEnumerableProperty(value, 'name', key);\n enforceInternalState(value).source = TEMPLATE.join(typeof key == 'string' ? key : '');\n }\n if (O === global) {\n if (simple) O[key] = value;\n else setGlobal(key, value);\n return;\n } else if (!unsafe) {\n delete O[key];\n } else if (!noTargetGet && O[key]) {\n simple = true;\n }\n if (simple) O[key] = value;\n else createNonEnumerableProperty(O, key, value);\n// add fake Function#toString for correct work wrapped methods / constructors with methods like LoDash isNative\n})(Function.prototype, 'toString', function toString() {\n return typeof this == 'function' && getInternalState(this).source || inspectSource(this);\n});\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.subscribe = subscribe;\nexports.unsubscribe = unsubscribe;\nexports.emit = emit;\n\nvar _ProxyBus = require(\"./ProxyBus\");\n\nvar _SimpleBus = require(\"./SimpleBus\");\n\nfunction getBus() {\n if (typeof window.OC !== 'undefined' && window.OC._eventBus && typeof window._nc_event_bus === 'undefined') {\n console.warn('found old event bus instance at OC._eventBus. Update your version!');\n window._nc_event_bus = window.OC._eventBus;\n } // Either use an existing event bus instance or create one\n\n\n if (typeof window._nc_event_bus !== 'undefined') {\n return new _ProxyBus.ProxyBus(window._nc_event_bus);\n } else {\n return window._nc_event_bus = new _SimpleBus.SimpleBus();\n }\n}\n\nvar bus = getBus();\n/**\n * Register an event listener\n *\n * @param name name of the event\n * @param handler callback invoked for every matching event emitted on the bus\n */\n\nfunction subscribe(name, handler) {\n bus.subscribe(name, handler);\n}\n/**\n * Unregister a previously registered event listener\n *\n * Note: doesn't work with anonymous functions (closures). Use method of an object or store listener function in variable.\n *\n * @param name name of the event\n * @param handler callback passed to `subscribed`\n */\n\n\nfunction unsubscribe(name, handler) {\n bus.unsubscribe(name, handler);\n}\n/**\n * Emit an event\n *\n * @param name name of the event\n * @param event event payload\n */\n\n\nfunction emit(name, event) {\n bus.emit(name, event);\n}\n//# sourceMappingURL=index.js.map","var toInteger = require('../internals/to-integer');\n\nvar min = Math.min;\n\n// `ToLength` abstract operation\n// https://tc39.github.io/ecma262/#sec-tolength\nmodule.exports = function (argument) {\n return argument > 0 ? min(toInteger(argument), 0x1FFFFFFFFFFFFF) : 0; // 2 ** 53 - 1 == 9007199254740991\n};\n","var requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `ToObject` abstract operation\n// https://tc39.github.io/ecma262/#sec-toobject\nmodule.exports = function (argument) {\n return Object(requireObjectCoercible(argument));\n};\n","module.exports = {};\n","/* globals __VUE_SSR_CONTEXT__ */\n\n// IMPORTANT: Do NOT use ES2015 features in this file (except for modules).\n// This module is a runtime utility for cleaner component module output and will\n// be included in the final webpack user bundle.\n\nexport default function normalizeComponent (\n scriptExports,\n render,\n staticRenderFns,\n functionalTemplate,\n injectStyles,\n scopeId,\n moduleIdentifier, /* server only */\n shadowMode /* vue-cli only */\n) {\n // Vue.extend constructor export interop\n var options = typeof scriptExports === 'function'\n ? scriptExports.options\n : scriptExports\n\n // render functions\n if (render) {\n options.render = render\n options.staticRenderFns = staticRenderFns\n options._compiled = true\n }\n\n // functional template\n if (functionalTemplate) {\n options.functional = true\n }\n\n // scopedId\n if (scopeId) {\n options._scopeId = 'data-v-' + scopeId\n }\n\n var hook\n if (moduleIdentifier) { // server build\n hook = function (context) {\n // 2.3 injection\n context =\n context || // cached call\n (this.$vnode && this.$vnode.ssrContext) || // stateful\n (this.parent && this.parent.$vnode && this.parent.$vnode.ssrContext) // functional\n // 2.2 with runInNewContext: true\n if (!context && typeof __VUE_SSR_CONTEXT__ !== 'undefined') {\n context = __VUE_SSR_CONTEXT__\n }\n // inject component styles\n if (injectStyles) {\n injectStyles.call(this, context)\n }\n // register component module identifier for async chunk inferrence\n if (context && context._registeredComponents) {\n context._registeredComponents.add(moduleIdentifier)\n }\n }\n // used by ssr in case component is cached and beforeCreate\n // never gets called\n options._ssrRegister = hook\n } else if (injectStyles) {\n hook = shadowMode\n ? function () {\n injectStyles.call(\n this,\n (options.functional ? this.parent : this).$root.$options.shadowRoot\n )\n }\n : injectStyles\n }\n\n if (hook) {\n if (options.functional) {\n // for template-only hot-reload because in that case the render fn doesn't\n // go through the normalizer\n options._injectStyles = hook\n // register for functional component in vue file\n var originalRender = options.render\n options.render = function renderWithStyleInjection (h, context) {\n hook.call(context)\n return originalRender(h, context)\n }\n } else {\n // inject component registration as beforeCreate hook\n var existing = options.beforeCreate\n options.beforeCreate = existing\n ? [].concat(existing, hook)\n : [hook]\n }\n }\n\n return {\n exports: scriptExports,\n options: options\n }\n}\n","var toString = {}.toString;\n\nmodule.exports = function (it) {\n return toString.call(it).slice(8, -1);\n};\n","var NATIVE_WEAK_MAP = require('../internals/native-weak-map');\nvar global = require('../internals/global');\nvar isObject = require('../internals/is-object');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar objectHas = require('../internals/has');\nvar sharedKey = require('../internals/shared-key');\nvar hiddenKeys = require('../internals/hidden-keys');\n\nvar WeakMap = global.WeakMap;\nvar set, get, has;\n\nvar enforce = function (it) {\n return has(it) ? get(it) : set(it, {});\n};\n\nvar getterFor = function (TYPE) {\n return function (it) {\n var state;\n if (!isObject(it) || (state = get(it)).type !== TYPE) {\n throw TypeError('Incompatible receiver, ' + TYPE + ' required');\n } return state;\n };\n};\n\nif (NATIVE_WEAK_MAP) {\n var store = new WeakMap();\n var wmget = store.get;\n var wmhas = store.has;\n var wmset = store.set;\n set = function (it, metadata) {\n wmset.call(store, it, metadata);\n return metadata;\n };\n get = function (it) {\n return wmget.call(store, it) || {};\n };\n has = function (it) {\n return wmhas.call(store, it);\n };\n} else {\n var STATE = sharedKey('state');\n hiddenKeys[STATE] = true;\n set = function (it, metadata) {\n createNonEnumerableProperty(it, STATE, metadata);\n return metadata;\n };\n get = function (it) {\n return objectHas(it, STATE) ? it[STATE] : {};\n };\n has = function (it) {\n return objectHas(it, STATE);\n };\n}\n\nmodule.exports = {\n set: set,\n get: get,\n has: has,\n enforce: enforce,\n getterFor: getterFor\n};\n","// `RequireObjectCoercible` abstract operation\n// https://tc39.github.io/ecma262/#sec-requireobjectcoercible\nmodule.exports = function (it) {\n if (it == undefined) throw TypeError(\"Can't call method on \" + it);\n return it;\n};\n","var path = require('../internals/path');\nvar global = require('../internals/global');\n\nvar aFunction = function (variable) {\n return typeof variable == 'function' ? variable : undefined;\n};\n\nmodule.exports = function (namespace, method) {\n return arguments.length < 2 ? aFunction(path[namespace]) || aFunction(global[namespace])\n : path[namespace] && path[namespace][method] || global[namespace] && global[namespace][method];\n};\n","var ceil = Math.ceil;\nvar floor = Math.floor;\n\n// `ToInteger` abstract operation\n// https://tc39.github.io/ecma262/#sec-tointeger\nmodule.exports = function (argument) {\n return isNaN(argument = +argument) ? 0 : (argument > 0 ? floor : ceil)(argument);\n};\n","module.exports = function (bitmap, value) {\n return {\n enumerable: !(bitmap & 1),\n configurable: !(bitmap & 2),\n writable: !(bitmap & 4),\n value: value\n };\n};\n","// toObject with fallback for non-array-like ES3 strings\nvar IndexedObject = require('../internals/indexed-object');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\nmodule.exports = function (it) {\n return IndexedObject(requireObjectCoercible(it));\n};\n","module.exports = {};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.object.assign\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.default = void 0;\n\nvar _axios = _interopRequireDefault(require(\"axios\"));\n\nvar _auth = require(\"@nextcloud/auth\");\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nvar client = _axios.default.create({\n headers: {\n requesttoken: (0, _auth.getRequestToken)()\n }\n});\n\nvar cancelableClient = Object.assign(client, {\n CancelToken: _axios.default.CancelToken,\n isCancel: _axios.default.isCancel\n});\n(0, _auth.onRequestTokenUpdate)(function (token) {\n return client.defaults.headers.requesttoken = token;\n});\nvar _default = cancelableClient;\nexports.default = _default;\n//# sourceMappingURL=index.js.map","var aFunction = require('../internals/a-function');\n\n// optional / simple context binding\nmodule.exports = function (fn, that, length) {\n aFunction(fn);\n if (that === undefined) return fn;\n switch (length) {\n case 0: return function () {\n return fn.call(that);\n };\n case 1: return function (a) {\n return fn.call(that, a);\n };\n case 2: return function (a, b) {\n return fn.call(that, a, b);\n };\n case 3: return function (a, b, c) {\n return fn.call(that, a, b, c);\n };\n }\n return function (/* ...args */) {\n return fn.apply(that, arguments);\n };\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar has = require('../internals/has');\n\nvar defineProperty = Object.defineProperty;\nvar cache = {};\n\nvar thrower = function (it) { throw it; };\n\nmodule.exports = function (METHOD_NAME, options) {\n if (has(cache, METHOD_NAME)) return cache[METHOD_NAME];\n if (!options) options = {};\n var method = [][METHOD_NAME];\n var ACCESSORS = has(options, 'ACCESSORS') ? options.ACCESSORS : false;\n var argument0 = has(options, 0) ? options[0] : thrower;\n var argument1 = has(options, 1) ? options[1] : undefined;\n\n return cache[METHOD_NAME] = !!method && !fails(function () {\n if (ACCESSORS && !DESCRIPTORS) return true;\n var O = { length: -1 };\n\n if (ACCESSORS) defineProperty(O, 1, { enumerable: true, get: thrower });\n else O[1] = 1;\n\n method.call(O, argument0, argument1);\n });\n};\n","var anObject = require('../internals/an-object');\nvar defineProperties = require('../internals/object-define-properties');\nvar enumBugKeys = require('../internals/enum-bug-keys');\nvar hiddenKeys = require('../internals/hidden-keys');\nvar html = require('../internals/html');\nvar documentCreateElement = require('../internals/document-create-element');\nvar sharedKey = require('../internals/shared-key');\n\nvar GT = '>';\nvar LT = '<';\nvar PROTOTYPE = 'prototype';\nvar SCRIPT = 'script';\nvar IE_PROTO = sharedKey('IE_PROTO');\n\nvar EmptyConstructor = function () { /* empty */ };\n\nvar scriptTag = function (content) {\n return LT + SCRIPT + GT + content + LT + '/' + SCRIPT + GT;\n};\n\n// Create object with fake `null` prototype: use ActiveX Object with cleared prototype\nvar NullProtoObjectViaActiveX = function (activeXDocument) {\n activeXDocument.write(scriptTag(''));\n activeXDocument.close();\n var temp = activeXDocument.parentWindow.Object;\n activeXDocument = null; // avoid memory leak\n return temp;\n};\n\n// Create object with fake `null` prototype: use iframe Object with cleared prototype\nvar NullProtoObjectViaIFrame = function () {\n // Thrash, waste and sodomy: IE GC bug\n var iframe = documentCreateElement('iframe');\n var JS = 'java' + SCRIPT + ':';\n var iframeDocument;\n iframe.style.display = 'none';\n html.appendChild(iframe);\n // https://github.com/zloirock/core-js/issues/475\n iframe.src = String(JS);\n iframeDocument = iframe.contentWindow.document;\n iframeDocument.open();\n iframeDocument.write(scriptTag('document.F=Object'));\n iframeDocument.close();\n return iframeDocument.F;\n};\n\n// Check for document.domain and active x support\n// No need to use active x approach when document.domain is not set\n// see https://github.com/es-shims/es5-shim/issues/150\n// variation of https://github.com/kitcambridge/es5-shim/commit/4f738ac066346\n// avoid IE GC bug\nvar activeXDocument;\nvar NullProtoObject = function () {\n try {\n /* global ActiveXObject */\n activeXDocument = document.domain && new ActiveXObject('htmlfile');\n } catch (error) { /* ignore */ }\n NullProtoObject = activeXDocument ? NullProtoObjectViaActiveX(activeXDocument) : NullProtoObjectViaIFrame();\n var length = enumBugKeys.length;\n while (length--) delete NullProtoObject[PROTOTYPE][enumBugKeys[length]];\n return NullProtoObject();\n};\n\nhiddenKeys[IE_PROTO] = true;\n\n// `Object.create` method\n// https://tc39.github.io/ecma262/#sec-object.create\nmodule.exports = Object.create || function create(O, Properties) {\n var result;\n if (O !== null) {\n EmptyConstructor[PROTOTYPE] = anObject(O);\n result = new EmptyConstructor();\n EmptyConstructor[PROTOTYPE] = null;\n // add \"__proto__\" for Object.getPrototypeOf polyfill\n result[IE_PROTO] = O;\n } else result = NullProtoObject();\n return Properties === undefined ? result : defineProperties(result, Properties);\n};\n","var defineProperty = require('../internals/object-define-property').f;\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n\nmodule.exports = function (it, TAG, STATIC) {\n if (it && !has(it = STATIC ? it : it.prototype, TO_STRING_TAG)) {\n defineProperty(it, TO_STRING_TAG, { configurable: true, value: TAG });\n }\n};\n","var isObject = require('../internals/is-object');\n\n// `ToPrimitive` abstract operation\n// https://tc39.github.io/ecma262/#sec-toprimitive\n// instead of the ES6 spec version, we didn't implement @@toPrimitive case\n// and the second argument - flag - preferred type is a string\nmodule.exports = function (input, PREFERRED_STRING) {\n if (!isObject(input)) return input;\n var fn, val;\n if (PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n if (typeof (fn = input.valueOf) == 'function' && !isObject(val = fn.call(input))) return val;\n if (!PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n throw TypeError(\"Can't convert object to primitive value\");\n};\n","module.exports = false;\n","var fails = require('../internals/fails');\nvar classof = require('../internals/classof-raw');\n\nvar split = ''.split;\n\n// fallback for non-array-like ES3 and non-enumerable old V8 strings\nmodule.exports = fails(function () {\n // throws an error in rhino, see https://github.com/mozilla/rhino/issues/346\n // eslint-disable-next-line no-prototype-builtins\n return !Object('z').propertyIsEnumerable(0);\n}) ? function (it) {\n return classof(it) == 'String' ? split.call(it, '') : Object(it);\n} : Object;\n","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nmodule.exports = function (key, value) {\n try {\n createNonEnumerableProperty(global, key, value);\n } catch (error) {\n global[key] = value;\n } return value;\n};\n","var shared = require('../internals/shared');\nvar uid = require('../internals/uid');\n\nvar keys = shared('keys');\n\nmodule.exports = function (key) {\n return keys[key] || (keys[key] = uid(key));\n};\n","var id = 0;\nvar postfix = Math.random();\n\nmodule.exports = function (key) {\n return 'Symbol(' + String(key === undefined ? '' : key) + ')_' + (++id + postfix).toString(36);\n};\n","// IE8- don't enum bug keys\nmodule.exports = [\n 'constructor',\n 'hasOwnProperty',\n 'isPrototypeOf',\n 'propertyIsEnumerable',\n 'toLocaleString',\n 'toString',\n 'valueOf'\n];\n","// Note: this is the semver.org version of the spec that it implements\n// Not necessarily the package version of this code.\nconst SEMVER_SPEC_VERSION = '2.0.0'\n\nconst MAX_LENGTH = 256\nconst MAX_SAFE_INTEGER = Number.MAX_SAFE_INTEGER ||\n /* istanbul ignore next */ 9007199254740991\n\n// Max safe segment length for coercion.\nconst MAX_SAFE_COMPONENT_LENGTH = 16\n\nmodule.exports = {\n SEMVER_SPEC_VERSION,\n MAX_LENGTH,\n MAX_SAFE_INTEGER,\n MAX_SAFE_COMPONENT_LENGTH\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar createIteratorConstructor = require('../internals/create-iterator-constructor');\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\nvar Iterators = require('../internals/iterators');\nvar IteratorsCore = require('../internals/iterators-core');\n\nvar IteratorPrototype = IteratorsCore.IteratorPrototype;\nvar BUGGY_SAFARI_ITERATORS = IteratorsCore.BUGGY_SAFARI_ITERATORS;\nvar ITERATOR = wellKnownSymbol('iterator');\nvar KEYS = 'keys';\nvar VALUES = 'values';\nvar ENTRIES = 'entries';\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (Iterable, NAME, IteratorConstructor, next, DEFAULT, IS_SET, FORCED) {\n createIteratorConstructor(IteratorConstructor, NAME, next);\n\n var getIterationMethod = function (KIND) {\n if (KIND === DEFAULT && defaultIterator) return defaultIterator;\n if (!BUGGY_SAFARI_ITERATORS && KIND in IterablePrototype) return IterablePrototype[KIND];\n switch (KIND) {\n case KEYS: return function keys() { return new IteratorConstructor(this, KIND); };\n case VALUES: return function values() { return new IteratorConstructor(this, KIND); };\n case ENTRIES: return function entries() { return new IteratorConstructor(this, KIND); };\n } return function () { return new IteratorConstructor(this); };\n };\n\n var TO_STRING_TAG = NAME + ' Iterator';\n var INCORRECT_VALUES_NAME = false;\n var IterablePrototype = Iterable.prototype;\n var nativeIterator = IterablePrototype[ITERATOR]\n || IterablePrototype['@@iterator']\n || DEFAULT && IterablePrototype[DEFAULT];\n var defaultIterator = !BUGGY_SAFARI_ITERATORS && nativeIterator || getIterationMethod(DEFAULT);\n var anyNativeIterator = NAME == 'Array' ? IterablePrototype.entries || nativeIterator : nativeIterator;\n var CurrentIteratorPrototype, methods, KEY;\n\n // fix native\n if (anyNativeIterator) {\n CurrentIteratorPrototype = getPrototypeOf(anyNativeIterator.call(new Iterable()));\n if (IteratorPrototype !== Object.prototype && CurrentIteratorPrototype.next) {\n if (!IS_PURE && getPrototypeOf(CurrentIteratorPrototype) !== IteratorPrototype) {\n if (setPrototypeOf) {\n setPrototypeOf(CurrentIteratorPrototype, IteratorPrototype);\n } else if (typeof CurrentIteratorPrototype[ITERATOR] != 'function') {\n createNonEnumerableProperty(CurrentIteratorPrototype, ITERATOR, returnThis);\n }\n }\n // Set @@toStringTag to native iterators\n setToStringTag(CurrentIteratorPrototype, TO_STRING_TAG, true, true);\n if (IS_PURE) Iterators[TO_STRING_TAG] = returnThis;\n }\n }\n\n // fix Array#{values, @@iterator}.name in V8 / FF\n if (DEFAULT == VALUES && nativeIterator && nativeIterator.name !== VALUES) {\n INCORRECT_VALUES_NAME = true;\n defaultIterator = function values() { return nativeIterator.call(this); };\n }\n\n // define iterator\n if ((!IS_PURE || FORCED) && IterablePrototype[ITERATOR] !== defaultIterator) {\n createNonEnumerableProperty(IterablePrototype, ITERATOR, defaultIterator);\n }\n Iterators[NAME] = defaultIterator;\n\n // export additional methods\n if (DEFAULT) {\n methods = {\n values: getIterationMethod(VALUES),\n keys: IS_SET ? defaultIterator : getIterationMethod(KEYS),\n entries: getIterationMethod(ENTRIES)\n };\n if (FORCED) for (KEY in methods) {\n if (BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME || !(KEY in IterablePrototype)) {\n redefine(IterablePrototype, KEY, methods[KEY]);\n }\n } else $({ target: NAME, proto: true, forced: BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME }, methods);\n }\n\n return methods;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar test = {};\n\ntest[TO_STRING_TAG] = 'z';\n\nmodule.exports = String(test) === '[object z]';\n","'use strict';\nvar regexpFlags = require('./regexp-flags');\nvar stickyHelpers = require('./regexp-sticky-helpers');\n\nvar nativeExec = RegExp.prototype.exec;\n// This always refers to the native implementation, because the\n// String#replace polyfill uses ./fix-regexp-well-known-symbol-logic.js,\n// which loads this file before patching the method.\nvar nativeReplace = String.prototype.replace;\n\nvar patchedExec = nativeExec;\n\nvar UPDATES_LAST_INDEX_WRONG = (function () {\n var re1 = /a/;\n var re2 = /b*/g;\n nativeExec.call(re1, 'a');\n nativeExec.call(re2, 'a');\n return re1.lastIndex !== 0 || re2.lastIndex !== 0;\n})();\n\nvar UNSUPPORTED_Y = stickyHelpers.UNSUPPORTED_Y || stickyHelpers.BROKEN_CARET;\n\n// nonparticipating capturing group, copied from es5-shim's String#split patch.\nvar NPCG_INCLUDED = /()??/.exec('')[1] !== undefined;\n\nvar PATCH = UPDATES_LAST_INDEX_WRONG || NPCG_INCLUDED || UNSUPPORTED_Y;\n\nif (PATCH) {\n patchedExec = function exec(str) {\n var re = this;\n var lastIndex, reCopy, match, i;\n var sticky = UNSUPPORTED_Y && re.sticky;\n var flags = regexpFlags.call(re);\n var source = re.source;\n var charsAdded = 0;\n var strCopy = str;\n\n if (sticky) {\n flags = flags.replace('y', '');\n if (flags.indexOf('g') === -1) {\n flags += 'g';\n }\n\n strCopy = String(str).slice(re.lastIndex);\n // Support anchored sticky behavior.\n if (re.lastIndex > 0 && (!re.multiline || re.multiline && str[re.lastIndex - 1] !== '\\n')) {\n source = '(?: ' + source + ')';\n strCopy = ' ' + strCopy;\n charsAdded++;\n }\n // ^(? + rx + ) is needed, in combination with some str slicing, to\n // simulate the 'y' flag.\n reCopy = new RegExp('^(?:' + source + ')', flags);\n }\n\n if (NPCG_INCLUDED) {\n reCopy = new RegExp('^' + source + '$(?!\\\\s)', flags);\n }\n if (UPDATES_LAST_INDEX_WRONG) lastIndex = re.lastIndex;\n\n match = nativeExec.call(sticky ? reCopy : re, strCopy);\n\n if (sticky) {\n if (match) {\n match.input = match.input.slice(charsAdded);\n match[0] = match[0].slice(charsAdded);\n match.index = re.lastIndex;\n re.lastIndex += match[0].length;\n } else re.lastIndex = 0;\n } else if (UPDATES_LAST_INDEX_WRONG && match) {\n re.lastIndex = re.global ? match.index + match[0].length : lastIndex;\n }\n if (NPCG_INCLUDED && match && match.length > 1) {\n // Fix browsers whose `exec` methods don't consistently return `undefined`\n // for NPCG, like IE8. NOTE: This doesn' work for /(.?)?/\n nativeReplace.call(match[0], reCopy, function () {\n for (i = 1; i < arguments.length - 2; i++) {\n if (arguments[i] === undefined) match[i] = undefined;\n }\n });\n }\n\n return match;\n };\n}\n\nmodule.exports = patchedExec;\n","var g;\n\n// This works in non-strict mode\ng = (function() {\n\treturn this;\n})();\n\ntry {\n\t// This works if eval is allowed (see CSP)\n\tg = g || new Function(\"return this\")();\n} catch (e) {\n\t// This works if the window reference is available\n\tif (typeof window === \"object\") g = window;\n}\n\n// g can still be undefined, but nothing to do about it...\n// We return undefined, instead of nothing here, so it's\n// easier to handle this case. if(!global) { ...}\n\nmodule.exports = g;\n","// shim for using process in browser\nvar process = module.exports = {};\n\n// cached from whatever global is present so that test runners that stub it\n// don't break things. But we need to wrap it in a try catch in case it is\n// wrapped in strict mode code which doesn't define any globals. It's inside a\n// function because try/catches deoptimize in certain engines.\n\nvar cachedSetTimeout;\nvar cachedClearTimeout;\n\nfunction defaultSetTimout() {\n throw new Error('setTimeout has not been defined');\n}\nfunction defaultClearTimeout () {\n throw new Error('clearTimeout has not been defined');\n}\n(function () {\n try {\n if (typeof setTimeout === 'function') {\n cachedSetTimeout = setTimeout;\n } else {\n cachedSetTimeout = defaultSetTimout;\n }\n } catch (e) {\n cachedSetTimeout = defaultSetTimout;\n }\n try {\n if (typeof clearTimeout === 'function') {\n cachedClearTimeout = clearTimeout;\n } else {\n cachedClearTimeout = defaultClearTimeout;\n }\n } catch (e) {\n cachedClearTimeout = defaultClearTimeout;\n }\n} ())\nfunction runTimeout(fun) {\n if (cachedSetTimeout === setTimeout) {\n //normal enviroments in sane situations\n return setTimeout(fun, 0);\n }\n // if setTimeout wasn't available but was latter defined\n if ((cachedSetTimeout === defaultSetTimout || !cachedSetTimeout) && setTimeout) {\n cachedSetTimeout = setTimeout;\n return setTimeout(fun, 0);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedSetTimeout(fun, 0);\n } catch(e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedSetTimeout.call(null, fun, 0);\n } catch(e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error\n return cachedSetTimeout.call(this, fun, 0);\n }\n }\n\n\n}\nfunction runClearTimeout(marker) {\n if (cachedClearTimeout === clearTimeout) {\n //normal enviroments in sane situations\n return clearTimeout(marker);\n }\n // if clearTimeout wasn't available but was latter defined\n if ((cachedClearTimeout === defaultClearTimeout || !cachedClearTimeout) && clearTimeout) {\n cachedClearTimeout = clearTimeout;\n return clearTimeout(marker);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedClearTimeout(marker);\n } catch (e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedClearTimeout.call(null, marker);\n } catch (e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error.\n // Some versions of I.E. have different rules for clearTimeout vs setTimeout\n return cachedClearTimeout.call(this, marker);\n }\n }\n\n\n\n}\nvar queue = [];\nvar draining = false;\nvar currentQueue;\nvar queueIndex = -1;\n\nfunction cleanUpNextTick() {\n if (!draining || !currentQueue) {\n return;\n }\n draining = false;\n if (currentQueue.length) {\n queue = currentQueue.concat(queue);\n } else {\n queueIndex = -1;\n }\n if (queue.length) {\n drainQueue();\n }\n}\n\nfunction drainQueue() {\n if (draining) {\n return;\n }\n var timeout = runTimeout(cleanUpNextTick);\n draining = true;\n\n var len = queue.length;\n while(len) {\n currentQueue = queue;\n queue = [];\n while (++queueIndex < len) {\n if (currentQueue) {\n currentQueue[queueIndex].run();\n }\n }\n queueIndex = -1;\n len = queue.length;\n }\n currentQueue = null;\n draining = false;\n runClearTimeout(timeout);\n}\n\nprocess.nextTick = function (fun) {\n var args = new Array(arguments.length - 1);\n if (arguments.length > 1) {\n for (var i = 1; i < arguments.length; i++) {\n args[i - 1] = arguments[i];\n }\n }\n queue.push(new Item(fun, args));\n if (queue.length === 1 && !draining) {\n runTimeout(drainQueue);\n }\n};\n\n// v8 likes predictible objects\nfunction Item(fun, array) {\n this.fun = fun;\n this.array = array;\n}\nItem.prototype.run = function () {\n this.fun.apply(null, this.array);\n};\nprocess.title = 'browser';\nprocess.browser = true;\nprocess.env = {};\nprocess.argv = [];\nprocess.version = ''; // empty string to avoid regexp issues\nprocess.versions = {};\n\nfunction noop() {}\n\nprocess.on = noop;\nprocess.addListener = noop;\nprocess.once = noop;\nprocess.off = noop;\nprocess.removeListener = noop;\nprocess.removeAllListeners = noop;\nprocess.emit = noop;\nprocess.prependListener = noop;\nprocess.prependOnceListener = noop;\n\nprocess.listeners = function (name) { return [] }\n\nprocess.binding = function (name) {\n throw new Error('process.binding is not supported');\n};\n\nprocess.cwd = function () { return '/' };\nprocess.chdir = function (dir) {\n throw new Error('process.chdir is not supported');\n};\nprocess.umask = function() { return 0; };\n","\"use strict\";\n\n/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n*/\n// css base code, injected by the css-loader\n// eslint-disable-next-line func-names\nmodule.exports = function (useSourceMap) {\n var list = []; // return the list of modules as css string\n\n list.toString = function toString() {\n return this.map(function (item) {\n var content = cssWithMappingToString(item, useSourceMap);\n\n if (item[2]) {\n return \"@media \".concat(item[2], \" {\").concat(content, \"}\");\n }\n\n return content;\n }).join('');\n }; // import a list of modules into the list\n // eslint-disable-next-line func-names\n\n\n list.i = function (modules, mediaQuery, dedupe) {\n if (typeof modules === 'string') {\n // eslint-disable-next-line no-param-reassign\n modules = [[null, modules, '']];\n }\n\n var alreadyImportedModules = {};\n\n if (dedupe) {\n for (var i = 0; i < this.length; i++) {\n // eslint-disable-next-line prefer-destructuring\n var id = this[i][0];\n\n if (id != null) {\n alreadyImportedModules[id] = true;\n }\n }\n }\n\n for (var _i = 0; _i < modules.length; _i++) {\n var item = [].concat(modules[_i]);\n\n if (dedupe && alreadyImportedModules[item[0]]) {\n // eslint-disable-next-line no-continue\n continue;\n }\n\n if (mediaQuery) {\n if (!item[2]) {\n item[2] = mediaQuery;\n } else {\n item[2] = \"\".concat(mediaQuery, \" and \").concat(item[2]);\n }\n }\n\n list.push(item);\n }\n };\n\n return list;\n};\n\nfunction cssWithMappingToString(item, useSourceMap) {\n var content = item[1] || ''; // eslint-disable-next-line prefer-destructuring\n\n var cssMapping = item[3];\n\n if (!cssMapping) {\n return content;\n }\n\n if (useSourceMap && typeof btoa === 'function') {\n var sourceMapping = toComment(cssMapping);\n var sourceURLs = cssMapping.sources.map(function (source) {\n return \"/*# sourceURL=\".concat(cssMapping.sourceRoot || '').concat(source, \" */\");\n });\n return [content].concat(sourceURLs).concat([sourceMapping]).join('\\n');\n }\n\n return [content].join('\\n');\n} // Adapted from convert-source-map (MIT)\n\n\nfunction toComment(sourceMap) {\n // eslint-disable-next-line no-undef\n var base64 = btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap))));\n var data = \"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(base64);\n return \"/*# \".concat(data, \" */\");\n}","/**\n * Translates the list format produced by css-loader into something\n * easier to manipulate.\n */\nexport default function listToStyles (parentId, list) {\n var styles = []\n var newStyles = {}\n for (var i = 0; i < list.length; i++) {\n var item = list[i]\n var id = item[0]\n var css = item[1]\n var media = item[2]\n var sourceMap = item[3]\n var part = {\n id: parentId + ':' + i,\n css: css,\n media: media,\n sourceMap: sourceMap\n }\n if (!newStyles[id]) {\n styles.push(newStyles[id] = { id: id, parts: [part] })\n } else {\n newStyles[id].parts.push(part)\n }\n }\n return styles\n}\n","/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n Modified by Evan You @yyx990803\n*/\n\nimport listToStyles from './listToStyles'\n\nvar hasDocument = typeof document !== 'undefined'\n\nif (typeof DEBUG !== 'undefined' && DEBUG) {\n if (!hasDocument) {\n throw new Error(\n 'vue-style-loader cannot be used in a non-browser environment. ' +\n \"Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\"\n ) }\n}\n\n/*\ntype StyleObject = {\n id: number;\n parts: Array<StyleObjectPart>\n}\n\ntype StyleObjectPart = {\n css: string;\n media: string;\n sourceMap: ?string\n}\n*/\n\nvar stylesInDom = {/*\n [id: number]: {\n id: number,\n refs: number,\n parts: Array<(obj?: StyleObjectPart) => void>\n }\n*/}\n\nvar head = hasDocument && (document.head || document.getElementsByTagName('head')[0])\nvar singletonElement = null\nvar singletonCounter = 0\nvar isProduction = false\nvar noop = function () {}\nvar options = null\nvar ssrIdKey = 'data-vue-ssr-id'\n\n// Force single-tag solution on IE6-9, which has a hard limit on the # of <style>\n// tags it will allow on a page\nvar isOldIE = typeof navigator !== 'undefined' && /msie [6-9]\\b/.test(navigator.userAgent.toLowerCase())\n\nexport default function addStylesClient (parentId, list, _isProduction, _options) {\n isProduction = _isProduction\n\n options = _options || {}\n\n var styles = listToStyles(parentId, list)\n addStylesToDom(styles)\n\n return function update (newList) {\n var mayRemove = []\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n domStyle.refs--\n mayRemove.push(domStyle)\n }\n if (newList) {\n styles = listToStyles(parentId, newList)\n addStylesToDom(styles)\n } else {\n styles = []\n }\n for (var i = 0; i < mayRemove.length; i++) {\n var domStyle = mayRemove[i]\n if (domStyle.refs === 0) {\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j]()\n }\n delete stylesInDom[domStyle.id]\n }\n }\n }\n}\n\nfunction addStylesToDom (styles /* Array<StyleObject> */) {\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n if (domStyle) {\n domStyle.refs++\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j](item.parts[j])\n }\n for (; j < item.parts.length; j++) {\n domStyle.parts.push(addStyle(item.parts[j]))\n }\n if (domStyle.parts.length > item.parts.length) {\n domStyle.parts.length = item.parts.length\n }\n } else {\n var parts = []\n for (var j = 0; j < item.parts.length; j++) {\n parts.push(addStyle(item.parts[j]))\n }\n stylesInDom[item.id] = { id: item.id, refs: 1, parts: parts }\n }\n }\n}\n\nfunction createStyleElement () {\n var styleElement = document.createElement('style')\n styleElement.type = 'text/css'\n head.appendChild(styleElement)\n return styleElement\n}\n\nfunction addStyle (obj /* StyleObjectPart */) {\n var update, remove\n var styleElement = document.querySelector('style[' + ssrIdKey + '~=\"' + obj.id + '\"]')\n\n if (styleElement) {\n if (isProduction) {\n // has SSR styles and in production mode.\n // simply do nothing.\n return noop\n } else {\n // has SSR styles but in dev mode.\n // for some reason Chrome can't handle source map in server-rendered\n // style tags - source maps in <style> only works if the style tag is\n // created and inserted dynamically. So we remove the server rendered\n // styles and inject new ones.\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n if (isOldIE) {\n // use singleton mode for IE9.\n var styleIndex = singletonCounter++\n styleElement = singletonElement || (singletonElement = createStyleElement())\n update = applyToSingletonTag.bind(null, styleElement, styleIndex, false)\n remove = applyToSingletonTag.bind(null, styleElement, styleIndex, true)\n } else {\n // use multi-style-tag mode in all other cases\n styleElement = createStyleElement()\n update = applyToTag.bind(null, styleElement)\n remove = function () {\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n update(obj)\n\n return function updateStyle (newObj /* StyleObjectPart */) {\n if (newObj) {\n if (newObj.css === obj.css &&\n newObj.media === obj.media &&\n newObj.sourceMap === obj.sourceMap) {\n return\n }\n update(obj = newObj)\n } else {\n remove()\n }\n }\n}\n\nvar replaceText = (function () {\n var textStore = []\n\n return function (index, replacement) {\n textStore[index] = replacement\n return textStore.filter(Boolean).join('\\n')\n }\n})()\n\nfunction applyToSingletonTag (styleElement, index, remove, obj) {\n var css = remove ? '' : obj.css\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = replaceText(index, css)\n } else {\n var cssNode = document.createTextNode(css)\n var childNodes = styleElement.childNodes\n if (childNodes[index]) styleElement.removeChild(childNodes[index])\n if (childNodes.length) {\n styleElement.insertBefore(cssNode, childNodes[index])\n } else {\n styleElement.appendChild(cssNode)\n }\n }\n}\n\nfunction applyToTag (styleElement, obj) {\n var css = obj.css\n var media = obj.media\n var sourceMap = obj.sourceMap\n\n if (media) {\n styleElement.setAttribute('media', media)\n }\n if (options.ssrId) {\n styleElement.setAttribute(ssrIdKey, obj.id)\n }\n\n if (sourceMap) {\n // https://developer.chrome.com/devtools/docs/javascript-debugging\n // this makes source maps inside style tags work properly in Chrome\n css += '\\n/*# sourceURL=' + sourceMap.sources[0] + ' */'\n // http://stackoverflow.com/a/26603875\n css += '\\n/*# sourceMappingURL=data:application/json;base64,' + btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap)))) + ' */'\n }\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = css\n } else {\n while (styleElement.firstChild) {\n styleElement.removeChild(styleElement.firstChild)\n }\n styleElement.appendChild(document.createTextNode(css))\n }\n}\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar redefine = require('../internals/redefine');\nvar toString = require('../internals/object-to-string');\n\n// `Object.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nif (!TO_STRING_TAG_SUPPORT) {\n redefine(Object.prototype, 'toString', toString, { unsafe: true });\n}\n","'use strict';\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar addToUnscopables = require('../internals/add-to-unscopables');\nvar Iterators = require('../internals/iterators');\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar ARRAY_ITERATOR = 'Array Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(ARRAY_ITERATOR);\n\n// `Array.prototype.entries` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.entries\n// `Array.prototype.keys` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.keys\n// `Array.prototype.values` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.values\n// `Array.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@iterator\n// `CreateArrayIterator` internal method\n// https://tc39.github.io/ecma262/#sec-createarrayiterator\nmodule.exports = defineIterator(Array, 'Array', function (iterated, kind) {\n setInternalState(this, {\n type: ARRAY_ITERATOR,\n target: toIndexedObject(iterated), // target\n index: 0, // next index\n kind: kind // kind\n });\n// `%ArrayIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%arrayiteratorprototype%.next\n}, function () {\n var state = getInternalState(this);\n var target = state.target;\n var kind = state.kind;\n var index = state.index++;\n if (!target || index >= target.length) {\n state.target = undefined;\n return { value: undefined, done: true };\n }\n if (kind == 'keys') return { value: index, done: false };\n if (kind == 'values') return { value: target[index], done: false };\n return { value: [index, target[index]], done: false };\n}, 'values');\n\n// argumentsList[@@iterator] is %ArrayProto_values%\n// https://tc39.github.io/ecma262/#sec-createunmappedargumentsobject\n// https://tc39.github.io/ecma262/#sec-createmappedargumentsobject\nIterators.Arguments = Iterators.Array;\n\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\naddToUnscopables('keys');\naddToUnscopables('values');\naddToUnscopables('entries');\n","'use strict';\nvar $ = require('../internals/export');\nvar exec = require('../internals/regexp-exec');\n\n$({ target: 'RegExp', proto: true, forced: /./.exec !== exec }, {\n exec: exec\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar toPrimitive = require('../internals/to-primitive');\nvar has = require('../internals/has');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\n\nvar nativeGetOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// `Object.getOwnPropertyDescriptor` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertydescriptor\nexports.f = DESCRIPTORS ? nativeGetOwnPropertyDescriptor : function getOwnPropertyDescriptor(O, P) {\n O = toIndexedObject(O);\n P = toPrimitive(P, true);\n if (IE8_DOM_DEFINE) try {\n return nativeGetOwnPropertyDescriptor(O, P);\n } catch (error) { /* empty */ }\n if (has(O, P)) return createPropertyDescriptor(!propertyIsEnumerableModule.f.call(O, P), O[P]);\n};\n","var fails = require('../internals/fails');\n\nvar replacement = /#|\\.prototype\\./;\n\nvar isForced = function (feature, detection) {\n var value = data[normalize(feature)];\n return value == POLYFILL ? true\n : value == NATIVE ? false\n : typeof detection == 'function' ? fails(detection)\n : !!detection;\n};\n\nvar normalize = isForced.normalize = function (string) {\n return String(string).replace(replacement, '.').toLowerCase();\n};\n\nvar data = isForced.data = {};\nvar NATIVE = isForced.NATIVE = 'N';\nvar POLYFILL = isForced.POLYFILL = 'P';\n\nmodule.exports = isForced;\n","var fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (METHOD_NAME) {\n // We can't use this feature detection in V8 since it causes\n // deoptimization and serious performance degradation\n // https://github.com/zloirock/core-js/issues/677\n return V8_VERSION >= 51 || !fails(function () {\n var array = [];\n var constructor = array.constructor = {};\n constructor[SPECIES] = function () {\n return { foo: 1 };\n };\n return array[METHOD_NAME](Boolean).foo !== 1;\n });\n};\n","module.exports = function (it, Constructor, name) {\n if (!(it instanceof Constructor)) {\n throw TypeError('Incorrect ' + (name ? name + ' ' : '') + 'invocation');\n } return it;\n};\n","var $ = require('../internals/export');\nvar assign = require('../internals/object-assign');\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\n$({ target: 'Object', stat: true, forced: Object.assign !== assign }, {\n assign: assign\n});\n","var isObject = require('../internals/is-object');\nvar isArray = require('../internals/is-array');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar SPECIES = wellKnownSymbol('species');\n\n// `ArraySpeciesCreate` abstract operation\n// https://tc39.github.io/ecma262/#sec-arrayspeciescreate\nmodule.exports = function (originalArray, length) {\n var C;\n if (isArray(originalArray)) {\n C = originalArray.constructor;\n // cross-realm fallback\n if (typeof C == 'function' && (C === Array || isArray(C.prototype))) C = undefined;\n else if (isObject(C)) {\n C = C[SPECIES];\n if (C === null) C = undefined;\n }\n } return new (C === undefined ? Array : C)(length === 0 ? 0 : length);\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar fails = require('../internals/fails');\nvar isArray = require('../internals/is-array');\nvar isObject = require('../internals/is-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar createProperty = require('../internals/create-property');\nvar arraySpeciesCreate = require('../internals/array-species-create');\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar IS_CONCAT_SPREADABLE = wellKnownSymbol('isConcatSpreadable');\nvar MAX_SAFE_INTEGER = 0x1FFFFFFFFFFFFF;\nvar MAXIMUM_ALLOWED_INDEX_EXCEEDED = 'Maximum allowed index exceeded';\n\n// We can't use this feature detection in V8 since it causes\n// deoptimization and serious performance degradation\n// https://github.com/zloirock/core-js/issues/679\nvar IS_CONCAT_SPREADABLE_SUPPORT = V8_VERSION >= 51 || !fails(function () {\n var array = [];\n array[IS_CONCAT_SPREADABLE] = false;\n return array.concat()[0] !== array;\n});\n\nvar SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('concat');\n\nvar isConcatSpreadable = function (O) {\n if (!isObject(O)) return false;\n var spreadable = O[IS_CONCAT_SPREADABLE];\n return spreadable !== undefined ? !!spreadable : isArray(O);\n};\n\nvar FORCED = !IS_CONCAT_SPREADABLE_SUPPORT || !SPECIES_SUPPORT;\n\n// `Array.prototype.concat` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.concat\n// with adding support of @@isConcatSpreadable and @@species\n$({ target: 'Array', proto: true, forced: FORCED }, {\n concat: function concat(arg) { // eslint-disable-line no-unused-vars\n var O = toObject(this);\n var A = arraySpeciesCreate(O, 0);\n var n = 0;\n var i, k, length, len, E;\n for (i = -1, length = arguments.length; i < length; i++) {\n E = i === -1 ? O : arguments[i];\n if (isConcatSpreadable(E)) {\n len = toLength(E.length);\n if (n + len > MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n for (k = 0; k < len; k++, n++) if (k in E) createProperty(A, n, E[k]);\n } else {\n if (n >= MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n createProperty(A, n++, E);\n }\n }\n A.length = n;\n return A;\n }\n});\n","var global = require('../internals/global');\nvar isObject = require('../internals/is-object');\n\nvar document = global.document;\n// typeof document.createElement is 'object' in old IE\nvar EXISTS = isObject(document) && isObject(document.createElement);\n\nmodule.exports = function (it) {\n return EXISTS ? document.createElement(it) : {};\n};\n","var store = require('../internals/shared-store');\n\nvar functionToString = Function.toString;\n\n// this helper broken in `3.4.1-3.4.4`, so we can't use `shared` helper\nif (typeof store.inspectSource != 'function') {\n store.inspectSource = function (it) {\n return functionToString.call(it);\n };\n}\n\nmodule.exports = store.inspectSource;\n","var bind = require('../internals/function-bind-context');\nvar IndexedObject = require('../internals/indexed-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar arraySpeciesCreate = require('../internals/array-species-create');\n\nvar push = [].push;\n\n// `Array.prototype.{ forEach, map, filter, some, every, find, findIndex }` methods implementation\nvar createMethod = function (TYPE) {\n var IS_MAP = TYPE == 1;\n var IS_FILTER = TYPE == 2;\n var IS_SOME = TYPE == 3;\n var IS_EVERY = TYPE == 4;\n var IS_FIND_INDEX = TYPE == 6;\n var NO_HOLES = TYPE == 5 || IS_FIND_INDEX;\n return function ($this, callbackfn, that, specificCreate) {\n var O = toObject($this);\n var self = IndexedObject(O);\n var boundFunction = bind(callbackfn, that, 3);\n var length = toLength(self.length);\n var index = 0;\n var create = specificCreate || arraySpeciesCreate;\n var target = IS_MAP ? create($this, length) : IS_FILTER ? create($this, 0) : undefined;\n var value, result;\n for (;length > index; index++) if (NO_HOLES || index in self) {\n value = self[index];\n result = boundFunction(value, index, O);\n if (TYPE) {\n if (IS_MAP) target[index] = result; // map\n else if (result) switch (TYPE) {\n case 3: return true; // some\n case 5: return value; // find\n case 6: return index; // findIndex\n case 2: push.call(target, value); // filter\n } else if (IS_EVERY) return false; // every\n }\n }\n return IS_FIND_INDEX ? -1 : IS_SOME || IS_EVERY ? IS_EVERY : target;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.forEach` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n forEach: createMethod(0),\n // `Array.prototype.map` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.map\n map: createMethod(1),\n // `Array.prototype.filter` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.filter\n filter: createMethod(2),\n // `Array.prototype.some` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.some\n some: createMethod(3),\n // `Array.prototype.every` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.every\n every: createMethod(4),\n // `Array.prototype.find` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.find\n find: createMethod(5),\n // `Array.prototype.findIndex` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.findIndex\n findIndex: createMethod(6)\n};\n","'use strict';\nvar fails = require('../internals/fails');\n\nmodule.exports = function (METHOD_NAME, argument) {\n var method = [][METHOD_NAME];\n return !!method && fails(function () {\n // eslint-disable-next-line no-useless-call,no-throw-literal\n method.call(null, argument || function () { throw 1; }, 1);\n });\n};\n","var global = require('../internals/global');\nvar userAgent = require('../internals/engine-user-agent');\n\nvar process = global.process;\nvar versions = process && process.versions;\nvar v8 = versions && versions.v8;\nvar match, version;\n\nif (v8) {\n match = v8.split('.');\n version = match[0] + match[1];\n} else if (userAgent) {\n match = userAgent.match(/Edge\\/(\\d+)/);\n if (!match || match[1] >= 74) {\n match = userAgent.match(/Chrome\\/(\\d+)/);\n if (match) version = match[1];\n }\n}\n\nmodule.exports = version && +version;\n","var anObject = require('../internals/an-object');\nvar isArrayIteratorMethod = require('../internals/is-array-iterator-method');\nvar toLength = require('../internals/to-length');\nvar bind = require('../internals/function-bind-context');\nvar getIteratorMethod = require('../internals/get-iterator-method');\nvar callWithSafeIterationClosing = require('../internals/call-with-safe-iteration-closing');\n\nvar Result = function (stopped, result) {\n this.stopped = stopped;\n this.result = result;\n};\n\nvar iterate = module.exports = function (iterable, fn, that, AS_ENTRIES, IS_ITERATOR) {\n var boundFunction = bind(fn, that, AS_ENTRIES ? 2 : 1);\n var iterator, iterFn, index, length, result, next, step;\n\n if (IS_ITERATOR) {\n iterator = iterable;\n } else {\n iterFn = getIteratorMethod(iterable);\n if (typeof iterFn != 'function') throw TypeError('Target is not iterable');\n // optimisation for array iterators\n if (isArrayIteratorMethod(iterFn)) {\n for (index = 0, length = toLength(iterable.length); length > index; index++) {\n result = AS_ENTRIES\n ? boundFunction(anObject(step = iterable[index])[0], step[1])\n : boundFunction(iterable[index]);\n if (result && result instanceof Result) return result;\n } return new Result(false);\n }\n iterator = iterFn.call(iterable);\n }\n\n next = iterator.next;\n while (!(step = next.call(iterator)).done) {\n result = callWithSafeIterationClosing(iterator, boundFunction, step.value, AS_ENTRIES);\n if (typeof result == 'object' && result && result instanceof Result) return result;\n } return new Result(false);\n};\n\niterate.stop = function (result) {\n return new Result(true, result);\n};\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classofRaw = require('../internals/classof-raw');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n// ES3 wrong here\nvar CORRECT_ARGUMENTS = classofRaw(function () { return arguments; }()) == 'Arguments';\n\n// fallback for IE11 Script Access Denied error\nvar tryGet = function (it, key) {\n try {\n return it[key];\n } catch (error) { /* empty */ }\n};\n\n// getting tag from ES6+ `Object.prototype.toString`\nmodule.exports = TO_STRING_TAG_SUPPORT ? classofRaw : function (it) {\n var O, tag, result;\n return it === undefined ? 'Undefined' : it === null ? 'Null'\n // @@toStringTag case\n : typeof (tag = tryGet(O = Object(it), TO_STRING_TAG)) == 'string' ? tag\n // builtinTag case\n : CORRECT_ARGUMENTS ? classofRaw(O)\n // ES3 arguments fallback\n : (result = classofRaw(O)) == 'Object' && typeof O.callee == 'function' ? 'Arguments' : result;\n};\n","var toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `String.prototype.{ codePointAt, at }` methods implementation\nvar createMethod = function (CONVERT_TO_STRING) {\n return function ($this, pos) {\n var S = String(requireObjectCoercible($this));\n var position = toInteger(pos);\n var size = S.length;\n var first, second;\n if (position < 0 || position >= size) return CONVERT_TO_STRING ? '' : undefined;\n first = S.charCodeAt(position);\n return first < 0xD800 || first > 0xDBFF || position + 1 === size\n || (second = S.charCodeAt(position + 1)) < 0xDC00 || second > 0xDFFF\n ? CONVERT_TO_STRING ? S.charAt(position) : first\n : CONVERT_TO_STRING ? S.slice(position, position + 2) : (first - 0xD800 << 10) + (second - 0xDC00) + 0x10000;\n };\n};\n\nmodule.exports = {\n // `String.prototype.codePointAt` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.codepointat\n codeAt: createMethod(false),\n // `String.prototype.at` method\n // https://github.com/mathiasbynens/String.prototype.at\n charAt: createMethod(true)\n};\n","'use strict';\nvar nativePropertyIsEnumerable = {}.propertyIsEnumerable;\nvar getOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// Nashorn ~ JDK8 bug\nvar NASHORN_BUG = getOwnPropertyDescriptor && !nativePropertyIsEnumerable.call({ 1: 2 }, 1);\n\n// `Object.prototype.propertyIsEnumerable` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.propertyisenumerable\nexports.f = NASHORN_BUG ? function propertyIsEnumerable(V) {\n var descriptor = getOwnPropertyDescriptor(this, V);\n return !!descriptor && descriptor.enumerable;\n} : nativePropertyIsEnumerable;\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar createElement = require('../internals/document-create-element');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !DESCRIPTORS && !fails(function () {\n return Object.defineProperty(createElement('div'), 'a', {\n get: function () { return 7; }\n }).a != 7;\n});\n","var global = require('../internals/global');\nvar setGlobal = require('../internals/set-global');\n\nvar SHARED = '__core-js_shared__';\nvar store = global[SHARED] || setGlobal(SHARED, {});\n\nmodule.exports = store;\n","var IS_PURE = require('../internals/is-pure');\nvar store = require('../internals/shared-store');\n\n(module.exports = function (key, value) {\n return store[key] || (store[key] = value !== undefined ? value : {});\n})('versions', []).push({\n version: '3.6.5',\n mode: IS_PURE ? 'pure' : 'global',\n copyright: '© 2020 Denis Pushkarev (zloirock.ru)'\n});\n","var has = require('../internals/has');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar indexOf = require('../internals/array-includes').indexOf;\nvar hiddenKeys = require('../internals/hidden-keys');\n\nmodule.exports = function (object, names) {\n var O = toIndexedObject(object);\n var i = 0;\n var result = [];\n var key;\n for (key in O) !has(hiddenKeys, key) && has(O, key) && result.push(key);\n // Don't enum bug & hidden keys\n while (names.length > i) if (has(O, key = names[i++])) {\n ~indexOf(result, key) || result.push(key);\n }\n return result;\n};\n","var toIndexedObject = require('../internals/to-indexed-object');\nvar toLength = require('../internals/to-length');\nvar toAbsoluteIndex = require('../internals/to-absolute-index');\n\n// `Array.prototype.{ indexOf, includes }` methods implementation\nvar createMethod = function (IS_INCLUDES) {\n return function ($this, el, fromIndex) {\n var O = toIndexedObject($this);\n var length = toLength(O.length);\n var index = toAbsoluteIndex(fromIndex, length);\n var value;\n // Array#includes uses SameValueZero equality algorithm\n // eslint-disable-next-line no-self-compare\n if (IS_INCLUDES && el != el) while (length > index) {\n value = O[index++];\n // eslint-disable-next-line no-self-compare\n if (value != value) return true;\n // Array#indexOf ignores holes, Array#includes - not\n } else for (;length > index; index++) {\n if ((IS_INCLUDES || index in O) && O[index] === el) return IS_INCLUDES || index || 0;\n } return !IS_INCLUDES && -1;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.includes` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.includes\n includes: createMethod(true),\n // `Array.prototype.indexOf` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n indexOf: createMethod(false)\n};\n","exports.f = Object.getOwnPropertySymbols;\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\n// `Object.keys` method\n// https://tc39.github.io/ecma262/#sec-object.keys\nmodule.exports = Object.keys || function keys(O) {\n return internalObjectKeys(O, enumBugKeys);\n};\n","'use strict';\n\nmodule.exports = function bind(fn, thisArg) {\n return function wrap() {\n var args = new Array(arguments.length);\n for (var i = 0; i < args.length; i++) {\n args[i] = arguments[i];\n }\n return fn.apply(thisArg, args);\n };\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction encode(val) {\n return encodeURIComponent(val).\n replace(/%40/gi, '@').\n replace(/%3A/gi, ':').\n replace(/%24/g, '$').\n replace(/%2C/gi, ',').\n replace(/%20/g, '+').\n replace(/%5B/gi, '[').\n replace(/%5D/gi, ']');\n}\n\n/**\n * Build a URL by appending params to the end\n *\n * @param {string} url The base of the url (e.g., http://www.google.com)\n * @param {object} [params] The params to be appended\n * @returns {string} The formatted url\n */\nmodule.exports = function buildURL(url, params, paramsSerializer) {\n /*eslint no-param-reassign:0*/\n if (!params) {\n return url;\n }\n\n var serializedParams;\n if (paramsSerializer) {\n serializedParams = paramsSerializer(params);\n } else if (utils.isURLSearchParams(params)) {\n serializedParams = params.toString();\n } else {\n var parts = [];\n\n utils.forEach(params, function serialize(val, key) {\n if (val === null || typeof val === 'undefined') {\n return;\n }\n\n if (utils.isArray(val)) {\n key = key + '[]';\n } else {\n val = [val];\n }\n\n utils.forEach(val, function parseValue(v) {\n if (utils.isDate(v)) {\n v = v.toISOString();\n } else if (utils.isObject(v)) {\n v = JSON.stringify(v);\n }\n parts.push(encode(key) + '=' + encode(v));\n });\n });\n\n serializedParams = parts.join('&');\n }\n\n if (serializedParams) {\n var hashmarkIndex = url.indexOf('#');\n if (hashmarkIndex !== -1) {\n url = url.slice(0, hashmarkIndex);\n }\n\n url += (url.indexOf('?') === -1 ? '?' : '&') + serializedParams;\n }\n\n return url;\n};\n","'use strict';\n\nmodule.exports = function isCancel(value) {\n return !!(value && value.__CANCEL__);\n};\n","'use strict';\n\nvar utils = require('./utils');\nvar normalizeHeaderName = require('./helpers/normalizeHeaderName');\n\nvar DEFAULT_CONTENT_TYPE = {\n 'Content-Type': 'application/x-www-form-urlencoded'\n};\n\nfunction setContentTypeIfUnset(headers, value) {\n if (!utils.isUndefined(headers) && utils.isUndefined(headers['Content-Type'])) {\n headers['Content-Type'] = value;\n }\n}\n\nfunction getDefaultAdapter() {\n var adapter;\n if (typeof XMLHttpRequest !== 'undefined') {\n // For browsers use XHR adapter\n adapter = require('./adapters/xhr');\n } else if (typeof process !== 'undefined' && Object.prototype.toString.call(process) === '[object process]') {\n // For node use HTTP adapter\n adapter = require('./adapters/http');\n }\n return adapter;\n}\n\nvar defaults = {\n adapter: getDefaultAdapter(),\n\n transformRequest: [function transformRequest(data, headers) {\n normalizeHeaderName(headers, 'Accept');\n normalizeHeaderName(headers, 'Content-Type');\n if (utils.isFormData(data) ||\n utils.isArrayBuffer(data) ||\n utils.isBuffer(data) ||\n utils.isStream(data) ||\n utils.isFile(data) ||\n utils.isBlob(data)\n ) {\n return data;\n }\n if (utils.isArrayBufferView(data)) {\n return data.buffer;\n }\n if (utils.isURLSearchParams(data)) {\n setContentTypeIfUnset(headers, 'application/x-www-form-urlencoded;charset=utf-8');\n return data.toString();\n }\n if (utils.isObject(data)) {\n setContentTypeIfUnset(headers, 'application/json;charset=utf-8');\n return JSON.stringify(data);\n }\n return data;\n }],\n\n transformResponse: [function transformResponse(data) {\n /*eslint no-param-reassign:0*/\n if (typeof data === 'string') {\n try {\n data = JSON.parse(data);\n } catch (e) { /* Ignore */ }\n }\n return data;\n }],\n\n /**\n * A timeout in milliseconds to abort a request. If set to 0 (default) a\n * timeout is not created.\n */\n timeout: 0,\n\n xsrfCookieName: 'XSRF-TOKEN',\n xsrfHeaderName: 'X-XSRF-TOKEN',\n\n maxContentLength: -1,\n\n validateStatus: function validateStatus(status) {\n return status >= 200 && status < 300;\n }\n};\n\ndefaults.headers = {\n common: {\n 'Accept': 'application/json, text/plain, */*'\n }\n};\n\nutils.forEach(['delete', 'get', 'head'], function forEachMethodNoData(method) {\n defaults.headers[method] = {};\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n defaults.headers[method] = utils.merge(DEFAULT_CONTENT_TYPE);\n});\n\nmodule.exports = defaults;\n","'use strict';\n\nvar utils = require('./../utils');\nvar settle = require('./../core/settle');\nvar buildURL = require('./../helpers/buildURL');\nvar buildFullPath = require('../core/buildFullPath');\nvar parseHeaders = require('./../helpers/parseHeaders');\nvar isURLSameOrigin = require('./../helpers/isURLSameOrigin');\nvar createError = require('../core/createError');\n\nmodule.exports = function xhrAdapter(config) {\n return new Promise(function dispatchXhrRequest(resolve, reject) {\n var requestData = config.data;\n var requestHeaders = config.headers;\n\n if (utils.isFormData(requestData)) {\n delete requestHeaders['Content-Type']; // Let the browser set it\n }\n\n var request = new XMLHttpRequest();\n\n // HTTP basic authentication\n if (config.auth) {\n var username = config.auth.username || '';\n var password = config.auth.password || '';\n requestHeaders.Authorization = 'Basic ' + btoa(username + ':' + password);\n }\n\n var fullPath = buildFullPath(config.baseURL, config.url);\n request.open(config.method.toUpperCase(), buildURL(fullPath, config.params, config.paramsSerializer), true);\n\n // Set the request timeout in MS\n request.timeout = config.timeout;\n\n // Listen for ready state\n request.onreadystatechange = function handleLoad() {\n if (!request || request.readyState !== 4) {\n return;\n }\n\n // The request errored out and we didn't get a response, this will be\n // handled by onerror instead\n // With one exception: request that using file: protocol, most browsers\n // will return status as 0 even though it's a successful request\n if (request.status === 0 && !(request.responseURL && request.responseURL.indexOf('file:') === 0)) {\n return;\n }\n\n // Prepare the response\n var responseHeaders = 'getAllResponseHeaders' in request ? parseHeaders(request.getAllResponseHeaders()) : null;\n var responseData = !config.responseType || config.responseType === 'text' ? request.responseText : request.response;\n var response = {\n data: responseData,\n status: request.status,\n statusText: request.statusText,\n headers: responseHeaders,\n config: config,\n request: request\n };\n\n settle(resolve, reject, response);\n\n // Clean up request\n request = null;\n };\n\n // Handle browser request cancellation (as opposed to a manual cancellation)\n request.onabort = function handleAbort() {\n if (!request) {\n return;\n }\n\n reject(createError('Request aborted', config, 'ECONNABORTED', request));\n\n // Clean up request\n request = null;\n };\n\n // Handle low level network errors\n request.onerror = function handleError() {\n // Real errors are hidden from us by the browser\n // onerror should only fire if it's a network error\n reject(createError('Network Error', config, null, request));\n\n // Clean up request\n request = null;\n };\n\n // Handle timeout\n request.ontimeout = function handleTimeout() {\n var timeoutErrorMessage = 'timeout of ' + config.timeout + 'ms exceeded';\n if (config.timeoutErrorMessage) {\n timeoutErrorMessage = config.timeoutErrorMessage;\n }\n reject(createError(timeoutErrorMessage, config, 'ECONNABORTED',\n request));\n\n // Clean up request\n request = null;\n };\n\n // Add xsrf header\n // This is only done if running in a standard browser environment.\n // Specifically not if we're in a web worker, or react-native.\n if (utils.isStandardBrowserEnv()) {\n var cookies = require('./../helpers/cookies');\n\n // Add xsrf header\n var xsrfValue = (config.withCredentials || isURLSameOrigin(fullPath)) && config.xsrfCookieName ?\n cookies.read(config.xsrfCookieName) :\n undefined;\n\n if (xsrfValue) {\n requestHeaders[config.xsrfHeaderName] = xsrfValue;\n }\n }\n\n // Add headers to the request\n if ('setRequestHeader' in request) {\n utils.forEach(requestHeaders, function setRequestHeader(val, key) {\n if (typeof requestData === 'undefined' && key.toLowerCase() === 'content-type') {\n // Remove Content-Type if data is undefined\n delete requestHeaders[key];\n } else {\n // Otherwise add header to the request\n request.setRequestHeader(key, val);\n }\n });\n }\n\n // Add withCredentials to request if needed\n if (!utils.isUndefined(config.withCredentials)) {\n request.withCredentials = !!config.withCredentials;\n }\n\n // Add responseType to request if needed\n if (config.responseType) {\n try {\n request.responseType = config.responseType;\n } catch (e) {\n // Expected DOMException thrown by browsers not compatible XMLHttpRequest Level 2.\n // But, this can be suppressed for 'json' type as it can be parsed by default 'transformResponse' function.\n if (config.responseType !== 'json') {\n throw e;\n }\n }\n }\n\n // Handle progress if needed\n if (typeof config.onDownloadProgress === 'function') {\n request.addEventListener('progress', config.onDownloadProgress);\n }\n\n // Not all browsers support upload events\n if (typeof config.onUploadProgress === 'function' && request.upload) {\n request.upload.addEventListener('progress', config.onUploadProgress);\n }\n\n if (config.cancelToken) {\n // Handle cancellation\n config.cancelToken.promise.then(function onCanceled(cancel) {\n if (!request) {\n return;\n }\n\n request.abort();\n reject(cancel);\n // Clean up request\n request = null;\n });\n }\n\n if (requestData === undefined) {\n requestData = null;\n }\n\n // Send the request\n request.send(requestData);\n });\n};\n","'use strict';\n\nvar enhanceError = require('./enhanceError');\n\n/**\n * Create an Error with the specified message, config, error code, request and response.\n *\n * @param {string} message The error message.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The created error.\n */\nmodule.exports = function createError(message, config, code, request, response) {\n var error = new Error(message);\n return enhanceError(error, config, code, request, response);\n};\n","'use strict';\n\nvar utils = require('../utils');\n\n/**\n * Config-specific merge-function which creates a new config-object\n * by merging two configuration objects together.\n *\n * @param {Object} config1\n * @param {Object} config2\n * @returns {Object} New object resulting from merging config2 to config1\n */\nmodule.exports = function mergeConfig(config1, config2) {\n // eslint-disable-next-line no-param-reassign\n config2 = config2 || {};\n var config = {};\n\n var valueFromConfig2Keys = ['url', 'method', 'params', 'data'];\n var mergeDeepPropertiesKeys = ['headers', 'auth', 'proxy'];\n var defaultToConfig2Keys = [\n 'baseURL', 'url', 'transformRequest', 'transformResponse', 'paramsSerializer',\n 'timeout', 'withCredentials', 'adapter', 'responseType', 'xsrfCookieName',\n 'xsrfHeaderName', 'onUploadProgress', 'onDownloadProgress',\n 'maxContentLength', 'validateStatus', 'maxRedirects', 'httpAgent',\n 'httpsAgent', 'cancelToken', 'socketPath'\n ];\n\n utils.forEach(valueFromConfig2Keys, function valueFromConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n }\n });\n\n utils.forEach(mergeDeepPropertiesKeys, function mergeDeepProperties(prop) {\n if (utils.isObject(config2[prop])) {\n config[prop] = utils.deepMerge(config1[prop], config2[prop]);\n } else if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (utils.isObject(config1[prop])) {\n config[prop] = utils.deepMerge(config1[prop]);\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n utils.forEach(defaultToConfig2Keys, function defaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n var axiosKeys = valueFromConfig2Keys\n .concat(mergeDeepPropertiesKeys)\n .concat(defaultToConfig2Keys);\n\n var otherKeys = Object\n .keys(config2)\n .filter(function filterAxiosKeys(key) {\n return axiosKeys.indexOf(key) === -1;\n });\n\n utils.forEach(otherKeys, function otherKeysDefaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n return config;\n};\n","'use strict';\n\n/**\n * A `Cancel` is an object that is thrown when an operation is canceled.\n *\n * @class\n * @param {string=} message The message.\n */\nfunction Cancel(message) {\n this.message = message;\n}\n\nCancel.prototype.toString = function toString() {\n return 'Cancel' + (this.message ? ': ' + this.message : '');\n};\n\nCancel.prototype.__CANCEL__ = true;\n\nmodule.exports = Cancel;\n","'use strict';\nvar $ = require('../internals/export');\nvar forEach = require('../internals/array-for-each');\n\n// `Array.prototype.forEach` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n$({ target: 'Array', proto: true, forced: [].forEach != forEach }, {\n forEach: forEach\n});\n","'use strict';\nvar $forEach = require('../internals/array-iteration').forEach;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar STRICT_METHOD = arrayMethodIsStrict('forEach');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('forEach');\n\n// `Array.prototype.forEach` method implementation\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\nmodule.exports = (!STRICT_METHOD || !USES_TO_LENGTH) ? function forEach(callbackfn /* , thisArg */) {\n return $forEach(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n} : [].forEach;\n","var classof = require('../internals/classof-raw');\n\n// `IsArray` abstract operation\n// https://tc39.github.io/ecma262/#sec-isarray\nmodule.exports = Array.isArray || function isArray(arg) {\n return classof(arg) == 'Array';\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !!Object.getOwnPropertySymbols && !fails(function () {\n // Chrome 38 Symbol has incorrect toString conversion\n // eslint-disable-next-line no-undef\n return !String(Symbol());\n});\n","const { MAX_SAFE_COMPONENT_LENGTH } = require('./constants')\nconst debug = require('./debug')\nexports = module.exports = {}\n\n// The actual regexps go on exports.re\nconst re = exports.re = []\nconst src = exports.src = []\nconst t = exports.t = {}\nlet R = 0\n\nconst createToken = (name, value, isGlobal) => {\n const index = R++\n debug(index, value)\n t[name] = index\n src[index] = value\n re[index] = new RegExp(value, isGlobal ? 'g' : undefined)\n}\n\n// The following Regular Expressions can be used for tokenizing,\n// validating, and parsing SemVer version strings.\n\n// ## Numeric Identifier\n// A single `0`, or a non-zero digit followed by zero or more digits.\n\ncreateToken('NUMERICIDENTIFIER', '0|[1-9]\\\\d*')\ncreateToken('NUMERICIDENTIFIERLOOSE', '[0-9]+')\n\n// ## Non-numeric Identifier\n// Zero or more digits, followed by a letter or hyphen, and then zero or\n// more letters, digits, or hyphens.\n\ncreateToken('NONNUMERICIDENTIFIER', '\\\\d*[a-zA-Z-][a-zA-Z0-9-]*')\n\n// ## Main Version\n// Three dot-separated numeric identifiers.\n\ncreateToken('MAINVERSION', `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})`)\n\ncreateToken('MAINVERSIONLOOSE', `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})`)\n\n// ## Pre-release Version Identifier\n// A numeric identifier, or a non-numeric identifier.\n\ncreateToken('PRERELEASEIDENTIFIER', `(?:${src[t.NUMERICIDENTIFIER]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\ncreateToken('PRERELEASEIDENTIFIERLOOSE', `(?:${src[t.NUMERICIDENTIFIERLOOSE]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\n// ## Pre-release Version\n// Hyphen, followed by one or more dot-separated pre-release version\n// identifiers.\n\ncreateToken('PRERELEASE', `(?:-(${src[t.PRERELEASEIDENTIFIER]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIER]})*))`)\n\ncreateToken('PRERELEASELOOSE', `(?:-?(${src[t.PRERELEASEIDENTIFIERLOOSE]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIERLOOSE]})*))`)\n\n// ## Build Metadata Identifier\n// Any combination of digits, letters, or hyphens.\n\ncreateToken('BUILDIDENTIFIER', '[0-9A-Za-z-]+')\n\n// ## Build Metadata\n// Plus sign, followed by one or more period-separated build metadata\n// identifiers.\n\ncreateToken('BUILD', `(?:\\\\+(${src[t.BUILDIDENTIFIER]\n}(?:\\\\.${src[t.BUILDIDENTIFIER]})*))`)\n\n// ## Full Version String\n// A main version, followed optionally by a pre-release version and\n// build metadata.\n\n// Note that the only major, minor, patch, and pre-release sections of\n// the version string are capturing groups. The build metadata is not a\n// capturing group, because it should not ever be used in version\n// comparison.\n\ncreateToken('FULLPLAIN', `v?${src[t.MAINVERSION]\n}${src[t.PRERELEASE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('FULL', `^${src[t.FULLPLAIN]}$`)\n\n// like full, but allows v1.2.3 and =1.2.3, which people do sometimes.\n// also, 1.0.0alpha1 (prerelease without the hyphen) which is pretty\n// common in the npm registry.\ncreateToken('LOOSEPLAIN', `[v=\\\\s]*${src[t.MAINVERSIONLOOSE]\n}${src[t.PRERELEASELOOSE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('LOOSE', `^${src[t.LOOSEPLAIN]}$`)\n\ncreateToken('GTLT', '((?:<|>)?=?)')\n\n// Something like \"2.*\" or \"1.2.x\".\n// Note that \"x.x\" is a valid xRange identifer, meaning \"any version\"\n// Only the first item is strictly required.\ncreateToken('XRANGEIDENTIFIERLOOSE', `${src[t.NUMERICIDENTIFIERLOOSE]}|x|X|\\\\*`)\ncreateToken('XRANGEIDENTIFIER', `${src[t.NUMERICIDENTIFIER]}|x|X|\\\\*`)\n\ncreateToken('XRANGEPLAIN', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:${src[t.PRERELEASE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGEPLAINLOOSE', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:${src[t.PRERELEASELOOSE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAIN]}$`)\ncreateToken('XRANGELOOSE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Coercion.\n// Extract anything that could conceivably be a part of a valid semver\ncreateToken('COERCE', `${'(^|[^\\\\d])' +\n '(\\\\d{1,'}${MAX_SAFE_COMPONENT_LENGTH}})` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:$|[^\\\\d])`)\ncreateToken('COERCERTL', src[t.COERCE], true)\n\n// Tilde ranges.\n// Meaning is \"reasonably at or greater than\"\ncreateToken('LONETILDE', '(?:~>?)')\n\ncreateToken('TILDETRIM', `(\\\\s*)${src[t.LONETILDE]}\\\\s+`, true)\nexports.tildeTrimReplace = '$1~'\n\ncreateToken('TILDE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('TILDELOOSE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Caret ranges.\n// Meaning is \"at least and backwards compatible with\"\ncreateToken('LONECARET', '(?:\\\\^)')\n\ncreateToken('CARETTRIM', `(\\\\s*)${src[t.LONECARET]}\\\\s+`, true)\nexports.caretTrimReplace = '$1^'\n\ncreateToken('CARET', `^${src[t.LONECARET]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('CARETLOOSE', `^${src[t.LONECARET]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// A simple gt/lt/eq thing, or just \"\" to indicate \"any version\"\ncreateToken('COMPARATORLOOSE', `^${src[t.GTLT]}\\\\s*(${src[t.LOOSEPLAIN]})$|^$`)\ncreateToken('COMPARATOR', `^${src[t.GTLT]}\\\\s*(${src[t.FULLPLAIN]})$|^$`)\n\n// An expression to strip any whitespace between the gtlt and the thing\n// it modifies, so that `> 1.2.3` ==> `>1.2.3`\ncreateToken('COMPARATORTRIM', `(\\\\s*)${src[t.GTLT]\n}\\\\s*(${src[t.LOOSEPLAIN]}|${src[t.XRANGEPLAIN]})`, true)\nexports.comparatorTrimReplace = '$1$2$3'\n\n// Something like `1.2.3 - 1.2.4`\n// Note that these all use the loose form, because they'll be\n// checked against either the strict or loose comparator form\n// later.\ncreateToken('HYPHENRANGE', `^\\\\s*(${src[t.XRANGEPLAIN]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAIN]})` +\n `\\\\s*$`)\n\ncreateToken('HYPHENRANGELOOSE', `^\\\\s*(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s*$`)\n\n// Star ranges basically just allow anything at all.\ncreateToken('STAR', '(<|>)?=?\\\\s*\\\\*')\n// >=0.0.0 is like a star\ncreateToken('GTE0', '^\\\\s*>=\\\\s*0\\.0\\.0\\\\s*$')\ncreateToken('GTE0PRE', '^\\\\s*>=\\\\s*0\\.0\\.0-0\\\\s*$')\n","const debug = (\n typeof process === 'object' &&\n process.env &&\n process.env.NODE_DEBUG &&\n /\\bsemver\\b/i.test(process.env.NODE_DEBUG)\n) ? (...args) => console.error('SEMVER', ...args)\n : () => {}\n\nmodule.exports = debug\n","const debug = require('../internal/debug')\nconst { MAX_LENGTH, MAX_SAFE_INTEGER } = require('../internal/constants')\nconst { re, t } = require('../internal/re')\n\nconst { compareIdentifiers } = require('../internal/identifiers')\nclass SemVer {\n constructor (version, options) {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n if (version instanceof SemVer) {\n if (version.loose === !!options.loose &&\n version.includePrerelease === !!options.includePrerelease) {\n return version\n } else {\n version = version.version\n }\n } else if (typeof version !== 'string') {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n if (version.length > MAX_LENGTH) {\n throw new TypeError(\n `version is longer than ${MAX_LENGTH} characters`\n )\n }\n\n debug('SemVer', version, options)\n this.options = options\n this.loose = !!options.loose\n // this isn't actually relevant for versions, but keep it so that we\n // don't run into trouble passing this.options around.\n this.includePrerelease = !!options.includePrerelease\n\n const m = version.trim().match(options.loose ? re[t.LOOSE] : re[t.FULL])\n\n if (!m) {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n this.raw = version\n\n // these are actually numbers\n this.major = +m[1]\n this.minor = +m[2]\n this.patch = +m[3]\n\n if (this.major > MAX_SAFE_INTEGER || this.major < 0) {\n throw new TypeError('Invalid major version')\n }\n\n if (this.minor > MAX_SAFE_INTEGER || this.minor < 0) {\n throw new TypeError('Invalid minor version')\n }\n\n if (this.patch > MAX_SAFE_INTEGER || this.patch < 0) {\n throw new TypeError('Invalid patch version')\n }\n\n // numberify any prerelease numeric ids\n if (!m[4]) {\n this.prerelease = []\n } else {\n this.prerelease = m[4].split('.').map((id) => {\n if (/^[0-9]+$/.test(id)) {\n const num = +id\n if (num >= 0 && num < MAX_SAFE_INTEGER) {\n return num\n }\n }\n return id\n })\n }\n\n this.build = m[5] ? m[5].split('.') : []\n this.format()\n }\n\n format () {\n this.version = `${this.major}.${this.minor}.${this.patch}`\n if (this.prerelease.length) {\n this.version += `-${this.prerelease.join('.')}`\n }\n return this.version\n }\n\n toString () {\n return this.version\n }\n\n compare (other) {\n debug('SemVer.compare', this.version, this.options, other)\n if (!(other instanceof SemVer)) {\n if (typeof other === 'string' && other === this.version) {\n return 0\n }\n other = new SemVer(other, this.options)\n }\n\n if (other.version === this.version) {\n return 0\n }\n\n return this.compareMain(other) || this.comparePre(other)\n }\n\n compareMain (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n return (\n compareIdentifiers(this.major, other.major) ||\n compareIdentifiers(this.minor, other.minor) ||\n compareIdentifiers(this.patch, other.patch)\n )\n }\n\n comparePre (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n // NOT having a prerelease is > having one\n if (this.prerelease.length && !other.prerelease.length) {\n return -1\n } else if (!this.prerelease.length && other.prerelease.length) {\n return 1\n } else if (!this.prerelease.length && !other.prerelease.length) {\n return 0\n }\n\n let i = 0\n do {\n const a = this.prerelease[i]\n const b = other.prerelease[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n compareBuild (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n let i = 0\n do {\n const a = this.build[i]\n const b = other.build[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n // preminor will bump the version up to the next minor release, and immediately\n // down to pre-release. premajor and prepatch work the same way.\n inc (release, identifier) {\n switch (release) {\n case 'premajor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor = 0\n this.major++\n this.inc('pre', identifier)\n break\n case 'preminor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor++\n this.inc('pre', identifier)\n break\n case 'prepatch':\n // If this is already a prerelease, it will bump to the next version\n // drop any prereleases that might already exist, since they are not\n // relevant at this point.\n this.prerelease.length = 0\n this.inc('patch', identifier)\n this.inc('pre', identifier)\n break\n // If the input is a non-prerelease version, this acts the same as\n // prepatch.\n case 'prerelease':\n if (this.prerelease.length === 0) {\n this.inc('patch', identifier)\n }\n this.inc('pre', identifier)\n break\n\n case 'major':\n // If this is a pre-major version, bump up to the same major version.\n // Otherwise increment major.\n // 1.0.0-5 bumps to 1.0.0\n // 1.1.0 bumps to 2.0.0\n if (\n this.minor !== 0 ||\n this.patch !== 0 ||\n this.prerelease.length === 0\n ) {\n this.major++\n }\n this.minor = 0\n this.patch = 0\n this.prerelease = []\n break\n case 'minor':\n // If this is a pre-minor version, bump up to the same minor version.\n // Otherwise increment minor.\n // 1.2.0-5 bumps to 1.2.0\n // 1.2.1 bumps to 1.3.0\n if (this.patch !== 0 || this.prerelease.length === 0) {\n this.minor++\n }\n this.patch = 0\n this.prerelease = []\n break\n case 'patch':\n // If this is not a pre-release version, it will increment the patch.\n // If it is a pre-release it will bump up to the same patch version.\n // 1.2.0-5 patches to 1.2.0\n // 1.2.0 patches to 1.2.1\n if (this.prerelease.length === 0) {\n this.patch++\n }\n this.prerelease = []\n break\n // This probably shouldn't be used publicly.\n // 1.0.0 'pre' would become 1.0.0-0 which is the wrong direction.\n case 'pre':\n if (this.prerelease.length === 0) {\n this.prerelease = [0]\n } else {\n let i = this.prerelease.length\n while (--i >= 0) {\n if (typeof this.prerelease[i] === 'number') {\n this.prerelease[i]++\n i = -2\n }\n }\n if (i === -1) {\n // didn't increment anything\n this.prerelease.push(0)\n }\n }\n if (identifier) {\n // 1.2.0-beta.1 bumps to 1.2.0-beta.2,\n // 1.2.0-beta.fooblz or 1.2.0-beta bumps to 1.2.0-beta.0\n if (this.prerelease[0] === identifier) {\n if (isNaN(this.prerelease[1])) {\n this.prerelease = [identifier, 0]\n }\n } else {\n this.prerelease = [identifier, 0]\n }\n }\n break\n\n default:\n throw new Error(`invalid increment argument: ${release}`)\n }\n this.format()\n this.raw = this.version\n return this\n }\n}\n\nmodule.exports = SemVer\n","'use strict';\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar BUGGY_SAFARI_ITERATORS = false;\n\nvar returnThis = function () { return this; };\n\n// `%IteratorPrototype%` object\n// https://tc39.github.io/ecma262/#sec-%iteratorprototype%-object\nvar IteratorPrototype, PrototypeOfArrayIteratorPrototype, arrayIterator;\n\nif ([].keys) {\n arrayIterator = [].keys();\n // Safari 8 has buggy iterators w/o `next`\n if (!('next' in arrayIterator)) BUGGY_SAFARI_ITERATORS = true;\n else {\n PrototypeOfArrayIteratorPrototype = getPrototypeOf(getPrototypeOf(arrayIterator));\n if (PrototypeOfArrayIteratorPrototype !== Object.prototype) IteratorPrototype = PrototypeOfArrayIteratorPrototype;\n }\n}\n\nif (IteratorPrototype == undefined) IteratorPrototype = {};\n\n// 25.1.2.1.1 %IteratorPrototype%[@@iterator]()\nif (!IS_PURE && !has(IteratorPrototype, ITERATOR)) {\n createNonEnumerableProperty(IteratorPrototype, ITERATOR, returnThis);\n}\n\nmodule.exports = {\n IteratorPrototype: IteratorPrototype,\n BUGGY_SAFARI_ITERATORS: BUGGY_SAFARI_ITERATORS\n};\n","var has = require('../internals/has');\nvar toObject = require('../internals/to-object');\nvar sharedKey = require('../internals/shared-key');\nvar CORRECT_PROTOTYPE_GETTER = require('../internals/correct-prototype-getter');\n\nvar IE_PROTO = sharedKey('IE_PROTO');\nvar ObjectPrototype = Object.prototype;\n\n// `Object.getPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.getprototypeof\nmodule.exports = CORRECT_PROTOTYPE_GETTER ? Object.getPrototypeOf : function (O) {\n O = toObject(O);\n if (has(O, IE_PROTO)) return O[IE_PROTO];\n if (typeof O.constructor == 'function' && O instanceof O.constructor) {\n return O.constructor.prototype;\n } return O instanceof Object ? ObjectPrototype : null;\n};\n","var anObject = require('../internals/an-object');\nvar aPossiblePrototype = require('../internals/a-possible-prototype');\n\n// `Object.setPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.setprototypeof\n// Works with __proto__ only. Old v8 can't work with null proto objects.\n/* eslint-disable no-proto */\nmodule.exports = Object.setPrototypeOf || ('__proto__' in {} ? function () {\n var CORRECT_SETTER = false;\n var test = {};\n var setter;\n try {\n setter = Object.getOwnPropertyDescriptor(Object.prototype, '__proto__').set;\n setter.call(test, []);\n CORRECT_SETTER = test instanceof Array;\n } catch (error) { /* empty */ }\n return function setPrototypeOf(O, proto) {\n anObject(O);\n aPossiblePrototype(proto);\n if (CORRECT_SETTER) setter.call(O, proto);\n else O.__proto__ = proto;\n return O;\n };\n}() : undefined);\n","var hiddenKeys = require('../internals/hidden-keys');\nvar isObject = require('../internals/is-object');\nvar has = require('../internals/has');\nvar defineProperty = require('../internals/object-define-property').f;\nvar uid = require('../internals/uid');\nvar FREEZING = require('../internals/freezing');\n\nvar METADATA = uid('meta');\nvar id = 0;\n\nvar isExtensible = Object.isExtensible || function () {\n return true;\n};\n\nvar setMetadata = function (it) {\n defineProperty(it, METADATA, { value: {\n objectID: 'O' + ++id, // object ID\n weakData: {} // weak collections IDs\n } });\n};\n\nvar fastKey = function (it, create) {\n // return a primitive with prefix\n if (!isObject(it)) return typeof it == 'symbol' ? it : (typeof it == 'string' ? 'S' : 'P') + it;\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return 'F';\n // not necessary to add metadata\n if (!create) return 'E';\n // add missing metadata\n setMetadata(it);\n // return object ID\n } return it[METADATA].objectID;\n};\n\nvar getWeakData = function (it, create) {\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return true;\n // not necessary to add metadata\n if (!create) return false;\n // add missing metadata\n setMetadata(it);\n // return the store of weak collections IDs\n } return it[METADATA].weakData;\n};\n\n// add metadata on freeze-family methods calling\nvar onFreeze = function (it) {\n if (FREEZING && meta.REQUIRED && isExtensible(it) && !has(it, METADATA)) setMetadata(it);\n return it;\n};\n\nvar meta = module.exports = {\n REQUIRED: false,\n fastKey: fastKey,\n getWeakData: getWeakData,\n onFreeze: onFreeze\n};\n\nhiddenKeys[METADATA] = true;\n","// iterable DOM collections\n// flag - `iterable` interface - 'entries', 'keys', 'values', 'forEach' methods\nmodule.exports = {\n CSSRuleList: 0,\n CSSStyleDeclaration: 0,\n CSSValueList: 0,\n ClientRectList: 0,\n DOMRectList: 0,\n DOMStringList: 0,\n DOMTokenList: 1,\n DataTransferItemList: 0,\n FileList: 0,\n HTMLAllCollection: 0,\n HTMLCollection: 0,\n HTMLFormElement: 0,\n HTMLSelectElement: 0,\n MediaList: 0,\n MimeTypeArray: 0,\n NamedNodeMap: 0,\n NodeList: 1,\n PaintRequestList: 0,\n Plugin: 0,\n PluginArray: 0,\n SVGLengthList: 0,\n SVGNumberList: 0,\n SVGPathSegList: 0,\n SVGPointList: 0,\n SVGStringList: 0,\n SVGTransformList: 0,\n SourceBufferList: 0,\n StyleSheetList: 0,\n TextTrackCueList: 0,\n TextTrackList: 0,\n TouchList: 0\n};\n","'use strict';\nvar anObject = require('../internals/an-object');\n\n// `RegExp.prototype.flags` getter implementation\n// https://tc39.github.io/ecma262/#sec-get-regexp.prototype.flags\nmodule.exports = function () {\n var that = anObject(this);\n var result = '';\n if (that.global) result += 'g';\n if (that.ignoreCase) result += 'i';\n if (that.multiline) result += 'm';\n if (that.dotAll) result += 's';\n if (that.unicode) result += 'u';\n if (that.sticky) result += 'y';\n return result;\n};\n","'use strict';\nvar redefine = require('../internals/redefine');\nvar anObject = require('../internals/an-object');\nvar fails = require('../internals/fails');\nvar flags = require('../internals/regexp-flags');\n\nvar TO_STRING = 'toString';\nvar RegExpPrototype = RegExp.prototype;\nvar nativeToString = RegExpPrototype[TO_STRING];\n\nvar NOT_GENERIC = fails(function () { return nativeToString.call({ source: 'a', flags: 'b' }) != '/a/b'; });\n// FF44- RegExp#toString has a wrong name\nvar INCORRECT_NAME = nativeToString.name != TO_STRING;\n\n// `RegExp.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-regexp.prototype.tostring\nif (NOT_GENERIC || INCORRECT_NAME) {\n redefine(RegExp.prototype, TO_STRING, function toString() {\n var R = anObject(this);\n var p = String(R.source);\n var rf = R.flags;\n var f = String(rf === undefined && R instanceof RegExp && !('flags' in RegExpPrototype) ? flags.call(R) : rf);\n return '/' + p + '/' + f;\n }, { unsafe: true });\n}\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar STRING_ITERATOR = 'String Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(STRING_ITERATOR);\n\n// `String.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-string.prototype-@@iterator\ndefineIterator(String, 'String', function (iterated) {\n setInternalState(this, {\n type: STRING_ITERATOR,\n string: String(iterated),\n index: 0\n });\n// `%StringIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%stringiteratorprototype%.next\n}, function next() {\n var state = getInternalState(this);\n var string = state.string;\n var index = state.index;\n var point;\n if (index >= string.length) return { value: undefined, done: true };\n point = charAt(string, index);\n state.index += point.length;\n return { value: point, done: false };\n});\n","'use strict';\nvar fixRegExpWellKnownSymbolLogic = require('../internals/fix-regexp-well-known-symbol-logic');\nvar anObject = require('../internals/an-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\nvar advanceStringIndex = require('../internals/advance-string-index');\nvar regExpExec = require('../internals/regexp-exec-abstract');\n\nvar max = Math.max;\nvar min = Math.min;\nvar floor = Math.floor;\nvar SUBSTITUTION_SYMBOLS = /\\$([$&'`]|\\d\\d?|<[^>]*>)/g;\nvar SUBSTITUTION_SYMBOLS_NO_NAMED = /\\$([$&'`]|\\d\\d?)/g;\n\nvar maybeToString = function (it) {\n return it === undefined ? it : String(it);\n};\n\n// @@replace logic\nfixRegExpWellKnownSymbolLogic('replace', 2, function (REPLACE, nativeReplace, maybeCallNative, reason) {\n var REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = reason.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE;\n var REPLACE_KEEPS_$0 = reason.REPLACE_KEEPS_$0;\n var UNSAFE_SUBSTITUTE = REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE ? '$' : '$0';\n\n return [\n // `String.prototype.replace` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.replace\n function replace(searchValue, replaceValue) {\n var O = requireObjectCoercible(this);\n var replacer = searchValue == undefined ? undefined : searchValue[REPLACE];\n return replacer !== undefined\n ? replacer.call(searchValue, O, replaceValue)\n : nativeReplace.call(String(O), searchValue, replaceValue);\n },\n // `RegExp.prototype[@@replace]` method\n // https://tc39.github.io/ecma262/#sec-regexp.prototype-@@replace\n function (regexp, replaceValue) {\n if (\n (!REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE && REPLACE_KEEPS_$0) ||\n (typeof replaceValue === 'string' && replaceValue.indexOf(UNSAFE_SUBSTITUTE) === -1)\n ) {\n var res = maybeCallNative(nativeReplace, regexp, this, replaceValue);\n if (res.done) return res.value;\n }\n\n var rx = anObject(regexp);\n var S = String(this);\n\n var functionalReplace = typeof replaceValue === 'function';\n if (!functionalReplace) replaceValue = String(replaceValue);\n\n var global = rx.global;\n if (global) {\n var fullUnicode = rx.unicode;\n rx.lastIndex = 0;\n }\n var results = [];\n while (true) {\n var result = regExpExec(rx, S);\n if (result === null) break;\n\n results.push(result);\n if (!global) break;\n\n var matchStr = String(result[0]);\n if (matchStr === '') rx.lastIndex = advanceStringIndex(S, toLength(rx.lastIndex), fullUnicode);\n }\n\n var accumulatedResult = '';\n var nextSourcePosition = 0;\n for (var i = 0; i < results.length; i++) {\n result = results[i];\n\n var matched = String(result[0]);\n var position = max(min(toInteger(result.index), S.length), 0);\n var captures = [];\n // NOTE: This is equivalent to\n // captures = result.slice(1).map(maybeToString)\n // but for some reason `nativeSlice.call(result, 1, result.length)` (called in\n // the slice polyfill when slicing native arrays) \"doesn't work\" in safari 9 and\n // causes a crash (https://pastebin.com/N21QzeQA) when trying to debug it.\n for (var j = 1; j < result.length; j++) captures.push(maybeToString(result[j]));\n var namedCaptures = result.groups;\n if (functionalReplace) {\n var replacerArgs = [matched].concat(captures, position, S);\n if (namedCaptures !== undefined) replacerArgs.push(namedCaptures);\n var replacement = String(replaceValue.apply(undefined, replacerArgs));\n } else {\n replacement = getSubstitution(matched, S, position, captures, namedCaptures, replaceValue);\n }\n if (position >= nextSourcePosition) {\n accumulatedResult += S.slice(nextSourcePosition, position) + replacement;\n nextSourcePosition = position + matched.length;\n }\n }\n return accumulatedResult + S.slice(nextSourcePosition);\n }\n ];\n\n // https://tc39.github.io/ecma262/#sec-getsubstitution\n function getSubstitution(matched, str, position, captures, namedCaptures, replacement) {\n var tailPos = position + matched.length;\n var m = captures.length;\n var symbols = SUBSTITUTION_SYMBOLS_NO_NAMED;\n if (namedCaptures !== undefined) {\n namedCaptures = toObject(namedCaptures);\n symbols = SUBSTITUTION_SYMBOLS;\n }\n return nativeReplace.call(replacement, symbols, function (match, ch) {\n var capture;\n switch (ch.charAt(0)) {\n case '$': return '$';\n case '&': return matched;\n case '`': return str.slice(0, position);\n case \"'\": return str.slice(tailPos);\n case '<':\n capture = namedCaptures[ch.slice(1, -1)];\n break;\n default: // \\d\\d?\n var n = +ch;\n if (n === 0) return match;\n if (n > m) {\n var f = floor(n / 10);\n if (f === 0) return match;\n if (f <= m) return captures[f - 1] === undefined ? ch.charAt(1) : captures[f - 1] + ch.charAt(1);\n return match;\n }\n capture = captures[n - 1];\n }\n return capture === undefined ? '' : capture;\n });\n }\n});\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar ArrayIteratorMethods = require('../modules/es.array.iterator');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar ArrayValues = ArrayIteratorMethods.values;\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n if (CollectionPrototype) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[ITERATOR] !== ArrayValues) try {\n createNonEnumerableProperty(CollectionPrototype, ITERATOR, ArrayValues);\n } catch (error) {\n CollectionPrototype[ITERATOR] = ArrayValues;\n }\n if (!CollectionPrototype[TO_STRING_TAG]) {\n createNonEnumerableProperty(CollectionPrototype, TO_STRING_TAG, COLLECTION_NAME);\n }\n if (DOMIterables[COLLECTION_NAME]) for (var METHOD_NAME in ArrayIteratorMethods) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[METHOD_NAME] !== ArrayIteratorMethods[METHOD_NAME]) try {\n createNonEnumerableProperty(CollectionPrototype, METHOD_NAME, ArrayIteratorMethods[METHOD_NAME]);\n } catch (error) {\n CollectionPrototype[METHOD_NAME] = ArrayIteratorMethods[METHOD_NAME];\n }\n }\n }\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar $indexOf = require('../internals/array-includes').indexOf;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar nativeIndexOf = [].indexOf;\n\nvar NEGATIVE_ZERO = !!nativeIndexOf && 1 / [1].indexOf(1, -0) < 0;\nvar STRICT_METHOD = arrayMethodIsStrict('indexOf');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('indexOf', { ACCESSORS: true, 1: 0 });\n\n// `Array.prototype.indexOf` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n$({ target: 'Array', proto: true, forced: NEGATIVE_ZERO || !STRICT_METHOD || !USES_TO_LENGTH }, {\n indexOf: function indexOf(searchElement /* , fromIndex = 0 */) {\n return NEGATIVE_ZERO\n // convert -0 to +0\n ? nativeIndexOf.apply(this, arguments) || 0\n : $indexOf(this, searchElement, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","module.exports = function (it) {\n if (typeof it != 'function') {\n throw TypeError(String(it) + ' is not a function');\n } return it;\n};\n","'use strict';\nvar toPrimitive = require('../internals/to-primitive');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = function (object, key, value) {\n var propertyKey = toPrimitive(key);\n if (propertyKey in object) definePropertyModule.f(object, propertyKey, createPropertyDescriptor(0, value));\n else object[propertyKey] = value;\n};\n","var classof = require('../internals/classof');\nvar Iterators = require('../internals/iterators');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\n\nmodule.exports = function (it) {\n if (it != undefined) return it[ITERATOR]\n || it['@@iterator']\n || Iterators[classof(it)];\n};\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\nvar hiddenKeys = enumBugKeys.concat('length', 'prototype');\n\n// `Object.getOwnPropertyNames` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertynames\nexports.f = Object.getOwnPropertyNames || function getOwnPropertyNames(O) {\n return internalObjectKeys(O, hiddenKeys);\n};\n","var toInteger = require('../internals/to-integer');\n\nvar max = Math.max;\nvar min = Math.min;\n\n// Helper for a popular repeating case of the spec:\n// Let integer be ? ToInteger(index).\n// If integer < 0, let result be max((length + integer), 0); else let result be min(integer, length).\nmodule.exports = function (index, length) {\n var integer = toInteger(index);\n return integer < 0 ? max(integer + length, 0) : min(integer, length);\n};\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nObject.defineProperty(exports, \"getRequestToken\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.getRequestToken;\n }\n});\nObject.defineProperty(exports, \"onRequestTokenUpdate\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.onRequestTokenUpdate;\n }\n});\nObject.defineProperty(exports, \"getCurrentUser\", {\n enumerable: true,\n get: function get() {\n return _user.getCurrentUser;\n }\n});\n\nvar _requesttoken = require(\"./requesttoken\");\n\nvar _user = require(\"./user\");\n//# sourceMappingURL=index.js.map","'use strict';\nvar $ = require('../internals/export');\nvar $filter = require('../internals/array-iteration').filter;\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('filter');\n// Edge 14- issue\nvar USES_TO_LENGTH = arrayMethodUsesToLength('filter');\n\n// `Array.prototype.filter` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.filter\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n filter: function filter(callbackfn /* , thisArg */) {\n return $filter(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","var isObject = require('../internals/is-object');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\n\n// makes subclassing work correct for wrapped built-ins\nmodule.exports = function ($this, dummy, Wrapper) {\n var NewTarget, NewTargetPrototype;\n if (\n // it can work only with native `setPrototypeOf`\n setPrototypeOf &&\n // we haven't completely correct pre-ES6 way for getting `new.target`, so use this\n typeof (NewTarget = dummy.constructor) == 'function' &&\n NewTarget !== Wrapper &&\n isObject(NewTargetPrototype = NewTarget.prototype) &&\n NewTargetPrototype !== Wrapper.prototype\n ) setPrototypeOf($this, NewTargetPrototype);\n return $this;\n};\n","var redefine = require('../internals/redefine');\n\nmodule.exports = function (target, src, options) {\n for (var key in src) redefine(target, key, src[key], options);\n return target;\n};\n","'use strict';\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar objectKeys = require('../internals/object-keys');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar toObject = require('../internals/to-object');\nvar IndexedObject = require('../internals/indexed-object');\n\nvar nativeAssign = Object.assign;\nvar defineProperty = Object.defineProperty;\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\nmodule.exports = !nativeAssign || fails(function () {\n // should have correct order of operations (Edge bug)\n if (DESCRIPTORS && nativeAssign({ b: 1 }, nativeAssign(defineProperty({}, 'a', {\n enumerable: true,\n get: function () {\n defineProperty(this, 'b', {\n value: 3,\n enumerable: false\n });\n }\n }), { b: 2 })).b !== 1) return true;\n // should work with symbols and should have deterministic property order (V8 bug)\n var A = {};\n var B = {};\n // eslint-disable-next-line no-undef\n var symbol = Symbol();\n var alphabet = 'abcdefghijklmnopqrst';\n A[symbol] = 7;\n alphabet.split('').forEach(function (chr) { B[chr] = chr; });\n return nativeAssign({}, A)[symbol] != 7 || objectKeys(nativeAssign({}, B)).join('') != alphabet;\n}) ? function assign(target, source) { // eslint-disable-line no-unused-vars\n var T = toObject(target);\n var argumentsLength = arguments.length;\n var index = 1;\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n var propertyIsEnumerable = propertyIsEnumerableModule.f;\n while (argumentsLength > index) {\n var S = IndexedObject(arguments[index++]);\n var keys = getOwnPropertySymbols ? objectKeys(S).concat(getOwnPropertySymbols(S)) : objectKeys(S);\n var length = keys.length;\n var j = 0;\n var key;\n while (length > j) {\n key = keys[j++];\n if (!DESCRIPTORS || propertyIsEnumerable.call(S, key)) T[key] = S[key];\n }\n } return T;\n} : nativeAssign;\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('navigator', 'userAgent') || '';\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar anObject = require('../internals/an-object');\nvar objectKeys = require('../internals/object-keys');\n\n// `Object.defineProperties` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperties\nmodule.exports = DESCRIPTORS ? Object.defineProperties : function defineProperties(O, Properties) {\n anObject(O);\n var keys = objectKeys(Properties);\n var length = keys.length;\n var index = 0;\n var key;\n while (length > index) definePropertyModule.f(O, key = keys[index++], Properties[key]);\n return O;\n};\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('document', 'documentElement');\n","'use strict';\nvar IteratorPrototype = require('../internals/iterators-core').IteratorPrototype;\nvar create = require('../internals/object-create');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar Iterators = require('../internals/iterators');\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (IteratorConstructor, NAME, next) {\n var TO_STRING_TAG = NAME + ' Iterator';\n IteratorConstructor.prototype = create(IteratorPrototype, { next: createPropertyDescriptor(1, next) });\n setToStringTag(IteratorConstructor, TO_STRING_TAG, false, true);\n Iterators[TO_STRING_TAG] = returnThis;\n return IteratorConstructor;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar Iterators = require('../internals/iterators');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar ArrayPrototype = Array.prototype;\n\n// check on default Array iterator\nmodule.exports = function (it) {\n return it !== undefined && (Iterators.Array === it || ArrayPrototype[ITERATOR] === it);\n};\n","var anObject = require('../internals/an-object');\n\n// call something on iterator step with safe closing on error\nmodule.exports = function (iterator, fn, value, ENTRIES) {\n try {\n return ENTRIES ? fn(anObject(value)[0], value[1]) : fn(value);\n // 7.4.6 IteratorClose(iterator, completion)\n } catch (error) {\n var returnMethod = iterator['return'];\n if (returnMethod !== undefined) anObject(returnMethod.call(iterator));\n throw error;\n }\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar SAFE_CLOSING = false;\n\ntry {\n var called = 0;\n var iteratorWithReturn = {\n next: function () {\n return { done: !!called++ };\n },\n 'return': function () {\n SAFE_CLOSING = true;\n }\n };\n iteratorWithReturn[ITERATOR] = function () {\n return this;\n };\n // eslint-disable-next-line no-throw-literal\n Array.from(iteratorWithReturn, function () { throw 2; });\n} catch (error) { /* empty */ }\n\nmodule.exports = function (exec, SKIP_CLOSING) {\n if (!SKIP_CLOSING && !SAFE_CLOSING) return false;\n var ITERATION_SUPPORT = false;\n try {\n var object = {};\n object[ITERATOR] = function () {\n return {\n next: function () {\n return { done: ITERATION_SUPPORT = true };\n }\n };\n };\n exec(object);\n } catch (error) { /* empty */ }\n return ITERATION_SUPPORT;\n};\n","'use strict';\nvar getBuiltIn = require('../internals/get-built-in');\nvar definePropertyModule = require('../internals/object-define-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar DESCRIPTORS = require('../internals/descriptors');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (CONSTRUCTOR_NAME) {\n var Constructor = getBuiltIn(CONSTRUCTOR_NAME);\n var defineProperty = definePropertyModule.f;\n\n if (DESCRIPTORS && Constructor && !Constructor[SPECIES]) {\n defineProperty(Constructor, SPECIES, {\n configurable: true,\n get: function () { return this; }\n });\n }\n};\n","'use strict';\n// TODO: Remove from `core-js@4` since it's moved to entry points\nrequire('../modules/es.regexp.exec');\nvar redefine = require('../internals/redefine');\nvar fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar regexpExec = require('../internals/regexp-exec');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nvar SPECIES = wellKnownSymbol('species');\n\nvar REPLACE_SUPPORTS_NAMED_GROUPS = !fails(function () {\n // #replace needs built-in support for named groups.\n // #match works fine because it just return the exec results, even if it has\n // a \"grops\" property.\n var re = /./;\n re.exec = function () {\n var result = [];\n result.groups = { a: '7' };\n return result;\n };\n return ''.replace(re, '$<a>') !== '7';\n});\n\n// IE <= 11 replaces $0 with the whole match, as if it was $&\n// https://stackoverflow.com/questions/6024666/getting-ie-to-replace-a-regex-with-the-literal-string-0\nvar REPLACE_KEEPS_$0 = (function () {\n return 'a'.replace(/./, '$0') === '$0';\n})();\n\nvar REPLACE = wellKnownSymbol('replace');\n// Safari <= 13.0.3(?) substitutes nth capture where n>m with an empty string\nvar REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = (function () {\n if (/./[REPLACE]) {\n return /./[REPLACE]('a', '$0') === '';\n }\n return false;\n})();\n\n// Chrome 51 has a buggy \"split\" implementation when RegExp#exec !== nativeExec\n// Weex JS has frozen built-in prototypes, so use try / catch wrapper\nvar SPLIT_WORKS_WITH_OVERWRITTEN_EXEC = !fails(function () {\n var re = /(?:)/;\n var originalExec = re.exec;\n re.exec = function () { return originalExec.apply(this, arguments); };\n var result = 'ab'.split(re);\n return result.length !== 2 || result[0] !== 'a' || result[1] !== 'b';\n});\n\nmodule.exports = function (KEY, length, exec, sham) {\n var SYMBOL = wellKnownSymbol(KEY);\n\n var DELEGATES_TO_SYMBOL = !fails(function () {\n // String methods call symbol-named RegEp methods\n var O = {};\n O[SYMBOL] = function () { return 7; };\n return ''[KEY](O) != 7;\n });\n\n var DELEGATES_TO_EXEC = DELEGATES_TO_SYMBOL && !fails(function () {\n // Symbol-named RegExp methods call .exec\n var execCalled = false;\n var re = /a/;\n\n if (KEY === 'split') {\n // We can't use real regex here since it causes deoptimization\n // and serious performance degradation in V8\n // https://github.com/zloirock/core-js/issues/306\n re = {};\n // RegExp[@@split] doesn't call the regex's exec method, but first creates\n // a new one. We need to return the patched regex when creating the new one.\n re.constructor = {};\n re.constructor[SPECIES] = function () { return re; };\n re.flags = '';\n re[SYMBOL] = /./[SYMBOL];\n }\n\n re.exec = function () { execCalled = true; return null; };\n\n re[SYMBOL]('');\n return !execCalled;\n });\n\n if (\n !DELEGATES_TO_SYMBOL ||\n !DELEGATES_TO_EXEC ||\n (KEY === 'replace' && !(\n REPLACE_SUPPORTS_NAMED_GROUPS &&\n REPLACE_KEEPS_$0 &&\n !REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n )) ||\n (KEY === 'split' && !SPLIT_WORKS_WITH_OVERWRITTEN_EXEC)\n ) {\n var nativeRegExpMethod = /./[SYMBOL];\n var methods = exec(SYMBOL, ''[KEY], function (nativeMethod, regexp, str, arg2, forceStringMethod) {\n if (regexp.exec === regexpExec) {\n if (DELEGATES_TO_SYMBOL && !forceStringMethod) {\n // The native String method already delegates to @@method (this\n // polyfilled function), leasing to infinite recursion.\n // We avoid it by directly calling the native @@method method.\n return { done: true, value: nativeRegExpMethod.call(regexp, str, arg2) };\n }\n return { done: true, value: nativeMethod.call(str, regexp, arg2) };\n }\n return { done: false };\n }, {\n REPLACE_KEEPS_$0: REPLACE_KEEPS_$0,\n REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE: REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n });\n var stringMethod = methods[0];\n var regexMethod = methods[1];\n\n redefine(String.prototype, KEY, stringMethod);\n redefine(RegExp.prototype, SYMBOL, length == 2\n // 21.2.5.8 RegExp.prototype[@@replace](string, replaceValue)\n // 21.2.5.11 RegExp.prototype[@@split](string, limit)\n ? function (string, arg) { return regexMethod.call(string, this, arg); }\n // 21.2.5.6 RegExp.prototype[@@match](string)\n // 21.2.5.9 RegExp.prototype[@@search](string)\n : function (string) { return regexMethod.call(string, this); }\n );\n }\n\n if (sham) createNonEnumerableProperty(RegExp.prototype[SYMBOL], 'sham', true);\n};\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\n\n// `AdvanceStringIndex` abstract operation\n// https://tc39.github.io/ecma262/#sec-advancestringindex\nmodule.exports = function (S, index, unicode) {\n return index + (unicode ? charAt(S, index).length : 1);\n};\n","var classof = require('./classof-raw');\nvar regexpExec = require('./regexp-exec');\n\n// `RegExpExec` abstract operation\n// https://tc39.github.io/ecma262/#sec-regexpexec\nmodule.exports = function (R, S) {\n var exec = R.exec;\n if (typeof exec === 'function') {\n var result = exec.call(R, S);\n if (typeof result !== 'object') {\n throw TypeError('RegExp exec method returned something other than an Object or null');\n }\n return result;\n }\n\n if (classof(R) !== 'RegExp') {\n throw TypeError('RegExp#exec called on incompatible receiver');\n }\n\n return regexpExec.call(R, S);\n};\n\n","var global = require('../internals/global');\nvar inspectSource = require('../internals/inspect-source');\n\nvar WeakMap = global.WeakMap;\n\nmodule.exports = typeof WeakMap === 'function' && /native code/.test(inspectSource(WeakMap));\n","var has = require('../internals/has');\nvar ownKeys = require('../internals/own-keys');\nvar getOwnPropertyDescriptorModule = require('../internals/object-get-own-property-descriptor');\nvar definePropertyModule = require('../internals/object-define-property');\n\nmodule.exports = function (target, source) {\n var keys = ownKeys(source);\n var defineProperty = definePropertyModule.f;\n var getOwnPropertyDescriptor = getOwnPropertyDescriptorModule.f;\n for (var i = 0; i < keys.length; i++) {\n var key = keys[i];\n if (!has(target, key)) defineProperty(target, key, getOwnPropertyDescriptor(source, key));\n }\n};\n","var getBuiltIn = require('../internals/get-built-in');\nvar getOwnPropertyNamesModule = require('../internals/object-get-own-property-names');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar anObject = require('../internals/an-object');\n\n// all object keys, includes non-enumerable and symbols\nmodule.exports = getBuiltIn('Reflect', 'ownKeys') || function ownKeys(it) {\n var keys = getOwnPropertyNamesModule.f(anObject(it));\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n return getOwnPropertySymbols ? keys.concat(getOwnPropertySymbols(it)) : keys;\n};\n","var global = require('../internals/global');\n\nmodule.exports = global;\n","module.exports = require('./lib/axios');","'use strict';\n\nvar utils = require('./utils');\nvar bind = require('./helpers/bind');\nvar Axios = require('./core/Axios');\nvar mergeConfig = require('./core/mergeConfig');\nvar defaults = require('./defaults');\n\n/**\n * Create an instance of Axios\n *\n * @param {Object} defaultConfig The default config for the instance\n * @return {Axios} A new instance of Axios\n */\nfunction createInstance(defaultConfig) {\n var context = new Axios(defaultConfig);\n var instance = bind(Axios.prototype.request, context);\n\n // Copy axios.prototype to instance\n utils.extend(instance, Axios.prototype, context);\n\n // Copy context to instance\n utils.extend(instance, context);\n\n return instance;\n}\n\n// Create the default instance to be exported\nvar axios = createInstance(defaults);\n\n// Expose Axios class to allow class inheritance\naxios.Axios = Axios;\n\n// Factory for creating new instances\naxios.create = function create(instanceConfig) {\n return createInstance(mergeConfig(axios.defaults, instanceConfig));\n};\n\n// Expose Cancel & CancelToken\naxios.Cancel = require('./cancel/Cancel');\naxios.CancelToken = require('./cancel/CancelToken');\naxios.isCancel = require('./cancel/isCancel');\n\n// Expose all/spread\naxios.all = function all(promises) {\n return Promise.all(promises);\n};\naxios.spread = require('./helpers/spread');\n\nmodule.exports = axios;\n\n// Allow use of default import syntax in TypeScript\nmodule.exports.default = axios;\n","'use strict';\n\nvar utils = require('./../utils');\nvar buildURL = require('../helpers/buildURL');\nvar InterceptorManager = require('./InterceptorManager');\nvar dispatchRequest = require('./dispatchRequest');\nvar mergeConfig = require('./mergeConfig');\n\n/**\n * Create a new instance of Axios\n *\n * @param {Object} instanceConfig The default config for the instance\n */\nfunction Axios(instanceConfig) {\n this.defaults = instanceConfig;\n this.interceptors = {\n request: new InterceptorManager(),\n response: new InterceptorManager()\n };\n}\n\n/**\n * Dispatch a request\n *\n * @param {Object} config The config specific for this request (merged with this.defaults)\n */\nAxios.prototype.request = function request(config) {\n /*eslint no-param-reassign:0*/\n // Allow for axios('example/url'[, config]) a la fetch API\n if (typeof config === 'string') {\n config = arguments[1] || {};\n config.url = arguments[0];\n } else {\n config = config || {};\n }\n\n config = mergeConfig(this.defaults, config);\n\n // Set config.method\n if (config.method) {\n config.method = config.method.toLowerCase();\n } else if (this.defaults.method) {\n config.method = this.defaults.method.toLowerCase();\n } else {\n config.method = 'get';\n }\n\n // Hook up interceptors middleware\n var chain = [dispatchRequest, undefined];\n var promise = Promise.resolve(config);\n\n this.interceptors.request.forEach(function unshiftRequestInterceptors(interceptor) {\n chain.unshift(interceptor.fulfilled, interceptor.rejected);\n });\n\n this.interceptors.response.forEach(function pushResponseInterceptors(interceptor) {\n chain.push(interceptor.fulfilled, interceptor.rejected);\n });\n\n while (chain.length) {\n promise = promise.then(chain.shift(), chain.shift());\n }\n\n return promise;\n};\n\nAxios.prototype.getUri = function getUri(config) {\n config = mergeConfig(this.defaults, config);\n return buildURL(config.url, config.params, config.paramsSerializer).replace(/^\\?/, '');\n};\n\n// Provide aliases for supported request methods\nutils.forEach(['delete', 'get', 'head', 'options'], function forEachMethodNoData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url\n }));\n };\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, data, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url,\n data: data\n }));\n };\n});\n\nmodule.exports = Axios;\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction InterceptorManager() {\n this.handlers = [];\n}\n\n/**\n * Add a new interceptor to the stack\n *\n * @param {Function} fulfilled The function to handle `then` for a `Promise`\n * @param {Function} rejected The function to handle `reject` for a `Promise`\n *\n * @return {Number} An ID used to remove interceptor later\n */\nInterceptorManager.prototype.use = function use(fulfilled, rejected) {\n this.handlers.push({\n fulfilled: fulfilled,\n rejected: rejected\n });\n return this.handlers.length - 1;\n};\n\n/**\n * Remove an interceptor from the stack\n *\n * @param {Number} id The ID that was returned by `use`\n */\nInterceptorManager.prototype.eject = function eject(id) {\n if (this.handlers[id]) {\n this.handlers[id] = null;\n }\n};\n\n/**\n * Iterate over all the registered interceptors\n *\n * This method is particularly useful for skipping over any\n * interceptors that may have become `null` calling `eject`.\n *\n * @param {Function} fn The function to call for each interceptor\n */\nInterceptorManager.prototype.forEach = function forEach(fn) {\n utils.forEach(this.handlers, function forEachHandler(h) {\n if (h !== null) {\n fn(h);\n }\n });\n};\n\nmodule.exports = InterceptorManager;\n","'use strict';\n\nvar utils = require('./../utils');\nvar transformData = require('./transformData');\nvar isCancel = require('../cancel/isCancel');\nvar defaults = require('../defaults');\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nfunction throwIfCancellationRequested(config) {\n if (config.cancelToken) {\n config.cancelToken.throwIfRequested();\n }\n}\n\n/**\n * Dispatch a request to the server using the configured adapter.\n *\n * @param {object} config The config that is to be used for the request\n * @returns {Promise} The Promise to be fulfilled\n */\nmodule.exports = function dispatchRequest(config) {\n throwIfCancellationRequested(config);\n\n // Ensure headers exist\n config.headers = config.headers || {};\n\n // Transform request data\n config.data = transformData(\n config.data,\n config.headers,\n config.transformRequest\n );\n\n // Flatten headers\n config.headers = utils.merge(\n config.headers.common || {},\n config.headers[config.method] || {},\n config.headers\n );\n\n utils.forEach(\n ['delete', 'get', 'head', 'post', 'put', 'patch', 'common'],\n function cleanHeaderConfig(method) {\n delete config.headers[method];\n }\n );\n\n var adapter = config.adapter || defaults.adapter;\n\n return adapter(config).then(function onAdapterResolution(response) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n response.data = transformData(\n response.data,\n response.headers,\n config.transformResponse\n );\n\n return response;\n }, function onAdapterRejection(reason) {\n if (!isCancel(reason)) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n if (reason && reason.response) {\n reason.response.data = transformData(\n reason.response.data,\n reason.response.headers,\n config.transformResponse\n );\n }\n }\n\n return Promise.reject(reason);\n });\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n/**\n * Transform the data for a request or a response\n *\n * @param {Object|String} data The data to be transformed\n * @param {Array} headers The headers for the request or response\n * @param {Array|Function} fns A single function or Array of functions\n * @returns {*} The resulting transformed data\n */\nmodule.exports = function transformData(data, headers, fns) {\n /*eslint no-param-reassign:0*/\n utils.forEach(fns, function transform(fn) {\n data = fn(data, headers);\n });\n\n return data;\n};\n","'use strict';\n\nvar utils = require('../utils');\n\nmodule.exports = function normalizeHeaderName(headers, normalizedName) {\n utils.forEach(headers, function processHeader(value, name) {\n if (name !== normalizedName && name.toUpperCase() === normalizedName.toUpperCase()) {\n headers[normalizedName] = value;\n delete headers[name];\n }\n });\n};\n","'use strict';\n\nvar createError = require('./createError');\n\n/**\n * Resolve or reject a Promise based on response status.\n *\n * @param {Function} resolve A function that resolves the promise.\n * @param {Function} reject A function that rejects the promise.\n * @param {object} response The response.\n */\nmodule.exports = function settle(resolve, reject, response) {\n var validateStatus = response.config.validateStatus;\n if (!validateStatus || validateStatus(response.status)) {\n resolve(response);\n } else {\n reject(createError(\n 'Request failed with status code ' + response.status,\n response.config,\n null,\n response.request,\n response\n ));\n }\n};\n","'use strict';\n\n/**\n * Update an Error with the specified config, error code, and response.\n *\n * @param {Error} error The error to update.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The error.\n */\nmodule.exports = function enhanceError(error, config, code, request, response) {\n error.config = config;\n if (code) {\n error.code = code;\n }\n\n error.request = request;\n error.response = response;\n error.isAxiosError = true;\n\n error.toJSON = function() {\n return {\n // Standard\n message: this.message,\n name: this.name,\n // Microsoft\n description: this.description,\n number: this.number,\n // Mozilla\n fileName: this.fileName,\n lineNumber: this.lineNumber,\n columnNumber: this.columnNumber,\n stack: this.stack,\n // Axios\n config: this.config,\n code: this.code\n };\n };\n return error;\n};\n","'use strict';\n\nvar isAbsoluteURL = require('../helpers/isAbsoluteURL');\nvar combineURLs = require('../helpers/combineURLs');\n\n/**\n * Creates a new URL by combining the baseURL with the requestedURL,\n * only when the requestedURL is not already an absolute URL.\n * If the requestURL is absolute, this function returns the requestedURL untouched.\n *\n * @param {string} baseURL The base URL\n * @param {string} requestedURL Absolute or relative URL to combine\n * @returns {string} The combined full path\n */\nmodule.exports = function buildFullPath(baseURL, requestedURL) {\n if (baseURL && !isAbsoluteURL(requestedURL)) {\n return combineURLs(baseURL, requestedURL);\n }\n return requestedURL;\n};\n","'use strict';\n\n/**\n * Determines whether the specified URL is absolute\n *\n * @param {string} url The URL to test\n * @returns {boolean} True if the specified URL is absolute, otherwise false\n */\nmodule.exports = function isAbsoluteURL(url) {\n // A URL is considered absolute if it begins with \"<scheme>://\" or \"//\" (protocol-relative URL).\n // RFC 3986 defines scheme name as a sequence of characters beginning with a letter and followed\n // by any combination of letters, digits, plus, period, or hyphen.\n return /^([a-z][a-z\\d\\+\\-\\.]*:)?\\/\\//i.test(url);\n};\n","'use strict';\n\n/**\n * Creates a new URL by combining the specified URLs\n *\n * @param {string} baseURL The base URL\n * @param {string} relativeURL The relative URL\n * @returns {string} The combined URL\n */\nmodule.exports = function combineURLs(baseURL, relativeURL) {\n return relativeURL\n ? baseURL.replace(/\\/+$/, '') + '/' + relativeURL.replace(/^\\/+/, '')\n : baseURL;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n// Headers whose duplicates are ignored by node\n// c.f. https://nodejs.org/api/http.html#http_message_headers\nvar ignoreDuplicateOf = [\n 'age', 'authorization', 'content-length', 'content-type', 'etag',\n 'expires', 'from', 'host', 'if-modified-since', 'if-unmodified-since',\n 'last-modified', 'location', 'max-forwards', 'proxy-authorization',\n 'referer', 'retry-after', 'user-agent'\n];\n\n/**\n * Parse headers into an object\n *\n * ```\n * Date: Wed, 27 Aug 2014 08:58:49 GMT\n * Content-Type: application/json\n * Connection: keep-alive\n * Transfer-Encoding: chunked\n * ```\n *\n * @param {String} headers Headers needing to be parsed\n * @returns {Object} Headers parsed into an object\n */\nmodule.exports = function parseHeaders(headers) {\n var parsed = {};\n var key;\n var val;\n var i;\n\n if (!headers) { return parsed; }\n\n utils.forEach(headers.split('\\n'), function parser(line) {\n i = line.indexOf(':');\n key = utils.trim(line.substr(0, i)).toLowerCase();\n val = utils.trim(line.substr(i + 1));\n\n if (key) {\n if (parsed[key] && ignoreDuplicateOf.indexOf(key) >= 0) {\n return;\n }\n if (key === 'set-cookie') {\n parsed[key] = (parsed[key] ? parsed[key] : []).concat([val]);\n } else {\n parsed[key] = parsed[key] ? parsed[key] + ', ' + val : val;\n }\n }\n });\n\n return parsed;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs have full support of the APIs needed to test\n // whether the request URL is of the same origin as current location.\n (function standardBrowserEnv() {\n var msie = /(msie|trident)/i.test(navigator.userAgent);\n var urlParsingNode = document.createElement('a');\n var originURL;\n\n /**\n * Parse a URL to discover it's components\n *\n * @param {String} url The URL to be parsed\n * @returns {Object}\n */\n function resolveURL(url) {\n var href = url;\n\n if (msie) {\n // IE needs attribute set twice to normalize properties\n urlParsingNode.setAttribute('href', href);\n href = urlParsingNode.href;\n }\n\n urlParsingNode.setAttribute('href', href);\n\n // urlParsingNode provides the UrlUtils interface - http://url.spec.whatwg.org/#urlutils\n return {\n href: urlParsingNode.href,\n protocol: urlParsingNode.protocol ? urlParsingNode.protocol.replace(/:$/, '') : '',\n host: urlParsingNode.host,\n search: urlParsingNode.search ? urlParsingNode.search.replace(/^\\?/, '') : '',\n hash: urlParsingNode.hash ? urlParsingNode.hash.replace(/^#/, '') : '',\n hostname: urlParsingNode.hostname,\n port: urlParsingNode.port,\n pathname: (urlParsingNode.pathname.charAt(0) === '/') ?\n urlParsingNode.pathname :\n '/' + urlParsingNode.pathname\n };\n }\n\n originURL = resolveURL(window.location.href);\n\n /**\n * Determine if a URL shares the same origin as the current location\n *\n * @param {String} requestURL The URL to test\n * @returns {boolean} True if URL shares the same origin, otherwise false\n */\n return function isURLSameOrigin(requestURL) {\n var parsed = (utils.isString(requestURL)) ? resolveURL(requestURL) : requestURL;\n return (parsed.protocol === originURL.protocol &&\n parsed.host === originURL.host);\n };\n })() :\n\n // Non standard browser envs (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return function isURLSameOrigin() {\n return true;\n };\n })()\n);\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs support document.cookie\n (function standardBrowserEnv() {\n return {\n write: function write(name, value, expires, path, domain, secure) {\n var cookie = [];\n cookie.push(name + '=' + encodeURIComponent(value));\n\n if (utils.isNumber(expires)) {\n cookie.push('expires=' + new Date(expires).toGMTString());\n }\n\n if (utils.isString(path)) {\n cookie.push('path=' + path);\n }\n\n if (utils.isString(domain)) {\n cookie.push('domain=' + domain);\n }\n\n if (secure === true) {\n cookie.push('secure');\n }\n\n document.cookie = cookie.join('; ');\n },\n\n read: function read(name) {\n var match = document.cookie.match(new RegExp('(^|;\\\\s*)(' + name + ')=([^;]*)'));\n return (match ? decodeURIComponent(match[3]) : null);\n },\n\n remove: function remove(name) {\n this.write(name, '', Date.now() - 86400000);\n }\n };\n })() :\n\n // Non standard browser env (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return {\n write: function write() {},\n read: function read() { return null; },\n remove: function remove() {}\n };\n })()\n);\n","'use strict';\n\nvar Cancel = require('./Cancel');\n\n/**\n * A `CancelToken` is an object that can be used to request cancellation of an operation.\n *\n * @class\n * @param {Function} executor The executor function.\n */\nfunction CancelToken(executor) {\n if (typeof executor !== 'function') {\n throw new TypeError('executor must be a function.');\n }\n\n var resolvePromise;\n this.promise = new Promise(function promiseExecutor(resolve) {\n resolvePromise = resolve;\n });\n\n var token = this;\n executor(function cancel(message) {\n if (token.reason) {\n // Cancellation has already been requested\n return;\n }\n\n token.reason = new Cancel(message);\n resolvePromise(token.reason);\n });\n}\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nCancelToken.prototype.throwIfRequested = function throwIfRequested() {\n if (this.reason) {\n throw this.reason;\n }\n};\n\n/**\n * Returns an object that contains a new `CancelToken` and a function that, when called,\n * cancels the `CancelToken`.\n */\nCancelToken.source = function source() {\n var cancel;\n var token = new CancelToken(function executor(c) {\n cancel = c;\n });\n return {\n token: token,\n cancel: cancel\n };\n};\n\nmodule.exports = CancelToken;\n","'use strict';\n\n/**\n * Syntactic sugar for invoking a function and expanding an array for arguments.\n *\n * Common use case would be to use `Function.prototype.apply`.\n *\n * ```js\n * function f(x, y, z) {}\n * var args = [1, 2, 3];\n * f.apply(null, args);\n * ```\n *\n * With `spread` this example can be re-written.\n *\n * ```js\n * spread(function(x, y, z) {})([1, 2, 3]);\n * ```\n *\n * @param {Function} callback\n * @returns {Function}\n */\nmodule.exports = function spread(callback) {\n return function wrap(arr) {\n return callback.apply(null, arr);\n };\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRequestToken = getRequestToken;\nexports.onRequestTokenUpdate = onRequestTokenUpdate;\n\nvar _eventBus = require(\"@nextcloud/event-bus\");\n\nvar tokenElement = document.getElementsByTagName('head')[0];\nvar token = tokenElement ? tokenElement.getAttribute('data-requesttoken') : null;\nvar observers = [];\n\nfunction getRequestToken() {\n return token;\n}\n\nfunction onRequestTokenUpdate(observer) {\n observers.push(observer);\n} // Listen to server event and keep token in sync\n\n\n(0, _eventBus.subscribe)('csrf-token-update', function (e) {\n token = e.token;\n observers.forEach(function (observer) {\n try {\n observer(e.token);\n } catch (e) {\n console.error('error updating CSRF token observer', e);\n }\n });\n});\n//# sourceMappingURL=requesttoken.js.map","var NATIVE_SYMBOL = require('../internals/native-symbol');\n\nmodule.exports = NATIVE_SYMBOL\n // eslint-disable-next-line no-undef\n && !Symbol.sham\n // eslint-disable-next-line no-undef\n && typeof Symbol.iterator == 'symbol';\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.ProxyBus = void 0;\n\nvar _valid = _interopRequireDefault(require(\"semver/functions/valid\"));\n\nvar _major = _interopRequireDefault(require(\"semver/functions/major\"));\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar ProxyBus = /*#__PURE__*/function () {\n function ProxyBus(bus) {\n _classCallCheck(this, ProxyBus);\n\n _defineProperty(this, \"bus\", void 0);\n\n if (typeof bus.getVersion !== 'function' || !(0, _valid.default)(bus.getVersion())) {\n console.warn('Proxying an event bus with an unknown or invalid version');\n } else if ((0, _major.default)(bus.getVersion()) !== (0, _major.default)(this.getVersion())) {\n console.warn('Proxying an event bus of version ' + bus.getVersion() + ' with ' + this.getVersion());\n }\n\n this.bus = bus;\n }\n\n _createClass(ProxyBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.bus.subscribe(name, handler);\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.bus.unsubscribe(name, handler);\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n this.bus.emit(name, event);\n }\n }]);\n\n return ProxyBus;\n}();\n\nexports.ProxyBus = ProxyBus;\n//# sourceMappingURL=ProxyBus.js.map","const parse = require('./parse')\nconst valid = (version, options) => {\n const v = parse(version, options)\n return v ? v.version : null\n}\nmodule.exports = valid\n","const {MAX_LENGTH} = require('../internal/constants')\nconst { re, t } = require('../internal/re')\nconst SemVer = require('../classes/semver')\n\nconst parse = (version, options) => {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n\n if (version instanceof SemVer) {\n return version\n }\n\n if (typeof version !== 'string') {\n return null\n }\n\n if (version.length > MAX_LENGTH) {\n return null\n }\n\n const r = options.loose ? re[t.LOOSE] : re[t.FULL]\n if (!r.test(version)) {\n return null\n }\n\n try {\n return new SemVer(version, options)\n } catch (er) {\n return null\n }\n}\n\nmodule.exports = parse\n","const numeric = /^[0-9]+$/\nconst compareIdentifiers = (a, b) => {\n const anum = numeric.test(a)\n const bnum = numeric.test(b)\n\n if (anum && bnum) {\n a = +a\n b = +b\n }\n\n return a === b ? 0\n : (anum && !bnum) ? -1\n : (bnum && !anum) ? 1\n : a < b ? -1\n : 1\n}\n\nconst rcompareIdentifiers = (a, b) => compareIdentifiers(b, a)\n\nmodule.exports = {\n compareIdentifiers,\n rcompareIdentifiers\n}\n","const SemVer = require('../classes/semver')\nconst major = (a, loose) => new SemVer(a, loose).major\nmodule.exports = major\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.concat\");\n\nrequire(\"core-js/modules/es.array.filter\");\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nrequire(\"core-js/modules/es.array.iterator\");\n\nrequire(\"core-js/modules/es.map\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.string.iterator\");\n\nrequire(\"core-js/modules/web.dom-collections.for-each\");\n\nrequire(\"core-js/modules/web.dom-collections.iterator\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.SimpleBus = void 0;\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar SimpleBus = /*#__PURE__*/function () {\n function SimpleBus() {\n _classCallCheck(this, SimpleBus);\n\n _defineProperty(this, \"handlers\", new Map());\n }\n\n _createClass(SimpleBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).concat(handler));\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).filter(function (h) {\n return h != handler;\n }));\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n (this.handlers.get(name) || []).forEach(function (h) {\n try {\n h(event);\n } catch (e) {\n console.error('could not invoke event listener', e);\n }\n });\n }\n }]);\n\n return SimpleBus;\n}();\n\nexports.SimpleBus = SimpleBus;\n//# sourceMappingURL=SimpleBus.js.map","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar create = require('../internals/object-create');\nvar definePropertyModule = require('../internals/object-define-property');\n\nvar UNSCOPABLES = wellKnownSymbol('unscopables');\nvar ArrayPrototype = Array.prototype;\n\n// Array.prototype[@@unscopables]\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\nif (ArrayPrototype[UNSCOPABLES] == undefined) {\n definePropertyModule.f(ArrayPrototype, UNSCOPABLES, {\n configurable: true,\n value: create(null)\n });\n}\n\n// add a key to Array.prototype[@@unscopables]\nmodule.exports = function (key) {\n ArrayPrototype[UNSCOPABLES][key] = true;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n function F() { /* empty */ }\n F.prototype.constructor = null;\n return Object.getPrototypeOf(new F()) !== F.prototype;\n});\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it) && it !== null) {\n throw TypeError(\"Can't set \" + String(it) + ' as a prototype');\n } return it;\n};\n","'use strict';\nvar collection = require('../internals/collection');\nvar collectionStrong = require('../internals/collection-strong');\n\n// `Map` constructor\n// https://tc39.github.io/ecma262/#sec-map-objects\nmodule.exports = collection('Map', function (init) {\n return function Map() { return init(this, arguments.length ? arguments[0] : undefined); };\n}, collectionStrong);\n","'use strict';\nvar $ = require('../internals/export');\nvar global = require('../internals/global');\nvar isForced = require('../internals/is-forced');\nvar redefine = require('../internals/redefine');\nvar InternalMetadataModule = require('../internals/internal-metadata');\nvar iterate = require('../internals/iterate');\nvar anInstance = require('../internals/an-instance');\nvar isObject = require('../internals/is-object');\nvar fails = require('../internals/fails');\nvar checkCorrectnessOfIteration = require('../internals/check-correctness-of-iteration');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar inheritIfRequired = require('../internals/inherit-if-required');\n\nmodule.exports = function (CONSTRUCTOR_NAME, wrapper, common) {\n var IS_MAP = CONSTRUCTOR_NAME.indexOf('Map') !== -1;\n var IS_WEAK = CONSTRUCTOR_NAME.indexOf('Weak') !== -1;\n var ADDER = IS_MAP ? 'set' : 'add';\n var NativeConstructor = global[CONSTRUCTOR_NAME];\n var NativePrototype = NativeConstructor && NativeConstructor.prototype;\n var Constructor = NativeConstructor;\n var exported = {};\n\n var fixMethod = function (KEY) {\n var nativeMethod = NativePrototype[KEY];\n redefine(NativePrototype, KEY,\n KEY == 'add' ? function add(value) {\n nativeMethod.call(this, value === 0 ? 0 : value);\n return this;\n } : KEY == 'delete' ? function (key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'get' ? function get(key) {\n return IS_WEAK && !isObject(key) ? undefined : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'has' ? function has(key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : function set(key, value) {\n nativeMethod.call(this, key === 0 ? 0 : key, value);\n return this;\n }\n );\n };\n\n // eslint-disable-next-line max-len\n if (isForced(CONSTRUCTOR_NAME, typeof NativeConstructor != 'function' || !(IS_WEAK || NativePrototype.forEach && !fails(function () {\n new NativeConstructor().entries().next();\n })))) {\n // create collection constructor\n Constructor = common.getConstructor(wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER);\n InternalMetadataModule.REQUIRED = true;\n } else if (isForced(CONSTRUCTOR_NAME, true)) {\n var instance = new Constructor();\n // early implementations not supports chaining\n var HASNT_CHAINING = instance[ADDER](IS_WEAK ? {} : -0, 1) != instance;\n // V8 ~ Chromium 40- weak-collections throws on primitives, but should return false\n var THROWS_ON_PRIMITIVES = fails(function () { instance.has(1); });\n // most early implementations doesn't supports iterables, most modern - not close it correctly\n // eslint-disable-next-line no-new\n var ACCEPT_ITERABLES = checkCorrectnessOfIteration(function (iterable) { new NativeConstructor(iterable); });\n // for early implementations -0 and +0 not the same\n var BUGGY_ZERO = !IS_WEAK && fails(function () {\n // V8 ~ Chromium 42- fails only with 5+ elements\n var $instance = new NativeConstructor();\n var index = 5;\n while (index--) $instance[ADDER](index, index);\n return !$instance.has(-0);\n });\n\n if (!ACCEPT_ITERABLES) {\n Constructor = wrapper(function (dummy, iterable) {\n anInstance(dummy, Constructor, CONSTRUCTOR_NAME);\n var that = inheritIfRequired(new NativeConstructor(), dummy, Constructor);\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n return that;\n });\n Constructor.prototype = NativePrototype;\n NativePrototype.constructor = Constructor;\n }\n\n if (THROWS_ON_PRIMITIVES || BUGGY_ZERO) {\n fixMethod('delete');\n fixMethod('has');\n IS_MAP && fixMethod('get');\n }\n\n if (BUGGY_ZERO || HASNT_CHAINING) fixMethod(ADDER);\n\n // weak collections should not contains .clear method\n if (IS_WEAK && NativePrototype.clear) delete NativePrototype.clear;\n }\n\n exported[CONSTRUCTOR_NAME] = Constructor;\n $({ global: true, forced: Constructor != NativeConstructor }, exported);\n\n setToStringTag(Constructor, CONSTRUCTOR_NAME);\n\n if (!IS_WEAK) common.setStrong(Constructor, CONSTRUCTOR_NAME, IS_MAP);\n\n return Constructor;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n return Object.isExtensible(Object.preventExtensions({}));\n});\n","'use strict';\nvar defineProperty = require('../internals/object-define-property').f;\nvar create = require('../internals/object-create');\nvar redefineAll = require('../internals/redefine-all');\nvar bind = require('../internals/function-bind-context');\nvar anInstance = require('../internals/an-instance');\nvar iterate = require('../internals/iterate');\nvar defineIterator = require('../internals/define-iterator');\nvar setSpecies = require('../internals/set-species');\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fastKey = require('../internals/internal-metadata').fastKey;\nvar InternalStateModule = require('../internals/internal-state');\n\nvar setInternalState = InternalStateModule.set;\nvar internalStateGetterFor = InternalStateModule.getterFor;\n\nmodule.exports = {\n getConstructor: function (wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER) {\n var C = wrapper(function (that, iterable) {\n anInstance(that, C, CONSTRUCTOR_NAME);\n setInternalState(that, {\n type: CONSTRUCTOR_NAME,\n index: create(null),\n first: undefined,\n last: undefined,\n size: 0\n });\n if (!DESCRIPTORS) that.size = 0;\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n });\n\n var getInternalState = internalStateGetterFor(CONSTRUCTOR_NAME);\n\n var define = function (that, key, value) {\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n var previous, index;\n // change existing entry\n if (entry) {\n entry.value = value;\n // create new entry\n } else {\n state.last = entry = {\n index: index = fastKey(key, true),\n key: key,\n value: value,\n previous: previous = state.last,\n next: undefined,\n removed: false\n };\n if (!state.first) state.first = entry;\n if (previous) previous.next = entry;\n if (DESCRIPTORS) state.size++;\n else that.size++;\n // add to index\n if (index !== 'F') state.index[index] = entry;\n } return that;\n };\n\n var getEntry = function (that, key) {\n var state = getInternalState(that);\n // fast case\n var index = fastKey(key);\n var entry;\n if (index !== 'F') return state.index[index];\n // frozen object case\n for (entry = state.first; entry; entry = entry.next) {\n if (entry.key == key) return entry;\n }\n };\n\n redefineAll(C.prototype, {\n // 23.1.3.1 Map.prototype.clear()\n // 23.2.3.2 Set.prototype.clear()\n clear: function clear() {\n var that = this;\n var state = getInternalState(that);\n var data = state.index;\n var entry = state.first;\n while (entry) {\n entry.removed = true;\n if (entry.previous) entry.previous = entry.previous.next = undefined;\n delete data[entry.index];\n entry = entry.next;\n }\n state.first = state.last = undefined;\n if (DESCRIPTORS) state.size = 0;\n else that.size = 0;\n },\n // 23.1.3.3 Map.prototype.delete(key)\n // 23.2.3.4 Set.prototype.delete(value)\n 'delete': function (key) {\n var that = this;\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n if (entry) {\n var next = entry.next;\n var prev = entry.previous;\n delete state.index[entry.index];\n entry.removed = true;\n if (prev) prev.next = next;\n if (next) next.previous = prev;\n if (state.first == entry) state.first = next;\n if (state.last == entry) state.last = prev;\n if (DESCRIPTORS) state.size--;\n else that.size--;\n } return !!entry;\n },\n // 23.2.3.6 Set.prototype.forEach(callbackfn, thisArg = undefined)\n // 23.1.3.5 Map.prototype.forEach(callbackfn, thisArg = undefined)\n forEach: function forEach(callbackfn /* , that = undefined */) {\n var state = getInternalState(this);\n var boundFunction = bind(callbackfn, arguments.length > 1 ? arguments[1] : undefined, 3);\n var entry;\n while (entry = entry ? entry.next : state.first) {\n boundFunction(entry.value, entry.key, this);\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n }\n },\n // 23.1.3.7 Map.prototype.has(key)\n // 23.2.3.7 Set.prototype.has(value)\n has: function has(key) {\n return !!getEntry(this, key);\n }\n });\n\n redefineAll(C.prototype, IS_MAP ? {\n // 23.1.3.6 Map.prototype.get(key)\n get: function get(key) {\n var entry = getEntry(this, key);\n return entry && entry.value;\n },\n // 23.1.3.9 Map.prototype.set(key, value)\n set: function set(key, value) {\n return define(this, key === 0 ? 0 : key, value);\n }\n } : {\n // 23.2.3.1 Set.prototype.add(value)\n add: function add(value) {\n return define(this, value = value === 0 ? 0 : value, value);\n }\n });\n if (DESCRIPTORS) defineProperty(C.prototype, 'size', {\n get: function () {\n return getInternalState(this).size;\n }\n });\n return C;\n },\n setStrong: function (C, CONSTRUCTOR_NAME, IS_MAP) {\n var ITERATOR_NAME = CONSTRUCTOR_NAME + ' Iterator';\n var getInternalCollectionState = internalStateGetterFor(CONSTRUCTOR_NAME);\n var getInternalIteratorState = internalStateGetterFor(ITERATOR_NAME);\n // add .keys, .values, .entries, [@@iterator]\n // 23.1.3.4, 23.1.3.8, 23.1.3.11, 23.1.3.12, 23.2.3.5, 23.2.3.8, 23.2.3.10, 23.2.3.11\n defineIterator(C, CONSTRUCTOR_NAME, function (iterated, kind) {\n setInternalState(this, {\n type: ITERATOR_NAME,\n target: iterated,\n state: getInternalCollectionState(iterated),\n kind: kind,\n last: undefined\n });\n }, function () {\n var state = getInternalIteratorState(this);\n var kind = state.kind;\n var entry = state.last;\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n // get next entry\n if (!state.target || !(state.last = entry = entry ? entry.next : state.state.first)) {\n // or finish the iteration\n state.target = undefined;\n return { value: undefined, done: true };\n }\n // return step by kind\n if (kind == 'keys') return { value: entry.key, done: false };\n if (kind == 'values') return { value: entry.value, done: false };\n return { value: [entry.key, entry.value], done: false };\n }, IS_MAP ? 'entries' : 'values', !IS_MAP, true);\n\n // add [@@species], 23.1.2.2, 23.2.2.2\n setSpecies(CONSTRUCTOR_NAME);\n }\n};\n","'use strict';\nvar TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classof = require('../internals/classof');\n\n// `Object.prototype.toString` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nmodule.exports = TO_STRING_TAG_SUPPORT ? {}.toString : function toString() {\n return '[object ' + classof(this) + ']';\n};\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar forEach = require('../internals/array-for-each');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype && CollectionPrototype.forEach !== forEach) try {\n createNonEnumerableProperty(CollectionPrototype, 'forEach', forEach);\n } catch (error) {\n CollectionPrototype.forEach = forEach;\n }\n}\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getCurrentUser = getCurrentUser;\nvar uidElement = document.getElementsByTagName('head')[0];\nvar uid = uidElement ? uidElement.getAttribute('data-user') : null;\nvar displayNameElement = document.getElementsByTagName('head')[0];\nvar displayName = displayNameElement ? displayNameElement.getAttribute('data-user-displayname') : null;\n\nfunction getCurrentUser() {\n if (uid === null) {\n return null;\n }\n\n return {\n uid: uid,\n displayName: displayName\n };\n}\n//# sourceMappingURL=user.js.map","'use strict';\n\nvar fails = require('./fails');\n\n// babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError,\n// so we use an intermediate function.\nfunction RE(s, f) {\n return RegExp(s, f);\n}\n\nexports.UNSUPPORTED_Y = fails(function () {\n // babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError\n var re = RE('a', 'y');\n re.lastIndex = 2;\n return re.exec('abcd') != null;\n});\n\nexports.BROKEN_CARET = fails(function () {\n // https://bugzilla.mozilla.org/show_bug.cgi?id=773687\n var re = RE('^r', 'gy');\n re.lastIndex = 2;\n return re.exec('str') != null;\n});\n","//! moment.js\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined' ? module.exports = factory() :\n typeof define === 'function' && define.amd ? define(factory) :\n global.moment = factory()\n}(this, (function () { 'use strict';\n\n var hookCallback;\n\n function hooks () {\n return hookCallback.apply(null, arguments);\n }\n\n // This is done to register the method called with moment()\n // without creating circular dependencies.\n function setHookCallback (callback) {\n hookCallback = callback;\n }\n\n function isArray(input) {\n return input instanceof Array || Object.prototype.toString.call(input) === '[object Array]';\n }\n\n function isObject(input) {\n // IE8 will treat undefined and null as object if it wasn't for\n // input != null\n return input != null && Object.prototype.toString.call(input) === '[object Object]';\n }\n\n function isObjectEmpty(obj) {\n if (Object.getOwnPropertyNames) {\n return (Object.getOwnPropertyNames(obj).length === 0);\n } else {\n var k;\n for (k in obj) {\n if (obj.hasOwnProperty(k)) {\n return false;\n }\n }\n return true;\n }\n }\n\n function isUndefined(input) {\n return input === void 0;\n }\n\n function isNumber(input) {\n return typeof input === 'number' || Object.prototype.toString.call(input) === '[object Number]';\n }\n\n function isDate(input) {\n return input instanceof Date || Object.prototype.toString.call(input) === '[object Date]';\n }\n\n function map(arr, fn) {\n var res = [], i;\n for (i = 0; i < arr.length; ++i) {\n res.push(fn(arr[i], i));\n }\n return res;\n }\n\n function hasOwnProp(a, b) {\n return Object.prototype.hasOwnProperty.call(a, b);\n }\n\n function extend(a, b) {\n for (var i in b) {\n if (hasOwnProp(b, i)) {\n a[i] = b[i];\n }\n }\n\n if (hasOwnProp(b, 'toString')) {\n a.toString = b.toString;\n }\n\n if (hasOwnProp(b, 'valueOf')) {\n a.valueOf = b.valueOf;\n }\n\n return a;\n }\n\n function createUTC (input, format, locale, strict) {\n return createLocalOrUTC(input, format, locale, strict, true).utc();\n }\n\n function defaultParsingFlags() {\n // We need to deep clone this object.\n return {\n empty : false,\n unusedTokens : [],\n unusedInput : [],\n overflow : -2,\n charsLeftOver : 0,\n nullInput : false,\n invalidMonth : null,\n invalidFormat : false,\n userInvalidated : false,\n iso : false,\n parsedDateParts : [],\n meridiem : null,\n rfc2822 : false,\n weekdayMismatch : false\n };\n }\n\n function getParsingFlags(m) {\n if (m._pf == null) {\n m._pf = defaultParsingFlags();\n }\n return m._pf;\n }\n\n var some;\n if (Array.prototype.some) {\n some = Array.prototype.some;\n } else {\n some = function (fun) {\n var t = Object(this);\n var len = t.length >>> 0;\n\n for (var i = 0; i < len; i++) {\n if (i in t && fun.call(this, t[i], i, t)) {\n return true;\n }\n }\n\n return false;\n };\n }\n\n function isValid(m) {\n if (m._isValid == null) {\n var flags = getParsingFlags(m);\n var parsedParts = some.call(flags.parsedDateParts, function (i) {\n return i != null;\n });\n var isNowValid = !isNaN(m._d.getTime()) &&\n flags.overflow < 0 &&\n !flags.empty &&\n !flags.invalidMonth &&\n !flags.invalidWeekday &&\n !flags.weekdayMismatch &&\n !flags.nullInput &&\n !flags.invalidFormat &&\n !flags.userInvalidated &&\n (!flags.meridiem || (flags.meridiem && parsedParts));\n\n if (m._strict) {\n isNowValid = isNowValid &&\n flags.charsLeftOver === 0 &&\n flags.unusedTokens.length === 0 &&\n flags.bigHour === undefined;\n }\n\n if (Object.isFrozen == null || !Object.isFrozen(m)) {\n m._isValid = isNowValid;\n }\n else {\n return isNowValid;\n }\n }\n return m._isValid;\n }\n\n function createInvalid (flags) {\n var m = createUTC(NaN);\n if (flags != null) {\n extend(getParsingFlags(m), flags);\n }\n else {\n getParsingFlags(m).userInvalidated = true;\n }\n\n return m;\n }\n\n // Plugins that add properties should also add the key here (null value),\n // so we can properly clone ourselves.\n var momentProperties = hooks.momentProperties = [];\n\n function copyConfig(to, from) {\n var i, prop, val;\n\n if (!isUndefined(from._isAMomentObject)) {\n to._isAMomentObject = from._isAMomentObject;\n }\n if (!isUndefined(from._i)) {\n to._i = from._i;\n }\n if (!isUndefined(from._f)) {\n to._f = from._f;\n }\n if (!isUndefined(from._l)) {\n to._l = from._l;\n }\n if (!isUndefined(from._strict)) {\n to._strict = from._strict;\n }\n if (!isUndefined(from._tzm)) {\n to._tzm = from._tzm;\n }\n if (!isUndefined(from._isUTC)) {\n to._isUTC = from._isUTC;\n }\n if (!isUndefined(from._offset)) {\n to._offset = from._offset;\n }\n if (!isUndefined(from._pf)) {\n to._pf = getParsingFlags(from);\n }\n if (!isUndefined(from._locale)) {\n to._locale = from._locale;\n }\n\n if (momentProperties.length > 0) {\n for (i = 0; i < momentProperties.length; i++) {\n prop = momentProperties[i];\n val = from[prop];\n if (!isUndefined(val)) {\n to[prop] = val;\n }\n }\n }\n\n return to;\n }\n\n var updateInProgress = false;\n\n // Moment prototype object\n function Moment(config) {\n copyConfig(this, config);\n this._d = new Date(config._d != null ? config._d.getTime() : NaN);\n if (!this.isValid()) {\n this._d = new Date(NaN);\n }\n // Prevent infinite loop in case updateOffset creates new moment\n // objects.\n if (updateInProgress === false) {\n updateInProgress = true;\n hooks.updateOffset(this);\n updateInProgress = false;\n }\n }\n\n function isMoment (obj) {\n return obj instanceof Moment || (obj != null && obj._isAMomentObject != null);\n }\n\n function absFloor (number) {\n if (number < 0) {\n // -0 -> 0\n return Math.ceil(number) || 0;\n } else {\n return Math.floor(number);\n }\n }\n\n function toInt(argumentForCoercion) {\n var coercedNumber = +argumentForCoercion,\n value = 0;\n\n if (coercedNumber !== 0 && isFinite(coercedNumber)) {\n value = absFloor(coercedNumber);\n }\n\n return value;\n }\n\n // compare two arrays, return the number of differences\n function compareArrays(array1, array2, dontConvert) {\n var len = Math.min(array1.length, array2.length),\n lengthDiff = Math.abs(array1.length - array2.length),\n diffs = 0,\n i;\n for (i = 0; i < len; i++) {\n if ((dontConvert && array1[i] !== array2[i]) ||\n (!dontConvert && toInt(array1[i]) !== toInt(array2[i]))) {\n diffs++;\n }\n }\n return diffs + lengthDiff;\n }\n\n function warn(msg) {\n if (hooks.suppressDeprecationWarnings === false &&\n (typeof console !== 'undefined') && console.warn) {\n console.warn('Deprecation warning: ' + msg);\n }\n }\n\n function deprecate(msg, fn) {\n var firstTime = true;\n\n return extend(function () {\n if (hooks.deprecationHandler != null) {\n hooks.deprecationHandler(null, msg);\n }\n if (firstTime) {\n var args = [];\n var arg;\n for (var i = 0; i < arguments.length; i++) {\n arg = '';\n if (typeof arguments[i] === 'object') {\n arg += '\\n[' + i + '] ';\n for (var key in arguments[0]) {\n arg += key + ': ' + arguments[0][key] + ', ';\n }\n arg = arg.slice(0, -2); // Remove trailing comma and space\n } else {\n arg = arguments[i];\n }\n args.push(arg);\n }\n warn(msg + '\\nArguments: ' + Array.prototype.slice.call(args).join('') + '\\n' + (new Error()).stack);\n firstTime = false;\n }\n return fn.apply(this, arguments);\n }, fn);\n }\n\n var deprecations = {};\n\n function deprecateSimple(name, msg) {\n if (hooks.deprecationHandler != null) {\n hooks.deprecationHandler(name, msg);\n }\n if (!deprecations[name]) {\n warn(msg);\n deprecations[name] = true;\n }\n }\n\n hooks.suppressDeprecationWarnings = false;\n hooks.deprecationHandler = null;\n\n function isFunction(input) {\n return input instanceof Function || Object.prototype.toString.call(input) === '[object Function]';\n }\n\n function set (config) {\n var prop, i;\n for (i in config) {\n prop = config[i];\n if (isFunction(prop)) {\n this[i] = prop;\n } else {\n this['_' + i] = prop;\n }\n }\n this._config = config;\n // Lenient ordinal parsing accepts just a number in addition to\n // number + (possibly) stuff coming from _dayOfMonthOrdinalParse.\n // TODO: Remove \"ordinalParse\" fallback in next major release.\n this._dayOfMonthOrdinalParseLenient = new RegExp(\n (this._dayOfMonthOrdinalParse.source || this._ordinalParse.source) +\n '|' + (/\\d{1,2}/).source);\n }\n\n function mergeConfigs(parentConfig, childConfig) {\n var res = extend({}, parentConfig), prop;\n for (prop in childConfig) {\n if (hasOwnProp(childConfig, prop)) {\n if (isObject(parentConfig[prop]) && isObject(childConfig[prop])) {\n res[prop] = {};\n extend(res[prop], parentConfig[prop]);\n extend(res[prop], childConfig[prop]);\n } else if (childConfig[prop] != null) {\n res[prop] = childConfig[prop];\n } else {\n delete res[prop];\n }\n }\n }\n for (prop in parentConfig) {\n if (hasOwnProp(parentConfig, prop) &&\n !hasOwnProp(childConfig, prop) &&\n isObject(parentConfig[prop])) {\n // make sure changes to properties don't modify parent config\n res[prop] = extend({}, res[prop]);\n }\n }\n return res;\n }\n\n function Locale(config) {\n if (config != null) {\n this.set(config);\n }\n }\n\n var keys;\n\n if (Object.keys) {\n keys = Object.keys;\n } else {\n keys = function (obj) {\n var i, res = [];\n for (i in obj) {\n if (hasOwnProp(obj, i)) {\n res.push(i);\n }\n }\n return res;\n };\n }\n\n var defaultCalendar = {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n };\n\n function calendar (key, mom, now) {\n var output = this._calendar[key] || this._calendar['sameElse'];\n return isFunction(output) ? output.call(mom, now) : output;\n }\n\n var defaultLongDateFormat = {\n LTS : 'h:mm:ss A',\n LT : 'h:mm A',\n L : 'MM/DD/YYYY',\n LL : 'MMMM D, YYYY',\n LLL : 'MMMM D, YYYY h:mm A',\n LLLL : 'dddd, MMMM D, YYYY h:mm A'\n };\n\n function longDateFormat (key) {\n var format = this._longDateFormat[key],\n formatUpper = this._longDateFormat[key.toUpperCase()];\n\n if (format || !formatUpper) {\n return format;\n }\n\n this._longDateFormat[key] = formatUpper.replace(/MMMM|MM|DD|dddd/g, function (val) {\n return val.slice(1);\n });\n\n return this._longDateFormat[key];\n }\n\n var defaultInvalidDate = 'Invalid date';\n\n function invalidDate () {\n return this._invalidDate;\n }\n\n var defaultOrdinal = '%d';\n var defaultDayOfMonthOrdinalParse = /\\d{1,2}/;\n\n function ordinal (number) {\n return this._ordinal.replace('%d', number);\n }\n\n var defaultRelativeTime = {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n };\n\n function relativeTime (number, withoutSuffix, string, isFuture) {\n var output = this._relativeTime[string];\n return (isFunction(output)) ?\n output(number, withoutSuffix, string, isFuture) :\n output.replace(/%d/i, number);\n }\n\n function pastFuture (diff, output) {\n var format = this._relativeTime[diff > 0 ? 'future' : 'past'];\n return isFunction(format) ? format(output) : format.replace(/%s/i, output);\n }\n\n var aliases = {};\n\n function addUnitAlias (unit, shorthand) {\n var lowerCase = unit.toLowerCase();\n aliases[lowerCase] = aliases[lowerCase + 's'] = aliases[shorthand] = unit;\n }\n\n function normalizeUnits(units) {\n return typeof units === 'string' ? aliases[units] || aliases[units.toLowerCase()] : undefined;\n }\n\n function normalizeObjectUnits(inputObject) {\n var normalizedInput = {},\n normalizedProp,\n prop;\n\n for (prop in inputObject) {\n if (hasOwnProp(inputObject, prop)) {\n normalizedProp = normalizeUnits(prop);\n if (normalizedProp) {\n normalizedInput[normalizedProp] = inputObject[prop];\n }\n }\n }\n\n return normalizedInput;\n }\n\n var priorities = {};\n\n function addUnitPriority(unit, priority) {\n priorities[unit] = priority;\n }\n\n function getPrioritizedUnits(unitsObj) {\n var units = [];\n for (var u in unitsObj) {\n units.push({unit: u, priority: priorities[u]});\n }\n units.sort(function (a, b) {\n return a.priority - b.priority;\n });\n return units;\n }\n\n function zeroFill(number, targetLength, forceSign) {\n var absNumber = '' + Math.abs(number),\n zerosToFill = targetLength - absNumber.length,\n sign = number >= 0;\n return (sign ? (forceSign ? '+' : '') : '-') +\n Math.pow(10, Math.max(0, zerosToFill)).toString().substr(1) + absNumber;\n }\n\n var formattingTokens = /(\\[[^\\[]*\\])|(\\\\)?([Hh]mm(ss)?|Mo|MM?M?M?|Do|DDDo|DD?D?D?|ddd?d?|do?|w[o|w]?|W[o|W]?|Qo?|YYYYYY|YYYYY|YYYY|YY|gg(ggg?)?|GG(GGG?)?|e|E|a|A|hh?|HH?|kk?|mm?|ss?|S{1,9}|x|X|zz?|ZZ?|.)/g;\n\n var localFormattingTokens = /(\\[[^\\[]*\\])|(\\\\)?(LTS|LT|LL?L?L?|l{1,4})/g;\n\n var formatFunctions = {};\n\n var formatTokenFunctions = {};\n\n // token: 'M'\n // padded: ['MM', 2]\n // ordinal: 'Mo'\n // callback: function () { this.month() + 1 }\n function addFormatToken (token, padded, ordinal, callback) {\n var func = callback;\n if (typeof callback === 'string') {\n func = function () {\n return this[callback]();\n };\n }\n if (token) {\n formatTokenFunctions[token] = func;\n }\n if (padded) {\n formatTokenFunctions[padded[0]] = function () {\n return zeroFill(func.apply(this, arguments), padded[1], padded[2]);\n };\n }\n if (ordinal) {\n formatTokenFunctions[ordinal] = function () {\n return this.localeData().ordinal(func.apply(this, arguments), token);\n };\n }\n }\n\n function removeFormattingTokens(input) {\n if (input.match(/\\[[\\s\\S]/)) {\n return input.replace(/^\\[|\\]$/g, '');\n }\n return input.replace(/\\\\/g, '');\n }\n\n function makeFormatFunction(format) {\n var array = format.match(formattingTokens), i, length;\n\n for (i = 0, length = array.length; i < length; i++) {\n if (formatTokenFunctions[array[i]]) {\n array[i] = formatTokenFunctions[array[i]];\n } else {\n array[i] = removeFormattingTokens(array[i]);\n }\n }\n\n return function (mom) {\n var output = '', i;\n for (i = 0; i < length; i++) {\n output += isFunction(array[i]) ? array[i].call(mom, format) : array[i];\n }\n return output;\n };\n }\n\n // format date using native date object\n function formatMoment(m, format) {\n if (!m.isValid()) {\n return m.localeData().invalidDate();\n }\n\n format = expandFormat(format, m.localeData());\n formatFunctions[format] = formatFunctions[format] || makeFormatFunction(format);\n\n return formatFunctions[format](m);\n }\n\n function expandFormat(format, locale) {\n var i = 5;\n\n function replaceLongDateFormatTokens(input) {\n return locale.longDateFormat(input) || input;\n }\n\n localFormattingTokens.lastIndex = 0;\n while (i >= 0 && localFormattingTokens.test(format)) {\n format = format.replace(localFormattingTokens, replaceLongDateFormatTokens);\n localFormattingTokens.lastIndex = 0;\n i -= 1;\n }\n\n return format;\n }\n\n var match1 = /\\d/; // 0 - 9\n var match2 = /\\d\\d/; // 00 - 99\n var match3 = /\\d{3}/; // 000 - 999\n var match4 = /\\d{4}/; // 0000 - 9999\n var match6 = /[+-]?\\d{6}/; // -999999 - 999999\n var match1to2 = /\\d\\d?/; // 0 - 99\n var match3to4 = /\\d\\d\\d\\d?/; // 999 - 9999\n var match5to6 = /\\d\\d\\d\\d\\d\\d?/; // 99999 - 999999\n var match1to3 = /\\d{1,3}/; // 0 - 999\n var match1to4 = /\\d{1,4}/; // 0 - 9999\n var match1to6 = /[+-]?\\d{1,6}/; // -999999 - 999999\n\n var matchUnsigned = /\\d+/; // 0 - inf\n var matchSigned = /[+-]?\\d+/; // -inf - inf\n\n var matchOffset = /Z|[+-]\\d\\d:?\\d\\d/gi; // +00:00 -00:00 +0000 -0000 or Z\n var matchShortOffset = /Z|[+-]\\d\\d(?::?\\d\\d)?/gi; // +00 -00 +00:00 -00:00 +0000 -0000 or Z\n\n var matchTimestamp = /[+-]?\\d+(\\.\\d{1,3})?/; // 123456789 123456789.123\n\n // any word (or two) characters or numbers including two/three word month in arabic.\n // includes scottish gaelic two word and hyphenated months\n var matchWord = /[0-9]{0,256}['a-z\\u00A0-\\u05FF\\u0700-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFF07\\uFF10-\\uFFEF]{1,256}|[\\u0600-\\u06FF\\/]{1,256}(\\s*?[\\u0600-\\u06FF]{1,256}){1,2}/i;\n\n var regexes = {};\n\n function addRegexToken (token, regex, strictRegex) {\n regexes[token] = isFunction(regex) ? regex : function (isStrict, localeData) {\n return (isStrict && strictRegex) ? strictRegex : regex;\n };\n }\n\n function getParseRegexForToken (token, config) {\n if (!hasOwnProp(regexes, token)) {\n return new RegExp(unescapeFormat(token));\n }\n\n return regexes[token](config._strict, config._locale);\n }\n\n // Code from http://stackoverflow.com/questions/3561493/is-there-a-regexp-escape-function-in-javascript\n function unescapeFormat(s) {\n return regexEscape(s.replace('\\\\', '').replace(/\\\\(\\[)|\\\\(\\])|\\[([^\\]\\[]*)\\]|\\\\(.)/g, function (matched, p1, p2, p3, p4) {\n return p1 || p2 || p3 || p4;\n }));\n }\n\n function regexEscape(s) {\n return s.replace(/[-\\/\\\\^$*+?.()|[\\]{}]/g, '\\\\$&');\n }\n\n var tokens = {};\n\n function addParseToken (token, callback) {\n var i, func = callback;\n if (typeof token === 'string') {\n token = [token];\n }\n if (isNumber(callback)) {\n func = function (input, array) {\n array[callback] = toInt(input);\n };\n }\n for (i = 0; i < token.length; i++) {\n tokens[token[i]] = func;\n }\n }\n\n function addWeekParseToken (token, callback) {\n addParseToken(token, function (input, array, config, token) {\n config._w = config._w || {};\n callback(input, config._w, config, token);\n });\n }\n\n function addTimeToArrayFromToken(token, input, config) {\n if (input != null && hasOwnProp(tokens, token)) {\n tokens[token](input, config._a, config, token);\n }\n }\n\n var YEAR = 0;\n var MONTH = 1;\n var DATE = 2;\n var HOUR = 3;\n var MINUTE = 4;\n var SECOND = 5;\n var MILLISECOND = 6;\n var WEEK = 7;\n var WEEKDAY = 8;\n\n // FORMATTING\n\n addFormatToken('Y', 0, 0, function () {\n var y = this.year();\n return y <= 9999 ? '' + y : '+' + y;\n });\n\n addFormatToken(0, ['YY', 2], 0, function () {\n return this.year() % 100;\n });\n\n addFormatToken(0, ['YYYY', 4], 0, 'year');\n addFormatToken(0, ['YYYYY', 5], 0, 'year');\n addFormatToken(0, ['YYYYYY', 6, true], 0, 'year');\n\n // ALIASES\n\n addUnitAlias('year', 'y');\n\n // PRIORITIES\n\n addUnitPriority('year', 1);\n\n // PARSING\n\n addRegexToken('Y', matchSigned);\n addRegexToken('YY', match1to2, match2);\n addRegexToken('YYYY', match1to4, match4);\n addRegexToken('YYYYY', match1to6, match6);\n addRegexToken('YYYYYY', match1to6, match6);\n\n addParseToken(['YYYYY', 'YYYYYY'], YEAR);\n addParseToken('YYYY', function (input, array) {\n array[YEAR] = input.length === 2 ? hooks.parseTwoDigitYear(input) : toInt(input);\n });\n addParseToken('YY', function (input, array) {\n array[YEAR] = hooks.parseTwoDigitYear(input);\n });\n addParseToken('Y', function (input, array) {\n array[YEAR] = parseInt(input, 10);\n });\n\n // HELPERS\n\n function daysInYear(year) {\n return isLeapYear(year) ? 366 : 365;\n }\n\n function isLeapYear(year) {\n return (year % 4 === 0 && year % 100 !== 0) || year % 400 === 0;\n }\n\n // HOOKS\n\n hooks.parseTwoDigitYear = function (input) {\n return toInt(input) + (toInt(input) > 68 ? 1900 : 2000);\n };\n\n // MOMENTS\n\n var getSetYear = makeGetSet('FullYear', true);\n\n function getIsLeapYear () {\n return isLeapYear(this.year());\n }\n\n function makeGetSet (unit, keepTime) {\n return function (value) {\n if (value != null) {\n set$1(this, unit, value);\n hooks.updateOffset(this, keepTime);\n return this;\n } else {\n return get(this, unit);\n }\n };\n }\n\n function get (mom, unit) {\n return mom.isValid() ?\n mom._d['get' + (mom._isUTC ? 'UTC' : '') + unit]() : NaN;\n }\n\n function set$1 (mom, unit, value) {\n if (mom.isValid() && !isNaN(value)) {\n if (unit === 'FullYear' && isLeapYear(mom.year()) && mom.month() === 1 && mom.date() === 29) {\n mom._d['set' + (mom._isUTC ? 'UTC' : '') + unit](value, mom.month(), daysInMonth(value, mom.month()));\n }\n else {\n mom._d['set' + (mom._isUTC ? 'UTC' : '') + unit](value);\n }\n }\n }\n\n // MOMENTS\n\n function stringGet (units) {\n units = normalizeUnits(units);\n if (isFunction(this[units])) {\n return this[units]();\n }\n return this;\n }\n\n\n function stringSet (units, value) {\n if (typeof units === 'object') {\n units = normalizeObjectUnits(units);\n var prioritized = getPrioritizedUnits(units);\n for (var i = 0; i < prioritized.length; i++) {\n this[prioritized[i].unit](units[prioritized[i].unit]);\n }\n } else {\n units = normalizeUnits(units);\n if (isFunction(this[units])) {\n return this[units](value);\n }\n }\n return this;\n }\n\n function mod(n, x) {\n return ((n % x) + x) % x;\n }\n\n var indexOf;\n\n if (Array.prototype.indexOf) {\n indexOf = Array.prototype.indexOf;\n } else {\n indexOf = function (o) {\n // I know\n var i;\n for (i = 0; i < this.length; ++i) {\n if (this[i] === o) {\n return i;\n }\n }\n return -1;\n };\n }\n\n function daysInMonth(year, month) {\n if (isNaN(year) || isNaN(month)) {\n return NaN;\n }\n var modMonth = mod(month, 12);\n year += (month - modMonth) / 12;\n return modMonth === 1 ? (isLeapYear(year) ? 29 : 28) : (31 - modMonth % 7 % 2);\n }\n\n // FORMATTING\n\n addFormatToken('M', ['MM', 2], 'Mo', function () {\n return this.month() + 1;\n });\n\n addFormatToken('MMM', 0, 0, function (format) {\n return this.localeData().monthsShort(this, format);\n });\n\n addFormatToken('MMMM', 0, 0, function (format) {\n return this.localeData().months(this, format);\n });\n\n // ALIASES\n\n addUnitAlias('month', 'M');\n\n // PRIORITY\n\n addUnitPriority('month', 8);\n\n // PARSING\n\n addRegexToken('M', match1to2);\n addRegexToken('MM', match1to2, match2);\n addRegexToken('MMM', function (isStrict, locale) {\n return locale.monthsShortRegex(isStrict);\n });\n addRegexToken('MMMM', function (isStrict, locale) {\n return locale.monthsRegex(isStrict);\n });\n\n addParseToken(['M', 'MM'], function (input, array) {\n array[MONTH] = toInt(input) - 1;\n });\n\n addParseToken(['MMM', 'MMMM'], function (input, array, config, token) {\n var month = config._locale.monthsParse(input, token, config._strict);\n // if we didn't find a month name, mark the date as invalid.\n if (month != null) {\n array[MONTH] = month;\n } else {\n getParsingFlags(config).invalidMonth = input;\n }\n });\n\n // LOCALES\n\n var MONTHS_IN_FORMAT = /D[oD]?(\\[[^\\[\\]]*\\]|\\s)+MMMM?/;\n var defaultLocaleMonths = 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_');\n function localeMonths (m, format) {\n if (!m) {\n return isArray(this._months) ? this._months :\n this._months['standalone'];\n }\n return isArray(this._months) ? this._months[m.month()] :\n this._months[(this._months.isFormat || MONTHS_IN_FORMAT).test(format) ? 'format' : 'standalone'][m.month()];\n }\n\n var defaultLocaleMonthsShort = 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_');\n function localeMonthsShort (m, format) {\n if (!m) {\n return isArray(this._monthsShort) ? this._monthsShort :\n this._monthsShort['standalone'];\n }\n return isArray(this._monthsShort) ? this._monthsShort[m.month()] :\n this._monthsShort[MONTHS_IN_FORMAT.test(format) ? 'format' : 'standalone'][m.month()];\n }\n\n function handleStrictParse(monthName, format, strict) {\n var i, ii, mom, llc = monthName.toLocaleLowerCase();\n if (!this._monthsParse) {\n // this is not used\n this._monthsParse = [];\n this._longMonthsParse = [];\n this._shortMonthsParse = [];\n for (i = 0; i < 12; ++i) {\n mom = createUTC([2000, i]);\n this._shortMonthsParse[i] = this.monthsShort(mom, '').toLocaleLowerCase();\n this._longMonthsParse[i] = this.months(mom, '').toLocaleLowerCase();\n }\n }\n\n if (strict) {\n if (format === 'MMM') {\n ii = indexOf.call(this._shortMonthsParse, llc);\n return ii !== -1 ? ii : null;\n } else {\n ii = indexOf.call(this._longMonthsParse, llc);\n return ii !== -1 ? ii : null;\n }\n } else {\n if (format === 'MMM') {\n ii = indexOf.call(this._shortMonthsParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._longMonthsParse, llc);\n return ii !== -1 ? ii : null;\n } else {\n ii = indexOf.call(this._longMonthsParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._shortMonthsParse, llc);\n return ii !== -1 ? ii : null;\n }\n }\n }\n\n function localeMonthsParse (monthName, format, strict) {\n var i, mom, regex;\n\n if (this._monthsParseExact) {\n return handleStrictParse.call(this, monthName, format, strict);\n }\n\n if (!this._monthsParse) {\n this._monthsParse = [];\n this._longMonthsParse = [];\n this._shortMonthsParse = [];\n }\n\n // TODO: add sorting\n // Sorting makes sure if one month (or abbr) is a prefix of another\n // see sorting in computeMonthsParse\n for (i = 0; i < 12; i++) {\n // make the regex if we don't have it already\n mom = createUTC([2000, i]);\n if (strict && !this._longMonthsParse[i]) {\n this._longMonthsParse[i] = new RegExp('^' + this.months(mom, '').replace('.', '') + '$', 'i');\n this._shortMonthsParse[i] = new RegExp('^' + this.monthsShort(mom, '').replace('.', '') + '$', 'i');\n }\n if (!strict && !this._monthsParse[i]) {\n regex = '^' + this.months(mom, '') + '|^' + this.monthsShort(mom, '');\n this._monthsParse[i] = new RegExp(regex.replace('.', ''), 'i');\n }\n // test the regex\n if (strict && format === 'MMMM' && this._longMonthsParse[i].test(monthName)) {\n return i;\n } else if (strict && format === 'MMM' && this._shortMonthsParse[i].test(monthName)) {\n return i;\n } else if (!strict && this._monthsParse[i].test(monthName)) {\n return i;\n }\n }\n }\n\n // MOMENTS\n\n function setMonth (mom, value) {\n var dayOfMonth;\n\n if (!mom.isValid()) {\n // No op\n return mom;\n }\n\n if (typeof value === 'string') {\n if (/^\\d+$/.test(value)) {\n value = toInt(value);\n } else {\n value = mom.localeData().monthsParse(value);\n // TODO: Another silent failure?\n if (!isNumber(value)) {\n return mom;\n }\n }\n }\n\n dayOfMonth = Math.min(mom.date(), daysInMonth(mom.year(), value));\n mom._d['set' + (mom._isUTC ? 'UTC' : '') + 'Month'](value, dayOfMonth);\n return mom;\n }\n\n function getSetMonth (value) {\n if (value != null) {\n setMonth(this, value);\n hooks.updateOffset(this, true);\n return this;\n } else {\n return get(this, 'Month');\n }\n }\n\n function getDaysInMonth () {\n return daysInMonth(this.year(), this.month());\n }\n\n var defaultMonthsShortRegex = matchWord;\n function monthsShortRegex (isStrict) {\n if (this._monthsParseExact) {\n if (!hasOwnProp(this, '_monthsRegex')) {\n computeMonthsParse.call(this);\n }\n if (isStrict) {\n return this._monthsShortStrictRegex;\n } else {\n return this._monthsShortRegex;\n }\n } else {\n if (!hasOwnProp(this, '_monthsShortRegex')) {\n this._monthsShortRegex = defaultMonthsShortRegex;\n }\n return this._monthsShortStrictRegex && isStrict ?\n this._monthsShortStrictRegex : this._monthsShortRegex;\n }\n }\n\n var defaultMonthsRegex = matchWord;\n function monthsRegex (isStrict) {\n if (this._monthsParseExact) {\n if (!hasOwnProp(this, '_monthsRegex')) {\n computeMonthsParse.call(this);\n }\n if (isStrict) {\n return this._monthsStrictRegex;\n } else {\n return this._monthsRegex;\n }\n } else {\n if (!hasOwnProp(this, '_monthsRegex')) {\n this._monthsRegex = defaultMonthsRegex;\n }\n return this._monthsStrictRegex && isStrict ?\n this._monthsStrictRegex : this._monthsRegex;\n }\n }\n\n function computeMonthsParse () {\n function cmpLenRev(a, b) {\n return b.length - a.length;\n }\n\n var shortPieces = [], longPieces = [], mixedPieces = [],\n i, mom;\n for (i = 0; i < 12; i++) {\n // make the regex if we don't have it already\n mom = createUTC([2000, i]);\n shortPieces.push(this.monthsShort(mom, ''));\n longPieces.push(this.months(mom, ''));\n mixedPieces.push(this.months(mom, ''));\n mixedPieces.push(this.monthsShort(mom, ''));\n }\n // Sorting makes sure if one month (or abbr) is a prefix of another it\n // will match the longer piece.\n shortPieces.sort(cmpLenRev);\n longPieces.sort(cmpLenRev);\n mixedPieces.sort(cmpLenRev);\n for (i = 0; i < 12; i++) {\n shortPieces[i] = regexEscape(shortPieces[i]);\n longPieces[i] = regexEscape(longPieces[i]);\n }\n for (i = 0; i < 24; i++) {\n mixedPieces[i] = regexEscape(mixedPieces[i]);\n }\n\n this._monthsRegex = new RegExp('^(' + mixedPieces.join('|') + ')', 'i');\n this._monthsShortRegex = this._monthsRegex;\n this._monthsStrictRegex = new RegExp('^(' + longPieces.join('|') + ')', 'i');\n this._monthsShortStrictRegex = new RegExp('^(' + shortPieces.join('|') + ')', 'i');\n }\n\n function createDate (y, m, d, h, M, s, ms) {\n // can't just apply() to create a date:\n // https://stackoverflow.com/q/181348\n var date;\n // the date constructor remaps years 0-99 to 1900-1999\n if (y < 100 && y >= 0) {\n // preserve leap years using a full 400 year cycle, then reset\n date = new Date(y + 400, m, d, h, M, s, ms);\n if (isFinite(date.getFullYear())) {\n date.setFullYear(y);\n }\n } else {\n date = new Date(y, m, d, h, M, s, ms);\n }\n\n return date;\n }\n\n function createUTCDate (y) {\n var date;\n // the Date.UTC function remaps years 0-99 to 1900-1999\n if (y < 100 && y >= 0) {\n var args = Array.prototype.slice.call(arguments);\n // preserve leap years using a full 400 year cycle, then reset\n args[0] = y + 400;\n date = new Date(Date.UTC.apply(null, args));\n if (isFinite(date.getUTCFullYear())) {\n date.setUTCFullYear(y);\n }\n } else {\n date = new Date(Date.UTC.apply(null, arguments));\n }\n\n return date;\n }\n\n // start-of-first-week - start-of-year\n function firstWeekOffset(year, dow, doy) {\n var // first-week day -- which january is always in the first week (4 for iso, 1 for other)\n fwd = 7 + dow - doy,\n // first-week day local weekday -- which local weekday is fwd\n fwdlw = (7 + createUTCDate(year, 0, fwd).getUTCDay() - dow) % 7;\n\n return -fwdlw + fwd - 1;\n }\n\n // https://en.wikipedia.org/wiki/ISO_week_date#Calculating_a_date_given_the_year.2C_week_number_and_weekday\n function dayOfYearFromWeeks(year, week, weekday, dow, doy) {\n var localWeekday = (7 + weekday - dow) % 7,\n weekOffset = firstWeekOffset(year, dow, doy),\n dayOfYear = 1 + 7 * (week - 1) + localWeekday + weekOffset,\n resYear, resDayOfYear;\n\n if (dayOfYear <= 0) {\n resYear = year - 1;\n resDayOfYear = daysInYear(resYear) + dayOfYear;\n } else if (dayOfYear > daysInYear(year)) {\n resYear = year + 1;\n resDayOfYear = dayOfYear - daysInYear(year);\n } else {\n resYear = year;\n resDayOfYear = dayOfYear;\n }\n\n return {\n year: resYear,\n dayOfYear: resDayOfYear\n };\n }\n\n function weekOfYear(mom, dow, doy) {\n var weekOffset = firstWeekOffset(mom.year(), dow, doy),\n week = Math.floor((mom.dayOfYear() - weekOffset - 1) / 7) + 1,\n resWeek, resYear;\n\n if (week < 1) {\n resYear = mom.year() - 1;\n resWeek = week + weeksInYear(resYear, dow, doy);\n } else if (week > weeksInYear(mom.year(), dow, doy)) {\n resWeek = week - weeksInYear(mom.year(), dow, doy);\n resYear = mom.year() + 1;\n } else {\n resYear = mom.year();\n resWeek = week;\n }\n\n return {\n week: resWeek,\n year: resYear\n };\n }\n\n function weeksInYear(year, dow, doy) {\n var weekOffset = firstWeekOffset(year, dow, doy),\n weekOffsetNext = firstWeekOffset(year + 1, dow, doy);\n return (daysInYear(year) - weekOffset + weekOffsetNext) / 7;\n }\n\n // FORMATTING\n\n addFormatToken('w', ['ww', 2], 'wo', 'week');\n addFormatToken('W', ['WW', 2], 'Wo', 'isoWeek');\n\n // ALIASES\n\n addUnitAlias('week', 'w');\n addUnitAlias('isoWeek', 'W');\n\n // PRIORITIES\n\n addUnitPriority('week', 5);\n addUnitPriority('isoWeek', 5);\n\n // PARSING\n\n addRegexToken('w', match1to2);\n addRegexToken('ww', match1to2, match2);\n addRegexToken('W', match1to2);\n addRegexToken('WW', match1to2, match2);\n\n addWeekParseToken(['w', 'ww', 'W', 'WW'], function (input, week, config, token) {\n week[token.substr(0, 1)] = toInt(input);\n });\n\n // HELPERS\n\n // LOCALES\n\n function localeWeek (mom) {\n return weekOfYear(mom, this._week.dow, this._week.doy).week;\n }\n\n var defaultLocaleWeek = {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n };\n\n function localeFirstDayOfWeek () {\n return this._week.dow;\n }\n\n function localeFirstDayOfYear () {\n return this._week.doy;\n }\n\n // MOMENTS\n\n function getSetWeek (input) {\n var week = this.localeData().week(this);\n return input == null ? week : this.add((input - week) * 7, 'd');\n }\n\n function getSetISOWeek (input) {\n var week = weekOfYear(this, 1, 4).week;\n return input == null ? week : this.add((input - week) * 7, 'd');\n }\n\n // FORMATTING\n\n addFormatToken('d', 0, 'do', 'day');\n\n addFormatToken('dd', 0, 0, function (format) {\n return this.localeData().weekdaysMin(this, format);\n });\n\n addFormatToken('ddd', 0, 0, function (format) {\n return this.localeData().weekdaysShort(this, format);\n });\n\n addFormatToken('dddd', 0, 0, function (format) {\n return this.localeData().weekdays(this, format);\n });\n\n addFormatToken('e', 0, 0, 'weekday');\n addFormatToken('E', 0, 0, 'isoWeekday');\n\n // ALIASES\n\n addUnitAlias('day', 'd');\n addUnitAlias('weekday', 'e');\n addUnitAlias('isoWeekday', 'E');\n\n // PRIORITY\n addUnitPriority('day', 11);\n addUnitPriority('weekday', 11);\n addUnitPriority('isoWeekday', 11);\n\n // PARSING\n\n addRegexToken('d', match1to2);\n addRegexToken('e', match1to2);\n addRegexToken('E', match1to2);\n addRegexToken('dd', function (isStrict, locale) {\n return locale.weekdaysMinRegex(isStrict);\n });\n addRegexToken('ddd', function (isStrict, locale) {\n return locale.weekdaysShortRegex(isStrict);\n });\n addRegexToken('dddd', function (isStrict, locale) {\n return locale.weekdaysRegex(isStrict);\n });\n\n addWeekParseToken(['dd', 'ddd', 'dddd'], function (input, week, config, token) {\n var weekday = config._locale.weekdaysParse(input, token, config._strict);\n // if we didn't get a weekday name, mark the date as invalid\n if (weekday != null) {\n week.d = weekday;\n } else {\n getParsingFlags(config).invalidWeekday = input;\n }\n });\n\n addWeekParseToken(['d', 'e', 'E'], function (input, week, config, token) {\n week[token] = toInt(input);\n });\n\n // HELPERS\n\n function parseWeekday(input, locale) {\n if (typeof input !== 'string') {\n return input;\n }\n\n if (!isNaN(input)) {\n return parseInt(input, 10);\n }\n\n input = locale.weekdaysParse(input);\n if (typeof input === 'number') {\n return input;\n }\n\n return null;\n }\n\n function parseIsoWeekday(input, locale) {\n if (typeof input === 'string') {\n return locale.weekdaysParse(input) % 7 || 7;\n }\n return isNaN(input) ? null : input;\n }\n\n // LOCALES\n function shiftWeekdays (ws, n) {\n return ws.slice(n, 7).concat(ws.slice(0, n));\n }\n\n var defaultLocaleWeekdays = 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_');\n function localeWeekdays (m, format) {\n var weekdays = isArray(this._weekdays) ? this._weekdays :\n this._weekdays[(m && m !== true && this._weekdays.isFormat.test(format)) ? 'format' : 'standalone'];\n return (m === true) ? shiftWeekdays(weekdays, this._week.dow)\n : (m) ? weekdays[m.day()] : weekdays;\n }\n\n var defaultLocaleWeekdaysShort = 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_');\n function localeWeekdaysShort (m) {\n return (m === true) ? shiftWeekdays(this._weekdaysShort, this._week.dow)\n : (m) ? this._weekdaysShort[m.day()] : this._weekdaysShort;\n }\n\n var defaultLocaleWeekdaysMin = 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_');\n function localeWeekdaysMin (m) {\n return (m === true) ? shiftWeekdays(this._weekdaysMin, this._week.dow)\n : (m) ? this._weekdaysMin[m.day()] : this._weekdaysMin;\n }\n\n function handleStrictParse$1(weekdayName, format, strict) {\n var i, ii, mom, llc = weekdayName.toLocaleLowerCase();\n if (!this._weekdaysParse) {\n this._weekdaysParse = [];\n this._shortWeekdaysParse = [];\n this._minWeekdaysParse = [];\n\n for (i = 0; i < 7; ++i) {\n mom = createUTC([2000, 1]).day(i);\n this._minWeekdaysParse[i] = this.weekdaysMin(mom, '').toLocaleLowerCase();\n this._shortWeekdaysParse[i] = this.weekdaysShort(mom, '').toLocaleLowerCase();\n this._weekdaysParse[i] = this.weekdays(mom, '').toLocaleLowerCase();\n }\n }\n\n if (strict) {\n if (format === 'dddd') {\n ii = indexOf.call(this._weekdaysParse, llc);\n return ii !== -1 ? ii : null;\n } else if (format === 'ddd') {\n ii = indexOf.call(this._shortWeekdaysParse, llc);\n return ii !== -1 ? ii : null;\n } else {\n ii = indexOf.call(this._minWeekdaysParse, llc);\n return ii !== -1 ? ii : null;\n }\n } else {\n if (format === 'dddd') {\n ii = indexOf.call(this._weekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._shortWeekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._minWeekdaysParse, llc);\n return ii !== -1 ? ii : null;\n } else if (format === 'ddd') {\n ii = indexOf.call(this._shortWeekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._weekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._minWeekdaysParse, llc);\n return ii !== -1 ? ii : null;\n } else {\n ii = indexOf.call(this._minWeekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._weekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._shortWeekdaysParse, llc);\n return ii !== -1 ? ii : null;\n }\n }\n }\n\n function localeWeekdaysParse (weekdayName, format, strict) {\n var i, mom, regex;\n\n if (this._weekdaysParseExact) {\n return handleStrictParse$1.call(this, weekdayName, format, strict);\n }\n\n if (!this._weekdaysParse) {\n this._weekdaysParse = [];\n this._minWeekdaysParse = [];\n this._shortWeekdaysParse = [];\n this._fullWeekdaysParse = [];\n }\n\n for (i = 0; i < 7; i++) {\n // make the regex if we don't have it already\n\n mom = createUTC([2000, 1]).day(i);\n if (strict && !this._fullWeekdaysParse[i]) {\n this._fullWeekdaysParse[i] = new RegExp('^' + this.weekdays(mom, '').replace('.', '\\\\.?') + '$', 'i');\n this._shortWeekdaysParse[i] = new RegExp('^' + this.weekdaysShort(mom, '').replace('.', '\\\\.?') + '$', 'i');\n this._minWeekdaysParse[i] = new RegExp('^' + this.weekdaysMin(mom, '').replace('.', '\\\\.?') + '$', 'i');\n }\n if (!this._weekdaysParse[i]) {\n regex = '^' + this.weekdays(mom, '') + '|^' + this.weekdaysShort(mom, '') + '|^' + this.weekdaysMin(mom, '');\n this._weekdaysParse[i] = new RegExp(regex.replace('.', ''), 'i');\n }\n // test the regex\n if (strict && format === 'dddd' && this._fullWeekdaysParse[i].test(weekdayName)) {\n return i;\n } else if (strict && format === 'ddd' && this._shortWeekdaysParse[i].test(weekdayName)) {\n return i;\n } else if (strict && format === 'dd' && this._minWeekdaysParse[i].test(weekdayName)) {\n return i;\n } else if (!strict && this._weekdaysParse[i].test(weekdayName)) {\n return i;\n }\n }\n }\n\n // MOMENTS\n\n function getSetDayOfWeek (input) {\n if (!this.isValid()) {\n return input != null ? this : NaN;\n }\n var day = this._isUTC ? this._d.getUTCDay() : this._d.getDay();\n if (input != null) {\n input = parseWeekday(input, this.localeData());\n return this.add(input - day, 'd');\n } else {\n return day;\n }\n }\n\n function getSetLocaleDayOfWeek (input) {\n if (!this.isValid()) {\n return input != null ? this : NaN;\n }\n var weekday = (this.day() + 7 - this.localeData()._week.dow) % 7;\n return input == null ? weekday : this.add(input - weekday, 'd');\n }\n\n function getSetISODayOfWeek (input) {\n if (!this.isValid()) {\n return input != null ? this : NaN;\n }\n\n // behaves the same as moment#day except\n // as a getter, returns 7 instead of 0 (1-7 range instead of 0-6)\n // as a setter, sunday should belong to the previous week.\n\n if (input != null) {\n var weekday = parseIsoWeekday(input, this.localeData());\n return this.day(this.day() % 7 ? weekday : weekday - 7);\n } else {\n return this.day() || 7;\n }\n }\n\n var defaultWeekdaysRegex = matchWord;\n function weekdaysRegex (isStrict) {\n if (this._weekdaysParseExact) {\n if (!hasOwnProp(this, '_weekdaysRegex')) {\n computeWeekdaysParse.call(this);\n }\n if (isStrict) {\n return this._weekdaysStrictRegex;\n } else {\n return this._weekdaysRegex;\n }\n } else {\n if (!hasOwnProp(this, '_weekdaysRegex')) {\n this._weekdaysRegex = defaultWeekdaysRegex;\n }\n return this._weekdaysStrictRegex && isStrict ?\n this._weekdaysStrictRegex : this._weekdaysRegex;\n }\n }\n\n var defaultWeekdaysShortRegex = matchWord;\n function weekdaysShortRegex (isStrict) {\n if (this._weekdaysParseExact) {\n if (!hasOwnProp(this, '_weekdaysRegex')) {\n computeWeekdaysParse.call(this);\n }\n if (isStrict) {\n return this._weekdaysShortStrictRegex;\n } else {\n return this._weekdaysShortRegex;\n }\n } else {\n if (!hasOwnProp(this, '_weekdaysShortRegex')) {\n this._weekdaysShortRegex = defaultWeekdaysShortRegex;\n }\n return this._weekdaysShortStrictRegex && isStrict ?\n this._weekdaysShortStrictRegex : this._weekdaysShortRegex;\n }\n }\n\n var defaultWeekdaysMinRegex = matchWord;\n function weekdaysMinRegex (isStrict) {\n if (this._weekdaysParseExact) {\n if (!hasOwnProp(this, '_weekdaysRegex')) {\n computeWeekdaysParse.call(this);\n }\n if (isStrict) {\n return this._weekdaysMinStrictRegex;\n } else {\n return this._weekdaysMinRegex;\n }\n } else {\n if (!hasOwnProp(this, '_weekdaysMinRegex')) {\n this._weekdaysMinRegex = defaultWeekdaysMinRegex;\n }\n return this._weekdaysMinStrictRegex && isStrict ?\n this._weekdaysMinStrictRegex : this._weekdaysMinRegex;\n }\n }\n\n\n function computeWeekdaysParse () {\n function cmpLenRev(a, b) {\n return b.length - a.length;\n }\n\n var minPieces = [], shortPieces = [], longPieces = [], mixedPieces = [],\n i, mom, minp, shortp, longp;\n for (i = 0; i < 7; i++) {\n // make the regex if we don't have it already\n mom = createUTC([2000, 1]).day(i);\n minp = this.weekdaysMin(mom, '');\n shortp = this.weekdaysShort(mom, '');\n longp = this.weekdays(mom, '');\n minPieces.push(minp);\n shortPieces.push(shortp);\n longPieces.push(longp);\n mixedPieces.push(minp);\n mixedPieces.push(shortp);\n mixedPieces.push(longp);\n }\n // Sorting makes sure if one weekday (or abbr) is a prefix of another it\n // will match the longer piece.\n minPieces.sort(cmpLenRev);\n shortPieces.sort(cmpLenRev);\n longPieces.sort(cmpLenRev);\n mixedPieces.sort(cmpLenRev);\n for (i = 0; i < 7; i++) {\n shortPieces[i] = regexEscape(shortPieces[i]);\n longPieces[i] = regexEscape(longPieces[i]);\n mixedPieces[i] = regexEscape(mixedPieces[i]);\n }\n\n this._weekdaysRegex = new RegExp('^(' + mixedPieces.join('|') + ')', 'i');\n this._weekdaysShortRegex = this._weekdaysRegex;\n this._weekdaysMinRegex = this._weekdaysRegex;\n\n this._weekdaysStrictRegex = new RegExp('^(' + longPieces.join('|') + ')', 'i');\n this._weekdaysShortStrictRegex = new RegExp('^(' + shortPieces.join('|') + ')', 'i');\n this._weekdaysMinStrictRegex = new RegExp('^(' + minPieces.join('|') + ')', 'i');\n }\n\n // FORMATTING\n\n function hFormat() {\n return this.hours() % 12 || 12;\n }\n\n function kFormat() {\n return this.hours() || 24;\n }\n\n addFormatToken('H', ['HH', 2], 0, 'hour');\n addFormatToken('h', ['hh', 2], 0, hFormat);\n addFormatToken('k', ['kk', 2], 0, kFormat);\n\n addFormatToken('hmm', 0, 0, function () {\n return '' + hFormat.apply(this) + zeroFill(this.minutes(), 2);\n });\n\n addFormatToken('hmmss', 0, 0, function () {\n return '' + hFormat.apply(this) + zeroFill(this.minutes(), 2) +\n zeroFill(this.seconds(), 2);\n });\n\n addFormatToken('Hmm', 0, 0, function () {\n return '' + this.hours() + zeroFill(this.minutes(), 2);\n });\n\n addFormatToken('Hmmss', 0, 0, function () {\n return '' + this.hours() + zeroFill(this.minutes(), 2) +\n zeroFill(this.seconds(), 2);\n });\n\n function meridiem (token, lowercase) {\n addFormatToken(token, 0, 0, function () {\n return this.localeData().meridiem(this.hours(), this.minutes(), lowercase);\n });\n }\n\n meridiem('a', true);\n meridiem('A', false);\n\n // ALIASES\n\n addUnitAlias('hour', 'h');\n\n // PRIORITY\n addUnitPriority('hour', 13);\n\n // PARSING\n\n function matchMeridiem (isStrict, locale) {\n return locale._meridiemParse;\n }\n\n addRegexToken('a', matchMeridiem);\n addRegexToken('A', matchMeridiem);\n addRegexToken('H', match1to2);\n addRegexToken('h', match1to2);\n addRegexToken('k', match1to2);\n addRegexToken('HH', match1to2, match2);\n addRegexToken('hh', match1to2, match2);\n addRegexToken('kk', match1to2, match2);\n\n addRegexToken('hmm', match3to4);\n addRegexToken('hmmss', match5to6);\n addRegexToken('Hmm', match3to4);\n addRegexToken('Hmmss', match5to6);\n\n addParseToken(['H', 'HH'], HOUR);\n addParseToken(['k', 'kk'], function (input, array, config) {\n var kInput = toInt(input);\n array[HOUR] = kInput === 24 ? 0 : kInput;\n });\n addParseToken(['a', 'A'], function (input, array, config) {\n config._isPm = config._locale.isPM(input);\n config._meridiem = input;\n });\n addParseToken(['h', 'hh'], function (input, array, config) {\n array[HOUR] = toInt(input);\n getParsingFlags(config).bigHour = true;\n });\n addParseToken('hmm', function (input, array, config) {\n var pos = input.length - 2;\n array[HOUR] = toInt(input.substr(0, pos));\n array[MINUTE] = toInt(input.substr(pos));\n getParsingFlags(config).bigHour = true;\n });\n addParseToken('hmmss', function (input, array, config) {\n var pos1 = input.length - 4;\n var pos2 = input.length - 2;\n array[HOUR] = toInt(input.substr(0, pos1));\n array[MINUTE] = toInt(input.substr(pos1, 2));\n array[SECOND] = toInt(input.substr(pos2));\n getParsingFlags(config).bigHour = true;\n });\n addParseToken('Hmm', function (input, array, config) {\n var pos = input.length - 2;\n array[HOUR] = toInt(input.substr(0, pos));\n array[MINUTE] = toInt(input.substr(pos));\n });\n addParseToken('Hmmss', function (input, array, config) {\n var pos1 = input.length - 4;\n var pos2 = input.length - 2;\n array[HOUR] = toInt(input.substr(0, pos1));\n array[MINUTE] = toInt(input.substr(pos1, 2));\n array[SECOND] = toInt(input.substr(pos2));\n });\n\n // LOCALES\n\n function localeIsPM (input) {\n // IE8 Quirks Mode & IE7 Standards Mode do not allow accessing strings like arrays\n // Using charAt should be more compatible.\n return ((input + '').toLowerCase().charAt(0) === 'p');\n }\n\n var defaultLocaleMeridiemParse = /[ap]\\.?m?\\.?/i;\n function localeMeridiem (hours, minutes, isLower) {\n if (hours > 11) {\n return isLower ? 'pm' : 'PM';\n } else {\n return isLower ? 'am' : 'AM';\n }\n }\n\n\n // MOMENTS\n\n // Setting the hour should keep the time, because the user explicitly\n // specified which hour they want. So trying to maintain the same hour (in\n // a new timezone) makes sense. Adding/subtracting hours does not follow\n // this rule.\n var getSetHour = makeGetSet('Hours', true);\n\n var baseConfig = {\n calendar: defaultCalendar,\n longDateFormat: defaultLongDateFormat,\n invalidDate: defaultInvalidDate,\n ordinal: defaultOrdinal,\n dayOfMonthOrdinalParse: defaultDayOfMonthOrdinalParse,\n relativeTime: defaultRelativeTime,\n\n months: defaultLocaleMonths,\n monthsShort: defaultLocaleMonthsShort,\n\n week: defaultLocaleWeek,\n\n weekdays: defaultLocaleWeekdays,\n weekdaysMin: defaultLocaleWeekdaysMin,\n weekdaysShort: defaultLocaleWeekdaysShort,\n\n meridiemParse: defaultLocaleMeridiemParse\n };\n\n // internal storage for locale config files\n var locales = {};\n var localeFamilies = {};\n var globalLocale;\n\n function normalizeLocale(key) {\n return key ? key.toLowerCase().replace('_', '-') : key;\n }\n\n // pick the locale from the array\n // try ['en-au', 'en-gb'] as 'en-au', 'en-gb', 'en', as in move through the list trying each\n // substring from most specific to least, but move to the next array item if it's a more specific variant than the current root\n function chooseLocale(names) {\n var i = 0, j, next, locale, split;\n\n while (i < names.length) {\n split = normalizeLocale(names[i]).split('-');\n j = split.length;\n next = normalizeLocale(names[i + 1]);\n next = next ? next.split('-') : null;\n while (j > 0) {\n locale = loadLocale(split.slice(0, j).join('-'));\n if (locale) {\n return locale;\n }\n if (next && next.length >= j && compareArrays(split, next, true) >= j - 1) {\n //the next array item is better than a shallower substring of this one\n break;\n }\n j--;\n }\n i++;\n }\n return globalLocale;\n }\n\n function loadLocale(name) {\n var oldLocale = null;\n // TODO: Find a better way to register and load all the locales in Node\n if (!locales[name] && (typeof module !== 'undefined') &&\n module && module.exports) {\n try {\n oldLocale = globalLocale._abbr;\n var aliasedRequire = require;\n aliasedRequire('./locale/' + name);\n getSetGlobalLocale(oldLocale);\n } catch (e) {}\n }\n return locales[name];\n }\n\n // This function will load locale and then set the global locale. If\n // no arguments are passed in, it will simply return the current global\n // locale key.\n function getSetGlobalLocale (key, values) {\n var data;\n if (key) {\n if (isUndefined(values)) {\n data = getLocale(key);\n }\n else {\n data = defineLocale(key, values);\n }\n\n if (data) {\n // moment.duration._locale = moment._locale = data;\n globalLocale = data;\n }\n else {\n if ((typeof console !== 'undefined') && console.warn) {\n //warn user if arguments are passed but the locale could not be set\n console.warn('Locale ' + key + ' not found. Did you forget to load it?');\n }\n }\n }\n\n return globalLocale._abbr;\n }\n\n function defineLocale (name, config) {\n if (config !== null) {\n var locale, parentConfig = baseConfig;\n config.abbr = name;\n if (locales[name] != null) {\n deprecateSimple('defineLocaleOverride',\n 'use moment.updateLocale(localeName, config) to change ' +\n 'an existing locale. moment.defineLocale(localeName, ' +\n 'config) should only be used for creating a new locale ' +\n 'See http://momentjs.com/guides/#/warnings/define-locale/ for more info.');\n parentConfig = locales[name]._config;\n } else if (config.parentLocale != null) {\n if (locales[config.parentLocale] != null) {\n parentConfig = locales[config.parentLocale]._config;\n } else {\n locale = loadLocale(config.parentLocale);\n if (locale != null) {\n parentConfig = locale._config;\n } else {\n if (!localeFamilies[config.parentLocale]) {\n localeFamilies[config.parentLocale] = [];\n }\n localeFamilies[config.parentLocale].push({\n name: name,\n config: config\n });\n return null;\n }\n }\n }\n locales[name] = new Locale(mergeConfigs(parentConfig, config));\n\n if (localeFamilies[name]) {\n localeFamilies[name].forEach(function (x) {\n defineLocale(x.name, x.config);\n });\n }\n\n // backwards compat for now: also set the locale\n // make sure we set the locale AFTER all child locales have been\n // created, so we won't end up with the child locale set.\n getSetGlobalLocale(name);\n\n\n return locales[name];\n } else {\n // useful for testing\n delete locales[name];\n return null;\n }\n }\n\n function updateLocale(name, config) {\n if (config != null) {\n var locale, tmpLocale, parentConfig = baseConfig;\n // MERGE\n tmpLocale = loadLocale(name);\n if (tmpLocale != null) {\n parentConfig = tmpLocale._config;\n }\n config = mergeConfigs(parentConfig, config);\n locale = new Locale(config);\n locale.parentLocale = locales[name];\n locales[name] = locale;\n\n // backwards compat for now: also set the locale\n getSetGlobalLocale(name);\n } else {\n // pass null for config to unupdate, useful for tests\n if (locales[name] != null) {\n if (locales[name].parentLocale != null) {\n locales[name] = locales[name].parentLocale;\n } else if (locales[name] != null) {\n delete locales[name];\n }\n }\n }\n return locales[name];\n }\n\n // returns locale data\n function getLocale (key) {\n var locale;\n\n if (key && key._locale && key._locale._abbr) {\n key = key._locale._abbr;\n }\n\n if (!key) {\n return globalLocale;\n }\n\n if (!isArray(key)) {\n //short-circuit everything else\n locale = loadLocale(key);\n if (locale) {\n return locale;\n }\n key = [key];\n }\n\n return chooseLocale(key);\n }\n\n function listLocales() {\n return keys(locales);\n }\n\n function checkOverflow (m) {\n var overflow;\n var a = m._a;\n\n if (a && getParsingFlags(m).overflow === -2) {\n overflow =\n a[MONTH] < 0 || a[MONTH] > 11 ? MONTH :\n a[DATE] < 1 || a[DATE] > daysInMonth(a[YEAR], a[MONTH]) ? DATE :\n a[HOUR] < 0 || a[HOUR] > 24 || (a[HOUR] === 24 && (a[MINUTE] !== 0 || a[SECOND] !== 0 || a[MILLISECOND] !== 0)) ? HOUR :\n a[MINUTE] < 0 || a[MINUTE] > 59 ? MINUTE :\n a[SECOND] < 0 || a[SECOND] > 59 ? SECOND :\n a[MILLISECOND] < 0 || a[MILLISECOND] > 999 ? MILLISECOND :\n -1;\n\n if (getParsingFlags(m)._overflowDayOfYear && (overflow < YEAR || overflow > DATE)) {\n overflow = DATE;\n }\n if (getParsingFlags(m)._overflowWeeks && overflow === -1) {\n overflow = WEEK;\n }\n if (getParsingFlags(m)._overflowWeekday && overflow === -1) {\n overflow = WEEKDAY;\n }\n\n getParsingFlags(m).overflow = overflow;\n }\n\n return m;\n }\n\n // Pick the first defined of two or three arguments.\n function defaults(a, b, c) {\n if (a != null) {\n return a;\n }\n if (b != null) {\n return b;\n }\n return c;\n }\n\n function currentDateArray(config) {\n // hooks is actually the exported moment object\n var nowValue = new Date(hooks.now());\n if (config._useUTC) {\n return [nowValue.getUTCFullYear(), nowValue.getUTCMonth(), nowValue.getUTCDate()];\n }\n return [nowValue.getFullYear(), nowValue.getMonth(), nowValue.getDate()];\n }\n\n // convert an array to a date.\n // the array should mirror the parameters below\n // note: all values past the year are optional and will default to the lowest possible value.\n // [year, month, day , hour, minute, second, millisecond]\n function configFromArray (config) {\n var i, date, input = [], currentDate, expectedWeekday, yearToUse;\n\n if (config._d) {\n return;\n }\n\n currentDate = currentDateArray(config);\n\n //compute day of the year from weeks and weekdays\n if (config._w && config._a[DATE] == null && config._a[MONTH] == null) {\n dayOfYearFromWeekInfo(config);\n }\n\n //if the day of the year is set, figure out what it is\n if (config._dayOfYear != null) {\n yearToUse = defaults(config._a[YEAR], currentDate[YEAR]);\n\n if (config._dayOfYear > daysInYear(yearToUse) || config._dayOfYear === 0) {\n getParsingFlags(config)._overflowDayOfYear = true;\n }\n\n date = createUTCDate(yearToUse, 0, config._dayOfYear);\n config._a[MONTH] = date.getUTCMonth();\n config._a[DATE] = date.getUTCDate();\n }\n\n // Default to current date.\n // * if no year, month, day of month are given, default to today\n // * if day of month is given, default month and year\n // * if month is given, default only year\n // * if year is given, don't default anything\n for (i = 0; i < 3 && config._a[i] == null; ++i) {\n config._a[i] = input[i] = currentDate[i];\n }\n\n // Zero out whatever was not defaulted, including time\n for (; i < 7; i++) {\n config._a[i] = input[i] = (config._a[i] == null) ? (i === 2 ? 1 : 0) : config._a[i];\n }\n\n // Check for 24:00:00.000\n if (config._a[HOUR] === 24 &&\n config._a[MINUTE] === 0 &&\n config._a[SECOND] === 0 &&\n config._a[MILLISECOND] === 0) {\n config._nextDay = true;\n config._a[HOUR] = 0;\n }\n\n config._d = (config._useUTC ? createUTCDate : createDate).apply(null, input);\n expectedWeekday = config._useUTC ? config._d.getUTCDay() : config._d.getDay();\n\n // Apply timezone offset from input. The actual utcOffset can be changed\n // with parseZone.\n if (config._tzm != null) {\n config._d.setUTCMinutes(config._d.getUTCMinutes() - config._tzm);\n }\n\n if (config._nextDay) {\n config._a[HOUR] = 24;\n }\n\n // check for mismatching day of week\n if (config._w && typeof config._w.d !== 'undefined' && config._w.d !== expectedWeekday) {\n getParsingFlags(config).weekdayMismatch = true;\n }\n }\n\n function dayOfYearFromWeekInfo(config) {\n var w, weekYear, week, weekday, dow, doy, temp, weekdayOverflow;\n\n w = config._w;\n if (w.GG != null || w.W != null || w.E != null) {\n dow = 1;\n doy = 4;\n\n // TODO: We need to take the current isoWeekYear, but that depends on\n // how we interpret now (local, utc, fixed offset). So create\n // a now version of current config (take local/utc/offset flags, and\n // create now).\n weekYear = defaults(w.GG, config._a[YEAR], weekOfYear(createLocal(), 1, 4).year);\n week = defaults(w.W, 1);\n weekday = defaults(w.E, 1);\n if (weekday < 1 || weekday > 7) {\n weekdayOverflow = true;\n }\n } else {\n dow = config._locale._week.dow;\n doy = config._locale._week.doy;\n\n var curWeek = weekOfYear(createLocal(), dow, doy);\n\n weekYear = defaults(w.gg, config._a[YEAR], curWeek.year);\n\n // Default to current week.\n week = defaults(w.w, curWeek.week);\n\n if (w.d != null) {\n // weekday -- low day numbers are considered next week\n weekday = w.d;\n if (weekday < 0 || weekday > 6) {\n weekdayOverflow = true;\n }\n } else if (w.e != null) {\n // local weekday -- counting starts from beginning of week\n weekday = w.e + dow;\n if (w.e < 0 || w.e > 6) {\n weekdayOverflow = true;\n }\n } else {\n // default to beginning of week\n weekday = dow;\n }\n }\n if (week < 1 || week > weeksInYear(weekYear, dow, doy)) {\n getParsingFlags(config)._overflowWeeks = true;\n } else if (weekdayOverflow != null) {\n getParsingFlags(config)._overflowWeekday = true;\n } else {\n temp = dayOfYearFromWeeks(weekYear, week, weekday, dow, doy);\n config._a[YEAR] = temp.year;\n config._dayOfYear = temp.dayOfYear;\n }\n }\n\n // iso 8601 regex\n // 0000-00-00 0000-W00 or 0000-W00-0 + T + 00 or 00:00 or 00:00:00 or 00:00:00.000 + +00:00 or +0000 or +00)\n var extendedIsoRegex = /^\\s*((?:[+-]\\d{6}|\\d{4})-(?:\\d\\d-\\d\\d|W\\d\\d-\\d|W\\d\\d|\\d\\d\\d|\\d\\d))(?:(T| )(\\d\\d(?::\\d\\d(?::\\d\\d(?:[.,]\\d+)?)?)?)([\\+\\-]\\d\\d(?::?\\d\\d)?|\\s*Z)?)?$/;\n var basicIsoRegex = /^\\s*((?:[+-]\\d{6}|\\d{4})(?:\\d\\d\\d\\d|W\\d\\d\\d|W\\d\\d|\\d\\d\\d|\\d\\d))(?:(T| )(\\d\\d(?:\\d\\d(?:\\d\\d(?:[.,]\\d+)?)?)?)([\\+\\-]\\d\\d(?::?\\d\\d)?|\\s*Z)?)?$/;\n\n var tzRegex = /Z|[+-]\\d\\d(?::?\\d\\d)?/;\n\n var isoDates = [\n ['YYYYYY-MM-DD', /[+-]\\d{6}-\\d\\d-\\d\\d/],\n ['YYYY-MM-DD', /\\d{4}-\\d\\d-\\d\\d/],\n ['GGGG-[W]WW-E', /\\d{4}-W\\d\\d-\\d/],\n ['GGGG-[W]WW', /\\d{4}-W\\d\\d/, false],\n ['YYYY-DDD', /\\d{4}-\\d{3}/],\n ['YYYY-MM', /\\d{4}-\\d\\d/, false],\n ['YYYYYYMMDD', /[+-]\\d{10}/],\n ['YYYYMMDD', /\\d{8}/],\n // YYYYMM is NOT allowed by the standard\n ['GGGG[W]WWE', /\\d{4}W\\d{3}/],\n ['GGGG[W]WW', /\\d{4}W\\d{2}/, false],\n ['YYYYDDD', /\\d{7}/]\n ];\n\n // iso time formats and regexes\n var isoTimes = [\n ['HH:mm:ss.SSSS', /\\d\\d:\\d\\d:\\d\\d\\.\\d+/],\n ['HH:mm:ss,SSSS', /\\d\\d:\\d\\d:\\d\\d,\\d+/],\n ['HH:mm:ss', /\\d\\d:\\d\\d:\\d\\d/],\n ['HH:mm', /\\d\\d:\\d\\d/],\n ['HHmmss.SSSS', /\\d\\d\\d\\d\\d\\d\\.\\d+/],\n ['HHmmss,SSSS', /\\d\\d\\d\\d\\d\\d,\\d+/],\n ['HHmmss', /\\d\\d\\d\\d\\d\\d/],\n ['HHmm', /\\d\\d\\d\\d/],\n ['HH', /\\d\\d/]\n ];\n\n var aspNetJsonRegex = /^\\/?Date\\((\\-?\\d+)/i;\n\n // date from iso format\n function configFromISO(config) {\n var i, l,\n string = config._i,\n match = extendedIsoRegex.exec(string) || basicIsoRegex.exec(string),\n allowTime, dateFormat, timeFormat, tzFormat;\n\n if (match) {\n getParsingFlags(config).iso = true;\n\n for (i = 0, l = isoDates.length; i < l; i++) {\n if (isoDates[i][1].exec(match[1])) {\n dateFormat = isoDates[i][0];\n allowTime = isoDates[i][2] !== false;\n break;\n }\n }\n if (dateFormat == null) {\n config._isValid = false;\n return;\n }\n if (match[3]) {\n for (i = 0, l = isoTimes.length; i < l; i++) {\n if (isoTimes[i][1].exec(match[3])) {\n // match[2] should be 'T' or space\n timeFormat = (match[2] || ' ') + isoTimes[i][0];\n break;\n }\n }\n if (timeFormat == null) {\n config._isValid = false;\n return;\n }\n }\n if (!allowTime && timeFormat != null) {\n config._isValid = false;\n return;\n }\n if (match[4]) {\n if (tzRegex.exec(match[4])) {\n tzFormat = 'Z';\n } else {\n config._isValid = false;\n return;\n }\n }\n config._f = dateFormat + (timeFormat || '') + (tzFormat || '');\n configFromStringAndFormat(config);\n } else {\n config._isValid = false;\n }\n }\n\n // RFC 2822 regex: For details see https://tools.ietf.org/html/rfc2822#section-3.3\n var rfc2822 = /^(?:(Mon|Tue|Wed|Thu|Fri|Sat|Sun),?\\s)?(\\d{1,2})\\s(Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec)\\s(\\d{2,4})\\s(\\d\\d):(\\d\\d)(?::(\\d\\d))?\\s(?:(UT|GMT|[ECMP][SD]T)|([Zz])|([+-]\\d{4}))$/;\n\n function extractFromRFC2822Strings(yearStr, monthStr, dayStr, hourStr, minuteStr, secondStr) {\n var result = [\n untruncateYear(yearStr),\n defaultLocaleMonthsShort.indexOf(monthStr),\n parseInt(dayStr, 10),\n parseInt(hourStr, 10),\n parseInt(minuteStr, 10)\n ];\n\n if (secondStr) {\n result.push(parseInt(secondStr, 10));\n }\n\n return result;\n }\n\n function untruncateYear(yearStr) {\n var year = parseInt(yearStr, 10);\n if (year <= 49) {\n return 2000 + year;\n } else if (year <= 999) {\n return 1900 + year;\n }\n return year;\n }\n\n function preprocessRFC2822(s) {\n // Remove comments and folding whitespace and replace multiple-spaces with a single space\n return s.replace(/\\([^)]*\\)|[\\n\\t]/g, ' ').replace(/(\\s\\s+)/g, ' ').replace(/^\\s\\s*/, '').replace(/\\s\\s*$/, '');\n }\n\n function checkWeekday(weekdayStr, parsedInput, config) {\n if (weekdayStr) {\n // TODO: Replace the vanilla JS Date object with an indepentent day-of-week check.\n var weekdayProvided = defaultLocaleWeekdaysShort.indexOf(weekdayStr),\n weekdayActual = new Date(parsedInput[0], parsedInput[1], parsedInput[2]).getDay();\n if (weekdayProvided !== weekdayActual) {\n getParsingFlags(config).weekdayMismatch = true;\n config._isValid = false;\n return false;\n }\n }\n return true;\n }\n\n var obsOffsets = {\n UT: 0,\n GMT: 0,\n EDT: -4 * 60,\n EST: -5 * 60,\n CDT: -5 * 60,\n CST: -6 * 60,\n MDT: -6 * 60,\n MST: -7 * 60,\n PDT: -7 * 60,\n PST: -8 * 60\n };\n\n function calculateOffset(obsOffset, militaryOffset, numOffset) {\n if (obsOffset) {\n return obsOffsets[obsOffset];\n } else if (militaryOffset) {\n // the only allowed military tz is Z\n return 0;\n } else {\n var hm = parseInt(numOffset, 10);\n var m = hm % 100, h = (hm - m) / 100;\n return h * 60 + m;\n }\n }\n\n // date and time from ref 2822 format\n function configFromRFC2822(config) {\n var match = rfc2822.exec(preprocessRFC2822(config._i));\n if (match) {\n var parsedArray = extractFromRFC2822Strings(match[4], match[3], match[2], match[5], match[6], match[7]);\n if (!checkWeekday(match[1], parsedArray, config)) {\n return;\n }\n\n config._a = parsedArray;\n config._tzm = calculateOffset(match[8], match[9], match[10]);\n\n config._d = createUTCDate.apply(null, config._a);\n config._d.setUTCMinutes(config._d.getUTCMinutes() - config._tzm);\n\n getParsingFlags(config).rfc2822 = true;\n } else {\n config._isValid = false;\n }\n }\n\n // date from iso format or fallback\n function configFromString(config) {\n var matched = aspNetJsonRegex.exec(config._i);\n\n if (matched !== null) {\n config._d = new Date(+matched[1]);\n return;\n }\n\n configFromISO(config);\n if (config._isValid === false) {\n delete config._isValid;\n } else {\n return;\n }\n\n configFromRFC2822(config);\n if (config._isValid === false) {\n delete config._isValid;\n } else {\n return;\n }\n\n // Final attempt, use Input Fallback\n hooks.createFromInputFallback(config);\n }\n\n hooks.createFromInputFallback = deprecate(\n 'value provided is not in a recognized RFC2822 or ISO format. moment construction falls back to js Date(), ' +\n 'which is not reliable across all browsers and versions. Non RFC2822/ISO date formats are ' +\n 'discouraged and will be removed in an upcoming major release. Please refer to ' +\n 'http://momentjs.com/guides/#/warnings/js-date/ for more info.',\n function (config) {\n config._d = new Date(config._i + (config._useUTC ? ' UTC' : ''));\n }\n );\n\n // constant that refers to the ISO standard\n hooks.ISO_8601 = function () {};\n\n // constant that refers to the RFC 2822 form\n hooks.RFC_2822 = function () {};\n\n // date from string and format string\n function configFromStringAndFormat(config) {\n // TODO: Move this to another part of the creation flow to prevent circular deps\n if (config._f === hooks.ISO_8601) {\n configFromISO(config);\n return;\n }\n if (config._f === hooks.RFC_2822) {\n configFromRFC2822(config);\n return;\n }\n config._a = [];\n getParsingFlags(config).empty = true;\n\n // This array is used to make a Date, either with `new Date` or `Date.UTC`\n var string = '' + config._i,\n i, parsedInput, tokens, token, skipped,\n stringLength = string.length,\n totalParsedInputLength = 0;\n\n tokens = expandFormat(config._f, config._locale).match(formattingTokens) || [];\n\n for (i = 0; i < tokens.length; i++) {\n token = tokens[i];\n parsedInput = (string.match(getParseRegexForToken(token, config)) || [])[0];\n // console.log('token', token, 'parsedInput', parsedInput,\n // 'regex', getParseRegexForToken(token, config));\n if (parsedInput) {\n skipped = string.substr(0, string.indexOf(parsedInput));\n if (skipped.length > 0) {\n getParsingFlags(config).unusedInput.push(skipped);\n }\n string = string.slice(string.indexOf(parsedInput) + parsedInput.length);\n totalParsedInputLength += parsedInput.length;\n }\n // don't parse if it's not a known token\n if (formatTokenFunctions[token]) {\n if (parsedInput) {\n getParsingFlags(config).empty = false;\n }\n else {\n getParsingFlags(config).unusedTokens.push(token);\n }\n addTimeToArrayFromToken(token, parsedInput, config);\n }\n else if (config._strict && !parsedInput) {\n getParsingFlags(config).unusedTokens.push(token);\n }\n }\n\n // add remaining unparsed input length to the string\n getParsingFlags(config).charsLeftOver = stringLength - totalParsedInputLength;\n if (string.length > 0) {\n getParsingFlags(config).unusedInput.push(string);\n }\n\n // clear _12h flag if hour is <= 12\n if (config._a[HOUR] <= 12 &&\n getParsingFlags(config).bigHour === true &&\n config._a[HOUR] > 0) {\n getParsingFlags(config).bigHour = undefined;\n }\n\n getParsingFlags(config).parsedDateParts = config._a.slice(0);\n getParsingFlags(config).meridiem = config._meridiem;\n // handle meridiem\n config._a[HOUR] = meridiemFixWrap(config._locale, config._a[HOUR], config._meridiem);\n\n configFromArray(config);\n checkOverflow(config);\n }\n\n\n function meridiemFixWrap (locale, hour, meridiem) {\n var isPm;\n\n if (meridiem == null) {\n // nothing to do\n return hour;\n }\n if (locale.meridiemHour != null) {\n return locale.meridiemHour(hour, meridiem);\n } else if (locale.isPM != null) {\n // Fallback\n isPm = locale.isPM(meridiem);\n if (isPm && hour < 12) {\n hour += 12;\n }\n if (!isPm && hour === 12) {\n hour = 0;\n }\n return hour;\n } else {\n // this is not supposed to happen\n return hour;\n }\n }\n\n // date from string and array of format strings\n function configFromStringAndArray(config) {\n var tempConfig,\n bestMoment,\n\n scoreToBeat,\n i,\n currentScore;\n\n if (config._f.length === 0) {\n getParsingFlags(config).invalidFormat = true;\n config._d = new Date(NaN);\n return;\n }\n\n for (i = 0; i < config._f.length; i++) {\n currentScore = 0;\n tempConfig = copyConfig({}, config);\n if (config._useUTC != null) {\n tempConfig._useUTC = config._useUTC;\n }\n tempConfig._f = config._f[i];\n configFromStringAndFormat(tempConfig);\n\n if (!isValid(tempConfig)) {\n continue;\n }\n\n // if there is any input that was not parsed add a penalty for that format\n currentScore += getParsingFlags(tempConfig).charsLeftOver;\n\n //or tokens\n currentScore += getParsingFlags(tempConfig).unusedTokens.length * 10;\n\n getParsingFlags(tempConfig).score = currentScore;\n\n if (scoreToBeat == null || currentScore < scoreToBeat) {\n scoreToBeat = currentScore;\n bestMoment = tempConfig;\n }\n }\n\n extend(config, bestMoment || tempConfig);\n }\n\n function configFromObject(config) {\n if (config._d) {\n return;\n }\n\n var i = normalizeObjectUnits(config._i);\n config._a = map([i.year, i.month, i.day || i.date, i.hour, i.minute, i.second, i.millisecond], function (obj) {\n return obj && parseInt(obj, 10);\n });\n\n configFromArray(config);\n }\n\n function createFromConfig (config) {\n var res = new Moment(checkOverflow(prepareConfig(config)));\n if (res._nextDay) {\n // Adding is smart enough around DST\n res.add(1, 'd');\n res._nextDay = undefined;\n }\n\n return res;\n }\n\n function prepareConfig (config) {\n var input = config._i,\n format = config._f;\n\n config._locale = config._locale || getLocale(config._l);\n\n if (input === null || (format === undefined && input === '')) {\n return createInvalid({nullInput: true});\n }\n\n if (typeof input === 'string') {\n config._i = input = config._locale.preparse(input);\n }\n\n if (isMoment(input)) {\n return new Moment(checkOverflow(input));\n } else if (isDate(input)) {\n config._d = input;\n } else if (isArray(format)) {\n configFromStringAndArray(config);\n } else if (format) {\n configFromStringAndFormat(config);\n } else {\n configFromInput(config);\n }\n\n if (!isValid(config)) {\n config._d = null;\n }\n\n return config;\n }\n\n function configFromInput(config) {\n var input = config._i;\n if (isUndefined(input)) {\n config._d = new Date(hooks.now());\n } else if (isDate(input)) {\n config._d = new Date(input.valueOf());\n } else if (typeof input === 'string') {\n configFromString(config);\n } else if (isArray(input)) {\n config._a = map(input.slice(0), function (obj) {\n return parseInt(obj, 10);\n });\n configFromArray(config);\n } else if (isObject(input)) {\n configFromObject(config);\n } else if (isNumber(input)) {\n // from milliseconds\n config._d = new Date(input);\n } else {\n hooks.createFromInputFallback(config);\n }\n }\n\n function createLocalOrUTC (input, format, locale, strict, isUTC) {\n var c = {};\n\n if (locale === true || locale === false) {\n strict = locale;\n locale = undefined;\n }\n\n if ((isObject(input) && isObjectEmpty(input)) ||\n (isArray(input) && input.length === 0)) {\n input = undefined;\n }\n // object construction must be done this way.\n // https://github.com/moment/moment/issues/1423\n c._isAMomentObject = true;\n c._useUTC = c._isUTC = isUTC;\n c._l = locale;\n c._i = input;\n c._f = format;\n c._strict = strict;\n\n return createFromConfig(c);\n }\n\n function createLocal (input, format, locale, strict) {\n return createLocalOrUTC(input, format, locale, strict, false);\n }\n\n var prototypeMin = deprecate(\n 'moment().min is deprecated, use moment.max instead. http://momentjs.com/guides/#/warnings/min-max/',\n function () {\n var other = createLocal.apply(null, arguments);\n if (this.isValid() && other.isValid()) {\n return other < this ? this : other;\n } else {\n return createInvalid();\n }\n }\n );\n\n var prototypeMax = deprecate(\n 'moment().max is deprecated, use moment.min instead. http://momentjs.com/guides/#/warnings/min-max/',\n function () {\n var other = createLocal.apply(null, arguments);\n if (this.isValid() && other.isValid()) {\n return other > this ? this : other;\n } else {\n return createInvalid();\n }\n }\n );\n\n // Pick a moment m from moments so that m[fn](other) is true for all\n // other. This relies on the function fn to be transitive.\n //\n // moments should either be an array of moment objects or an array, whose\n // first element is an array of moment objects.\n function pickBy(fn, moments) {\n var res, i;\n if (moments.length === 1 && isArray(moments[0])) {\n moments = moments[0];\n }\n if (!moments.length) {\n return createLocal();\n }\n res = moments[0];\n for (i = 1; i < moments.length; ++i) {\n if (!moments[i].isValid() || moments[i][fn](res)) {\n res = moments[i];\n }\n }\n return res;\n }\n\n // TODO: Use [].sort instead?\n function min () {\n var args = [].slice.call(arguments, 0);\n\n return pickBy('isBefore', args);\n }\n\n function max () {\n var args = [].slice.call(arguments, 0);\n\n return pickBy('isAfter', args);\n }\n\n var now = function () {\n return Date.now ? Date.now() : +(new Date());\n };\n\n var ordering = ['year', 'quarter', 'month', 'week', 'day', 'hour', 'minute', 'second', 'millisecond'];\n\n function isDurationValid(m) {\n for (var key in m) {\n if (!(indexOf.call(ordering, key) !== -1 && (m[key] == null || !isNaN(m[key])))) {\n return false;\n }\n }\n\n var unitHasDecimal = false;\n for (var i = 0; i < ordering.length; ++i) {\n if (m[ordering[i]]) {\n if (unitHasDecimal) {\n return false; // only allow non-integers for smallest unit\n }\n if (parseFloat(m[ordering[i]]) !== toInt(m[ordering[i]])) {\n unitHasDecimal = true;\n }\n }\n }\n\n return true;\n }\n\n function isValid$1() {\n return this._isValid;\n }\n\n function createInvalid$1() {\n return createDuration(NaN);\n }\n\n function Duration (duration) {\n var normalizedInput = normalizeObjectUnits(duration),\n years = normalizedInput.year || 0,\n quarters = normalizedInput.quarter || 0,\n months = normalizedInput.month || 0,\n weeks = normalizedInput.week || normalizedInput.isoWeek || 0,\n days = normalizedInput.day || 0,\n hours = normalizedInput.hour || 0,\n minutes = normalizedInput.minute || 0,\n seconds = normalizedInput.second || 0,\n milliseconds = normalizedInput.millisecond || 0;\n\n this._isValid = isDurationValid(normalizedInput);\n\n // representation for dateAddRemove\n this._milliseconds = +milliseconds +\n seconds * 1e3 + // 1000\n minutes * 6e4 + // 1000 * 60\n hours * 1000 * 60 * 60; //using 1000 * 60 * 60 instead of 36e5 to avoid floating point rounding errors https://github.com/moment/moment/issues/2978\n // Because of dateAddRemove treats 24 hours as different from a\n // day when working around DST, we need to store them separately\n this._days = +days +\n weeks * 7;\n // It is impossible to translate months into days without knowing\n // which months you are are talking about, so we have to store\n // it separately.\n this._months = +months +\n quarters * 3 +\n years * 12;\n\n this._data = {};\n\n this._locale = getLocale();\n\n this._bubble();\n }\n\n function isDuration (obj) {\n return obj instanceof Duration;\n }\n\n function absRound (number) {\n if (number < 0) {\n return Math.round(-1 * number) * -1;\n } else {\n return Math.round(number);\n }\n }\n\n // FORMATTING\n\n function offset (token, separator) {\n addFormatToken(token, 0, 0, function () {\n var offset = this.utcOffset();\n var sign = '+';\n if (offset < 0) {\n offset = -offset;\n sign = '-';\n }\n return sign + zeroFill(~~(offset / 60), 2) + separator + zeroFill(~~(offset) % 60, 2);\n });\n }\n\n offset('Z', ':');\n offset('ZZ', '');\n\n // PARSING\n\n addRegexToken('Z', matchShortOffset);\n addRegexToken('ZZ', matchShortOffset);\n addParseToken(['Z', 'ZZ'], function (input, array, config) {\n config._useUTC = true;\n config._tzm = offsetFromString(matchShortOffset, input);\n });\n\n // HELPERS\n\n // timezone chunker\n // '+10:00' > ['10', '00']\n // '-1530' > ['-15', '30']\n var chunkOffset = /([\\+\\-]|\\d\\d)/gi;\n\n function offsetFromString(matcher, string) {\n var matches = (string || '').match(matcher);\n\n if (matches === null) {\n return null;\n }\n\n var chunk = matches[matches.length - 1] || [];\n var parts = (chunk + '').match(chunkOffset) || ['-', 0, 0];\n var minutes = +(parts[1] * 60) + toInt(parts[2]);\n\n return minutes === 0 ?\n 0 :\n parts[0] === '+' ? minutes : -minutes;\n }\n\n // Return a moment from input, that is local/utc/zone equivalent to model.\n function cloneWithOffset(input, model) {\n var res, diff;\n if (model._isUTC) {\n res = model.clone();\n diff = (isMoment(input) || isDate(input) ? input.valueOf() : createLocal(input).valueOf()) - res.valueOf();\n // Use low-level api, because this fn is low-level api.\n res._d.setTime(res._d.valueOf() + diff);\n hooks.updateOffset(res, false);\n return res;\n } else {\n return createLocal(input).local();\n }\n }\n\n function getDateOffset (m) {\n // On Firefox.24 Date#getTimezoneOffset returns a floating point.\n // https://github.com/moment/moment/pull/1871\n return -Math.round(m._d.getTimezoneOffset() / 15) * 15;\n }\n\n // HOOKS\n\n // This function will be called whenever a moment is mutated.\n // It is intended to keep the offset in sync with the timezone.\n hooks.updateOffset = function () {};\n\n // MOMENTS\n\n // keepLocalTime = true means only change the timezone, without\n // affecting the local hour. So 5:31:26 +0300 --[utcOffset(2, true)]-->\n // 5:31:26 +0200 It is possible that 5:31:26 doesn't exist with offset\n // +0200, so we adjust the time as needed, to be valid.\n //\n // Keeping the time actually adds/subtracts (one hour)\n // from the actual represented time. That is why we call updateOffset\n // a second time. In case it wants us to change the offset again\n // _changeInProgress == true case, then we have to adjust, because\n // there is no such time in the given timezone.\n function getSetOffset (input, keepLocalTime, keepMinutes) {\n var offset = this._offset || 0,\n localAdjust;\n if (!this.isValid()) {\n return input != null ? this : NaN;\n }\n if (input != null) {\n if (typeof input === 'string') {\n input = offsetFromString(matchShortOffset, input);\n if (input === null) {\n return this;\n }\n } else if (Math.abs(input) < 16 && !keepMinutes) {\n input = input * 60;\n }\n if (!this._isUTC && keepLocalTime) {\n localAdjust = getDateOffset(this);\n }\n this._offset = input;\n this._isUTC = true;\n if (localAdjust != null) {\n this.add(localAdjust, 'm');\n }\n if (offset !== input) {\n if (!keepLocalTime || this._changeInProgress) {\n addSubtract(this, createDuration(input - offset, 'm'), 1, false);\n } else if (!this._changeInProgress) {\n this._changeInProgress = true;\n hooks.updateOffset(this, true);\n this._changeInProgress = null;\n }\n }\n return this;\n } else {\n return this._isUTC ? offset : getDateOffset(this);\n }\n }\n\n function getSetZone (input, keepLocalTime) {\n if (input != null) {\n if (typeof input !== 'string') {\n input = -input;\n }\n\n this.utcOffset(input, keepLocalTime);\n\n return this;\n } else {\n return -this.utcOffset();\n }\n }\n\n function setOffsetToUTC (keepLocalTime) {\n return this.utcOffset(0, keepLocalTime);\n }\n\n function setOffsetToLocal (keepLocalTime) {\n if (this._isUTC) {\n this.utcOffset(0, keepLocalTime);\n this._isUTC = false;\n\n if (keepLocalTime) {\n this.subtract(getDateOffset(this), 'm');\n }\n }\n return this;\n }\n\n function setOffsetToParsedOffset () {\n if (this._tzm != null) {\n this.utcOffset(this._tzm, false, true);\n } else if (typeof this._i === 'string') {\n var tZone = offsetFromString(matchOffset, this._i);\n if (tZone != null) {\n this.utcOffset(tZone);\n }\n else {\n this.utcOffset(0, true);\n }\n }\n return this;\n }\n\n function hasAlignedHourOffset (input) {\n if (!this.isValid()) {\n return false;\n }\n input = input ? createLocal(input).utcOffset() : 0;\n\n return (this.utcOffset() - input) % 60 === 0;\n }\n\n function isDaylightSavingTime () {\n return (\n this.utcOffset() > this.clone().month(0).utcOffset() ||\n this.utcOffset() > this.clone().month(5).utcOffset()\n );\n }\n\n function isDaylightSavingTimeShifted () {\n if (!isUndefined(this._isDSTShifted)) {\n return this._isDSTShifted;\n }\n\n var c = {};\n\n copyConfig(c, this);\n c = prepareConfig(c);\n\n if (c._a) {\n var other = c._isUTC ? createUTC(c._a) : createLocal(c._a);\n this._isDSTShifted = this.isValid() &&\n compareArrays(c._a, other.toArray()) > 0;\n } else {\n this._isDSTShifted = false;\n }\n\n return this._isDSTShifted;\n }\n\n function isLocal () {\n return this.isValid() ? !this._isUTC : false;\n }\n\n function isUtcOffset () {\n return this.isValid() ? this._isUTC : false;\n }\n\n function isUtc () {\n return this.isValid() ? this._isUTC && this._offset === 0 : false;\n }\n\n // ASP.NET json date format regex\n var aspNetRegex = /^(\\-|\\+)?(?:(\\d*)[. ])?(\\d+)\\:(\\d+)(?:\\:(\\d+)(\\.\\d*)?)?$/;\n\n // from http://docs.closure-library.googlecode.com/git/closure_goog_date_date.js.source.html\n // somewhat more in line with 4.4.3.2 2004 spec, but allows decimal anywhere\n // and further modified to allow for strings containing both week and day\n var isoRegex = /^(-|\\+)?P(?:([-+]?[0-9,.]*)Y)?(?:([-+]?[0-9,.]*)M)?(?:([-+]?[0-9,.]*)W)?(?:([-+]?[0-9,.]*)D)?(?:T(?:([-+]?[0-9,.]*)H)?(?:([-+]?[0-9,.]*)M)?(?:([-+]?[0-9,.]*)S)?)?$/;\n\n function createDuration (input, key) {\n var duration = input,\n // matching against regexp is expensive, do it on demand\n match = null,\n sign,\n ret,\n diffRes;\n\n if (isDuration(input)) {\n duration = {\n ms : input._milliseconds,\n d : input._days,\n M : input._months\n };\n } else if (isNumber(input)) {\n duration = {};\n if (key) {\n duration[key] = input;\n } else {\n duration.milliseconds = input;\n }\n } else if (!!(match = aspNetRegex.exec(input))) {\n sign = (match[1] === '-') ? -1 : 1;\n duration = {\n y : 0,\n d : toInt(match[DATE]) * sign,\n h : toInt(match[HOUR]) * sign,\n m : toInt(match[MINUTE]) * sign,\n s : toInt(match[SECOND]) * sign,\n ms : toInt(absRound(match[MILLISECOND] * 1000)) * sign // the millisecond decimal point is included in the match\n };\n } else if (!!(match = isoRegex.exec(input))) {\n sign = (match[1] === '-') ? -1 : 1;\n duration = {\n y : parseIso(match[2], sign),\n M : parseIso(match[3], sign),\n w : parseIso(match[4], sign),\n d : parseIso(match[5], sign),\n h : parseIso(match[6], sign),\n m : parseIso(match[7], sign),\n s : parseIso(match[8], sign)\n };\n } else if (duration == null) {// checks for null or undefined\n duration = {};\n } else if (typeof duration === 'object' && ('from' in duration || 'to' in duration)) {\n diffRes = momentsDifference(createLocal(duration.from), createLocal(duration.to));\n\n duration = {};\n duration.ms = diffRes.milliseconds;\n duration.M = diffRes.months;\n }\n\n ret = new Duration(duration);\n\n if (isDuration(input) && hasOwnProp(input, '_locale')) {\n ret._locale = input._locale;\n }\n\n return ret;\n }\n\n createDuration.fn = Duration.prototype;\n createDuration.invalid = createInvalid$1;\n\n function parseIso (inp, sign) {\n // We'd normally use ~~inp for this, but unfortunately it also\n // converts floats to ints.\n // inp may be undefined, so careful calling replace on it.\n var res = inp && parseFloat(inp.replace(',', '.'));\n // apply sign while we're at it\n return (isNaN(res) ? 0 : res) * sign;\n }\n\n function positiveMomentsDifference(base, other) {\n var res = {};\n\n res.months = other.month() - base.month() +\n (other.year() - base.year()) * 12;\n if (base.clone().add(res.months, 'M').isAfter(other)) {\n --res.months;\n }\n\n res.milliseconds = +other - +(base.clone().add(res.months, 'M'));\n\n return res;\n }\n\n function momentsDifference(base, other) {\n var res;\n if (!(base.isValid() && other.isValid())) {\n return {milliseconds: 0, months: 0};\n }\n\n other = cloneWithOffset(other, base);\n if (base.isBefore(other)) {\n res = positiveMomentsDifference(base, other);\n } else {\n res = positiveMomentsDifference(other, base);\n res.milliseconds = -res.milliseconds;\n res.months = -res.months;\n }\n\n return res;\n }\n\n // TODO: remove 'name' arg after deprecation is removed\n function createAdder(direction, name) {\n return function (val, period) {\n var dur, tmp;\n //invert the arguments, but complain about it\n if (period !== null && !isNaN(+period)) {\n deprecateSimple(name, 'moment().' + name + '(period, number) is deprecated. Please use moment().' + name + '(number, period). ' +\n 'See http://momentjs.com/guides/#/warnings/add-inverted-param/ for more info.');\n tmp = val; val = period; period = tmp;\n }\n\n val = typeof val === 'string' ? +val : val;\n dur = createDuration(val, period);\n addSubtract(this, dur, direction);\n return this;\n };\n }\n\n function addSubtract (mom, duration, isAdding, updateOffset) {\n var milliseconds = duration._milliseconds,\n days = absRound(duration._days),\n months = absRound(duration._months);\n\n if (!mom.isValid()) {\n // No op\n return;\n }\n\n updateOffset = updateOffset == null ? true : updateOffset;\n\n if (months) {\n setMonth(mom, get(mom, 'Month') + months * isAdding);\n }\n if (days) {\n set$1(mom, 'Date', get(mom, 'Date') + days * isAdding);\n }\n if (milliseconds) {\n mom._d.setTime(mom._d.valueOf() + milliseconds * isAdding);\n }\n if (updateOffset) {\n hooks.updateOffset(mom, days || months);\n }\n }\n\n var add = createAdder(1, 'add');\n var subtract = createAdder(-1, 'subtract');\n\n function getCalendarFormat(myMoment, now) {\n var diff = myMoment.diff(now, 'days', true);\n return diff < -6 ? 'sameElse' :\n diff < -1 ? 'lastWeek' :\n diff < 0 ? 'lastDay' :\n diff < 1 ? 'sameDay' :\n diff < 2 ? 'nextDay' :\n diff < 7 ? 'nextWeek' : 'sameElse';\n }\n\n function calendar$1 (time, formats) {\n // We want to compare the start of today, vs this.\n // Getting start-of-today depends on whether we're local/utc/offset or not.\n var now = time || createLocal(),\n sod = cloneWithOffset(now, this).startOf('day'),\n format = hooks.calendarFormat(this, sod) || 'sameElse';\n\n var output = formats && (isFunction(formats[format]) ? formats[format].call(this, now) : formats[format]);\n\n return this.format(output || this.localeData().calendar(format, this, createLocal(now)));\n }\n\n function clone () {\n return new Moment(this);\n }\n\n function isAfter (input, units) {\n var localInput = isMoment(input) ? input : createLocal(input);\n if (!(this.isValid() && localInput.isValid())) {\n return false;\n }\n units = normalizeUnits(units) || 'millisecond';\n if (units === 'millisecond') {\n return this.valueOf() > localInput.valueOf();\n } else {\n return localInput.valueOf() < this.clone().startOf(units).valueOf();\n }\n }\n\n function isBefore (input, units) {\n var localInput = isMoment(input) ? input : createLocal(input);\n if (!(this.isValid() && localInput.isValid())) {\n return false;\n }\n units = normalizeUnits(units) || 'millisecond';\n if (units === 'millisecond') {\n return this.valueOf() < localInput.valueOf();\n } else {\n return this.clone().endOf(units).valueOf() < localInput.valueOf();\n }\n }\n\n function isBetween (from, to, units, inclusivity) {\n var localFrom = isMoment(from) ? from : createLocal(from),\n localTo = isMoment(to) ? to : createLocal(to);\n if (!(this.isValid() && localFrom.isValid() && localTo.isValid())) {\n return false;\n }\n inclusivity = inclusivity || '()';\n return (inclusivity[0] === '(' ? this.isAfter(localFrom, units) : !this.isBefore(localFrom, units)) &&\n (inclusivity[1] === ')' ? this.isBefore(localTo, units) : !this.isAfter(localTo, units));\n }\n\n function isSame (input, units) {\n var localInput = isMoment(input) ? input : createLocal(input),\n inputMs;\n if (!(this.isValid() && localInput.isValid())) {\n return false;\n }\n units = normalizeUnits(units) || 'millisecond';\n if (units === 'millisecond') {\n return this.valueOf() === localInput.valueOf();\n } else {\n inputMs = localInput.valueOf();\n return this.clone().startOf(units).valueOf() <= inputMs && inputMs <= this.clone().endOf(units).valueOf();\n }\n }\n\n function isSameOrAfter (input, units) {\n return this.isSame(input, units) || this.isAfter(input, units);\n }\n\n function isSameOrBefore (input, units) {\n return this.isSame(input, units) || this.isBefore(input, units);\n }\n\n function diff (input, units, asFloat) {\n var that,\n zoneDelta,\n output;\n\n if (!this.isValid()) {\n return NaN;\n }\n\n that = cloneWithOffset(input, this);\n\n if (!that.isValid()) {\n return NaN;\n }\n\n zoneDelta = (that.utcOffset() - this.utcOffset()) * 6e4;\n\n units = normalizeUnits(units);\n\n switch (units) {\n case 'year': output = monthDiff(this, that) / 12; break;\n case 'month': output = monthDiff(this, that); break;\n case 'quarter': output = monthDiff(this, that) / 3; break;\n case 'second': output = (this - that) / 1e3; break; // 1000\n case 'minute': output = (this - that) / 6e4; break; // 1000 * 60\n case 'hour': output = (this - that) / 36e5; break; // 1000 * 60 * 60\n case 'day': output = (this - that - zoneDelta) / 864e5; break; // 1000 * 60 * 60 * 24, negate dst\n case 'week': output = (this - that - zoneDelta) / 6048e5; break; // 1000 * 60 * 60 * 24 * 7, negate dst\n default: output = this - that;\n }\n\n return asFloat ? output : absFloor(output);\n }\n\n function monthDiff (a, b) {\n // difference in months\n var wholeMonthDiff = ((b.year() - a.year()) * 12) + (b.month() - a.month()),\n // b is in (anchor - 1 month, anchor + 1 month)\n anchor = a.clone().add(wholeMonthDiff, 'months'),\n anchor2, adjust;\n\n if (b - anchor < 0) {\n anchor2 = a.clone().add(wholeMonthDiff - 1, 'months');\n // linear across the month\n adjust = (b - anchor) / (anchor - anchor2);\n } else {\n anchor2 = a.clone().add(wholeMonthDiff + 1, 'months');\n // linear across the month\n adjust = (b - anchor) / (anchor2 - anchor);\n }\n\n //check for negative zero, return zero if negative zero\n return -(wholeMonthDiff + adjust) || 0;\n }\n\n hooks.defaultFormat = 'YYYY-MM-DDTHH:mm:ssZ';\n hooks.defaultFormatUtc = 'YYYY-MM-DDTHH:mm:ss[Z]';\n\n function toString () {\n return this.clone().locale('en').format('ddd MMM DD YYYY HH:mm:ss [GMT]ZZ');\n }\n\n function toISOString(keepOffset) {\n if (!this.isValid()) {\n return null;\n }\n var utc = keepOffset !== true;\n var m = utc ? this.clone().utc() : this;\n if (m.year() < 0 || m.year() > 9999) {\n return formatMoment(m, utc ? 'YYYYYY-MM-DD[T]HH:mm:ss.SSS[Z]' : 'YYYYYY-MM-DD[T]HH:mm:ss.SSSZ');\n }\n if (isFunction(Date.prototype.toISOString)) {\n // native implementation is ~50x faster, use it when we can\n if (utc) {\n return this.toDate().toISOString();\n } else {\n return new Date(this.valueOf() + this.utcOffset() * 60 * 1000).toISOString().replace('Z', formatMoment(m, 'Z'));\n }\n }\n return formatMoment(m, utc ? 'YYYY-MM-DD[T]HH:mm:ss.SSS[Z]' : 'YYYY-MM-DD[T]HH:mm:ss.SSSZ');\n }\n\n /**\n * Return a human readable representation of a moment that can\n * also be evaluated to get a new moment which is the same\n *\n * @link https://nodejs.org/dist/latest/docs/api/util.html#util_custom_inspect_function_on_objects\n */\n function inspect () {\n if (!this.isValid()) {\n return 'moment.invalid(/* ' + this._i + ' */)';\n }\n var func = 'moment';\n var zone = '';\n if (!this.isLocal()) {\n func = this.utcOffset() === 0 ? 'moment.utc' : 'moment.parseZone';\n zone = 'Z';\n }\n var prefix = '[' + func + '(\"]';\n var year = (0 <= this.year() && this.year() <= 9999) ? 'YYYY' : 'YYYYYY';\n var datetime = '-MM-DD[T]HH:mm:ss.SSS';\n var suffix = zone + '[\")]';\n\n return this.format(prefix + year + datetime + suffix);\n }\n\n function format (inputString) {\n if (!inputString) {\n inputString = this.isUtc() ? hooks.defaultFormatUtc : hooks.defaultFormat;\n }\n var output = formatMoment(this, inputString);\n return this.localeData().postformat(output);\n }\n\n function from (time, withoutSuffix) {\n if (this.isValid() &&\n ((isMoment(time) && time.isValid()) ||\n createLocal(time).isValid())) {\n return createDuration({to: this, from: time}).locale(this.locale()).humanize(!withoutSuffix);\n } else {\n return this.localeData().invalidDate();\n }\n }\n\n function fromNow (withoutSuffix) {\n return this.from(createLocal(), withoutSuffix);\n }\n\n function to (time, withoutSuffix) {\n if (this.isValid() &&\n ((isMoment(time) && time.isValid()) ||\n createLocal(time).isValid())) {\n return createDuration({from: this, to: time}).locale(this.locale()).humanize(!withoutSuffix);\n } else {\n return this.localeData().invalidDate();\n }\n }\n\n function toNow (withoutSuffix) {\n return this.to(createLocal(), withoutSuffix);\n }\n\n // If passed a locale key, it will set the locale for this\n // instance. Otherwise, it will return the locale configuration\n // variables for this instance.\n function locale (key) {\n var newLocaleData;\n\n if (key === undefined) {\n return this._locale._abbr;\n } else {\n newLocaleData = getLocale(key);\n if (newLocaleData != null) {\n this._locale = newLocaleData;\n }\n return this;\n }\n }\n\n var lang = deprecate(\n 'moment().lang() is deprecated. Instead, use moment().localeData() to get the language configuration. Use moment().locale() to change languages.',\n function (key) {\n if (key === undefined) {\n return this.localeData();\n } else {\n return this.locale(key);\n }\n }\n );\n\n function localeData () {\n return this._locale;\n }\n\n var MS_PER_SECOND = 1000;\n var MS_PER_MINUTE = 60 * MS_PER_SECOND;\n var MS_PER_HOUR = 60 * MS_PER_MINUTE;\n var MS_PER_400_YEARS = (365 * 400 + 97) * 24 * MS_PER_HOUR;\n\n // actual modulo - handles negative numbers (for dates before 1970):\n function mod$1(dividend, divisor) {\n return (dividend % divisor + divisor) % divisor;\n }\n\n function localStartOfDate(y, m, d) {\n // the date constructor remaps years 0-99 to 1900-1999\n if (y < 100 && y >= 0) {\n // preserve leap years using a full 400 year cycle, then reset\n return new Date(y + 400, m, d) - MS_PER_400_YEARS;\n } else {\n return new Date(y, m, d).valueOf();\n }\n }\n\n function utcStartOfDate(y, m, d) {\n // Date.UTC remaps years 0-99 to 1900-1999\n if (y < 100 && y >= 0) {\n // preserve leap years using a full 400 year cycle, then reset\n return Date.UTC(y + 400, m, d) - MS_PER_400_YEARS;\n } else {\n return Date.UTC(y, m, d);\n }\n }\n\n function startOf (units) {\n var time;\n units = normalizeUnits(units);\n if (units === undefined || units === 'millisecond' || !this.isValid()) {\n return this;\n }\n\n var startOfDate = this._isUTC ? utcStartOfDate : localStartOfDate;\n\n switch (units) {\n case 'year':\n time = startOfDate(this.year(), 0, 1);\n break;\n case 'quarter':\n time = startOfDate(this.year(), this.month() - this.month() % 3, 1);\n break;\n case 'month':\n time = startOfDate(this.year(), this.month(), 1);\n break;\n case 'week':\n time = startOfDate(this.year(), this.month(), this.date() - this.weekday());\n break;\n case 'isoWeek':\n time = startOfDate(this.year(), this.month(), this.date() - (this.isoWeekday() - 1));\n break;\n case 'day':\n case 'date':\n time = startOfDate(this.year(), this.month(), this.date());\n break;\n case 'hour':\n time = this._d.valueOf();\n time -= mod$1(time + (this._isUTC ? 0 : this.utcOffset() * MS_PER_MINUTE), MS_PER_HOUR);\n break;\n case 'minute':\n time = this._d.valueOf();\n time -= mod$1(time, MS_PER_MINUTE);\n break;\n case 'second':\n time = this._d.valueOf();\n time -= mod$1(time, MS_PER_SECOND);\n break;\n }\n\n this._d.setTime(time);\n hooks.updateOffset(this, true);\n return this;\n }\n\n function endOf (units) {\n var time;\n units = normalizeUnits(units);\n if (units === undefined || units === 'millisecond' || !this.isValid()) {\n return this;\n }\n\n var startOfDate = this._isUTC ? utcStartOfDate : localStartOfDate;\n\n switch (units) {\n case 'year':\n time = startOfDate(this.year() + 1, 0, 1) - 1;\n break;\n case 'quarter':\n time = startOfDate(this.year(), this.month() - this.month() % 3 + 3, 1) - 1;\n break;\n case 'month':\n time = startOfDate(this.year(), this.month() + 1, 1) - 1;\n break;\n case 'week':\n time = startOfDate(this.year(), this.month(), this.date() - this.weekday() + 7) - 1;\n break;\n case 'isoWeek':\n time = startOfDate(this.year(), this.month(), this.date() - (this.isoWeekday() - 1) + 7) - 1;\n break;\n case 'day':\n case 'date':\n time = startOfDate(this.year(), this.month(), this.date() + 1) - 1;\n break;\n case 'hour':\n time = this._d.valueOf();\n time += MS_PER_HOUR - mod$1(time + (this._isUTC ? 0 : this.utcOffset() * MS_PER_MINUTE), MS_PER_HOUR) - 1;\n break;\n case 'minute':\n time = this._d.valueOf();\n time += MS_PER_MINUTE - mod$1(time, MS_PER_MINUTE) - 1;\n break;\n case 'second':\n time = this._d.valueOf();\n time += MS_PER_SECOND - mod$1(time, MS_PER_SECOND) - 1;\n break;\n }\n\n this._d.setTime(time);\n hooks.updateOffset(this, true);\n return this;\n }\n\n function valueOf () {\n return this._d.valueOf() - ((this._offset || 0) * 60000);\n }\n\n function unix () {\n return Math.floor(this.valueOf() / 1000);\n }\n\n function toDate () {\n return new Date(this.valueOf());\n }\n\n function toArray () {\n var m = this;\n return [m.year(), m.month(), m.date(), m.hour(), m.minute(), m.second(), m.millisecond()];\n }\n\n function toObject () {\n var m = this;\n return {\n years: m.year(),\n months: m.month(),\n date: m.date(),\n hours: m.hours(),\n minutes: m.minutes(),\n seconds: m.seconds(),\n milliseconds: m.milliseconds()\n };\n }\n\n function toJSON () {\n // new Date(NaN).toJSON() === null\n return this.isValid() ? this.toISOString() : null;\n }\n\n function isValid$2 () {\n return isValid(this);\n }\n\n function parsingFlags () {\n return extend({}, getParsingFlags(this));\n }\n\n function invalidAt () {\n return getParsingFlags(this).overflow;\n }\n\n function creationData() {\n return {\n input: this._i,\n format: this._f,\n locale: this._locale,\n isUTC: this._isUTC,\n strict: this._strict\n };\n }\n\n // FORMATTING\n\n addFormatToken(0, ['gg', 2], 0, function () {\n return this.weekYear() % 100;\n });\n\n addFormatToken(0, ['GG', 2], 0, function () {\n return this.isoWeekYear() % 100;\n });\n\n function addWeekYearFormatToken (token, getter) {\n addFormatToken(0, [token, token.length], 0, getter);\n }\n\n addWeekYearFormatToken('gggg', 'weekYear');\n addWeekYearFormatToken('ggggg', 'weekYear');\n addWeekYearFormatToken('GGGG', 'isoWeekYear');\n addWeekYearFormatToken('GGGGG', 'isoWeekYear');\n\n // ALIASES\n\n addUnitAlias('weekYear', 'gg');\n addUnitAlias('isoWeekYear', 'GG');\n\n // PRIORITY\n\n addUnitPriority('weekYear', 1);\n addUnitPriority('isoWeekYear', 1);\n\n\n // PARSING\n\n addRegexToken('G', matchSigned);\n addRegexToken('g', matchSigned);\n addRegexToken('GG', match1to2, match2);\n addRegexToken('gg', match1to2, match2);\n addRegexToken('GGGG', match1to4, match4);\n addRegexToken('gggg', match1to4, match4);\n addRegexToken('GGGGG', match1to6, match6);\n addRegexToken('ggggg', match1to6, match6);\n\n addWeekParseToken(['gggg', 'ggggg', 'GGGG', 'GGGGG'], function (input, week, config, token) {\n week[token.substr(0, 2)] = toInt(input);\n });\n\n addWeekParseToken(['gg', 'GG'], function (input, week, config, token) {\n week[token] = hooks.parseTwoDigitYear(input);\n });\n\n // MOMENTS\n\n function getSetWeekYear (input) {\n return getSetWeekYearHelper.call(this,\n input,\n this.week(),\n this.weekday(),\n this.localeData()._week.dow,\n this.localeData()._week.doy);\n }\n\n function getSetISOWeekYear (input) {\n return getSetWeekYearHelper.call(this,\n input, this.isoWeek(), this.isoWeekday(), 1, 4);\n }\n\n function getISOWeeksInYear () {\n return weeksInYear(this.year(), 1, 4);\n }\n\n function getWeeksInYear () {\n var weekInfo = this.localeData()._week;\n return weeksInYear(this.year(), weekInfo.dow, weekInfo.doy);\n }\n\n function getSetWeekYearHelper(input, week, weekday, dow, doy) {\n var weeksTarget;\n if (input == null) {\n return weekOfYear(this, dow, doy).year;\n } else {\n weeksTarget = weeksInYear(input, dow, doy);\n if (week > weeksTarget) {\n week = weeksTarget;\n }\n return setWeekAll.call(this, input, week, weekday, dow, doy);\n }\n }\n\n function setWeekAll(weekYear, week, weekday, dow, doy) {\n var dayOfYearData = dayOfYearFromWeeks(weekYear, week, weekday, dow, doy),\n date = createUTCDate(dayOfYearData.year, 0, dayOfYearData.dayOfYear);\n\n this.year(date.getUTCFullYear());\n this.month(date.getUTCMonth());\n this.date(date.getUTCDate());\n return this;\n }\n\n // FORMATTING\n\n addFormatToken('Q', 0, 'Qo', 'quarter');\n\n // ALIASES\n\n addUnitAlias('quarter', 'Q');\n\n // PRIORITY\n\n addUnitPriority('quarter', 7);\n\n // PARSING\n\n addRegexToken('Q', match1);\n addParseToken('Q', function (input, array) {\n array[MONTH] = (toInt(input) - 1) * 3;\n });\n\n // MOMENTS\n\n function getSetQuarter (input) {\n return input == null ? Math.ceil((this.month() + 1) / 3) : this.month((input - 1) * 3 + this.month() % 3);\n }\n\n // FORMATTING\n\n addFormatToken('D', ['DD', 2], 'Do', 'date');\n\n // ALIASES\n\n addUnitAlias('date', 'D');\n\n // PRIORITY\n addUnitPriority('date', 9);\n\n // PARSING\n\n addRegexToken('D', match1to2);\n addRegexToken('DD', match1to2, match2);\n addRegexToken('Do', function (isStrict, locale) {\n // TODO: Remove \"ordinalParse\" fallback in next major release.\n return isStrict ?\n (locale._dayOfMonthOrdinalParse || locale._ordinalParse) :\n locale._dayOfMonthOrdinalParseLenient;\n });\n\n addParseToken(['D', 'DD'], DATE);\n addParseToken('Do', function (input, array) {\n array[DATE] = toInt(input.match(match1to2)[0]);\n });\n\n // MOMENTS\n\n var getSetDayOfMonth = makeGetSet('Date', true);\n\n // FORMATTING\n\n addFormatToken('DDD', ['DDDD', 3], 'DDDo', 'dayOfYear');\n\n // ALIASES\n\n addUnitAlias('dayOfYear', 'DDD');\n\n // PRIORITY\n addUnitPriority('dayOfYear', 4);\n\n // PARSING\n\n addRegexToken('DDD', match1to3);\n addRegexToken('DDDD', match3);\n addParseToken(['DDD', 'DDDD'], function (input, array, config) {\n config._dayOfYear = toInt(input);\n });\n\n // HELPERS\n\n // MOMENTS\n\n function getSetDayOfYear (input) {\n var dayOfYear = Math.round((this.clone().startOf('day') - this.clone().startOf('year')) / 864e5) + 1;\n return input == null ? dayOfYear : this.add((input - dayOfYear), 'd');\n }\n\n // FORMATTING\n\n addFormatToken('m', ['mm', 2], 0, 'minute');\n\n // ALIASES\n\n addUnitAlias('minute', 'm');\n\n // PRIORITY\n\n addUnitPriority('minute', 14);\n\n // PARSING\n\n addRegexToken('m', match1to2);\n addRegexToken('mm', match1to2, match2);\n addParseToken(['m', 'mm'], MINUTE);\n\n // MOMENTS\n\n var getSetMinute = makeGetSet('Minutes', false);\n\n // FORMATTING\n\n addFormatToken('s', ['ss', 2], 0, 'second');\n\n // ALIASES\n\n addUnitAlias('second', 's');\n\n // PRIORITY\n\n addUnitPriority('second', 15);\n\n // PARSING\n\n addRegexToken('s', match1to2);\n addRegexToken('ss', match1to2, match2);\n addParseToken(['s', 'ss'], SECOND);\n\n // MOMENTS\n\n var getSetSecond = makeGetSet('Seconds', false);\n\n // FORMATTING\n\n addFormatToken('S', 0, 0, function () {\n return ~~(this.millisecond() / 100);\n });\n\n addFormatToken(0, ['SS', 2], 0, function () {\n return ~~(this.millisecond() / 10);\n });\n\n addFormatToken(0, ['SSS', 3], 0, 'millisecond');\n addFormatToken(0, ['SSSS', 4], 0, function () {\n return this.millisecond() * 10;\n });\n addFormatToken(0, ['SSSSS', 5], 0, function () {\n return this.millisecond() * 100;\n });\n addFormatToken(0, ['SSSSSS', 6], 0, function () {\n return this.millisecond() * 1000;\n });\n addFormatToken(0, ['SSSSSSS', 7], 0, function () {\n return this.millisecond() * 10000;\n });\n addFormatToken(0, ['SSSSSSSS', 8], 0, function () {\n return this.millisecond() * 100000;\n });\n addFormatToken(0, ['SSSSSSSSS', 9], 0, function () {\n return this.millisecond() * 1000000;\n });\n\n\n // ALIASES\n\n addUnitAlias('millisecond', 'ms');\n\n // PRIORITY\n\n addUnitPriority('millisecond', 16);\n\n // PARSING\n\n addRegexToken('S', match1to3, match1);\n addRegexToken('SS', match1to3, match2);\n addRegexToken('SSS', match1to3, match3);\n\n var token;\n for (token = 'SSSS'; token.length <= 9; token += 'S') {\n addRegexToken(token, matchUnsigned);\n }\n\n function parseMs(input, array) {\n array[MILLISECOND] = toInt(('0.' + input) * 1000);\n }\n\n for (token = 'S'; token.length <= 9; token += 'S') {\n addParseToken(token, parseMs);\n }\n // MOMENTS\n\n var getSetMillisecond = makeGetSet('Milliseconds', false);\n\n // FORMATTING\n\n addFormatToken('z', 0, 0, 'zoneAbbr');\n addFormatToken('zz', 0, 0, 'zoneName');\n\n // MOMENTS\n\n function getZoneAbbr () {\n return this._isUTC ? 'UTC' : '';\n }\n\n function getZoneName () {\n return this._isUTC ? 'Coordinated Universal Time' : '';\n }\n\n var proto = Moment.prototype;\n\n proto.add = add;\n proto.calendar = calendar$1;\n proto.clone = clone;\n proto.diff = diff;\n proto.endOf = endOf;\n proto.format = format;\n proto.from = from;\n proto.fromNow = fromNow;\n proto.to = to;\n proto.toNow = toNow;\n proto.get = stringGet;\n proto.invalidAt = invalidAt;\n proto.isAfter = isAfter;\n proto.isBefore = isBefore;\n proto.isBetween = isBetween;\n proto.isSame = isSame;\n proto.isSameOrAfter = isSameOrAfter;\n proto.isSameOrBefore = isSameOrBefore;\n proto.isValid = isValid$2;\n proto.lang = lang;\n proto.locale = locale;\n proto.localeData = localeData;\n proto.max = prototypeMax;\n proto.min = prototypeMin;\n proto.parsingFlags = parsingFlags;\n proto.set = stringSet;\n proto.startOf = startOf;\n proto.subtract = subtract;\n proto.toArray = toArray;\n proto.toObject = toObject;\n proto.toDate = toDate;\n proto.toISOString = toISOString;\n proto.inspect = inspect;\n proto.toJSON = toJSON;\n proto.toString = toString;\n proto.unix = unix;\n proto.valueOf = valueOf;\n proto.creationData = creationData;\n proto.year = getSetYear;\n proto.isLeapYear = getIsLeapYear;\n proto.weekYear = getSetWeekYear;\n proto.isoWeekYear = getSetISOWeekYear;\n proto.quarter = proto.quarters = getSetQuarter;\n proto.month = getSetMonth;\n proto.daysInMonth = getDaysInMonth;\n proto.week = proto.weeks = getSetWeek;\n proto.isoWeek = proto.isoWeeks = getSetISOWeek;\n proto.weeksInYear = getWeeksInYear;\n proto.isoWeeksInYear = getISOWeeksInYear;\n proto.date = getSetDayOfMonth;\n proto.day = proto.days = getSetDayOfWeek;\n proto.weekday = getSetLocaleDayOfWeek;\n proto.isoWeekday = getSetISODayOfWeek;\n proto.dayOfYear = getSetDayOfYear;\n proto.hour = proto.hours = getSetHour;\n proto.minute = proto.minutes = getSetMinute;\n proto.second = proto.seconds = getSetSecond;\n proto.millisecond = proto.milliseconds = getSetMillisecond;\n proto.utcOffset = getSetOffset;\n proto.utc = setOffsetToUTC;\n proto.local = setOffsetToLocal;\n proto.parseZone = setOffsetToParsedOffset;\n proto.hasAlignedHourOffset = hasAlignedHourOffset;\n proto.isDST = isDaylightSavingTime;\n proto.isLocal = isLocal;\n proto.isUtcOffset = isUtcOffset;\n proto.isUtc = isUtc;\n proto.isUTC = isUtc;\n proto.zoneAbbr = getZoneAbbr;\n proto.zoneName = getZoneName;\n proto.dates = deprecate('dates accessor is deprecated. Use date instead.', getSetDayOfMonth);\n proto.months = deprecate('months accessor is deprecated. Use month instead', getSetMonth);\n proto.years = deprecate('years accessor is deprecated. Use year instead', getSetYear);\n proto.zone = deprecate('moment().zone is deprecated, use moment().utcOffset instead. http://momentjs.com/guides/#/warnings/zone/', getSetZone);\n proto.isDSTShifted = deprecate('isDSTShifted is deprecated. See http://momentjs.com/guides/#/warnings/dst-shifted/ for more information', isDaylightSavingTimeShifted);\n\n function createUnix (input) {\n return createLocal(input * 1000);\n }\n\n function createInZone () {\n return createLocal.apply(null, arguments).parseZone();\n }\n\n function preParsePostFormat (string) {\n return string;\n }\n\n var proto$1 = Locale.prototype;\n\n proto$1.calendar = calendar;\n proto$1.longDateFormat = longDateFormat;\n proto$1.invalidDate = invalidDate;\n proto$1.ordinal = ordinal;\n proto$1.preparse = preParsePostFormat;\n proto$1.postformat = preParsePostFormat;\n proto$1.relativeTime = relativeTime;\n proto$1.pastFuture = pastFuture;\n proto$1.set = set;\n\n proto$1.months = localeMonths;\n proto$1.monthsShort = localeMonthsShort;\n proto$1.monthsParse = localeMonthsParse;\n proto$1.monthsRegex = monthsRegex;\n proto$1.monthsShortRegex = monthsShortRegex;\n proto$1.week = localeWeek;\n proto$1.firstDayOfYear = localeFirstDayOfYear;\n proto$1.firstDayOfWeek = localeFirstDayOfWeek;\n\n proto$1.weekdays = localeWeekdays;\n proto$1.weekdaysMin = localeWeekdaysMin;\n proto$1.weekdaysShort = localeWeekdaysShort;\n proto$1.weekdaysParse = localeWeekdaysParse;\n\n proto$1.weekdaysRegex = weekdaysRegex;\n proto$1.weekdaysShortRegex = weekdaysShortRegex;\n proto$1.weekdaysMinRegex = weekdaysMinRegex;\n\n proto$1.isPM = localeIsPM;\n proto$1.meridiem = localeMeridiem;\n\n function get$1 (format, index, field, setter) {\n var locale = getLocale();\n var utc = createUTC().set(setter, index);\n return locale[field](utc, format);\n }\n\n function listMonthsImpl (format, index, field) {\n if (isNumber(format)) {\n index = format;\n format = undefined;\n }\n\n format = format || '';\n\n if (index != null) {\n return get$1(format, index, field, 'month');\n }\n\n var i;\n var out = [];\n for (i = 0; i < 12; i++) {\n out[i] = get$1(format, i, field, 'month');\n }\n return out;\n }\n\n // ()\n // (5)\n // (fmt, 5)\n // (fmt)\n // (true)\n // (true, 5)\n // (true, fmt, 5)\n // (true, fmt)\n function listWeekdaysImpl (localeSorted, format, index, field) {\n if (typeof localeSorted === 'boolean') {\n if (isNumber(format)) {\n index = format;\n format = undefined;\n }\n\n format = format || '';\n } else {\n format = localeSorted;\n index = format;\n localeSorted = false;\n\n if (isNumber(format)) {\n index = format;\n format = undefined;\n }\n\n format = format || '';\n }\n\n var locale = getLocale(),\n shift = localeSorted ? locale._week.dow : 0;\n\n if (index != null) {\n return get$1(format, (index + shift) % 7, field, 'day');\n }\n\n var i;\n var out = [];\n for (i = 0; i < 7; i++) {\n out[i] = get$1(format, (i + shift) % 7, field, 'day');\n }\n return out;\n }\n\n function listMonths (format, index) {\n return listMonthsImpl(format, index, 'months');\n }\n\n function listMonthsShort (format, index) {\n return listMonthsImpl(format, index, 'monthsShort');\n }\n\n function listWeekdays (localeSorted, format, index) {\n return listWeekdaysImpl(localeSorted, format, index, 'weekdays');\n }\n\n function listWeekdaysShort (localeSorted, format, index) {\n return listWeekdaysImpl(localeSorted, format, index, 'weekdaysShort');\n }\n\n function listWeekdaysMin (localeSorted, format, index) {\n return listWeekdaysImpl(localeSorted, format, index, 'weekdaysMin');\n }\n\n getSetGlobalLocale('en', {\n dayOfMonthOrdinalParse: /\\d{1,2}(th|st|nd|rd)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (toInt(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n }\n });\n\n // Side effect imports\n\n hooks.lang = deprecate('moment.lang is deprecated. Use moment.locale instead.', getSetGlobalLocale);\n hooks.langData = deprecate('moment.langData is deprecated. Use moment.localeData instead.', getLocale);\n\n var mathAbs = Math.abs;\n\n function abs () {\n var data = this._data;\n\n this._milliseconds = mathAbs(this._milliseconds);\n this._days = mathAbs(this._days);\n this._months = mathAbs(this._months);\n\n data.milliseconds = mathAbs(data.milliseconds);\n data.seconds = mathAbs(data.seconds);\n data.minutes = mathAbs(data.minutes);\n data.hours = mathAbs(data.hours);\n data.months = mathAbs(data.months);\n data.years = mathAbs(data.years);\n\n return this;\n }\n\n function addSubtract$1 (duration, input, value, direction) {\n var other = createDuration(input, value);\n\n duration._milliseconds += direction * other._milliseconds;\n duration._days += direction * other._days;\n duration._months += direction * other._months;\n\n return duration._bubble();\n }\n\n // supports only 2.0-style add(1, 's') or add(duration)\n function add$1 (input, value) {\n return addSubtract$1(this, input, value, 1);\n }\n\n // supports only 2.0-style subtract(1, 's') or subtract(duration)\n function subtract$1 (input, value) {\n return addSubtract$1(this, input, value, -1);\n }\n\n function absCeil (number) {\n if (number < 0) {\n return Math.floor(number);\n } else {\n return Math.ceil(number);\n }\n }\n\n function bubble () {\n var milliseconds = this._milliseconds;\n var days = this._days;\n var months = this._months;\n var data = this._data;\n var seconds, minutes, hours, years, monthsFromDays;\n\n // if we have a mix of positive and negative values, bubble down first\n // check: https://github.com/moment/moment/issues/2166\n if (!((milliseconds >= 0 && days >= 0 && months >= 0) ||\n (milliseconds <= 0 && days <= 0 && months <= 0))) {\n milliseconds += absCeil(monthsToDays(months) + days) * 864e5;\n days = 0;\n months = 0;\n }\n\n // The following code bubbles up values, see the tests for\n // examples of what that means.\n data.milliseconds = milliseconds % 1000;\n\n seconds = absFloor(milliseconds / 1000);\n data.seconds = seconds % 60;\n\n minutes = absFloor(seconds / 60);\n data.minutes = minutes % 60;\n\n hours = absFloor(minutes / 60);\n data.hours = hours % 24;\n\n days += absFloor(hours / 24);\n\n // convert days to months\n monthsFromDays = absFloor(daysToMonths(days));\n months += monthsFromDays;\n days -= absCeil(monthsToDays(monthsFromDays));\n\n // 12 months -> 1 year\n years = absFloor(months / 12);\n months %= 12;\n\n data.days = days;\n data.months = months;\n data.years = years;\n\n return this;\n }\n\n function daysToMonths (days) {\n // 400 years have 146097 days (taking into account leap year rules)\n // 400 years have 12 months === 4800\n return days * 4800 / 146097;\n }\n\n function monthsToDays (months) {\n // the reverse of daysToMonths\n return months * 146097 / 4800;\n }\n\n function as (units) {\n if (!this.isValid()) {\n return NaN;\n }\n var days;\n var months;\n var milliseconds = this._milliseconds;\n\n units = normalizeUnits(units);\n\n if (units === 'month' || units === 'quarter' || units === 'year') {\n days = this._days + milliseconds / 864e5;\n months = this._months + daysToMonths(days);\n switch (units) {\n case 'month': return months;\n case 'quarter': return months / 3;\n case 'year': return months / 12;\n }\n } else {\n // handle milliseconds separately because of floating point math errors (issue #1867)\n days = this._days + Math.round(monthsToDays(this._months));\n switch (units) {\n case 'week' : return days / 7 + milliseconds / 6048e5;\n case 'day' : return days + milliseconds / 864e5;\n case 'hour' : return days * 24 + milliseconds / 36e5;\n case 'minute' : return days * 1440 + milliseconds / 6e4;\n case 'second' : return days * 86400 + milliseconds / 1000;\n // Math.floor prevents floating point math errors here\n case 'millisecond': return Math.floor(days * 864e5) + milliseconds;\n default: throw new Error('Unknown unit ' + units);\n }\n }\n }\n\n // TODO: Use this.as('ms')?\n function valueOf$1 () {\n if (!this.isValid()) {\n return NaN;\n }\n return (\n this._milliseconds +\n this._days * 864e5 +\n (this._months % 12) * 2592e6 +\n toInt(this._months / 12) * 31536e6\n );\n }\n\n function makeAs (alias) {\n return function () {\n return this.as(alias);\n };\n }\n\n var asMilliseconds = makeAs('ms');\n var asSeconds = makeAs('s');\n var asMinutes = makeAs('m');\n var asHours = makeAs('h');\n var asDays = makeAs('d');\n var asWeeks = makeAs('w');\n var asMonths = makeAs('M');\n var asQuarters = makeAs('Q');\n var asYears = makeAs('y');\n\n function clone$1 () {\n return createDuration(this);\n }\n\n function get$2 (units) {\n units = normalizeUnits(units);\n return this.isValid() ? this[units + 's']() : NaN;\n }\n\n function makeGetter(name) {\n return function () {\n return this.isValid() ? this._data[name] : NaN;\n };\n }\n\n var milliseconds = makeGetter('milliseconds');\n var seconds = makeGetter('seconds');\n var minutes = makeGetter('minutes');\n var hours = makeGetter('hours');\n var days = makeGetter('days');\n var months = makeGetter('months');\n var years = makeGetter('years');\n\n function weeks () {\n return absFloor(this.days() / 7);\n }\n\n var round = Math.round;\n var thresholds = {\n ss: 44, // a few seconds to seconds\n s : 45, // seconds to minute\n m : 45, // minutes to hour\n h : 22, // hours to day\n d : 26, // days to month\n M : 11 // months to year\n };\n\n // helper function for moment.fn.from, moment.fn.fromNow, and moment.duration.fn.humanize\n function substituteTimeAgo(string, number, withoutSuffix, isFuture, locale) {\n return locale.relativeTime(number || 1, !!withoutSuffix, string, isFuture);\n }\n\n function relativeTime$1 (posNegDuration, withoutSuffix, locale) {\n var duration = createDuration(posNegDuration).abs();\n var seconds = round(duration.as('s'));\n var minutes = round(duration.as('m'));\n var hours = round(duration.as('h'));\n var days = round(duration.as('d'));\n var months = round(duration.as('M'));\n var years = round(duration.as('y'));\n\n var a = seconds <= thresholds.ss && ['s', seconds] ||\n seconds < thresholds.s && ['ss', seconds] ||\n minutes <= 1 && ['m'] ||\n minutes < thresholds.m && ['mm', minutes] ||\n hours <= 1 && ['h'] ||\n hours < thresholds.h && ['hh', hours] ||\n days <= 1 && ['d'] ||\n days < thresholds.d && ['dd', days] ||\n months <= 1 && ['M'] ||\n months < thresholds.M && ['MM', months] ||\n years <= 1 && ['y'] || ['yy', years];\n\n a[2] = withoutSuffix;\n a[3] = +posNegDuration > 0;\n a[4] = locale;\n return substituteTimeAgo.apply(null, a);\n }\n\n // This function allows you to set the rounding function for relative time strings\n function getSetRelativeTimeRounding (roundingFunction) {\n if (roundingFunction === undefined) {\n return round;\n }\n if (typeof(roundingFunction) === 'function') {\n round = roundingFunction;\n return true;\n }\n return false;\n }\n\n // This function allows you to set a threshold for relative time strings\n function getSetRelativeTimeThreshold (threshold, limit) {\n if (thresholds[threshold] === undefined) {\n return false;\n }\n if (limit === undefined) {\n return thresholds[threshold];\n }\n thresholds[threshold] = limit;\n if (threshold === 's') {\n thresholds.ss = limit - 1;\n }\n return true;\n }\n\n function humanize (withSuffix) {\n if (!this.isValid()) {\n return this.localeData().invalidDate();\n }\n\n var locale = this.localeData();\n var output = relativeTime$1(this, !withSuffix, locale);\n\n if (withSuffix) {\n output = locale.pastFuture(+this, output);\n }\n\n return locale.postformat(output);\n }\n\n var abs$1 = Math.abs;\n\n function sign(x) {\n return ((x > 0) - (x < 0)) || +x;\n }\n\n function toISOString$1() {\n // for ISO strings we do not use the normal bubbling rules:\n // * milliseconds bubble up until they become hours\n // * days do not bubble at all\n // * months bubble up until they become years\n // This is because there is no context-free conversion between hours and days\n // (think of clock changes)\n // and also not between days and months (28-31 days per month)\n if (!this.isValid()) {\n return this.localeData().invalidDate();\n }\n\n var seconds = abs$1(this._milliseconds) / 1000;\n var days = abs$1(this._days);\n var months = abs$1(this._months);\n var minutes, hours, years;\n\n // 3600 seconds -> 60 minutes -> 1 hour\n minutes = absFloor(seconds / 60);\n hours = absFloor(minutes / 60);\n seconds %= 60;\n minutes %= 60;\n\n // 12 months -> 1 year\n years = absFloor(months / 12);\n months %= 12;\n\n\n // inspired by https://github.com/dordille/moment-isoduration/blob/master/moment.isoduration.js\n var Y = years;\n var M = months;\n var D = days;\n var h = hours;\n var m = minutes;\n var s = seconds ? seconds.toFixed(3).replace(/\\.?0+$/, '') : '';\n var total = this.asSeconds();\n\n if (!total) {\n // this is the same as C#'s (Noda) and python (isodate)...\n // but not other JS (goog.date)\n return 'P0D';\n }\n\n var totalSign = total < 0 ? '-' : '';\n var ymSign = sign(this._months) !== sign(total) ? '-' : '';\n var daysSign = sign(this._days) !== sign(total) ? '-' : '';\n var hmsSign = sign(this._milliseconds) !== sign(total) ? '-' : '';\n\n return totalSign + 'P' +\n (Y ? ymSign + Y + 'Y' : '') +\n (M ? ymSign + M + 'M' : '') +\n (D ? daysSign + D + 'D' : '') +\n ((h || m || s) ? 'T' : '') +\n (h ? hmsSign + h + 'H' : '') +\n (m ? hmsSign + m + 'M' : '') +\n (s ? hmsSign + s + 'S' : '');\n }\n\n var proto$2 = Duration.prototype;\n\n proto$2.isValid = isValid$1;\n proto$2.abs = abs;\n proto$2.add = add$1;\n proto$2.subtract = subtract$1;\n proto$2.as = as;\n proto$2.asMilliseconds = asMilliseconds;\n proto$2.asSeconds = asSeconds;\n proto$2.asMinutes = asMinutes;\n proto$2.asHours = asHours;\n proto$2.asDays = asDays;\n proto$2.asWeeks = asWeeks;\n proto$2.asMonths = asMonths;\n proto$2.asQuarters = asQuarters;\n proto$2.asYears = asYears;\n proto$2.valueOf = valueOf$1;\n proto$2._bubble = bubble;\n proto$2.clone = clone$1;\n proto$2.get = get$2;\n proto$2.milliseconds = milliseconds;\n proto$2.seconds = seconds;\n proto$2.minutes = minutes;\n proto$2.hours = hours;\n proto$2.days = days;\n proto$2.weeks = weeks;\n proto$2.months = months;\n proto$2.years = years;\n proto$2.humanize = humanize;\n proto$2.toISOString = toISOString$1;\n proto$2.toString = toISOString$1;\n proto$2.toJSON = toISOString$1;\n proto$2.locale = locale;\n proto$2.localeData = localeData;\n\n proto$2.toIsoString = deprecate('toIsoString() is deprecated. Please use toISOString() instead (notice the capitals)', toISOString$1);\n proto$2.lang = lang;\n\n // Side effect imports\n\n // FORMATTING\n\n addFormatToken('X', 0, 0, 'unix');\n addFormatToken('x', 0, 0, 'valueOf');\n\n // PARSING\n\n addRegexToken('x', matchSigned);\n addRegexToken('X', matchTimestamp);\n addParseToken('X', function (input, array, config) {\n config._d = new Date(parseFloat(input, 10) * 1000);\n });\n addParseToken('x', function (input, array, config) {\n config._d = new Date(toInt(input));\n });\n\n // Side effect imports\n\n\n hooks.version = '2.24.0';\n\n setHookCallback(createLocal);\n\n hooks.fn = proto;\n hooks.min = min;\n hooks.max = max;\n hooks.now = now;\n hooks.utc = createUTC;\n hooks.unix = createUnix;\n hooks.months = listMonths;\n hooks.isDate = isDate;\n hooks.locale = getSetGlobalLocale;\n hooks.invalid = createInvalid;\n hooks.duration = createDuration;\n hooks.isMoment = isMoment;\n hooks.weekdays = listWeekdays;\n hooks.parseZone = createInZone;\n hooks.localeData = getLocale;\n hooks.isDuration = isDuration;\n hooks.monthsShort = listMonthsShort;\n hooks.weekdaysMin = listWeekdaysMin;\n hooks.defineLocale = defineLocale;\n hooks.updateLocale = updateLocale;\n hooks.locales = listLocales;\n hooks.weekdaysShort = listWeekdaysShort;\n hooks.normalizeUnits = normalizeUnits;\n hooks.relativeTimeRounding = getSetRelativeTimeRounding;\n hooks.relativeTimeThreshold = getSetRelativeTimeThreshold;\n hooks.calendarFormat = getCalendarFormat;\n hooks.prototype = proto;\n\n // currently HTML5 input type only supports 24-hour formats\n hooks.HTML5_FMT = {\n DATETIME_LOCAL: 'YYYY-MM-DDTHH:mm', // <input type=\"datetime-local\" />\n DATETIME_LOCAL_SECONDS: 'YYYY-MM-DDTHH:mm:ss', // <input type=\"datetime-local\" step=\"1\" />\n DATETIME_LOCAL_MS: 'YYYY-MM-DDTHH:mm:ss.SSS', // <input type=\"datetime-local\" step=\"0.001\" />\n DATE: 'YYYY-MM-DD', // <input type=\"date\" />\n TIME: 'HH:mm', // <input type=\"time\" />\n TIME_SECONDS: 'HH:mm:ss', // <input type=\"time\" step=\"1\" />\n TIME_MS: 'HH:mm:ss.SSS', // <input type=\"time\" step=\"0.001\" />\n WEEK: 'GGGG-[W]WW', // <input type=\"week\" />\n MONTH: 'YYYY-MM' // <input type=\"month\" />\n };\n\n return hooks;\n\n})));\n","// Utilities\n//\n'use strict';\n\n\nfunction _class(obj) { return Object.prototype.toString.call(obj); }\n\nfunction isString(obj) { return _class(obj) === '[object String]'; }\n\nvar _hasOwnProperty = Object.prototype.hasOwnProperty;\n\nfunction has(object, key) {\n return _hasOwnProperty.call(object, key);\n}\n\n// Merge objects\n//\nfunction assign(obj /*from1, from2, from3, ...*/) {\n var sources = Array.prototype.slice.call(arguments, 1);\n\n sources.forEach(function (source) {\n if (!source) { return; }\n\n if (typeof source !== 'object') {\n throw new TypeError(source + 'must be object');\n }\n\n Object.keys(source).forEach(function (key) {\n obj[key] = source[key];\n });\n });\n\n return obj;\n}\n\n// Remove element from array and put another array at those position.\n// Useful for some operations with tokens\nfunction arrayReplaceAt(src, pos, newElements) {\n return [].concat(src.slice(0, pos), newElements, src.slice(pos + 1));\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\nfunction isValidEntityCode(c) {\n /*eslint no-bitwise:0*/\n // broken sequence\n if (c >= 0xD800 && c <= 0xDFFF) { return false; }\n // never used\n if (c >= 0xFDD0 && c <= 0xFDEF) { return false; }\n if ((c & 0xFFFF) === 0xFFFF || (c & 0xFFFF) === 0xFFFE) { return false; }\n // control codes\n if (c >= 0x00 && c <= 0x08) { return false; }\n if (c === 0x0B) { return false; }\n if (c >= 0x0E && c <= 0x1F) { return false; }\n if (c >= 0x7F && c <= 0x9F) { return false; }\n // out of range\n if (c > 0x10FFFF) { return false; }\n return true;\n}\n\nfunction fromCodePoint(c) {\n /*eslint no-bitwise:0*/\n if (c > 0xffff) {\n c -= 0x10000;\n var surrogate1 = 0xd800 + (c >> 10),\n surrogate2 = 0xdc00 + (c & 0x3ff);\n\n return String.fromCharCode(surrogate1, surrogate2);\n }\n return String.fromCharCode(c);\n}\n\n\nvar UNESCAPE_MD_RE = /\\\\([!\"#$%&'()*+,\\-.\\/:;<=>?@[\\\\\\]^_`{|}~])/g;\nvar ENTITY_RE = /&([a-z#][a-z0-9]{1,31});/gi;\nvar UNESCAPE_ALL_RE = new RegExp(UNESCAPE_MD_RE.source + '|' + ENTITY_RE.source, 'gi');\n\nvar DIGITAL_ENTITY_TEST_RE = /^#((?:x[a-f0-9]{1,8}|[0-9]{1,8}))/i;\n\nvar entities = require('./entities');\n\nfunction replaceEntityPattern(match, name) {\n var code = 0;\n\n if (has(entities, name)) {\n return entities[name];\n }\n\n if (name.charCodeAt(0) === 0x23/* # */ && DIGITAL_ENTITY_TEST_RE.test(name)) {\n code = name[1].toLowerCase() === 'x' ?\n parseInt(name.slice(2), 16)\n :\n parseInt(name.slice(1), 10);\n if (isValidEntityCode(code)) {\n return fromCodePoint(code);\n }\n }\n\n return match;\n}\n\n/*function replaceEntities(str) {\n if (str.indexOf('&') < 0) { return str; }\n\n return str.replace(ENTITY_RE, replaceEntityPattern);\n}*/\n\nfunction unescapeMd(str) {\n if (str.indexOf('\\\\') < 0) { return str; }\n return str.replace(UNESCAPE_MD_RE, '$1');\n}\n\nfunction unescapeAll(str) {\n if (str.indexOf('\\\\') < 0 && str.indexOf('&') < 0) { return str; }\n\n return str.replace(UNESCAPE_ALL_RE, function (match, escaped, entity) {\n if (escaped) { return escaped; }\n return replaceEntityPattern(match, entity);\n });\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\nvar HTML_ESCAPE_TEST_RE = /[&<>\"]/;\nvar HTML_ESCAPE_REPLACE_RE = /[&<>\"]/g;\nvar HTML_REPLACEMENTS = {\n '&': '&amp;',\n '<': '&lt;',\n '>': '&gt;',\n '\"': '&quot;'\n};\n\nfunction replaceUnsafeChar(ch) {\n return HTML_REPLACEMENTS[ch];\n}\n\nfunction escapeHtml(str) {\n if (HTML_ESCAPE_TEST_RE.test(str)) {\n return str.replace(HTML_ESCAPE_REPLACE_RE, replaceUnsafeChar);\n }\n return str;\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\nvar REGEXP_ESCAPE_RE = /[.?*+^$[\\]\\\\(){}|-]/g;\n\nfunction escapeRE(str) {\n return str.replace(REGEXP_ESCAPE_RE, '\\\\$&');\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\nfunction isSpace(code) {\n switch (code) {\n case 0x09:\n case 0x20:\n return true;\n }\n return false;\n}\n\n// Zs (unicode class) || [\\t\\f\\v\\r\\n]\nfunction isWhiteSpace(code) {\n if (code >= 0x2000 && code <= 0x200A) { return true; }\n switch (code) {\n case 0x09: // \\t\n case 0x0A: // \\n\n case 0x0B: // \\v\n case 0x0C: // \\f\n case 0x0D: // \\r\n case 0x20:\n case 0xA0:\n case 0x1680:\n case 0x202F:\n case 0x205F:\n case 0x3000:\n return true;\n }\n return false;\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\n/*eslint-disable max-len*/\nvar UNICODE_PUNCT_RE = require('uc.micro/categories/P/regex');\n\n// Currently without astral characters support.\nfunction isPunctChar(ch) {\n return UNICODE_PUNCT_RE.test(ch);\n}\n\n\n// Markdown ASCII punctuation characters.\n//\n// !, \", #, $, %, &, ', (, ), *, +, ,, -, ., /, :, ;, <, =, >, ?, @, [, \\, ], ^, _, `, {, |, }, or ~\n// http://spec.commonmark.org/0.15/#ascii-punctuation-character\n//\n// Don't confuse with unicode punctuation !!! It lacks some chars in ascii range.\n//\nfunction isMdAsciiPunct(ch) {\n switch (ch) {\n case 0x21/* ! */:\n case 0x22/* \" */:\n case 0x23/* # */:\n case 0x24/* $ */:\n case 0x25/* % */:\n case 0x26/* & */:\n case 0x27/* ' */:\n case 0x28/* ( */:\n case 0x29/* ) */:\n case 0x2A/* * */:\n case 0x2B/* + */:\n case 0x2C/* , */:\n case 0x2D/* - */:\n case 0x2E/* . */:\n case 0x2F/* / */:\n case 0x3A/* : */:\n case 0x3B/* ; */:\n case 0x3C/* < */:\n case 0x3D/* = */:\n case 0x3E/* > */:\n case 0x3F/* ? */:\n case 0x40/* @ */:\n case 0x5B/* [ */:\n case 0x5C/* \\ */:\n case 0x5D/* ] */:\n case 0x5E/* ^ */:\n case 0x5F/* _ */:\n case 0x60/* ` */:\n case 0x7B/* { */:\n case 0x7C/* | */:\n case 0x7D/* } */:\n case 0x7E/* ~ */:\n return true;\n default:\n return false;\n }\n}\n\n// Hepler to unify [reference labels].\n//\nfunction normalizeReference(str) {\n // use .toUpperCase() instead of .toLowerCase()\n // here to avoid a conflict with Object.prototype\n // members (most notably, `__proto__`)\n return str.trim().replace(/\\s+/g, ' ').toUpperCase();\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\n// Re-export libraries commonly used in both markdown-it and its plugins,\n// so plugins won't have to depend on them explicitly, which reduces their\n// bundled size (e.g. a browser build).\n//\nexports.lib = {};\nexports.lib.mdurl = require('mdurl');\nexports.lib.ucmicro = require('uc.micro');\n\nexports.assign = assign;\nexports.isString = isString;\nexports.has = has;\nexports.unescapeMd = unescapeMd;\nexports.unescapeAll = unescapeAll;\nexports.isValidEntityCode = isValidEntityCode;\nexports.fromCodePoint = fromCodePoint;\n// exports.replaceEntities = replaceEntities;\nexports.escapeHtml = escapeHtml;\nexports.arrayReplaceAt = arrayReplaceAt;\nexports.isSpace = isSpace;\nexports.isWhiteSpace = isWhiteSpace;\nexports.isMdAsciiPunct = isMdAsciiPunct;\nexports.isPunctChar = isPunctChar;\nexports.escapeRE = escapeRE;\nexports.normalizeReference = normalizeReference;\n","import { liftTarget, canJoin, joinPoint, canSplit, ReplaceAroundStep, findWrapping } from 'prosemirror-transform';\nimport { Fragment, Slice } from 'prosemirror-model';\nimport { NodeSelection, Selection, TextSelection, AllSelection } from 'prosemirror-state';\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Delete the selection, if there is one.\nfunction deleteSelection(state, dispatch) {\n if (state.selection.empty) { return false }\n if (dispatch) { dispatch(state.tr.deleteSelection().scrollIntoView()); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction), ?EditorView) → bool\n// If the selection is empty and at the start of a textblock, try to\n// reduce the distance between that block and the one before it—if\n// there's a block directly before it that can be joined, join them.\n// If not, try to move the selected block closer to the next one in\n// the document structure by lifting it out of its parent or moving it\n// into a parent of the previous block. Will use the view for accurate\n// (bidi-aware) start-of-textblock detection if given.\nfunction joinBackward(state, dispatch, view) {\n var ref = state.selection;\n var $cursor = ref.$cursor;\n if (!$cursor || (view ? !view.endOfTextblock(\"backward\", state)\n : $cursor.parentOffset > 0))\n { return false }\n\n var $cut = findCutBefore($cursor);\n\n // If there is no node before this, try to lift\n if (!$cut) {\n var range = $cursor.blockRange(), target = range && liftTarget(range);\n if (target == null) { return false }\n if (dispatch) { dispatch(state.tr.lift(range, target).scrollIntoView()); }\n return true\n }\n\n var before = $cut.nodeBefore;\n // Apply the joining algorithm\n if (!before.type.spec.isolating && deleteBarrier(state, $cut, dispatch))\n { return true }\n\n // If the node below has no content and the node above is\n // selectable, delete the node below and select the one above.\n if ($cursor.parent.content.size == 0 &&\n (textblockAt(before, \"end\") || NodeSelection.isSelectable(before))) {\n if (dispatch) {\n var tr = state.tr.deleteRange($cursor.before(), $cursor.after());\n tr.setSelection(textblockAt(before, \"end\") ? Selection.findFrom(tr.doc.resolve(tr.mapping.map($cut.pos, -1)), -1)\n : NodeSelection.create(tr.doc, $cut.pos - before.nodeSize));\n dispatch(tr.scrollIntoView());\n }\n return true\n }\n\n // If the node before is an atom, delete it\n if (before.isAtom && $cut.depth == $cursor.depth - 1) {\n if (dispatch) { dispatch(state.tr.delete($cut.pos - before.nodeSize, $cut.pos).scrollIntoView()); }\n return true\n }\n\n return false\n}\n\nfunction textblockAt(node, side) {\n for (; node; node = (side == \"start\" ? node.firstChild : node.lastChild))\n { if (node.isTextblock) { return true } }\n return false\n}\n\n// :: (EditorState, ?(tr: Transaction), ?EditorView) → bool\n// When the selection is empty and at the start of a textblock, select\n// the node before that textblock, if possible. This is intended to be\n// bound to keys like backspace, after\n// [`joinBackward`](#commands.joinBackward) or other deleting\n// commands, as a fall-back behavior when the schema doesn't allow\n// deletion at the selected point.\nfunction selectNodeBackward(state, dispatch, view) {\n var ref = state.selection;\n var $head = ref.$head;\n var empty = ref.empty;\n var $cut = $head;\n if (!empty) { return false }\n\n if ($head.parent.isTextblock) {\n if (view ? !view.endOfTextblock(\"backward\", state) : $head.parentOffset > 0) { return false }\n $cut = findCutBefore($head);\n }\n var node = $cut && $cut.nodeBefore;\n if (!node || !NodeSelection.isSelectable(node)) { return false }\n if (dispatch)\n { dispatch(state.tr.setSelection(NodeSelection.create(state.doc, $cut.pos - node.nodeSize)).scrollIntoView()); }\n return true\n}\n\nfunction findCutBefore($pos) {\n if (!$pos.parent.type.spec.isolating) { for (var i = $pos.depth - 1; i >= 0; i--) {\n if ($pos.index(i) > 0) { return $pos.doc.resolve($pos.before(i + 1)) }\n if ($pos.node(i).type.spec.isolating) { break }\n } }\n return null\n}\n\n// :: (EditorState, ?(tr: Transaction), ?EditorView) → bool\n// If the selection is empty and the cursor is at the end of a\n// textblock, try to reduce or remove the boundary between that block\n// and the one after it, either by joining them or by moving the other\n// block closer to this one in the tree structure. Will use the view\n// for accurate start-of-textblock detection if given.\nfunction joinForward(state, dispatch, view) {\n var ref = state.selection;\n var $cursor = ref.$cursor;\n if (!$cursor || (view ? !view.endOfTextblock(\"forward\", state)\n : $cursor.parentOffset < $cursor.parent.content.size))\n { return false }\n\n var $cut = findCutAfter($cursor);\n\n // If there is no node after this, there's nothing to do\n if (!$cut) { return false }\n\n var after = $cut.nodeAfter;\n // Try the joining algorithm\n if (deleteBarrier(state, $cut, dispatch)) { return true }\n\n // If the node above has no content and the node below is\n // selectable, delete the node above and select the one below.\n if ($cursor.parent.content.size == 0 &&\n (textblockAt(after, \"start\") || NodeSelection.isSelectable(after))) {\n if (dispatch) {\n var tr = state.tr.deleteRange($cursor.before(), $cursor.after());\n tr.setSelection(textblockAt(after, \"start\") ? Selection.findFrom(tr.doc.resolve(tr.mapping.map($cut.pos)), 1)\n : NodeSelection.create(tr.doc, tr.mapping.map($cut.pos)));\n dispatch(tr.scrollIntoView());\n }\n return true\n }\n\n // If the next node is an atom, delete it\n if (after.isAtom && $cut.depth == $cursor.depth - 1) {\n if (dispatch) { dispatch(state.tr.delete($cut.pos, $cut.pos + after.nodeSize).scrollIntoView()); }\n return true\n }\n\n return false\n}\n\n// :: (EditorState, ?(tr: Transaction), ?EditorView) → bool\n// When the selection is empty and at the end of a textblock, select\n// the node coming after that textblock, if possible. This is intended\n// to be bound to keys like delete, after\n// [`joinForward`](#commands.joinForward) and similar deleting\n// commands, to provide a fall-back behavior when the schema doesn't\n// allow deletion at the selected point.\nfunction selectNodeForward(state, dispatch, view) {\n var ref = state.selection;\n var $head = ref.$head;\n var empty = ref.empty;\n var $cut = $head;\n if (!empty) { return false }\n if ($head.parent.isTextblock) {\n if (view ? !view.endOfTextblock(\"forward\", state) : $head.parentOffset < $head.parent.content.size)\n { return false }\n $cut = findCutAfter($head);\n }\n var node = $cut && $cut.nodeAfter;\n if (!node || !NodeSelection.isSelectable(node)) { return false }\n if (dispatch)\n { dispatch(state.tr.setSelection(NodeSelection.create(state.doc, $cut.pos)).scrollIntoView()); }\n return true\n}\n\nfunction findCutAfter($pos) {\n if (!$pos.parent.type.spec.isolating) { for (var i = $pos.depth - 1; i >= 0; i--) {\n var parent = $pos.node(i);\n if ($pos.index(i) + 1 < parent.childCount) { return $pos.doc.resolve($pos.after(i + 1)) }\n if (parent.type.spec.isolating) { break }\n } }\n return null\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Join the selected block or, if there is a text selection, the\n// closest ancestor block of the selection that can be joined, with\n// the sibling above it.\nfunction joinUp(state, dispatch) {\n var sel = state.selection, nodeSel = sel instanceof NodeSelection, point;\n if (nodeSel) {\n if (sel.node.isTextblock || !canJoin(state.doc, sel.from)) { return false }\n point = sel.from;\n } else {\n point = joinPoint(state.doc, sel.from, -1);\n if (point == null) { return false }\n }\n if (dispatch) {\n var tr = state.tr.join(point);\n if (nodeSel) { tr.setSelection(NodeSelection.create(tr.doc, point - state.doc.resolve(point).nodeBefore.nodeSize)); }\n dispatch(tr.scrollIntoView());\n }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Join the selected block, or the closest ancestor of the selection\n// that can be joined, with the sibling after it.\nfunction joinDown(state, dispatch) {\n var sel = state.selection, point;\n if (sel instanceof NodeSelection) {\n if (sel.node.isTextblock || !canJoin(state.doc, sel.to)) { return false }\n point = sel.to;\n } else {\n point = joinPoint(state.doc, sel.to, 1);\n if (point == null) { return false }\n }\n if (dispatch)\n { dispatch(state.tr.join(point).scrollIntoView()); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Lift the selected block, or the closest ancestor block of the\n// selection that can be lifted, out of its parent node.\nfunction lift(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var range = $from.blockRange($to), target = range && liftTarget(range);\n if (target == null) { return false }\n if (dispatch) { dispatch(state.tr.lift(range, target).scrollIntoView()); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// If the selection is in a node whose type has a truthy\n// [`code`](#model.NodeSpec.code) property in its spec, replace the\n// selection with a newline character.\nfunction newlineInCode(state, dispatch) {\n var ref = state.selection;\n var $head = ref.$head;\n var $anchor = ref.$anchor;\n if (!$head.parent.type.spec.code || !$head.sameParent($anchor)) { return false }\n if (dispatch) { dispatch(state.tr.insertText(\"\\n\").scrollIntoView()); }\n return true\n}\n\nfunction defaultBlockAt(match) {\n for (var i = 0; i < match.edgeCount; i++) {\n var ref = match.edge(i);\n var type = ref.type;\n if (type.isTextblock && !type.hasRequiredAttrs()) { return type }\n }\n return null\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// When the selection is in a node with a truthy\n// [`code`](#model.NodeSpec.code) property in its spec, create a\n// default block after the code block, and move the cursor there.\nfunction exitCode(state, dispatch) {\n var ref = state.selection;\n var $head = ref.$head;\n var $anchor = ref.$anchor;\n if (!$head.parent.type.spec.code || !$head.sameParent($anchor)) { return false }\n var above = $head.node(-1), after = $head.indexAfter(-1), type = defaultBlockAt(above.contentMatchAt(after));\n if (!above.canReplaceWith(after, after, type)) { return false }\n if (dispatch) {\n var pos = $head.after(), tr = state.tr.replaceWith(pos, pos, type.createAndFill());\n tr.setSelection(Selection.near(tr.doc.resolve(pos), 1));\n dispatch(tr.scrollIntoView());\n }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// If a block node is selected, create an empty paragraph before (if\n// it is its parent's first child) or after it.\nfunction createParagraphNear(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n if ($from.parent.inlineContent || $to.parent.inlineContent) { return false }\n var type = defaultBlockAt($from.parent.contentMatchAt($to.indexAfter()));\n if (!type || !type.isTextblock) { return false }\n if (dispatch) {\n var side = (!$from.parentOffset && $to.index() < $to.parent.childCount ? $from : $to).pos;\n var tr = state.tr.insert(side, type.createAndFill());\n tr.setSelection(TextSelection.create(tr.doc, side + 1));\n dispatch(tr.scrollIntoView());\n }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// If the cursor is in an empty textblock that can be lifted, lift the\n// block.\nfunction liftEmptyBlock(state, dispatch) {\n var ref = state.selection;\n var $cursor = ref.$cursor;\n if (!$cursor || $cursor.parent.content.size) { return false }\n if ($cursor.depth > 1 && $cursor.after() != $cursor.end(-1)) {\n var before = $cursor.before();\n if (canSplit(state.doc, before)) {\n if (dispatch) { dispatch(state.tr.split(before).scrollIntoView()); }\n return true\n }\n }\n var range = $cursor.blockRange(), target = range && liftTarget(range);\n if (target == null) { return false }\n if (dispatch) { dispatch(state.tr.lift(range, target).scrollIntoView()); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Split the parent block of the selection. If the selection is a text\n// selection, also delete its content.\nfunction splitBlock(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n if (state.selection instanceof NodeSelection && state.selection.node.isBlock) {\n if (!$from.parentOffset || !canSplit(state.doc, $from.pos)) { return false }\n if (dispatch) { dispatch(state.tr.split($from.pos).scrollIntoView()); }\n return true\n }\n\n if (!$from.parent.isBlock) { return false }\n\n if (dispatch) {\n var atEnd = $to.parentOffset == $to.parent.content.size;\n var tr = state.tr;\n if (state.selection instanceof TextSelection) { tr.deleteSelection(); }\n var deflt = $from.depth == 0 ? null : defaultBlockAt($from.node(-1).contentMatchAt($from.indexAfter(-1)));\n var types = atEnd && deflt ? [{type: deflt}] : null;\n var can = canSplit(tr.doc, tr.mapping.map($from.pos), 1, types);\n if (!types && !can && canSplit(tr.doc, tr.mapping.map($from.pos), 1, deflt && [{type: deflt}])) {\n types = [{type: deflt}];\n can = true;\n }\n if (can) {\n tr.split(tr.mapping.map($from.pos), 1, types);\n if (!atEnd && !$from.parentOffset && $from.parent.type != deflt &&\n $from.node(-1).canReplace($from.index(-1), $from.indexAfter(-1), Fragment.from(deflt.create(), $from.parent)))\n { tr.setNodeMarkup(tr.mapping.map($from.before()), deflt); }\n }\n dispatch(tr.scrollIntoView());\n }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Acts like [`splitBlock`](#commands.splitBlock), but without\n// resetting the set of active marks at the cursor.\nfunction splitBlockKeepMarks(state, dispatch) {\n return splitBlock(state, dispatch && (function (tr) {\n var marks = state.storedMarks || (state.selection.$to.parentOffset && state.selection.$from.marks());\n if (marks) { tr.ensureMarks(marks); }\n dispatch(tr);\n }))\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Move the selection to the node wrapping the current selection, if\n// any. (Will not select the document node.)\nfunction selectParentNode(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var to = ref.to;\n var pos;\n var same = $from.sharedDepth(to);\n if (same == 0) { return false }\n pos = $from.before(same);\n if (dispatch) { dispatch(state.tr.setSelection(NodeSelection.create(state.doc, pos))); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Select the whole document.\nfunction selectAll(state, dispatch) {\n if (dispatch) { dispatch(state.tr.setSelection(new AllSelection(state.doc))); }\n return true\n}\n\nfunction joinMaybeClear(state, $pos, dispatch) {\n var before = $pos.nodeBefore, after = $pos.nodeAfter, index = $pos.index();\n if (!before || !after || !before.type.compatibleContent(after.type)) { return false }\n if (!before.content.size && $pos.parent.canReplace(index - 1, index)) {\n if (dispatch) { dispatch(state.tr.delete($pos.pos - before.nodeSize, $pos.pos).scrollIntoView()); }\n return true\n }\n if (!$pos.parent.canReplace(index, index + 1) || !(after.isTextblock || canJoin(state.doc, $pos.pos)))\n { return false }\n if (dispatch)\n { dispatch(state.tr\n .clearIncompatible($pos.pos, before.type, before.contentMatchAt(before.childCount))\n .join($pos.pos)\n .scrollIntoView()); }\n return true\n}\n\nfunction deleteBarrier(state, $cut, dispatch) {\n var before = $cut.nodeBefore, after = $cut.nodeAfter, conn, match;\n if (before.type.spec.isolating || after.type.spec.isolating) { return false }\n if (joinMaybeClear(state, $cut, dispatch)) { return true }\n\n if ($cut.parent.canReplace($cut.index(), $cut.index() + 1) &&\n (conn = (match = before.contentMatchAt(before.childCount)).findWrapping(after.type)) &&\n match.matchType(conn[0] || after.type).validEnd) {\n if (dispatch) {\n var end = $cut.pos + after.nodeSize, wrap = Fragment.empty;\n for (var i = conn.length - 1; i >= 0; i--)\n { wrap = Fragment.from(conn[i].create(null, wrap)); }\n wrap = Fragment.from(before.copy(wrap));\n var tr = state.tr.step(new ReplaceAroundStep($cut.pos - 1, end, $cut.pos, end, new Slice(wrap, 1, 0), conn.length, true));\n var joinAt = end + 2 * conn.length;\n if (canJoin(tr.doc, joinAt)) { tr.join(joinAt); }\n dispatch(tr.scrollIntoView());\n }\n return true\n }\n\n var selAfter = Selection.findFrom($cut, 1);\n var range = selAfter && selAfter.$from.blockRange(selAfter.$to), target = range && liftTarget(range);\n if (target != null && target >= $cut.depth) {\n if (dispatch) { dispatch(state.tr.lift(range, target).scrollIntoView()); }\n return true\n }\n\n return false\n}\n\n// Parameterized commands\n\n// :: (NodeType, ?Object) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Wrap the selection in a node of the given type with the given\n// attributes.\nfunction wrapIn(nodeType, attrs) {\n return function(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var range = $from.blockRange($to), wrapping = range && findWrapping(range, nodeType, attrs);\n if (!wrapping) { return false }\n if (dispatch) { dispatch(state.tr.wrap(range, wrapping).scrollIntoView()); }\n return true\n }\n}\n\n// :: (NodeType, ?Object) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Returns a command that tries to set the selected textblocks to the\n// given node type with the given attributes.\nfunction setBlockType(nodeType, attrs) {\n return function(state, dispatch) {\n var ref = state.selection;\n var from = ref.from;\n var to = ref.to;\n var applicable = false;\n state.doc.nodesBetween(from, to, function (node, pos) {\n if (applicable) { return false }\n if (!node.isTextblock || node.hasMarkup(nodeType, attrs)) { return }\n if (node.type == nodeType) {\n applicable = true;\n } else {\n var $pos = state.doc.resolve(pos), index = $pos.index();\n applicable = $pos.parent.canReplaceWith(index, index + 1, nodeType);\n }\n });\n if (!applicable) { return false }\n if (dispatch) { dispatch(state.tr.setBlockType(from, to, nodeType, attrs).scrollIntoView()); }\n return true\n }\n}\n\nfunction markApplies(doc, ranges, type) {\n var loop = function ( i ) {\n var ref = ranges[i];\n var $from = ref.$from;\n var $to = ref.$to;\n var can = $from.depth == 0 ? doc.type.allowsMarkType(type) : false;\n doc.nodesBetween($from.pos, $to.pos, function (node) {\n if (can) { return false }\n can = node.inlineContent && node.type.allowsMarkType(type);\n });\n if (can) { return { v: true } }\n };\n\n for (var i = 0; i < ranges.length; i++) {\n var returned = loop( i );\n\n if ( returned ) return returned.v;\n }\n return false\n}\n\n// :: (MarkType, ?Object) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Create a command function that toggles the given mark with the\n// given attributes. Will return `false` when the current selection\n// doesn't support that mark. This will remove the mark if any marks\n// of that type exist in the selection, or add it otherwise. If the\n// selection is empty, this applies to the [stored\n// marks](#state.EditorState.storedMarks) instead of a range of the\n// document.\nfunction toggleMark(markType, attrs) {\n return function(state, dispatch) {\n var ref = state.selection;\n var empty = ref.empty;\n var $cursor = ref.$cursor;\n var ranges = ref.ranges;\n if ((empty && !$cursor) || !markApplies(state.doc, ranges, markType)) { return false }\n if (dispatch) {\n if ($cursor) {\n if (markType.isInSet(state.storedMarks || $cursor.marks()))\n { dispatch(state.tr.removeStoredMark(markType)); }\n else\n { dispatch(state.tr.addStoredMark(markType.create(attrs))); }\n } else {\n var has = false, tr = state.tr;\n for (var i = 0; !has && i < ranges.length; i++) {\n var ref$1 = ranges[i];\n var $from = ref$1.$from;\n var $to = ref$1.$to;\n has = state.doc.rangeHasMark($from.pos, $to.pos, markType);\n }\n for (var i$1 = 0; i$1 < ranges.length; i$1++) {\n var ref$2 = ranges[i$1];\n var $from$1 = ref$2.$from;\n var $to$1 = ref$2.$to;\n if (has) { tr.removeMark($from$1.pos, $to$1.pos, markType); }\n else { tr.addMark($from$1.pos, $to$1.pos, markType.create(attrs)); }\n }\n dispatch(tr.scrollIntoView());\n }\n }\n return true\n }\n}\n\nfunction wrapDispatchForJoin(dispatch, isJoinable) {\n return function (tr) {\n if (!tr.isGeneric) { return dispatch(tr) }\n\n var ranges = [];\n for (var i = 0; i < tr.mapping.maps.length; i++) {\n var map = tr.mapping.maps[i];\n for (var j = 0; j < ranges.length; j++)\n { ranges[j] = map.map(ranges[j]); }\n map.forEach(function (_s, _e, from, to) { return ranges.push(from, to); });\n }\n\n // Figure out which joinable points exist inside those ranges,\n // by checking all node boundaries in their parent nodes.\n var joinable = [];\n for (var i$1 = 0; i$1 < ranges.length; i$1 += 2) {\n var from = ranges[i$1], to = ranges[i$1 + 1];\n var $from = tr.doc.resolve(from), depth = $from.sharedDepth(to), parent = $from.node(depth);\n for (var index = $from.indexAfter(depth), pos = $from.after(depth + 1); pos <= to; ++index) {\n var after = parent.maybeChild(index);\n if (!after) { break }\n if (index && joinable.indexOf(pos) == -1) {\n var before = parent.child(index - 1);\n if (before.type == after.type && isJoinable(before, after))\n { joinable.push(pos); }\n }\n pos += after.nodeSize;\n }\n }\n // Join the joinable points\n joinable.sort(function (a, b) { return a - b; });\n for (var i$2 = joinable.length - 1; i$2 >= 0; i$2--) {\n if (canJoin(tr.doc, joinable[i$2])) { tr.join(joinable[i$2]); }\n }\n dispatch(tr);\n }\n}\n\n// :: ((state: EditorState, ?(tr: Transaction)) → bool, union<(before: Node, after: Node) → bool, [string]>) → (state: EditorState, ?(tr: Transaction)) → bool\n// Wrap a command so that, when it produces a transform that causes\n// two joinable nodes to end up next to each other, those are joined.\n// Nodes are considered joinable when they are of the same type and\n// when the `isJoinable` predicate returns true for them or, if an\n// array of strings was passed, if their node type name is in that\n// array.\nfunction autoJoin(command, isJoinable) {\n if (Array.isArray(isJoinable)) {\n var types = isJoinable;\n isJoinable = function (node) { return types.indexOf(node.type.name) > -1; };\n }\n return function (state, dispatch) { return command(state, dispatch && wrapDispatchForJoin(dispatch, isJoinable)); }\n}\n\n// :: (...[(EditorState, ?(tr: Transaction), ?EditorView) → bool]) → (EditorState, ?(tr: Transaction), ?EditorView) → bool\n// Combine a number of command functions into a single function (which\n// calls them one by one until one returns true).\nfunction chainCommands() {\n var commands = [], len = arguments.length;\n while ( len-- ) commands[ len ] = arguments[ len ];\n\n return function(state, dispatch, view) {\n for (var i = 0; i < commands.length; i++)\n { if (commands[i](state, dispatch, view)) { return true } }\n return false\n }\n}\n\nvar backspace = chainCommands(deleteSelection, joinBackward, selectNodeBackward);\nvar del = chainCommands(deleteSelection, joinForward, selectNodeForward);\n\n// :: Object\n// A basic keymap containing bindings not specific to any schema.\n// Binds the following keys (when multiple commands are listed, they\n// are chained with [`chainCommands`](#commands.chainCommands)):\n//\n// * **Enter** to `newlineInCode`, `createParagraphNear`, `liftEmptyBlock`, `splitBlock`\n// * **Mod-Enter** to `exitCode`\n// * **Backspace** and **Mod-Backspace** to `deleteSelection`, `joinBackward`, `selectNodeBackward`\n// * **Delete** and **Mod-Delete** to `deleteSelection`, `joinForward`, `selectNodeForward`\n// * **Mod-Delete** to `deleteSelection`, `joinForward`, `selectNodeForward`\n// * **Mod-a** to `selectAll`\nvar pcBaseKeymap = {\n \"Enter\": chainCommands(newlineInCode, createParagraphNear, liftEmptyBlock, splitBlock),\n \"Mod-Enter\": exitCode,\n \"Backspace\": backspace,\n \"Mod-Backspace\": backspace,\n \"Delete\": del,\n \"Mod-Delete\": del,\n \"Mod-a\": selectAll\n};\n\n// :: Object\n// A copy of `pcBaseKeymap` that also binds **Ctrl-h** like Backspace,\n// **Ctrl-d** like Delete, **Alt-Backspace** like Ctrl-Backspace, and\n// **Ctrl-Alt-Backspace**, **Alt-Delete**, and **Alt-d** like\n// Ctrl-Delete.\nvar macBaseKeymap = {\n \"Ctrl-h\": pcBaseKeymap[\"Backspace\"],\n \"Alt-Backspace\": pcBaseKeymap[\"Mod-Backspace\"],\n \"Ctrl-d\": pcBaseKeymap[\"Delete\"],\n \"Ctrl-Alt-Backspace\": pcBaseKeymap[\"Mod-Delete\"],\n \"Alt-Delete\": pcBaseKeymap[\"Mod-Delete\"],\n \"Alt-d\": pcBaseKeymap[\"Mod-Delete\"]\n};\nfor (var key in pcBaseKeymap) { macBaseKeymap[key] = pcBaseKeymap[key]; }\n\n// declare global: os, navigator\nvar mac = typeof navigator != \"undefined\" ? /Mac/.test(navigator.platform)\n : typeof os != \"undefined\" ? os.platform() == \"darwin\" : false;\n\n// :: Object\n// Depending on the detected platform, this will hold\n// [`pcBasekeymap`](#commands.pcBaseKeymap) or\n// [`macBaseKeymap`](#commands.macBaseKeymap).\nvar baseKeymap = mac ? macBaseKeymap : pcBaseKeymap;\n\nexport { autoJoin, baseKeymap, chainCommands, createParagraphNear, deleteSelection, exitCode, joinBackward, joinDown, joinForward, joinUp, lift, liftEmptyBlock, macBaseKeymap, newlineInCode, pcBaseKeymap, selectAll, selectNodeBackward, selectNodeForward, selectParentNode, setBlockType, splitBlock, splitBlockKeepMarks, toggleMark, wrapIn };\n//# sourceMappingURL=index.es.js.map\n","import { Slice, Fragment, Mark, Node } from 'prosemirror-model';\nimport { ReplaceStep, ReplaceAroundStep, Transform } from 'prosemirror-transform';\n\nvar classesById = Object.create(null);\n\n// ::- Superclass for editor selections. Every selection type should\n// extend this. Should not be instantiated directly.\nvar Selection = function Selection($anchor, $head, ranges) {\n // :: [SelectionRange]\n // The ranges covered by the selection.\n this.ranges = ranges || [new SelectionRange($anchor.min($head), $anchor.max($head))];\n // :: ResolvedPos\n // The resolved anchor of the selection (the side that stays in\n // place when the selection is modified).\n this.$anchor = $anchor;\n // :: ResolvedPos\n // The resolved head of the selection (the side that moves when\n // the selection is modified).\n this.$head = $head;\n};\n\nvar prototypeAccessors = { anchor: { configurable: true },head: { configurable: true },from: { configurable: true },to: { configurable: true },$from: { configurable: true },$to: { configurable: true },empty: { configurable: true } };\n\n// :: number\n// The selection's anchor, as an unresolved position.\nprototypeAccessors.anchor.get = function () { return this.$anchor.pos };\n\n// :: number\n// The selection's head.\nprototypeAccessors.head.get = function () { return this.$head.pos };\n\n// :: number\n// The lower bound of the selection's main range.\nprototypeAccessors.from.get = function () { return this.$from.pos };\n\n// :: number\n// The upper bound of the selection's main range.\nprototypeAccessors.to.get = function () { return this.$to.pos };\n\n// :: ResolvedPos\n// The resolved lowerbound of the selection's main range.\nprototypeAccessors.$from.get = function () {\n return this.ranges[0].$from\n};\n\n// :: ResolvedPos\n// The resolved upper bound of the selection's main range.\nprototypeAccessors.$to.get = function () {\n return this.ranges[0].$to\n};\n\n// :: bool\n// Indicates whether the selection contains any content.\nprototypeAccessors.empty.get = function () {\n var ranges = this.ranges;\n for (var i = 0; i < ranges.length; i++)\n { if (ranges[i].$from.pos != ranges[i].$to.pos) { return false } }\n return true\n};\n\n// eq:: (Selection) → bool\n// Test whether the selection is the same as another selection.\n\n// map:: (doc: Node, mapping: Mappable) → Selection\n// Map this selection through a [mappable](#transform.Mappable) thing. `doc`\n// should be the new document to which we are mapping.\n\n// :: () → Slice\n// Get the content of this selection as a slice.\nSelection.prototype.content = function content () {\n return this.$from.node(0).slice(this.from, this.to, true)\n};\n\n// :: (Transaction, ?Slice)\n// Replace the selection with a slice or, if no slice is given,\n// delete the selection. Will append to the given transaction.\nSelection.prototype.replace = function replace (tr, content) {\n if ( content === void 0 ) content = Slice.empty;\n\n // Put the new selection at the position after the inserted\n // content. When that ended in an inline node, search backwards,\n // to get the position after that node. If not, search forward.\n var lastNode = content.content.lastChild, lastParent = null;\n for (var i = 0; i < content.openEnd; i++) {\n lastParent = lastNode;\n lastNode = lastNode.lastChild;\n }\n\n var mapFrom = tr.steps.length, ranges = this.ranges;\n for (var i$1 = 0; i$1 < ranges.length; i$1++) {\n var ref = ranges[i$1];\n var $from = ref.$from;\n var $to = ref.$to;\n var mapping = tr.mapping.slice(mapFrom);\n tr.replaceRange(mapping.map($from.pos), mapping.map($to.pos), i$1 ? Slice.empty : content);\n if (i$1 == 0)\n { selectionToInsertionEnd(tr, mapFrom, (lastNode ? lastNode.isInline : lastParent && lastParent.isTextblock) ? -1 : 1); }\n }\n};\n\n// :: (Transaction, Node)\n// Replace the selection with the given node, appending the changes\n// to the given transaction.\nSelection.prototype.replaceWith = function replaceWith (tr, node) {\n var mapFrom = tr.steps.length, ranges = this.ranges;\n for (var i = 0; i < ranges.length; i++) {\n var ref = ranges[i];\n var $from = ref.$from;\n var $to = ref.$to;\n var mapping = tr.mapping.slice(mapFrom);\n var from = mapping.map($from.pos), to = mapping.map($to.pos);\n if (i) {\n tr.deleteRange(from, to);\n } else {\n tr.replaceRangeWith(from, to, node);\n selectionToInsertionEnd(tr, mapFrom, node.isInline ? -1 : 1);\n }\n }\n};\n\n// toJSON:: () → Object\n// Convert the selection to a JSON representation. When implementing\n// this for a custom selection class, make sure to give the object a\n// `type` property whose value matches the ID under which you\n// [registered](#state.Selection^jsonID) your class.\n\n// :: (ResolvedPos, number, ?bool) → ?Selection\n// Find a valid cursor or leaf node selection starting at the given\n// position and searching back if `dir` is negative, and forward if\n// positive. When `textOnly` is true, only consider cursor\n// selections. Will return null when no valid selection position is\n// found.\nSelection.findFrom = function findFrom ($pos, dir, textOnly) {\n var inner = $pos.parent.inlineContent ? new TextSelection($pos)\n : findSelectionIn($pos.node(0), $pos.parent, $pos.pos, $pos.index(), dir, textOnly);\n if (inner) { return inner }\n\n for (var depth = $pos.depth - 1; depth >= 0; depth--) {\n var found = dir < 0\n ? findSelectionIn($pos.node(0), $pos.node(depth), $pos.before(depth + 1), $pos.index(depth), dir, textOnly)\n : findSelectionIn($pos.node(0), $pos.node(depth), $pos.after(depth + 1), $pos.index(depth) + 1, dir, textOnly);\n if (found) { return found }\n }\n};\n\n// :: (ResolvedPos, ?number) → Selection\n// Find a valid cursor or leaf node selection near the given\n// position. Searches forward first by default, but if `bias` is\n// negative, it will search backwards first.\nSelection.near = function near ($pos, bias) {\n if ( bias === void 0 ) bias = 1;\n\n return this.findFrom($pos, bias) || this.findFrom($pos, -bias) || new AllSelection($pos.node(0))\n};\n\n// :: (Node) → Selection\n// Find the cursor or leaf node selection closest to the start of\n// the given document. Will return an\n// [`AllSelection`](#state.AllSelection) if no valid position\n// exists.\nSelection.atStart = function atStart (doc) {\n return findSelectionIn(doc, doc, 0, 0, 1) || new AllSelection(doc)\n};\n\n// :: (Node) → Selection\n// Find the cursor or leaf node selection closest to the end of the\n// given document.\nSelection.atEnd = function atEnd (doc) {\n return findSelectionIn(doc, doc, doc.content.size, doc.childCount, -1) || new AllSelection(doc)\n};\n\n// :: (Node, Object) → Selection\n// Deserialize the JSON representation of a selection. Must be\n// implemented for custom classes (as a static class method).\nSelection.fromJSON = function fromJSON (doc, json) {\n if (!json || !json.type) { throw new RangeError(\"Invalid input for Selection.fromJSON\") }\n var cls = classesById[json.type];\n if (!cls) { throw new RangeError((\"No selection type \" + (json.type) + \" defined\")) }\n return cls.fromJSON(doc, json)\n};\n\n// :: (string, constructor<Selection>)\n// To be able to deserialize selections from JSON, custom selection\n// classes must register themselves with an ID string, so that they\n// can be disambiguated. Try to pick something that's unlikely to\n// clash with classes from other modules.\nSelection.jsonID = function jsonID (id, selectionClass) {\n if (id in classesById) { throw new RangeError(\"Duplicate use of selection JSON ID \" + id) }\n classesById[id] = selectionClass;\n selectionClass.prototype.jsonID = id;\n return selectionClass\n};\n\n// :: () → SelectionBookmark\n// Get a [bookmark](#state.SelectionBookmark) for this selection,\n// which is a value that can be mapped without having access to a\n// current document, and later resolved to a real selection for a\n// given document again. (This is used mostly by the history to\n// track and restore old selections.) The default implementation of\n// this method just converts the selection to a text selection and\n// returns the bookmark for that.\nSelection.prototype.getBookmark = function getBookmark () {\n return TextSelection.between(this.$anchor, this.$head).getBookmark()\n};\n\nObject.defineProperties( Selection.prototype, prototypeAccessors );\n\n// :: bool\n// Controls whether, when a selection of this type is active in the\n// browser, the selected range should be visible to the user. Defaults\n// to `true`.\nSelection.prototype.visible = true;\n\n// SelectionBookmark:: interface\n// A lightweight, document-independent representation of a selection.\n// You can define a custom bookmark type for a custom selection class\n// to make the history handle it well.\n//\n// map:: (mapping: Mapping) → SelectionBookmark\n// Map the bookmark through a set of changes.\n//\n// resolve:: (doc: Node) → Selection\n// Resolve the bookmark to a real selection again. This may need to\n// do some error checking and may fall back to a default (usually\n// [`TextSelection.between`](#state.TextSelection^between)) if\n// mapping made the bookmark invalid.\n\n// ::- Represents a selected range in a document.\nvar SelectionRange = function SelectionRange($from, $to) {\n // :: ResolvedPos\n // The lower bound of the range.\n this.$from = $from;\n // :: ResolvedPos\n // The upper bound of the range.\n this.$to = $to;\n};\n\n// ::- A text selection represents a classical editor selection, with\n// a head (the moving side) and anchor (immobile side), both of which\n// point into textblock nodes. It can be empty (a regular cursor\n// position).\nvar TextSelection = /*@__PURE__*/(function (Selection) {\n function TextSelection($anchor, $head) {\n if ( $head === void 0 ) $head = $anchor;\n\n Selection.call(this, $anchor, $head);\n }\n\n if ( Selection ) TextSelection.__proto__ = Selection;\n TextSelection.prototype = Object.create( Selection && Selection.prototype );\n TextSelection.prototype.constructor = TextSelection;\n\n var prototypeAccessors$1 = { $cursor: { configurable: true } };\n\n // :: ?ResolvedPos\n // Returns a resolved position if this is a cursor selection (an\n // empty text selection), and null otherwise.\n prototypeAccessors$1.$cursor.get = function () { return this.$anchor.pos == this.$head.pos ? this.$head : null };\n\n TextSelection.prototype.map = function map (doc, mapping) {\n var $head = doc.resolve(mapping.map(this.head));\n if (!$head.parent.inlineContent) { return Selection.near($head) }\n var $anchor = doc.resolve(mapping.map(this.anchor));\n return new TextSelection($anchor.parent.inlineContent ? $anchor : $head, $head)\n };\n\n TextSelection.prototype.replace = function replace (tr, content) {\n if ( content === void 0 ) content = Slice.empty;\n\n Selection.prototype.replace.call(this, tr, content);\n if (content == Slice.empty) {\n var marks = this.$from.marksAcross(this.$to);\n if (marks) { tr.ensureMarks(marks); }\n }\n };\n\n TextSelection.prototype.eq = function eq (other) {\n return other instanceof TextSelection && other.anchor == this.anchor && other.head == this.head\n };\n\n TextSelection.prototype.getBookmark = function getBookmark () {\n return new TextBookmark(this.anchor, this.head)\n };\n\n TextSelection.prototype.toJSON = function toJSON () {\n return {type: \"text\", anchor: this.anchor, head: this.head}\n };\n\n TextSelection.fromJSON = function fromJSON (doc, json) {\n if (typeof json.anchor != \"number\" || typeof json.head != \"number\")\n { throw new RangeError(\"Invalid input for TextSelection.fromJSON\") }\n return new TextSelection(doc.resolve(json.anchor), doc.resolve(json.head))\n };\n\n // :: (Node, number, ?number) → TextSelection\n // Create a text selection from non-resolved positions.\n TextSelection.create = function create (doc, anchor, head) {\n if ( head === void 0 ) head = anchor;\n\n var $anchor = doc.resolve(anchor);\n return new this($anchor, head == anchor ? $anchor : doc.resolve(head))\n };\n\n // :: (ResolvedPos, ResolvedPos, ?number) → Selection\n // Return a text selection that spans the given positions or, if\n // they aren't text positions, find a text selection near them.\n // `bias` determines whether the method searches forward (default)\n // or backwards (negative number) first. Will fall back to calling\n // [`Selection.near`](#state.Selection^near) when the document\n // doesn't contain a valid text position.\n TextSelection.between = function between ($anchor, $head, bias) {\n var dPos = $anchor.pos - $head.pos;\n if (!bias || dPos) { bias = dPos >= 0 ? 1 : -1; }\n if (!$head.parent.inlineContent) {\n var found = Selection.findFrom($head, bias, true) || Selection.findFrom($head, -bias, true);\n if (found) { $head = found.$head; }\n else { return Selection.near($head, bias) }\n }\n if (!$anchor.parent.inlineContent) {\n if (dPos == 0) {\n $anchor = $head;\n } else {\n $anchor = (Selection.findFrom($anchor, -bias, true) || Selection.findFrom($anchor, bias, true)).$anchor;\n if (($anchor.pos < $head.pos) != (dPos < 0)) { $anchor = $head; }\n }\n }\n return new TextSelection($anchor, $head)\n };\n\n Object.defineProperties( TextSelection.prototype, prototypeAccessors$1 );\n\n return TextSelection;\n}(Selection));\n\nSelection.jsonID(\"text\", TextSelection);\n\nvar TextBookmark = function TextBookmark(anchor, head) {\n this.anchor = anchor;\n this.head = head;\n};\nTextBookmark.prototype.map = function map (mapping) {\n return new TextBookmark(mapping.map(this.anchor), mapping.map(this.head))\n};\nTextBookmark.prototype.resolve = function resolve (doc) {\n return TextSelection.between(doc.resolve(this.anchor), doc.resolve(this.head))\n};\n\n// ::- A node selection is a selection that points at a single node.\n// All nodes marked [selectable](#model.NodeSpec.selectable) can be\n// the target of a node selection. In such a selection, `from` and\n// `to` point directly before and after the selected node, `anchor`\n// equals `from`, and `head` equals `to`..\nvar NodeSelection = /*@__PURE__*/(function (Selection) {\n function NodeSelection($pos) {\n var node = $pos.nodeAfter;\n var $end = $pos.node(0).resolve($pos.pos + node.nodeSize);\n Selection.call(this, $pos, $end);\n // :: Node The selected node.\n this.node = node;\n }\n\n if ( Selection ) NodeSelection.__proto__ = Selection;\n NodeSelection.prototype = Object.create( Selection && Selection.prototype );\n NodeSelection.prototype.constructor = NodeSelection;\n\n NodeSelection.prototype.map = function map (doc, mapping) {\n var ref = mapping.mapResult(this.anchor);\n var deleted = ref.deleted;\n var pos = ref.pos;\n var $pos = doc.resolve(pos);\n if (deleted) { return Selection.near($pos) }\n return new NodeSelection($pos)\n };\n\n NodeSelection.prototype.content = function content () {\n return new Slice(Fragment.from(this.node), 0, 0)\n };\n\n NodeSelection.prototype.eq = function eq (other) {\n return other instanceof NodeSelection && other.anchor == this.anchor\n };\n\n NodeSelection.prototype.toJSON = function toJSON () {\n return {type: \"node\", anchor: this.anchor}\n };\n\n NodeSelection.prototype.getBookmark = function getBookmark () { return new NodeBookmark(this.anchor) };\n\n NodeSelection.fromJSON = function fromJSON (doc, json) {\n if (typeof json.anchor != \"number\")\n { throw new RangeError(\"Invalid input for NodeSelection.fromJSON\") }\n return new NodeSelection(doc.resolve(json.anchor))\n };\n\n // :: (Node, number) → NodeSelection\n // Create a node selection from non-resolved positions.\n NodeSelection.create = function create (doc, from) {\n return new this(doc.resolve(from))\n };\n\n // :: (Node) → bool\n // Determines whether the given node may be selected as a node\n // selection.\n NodeSelection.isSelectable = function isSelectable (node) {\n return !node.isText && node.type.spec.selectable !== false\n };\n\n return NodeSelection;\n}(Selection));\n\nNodeSelection.prototype.visible = false;\n\nSelection.jsonID(\"node\", NodeSelection);\n\nvar NodeBookmark = function NodeBookmark(anchor) {\n this.anchor = anchor;\n};\nNodeBookmark.prototype.map = function map (mapping) {\n var ref = mapping.mapResult(this.anchor);\n var deleted = ref.deleted;\n var pos = ref.pos;\n return deleted ? new TextBookmark(pos, pos) : new NodeBookmark(pos)\n};\nNodeBookmark.prototype.resolve = function resolve (doc) {\n var $pos = doc.resolve(this.anchor), node = $pos.nodeAfter;\n if (node && NodeSelection.isSelectable(node)) { return new NodeSelection($pos) }\n return Selection.near($pos)\n};\n\n// ::- A selection type that represents selecting the whole document\n// (which can not necessarily be expressed with a text selection, when\n// there are for example leaf block nodes at the start or end of the\n// document).\nvar AllSelection = /*@__PURE__*/(function (Selection) {\n function AllSelection(doc) {\n Selection.call(this, doc.resolve(0), doc.resolve(doc.content.size));\n }\n\n if ( Selection ) AllSelection.__proto__ = Selection;\n AllSelection.prototype = Object.create( Selection && Selection.prototype );\n AllSelection.prototype.constructor = AllSelection;\n\n AllSelection.prototype.replace = function replace (tr, content) {\n if ( content === void 0 ) content = Slice.empty;\n\n if (content == Slice.empty) {\n tr.delete(0, tr.doc.content.size);\n var sel = Selection.atStart(tr.doc);\n if (!sel.eq(tr.selection)) { tr.setSelection(sel); }\n } else {\n Selection.prototype.replace.call(this, tr, content);\n }\n };\n\n AllSelection.prototype.toJSON = function toJSON () { return {type: \"all\"} };\n\n AllSelection.fromJSON = function fromJSON (doc) { return new AllSelection(doc) };\n\n AllSelection.prototype.map = function map (doc) { return new AllSelection(doc) };\n\n AllSelection.prototype.eq = function eq (other) { return other instanceof AllSelection };\n\n AllSelection.prototype.getBookmark = function getBookmark () { return AllBookmark };\n\n return AllSelection;\n}(Selection));\n\nSelection.jsonID(\"all\", AllSelection);\n\nvar AllBookmark = {\n map: function map() { return this },\n resolve: function resolve(doc) { return new AllSelection(doc) }\n};\n\n// FIXME we'll need some awareness of text direction when scanning for selections\n\n// Try to find a selection inside the given node. `pos` points at the\n// position where the search starts. When `text` is true, only return\n// text selections.\nfunction findSelectionIn(doc, node, pos, index, dir, text) {\n if (node.inlineContent) { return TextSelection.create(doc, pos) }\n for (var i = index - (dir > 0 ? 0 : 1); dir > 0 ? i < node.childCount : i >= 0; i += dir) {\n var child = node.child(i);\n if (!child.isAtom) {\n var inner = findSelectionIn(doc, child, pos + dir, dir < 0 ? child.childCount : 0, dir, text);\n if (inner) { return inner }\n } else if (!text && NodeSelection.isSelectable(child)) {\n return NodeSelection.create(doc, pos - (dir < 0 ? child.nodeSize : 0))\n }\n pos += child.nodeSize * dir;\n }\n}\n\nfunction selectionToInsertionEnd(tr, startLen, bias) {\n var last = tr.steps.length - 1;\n if (last < startLen) { return }\n var step = tr.steps[last];\n if (!(step instanceof ReplaceStep || step instanceof ReplaceAroundStep)) { return }\n var map = tr.mapping.maps[last], end;\n map.forEach(function (_from, _to, _newFrom, newTo) { if (end == null) { end = newTo; } });\n tr.setSelection(Selection.near(tr.doc.resolve(end), bias));\n}\n\nvar UPDATED_SEL = 1, UPDATED_MARKS = 2, UPDATED_SCROLL = 4;\n\n// ::- An editor state transaction, which can be applied to a state to\n// create an updated state. Use\n// [`EditorState.tr`](#state.EditorState.tr) to create an instance.\n//\n// Transactions track changes to the document (they are a subclass of\n// [`Transform`](#transform.Transform)), but also other state changes,\n// like selection updates and adjustments of the set of [stored\n// marks](#state.EditorState.storedMarks). In addition, you can store\n// metadata properties in a transaction, which are extra pieces of\n// information that client code or plugins can use to describe what a\n// transacion represents, so that they can update their [own\n// state](#state.StateField) accordingly.\n//\n// The [editor view](#view.EditorView) uses a few metadata properties:\n// it will attach a property `\"pointer\"` with the value `true` to\n// selection transactions directly caused by mouse or touch input, and\n// a `\"uiEvent\"` property of that may be `\"paste\"`, `\"cut\"`, or `\"drop\"`.\nvar Transaction = /*@__PURE__*/(function (Transform) {\n function Transaction(state) {\n Transform.call(this, state.doc);\n // :: number\n // The timestamp associated with this transaction, in the same\n // format as `Date.now()`.\n this.time = Date.now();\n this.curSelection = state.selection;\n // The step count for which the current selection is valid.\n this.curSelectionFor = 0;\n // :: ?[Mark]\n // The stored marks set by this transaction, if any.\n this.storedMarks = state.storedMarks;\n // Bitfield to track which aspects of the state were updated by\n // this transaction.\n this.updated = 0;\n // Object used to store metadata properties for the transaction.\n this.meta = Object.create(null);\n }\n\n if ( Transform ) Transaction.__proto__ = Transform;\n Transaction.prototype = Object.create( Transform && Transform.prototype );\n Transaction.prototype.constructor = Transaction;\n\n var prototypeAccessors = { selection: { configurable: true },selectionSet: { configurable: true },storedMarksSet: { configurable: true },isGeneric: { configurable: true },scrolledIntoView: { configurable: true } };\n\n // :: Selection\n // The transaction's current selection. This defaults to the editor\n // selection [mapped](#state.Selection.map) through the steps in the\n // transaction, but can be overwritten with\n // [`setSelection`](#state.Transaction.setSelection).\n prototypeAccessors.selection.get = function () {\n if (this.curSelectionFor < this.steps.length) {\n this.curSelection = this.curSelection.map(this.doc, this.mapping.slice(this.curSelectionFor));\n this.curSelectionFor = this.steps.length;\n }\n return this.curSelection\n };\n\n // :: (Selection) → Transaction\n // Update the transaction's current selection. Will determine the\n // selection that the editor gets when the transaction is applied.\n Transaction.prototype.setSelection = function setSelection (selection) {\n if (selection.$from.doc != this.doc)\n { throw new RangeError(\"Selection passed to setSelection must point at the current document\") }\n this.curSelection = selection;\n this.curSelectionFor = this.steps.length;\n this.updated = (this.updated | UPDATED_SEL) & ~UPDATED_MARKS;\n this.storedMarks = null;\n return this\n };\n\n // :: bool\n // Whether the selection was explicitly updated by this transaction.\n prototypeAccessors.selectionSet.get = function () {\n return (this.updated & UPDATED_SEL) > 0\n };\n\n // :: (?[Mark]) → Transaction\n // Set the current stored marks.\n Transaction.prototype.setStoredMarks = function setStoredMarks (marks) {\n this.storedMarks = marks;\n this.updated |= UPDATED_MARKS;\n return this\n };\n\n // :: ([Mark]) → Transaction\n // Make sure the current stored marks or, if that is null, the marks\n // at the selection, match the given set of marks. Does nothing if\n // this is already the case.\n Transaction.prototype.ensureMarks = function ensureMarks (marks) {\n if (!Mark.sameSet(this.storedMarks || this.selection.$from.marks(), marks))\n { this.setStoredMarks(marks); }\n return this\n };\n\n // :: (Mark) → Transaction\n // Add a mark to the set of stored marks.\n Transaction.prototype.addStoredMark = function addStoredMark (mark) {\n return this.ensureMarks(mark.addToSet(this.storedMarks || this.selection.$head.marks()))\n };\n\n // :: (union<Mark, MarkType>) → Transaction\n // Remove a mark or mark type from the set of stored marks.\n Transaction.prototype.removeStoredMark = function removeStoredMark (mark) {\n return this.ensureMarks(mark.removeFromSet(this.storedMarks || this.selection.$head.marks()))\n };\n\n // :: bool\n // Whether the stored marks were explicitly set for this transaction.\n prototypeAccessors.storedMarksSet.get = function () {\n return (this.updated & UPDATED_MARKS) > 0\n };\n\n Transaction.prototype.addStep = function addStep (step, doc) {\n Transform.prototype.addStep.call(this, step, doc);\n this.updated = this.updated & ~UPDATED_MARKS;\n this.storedMarks = null;\n };\n\n // :: (number) → Transaction\n // Update the timestamp for the transaction.\n Transaction.prototype.setTime = function setTime (time) {\n this.time = time;\n return this\n };\n\n // :: (Slice) → Transaction\n // Replace the current selection with the given slice.\n Transaction.prototype.replaceSelection = function replaceSelection (slice) {\n this.selection.replace(this, slice);\n return this\n };\n\n // :: (Node, ?bool) → Transaction\n // Replace the selection with the given node. When `inheritMarks` is\n // true and the content is inline, it inherits the marks from the\n // place where it is inserted.\n Transaction.prototype.replaceSelectionWith = function replaceSelectionWith (node, inheritMarks) {\n var selection = this.selection;\n if (inheritMarks !== false)\n { node = node.mark(this.storedMarks || (selection.empty ? selection.$from.marks() : (selection.$from.marksAcross(selection.$to) || Mark.none))); }\n selection.replaceWith(this, node);\n return this\n };\n\n // :: () → Transaction\n // Delete the selection.\n Transaction.prototype.deleteSelection = function deleteSelection () {\n this.selection.replace(this);\n return this\n };\n\n // :: (string, from: ?number, to: ?number) → Transaction\n // Replace the given range, or the selection if no range is given,\n // with a text node containing the given string.\n Transaction.prototype.insertText = function insertText (text, from, to) {\n if ( to === void 0 ) to = from;\n\n var schema = this.doc.type.schema;\n if (from == null) {\n if (!text) { return this.deleteSelection() }\n return this.replaceSelectionWith(schema.text(text), true)\n } else {\n if (!text) { return this.deleteRange(from, to) }\n var marks = this.storedMarks;\n if (!marks) {\n var $from = this.doc.resolve(from);\n marks = to == from ? $from.marks() : $from.marksAcross(this.doc.resolve(to));\n }\n this.replaceRangeWith(from, to, schema.text(text, marks));\n if (!this.selection.empty) { this.setSelection(Selection.near(this.selection.$to)); }\n return this\n }\n };\n\n // :: (union<string, Plugin, PluginKey>, any) → Transaction\n // Store a metadata property in this transaction, keyed either by\n // name or by plugin.\n Transaction.prototype.setMeta = function setMeta (key, value) {\n this.meta[typeof key == \"string\" ? key : key.key] = value;\n return this\n };\n\n // :: (union<string, Plugin, PluginKey>) → any\n // Retrieve a metadata property for a given name or plugin.\n Transaction.prototype.getMeta = function getMeta (key) {\n return this.meta[typeof key == \"string\" ? key : key.key]\n };\n\n // :: bool\n // Returns true if this transaction doesn't contain any metadata,\n // and can thus safely be extended.\n prototypeAccessors.isGeneric.get = function () {\n for (var _ in this.meta) { return false }\n return true\n };\n\n // :: () → Transaction\n // Indicate that the editor should scroll the selection into view\n // when updated to the state produced by this transaction.\n Transaction.prototype.scrollIntoView = function scrollIntoView () {\n this.updated |= UPDATED_SCROLL;\n return this\n };\n\n prototypeAccessors.scrolledIntoView.get = function () {\n return (this.updated & UPDATED_SCROLL) > 0\n };\n\n Object.defineProperties( Transaction.prototype, prototypeAccessors );\n\n return Transaction;\n}(Transform));\n\nfunction bind(f, self) {\n return !self || !f ? f : f.bind(self)\n}\n\nvar FieldDesc = function FieldDesc(name, desc, self) {\n this.name = name;\n this.init = bind(desc.init, self);\n this.apply = bind(desc.apply, self);\n};\n\nvar baseFields = [\n new FieldDesc(\"doc\", {\n init: function init(config) { return config.doc || config.schema.topNodeType.createAndFill() },\n apply: function apply(tr) { return tr.doc }\n }),\n\n new FieldDesc(\"selection\", {\n init: function init(config, instance) { return config.selection || Selection.atStart(instance.doc) },\n apply: function apply(tr) { return tr.selection }\n }),\n\n new FieldDesc(\"storedMarks\", {\n init: function init(config) { return config.storedMarks || null },\n apply: function apply(tr, _marks, _old, state) { return state.selection.$cursor ? tr.storedMarks : null }\n }),\n\n new FieldDesc(\"scrollToSelection\", {\n init: function init() { return 0 },\n apply: function apply(tr, prev) { return tr.scrolledIntoView ? prev + 1 : prev }\n })\n];\n\n// Object wrapping the part of a state object that stays the same\n// across transactions. Stored in the state's `config` property.\nvar Configuration = function Configuration(schema, plugins) {\n var this$1 = this;\n\n this.schema = schema;\n this.fields = baseFields.concat();\n this.plugins = [];\n this.pluginsByKey = Object.create(null);\n if (plugins) { plugins.forEach(function (plugin) {\n if (this$1.pluginsByKey[plugin.key])\n { throw new RangeError(\"Adding different instances of a keyed plugin (\" + plugin.key + \")\") }\n this$1.plugins.push(plugin);\n this$1.pluginsByKey[plugin.key] = plugin;\n if (plugin.spec.state)\n { this$1.fields.push(new FieldDesc(plugin.key, plugin.spec.state, plugin)); }\n }); }\n};\n\n// ::- The state of a ProseMirror editor is represented by an object\n// of this type. A state is a persistent data structure—it isn't\n// updated, but rather a new state value is computed from an old one\n// using the [`apply`](#state.EditorState.apply) method.\n//\n// A state holds a number of built-in fields, and plugins can\n// [define](#state.PluginSpec.state) additional fields.\nvar EditorState = function EditorState(config) {\n this.config = config;\n};\n\nvar prototypeAccessors$1 = { schema: { configurable: true },plugins: { configurable: true },tr: { configurable: true } };\n\n// doc:: Node\n// The current document.\n\n// selection:: Selection\n// The selection.\n\n// storedMarks:: ?[Mark]\n// A set of marks to apply to the next input. Will be null when\n// no explicit marks have been set.\n\n// :: Schema\n// The schema of the state's document.\nprototypeAccessors$1.schema.get = function () {\n return this.config.schema\n};\n\n// :: [Plugin]\n// The plugins that are active in this state.\nprototypeAccessors$1.plugins.get = function () {\n return this.config.plugins\n};\n\n// :: (Transaction) → EditorState\n// Apply the given transaction to produce a new state.\nEditorState.prototype.apply = function apply (tr) {\n return this.applyTransaction(tr).state\n};\n\n// : (Transaction) → bool\nEditorState.prototype.filterTransaction = function filterTransaction (tr, ignore) {\n if ( ignore === void 0 ) ignore = -1;\n\n for (var i = 0; i < this.config.plugins.length; i++) { if (i != ignore) {\n var plugin = this.config.plugins[i];\n if (plugin.spec.filterTransaction && !plugin.spec.filterTransaction.call(plugin, tr, this))\n { return false }\n } }\n return true\n};\n\n// :: (Transaction) → {state: EditorState, transactions: [Transaction]}\n// Verbose variant of [`apply`](#state.EditorState.apply) that\n// returns the precise transactions that were applied (which might\n// be influenced by the [transaction\n// hooks](#state.PluginSpec.filterTransaction) of\n// plugins) along with the new state.\nEditorState.prototype.applyTransaction = function applyTransaction (rootTr) {\n if (!this.filterTransaction(rootTr)) { return {state: this, transactions: []} }\n\n var trs = [rootTr], newState = this.applyInner(rootTr), seen = null;\n // This loop repeatedly gives plugins a chance to respond to\n // transactions as new transactions are added, making sure to only\n // pass the transactions the plugin did not see before.\n for (;;) {\n var haveNew = false;\n for (var i = 0; i < this.config.plugins.length; i++) {\n var plugin = this.config.plugins[i];\n if (plugin.spec.appendTransaction) {\n var n = seen ? seen[i].n : 0, oldState = seen ? seen[i].state : this;\n var tr = n < trs.length &&\n plugin.spec.appendTransaction.call(plugin, n ? trs.slice(n) : trs, oldState, newState);\n if (tr && newState.filterTransaction(tr, i)) {\n tr.setMeta(\"appendedTransaction\", rootTr);\n if (!seen) {\n seen = [];\n for (var j = 0; j < this.config.plugins.length; j++)\n { seen.push(j < i ? {state: newState, n: trs.length} : {state: this, n: 0}); }\n }\n trs.push(tr);\n newState = newState.applyInner(tr);\n haveNew = true;\n }\n if (seen) { seen[i] = {state: newState, n: trs.length}; }\n }\n }\n if (!haveNew) { return {state: newState, transactions: trs} }\n }\n};\n\n// : (Transaction) → EditorState\nEditorState.prototype.applyInner = function applyInner (tr) {\n if (!tr.before.eq(this.doc)) { throw new RangeError(\"Applying a mismatched transaction\") }\n var newInstance = new EditorState(this.config), fields = this.config.fields;\n for (var i = 0; i < fields.length; i++) {\n var field = fields[i];\n newInstance[field.name] = field.apply(tr, this[field.name], this, newInstance);\n }\n for (var i$1 = 0; i$1 < applyListeners.length; i$1++) { applyListeners[i$1](this, tr, newInstance); }\n return newInstance\n};\n\n// :: Transaction\n// Start a [transaction](#state.Transaction) from this state.\nprototypeAccessors$1.tr.get = function () { return new Transaction(this) };\n\n// :: (Object) → EditorState\n// Create a new state.\n//\n// config::- Configuration options. Must contain `schema` or `doc` (or both).\n//\n// schema:: ?Schema\n// The schema to use.\n//\n// doc:: ?Node\n// The starting document.\n//\n// selection:: ?Selection\n// A valid selection in the document.\n//\n// storedMarks:: ?[Mark]\n// The initial set of [stored marks](#state.EditorState.storedMarks).\n//\n// plugins:: ?[Plugin]\n// The plugins that should be active in this state.\nEditorState.create = function create (config) {\n var $config = new Configuration(config.schema || config.doc.type.schema, config.plugins);\n var instance = new EditorState($config);\n for (var i = 0; i < $config.fields.length; i++)\n { instance[$config.fields[i].name] = $config.fields[i].init(config, instance); }\n return instance\n};\n\n// :: (Object) → EditorState\n// Create a new state based on this one, but with an adjusted set of\n// active plugins. State fields that exist in both sets of plugins\n// are kept unchanged. Those that no longer exist are dropped, and\n// those that are new are initialized using their\n// [`init`](#state.StateField.init) method, passing in the new\n// configuration object..\n//\n// config::- configuration options\n//\n// schema:: ?Schema\n// New schema to use.\n//\n// plugins:: ?[Plugin]\n// New set of active plugins.\nEditorState.prototype.reconfigure = function reconfigure (config) {\n var $config = new Configuration(config.schema || this.schema, config.plugins);\n var fields = $config.fields, instance = new EditorState($config);\n for (var i = 0; i < fields.length; i++) {\n var name = fields[i].name;\n instance[name] = this.hasOwnProperty(name) ? this[name] : fields[i].init(config, instance);\n }\n return instance\n};\n\n// :: (?union<Object<Plugin>, string, number>) → Object\n// Serialize this state to JSON. If you want to serialize the state\n// of plugins, pass an object mapping property names to use in the\n// resulting JSON object to plugin objects. The argument may also be\n// a string or number, in which case it is ignored, to support the\n// way `JSON.stringify` calls `toString` methods.\nEditorState.prototype.toJSON = function toJSON (pluginFields) {\n var result = {doc: this.doc.toJSON(), selection: this.selection.toJSON()};\n if (this.storedMarks) { result.storedMarks = this.storedMarks.map(function (m) { return m.toJSON(); }); }\n if (pluginFields && typeof pluginFields == 'object') { for (var prop in pluginFields) {\n if (prop == \"doc\" || prop == \"selection\")\n { throw new RangeError(\"The JSON fields `doc` and `selection` are reserved\") }\n var plugin = pluginFields[prop], state = plugin.spec.state;\n if (state && state.toJSON) { result[prop] = state.toJSON.call(plugin, this[plugin.key]); }\n } }\n return result\n};\n\n// :: (Object, Object, ?Object<Plugin>) → EditorState\n// Deserialize a JSON representation of a state. `config` should\n// have at least a `schema` field, and should contain array of\n// plugins to initialize the state with. `pluginFields` can be used\n// to deserialize the state of plugins, by associating plugin\n// instances with the property names they use in the JSON object.\n//\n// config::- configuration options\n//\n// schema:: Schema\n// The schema to use.\n//\n// plugins:: ?[Plugin]\n// The set of active plugins.\nEditorState.fromJSON = function fromJSON (config, json, pluginFields) {\n if (!json) { throw new RangeError(\"Invalid input for EditorState.fromJSON\") }\n if (!config.schema) { throw new RangeError(\"Required config field 'schema' missing\") }\n var $config = new Configuration(config.schema, config.plugins);\n var instance = new EditorState($config);\n $config.fields.forEach(function (field) {\n if (field.name == \"doc\") {\n instance.doc = Node.fromJSON(config.schema, json.doc);\n } else if (field.name == \"selection\") {\n instance.selection = Selection.fromJSON(instance.doc, json.selection);\n } else if (field.name == \"storedMarks\") {\n if (json.storedMarks) { instance.storedMarks = json.storedMarks.map(config.schema.markFromJSON); }\n } else {\n if (pluginFields) { for (var prop in pluginFields) {\n var plugin = pluginFields[prop], state = plugin.spec.state;\n if (plugin.key == field.name && state && state.fromJSON &&\n Object.prototype.hasOwnProperty.call(json, prop)) {\n // This field belongs to a plugin mapped to a JSON field, read it from there.\n instance[field.name] = state.fromJSON.call(plugin, config, json[prop], instance);\n return\n }\n } }\n instance[field.name] = field.init(config, instance);\n }\n });\n return instance\n};\n\n// Kludge to allow the view to track mappings between different\n// instances of a state.\n//\n// FIXME this is no longer needed as of prosemirror-view 1.9.0,\n// though due to backwards-compat we should probably keep it around\n// for a while (if only as a no-op)\nEditorState.addApplyListener = function addApplyListener (f) {\n applyListeners.push(f);\n};\nEditorState.removeApplyListener = function removeApplyListener (f) {\n var found = applyListeners.indexOf(f);\n if (found > -1) { applyListeners.splice(found, 1); }\n};\n\nObject.defineProperties( EditorState.prototype, prototypeAccessors$1 );\n\nvar applyListeners = [];\n\n// PluginSpec:: interface\n//\n// This is the type passed to the [`Plugin`](#state.Plugin)\n// constructor. It provides a definition for a plugin.\n//\n// props:: ?EditorProps\n// The [view props](#view.EditorProps) added by this plugin. Props\n// that are functions will be bound to have the plugin instance as\n// their `this` binding.\n//\n// state:: ?StateField<any>\n// Allows a plugin to define a [state field](#state.StateField), an\n// extra slot in the state object in which it can keep its own data.\n//\n// key:: ?PluginKey\n// Can be used to make this a keyed plugin. You can have only one\n// plugin with a given key in a given state, but it is possible to\n// access the plugin's configuration and state through the key,\n// without having access to the plugin instance object.\n//\n// view:: ?(EditorView) → Object\n// When the plugin needs to interact with the editor view, or\n// set something up in the DOM, use this field. The function\n// will be called when the plugin's state is associated with an\n// editor view.\n//\n// return::-\n// Should return an object with the following optional\n// properties:\n//\n// update:: ?(view: EditorView, prevState: EditorState)\n// Called whenever the view's state is updated.\n//\n// destroy:: ?()\n// Called when the view is destroyed or receives a state\n// with different plugins.\n//\n// filterTransaction:: ?(Transaction, EditorState) → bool\n// When present, this will be called before a transaction is\n// applied by the state, allowing the plugin to cancel it (by\n// returning false).\n//\n// appendTransaction:: ?(transactions: [Transaction], oldState: EditorState, newState: EditorState) → ?Transaction\n// Allows the plugin to append another transaction to be applied\n// after the given array of transactions. When another plugin\n// appends a transaction after this was called, it is called again\n// with the new state and new transactions—but only the new\n// transactions, i.e. it won't be passed transactions that it\n// already saw.\n\nfunction bindProps(obj, self, target) {\n for (var prop in obj) {\n var val = obj[prop];\n if (val instanceof Function) { val = val.bind(self); }\n else if (prop == \"handleDOMEvents\") { val = bindProps(val, self, {}); }\n target[prop] = val;\n }\n return target\n}\n\n// ::- Plugins bundle functionality that can be added to an editor.\n// They are part of the [editor state](#state.EditorState) and\n// may influence that state and the view that contains it.\nvar Plugin = function Plugin(spec) {\n // :: EditorProps\n // The [props](#view.EditorProps) exported by this plugin.\n this.props = {};\n if (spec.props) { bindProps(spec.props, this, this.props); }\n // :: Object\n // The plugin's [spec object](#state.PluginSpec).\n this.spec = spec;\n this.key = spec.key ? spec.key.key : createKey(\"plugin\");\n};\n\n// :: (EditorState) → any\n// Extract the plugin's state field from an editor state.\nPlugin.prototype.getState = function getState (state) { return state[this.key] };\n\n// StateField:: interface<T>\n// A plugin spec may provide a state field (under its\n// [`state`](#state.PluginSpec.state) property) of this type, which\n// describes the state it wants to keep. Functions provided here are\n// always called with the plugin instance as their `this` binding.\n//\n// init:: (config: Object, instance: EditorState) → T\n// Initialize the value of the field. `config` will be the object\n// passed to [`EditorState.create`](#state.EditorState^create). Note\n// that `instance` is a half-initialized state instance, and will\n// not have values for plugin fields initialized after this one.\n//\n// apply:: (tr: Transaction, value: T, oldState: EditorState, newState: EditorState) → T\n// Apply the given transaction to this state field, producing a new\n// field value. Note that the `newState` argument is again a partially\n// constructed state does not yet contain the state from plugins\n// coming after this one.\n//\n// toJSON:: ?(value: T) → *\n// Convert this field to JSON. Optional, can be left off to disable\n// JSON serialization for the field.\n//\n// fromJSON:: ?(config: Object, value: *, state: EditorState) → T\n// Deserialize the JSON representation of this field. Note that the\n// `state` argument is again a half-initialized state.\n\nvar keys = Object.create(null);\n\nfunction createKey(name) {\n if (name in keys) { return name + \"$\" + ++keys[name] }\n keys[name] = 0;\n return name + \"$\"\n}\n\n// ::- A key is used to [tag](#state.PluginSpec.key)\n// plugins in a way that makes it possible to find them, given an\n// editor state. Assigning a key does mean only one plugin of that\n// type can be active in a state.\nvar PluginKey = function PluginKey(name) {\nif ( name === void 0 ) name = \"key\";\n this.key = createKey(name); };\n\n// :: (EditorState) → ?Plugin\n// Get the active plugin with this key, if any, from an editor\n// state.\nPluginKey.prototype.get = function get (state) { return state.config.pluginsByKey[this.key] };\n\n// :: (EditorState) → ?any\n// Get the plugin's state from an editor state.\nPluginKey.prototype.getState = function getState (state) { return state[this.key] };\n\nexport { AllSelection, EditorState, NodeSelection, Plugin, PluginKey, Selection, SelectionRange, TextSelection, Transaction };\n//# sourceMappingURL=index.es.js.map\n","// ::- Persistent data structure representing an ordered mapping from\n// strings to values, with some convenient update methods.\nfunction OrderedMap(content) {\n this.content = content;\n}\n\nOrderedMap.prototype = {\n constructor: OrderedMap,\n\n find: function(key) {\n for (var i = 0; i < this.content.length; i += 2)\n if (this.content[i] === key) return i\n return -1\n },\n\n // :: (string) → ?any\n // Retrieve the value stored under `key`, or return undefined when\n // no such key exists.\n get: function(key) {\n var found = this.find(key);\n return found == -1 ? undefined : this.content[found + 1]\n },\n\n // :: (string, any, ?string) → OrderedMap\n // Create a new map by replacing the value of `key` with a new\n // value, or adding a binding to the end of the map. If `newKey` is\n // given, the key of the binding will be replaced with that key.\n update: function(key, value, newKey) {\n var self = newKey && newKey != key ? this.remove(newKey) : this;\n var found = self.find(key), content = self.content.slice();\n if (found == -1) {\n content.push(newKey || key, value);\n } else {\n content[found + 1] = value;\n if (newKey) content[found] = newKey;\n }\n return new OrderedMap(content)\n },\n\n // :: (string) → OrderedMap\n // Return a map with the given key removed, if it existed.\n remove: function(key) {\n var found = this.find(key);\n if (found == -1) return this\n var content = this.content.slice();\n content.splice(found, 2);\n return new OrderedMap(content)\n },\n\n // :: (string, any) → OrderedMap\n // Add a new key to the start of the map.\n addToStart: function(key, value) {\n return new OrderedMap([key, value].concat(this.remove(key).content))\n },\n\n // :: (string, any) → OrderedMap\n // Add a new key to the end of the map.\n addToEnd: function(key, value) {\n var content = this.remove(key).content.slice();\n content.push(key, value);\n return new OrderedMap(content)\n },\n\n // :: (string, string, any) → OrderedMap\n // Add a key after the given key. If `place` is not found, the new\n // key is added to the end.\n addBefore: function(place, key, value) {\n var without = this.remove(key), content = without.content.slice();\n var found = without.find(place);\n content.splice(found == -1 ? content.length : found, 0, key, value);\n return new OrderedMap(content)\n },\n\n // :: ((key: string, value: any))\n // Call the given function for each key/value pair in the map, in\n // order.\n forEach: function(f) {\n for (var i = 0; i < this.content.length; i += 2)\n f(this.content[i], this.content[i + 1]);\n },\n\n // :: (union<Object, OrderedMap>) → OrderedMap\n // Create a new map by prepending the keys in this map that don't\n // appear in `map` before the keys in `map`.\n prepend: function(map) {\n map = OrderedMap.from(map);\n if (!map.size) return this\n return new OrderedMap(map.content.concat(this.subtract(map).content))\n },\n\n // :: (union<Object, OrderedMap>) → OrderedMap\n // Create a new map by appending the keys in this map that don't\n // appear in `map` after the keys in `map`.\n append: function(map) {\n map = OrderedMap.from(map);\n if (!map.size) return this\n return new OrderedMap(this.subtract(map).content.concat(map.content))\n },\n\n // :: (union<Object, OrderedMap>) → OrderedMap\n // Create a map containing all the keys in this map that don't\n // appear in `map`.\n subtract: function(map) {\n var result = this;\n map = OrderedMap.from(map);\n for (var i = 0; i < map.content.length; i += 2)\n result = result.remove(map.content[i]);\n return result\n },\n\n // :: number\n // The amount of keys in this map.\n get size() {\n return this.content.length >> 1\n }\n};\n\n// :: (?union<Object, OrderedMap>) → OrderedMap\n// Return a map with the given content. If null, create an empty\n// map. If given an ordered map, return that map itself. If given an\n// object, create a map from the object's properties.\nOrderedMap.from = function(value) {\n if (value instanceof OrderedMap) return value\n var content = [];\n if (value) for (var prop in value) content.push(prop, value[prop]);\n return new OrderedMap(content)\n};\n\nvar orderedmap = OrderedMap;\n\nexport default orderedmap;\n","import OrderedMap from 'orderedmap';\n\nfunction findDiffStart(a, b, pos) {\n for (var i = 0;; i++) {\n if (i == a.childCount || i == b.childCount)\n { return a.childCount == b.childCount ? null : pos }\n\n var childA = a.child(i), childB = b.child(i);\n if (childA == childB) { pos += childA.nodeSize; continue }\n\n if (!childA.sameMarkup(childB)) { return pos }\n\n if (childA.isText && childA.text != childB.text) {\n for (var j = 0; childA.text[j] == childB.text[j]; j++)\n { pos++; }\n return pos\n }\n if (childA.content.size || childB.content.size) {\n var inner = findDiffStart(childA.content, childB.content, pos + 1);\n if (inner != null) { return inner }\n }\n pos += childA.nodeSize;\n }\n}\n\nfunction findDiffEnd(a, b, posA, posB) {\n for (var iA = a.childCount, iB = b.childCount;;) {\n if (iA == 0 || iB == 0)\n { return iA == iB ? null : {a: posA, b: posB} }\n\n var childA = a.child(--iA), childB = b.child(--iB), size = childA.nodeSize;\n if (childA == childB) {\n posA -= size; posB -= size;\n continue\n }\n\n if (!childA.sameMarkup(childB)) { return {a: posA, b: posB} }\n\n if (childA.isText && childA.text != childB.text) {\n var same = 0, minSize = Math.min(childA.text.length, childB.text.length);\n while (same < minSize && childA.text[childA.text.length - same - 1] == childB.text[childB.text.length - same - 1]) {\n same++; posA--; posB--;\n }\n return {a: posA, b: posB}\n }\n if (childA.content.size || childB.content.size) {\n var inner = findDiffEnd(childA.content, childB.content, posA - 1, posB - 1);\n if (inner) { return inner }\n }\n posA -= size; posB -= size;\n }\n}\n\n// ::- A fragment represents a node's collection of child nodes.\n//\n// Like nodes, fragments are persistent data structures, and you\n// should not mutate them or their content. Rather, you create new\n// instances whenever needed. The API tries to make this easy.\nvar Fragment = function Fragment(content, size) {\n this.content = content;\n // :: number\n // The size of the fragment, which is the total of the size of its\n // content nodes.\n this.size = size || 0;\n if (size == null) { for (var i = 0; i < content.length; i++)\n { this.size += content[i].nodeSize; } }\n};\n\nvar prototypeAccessors = { firstChild: { configurable: true },lastChild: { configurable: true },childCount: { configurable: true } };\n\n// :: (number, number, (node: Node, start: number, parent: Node, index: number) → ?bool, ?number)\n// Invoke a callback for all descendant nodes between the given two\n// positions (relative to start of this fragment). Doesn't descend\n// into a node when the callback returns `false`.\nFragment.prototype.nodesBetween = function nodesBetween (from, to, f, nodeStart, parent) {\n if ( nodeStart === void 0 ) nodeStart = 0;\n\n for (var i = 0, pos = 0; pos < to; i++) {\n var child = this.content[i], end = pos + child.nodeSize;\n if (end > from && f(child, nodeStart + pos, parent, i) !== false && child.content.size) {\n var start = pos + 1;\n child.nodesBetween(Math.max(0, from - start),\n Math.min(child.content.size, to - start),\n f, nodeStart + start);\n }\n pos = end;\n }\n};\n\n// :: ((node: Node, pos: number, parent: Node) → ?bool)\n// Call the given callback for every descendant node. The callback\n// may return `false` to prevent traversal of a given node's children.\nFragment.prototype.descendants = function descendants (f) {\n this.nodesBetween(0, this.size, f);\n};\n\n// : (number, number, ?string, ?string) → string\nFragment.prototype.textBetween = function textBetween (from, to, blockSeparator, leafText) {\n var text = \"\", separated = true;\n this.nodesBetween(from, to, function (node, pos) {\n if (node.isText) {\n text += node.text.slice(Math.max(from, pos) - pos, to - pos);\n separated = !blockSeparator;\n } else if (node.isLeaf && leafText) {\n text += leafText;\n separated = !blockSeparator;\n } else if (!separated && node.isBlock) {\n text += blockSeparator;\n separated = true;\n }\n }, 0);\n return text\n};\n\n// :: (Fragment) → Fragment\n// Create a new fragment containing the combined content of this\n// fragment and the other.\nFragment.prototype.append = function append (other) {\n if (!other.size) { return this }\n if (!this.size) { return other }\n var last = this.lastChild, first = other.firstChild, content = this.content.slice(), i = 0;\n if (last.isText && last.sameMarkup(first)) {\n content[content.length - 1] = last.withText(last.text + first.text);\n i = 1;\n }\n for (; i < other.content.length; i++) { content.push(other.content[i]); }\n return new Fragment(content, this.size + other.size)\n};\n\n// :: (number, ?number) → Fragment\n// Cut out the sub-fragment between the two given positions.\nFragment.prototype.cut = function cut (from, to) {\n if (to == null) { to = this.size; }\n if (from == 0 && to == this.size) { return this }\n var result = [], size = 0;\n if (to > from) { for (var i = 0, pos = 0; pos < to; i++) {\n var child = this.content[i], end = pos + child.nodeSize;\n if (end > from) {\n if (pos < from || end > to) {\n if (child.isText)\n { child = child.cut(Math.max(0, from - pos), Math.min(child.text.length, to - pos)); }\n else\n { child = child.cut(Math.max(0, from - pos - 1), Math.min(child.content.size, to - pos - 1)); }\n }\n result.push(child);\n size += child.nodeSize;\n }\n pos = end;\n } }\n return new Fragment(result, size)\n};\n\nFragment.prototype.cutByIndex = function cutByIndex (from, to) {\n if (from == to) { return Fragment.empty }\n if (from == 0 && to == this.content.length) { return this }\n return new Fragment(this.content.slice(from, to))\n};\n\n// :: (number, Node) → Fragment\n// Create a new fragment in which the node at the given index is\n// replaced by the given node.\nFragment.prototype.replaceChild = function replaceChild (index, node) {\n var current = this.content[index];\n if (current == node) { return this }\n var copy = this.content.slice();\n var size = this.size + node.nodeSize - current.nodeSize;\n copy[index] = node;\n return new Fragment(copy, size)\n};\n\n// : (Node) → Fragment\n// Create a new fragment by prepending the given node to this\n// fragment.\nFragment.prototype.addToStart = function addToStart (node) {\n return new Fragment([node].concat(this.content), this.size + node.nodeSize)\n};\n\n// : (Node) → Fragment\n// Create a new fragment by appending the given node to this\n// fragment.\nFragment.prototype.addToEnd = function addToEnd (node) {\n return new Fragment(this.content.concat(node), this.size + node.nodeSize)\n};\n\n// :: (Fragment) → bool\n// Compare this fragment to another one.\nFragment.prototype.eq = function eq (other) {\n if (this.content.length != other.content.length) { return false }\n for (var i = 0; i < this.content.length; i++)\n { if (!this.content[i].eq(other.content[i])) { return false } }\n return true\n};\n\n// :: ?Node\n// The first child of the fragment, or `null` if it is empty.\nprototypeAccessors.firstChild.get = function () { return this.content.length ? this.content[0] : null };\n\n// :: ?Node\n// The last child of the fragment, or `null` if it is empty.\nprototypeAccessors.lastChild.get = function () { return this.content.length ? this.content[this.content.length - 1] : null };\n\n// :: number\n// The number of child nodes in this fragment.\nprototypeAccessors.childCount.get = function () { return this.content.length };\n\n// :: (number) → Node\n// Get the child node at the given index. Raise an error when the\n// index is out of range.\nFragment.prototype.child = function child (index) {\n var found = this.content[index];\n if (!found) { throw new RangeError(\"Index \" + index + \" out of range for \" + this) }\n return found\n};\n\n// :: (number) → ?Node\n// Get the child node at the given index, if it exists.\nFragment.prototype.maybeChild = function maybeChild (index) {\n return this.content[index]\n};\n\n// :: ((node: Node, offset: number, index: number))\n// Call `f` for every child node, passing the node, its offset\n// into this parent node, and its index.\nFragment.prototype.forEach = function forEach (f) {\n for (var i = 0, p = 0; i < this.content.length; i++) {\n var child = this.content[i];\n f(child, p, i);\n p += child.nodeSize;\n }\n};\n\n// :: (Fragment) → ?number\n// Find the first position at which this fragment and another\n// fragment differ, or `null` if they are the same.\nFragment.prototype.findDiffStart = function findDiffStart$1 (other, pos) {\n if ( pos === void 0 ) pos = 0;\n\n return findDiffStart(this, other, pos)\n};\n\n// :: (Fragment) → ?{a: number, b: number}\n// Find the first position, searching from the end, at which this\n// fragment and the given fragment differ, or `null` if they are the\n// same. Since this position will not be the same in both nodes, an\n// object with two separate positions is returned.\nFragment.prototype.findDiffEnd = function findDiffEnd$1 (other, pos, otherPos) {\n if ( pos === void 0 ) pos = this.size;\n if ( otherPos === void 0 ) otherPos = other.size;\n\n return findDiffEnd(this, other, pos, otherPos)\n};\n\n// : (number, ?number) → {index: number, offset: number}\n// Find the index and inner offset corresponding to a given relative\n// position in this fragment. The result object will be reused\n// (overwritten) the next time the function is called. (Not public.)\nFragment.prototype.findIndex = function findIndex (pos, round) {\n if ( round === void 0 ) round = -1;\n\n if (pos == 0) { return retIndex(0, pos) }\n if (pos == this.size) { return retIndex(this.content.length, pos) }\n if (pos > this.size || pos < 0) { throw new RangeError((\"Position \" + pos + \" outside of fragment (\" + (this) + \")\")) }\n for (var i = 0, curPos = 0;; i++) {\n var cur = this.child(i), end = curPos + cur.nodeSize;\n if (end >= pos) {\n if (end == pos || round > 0) { return retIndex(i + 1, end) }\n return retIndex(i, curPos)\n }\n curPos = end;\n }\n};\n\n// :: () → string\n// Return a debugging string that describes this fragment.\nFragment.prototype.toString = function toString () { return \"<\" + this.toStringInner() + \">\" };\n\nFragment.prototype.toStringInner = function toStringInner () { return this.content.join(\", \") };\n\n// :: () → ?Object\n// Create a JSON-serializeable representation of this fragment.\nFragment.prototype.toJSON = function toJSON () {\n return this.content.length ? this.content.map(function (n) { return n.toJSON(); }) : null\n};\n\n// :: (Schema, ?Object) → Fragment\n// Deserialize a fragment from its JSON representation.\nFragment.fromJSON = function fromJSON (schema, value) {\n if (!value) { return Fragment.empty }\n if (!Array.isArray(value)) { throw new RangeError(\"Invalid input for Fragment.fromJSON\") }\n return new Fragment(value.map(schema.nodeFromJSON))\n};\n\n// :: ([Node]) → Fragment\n// Build a fragment from an array of nodes. Ensures that adjacent\n// text nodes with the same marks are joined together.\nFragment.fromArray = function fromArray (array) {\n if (!array.length) { return Fragment.empty }\n var joined, size = 0;\n for (var i = 0; i < array.length; i++) {\n var node = array[i];\n size += node.nodeSize;\n if (i && node.isText && array[i - 1].sameMarkup(node)) {\n if (!joined) { joined = array.slice(0, i); }\n joined[joined.length - 1] = node.withText(joined[joined.length - 1].text + node.text);\n } else if (joined) {\n joined.push(node);\n }\n }\n return new Fragment(joined || array, size)\n};\n\n// :: (?union<Fragment, Node, [Node]>) → Fragment\n// Create a fragment from something that can be interpreted as a set\n// of nodes. For `null`, it returns the empty fragment. For a\n// fragment, the fragment itself. For a node or array of nodes, a\n// fragment containing those nodes.\nFragment.from = function from (nodes) {\n if (!nodes) { return Fragment.empty }\n if (nodes instanceof Fragment) { return nodes }\n if (Array.isArray(nodes)) { return this.fromArray(nodes) }\n if (nodes.attrs) { return new Fragment([nodes], nodes.nodeSize) }\n throw new RangeError(\"Can not convert \" + nodes + \" to a Fragment\" +\n (nodes.nodesBetween ? \" (looks like multiple versions of prosemirror-model were loaded)\" : \"\"))\n};\n\nObject.defineProperties( Fragment.prototype, prototypeAccessors );\n\nvar found = {index: 0, offset: 0};\nfunction retIndex(index, offset) {\n found.index = index;\n found.offset = offset;\n return found\n}\n\n// :: Fragment\n// An empty fragment. Intended to be reused whenever a node doesn't\n// contain anything (rather than allocating a new empty fragment for\n// each leaf node).\nFragment.empty = new Fragment([], 0);\n\nfunction compareDeep(a, b) {\n if (a === b) { return true }\n if (!(a && typeof a == \"object\") ||\n !(b && typeof b == \"object\")) { return false }\n var array = Array.isArray(a);\n if (Array.isArray(b) != array) { return false }\n if (array) {\n if (a.length != b.length) { return false }\n for (var i = 0; i < a.length; i++) { if (!compareDeep(a[i], b[i])) { return false } }\n } else {\n for (var p in a) { if (!(p in b) || !compareDeep(a[p], b[p])) { return false } }\n for (var p$1 in b) { if (!(p$1 in a)) { return false } }\n }\n return true\n}\n\n// ::- A mark is a piece of information that can be attached to a node,\n// such as it being emphasized, in code font, or a link. It has a type\n// and optionally a set of attributes that provide further information\n// (such as the target of the link). Marks are created through a\n// `Schema`, which controls which types exist and which\n// attributes they have.\nvar Mark = function Mark(type, attrs) {\n // :: MarkType\n // The type of this mark.\n this.type = type;\n // :: Object\n // The attributes associated with this mark.\n this.attrs = attrs;\n};\n\n// :: ([Mark]) → [Mark]\n// Given a set of marks, create a new set which contains this one as\n// well, in the right position. If this mark is already in the set,\n// the set itself is returned. If any marks that are set to be\n// [exclusive](#model.MarkSpec.excludes) with this mark are present,\n// those are replaced by this one.\nMark.prototype.addToSet = function addToSet (set) {\n var copy, placed = false;\n for (var i = 0; i < set.length; i++) {\n var other = set[i];\n if (this.eq(other)) { return set }\n if (this.type.excludes(other.type)) {\n if (!copy) { copy = set.slice(0, i); }\n } else if (other.type.excludes(this.type)) {\n return set\n } else {\n if (!placed && other.type.rank > this.type.rank) {\n if (!copy) { copy = set.slice(0, i); }\n copy.push(this);\n placed = true;\n }\n if (copy) { copy.push(other); }\n }\n }\n if (!copy) { copy = set.slice(); }\n if (!placed) { copy.push(this); }\n return copy\n};\n\n// :: ([Mark]) → [Mark]\n// Remove this mark from the given set, returning a new set. If this\n// mark is not in the set, the set itself is returned.\nMark.prototype.removeFromSet = function removeFromSet (set) {\n for (var i = 0; i < set.length; i++)\n { if (this.eq(set[i]))\n { return set.slice(0, i).concat(set.slice(i + 1)) } }\n return set\n};\n\n// :: ([Mark]) → bool\n// Test whether this mark is in the given set of marks.\nMark.prototype.isInSet = function isInSet (set) {\n for (var i = 0; i < set.length; i++)\n { if (this.eq(set[i])) { return true } }\n return false\n};\n\n// :: (Mark) → bool\n// Test whether this mark has the same type and attributes as\n// another mark.\nMark.prototype.eq = function eq (other) {\n return this == other ||\n (this.type == other.type && compareDeep(this.attrs, other.attrs))\n};\n\n// :: () → Object\n// Convert this mark to a JSON-serializeable representation.\nMark.prototype.toJSON = function toJSON () {\n var obj = {type: this.type.name};\n for (var _ in this.attrs) {\n obj.attrs = this.attrs;\n break\n }\n return obj\n};\n\n// :: (Schema, Object) → Mark\nMark.fromJSON = function fromJSON (schema, json) {\n if (!json) { throw new RangeError(\"Invalid input for Mark.fromJSON\") }\n var type = schema.marks[json.type];\n if (!type) { throw new RangeError((\"There is no mark type \" + (json.type) + \" in this schema\")) }\n return type.create(json.attrs)\n};\n\n// :: ([Mark], [Mark]) → bool\n// Test whether two sets of marks are identical.\nMark.sameSet = function sameSet (a, b) {\n if (a == b) { return true }\n if (a.length != b.length) { return false }\n for (var i = 0; i < a.length; i++)\n { if (!a[i].eq(b[i])) { return false } }\n return true\n};\n\n// :: (?union<Mark, [Mark]>) → [Mark]\n// Create a properly sorted mark set from null, a single mark, or an\n// unsorted array of marks.\nMark.setFrom = function setFrom (marks) {\n if (!marks || marks.length == 0) { return Mark.none }\n if (marks instanceof Mark) { return [marks] }\n var copy = marks.slice();\n copy.sort(function (a, b) { return a.type.rank - b.type.rank; });\n return copy\n};\n\n// :: [Mark] The empty set of marks.\nMark.none = [];\n\n// ReplaceError:: class extends Error\n// Error type raised by [`Node.replace`](#model.Node.replace) when\n// given an invalid replacement.\n\nfunction ReplaceError(message) {\n var err = Error.call(this, message);\n err.__proto__ = ReplaceError.prototype;\n return err\n}\n\nReplaceError.prototype = Object.create(Error.prototype);\nReplaceError.prototype.constructor = ReplaceError;\nReplaceError.prototype.name = \"ReplaceError\";\n\n// ::- A slice represents a piece cut out of a larger document. It\n// stores not only a fragment, but also the depth up to which nodes on\n// both side are ‘open’ (cut through).\nvar Slice = function Slice(content, openStart, openEnd) {\n // :: Fragment The slice's content.\n this.content = content;\n // :: number The open depth at the start.\n this.openStart = openStart;\n // :: number The open depth at the end.\n this.openEnd = openEnd;\n};\n\nvar prototypeAccessors$1 = { size: { configurable: true } };\n\n// :: number\n// The size this slice would add when inserted into a document.\nprototypeAccessors$1.size.get = function () {\n return this.content.size - this.openStart - this.openEnd\n};\n\nSlice.prototype.insertAt = function insertAt (pos, fragment) {\n var content = insertInto(this.content, pos + this.openStart, fragment, null);\n return content && new Slice(content, this.openStart, this.openEnd)\n};\n\nSlice.prototype.removeBetween = function removeBetween (from, to) {\n return new Slice(removeRange(this.content, from + this.openStart, to + this.openStart), this.openStart, this.openEnd)\n};\n\n// :: (Slice) → bool\n// Tests whether this slice is equal to another slice.\nSlice.prototype.eq = function eq (other) {\n return this.content.eq(other.content) && this.openStart == other.openStart && this.openEnd == other.openEnd\n};\n\nSlice.prototype.toString = function toString () {\n return this.content + \"(\" + this.openStart + \",\" + this.openEnd + \")\"\n};\n\n// :: () → ?Object\n// Convert a slice to a JSON-serializable representation.\nSlice.prototype.toJSON = function toJSON () {\n if (!this.content.size) { return null }\n var json = {content: this.content.toJSON()};\n if (this.openStart > 0) { json.openStart = this.openStart; }\n if (this.openEnd > 0) { json.openEnd = this.openEnd; }\n return json\n};\n\n// :: (Schema, ?Object) → Slice\n// Deserialize a slice from its JSON representation.\nSlice.fromJSON = function fromJSON (schema, json) {\n if (!json) { return Slice.empty }\n var openStart = json.openStart || 0, openEnd = json.openEnd || 0;\n if (typeof openStart != \"number\" || typeof openEnd != \"number\")\n { throw new RangeError(\"Invalid input for Slice.fromJSON\") }\n return new Slice(Fragment.fromJSON(schema, json.content), json.openStart || 0, json.openEnd || 0)\n};\n\n// :: (Fragment, ?bool) → Slice\n// Create a slice from a fragment by taking the maximum possible\n// open value on both side of the fragment.\nSlice.maxOpen = function maxOpen (fragment, openIsolating) {\n if ( openIsolating === void 0 ) openIsolating=true;\n\n var openStart = 0, openEnd = 0;\n for (var n = fragment.firstChild; n && !n.isLeaf && (openIsolating || !n.type.spec.isolating); n = n.firstChild) { openStart++; }\n for (var n$1 = fragment.lastChild; n$1 && !n$1.isLeaf && (openIsolating || !n$1.type.spec.isolating); n$1 = n$1.lastChild) { openEnd++; }\n return new Slice(fragment, openStart, openEnd)\n};\n\nObject.defineProperties( Slice.prototype, prototypeAccessors$1 );\n\nfunction removeRange(content, from, to) {\n var ref = content.findIndex(from);\n var index = ref.index;\n var offset = ref.offset;\n var child = content.maybeChild(index);\n var ref$1 = content.findIndex(to);\n var indexTo = ref$1.index;\n var offsetTo = ref$1.offset;\n if (offset == from || child.isText) {\n if (offsetTo != to && !content.child(indexTo).isText) { throw new RangeError(\"Removing non-flat range\") }\n return content.cut(0, from).append(content.cut(to))\n }\n if (index != indexTo) { throw new RangeError(\"Removing non-flat range\") }\n return content.replaceChild(index, child.copy(removeRange(child.content, from - offset - 1, to - offset - 1)))\n}\n\nfunction insertInto(content, dist, insert, parent) {\n var ref = content.findIndex(dist);\n var index = ref.index;\n var offset = ref.offset;\n var child = content.maybeChild(index);\n if (offset == dist || child.isText) {\n if (parent && !parent.canReplace(index, index, insert)) { return null }\n return content.cut(0, dist).append(insert).append(content.cut(dist))\n }\n var inner = insertInto(child.content, dist - offset - 1, insert);\n return inner && content.replaceChild(index, child.copy(inner))\n}\n\n// :: Slice\n// The empty slice.\nSlice.empty = new Slice(Fragment.empty, 0, 0);\n\nfunction replace($from, $to, slice) {\n if (slice.openStart > $from.depth)\n { throw new ReplaceError(\"Inserted content deeper than insertion position\") }\n if ($from.depth - slice.openStart != $to.depth - slice.openEnd)\n { throw new ReplaceError(\"Inconsistent open depths\") }\n return replaceOuter($from, $to, slice, 0)\n}\n\nfunction replaceOuter($from, $to, slice, depth) {\n var index = $from.index(depth), node = $from.node(depth);\n if (index == $to.index(depth) && depth < $from.depth - slice.openStart) {\n var inner = replaceOuter($from, $to, slice, depth + 1);\n return node.copy(node.content.replaceChild(index, inner))\n } else if (!slice.content.size) {\n return close(node, replaceTwoWay($from, $to, depth))\n } else if (!slice.openStart && !slice.openEnd && $from.depth == depth && $to.depth == depth) { // Simple, flat case\n var parent = $from.parent, content = parent.content;\n return close(parent, content.cut(0, $from.parentOffset).append(slice.content).append(content.cut($to.parentOffset)))\n } else {\n var ref = prepareSliceForReplace(slice, $from);\n var start = ref.start;\n var end = ref.end;\n return close(node, replaceThreeWay($from, start, end, $to, depth))\n }\n}\n\nfunction checkJoin(main, sub) {\n if (!sub.type.compatibleContent(main.type))\n { throw new ReplaceError(\"Cannot join \" + sub.type.name + \" onto \" + main.type.name) }\n}\n\nfunction joinable($before, $after, depth) {\n var node = $before.node(depth);\n checkJoin(node, $after.node(depth));\n return node\n}\n\nfunction addNode(child, target) {\n var last = target.length - 1;\n if (last >= 0 && child.isText && child.sameMarkup(target[last]))\n { target[last] = child.withText(target[last].text + child.text); }\n else\n { target.push(child); }\n}\n\nfunction addRange($start, $end, depth, target) {\n var node = ($end || $start).node(depth);\n var startIndex = 0, endIndex = $end ? $end.index(depth) : node.childCount;\n if ($start) {\n startIndex = $start.index(depth);\n if ($start.depth > depth) {\n startIndex++;\n } else if ($start.textOffset) {\n addNode($start.nodeAfter, target);\n startIndex++;\n }\n }\n for (var i = startIndex; i < endIndex; i++) { addNode(node.child(i), target); }\n if ($end && $end.depth == depth && $end.textOffset)\n { addNode($end.nodeBefore, target); }\n}\n\nfunction close(node, content) {\n if (!node.type.validContent(content))\n { throw new ReplaceError(\"Invalid content for node \" + node.type.name) }\n return node.copy(content)\n}\n\nfunction replaceThreeWay($from, $start, $end, $to, depth) {\n var openStart = $from.depth > depth && joinable($from, $start, depth + 1);\n var openEnd = $to.depth > depth && joinable($end, $to, depth + 1);\n\n var content = [];\n addRange(null, $from, depth, content);\n if (openStart && openEnd && $start.index(depth) == $end.index(depth)) {\n checkJoin(openStart, openEnd);\n addNode(close(openStart, replaceThreeWay($from, $start, $end, $to, depth + 1)), content);\n } else {\n if (openStart)\n { addNode(close(openStart, replaceTwoWay($from, $start, depth + 1)), content); }\n addRange($start, $end, depth, content);\n if (openEnd)\n { addNode(close(openEnd, replaceTwoWay($end, $to, depth + 1)), content); }\n }\n addRange($to, null, depth, content);\n return new Fragment(content)\n}\n\nfunction replaceTwoWay($from, $to, depth) {\n var content = [];\n addRange(null, $from, depth, content);\n if ($from.depth > depth) {\n var type = joinable($from, $to, depth + 1);\n addNode(close(type, replaceTwoWay($from, $to, depth + 1)), content);\n }\n addRange($to, null, depth, content);\n return new Fragment(content)\n}\n\nfunction prepareSliceForReplace(slice, $along) {\n var extra = $along.depth - slice.openStart, parent = $along.node(extra);\n var node = parent.copy(slice.content);\n for (var i = extra - 1; i >= 0; i--)\n { node = $along.node(i).copy(Fragment.from(node)); }\n return {start: node.resolveNoCache(slice.openStart + extra),\n end: node.resolveNoCache(node.content.size - slice.openEnd - extra)}\n}\n\n// ::- You can [_resolve_](#model.Node.resolve) a position to get more\n// information about it. Objects of this class represent such a\n// resolved position, providing various pieces of context information,\n// and some helper methods.\n//\n// Throughout this interface, methods that take an optional `depth`\n// parameter will interpret undefined as `this.depth` and negative\n// numbers as `this.depth + value`.\nvar ResolvedPos = function ResolvedPos(pos, path, parentOffset) {\n // :: number The position that was resolved.\n this.pos = pos;\n this.path = path;\n // :: number\n // The number of levels the parent node is from the root. If this\n // position points directly into the root node, it is 0. If it\n // points into a top-level paragraph, 1, and so on.\n this.depth = path.length / 3 - 1;\n // :: number The offset this position has into its parent node.\n this.parentOffset = parentOffset;\n};\n\nvar prototypeAccessors$2 = { parent: { configurable: true },doc: { configurable: true },textOffset: { configurable: true },nodeAfter: { configurable: true },nodeBefore: { configurable: true } };\n\nResolvedPos.prototype.resolveDepth = function resolveDepth (val) {\n if (val == null) { return this.depth }\n if (val < 0) { return this.depth + val }\n return val\n};\n\n// :: Node\n// The parent node that the position points into. Note that even if\n// a position points into a text node, that node is not considered\n// the parent—text nodes are ‘flat’ in this model, and have no content.\nprototypeAccessors$2.parent.get = function () { return this.node(this.depth) };\n\n// :: Node\n// The root node in which the position was resolved.\nprototypeAccessors$2.doc.get = function () { return this.node(0) };\n\n// :: (?number) → Node\n// The ancestor node at the given level. `p.node(p.depth)` is the\n// same as `p.parent`.\nResolvedPos.prototype.node = function node (depth) { return this.path[this.resolveDepth(depth) * 3] };\n\n// :: (?number) → number\n// The index into the ancestor at the given level. If this points at\n// the 3rd node in the 2nd paragraph on the top level, for example,\n// `p.index(0)` is 1 and `p.index(1)` is 2.\nResolvedPos.prototype.index = function index (depth) { return this.path[this.resolveDepth(depth) * 3 + 1] };\n\n// :: (?number) → number\n// The index pointing after this position into the ancestor at the\n// given level.\nResolvedPos.prototype.indexAfter = function indexAfter (depth) {\n depth = this.resolveDepth(depth);\n return this.index(depth) + (depth == this.depth && !this.textOffset ? 0 : 1)\n};\n\n// :: (?number) → number\n// The (absolute) position at the start of the node at the given\n// level.\nResolvedPos.prototype.start = function start (depth) {\n depth = this.resolveDepth(depth);\n return depth == 0 ? 0 : this.path[depth * 3 - 1] + 1\n};\n\n// :: (?number) → number\n// The (absolute) position at the end of the node at the given\n// level.\nResolvedPos.prototype.end = function end (depth) {\n depth = this.resolveDepth(depth);\n return this.start(depth) + this.node(depth).content.size\n};\n\n// :: (?number) → number\n// The (absolute) position directly before the wrapping node at the\n// given level, or, when `depth` is `this.depth + 1`, the original\n// position.\nResolvedPos.prototype.before = function before (depth) {\n depth = this.resolveDepth(depth);\n if (!depth) { throw new RangeError(\"There is no position before the top-level node\") }\n return depth == this.depth + 1 ? this.pos : this.path[depth * 3 - 1]\n};\n\n// :: (?number) → number\n// The (absolute) position directly after the wrapping node at the\n// given level, or the original position when `depth` is `this.depth + 1`.\nResolvedPos.prototype.after = function after (depth) {\n depth = this.resolveDepth(depth);\n if (!depth) { throw new RangeError(\"There is no position after the top-level node\") }\n return depth == this.depth + 1 ? this.pos : this.path[depth * 3 - 1] + this.path[depth * 3].nodeSize\n};\n\n// :: number\n// When this position points into a text node, this returns the\n// distance between the position and the start of the text node.\n// Will be zero for positions that point between nodes.\nprototypeAccessors$2.textOffset.get = function () { return this.pos - this.path[this.path.length - 1] };\n\n// :: ?Node\n// Get the node directly after the position, if any. If the position\n// points into a text node, only the part of that node after the\n// position is returned.\nprototypeAccessors$2.nodeAfter.get = function () {\n var parent = this.parent, index = this.index(this.depth);\n if (index == parent.childCount) { return null }\n var dOff = this.pos - this.path[this.path.length - 1], child = parent.child(index);\n return dOff ? parent.child(index).cut(dOff) : child\n};\n\n// :: ?Node\n// Get the node directly before the position, if any. If the\n// position points into a text node, only the part of that node\n// before the position is returned.\nprototypeAccessors$2.nodeBefore.get = function () {\n var index = this.index(this.depth);\n var dOff = this.pos - this.path[this.path.length - 1];\n if (dOff) { return this.parent.child(index).cut(0, dOff) }\n return index == 0 ? null : this.parent.child(index - 1)\n};\n\n// :: () → [Mark]\n// Get the marks at this position, factoring in the surrounding\n// marks' [`inclusive`](#model.MarkSpec.inclusive) property. If the\n// position is at the start of a non-empty node, the marks of the\n// node after it (if any) are returned.\nResolvedPos.prototype.marks = function marks () {\n var parent = this.parent, index = this.index();\n\n // In an empty parent, return the empty array\n if (parent.content.size == 0) { return Mark.none }\n\n // When inside a text node, just return the text node's marks\n if (this.textOffset) { return parent.child(index).marks }\n\n var main = parent.maybeChild(index - 1), other = parent.maybeChild(index);\n // If the `after` flag is true of there is no node before, make\n // the node after this position the main reference.\n if (!main) { var tmp = main; main = other; other = tmp; }\n\n // Use all marks in the main node, except those that have\n // `inclusive` set to false and are not present in the other node.\n var marks = main.marks;\n for (var i = 0; i < marks.length; i++)\n { if (marks[i].type.spec.inclusive === false && (!other || !marks[i].isInSet(other.marks)))\n { marks = marks[i--].removeFromSet(marks); } }\n\n return marks\n};\n\n// :: (ResolvedPos) → ?[Mark]\n// Get the marks after the current position, if any, except those\n// that are non-inclusive and not present at position `$end`. This\n// is mostly useful for getting the set of marks to preserve after a\n// deletion. Will return `null` if this position is at the end of\n// its parent node or its parent node isn't a textblock (in which\n// case no marks should be preserved).\nResolvedPos.prototype.marksAcross = function marksAcross ($end) {\n var after = this.parent.maybeChild(this.index());\n if (!after || !after.isInline) { return null }\n\n var marks = after.marks, next = $end.parent.maybeChild($end.index());\n for (var i = 0; i < marks.length; i++)\n { if (marks[i].type.spec.inclusive === false && (!next || !marks[i].isInSet(next.marks)))\n { marks = marks[i--].removeFromSet(marks); } }\n return marks\n};\n\n// :: (number) → number\n// The depth up to which this position and the given (non-resolved)\n// position share the same parent nodes.\nResolvedPos.prototype.sharedDepth = function sharedDepth (pos) {\n for (var depth = this.depth; depth > 0; depth--)\n { if (this.start(depth) <= pos && this.end(depth) >= pos) { return depth } }\n return 0\n};\n\n// :: (?ResolvedPos, ?(Node) → bool) → ?NodeRange\n// Returns a range based on the place where this position and the\n// given position diverge around block content. If both point into\n// the same textblock, for example, a range around that textblock\n// will be returned. If they point into different blocks, the range\n// around those blocks in their shared ancestor is returned. You can\n// pass in an optional predicate that will be called with a parent\n// node to see if a range into that parent is acceptable.\nResolvedPos.prototype.blockRange = function blockRange (other, pred) {\n if ( other === void 0 ) other = this;\n\n if (other.pos < this.pos) { return other.blockRange(this) }\n for (var d = this.depth - (this.parent.inlineContent || this.pos == other.pos ? 1 : 0); d >= 0; d--)\n { if (other.pos <= this.end(d) && (!pred || pred(this.node(d))))\n { return new NodeRange(this, other, d) } }\n};\n\n// :: (ResolvedPos) → bool\n// Query whether the given position shares the same parent node.\nResolvedPos.prototype.sameParent = function sameParent (other) {\n return this.pos - this.parentOffset == other.pos - other.parentOffset\n};\n\n// :: (ResolvedPos) → ResolvedPos\n// Return the greater of this and the given position.\nResolvedPos.prototype.max = function max (other) {\n return other.pos > this.pos ? other : this\n};\n\n// :: (ResolvedPos) → ResolvedPos\n// Return the smaller of this and the given position.\nResolvedPos.prototype.min = function min (other) {\n return other.pos < this.pos ? other : this\n};\n\nResolvedPos.prototype.toString = function toString () {\n var str = \"\";\n for (var i = 1; i <= this.depth; i++)\n { str += (str ? \"/\" : \"\") + this.node(i).type.name + \"_\" + this.index(i - 1); }\n return str + \":\" + this.parentOffset\n};\n\nResolvedPos.resolve = function resolve (doc, pos) {\n if (!(pos >= 0 && pos <= doc.content.size)) { throw new RangeError(\"Position \" + pos + \" out of range\") }\n var path = [];\n var start = 0, parentOffset = pos;\n for (var node = doc;;) {\n var ref = node.content.findIndex(parentOffset);\n var index = ref.index;\n var offset = ref.offset;\n var rem = parentOffset - offset;\n path.push(node, index, start + offset);\n if (!rem) { break }\n node = node.child(index);\n if (node.isText) { break }\n parentOffset = rem - 1;\n start += offset + 1;\n }\n return new ResolvedPos(pos, path, parentOffset)\n};\n\nResolvedPos.resolveCached = function resolveCached (doc, pos) {\n for (var i = 0; i < resolveCache.length; i++) {\n var cached = resolveCache[i];\n if (cached.pos == pos && cached.doc == doc) { return cached }\n }\n var result = resolveCache[resolveCachePos] = ResolvedPos.resolve(doc, pos);\n resolveCachePos = (resolveCachePos + 1) % resolveCacheSize;\n return result\n};\n\nObject.defineProperties( ResolvedPos.prototype, prototypeAccessors$2 );\n\nvar resolveCache = [], resolveCachePos = 0, resolveCacheSize = 12;\n\n// ::- Represents a flat range of content, i.e. one that starts and\n// ends in the same node.\nvar NodeRange = function NodeRange($from, $to, depth) {\n // :: ResolvedPos A resolved position along the start of the\n // content. May have a `depth` greater than this object's `depth`\n // property, since these are the positions that were used to\n // compute the range, not re-resolved positions directly at its\n // boundaries.\n this.$from = $from;\n // :: ResolvedPos A position along the end of the content. See\n // caveat for [`$from`](#model.NodeRange.$from).\n this.$to = $to;\n // :: number The depth of the node that this range points into.\n this.depth = depth;\n};\n\nvar prototypeAccessors$1$1 = { start: { configurable: true },end: { configurable: true },parent: { configurable: true },startIndex: { configurable: true },endIndex: { configurable: true } };\n\n// :: number The position at the start of the range.\nprototypeAccessors$1$1.start.get = function () { return this.$from.before(this.depth + 1) };\n// :: number The position at the end of the range.\nprototypeAccessors$1$1.end.get = function () { return this.$to.after(this.depth + 1) };\n\n// :: Node The parent node that the range points into.\nprototypeAccessors$1$1.parent.get = function () { return this.$from.node(this.depth) };\n// :: number The start index of the range in the parent node.\nprototypeAccessors$1$1.startIndex.get = function () { return this.$from.index(this.depth) };\n// :: number The end index of the range in the parent node.\nprototypeAccessors$1$1.endIndex.get = function () { return this.$to.indexAfter(this.depth) };\n\nObject.defineProperties( NodeRange.prototype, prototypeAccessors$1$1 );\n\nvar emptyAttrs = Object.create(null);\n\n// ::- This class represents a node in the tree that makes up a\n// ProseMirror document. So a document is an instance of `Node`, with\n// children that are also instances of `Node`.\n//\n// Nodes are persistent data structures. Instead of changing them, you\n// create new ones with the content you want. Old ones keep pointing\n// at the old document shape. This is made cheaper by sharing\n// structure between the old and new data as much as possible, which a\n// tree shape like this (without back pointers) makes easy.\n//\n// **Do not** directly mutate the properties of a `Node` object. See\n// [the guide](/docs/guide/#doc) for more information.\nvar Node = function Node(type, attrs, content, marks) {\n // :: NodeType\n // The type of node that this is.\n this.type = type;\n\n // :: Object\n // An object mapping attribute names to values. The kind of\n // attributes allowed and required are\n // [determined](#model.NodeSpec.attrs) by the node type.\n this.attrs = attrs;\n\n // :: Fragment\n // A container holding the node's children.\n this.content = content || Fragment.empty;\n\n // :: [Mark]\n // The marks (things like whether it is emphasized or part of a\n // link) applied to this node.\n this.marks = marks || Mark.none;\n};\n\nvar prototypeAccessors$3 = { nodeSize: { configurable: true },childCount: { configurable: true },textContent: { configurable: true },firstChild: { configurable: true },lastChild: { configurable: true },isBlock: { configurable: true },isTextblock: { configurable: true },inlineContent: { configurable: true },isInline: { configurable: true },isText: { configurable: true },isLeaf: { configurable: true },isAtom: { configurable: true } };\n\n// text:: ?string\n// For text nodes, this contains the node's text content.\n\n// :: number\n// The size of this node, as defined by the integer-based [indexing\n// scheme](/docs/guide/#doc.indexing). For text nodes, this is the\n// amount of characters. For other leaf nodes, it is one. For\n// non-leaf nodes, it is the size of the content plus two (the start\n// and end token).\nprototypeAccessors$3.nodeSize.get = function () { return this.isLeaf ? 1 : 2 + this.content.size };\n\n// :: number\n// The number of children that the node has.\nprototypeAccessors$3.childCount.get = function () { return this.content.childCount };\n\n// :: (number) → Node\n// Get the child node at the given index. Raises an error when the\n// index is out of range.\nNode.prototype.child = function child (index) { return this.content.child(index) };\n\n// :: (number) → ?Node\n// Get the child node at the given index, if it exists.\nNode.prototype.maybeChild = function maybeChild (index) { return this.content.maybeChild(index) };\n\n// :: ((node: Node, offset: number, index: number))\n// Call `f` for every child node, passing the node, its offset\n// into this parent node, and its index.\nNode.prototype.forEach = function forEach (f) { this.content.forEach(f); };\n\n// :: (number, number, (node: Node, pos: number, parent: Node, index: number) → ?bool, ?number)\n// Invoke a callback for all descendant nodes recursively between\n// the given two positions that are relative to start of this node's\n// content. The callback is invoked with the node, its\n// parent-relative position, its parent node, and its child index.\n// When the callback returns false for a given node, that node's\n// children will not be recursed over. The last parameter can be\n// used to specify a starting position to count from.\nNode.prototype.nodesBetween = function nodesBetween (from, to, f, startPos) {\n if ( startPos === void 0 ) startPos = 0;\n\n this.content.nodesBetween(from, to, f, startPos, this);\n};\n\n// :: ((node: Node, pos: number, parent: Node) → ?bool)\n// Call the given callback for every descendant node. Doesn't\n// descend into a node when the callback returns `false`.\nNode.prototype.descendants = function descendants (f) {\n this.nodesBetween(0, this.content.size, f);\n};\n\n// :: string\n// Concatenates all the text nodes found in this fragment and its\n// children.\nprototypeAccessors$3.textContent.get = function () { return this.textBetween(0, this.content.size, \"\") };\n\n// :: (number, number, ?string, ?string) → string\n// Get all text between positions `from` and `to`. When\n// `blockSeparator` is given, it will be inserted whenever a new\n// block node is started. When `leafText` is given, it'll be\n// inserted for every non-text leaf node encountered.\nNode.prototype.textBetween = function textBetween (from, to, blockSeparator, leafText) {\n return this.content.textBetween(from, to, blockSeparator, leafText)\n};\n\n// :: ?Node\n// Returns this node's first child, or `null` if there are no\n// children.\nprototypeAccessors$3.firstChild.get = function () { return this.content.firstChild };\n\n// :: ?Node\n// Returns this node's last child, or `null` if there are no\n// children.\nprototypeAccessors$3.lastChild.get = function () { return this.content.lastChild };\n\n// :: (Node) → bool\n// Test whether two nodes represent the same piece of document.\nNode.prototype.eq = function eq (other) {\n return this == other || (this.sameMarkup(other) && this.content.eq(other.content))\n};\n\n// :: (Node) → bool\n// Compare the markup (type, attributes, and marks) of this node to\n// those of another. Returns `true` if both have the same markup.\nNode.prototype.sameMarkup = function sameMarkup (other) {\n return this.hasMarkup(other.type, other.attrs, other.marks)\n};\n\n// :: (NodeType, ?Object, ?[Mark]) → bool\n// Check whether this node's markup correspond to the given type,\n// attributes, and marks.\nNode.prototype.hasMarkup = function hasMarkup (type, attrs, marks) {\n return this.type == type &&\n compareDeep(this.attrs, attrs || type.defaultAttrs || emptyAttrs) &&\n Mark.sameSet(this.marks, marks || Mark.none)\n};\n\n// :: (?Fragment) → Node\n// Create a new node with the same markup as this node, containing\n// the given content (or empty, if no content is given).\nNode.prototype.copy = function copy (content) {\n if ( content === void 0 ) content = null;\n\n if (content == this.content) { return this }\n return new this.constructor(this.type, this.attrs, content, this.marks)\n};\n\n// :: ([Mark]) → Node\n// Create a copy of this node, with the given set of marks instead\n// of the node's own marks.\nNode.prototype.mark = function mark (marks) {\n return marks == this.marks ? this : new this.constructor(this.type, this.attrs, this.content, marks)\n};\n\n// :: (number, ?number) → Node\n// Create a copy of this node with only the content between the\n// given positions. If `to` is not given, it defaults to the end of\n// the node.\nNode.prototype.cut = function cut (from, to) {\n if (from == 0 && to == this.content.size) { return this }\n return this.copy(this.content.cut(from, to))\n};\n\n// :: (number, ?number) → Slice\n// Cut out the part of the document between the given positions, and\n// return it as a `Slice` object.\nNode.prototype.slice = function slice (from, to, includeParents) {\n if ( to === void 0 ) to = this.content.size;\n if ( includeParents === void 0 ) includeParents = false;\n\n if (from == to) { return Slice.empty }\n\n var $from = this.resolve(from), $to = this.resolve(to);\n var depth = includeParents ? 0 : $from.sharedDepth(to);\n var start = $from.start(depth), node = $from.node(depth);\n var content = node.content.cut($from.pos - start, $to.pos - start);\n return new Slice(content, $from.depth - depth, $to.depth - depth)\n};\n\n// :: (number, number, Slice) → Node\n// Replace the part of the document between the given positions with\n// the given slice. The slice must 'fit', meaning its open sides\n// must be able to connect to the surrounding content, and its\n// content nodes must be valid children for the node they are placed\n// into. If any of this is violated, an error of type\n// [`ReplaceError`](#model.ReplaceError) is thrown.\nNode.prototype.replace = function replace$1 (from, to, slice) {\n return replace(this.resolve(from), this.resolve(to), slice)\n};\n\n// :: (number) → ?Node\n// Find the node directly after the given position.\nNode.prototype.nodeAt = function nodeAt (pos) {\n for (var node = this;;) {\n var ref = node.content.findIndex(pos);\n var index = ref.index;\n var offset = ref.offset;\n node = node.maybeChild(index);\n if (!node) { return null }\n if (offset == pos || node.isText) { return node }\n pos -= offset + 1;\n }\n};\n\n// :: (number) → {node: ?Node, index: number, offset: number}\n// Find the (direct) child node after the given offset, if any,\n// and return it along with its index and offset relative to this\n// node.\nNode.prototype.childAfter = function childAfter (pos) {\n var ref = this.content.findIndex(pos);\n var index = ref.index;\n var offset = ref.offset;\n return {node: this.content.maybeChild(index), index: index, offset: offset}\n};\n\n// :: (number) → {node: ?Node, index: number, offset: number}\n// Find the (direct) child node before the given offset, if any,\n// and return it along with its index and offset relative to this\n// node.\nNode.prototype.childBefore = function childBefore (pos) {\n if (pos == 0) { return {node: null, index: 0, offset: 0} }\n var ref = this.content.findIndex(pos);\n var index = ref.index;\n var offset = ref.offset;\n if (offset < pos) { return {node: this.content.child(index), index: index, offset: offset} }\n var node = this.content.child(index - 1);\n return {node: node, index: index - 1, offset: offset - node.nodeSize}\n};\n\n// :: (number) → ResolvedPos\n// Resolve the given position in the document, returning an\n// [object](#model.ResolvedPos) with information about its context.\nNode.prototype.resolve = function resolve (pos) { return ResolvedPos.resolveCached(this, pos) };\n\nNode.prototype.resolveNoCache = function resolveNoCache (pos) { return ResolvedPos.resolve(this, pos) };\n\n// :: (number, number, Mark | MarkType) → bool\n// Test whether a given mark or mark type occurs in this document\n// between the two given positions.\nNode.prototype.rangeHasMark = function rangeHasMark (from, to, type) {\n var found = false;\n if (to > from) { this.nodesBetween(from, to, function (node) {\n if (type.isInSet(node.marks)) { found = true; }\n return !found\n }); }\n return found\n};\n\n// :: bool\n// True when this is a block (non-inline node)\nprototypeAccessors$3.isBlock.get = function () { return this.type.isBlock };\n\n// :: bool\n// True when this is a textblock node, a block node with inline\n// content.\nprototypeAccessors$3.isTextblock.get = function () { return this.type.isTextblock };\n\n// :: bool\n// True when this node allows inline content.\nprototypeAccessors$3.inlineContent.get = function () { return this.type.inlineContent };\n\n// :: bool\n// True when this is an inline node (a text node or a node that can\n// appear among text).\nprototypeAccessors$3.isInline.get = function () { return this.type.isInline };\n\n// :: bool\n// True when this is a text node.\nprototypeAccessors$3.isText.get = function () { return this.type.isText };\n\n// :: bool\n// True when this is a leaf node.\nprototypeAccessors$3.isLeaf.get = function () { return this.type.isLeaf };\n\n// :: bool\n// True when this is an atom, i.e. when it does not have directly\n// editable content. This is usually the same as `isLeaf`, but can\n// be configured with the [`atom` property](#model.NodeSpec.atom) on\n// a node's spec (typically used when the node is displayed as an\n// uneditable [node view](#view.NodeView)).\nprototypeAccessors$3.isAtom.get = function () { return this.type.isAtom };\n\n// :: () → string\n// Return a string representation of this node for debugging\n// purposes.\nNode.prototype.toString = function toString () {\n if (this.type.spec.toDebugString) { return this.type.spec.toDebugString(this) }\n var name = this.type.name;\n if (this.content.size)\n { name += \"(\" + this.content.toStringInner() + \")\"; }\n return wrapMarks(this.marks, name)\n};\n\n// :: (number) → ContentMatch\n// Get the content match in this node at the given index.\nNode.prototype.contentMatchAt = function contentMatchAt (index) {\n var match = this.type.contentMatch.matchFragment(this.content, 0, index);\n if (!match) { throw new Error(\"Called contentMatchAt on a node with invalid content\") }\n return match\n};\n\n// :: (number, number, ?Fragment, ?number, ?number) → bool\n// Test whether replacing the range between `from` and `to` (by\n// child index) with the given replacement fragment (which defaults\n// to the empty fragment) would leave the node's content valid. You\n// can optionally pass `start` and `end` indices into the\n// replacement fragment.\nNode.prototype.canReplace = function canReplace (from, to, replacement, start, end) {\n if ( replacement === void 0 ) replacement = Fragment.empty;\n if ( start === void 0 ) start = 0;\n if ( end === void 0 ) end = replacement.childCount;\n\n var one = this.contentMatchAt(from).matchFragment(replacement, start, end);\n var two = one && one.matchFragment(this.content, to);\n if (!two || !two.validEnd) { return false }\n for (var i = start; i < end; i++) { if (!this.type.allowsMarks(replacement.child(i).marks)) { return false } }\n return true\n};\n\n// :: (number, number, NodeType, ?[Mark]) → bool\n// Test whether replacing the range `from` to `to` (by index) with a\n// node of the given type would leave the node's content valid.\nNode.prototype.canReplaceWith = function canReplaceWith (from, to, type, marks) {\n if (marks && !this.type.allowsMarks(marks)) { return false }\n var start = this.contentMatchAt(from).matchType(type);\n var end = start && start.matchFragment(this.content, to);\n return end ? end.validEnd : false\n};\n\n// :: (Node) → bool\n// Test whether the given node's content could be appended to this\n// node. If that node is empty, this will only return true if there\n// is at least one node type that can appear in both nodes (to avoid\n// merging completely incompatible nodes).\nNode.prototype.canAppend = function canAppend (other) {\n if (other.content.size) { return this.canReplace(this.childCount, this.childCount, other.content) }\n else { return this.type.compatibleContent(other.type) }\n};\n\n// :: ()\n// Check whether this node and its descendants conform to the\n// schema, and raise error when they do not.\nNode.prototype.check = function check () {\n if (!this.type.validContent(this.content))\n { throw new RangeError((\"Invalid content for node \" + (this.type.name) + \": \" + (this.content.toString().slice(0, 50)))) }\n this.content.forEach(function (node) { return node.check(); });\n};\n\n// :: () → Object\n// Return a JSON-serializeable representation of this node.\nNode.prototype.toJSON = function toJSON () {\n var obj = {type: this.type.name};\n for (var _ in this.attrs) {\n obj.attrs = this.attrs;\n break\n }\n if (this.content.size)\n { obj.content = this.content.toJSON(); }\n if (this.marks.length)\n { obj.marks = this.marks.map(function (n) { return n.toJSON(); }); }\n return obj\n};\n\n// :: (Schema, Object) → Node\n// Deserialize a node from its JSON representation.\nNode.fromJSON = function fromJSON (schema, json) {\n if (!json) { throw new RangeError(\"Invalid input for Node.fromJSON\") }\n var marks = null;\n if (json.marks) {\n if (!Array.isArray(json.marks)) { throw new RangeError(\"Invalid mark data for Node.fromJSON\") }\n marks = json.marks.map(schema.markFromJSON);\n }\n if (json.type == \"text\") {\n if (typeof json.text != \"string\") { throw new RangeError(\"Invalid text node in JSON\") }\n return schema.text(json.text, marks)\n }\n var content = Fragment.fromJSON(schema, json.content);\n return schema.nodeType(json.type).create(json.attrs, content, marks)\n};\n\nObject.defineProperties( Node.prototype, prototypeAccessors$3 );\n\nvar TextNode = /*@__PURE__*/(function (Node) {\n function TextNode(type, attrs, content, marks) {\n Node.call(this, type, attrs, null, marks);\n\n if (!content) { throw new RangeError(\"Empty text nodes are not allowed\") }\n\n this.text = content;\n }\n\n if ( Node ) TextNode.__proto__ = Node;\n TextNode.prototype = Object.create( Node && Node.prototype );\n TextNode.prototype.constructor = TextNode;\n\n var prototypeAccessors$1 = { textContent: { configurable: true },nodeSize: { configurable: true } };\n\n TextNode.prototype.toString = function toString () {\n if (this.type.spec.toDebugString) { return this.type.spec.toDebugString(this) }\n return wrapMarks(this.marks, JSON.stringify(this.text))\n };\n\n prototypeAccessors$1.textContent.get = function () { return this.text };\n\n TextNode.prototype.textBetween = function textBetween (from, to) { return this.text.slice(from, to) };\n\n prototypeAccessors$1.nodeSize.get = function () { return this.text.length };\n\n TextNode.prototype.mark = function mark (marks) {\n return marks == this.marks ? this : new TextNode(this.type, this.attrs, this.text, marks)\n };\n\n TextNode.prototype.withText = function withText (text) {\n if (text == this.text) { return this }\n return new TextNode(this.type, this.attrs, text, this.marks)\n };\n\n TextNode.prototype.cut = function cut (from, to) {\n if ( from === void 0 ) from = 0;\n if ( to === void 0 ) to = this.text.length;\n\n if (from == 0 && to == this.text.length) { return this }\n return this.withText(this.text.slice(from, to))\n };\n\n TextNode.prototype.eq = function eq (other) {\n return this.sameMarkup(other) && this.text == other.text\n };\n\n TextNode.prototype.toJSON = function toJSON () {\n var base = Node.prototype.toJSON.call(this);\n base.text = this.text;\n return base\n };\n\n Object.defineProperties( TextNode.prototype, prototypeAccessors$1 );\n\n return TextNode;\n}(Node));\n\nfunction wrapMarks(marks, str) {\n for (var i = marks.length - 1; i >= 0; i--)\n { str = marks[i].type.name + \"(\" + str + \")\"; }\n return str\n}\n\n// ::- Instances of this class represent a match state of a node\n// type's [content expression](#model.NodeSpec.content), and can be\n// used to find out whether further content matches here, and whether\n// a given position is a valid end of the node.\nvar ContentMatch = function ContentMatch(validEnd) {\n // :: bool\n // True when this match state represents a valid end of the node.\n this.validEnd = validEnd;\n this.next = [];\n this.wrapCache = [];\n};\n\nvar prototypeAccessors$4 = { inlineContent: { configurable: true },defaultType: { configurable: true },edgeCount: { configurable: true } };\n\nContentMatch.parse = function parse (string, nodeTypes) {\n var stream = new TokenStream(string, nodeTypes);\n if (stream.next == null) { return ContentMatch.empty }\n var expr = parseExpr(stream);\n if (stream.next) { stream.err(\"Unexpected trailing text\"); }\n var match = dfa(nfa(expr));\n checkForDeadEnds(match, stream);\n return match\n};\n\n// :: (NodeType) → ?ContentMatch\n// Match a node type, returning a match after that node if\n// successful.\nContentMatch.prototype.matchType = function matchType (type) {\n for (var i = 0; i < this.next.length; i += 2)\n { if (this.next[i] == type) { return this.next[i + 1] } }\n return null\n};\n\n// :: (Fragment, ?number, ?number) → ?ContentMatch\n// Try to match a fragment. Returns the resulting match when\n// successful.\nContentMatch.prototype.matchFragment = function matchFragment (frag, start, end) {\n if ( start === void 0 ) start = 0;\n if ( end === void 0 ) end = frag.childCount;\n\n var cur = this;\n for (var i = start; cur && i < end; i++)\n { cur = cur.matchType(frag.child(i).type); }\n return cur\n};\n\nprototypeAccessors$4.inlineContent.get = function () {\n var first = this.next[0];\n return first ? first.isInline : false\n};\n\n// :: ?NodeType\n// Get the first matching node type at this match position that can\n// be generated.\nprototypeAccessors$4.defaultType.get = function () {\n for (var i = 0; i < this.next.length; i += 2) {\n var type = this.next[i];\n if (!(type.isText || type.hasRequiredAttrs())) { return type }\n }\n};\n\nContentMatch.prototype.compatible = function compatible (other) {\n for (var i = 0; i < this.next.length; i += 2)\n { for (var j = 0; j < other.next.length; j += 2)\n { if (this.next[i] == other.next[j]) { return true } } }\n return false\n};\n\n// :: (Fragment, bool, ?number) → ?Fragment\n// Try to match the given fragment, and if that fails, see if it can\n// be made to match by inserting nodes in front of it. When\n// successful, return a fragment of inserted nodes (which may be\n// empty if nothing had to be inserted). When `toEnd` is true, only\n// return a fragment if the resulting match goes to the end of the\n// content expression.\nContentMatch.prototype.fillBefore = function fillBefore (after, toEnd, startIndex) {\n if ( toEnd === void 0 ) toEnd = false;\n if ( startIndex === void 0 ) startIndex = 0;\n\n var seen = [this];\n function search(match, types) {\n var finished = match.matchFragment(after, startIndex);\n if (finished && (!toEnd || finished.validEnd))\n { return Fragment.from(types.map(function (tp) { return tp.createAndFill(); })) }\n\n for (var i = 0; i < match.next.length; i += 2) {\n var type = match.next[i], next = match.next[i + 1];\n if (!(type.isText || type.hasRequiredAttrs()) && seen.indexOf(next) == -1) {\n seen.push(next);\n var found = search(next, types.concat(type));\n if (found) { return found }\n }\n }\n }\n\n return search(this, [])\n};\n\n// :: (NodeType) → ?[NodeType]\n// Find a set of wrapping node types that would allow a node of the\n// given type to appear at this position. The result may be empty\n// (when it fits directly) and will be null when no such wrapping\n// exists.\nContentMatch.prototype.findWrapping = function findWrapping (target) {\n for (var i = 0; i < this.wrapCache.length; i += 2)\n { if (this.wrapCache[i] == target) { return this.wrapCache[i + 1] } }\n var computed = this.computeWrapping(target);\n this.wrapCache.push(target, computed);\n return computed\n};\n\nContentMatch.prototype.computeWrapping = function computeWrapping (target) {\n var seen = Object.create(null), active = [{match: this, type: null, via: null}];\n while (active.length) {\n var current = active.shift(), match = current.match;\n if (match.matchType(target)) {\n var result = [];\n for (var obj = current; obj.type; obj = obj.via)\n { result.push(obj.type); }\n return result.reverse()\n }\n for (var i = 0; i < match.next.length; i += 2) {\n var type = match.next[i];\n if (!type.isLeaf && !type.hasRequiredAttrs() && !(type.name in seen) && (!current.type || match.next[i + 1].validEnd)) {\n active.push({match: type.contentMatch, type: type, via: current});\n seen[type.name] = true;\n }\n }\n }\n};\n\n// :: number\n// The number of outgoing edges this node has in the finite\n// automaton that describes the content expression.\nprototypeAccessors$4.edgeCount.get = function () {\n return this.next.length >> 1\n};\n\n// :: (number) → {type: NodeType, next: ContentMatch}\n// Get the _n_​th outgoing edge from this node in the finite\n// automaton that describes the content expression.\nContentMatch.prototype.edge = function edge (n) {\n var i = n << 1;\n if (i >= this.next.length) { throw new RangeError((\"There's no \" + n + \"th edge in this content match\")) }\n return {type: this.next[i], next: this.next[i + 1]}\n};\n\nContentMatch.prototype.toString = function toString () {\n var seen = [];\n function scan(m) {\n seen.push(m);\n for (var i = 1; i < m.next.length; i += 2)\n { if (seen.indexOf(m.next[i]) == -1) { scan(m.next[i]); } }\n }\n scan(this);\n return seen.map(function (m, i) {\n var out = i + (m.validEnd ? \"*\" : \" \") + \" \";\n for (var i$1 = 0; i$1 < m.next.length; i$1 += 2)\n { out += (i$1 ? \", \" : \"\") + m.next[i$1].name + \"->\" + seen.indexOf(m.next[i$1 + 1]); }\n return out\n }).join(\"\\n\")\n};\n\nObject.defineProperties( ContentMatch.prototype, prototypeAccessors$4 );\n\nContentMatch.empty = new ContentMatch(true);\n\nvar TokenStream = function TokenStream(string, nodeTypes) {\n this.string = string;\n this.nodeTypes = nodeTypes;\n this.inline = null;\n this.pos = 0;\n this.tokens = string.split(/\\s*(?=\\b|\\W|$)/);\n if (this.tokens[this.tokens.length - 1] == \"\") { this.tokens.pop(); }\n if (this.tokens[0] == \"\") { this.tokens.unshift(); }\n};\n\nvar prototypeAccessors$1$2 = { next: { configurable: true } };\n\nprototypeAccessors$1$2.next.get = function () { return this.tokens[this.pos] };\n\nTokenStream.prototype.eat = function eat (tok) { return this.next == tok && (this.pos++ || true) };\n\nTokenStream.prototype.err = function err (str) { throw new SyntaxError(str + \" (in content expression '\" + this.string + \"')\") };\n\nObject.defineProperties( TokenStream.prototype, prototypeAccessors$1$2 );\n\nfunction parseExpr(stream) {\n var exprs = [];\n do { exprs.push(parseExprSeq(stream)); }\n while (stream.eat(\"|\"))\n return exprs.length == 1 ? exprs[0] : {type: \"choice\", exprs: exprs}\n}\n\nfunction parseExprSeq(stream) {\n var exprs = [];\n do { exprs.push(parseExprSubscript(stream)); }\n while (stream.next && stream.next != \")\" && stream.next != \"|\")\n return exprs.length == 1 ? exprs[0] : {type: \"seq\", exprs: exprs}\n}\n\nfunction parseExprSubscript(stream) {\n var expr = parseExprAtom(stream);\n for (;;) {\n if (stream.eat(\"+\"))\n { expr = {type: \"plus\", expr: expr}; }\n else if (stream.eat(\"*\"))\n { expr = {type: \"star\", expr: expr}; }\n else if (stream.eat(\"?\"))\n { expr = {type: \"opt\", expr: expr}; }\n else if (stream.eat(\"{\"))\n { expr = parseExprRange(stream, expr); }\n else { break }\n }\n return expr\n}\n\nfunction parseNum(stream) {\n if (/\\D/.test(stream.next)) { stream.err(\"Expected number, got '\" + stream.next + \"'\"); }\n var result = Number(stream.next);\n stream.pos++;\n return result\n}\n\nfunction parseExprRange(stream, expr) {\n var min = parseNum(stream), max = min;\n if (stream.eat(\",\")) {\n if (stream.next != \"}\") { max = parseNum(stream); }\n else { max = -1; }\n }\n if (!stream.eat(\"}\")) { stream.err(\"Unclosed braced range\"); }\n return {type: \"range\", min: min, max: max, expr: expr}\n}\n\nfunction resolveName(stream, name) {\n var types = stream.nodeTypes, type = types[name];\n if (type) { return [type] }\n var result = [];\n for (var typeName in types) {\n var type$1 = types[typeName];\n if (type$1.groups.indexOf(name) > -1) { result.push(type$1); }\n }\n if (result.length == 0) { stream.err(\"No node type or group '\" + name + \"' found\"); }\n return result\n}\n\nfunction parseExprAtom(stream) {\n if (stream.eat(\"(\")) {\n var expr = parseExpr(stream);\n if (!stream.eat(\")\")) { stream.err(\"Missing closing paren\"); }\n return expr\n } else if (!/\\W/.test(stream.next)) {\n var exprs = resolveName(stream, stream.next).map(function (type) {\n if (stream.inline == null) { stream.inline = type.isInline; }\n else if (stream.inline != type.isInline) { stream.err(\"Mixing inline and block content\"); }\n return {type: \"name\", value: type}\n });\n stream.pos++;\n return exprs.length == 1 ? exprs[0] : {type: \"choice\", exprs: exprs}\n } else {\n stream.err(\"Unexpected token '\" + stream.next + \"'\");\n }\n}\n\n// The code below helps compile a regular-expression-like language\n// into a deterministic finite automaton. For a good introduction to\n// these concepts, see https://swtch.com/~rsc/regexp/regexp1.html\n\n// : (Object) → [[{term: ?any, to: number}]]\n// Construct an NFA from an expression as returned by the parser. The\n// NFA is represented as an array of states, which are themselves\n// arrays of edges, which are `{term, to}` objects. The first state is\n// the entry state and the last node is the success state.\n//\n// Note that unlike typical NFAs, the edge ordering in this one is\n// significant, in that it is used to contruct filler content when\n// necessary.\nfunction nfa(expr) {\n var nfa = [[]];\n connect(compile(expr, 0), node());\n return nfa\n\n function node() { return nfa.push([]) - 1 }\n function edge(from, to, term) {\n var edge = {term: term, to: to};\n nfa[from].push(edge);\n return edge\n }\n function connect(edges, to) { edges.forEach(function (edge) { return edge.to = to; }); }\n\n function compile(expr, from) {\n if (expr.type == \"choice\") {\n return expr.exprs.reduce(function (out, expr) { return out.concat(compile(expr, from)); }, [])\n } else if (expr.type == \"seq\") {\n for (var i = 0;; i++) {\n var next = compile(expr.exprs[i], from);\n if (i == expr.exprs.length - 1) { return next }\n connect(next, from = node());\n }\n } else if (expr.type == \"star\") {\n var loop = node();\n edge(from, loop);\n connect(compile(expr.expr, loop), loop);\n return [edge(loop)]\n } else if (expr.type == \"plus\") {\n var loop$1 = node();\n connect(compile(expr.expr, from), loop$1);\n connect(compile(expr.expr, loop$1), loop$1);\n return [edge(loop$1)]\n } else if (expr.type == \"opt\") {\n return [edge(from)].concat(compile(expr.expr, from))\n } else if (expr.type == \"range\") {\n var cur = from;\n for (var i$1 = 0; i$1 < expr.min; i$1++) {\n var next$1 = node();\n connect(compile(expr.expr, cur), next$1);\n cur = next$1;\n }\n if (expr.max == -1) {\n connect(compile(expr.expr, cur), cur);\n } else {\n for (var i$2 = expr.min; i$2 < expr.max; i$2++) {\n var next$2 = node();\n edge(cur, next$2);\n connect(compile(expr.expr, cur), next$2);\n cur = next$2;\n }\n }\n return [edge(cur)]\n } else if (expr.type == \"name\") {\n return [edge(from, null, expr.value)]\n }\n }\n}\n\nfunction cmp(a, b) { return b - a }\n\n// Get the set of nodes reachable by null edges from `node`. Omit\n// nodes with only a single null-out-edge, since they may lead to\n// needless duplicated nodes.\nfunction nullFrom(nfa, node) {\n var result = [];\n scan(node);\n return result.sort(cmp)\n\n function scan(node) {\n var edges = nfa[node];\n if (edges.length == 1 && !edges[0].term) { return scan(edges[0].to) }\n result.push(node);\n for (var i = 0; i < edges.length; i++) {\n var ref = edges[i];\n var term = ref.term;\n var to = ref.to;\n if (!term && result.indexOf(to) == -1) { scan(to); }\n }\n }\n}\n\n// : ([[{term: ?any, to: number}]]) → ContentMatch\n// Compiles an NFA as produced by `nfa` into a DFA, modeled as a set\n// of state objects (`ContentMatch` instances) with transitions\n// between them.\nfunction dfa(nfa) {\n var labeled = Object.create(null);\n return explore(nullFrom(nfa, 0))\n\n function explore(states) {\n var out = [];\n states.forEach(function (node) {\n nfa[node].forEach(function (ref) {\n var term = ref.term;\n var to = ref.to;\n\n if (!term) { return }\n var known = out.indexOf(term), set = known > -1 && out[known + 1];\n nullFrom(nfa, to).forEach(function (node) {\n if (!set) { out.push(term, set = []); }\n if (set.indexOf(node) == -1) { set.push(node); }\n });\n });\n });\n var state = labeled[states.join(\",\")] = new ContentMatch(states.indexOf(nfa.length - 1) > -1);\n for (var i = 0; i < out.length; i += 2) {\n var states$1 = out[i + 1].sort(cmp);\n state.next.push(out[i], labeled[states$1.join(\",\")] || explore(states$1));\n }\n return state\n }\n}\n\nfunction checkForDeadEnds(match, stream) {\n for (var i = 0, work = [match]; i < work.length; i++) {\n var state = work[i], dead = !state.validEnd, nodes = [];\n for (var j = 0; j < state.next.length; j += 2) {\n var node = state.next[j], next = state.next[j + 1];\n nodes.push(node.name);\n if (dead && !(node.isText || node.hasRequiredAttrs())) { dead = false; }\n if (work.indexOf(next) == -1) { work.push(next); }\n }\n if (dead) { stream.err(\"Only non-generatable nodes (\" + nodes.join(\", \") + \") in a required position (see https://prosemirror.net/docs/guide/#generatable)\"); }\n }\n}\n\n// For node types where all attrs have a default value (or which don't\n// have any attributes), build up a single reusable default attribute\n// object, and use it for all nodes that don't specify specific\n// attributes.\nfunction defaultAttrs(attrs) {\n var defaults = Object.create(null);\n for (var attrName in attrs) {\n var attr = attrs[attrName];\n if (!attr.hasDefault) { return null }\n defaults[attrName] = attr.default;\n }\n return defaults\n}\n\nfunction computeAttrs(attrs, value) {\n var built = Object.create(null);\n for (var name in attrs) {\n var given = value && value[name];\n if (given === undefined) {\n var attr = attrs[name];\n if (attr.hasDefault) { given = attr.default; }\n else { throw new RangeError(\"No value supplied for attribute \" + name) }\n }\n built[name] = given;\n }\n return built\n}\n\nfunction initAttrs(attrs) {\n var result = Object.create(null);\n if (attrs) { for (var name in attrs) { result[name] = new Attribute(attrs[name]); } }\n return result\n}\n\n// ::- Node types are objects allocated once per `Schema` and used to\n// [tag](#model.Node.type) `Node` instances. They contain information\n// about the node type, such as its name and what kind of node it\n// represents.\nvar NodeType = function NodeType(name, schema, spec) {\n // :: string\n // The name the node type has in this schema.\n this.name = name;\n\n // :: Schema\n // A link back to the `Schema` the node type belongs to.\n this.schema = schema;\n\n // :: NodeSpec\n // The spec that this type is based on\n this.spec = spec;\n\n this.groups = spec.group ? spec.group.split(\" \") : [];\n this.attrs = initAttrs(spec.attrs);\n\n this.defaultAttrs = defaultAttrs(this.attrs);\n\n // :: ContentMatch\n // The starting match of the node type's content expression.\n this.contentMatch = null;\n\n // : ?[MarkType]\n // The set of marks allowed in this node. `null` means all marks\n // are allowed.\n this.markSet = null;\n\n // :: bool\n // True if this node type has inline content.\n this.inlineContent = null;\n\n // :: bool\n // True if this is a block type\n this.isBlock = !(spec.inline || name == \"text\");\n\n // :: bool\n // True if this is the text node type.\n this.isText = name == \"text\";\n};\n\nvar prototypeAccessors$5 = { isInline: { configurable: true },isTextblock: { configurable: true },isLeaf: { configurable: true },isAtom: { configurable: true } };\n\n// :: bool\n// True if this is an inline type.\nprototypeAccessors$5.isInline.get = function () { return !this.isBlock };\n\n// :: bool\n// True if this is a textblock type, a block that contains inline\n// content.\nprototypeAccessors$5.isTextblock.get = function () { return this.isBlock && this.inlineContent };\n\n// :: bool\n// True for node types that allow no content.\nprototypeAccessors$5.isLeaf.get = function () { return this.contentMatch == ContentMatch.empty };\n\n// :: bool\n// True when this node is an atom, i.e. when it does not have\n// directly editable content.\nprototypeAccessors$5.isAtom.get = function () { return this.isLeaf || this.spec.atom };\n\n// :: () → bool\n// Tells you whether this node type has any required attributes.\nNodeType.prototype.hasRequiredAttrs = function hasRequiredAttrs () {\n for (var n in this.attrs) { if (this.attrs[n].isRequired) { return true } }\n return false\n};\n\nNodeType.prototype.compatibleContent = function compatibleContent (other) {\n return this == other || this.contentMatch.compatible(other.contentMatch)\n};\n\nNodeType.prototype.computeAttrs = function computeAttrs$1 (attrs) {\n if (!attrs && this.defaultAttrs) { return this.defaultAttrs }\n else { return computeAttrs(this.attrs, attrs) }\n};\n\n// :: (?Object, ?union<Fragment, Node, [Node]>, ?[Mark]) → Node\n// Create a `Node` of this type. The given attributes are\n// checked and defaulted (you can pass `null` to use the type's\n// defaults entirely, if no required attributes exist). `content`\n// may be a `Fragment`, a node, an array of nodes, or\n// `null`. Similarly `marks` may be `null` to default to the empty\n// set of marks.\nNodeType.prototype.create = function create (attrs, content, marks) {\n if (this.isText) { throw new Error(\"NodeType.create can't construct text nodes\") }\n return new Node(this, this.computeAttrs(attrs), Fragment.from(content), Mark.setFrom(marks))\n};\n\n// :: (?Object, ?union<Fragment, Node, [Node]>, ?[Mark]) → Node\n// Like [`create`](#model.NodeType.create), but check the given content\n// against the node type's content restrictions, and throw an error\n// if it doesn't match.\nNodeType.prototype.createChecked = function createChecked (attrs, content, marks) {\n content = Fragment.from(content);\n if (!this.validContent(content))\n { throw new RangeError(\"Invalid content for node \" + this.name) }\n return new Node(this, this.computeAttrs(attrs), content, Mark.setFrom(marks))\n};\n\n// :: (?Object, ?union<Fragment, Node, [Node]>, ?[Mark]) → ?Node\n// Like [`create`](#model.NodeType.create), but see if it is necessary to\n// add nodes to the start or end of the given fragment to make it\n// fit the node. If no fitting wrapping can be found, return null.\n// Note that, due to the fact that required nodes can always be\n// created, this will always succeed if you pass null or\n// `Fragment.empty` as content.\nNodeType.prototype.createAndFill = function createAndFill (attrs, content, marks) {\n attrs = this.computeAttrs(attrs);\n content = Fragment.from(content);\n if (content.size) {\n var before = this.contentMatch.fillBefore(content);\n if (!before) { return null }\n content = before.append(content);\n }\n var after = this.contentMatch.matchFragment(content).fillBefore(Fragment.empty, true);\n if (!after) { return null }\n return new Node(this, attrs, content.append(after), Mark.setFrom(marks))\n};\n\n// :: (Fragment) → bool\n// Returns true if the given fragment is valid content for this node\n// type with the given attributes.\nNodeType.prototype.validContent = function validContent (content) {\n var result = this.contentMatch.matchFragment(content);\n if (!result || !result.validEnd) { return false }\n for (var i = 0; i < content.childCount; i++)\n { if (!this.allowsMarks(content.child(i).marks)) { return false } }\n return true\n};\n\n// :: (MarkType) → bool\n// Check whether the given mark type is allowed in this node.\nNodeType.prototype.allowsMarkType = function allowsMarkType (markType) {\n return this.markSet == null || this.markSet.indexOf(markType) > -1\n};\n\n// :: ([Mark]) → bool\n// Test whether the given set of marks are allowed in this node.\nNodeType.prototype.allowsMarks = function allowsMarks (marks) {\n if (this.markSet == null) { return true }\n for (var i = 0; i < marks.length; i++) { if (!this.allowsMarkType(marks[i].type)) { return false } }\n return true\n};\n\n// :: ([Mark]) → [Mark]\n// Removes the marks that are not allowed in this node from the given set.\nNodeType.prototype.allowedMarks = function allowedMarks (marks) {\n if (this.markSet == null) { return marks }\n var copy;\n for (var i = 0; i < marks.length; i++) {\n if (!this.allowsMarkType(marks[i].type)) {\n if (!copy) { copy = marks.slice(0, i); }\n } else if (copy) {\n copy.push(marks[i]);\n }\n }\n return !copy ? marks : copy.length ? copy : Mark.empty\n};\n\nNodeType.compile = function compile (nodes, schema) {\n var result = Object.create(null);\n nodes.forEach(function (name, spec) { return result[name] = new NodeType(name, schema, spec); });\n\n var topType = schema.spec.topNode || \"doc\";\n if (!result[topType]) { throw new RangeError(\"Schema is missing its top node type ('\" + topType + \"')\") }\n if (!result.text) { throw new RangeError(\"Every schema needs a 'text' type\") }\n for (var _ in result.text.attrs) { throw new RangeError(\"The text node type should not have attributes\") }\n\n return result\n};\n\nObject.defineProperties( NodeType.prototype, prototypeAccessors$5 );\n\n// Attribute descriptors\n\nvar Attribute = function Attribute(options) {\n this.hasDefault = Object.prototype.hasOwnProperty.call(options, \"default\");\n this.default = options.default;\n};\n\nvar prototypeAccessors$1$3 = { isRequired: { configurable: true } };\n\nprototypeAccessors$1$3.isRequired.get = function () {\n return !this.hasDefault\n};\n\nObject.defineProperties( Attribute.prototype, prototypeAccessors$1$3 );\n\n// Marks\n\n// ::- Like nodes, marks (which are associated with nodes to signify\n// things like emphasis or being part of a link) are\n// [tagged](#model.Mark.type) with type objects, which are\n// instantiated once per `Schema`.\nvar MarkType = function MarkType(name, rank, schema, spec) {\n // :: string\n // The name of the mark type.\n this.name = name;\n\n // :: Schema\n // The schema that this mark type instance is part of.\n this.schema = schema;\n\n // :: MarkSpec\n // The spec on which the type is based.\n this.spec = spec;\n\n this.attrs = initAttrs(spec.attrs);\n\n this.rank = rank;\n this.excluded = null;\n var defaults = defaultAttrs(this.attrs);\n this.instance = defaults && new Mark(this, defaults);\n};\n\n// :: (?Object) → Mark\n// Create a mark of this type. `attrs` may be `null` or an object\n// containing only some of the mark's attributes. The others, if\n// they have defaults, will be added.\nMarkType.prototype.create = function create (attrs) {\n if (!attrs && this.instance) { return this.instance }\n return new Mark(this, computeAttrs(this.attrs, attrs))\n};\n\nMarkType.compile = function compile (marks, schema) {\n var result = Object.create(null), rank = 0;\n marks.forEach(function (name, spec) { return result[name] = new MarkType(name, rank++, schema, spec); });\n return result\n};\n\n// :: ([Mark]) → [Mark]\n// When there is a mark of this type in the given set, a new set\n// without it is returned. Otherwise, the input set is returned.\nMarkType.prototype.removeFromSet = function removeFromSet (set) {\n for (var i = 0; i < set.length; i++)\n { if (set[i].type == this)\n { return set.slice(0, i).concat(set.slice(i + 1)) } }\n return set\n};\n\n// :: ([Mark]) → ?Mark\n// Tests whether there is a mark of this type in the given set.\nMarkType.prototype.isInSet = function isInSet (set) {\n for (var i = 0; i < set.length; i++)\n { if (set[i].type == this) { return set[i] } }\n};\n\n// :: (MarkType) → bool\n// Queries whether a given mark type is\n// [excluded](#model.MarkSpec.excludes) by this one.\nMarkType.prototype.excludes = function excludes (other) {\n return this.excluded.indexOf(other) > -1\n};\n\n// SchemaSpec:: interface\n// An object describing a schema, as passed to the [`Schema`](#model.Schema)\n// constructor.\n//\n// nodes:: union<Object<NodeSpec>, OrderedMap<NodeSpec>>\n// The node types in this schema. Maps names to\n// [`NodeSpec`](#model.NodeSpec) objects that describe the node type\n// associated with that name. Their order is significant—it\n// determines which [parse rules](#model.NodeSpec.parseDOM) take\n// precedence by default, and which nodes come first in a given\n// [group](#model.NodeSpec.group).\n//\n// marks:: ?union<Object<MarkSpec>, OrderedMap<MarkSpec>>\n// The mark types that exist in this schema. The order in which they\n// are provided determines the order in which [mark\n// sets](#model.Mark.addToSet) are sorted and in which [parse\n// rules](#model.MarkSpec.parseDOM) are tried.\n//\n// topNode:: ?string\n// The name of the default top-level node for the schema. Defaults\n// to `\"doc\"`.\n\n// NodeSpec:: interface\n//\n// content:: ?string\n// The content expression for this node, as described in the [schema\n// guide](/docs/guide/#schema.content_expressions). When not given,\n// the node does not allow any content.\n//\n// marks:: ?string\n// The marks that are allowed inside of this node. May be a\n// space-separated string referring to mark names or groups, `\"_\"`\n// to explicitly allow all marks, or `\"\"` to disallow marks. When\n// not given, nodes with inline content default to allowing all\n// marks, other nodes default to not allowing marks.\n//\n// group:: ?string\n// The group or space-separated groups to which this node belongs,\n// which can be referred to in the content expressions for the\n// schema.\n//\n// inline:: ?bool\n// Should be set to true for inline nodes. (Implied for text nodes.)\n//\n// atom:: ?bool\n// Can be set to true to indicate that, though this isn't a [leaf\n// node](#model.NodeType.isLeaf), it doesn't have directly editable\n// content and should be treated as a single unit in the view.\n//\n// attrs:: ?Object<AttributeSpec>\n// The attributes that nodes of this type get.\n//\n// selectable:: ?bool\n// Controls whether nodes of this type can be selected as a [node\n// selection](#state.NodeSelection). Defaults to true for non-text\n// nodes.\n//\n// draggable:: ?bool\n// Determines whether nodes of this type can be dragged without\n// being selected. Defaults to false.\n//\n// code:: ?bool\n// Can be used to indicate that this node contains code, which\n// causes some commands to behave differently.\n//\n// defining:: ?bool\n// Determines whether this node is considered an important parent\n// node during replace operations (such as paste). Non-defining (the\n// default) nodes get dropped when their entire content is replaced,\n// whereas defining nodes persist and wrap the inserted content.\n// Likewise, in _inserted_ content the defining parents of the\n// content are preserved when possible. Typically,\n// non-default-paragraph textblock types, and possibly list items,\n// are marked as defining.\n//\n// isolating:: ?bool\n// When enabled (default is false), the sides of nodes of this type\n// count as boundaries that regular editing operations, like\n// backspacing or lifting, won't cross. An example of a node that\n// should probably have this enabled is a table cell.\n//\n// toDOM:: ?(node: Node) → DOMOutputSpec\n// Defines the default way a node of this type should be serialized\n// to DOM/HTML (as used by\n// [`DOMSerializer.fromSchema`](#model.DOMSerializer^fromSchema)).\n// Should return a DOM node or an [array\n// structure](#model.DOMOutputSpec) that describes one, with an\n// optional number zero (“hole”) in it to indicate where the node's\n// content should be inserted.\n//\n// For text nodes, the default is to create a text DOM node. Though\n// it is possible to create a serializer where text is rendered\n// differently, this is not supported inside the editor, so you\n// shouldn't override that in your text node spec.\n//\n// parseDOM:: ?[ParseRule]\n// Associates DOM parser information with this node, which can be\n// used by [`DOMParser.fromSchema`](#model.DOMParser^fromSchema) to\n// automatically derive a parser. The `node` field in the rules is\n// implied (the name of this node will be filled in automatically).\n// If you supply your own parser, you do not need to also specify\n// parsing rules in your schema.\n//\n// toDebugString:: ?(node: Node) -> string\n// Defines the default way a node of this type should be serialized\n// to a string representation for debugging (e.g. in error messages).\n\n// MarkSpec:: interface\n//\n// attrs:: ?Object<AttributeSpec>\n// The attributes that marks of this type get.\n//\n// inclusive:: ?bool\n// Whether this mark should be active when the cursor is positioned\n// at its end (or at its start when that is also the start of the\n// parent node). Defaults to true.\n//\n// excludes:: ?string\n// Determines which other marks this mark can coexist with. Should\n// be a space-separated strings naming other marks or groups of marks.\n// When a mark is [added](#model.Mark.addToSet) to a set, all marks\n// that it excludes are removed in the process. If the set contains\n// any mark that excludes the new mark but is not, itself, excluded\n// by the new mark, the mark can not be added an the set. You can\n// use the value `\"_\"` to indicate that the mark excludes all\n// marks in the schema.\n//\n// Defaults to only being exclusive with marks of the same type. You\n// can set it to an empty string (or any string not containing the\n// mark's own name) to allow multiple marks of a given type to\n// coexist (as long as they have different attributes).\n//\n// group:: ?string\n// The group or space-separated groups to which this mark belongs.\n//\n// spanning:: ?bool\n// Determines whether marks of this type can span multiple adjacent\n// nodes when serialized to DOM/HTML. Defaults to true.\n//\n// toDOM:: ?(mark: Mark, inline: bool) → DOMOutputSpec\n// Defines the default way marks of this type should be serialized\n// to DOM/HTML. When the resulting spec contains a hole, that is\n// where the marked content is placed. Otherwise, it is appended to\n// the top node.\n//\n// parseDOM:: ?[ParseRule]\n// Associates DOM parser information with this mark (see the\n// corresponding [node spec field](#model.NodeSpec.parseDOM)). The\n// `mark` field in the rules is implied.\n\n// AttributeSpec:: interface\n//\n// Used to [define](#model.NodeSpec.attrs) attributes on nodes or\n// marks.\n//\n// default:: ?any\n// The default value for this attribute, to use when no explicit\n// value is provided. Attributes that have no default must be\n// provided whenever a node or mark of a type that has them is\n// created.\n\n// ::- A document schema. Holds [node](#model.NodeType) and [mark\n// type](#model.MarkType) objects for the nodes and marks that may\n// occur in conforming documents, and provides functionality for\n// creating and deserializing such documents.\nvar Schema = function Schema(spec) {\n // :: SchemaSpec\n // The [spec](#model.SchemaSpec) on which the schema is based,\n // with the added guarantee that its `nodes` and `marks`\n // properties are\n // [`OrderedMap`](https://github.com/marijnh/orderedmap) instances\n // (not raw objects).\n this.spec = {};\n for (var prop in spec) { this.spec[prop] = spec[prop]; }\n this.spec.nodes = OrderedMap.from(spec.nodes);\n this.spec.marks = OrderedMap.from(spec.marks);\n\n // :: Object<NodeType>\n // An object mapping the schema's node names to node type objects.\n this.nodes = NodeType.compile(this.spec.nodes, this);\n\n // :: Object<MarkType>\n // A map from mark names to mark type objects.\n this.marks = MarkType.compile(this.spec.marks, this);\n\n var contentExprCache = Object.create(null);\n for (var prop$1 in this.nodes) {\n if (prop$1 in this.marks)\n { throw new RangeError(prop$1 + \" can not be both a node and a mark\") }\n var type = this.nodes[prop$1], contentExpr = type.spec.content || \"\", markExpr = type.spec.marks;\n type.contentMatch = contentExprCache[contentExpr] ||\n (contentExprCache[contentExpr] = ContentMatch.parse(contentExpr, this.nodes));\n type.inlineContent = type.contentMatch.inlineContent;\n type.markSet = markExpr == \"_\" ? null :\n markExpr ? gatherMarks(this, markExpr.split(\" \")) :\n markExpr == \"\" || !type.inlineContent ? [] : null;\n }\n for (var prop$2 in this.marks) {\n var type$1 = this.marks[prop$2], excl = type$1.spec.excludes;\n type$1.excluded = excl == null ? [type$1] : excl == \"\" ? [] : gatherMarks(this, excl.split(\" \"));\n }\n\n this.nodeFromJSON = this.nodeFromJSON.bind(this);\n this.markFromJSON = this.markFromJSON.bind(this);\n\n // :: NodeType\n // The type of the [default top node](#model.SchemaSpec.topNode)\n // for this schema.\n this.topNodeType = this.nodes[this.spec.topNode || \"doc\"];\n\n // :: Object\n // An object for storing whatever values modules may want to\n // compute and cache per schema. (If you want to store something\n // in it, try to use property names unlikely to clash.)\n this.cached = Object.create(null);\n this.cached.wrappings = Object.create(null);\n};\n\n// :: (union<string, NodeType>, ?Object, ?union<Fragment, Node, [Node]>, ?[Mark]) → Node\n// Create a node in this schema. The `type` may be a string or a\n// `NodeType` instance. Attributes will be extended\n// with defaults, `content` may be a `Fragment`,\n// `null`, a `Node`, or an array of nodes.\nSchema.prototype.node = function node (type, attrs, content, marks) {\n if (typeof type == \"string\")\n { type = this.nodeType(type); }\n else if (!(type instanceof NodeType))\n { throw new RangeError(\"Invalid node type: \" + type) }\n else if (type.schema != this)\n { throw new RangeError(\"Node type from different schema used (\" + type.name + \")\") }\n\n return type.createChecked(attrs, content, marks)\n};\n\n// :: (string, ?[Mark]) → Node\n// Create a text node in the schema. Empty text nodes are not\n// allowed.\nSchema.prototype.text = function text (text$1, marks) {\n var type = this.nodes.text;\n return new TextNode(type, type.defaultAttrs, text$1, Mark.setFrom(marks))\n};\n\n// :: (union<string, MarkType>, ?Object) → Mark\n// Create a mark with the given type and attributes.\nSchema.prototype.mark = function mark (type, attrs) {\n if (typeof type == \"string\") { type = this.marks[type]; }\n return type.create(attrs)\n};\n\n// :: (Object) → Node\n// Deserialize a node from its JSON representation. This method is\n// bound.\nSchema.prototype.nodeFromJSON = function nodeFromJSON (json) {\n return Node.fromJSON(this, json)\n};\n\n// :: (Object) → Mark\n// Deserialize a mark from its JSON representation. This method is\n// bound.\nSchema.prototype.markFromJSON = function markFromJSON (json) {\n return Mark.fromJSON(this, json)\n};\n\nSchema.prototype.nodeType = function nodeType (name) {\n var found = this.nodes[name];\n if (!found) { throw new RangeError(\"Unknown node type: \" + name) }\n return found\n};\n\nfunction gatherMarks(schema, marks) {\n var found = [];\n for (var i = 0; i < marks.length; i++) {\n var name = marks[i], mark = schema.marks[name], ok = mark;\n if (mark) {\n found.push(mark);\n } else {\n for (var prop in schema.marks) {\n var mark$1 = schema.marks[prop];\n if (name == \"_\" || (mark$1.spec.group && mark$1.spec.group.split(\" \").indexOf(name) > -1))\n { found.push(ok = mark$1); }\n }\n }\n if (!ok) { throw new SyntaxError(\"Unknown mark type: '\" + marks[i] + \"'\") }\n }\n return found\n}\n\n// ParseOptions:: interface\n// These are the options recognized by the\n// [`parse`](#model.DOMParser.parse) and\n// [`parseSlice`](#model.DOMParser.parseSlice) methods.\n//\n// preserveWhitespace:: ?union<bool, \"full\">\n// By default, whitespace is collapsed as per HTML's rules. Pass\n// `true` to preserve whitespace, but normalize newlines to\n// spaces, and `\"full\"` to preserve whitespace entirely.\n//\n// findPositions:: ?[{node: dom.Node, offset: number}]\n// When given, the parser will, beside parsing the content,\n// record the document positions of the given DOM positions. It\n// will do so by writing to the objects, adding a `pos` property\n// that holds the document position. DOM positions that are not\n// in the parsed content will not be written to.\n//\n// from:: ?number\n// The child node index to start parsing from.\n//\n// to:: ?number\n// The child node index to stop parsing at.\n//\n// topNode:: ?Node\n// By default, the content is parsed into the schema's default\n// [top node type](#model.Schema.topNodeType). You can pass this\n// option to use the type and attributes from a different node\n// as the top container.\n//\n// topMatch:: ?ContentMatch\n// Provide the starting content match that content parsed into the\n// top node is matched against.\n//\n// context:: ?ResolvedPos\n// A set of additional nodes to count as\n// [context](#model.ParseRule.context) when parsing, above the\n// given [top node](#model.ParseOptions.topNode).\n\n// ParseRule:: interface\n// A value that describes how to parse a given DOM node or inline\n// style as a ProseMirror node or mark.\n//\n// tag:: ?string\n// A CSS selector describing the kind of DOM elements to match. A\n// single rule should have _either_ a `tag` or a `style` property.\n//\n// namespace:: ?string\n// The namespace to match. This should be used with `tag`.\n// Nodes are only matched when the namespace matches or this property\n// is null.\n//\n// style:: ?string\n// A CSS property name to match. When given, this rule matches\n// inline styles that list that property. May also have the form\n// `\"property=value\"`, in which case the rule only matches if the\n// propery's value exactly matches the given value. (For more\n// complicated filters, use [`getAttrs`](#model.ParseRule.getAttrs)\n// and return false to indicate that the match failed.)\n//\n// priority:: ?number\n// Can be used to change the order in which the parse rules in a\n// schema are tried. Those with higher priority come first. Rules\n// without a priority are counted as having priority 50. This\n// property is only meaningful in a schema—when directly\n// constructing a parser, the order of the rule array is used.\n//\n// context:: ?string\n// When given, restricts this rule to only match when the current\n// context—the parent nodes into which the content is being\n// parsed—matches this expression. Should contain one or more node\n// names or node group names followed by single or double slashes.\n// For example `\"paragraph/\"` means the rule only matches when the\n// parent node is a paragraph, `\"blockquote/paragraph/\"` restricts\n// it to be in a paragraph that is inside a blockquote, and\n// `\"section//\"` matches any position inside a section—a double\n// slash matches any sequence of ancestor nodes. To allow multiple\n// different contexts, they can be separated by a pipe (`|`)\n// character, as in `\"blockquote/|list_item/\"`.\n//\n// node:: ?string\n// The name of the node type to create when this rule matches. Only\n// valid for rules with a `tag` property, not for style rules. Each\n// rule should have one of a `node`, `mark`, or `ignore` property\n// (except when it appears in a [node](#model.NodeSpec.parseDOM) or\n// [mark spec](#model.MarkSpec.parseDOM), in which case the `node`\n// or `mark` property will be derived from its position).\n//\n// mark:: ?string\n// The name of the mark type to wrap the matched content in.\n//\n// ignore:: ?bool\n// When true, ignore content that matches this rule.\n//\n// closeParent:: ?bool\n// When true, finding an element that matches this rule will close\n// the current node.\n//\n// skip:: ?bool\n// When true, ignore the node that matches this rule, but do parse\n// its content.\n//\n// attrs:: ?Object\n// Attributes for the node or mark created by this rule. When\n// `getAttrs` is provided, it takes precedence.\n//\n// getAttrs:: ?(union<dom.Node, string>) → ?union<Object, false>\n// A function used to compute the attributes for the node or mark\n// created by this rule. Can also be used to describe further\n// conditions the DOM element or style must match. When it returns\n// `false`, the rule won't match. When it returns null or undefined,\n// that is interpreted as an empty/default set of attributes.\n//\n// Called with a DOM Element for `tag` rules, and with a string (the\n// style's value) for `style` rules.\n//\n// contentElement:: ?union<string, (dom.Node) → dom.Node>\n// For `tag` rules that produce non-leaf nodes or marks, by default\n// the content of the DOM element is parsed as content of the mark\n// or node. If the child nodes are in a descendent node, this may be\n// a CSS selector string that the parser must use to find the actual\n// content element, or a function that returns the actual content\n// element to the parser.\n//\n// getContent:: ?(dom.Node, schema: Schema) → Fragment\n// Can be used to override the content of a matched node. When\n// present, instead of parsing the node's child nodes, the result of\n// this function is used.\n//\n// preserveWhitespace:: ?union<bool, \"full\">\n// Controls whether whitespace should be preserved when parsing the\n// content inside the matched element. `false` means whitespace may\n// be collapsed, `true` means that whitespace should be preserved\n// but newlines normalized to spaces, and `\"full\"` means that\n// newlines should also be preserved.\n\n// ::- A DOM parser represents a strategy for parsing DOM content into\n// a ProseMirror document conforming to a given schema. Its behavior\n// is defined by an array of [rules](#model.ParseRule).\nvar DOMParser = function DOMParser(schema, rules) {\n var this$1 = this;\n\n // :: Schema\n // The schema into which the parser parses.\n this.schema = schema;\n // :: [ParseRule]\n // The set of [parse rules](#model.ParseRule) that the parser\n // uses, in order of precedence.\n this.rules = rules;\n this.tags = [];\n this.styles = [];\n\n rules.forEach(function (rule) {\n if (rule.tag) { this$1.tags.push(rule); }\n else if (rule.style) { this$1.styles.push(rule); }\n });\n\n // Only normalize list elements when lists in the schema can't directly contain themselves\n this.normalizeLists = !this.tags.some(function (r) {\n if (!/^(ul|ol)\\b/.test(r.tag) || !r.node) { return false }\n var node = schema.nodes[r.node];\n return node.contentMatch.matchType(node)\n });\n};\n\n// :: (dom.Node, ?ParseOptions) → Node\n// Parse a document from the content of a DOM node.\nDOMParser.prototype.parse = function parse (dom, options) {\n if ( options === void 0 ) options = {};\n\n var context = new ParseContext(this, options, false);\n context.addAll(dom, null, options.from, options.to);\n return context.finish()\n};\n\n// :: (dom.Node, ?ParseOptions) → Slice\n// Parses the content of the given DOM node, like\n// [`parse`](#model.DOMParser.parse), and takes the same set of\n// options. But unlike that method, which produces a whole node,\n// this one returns a slice that is open at the sides, meaning that\n// the schema constraints aren't applied to the start of nodes to\n// the left of the input and the end of nodes at the end.\nDOMParser.prototype.parseSlice = function parseSlice (dom, options) {\n if ( options === void 0 ) options = {};\n\n var context = new ParseContext(this, options, true);\n context.addAll(dom, null, options.from, options.to);\n return Slice.maxOpen(context.finish())\n};\n\nDOMParser.prototype.matchTag = function matchTag (dom, context) {\n for (var i = 0; i < this.tags.length; i++) {\n var rule = this.tags[i];\n if (matches(dom, rule.tag) &&\n (rule.namespace === undefined || dom.namespaceURI == rule.namespace) &&\n (!rule.context || context.matchesContext(rule.context))) {\n if (rule.getAttrs) {\n var result = rule.getAttrs(dom);\n if (result === false) { continue }\n rule.attrs = result;\n }\n return rule\n }\n }\n};\n\nDOMParser.prototype.matchStyle = function matchStyle (prop, value, context) {\n for (var i = 0; i < this.styles.length; i++) {\n var rule = this.styles[i];\n if (rule.style.indexOf(prop) != 0 ||\n rule.context && !context.matchesContext(rule.context) ||\n // Test that the style string either precisely matches the prop,\n // or has an '=' sign after the prop, followed by the given\n // value.\n rule.style.length > prop.length &&\n (rule.style.charCodeAt(prop.length) != 61 || rule.style.slice(prop.length + 1) != value))\n { continue }\n if (rule.getAttrs) {\n var result = rule.getAttrs(value);\n if (result === false) { continue }\n rule.attrs = result;\n }\n return rule\n }\n};\n\n// : (Schema) → [ParseRule]\nDOMParser.schemaRules = function schemaRules (schema) {\n var result = [];\n function insert(rule) {\n var priority = rule.priority == null ? 50 : rule.priority, i = 0;\n for (; i < result.length; i++) {\n var next = result[i], nextPriority = next.priority == null ? 50 : next.priority;\n if (nextPriority < priority) { break }\n }\n result.splice(i, 0, rule);\n }\n\n var loop = function ( name ) {\n var rules = schema.marks[name].spec.parseDOM;\n if (rules) { rules.forEach(function (rule) {\n insert(rule = copy(rule));\n rule.mark = name;\n }); }\n };\n\n for (var name in schema.marks) loop( name );\n var loop$1 = function ( name ) {\n var rules$1 = schema.nodes[name$1].spec.parseDOM;\n if (rules$1) { rules$1.forEach(function (rule) {\n insert(rule = copy(rule));\n rule.node = name$1;\n }); }\n };\n\n for (var name$1 in schema.nodes) loop$1();\n return result\n};\n\n// :: (Schema) → DOMParser\n// Construct a DOM parser using the parsing rules listed in a\n// schema's [node specs](#model.NodeSpec.parseDOM), reordered by\n// [priority](#model.ParseRule.priority).\nDOMParser.fromSchema = function fromSchema (schema) {\n return schema.cached.domParser ||\n (schema.cached.domParser = new DOMParser(schema, DOMParser.schemaRules(schema)))\n};\n\n// : Object<bool> The block-level tags in HTML5\nvar blockTags = {\n address: true, article: true, aside: true, blockquote: true, canvas: true,\n dd: true, div: true, dl: true, fieldset: true, figcaption: true, figure: true,\n footer: true, form: true, h1: true, h2: true, h3: true, h4: true, h5: true,\n h6: true, header: true, hgroup: true, hr: true, li: true, noscript: true, ol: true,\n output: true, p: true, pre: true, section: true, table: true, tfoot: true, ul: true\n};\n\n// : Object<bool> The tags that we normally ignore.\nvar ignoreTags = {\n head: true, noscript: true, object: true, script: true, style: true, title: true\n};\n\n// : Object<bool> List tags.\nvar listTags = {ol: true, ul: true};\n\n// Using a bitfield for node context options\nvar OPT_PRESERVE_WS = 1, OPT_PRESERVE_WS_FULL = 2, OPT_OPEN_LEFT = 4;\n\nfunction wsOptionsFor(preserveWhitespace) {\n return (preserveWhitespace ? OPT_PRESERVE_WS : 0) | (preserveWhitespace === \"full\" ? OPT_PRESERVE_WS_FULL : 0)\n}\n\nvar NodeContext = function NodeContext(type, attrs, marks, pendingMarks, solid, match, options) {\n this.type = type;\n this.attrs = attrs;\n this.solid = solid;\n this.match = match || (options & OPT_OPEN_LEFT ? null : type.contentMatch);\n this.options = options;\n this.content = [];\n // Marks applied to this node itself\n this.marks = marks;\n // Marks applied to its children\n this.activeMarks = Mark.none;\n // Marks that can't apply here, but will be used in children if possible\n this.pendingMarks = pendingMarks;\n};\n\nNodeContext.prototype.findWrapping = function findWrapping (node) {\n if (!this.match) {\n if (!this.type) { return [] }\n var fill = this.type.contentMatch.fillBefore(Fragment.from(node));\n if (fill) {\n this.match = this.type.contentMatch.matchFragment(fill);\n } else {\n var start = this.type.contentMatch, wrap;\n if (wrap = start.findWrapping(node.type)) {\n this.match = start;\n return wrap\n } else {\n return null\n }\n }\n }\n return this.match.findWrapping(node.type)\n};\n\nNodeContext.prototype.finish = function finish (openEnd) {\n if (!(this.options & OPT_PRESERVE_WS)) { // Strip trailing whitespace\n var last = this.content[this.content.length - 1], m;\n if (last && last.isText && (m = /[ \\t\\r\\n\\u000c]+$/.exec(last.text))) {\n if (last.text.length == m[0].length) { this.content.pop(); }\n else { this.content[this.content.length - 1] = last.withText(last.text.slice(0, last.text.length - m[0].length)); }\n }\n }\n var content = Fragment.from(this.content);\n if (!openEnd && this.match)\n { content = content.append(this.match.fillBefore(Fragment.empty, true)); }\n return this.type ? this.type.create(this.attrs, content, this.marks) : content\n};\n\nNodeContext.prototype.applyPending = function applyPending (nextType) {\n for (var i = 0, pending = this.pendingMarks; i < pending.length; i++) {\n var mark = pending[i];\n if ((this.type ? this.type.allowsMarkType(mark.type) : markMayApply(mark.type, nextType)) &&\n !mark.isInSet(this.activeMarks)) {\n this.activeMarks = mark.addToSet(this.activeMarks);\n this.pendingMarks = mark.removeFromSet(this.pendingMarks);\n }\n }\n};\n\nvar ParseContext = function ParseContext(parser, options, open) {\n // : DOMParser The parser we are using.\n this.parser = parser;\n // : Object The options passed to this parse.\n this.options = options;\n this.isOpen = open;\n var topNode = options.topNode, topContext;\n var topOptions = wsOptionsFor(options.preserveWhitespace) | (open ? OPT_OPEN_LEFT : 0);\n if (topNode)\n { topContext = new NodeContext(topNode.type, topNode.attrs, Mark.none, Mark.none, true,\n options.topMatch || topNode.type.contentMatch, topOptions); }\n else if (open)\n { topContext = new NodeContext(null, null, Mark.none, Mark.none, true, null, topOptions); }\n else\n { topContext = new NodeContext(parser.schema.topNodeType, null, Mark.none, Mark.none, true, null, topOptions); }\n this.nodes = [topContext];\n // : [Mark] The current set of marks\n this.open = 0;\n this.find = options.findPositions;\n this.needsBlock = false;\n};\n\nvar prototypeAccessors$6 = { top: { configurable: true },currentPos: { configurable: true } };\n\nprototypeAccessors$6.top.get = function () {\n return this.nodes[this.open]\n};\n\n// : (dom.Node)\n// Add a DOM node to the content. Text is inserted as text node,\n// otherwise, the node is passed to `addElement` or, if it has a\n// `style` attribute, `addElementWithStyles`.\nParseContext.prototype.addDOM = function addDOM (dom) {\n if (dom.nodeType == 3) {\n this.addTextNode(dom);\n } else if (dom.nodeType == 1) {\n var style = dom.getAttribute(\"style\");\n var marks = style ? this.readStyles(parseStyles(style)) : null, top = this.top;\n if (marks != null) { for (var i = 0; i < marks.length; i++) { this.addPendingMark(marks[i]); } }\n this.addElement(dom);\n if (marks != null) { for (var i$1 = 0; i$1 < marks.length; i$1++) { this.removePendingMark(marks[i$1], top); } }\n }\n};\n\nParseContext.prototype.addTextNode = function addTextNode (dom) {\n var value = dom.nodeValue;\n var top = this.top;\n if ((top.type ? top.type.inlineContent : top.content.length && top.content[0].isInline) || /[^ \\t\\r\\n\\u000c]/.test(value)) {\n if (!(top.options & OPT_PRESERVE_WS)) {\n value = value.replace(/[ \\t\\r\\n\\u000c]+/g, \" \");\n // If this starts with whitespace, and there is no node before it, or\n // a hard break, or a text node that ends with whitespace, strip the\n // leading space.\n if (/^[ \\t\\r\\n\\u000c]/.test(value) && this.open == this.nodes.length - 1) {\n var nodeBefore = top.content[top.content.length - 1];\n var domNodeBefore = dom.previousSibling;\n if (!nodeBefore ||\n (domNodeBefore && domNodeBefore.nodeName == 'BR') ||\n (nodeBefore.isText && /[ \\t\\r\\n\\u000c]$/.test(nodeBefore.text)))\n { value = value.slice(1); }\n }\n } else if (!(top.options & OPT_PRESERVE_WS_FULL)) {\n value = value.replace(/\\r?\\n|\\r/g, \" \");\n }\n if (value) { this.insertNode(this.parser.schema.text(value)); }\n this.findInText(dom);\n } else {\n this.findInside(dom);\n }\n};\n\n// : (dom.Element)\n// Try to find a handler for the given tag and use that to parse. If\n// none is found, the element's content nodes are added directly.\nParseContext.prototype.addElement = function addElement (dom) {\n var name = dom.nodeName.toLowerCase();\n if (listTags.hasOwnProperty(name) && this.normalizeLists) { normalizeList(dom); }\n var rule = (this.options.ruleFromNode && this.options.ruleFromNode(dom)) || this.parser.matchTag(dom, this);\n if (rule ? rule.ignore : ignoreTags.hasOwnProperty(name)) {\n this.findInside(dom);\n } else if (!rule || rule.skip || rule.closeParent) {\n if (rule && rule.closeParent) { this.open = Math.max(0, this.open - 1); }\n else if (rule && rule.skip.nodeType) { dom = rule.skip; }\n var sync, top = this.top, oldNeedsBlock = this.needsBlock;\n if (blockTags.hasOwnProperty(name)) {\n sync = true;\n if (!top.type) { this.needsBlock = true; }\n } else if (!dom.firstChild) {\n this.leafFallback(dom);\n return\n }\n this.addAll(dom);\n if (sync) { this.sync(top); }\n this.needsBlock = oldNeedsBlock;\n } else {\n this.addElementByRule(dom, rule);\n }\n};\n\n// Called for leaf DOM nodes that would otherwise be ignored\nParseContext.prototype.leafFallback = function leafFallback (dom) {\n if (dom.nodeName == \"BR\" && this.top.type && this.top.type.inlineContent)\n { this.addTextNode(dom.ownerDocument.createTextNode(\"\\n\")); }\n};\n\n// Run any style parser associated with the node's styles. Either\n// return an array of marks, or null to indicate some of the styles\n// had a rule with `ignore` set.\nParseContext.prototype.readStyles = function readStyles (styles) {\n var marks = Mark.none;\n for (var i = 0; i < styles.length; i += 2) {\n var rule = this.parser.matchStyle(styles[i], styles[i + 1], this);\n if (!rule) { continue }\n if (rule.ignore) { return null }\n marks = this.parser.schema.marks[rule.mark].create(rule.attrs).addToSet(marks);\n }\n return marks\n};\n\n// : (dom.Element, ParseRule) → bool\n// Look up a handler for the given node. If none are found, return\n// false. Otherwise, apply it, use its return value to drive the way\n// the node's content is wrapped, and return true.\nParseContext.prototype.addElementByRule = function addElementByRule (dom, rule) {\n var this$1 = this;\n\n var sync, nodeType, markType, mark;\n if (rule.node) {\n nodeType = this.parser.schema.nodes[rule.node];\n if (!nodeType.isLeaf) {\n sync = this.enter(nodeType, rule.attrs, rule.preserveWhitespace);\n } else if (!this.insertNode(nodeType.create(rule.attrs))) {\n this.leafFallback(dom);\n }\n } else {\n markType = this.parser.schema.marks[rule.mark];\n mark = markType.create(rule.attrs);\n this.addPendingMark(mark);\n }\n var startIn = this.top;\n\n if (nodeType && nodeType.isLeaf) {\n this.findInside(dom);\n } else if (rule.getContent) {\n this.findInside(dom);\n rule.getContent(dom, this.parser.schema).forEach(function (node) { return this$1.insertNode(node); });\n } else {\n var contentDOM = rule.contentElement;\n if (typeof contentDOM == \"string\") { contentDOM = dom.querySelector(contentDOM); }\n else if (typeof contentDOM == \"function\") { contentDOM = contentDOM(dom); }\n if (!contentDOM) { contentDOM = dom; }\n this.findAround(dom, contentDOM, true);\n this.addAll(contentDOM, sync);\n }\n if (sync) { this.sync(startIn); this.open--; }\n if (mark) { this.removePendingMark(mark, startIn); }\n};\n\n// : (dom.Node, ?NodeBuilder, ?number, ?number)\n// Add all child nodes between `startIndex` and `endIndex` (or the\n// whole node, if not given). If `sync` is passed, use it to\n// synchronize after every block element.\nParseContext.prototype.addAll = function addAll (parent, sync, startIndex, endIndex) {\n var index = startIndex || 0;\n for (var dom = startIndex ? parent.childNodes[startIndex] : parent.firstChild,\n end = endIndex == null ? null : parent.childNodes[endIndex];\n dom != end; dom = dom.nextSibling, ++index) {\n this.findAtPoint(parent, index);\n this.addDOM(dom);\n if (sync && blockTags.hasOwnProperty(dom.nodeName.toLowerCase()))\n { this.sync(sync); }\n }\n this.findAtPoint(parent, index);\n};\n\n// Try to find a way to fit the given node type into the current\n// context. May add intermediate wrappers and/or leave non-solid\n// nodes that we're in.\nParseContext.prototype.findPlace = function findPlace (node) {\n var route, sync;\n for (var depth = this.open; depth >= 0; depth--) {\n var cx = this.nodes[depth];\n var found = cx.findWrapping(node);\n if (found && (!route || route.length > found.length)) {\n route = found;\n sync = cx;\n if (!found.length) { break }\n }\n if (cx.solid) { break }\n }\n if (!route) { return false }\n this.sync(sync);\n for (var i = 0; i < route.length; i++)\n { this.enterInner(route[i], null, false); }\n return true\n};\n\n// : (Node) → ?Node\n// Try to insert the given node, adjusting the context when needed.\nParseContext.prototype.insertNode = function insertNode (node) {\n if (node.isInline && this.needsBlock && !this.top.type) {\n var block = this.textblockFromContext();\n if (block) { this.enterInner(block); }\n }\n if (this.findPlace(node)) {\n this.closeExtra();\n var top = this.top;\n top.applyPending(node.type);\n if (top.match) { top.match = top.match.matchType(node.type); }\n var marks = top.activeMarks;\n for (var i = 0; i < node.marks.length; i++)\n { if (!top.type || top.type.allowsMarkType(node.marks[i].type))\n { marks = node.marks[i].addToSet(marks); } }\n top.content.push(node.mark(marks));\n return true\n }\n return false\n};\n\n// : (NodeType, ?Object) → bool\n// Try to start a node of the given type, adjusting the context when\n// necessary.\nParseContext.prototype.enter = function enter (type, attrs, preserveWS) {\n var ok = this.findPlace(type.create(attrs));\n if (ok) { this.enterInner(type, attrs, true, preserveWS); }\n return ok\n};\n\n// Open a node of the given type\nParseContext.prototype.enterInner = function enterInner (type, attrs, solid, preserveWS) {\n this.closeExtra();\n var top = this.top;\n top.applyPending(type);\n top.match = top.match && top.match.matchType(type, attrs);\n var options = preserveWS == null ? top.options & ~OPT_OPEN_LEFT : wsOptionsFor(preserveWS);\n if ((top.options & OPT_OPEN_LEFT) && top.content.length == 0) { options |= OPT_OPEN_LEFT; }\n this.nodes.push(new NodeContext(type, attrs, top.activeMarks, top.pendingMarks, solid, null, options));\n this.open++;\n};\n\n// Make sure all nodes above this.open are finished and added to\n// their parents\nParseContext.prototype.closeExtra = function closeExtra (openEnd) {\n var i = this.nodes.length - 1;\n if (i > this.open) {\n for (; i > this.open; i--) { this.nodes[i - 1].content.push(this.nodes[i].finish(openEnd)); }\n this.nodes.length = this.open + 1;\n }\n};\n\nParseContext.prototype.finish = function finish () {\n this.open = 0;\n this.closeExtra(this.isOpen);\n return this.nodes[0].finish(this.isOpen || this.options.topOpen)\n};\n\nParseContext.prototype.sync = function sync (to) {\n for (var i = this.open; i >= 0; i--) { if (this.nodes[i] == to) {\n this.open = i;\n return\n } }\n};\n\nprototypeAccessors$6.currentPos.get = function () {\n this.closeExtra();\n var pos = 0;\n for (var i = this.open; i >= 0; i--) {\n var content = this.nodes[i].content;\n for (var j = content.length - 1; j >= 0; j--)\n { pos += content[j].nodeSize; }\n if (i) { pos++; }\n }\n return pos\n};\n\nParseContext.prototype.findAtPoint = function findAtPoint (parent, offset) {\n if (this.find) { for (var i = 0; i < this.find.length; i++) {\n if (this.find[i].node == parent && this.find[i].offset == offset)\n { this.find[i].pos = this.currentPos; }\n } }\n};\n\nParseContext.prototype.findInside = function findInside (parent) {\n if (this.find) { for (var i = 0; i < this.find.length; i++) {\n if (this.find[i].pos == null && parent.nodeType == 1 && parent.contains(this.find[i].node))\n { this.find[i].pos = this.currentPos; }\n } }\n};\n\nParseContext.prototype.findAround = function findAround (parent, content, before) {\n if (parent != content && this.find) { for (var i = 0; i < this.find.length; i++) {\n if (this.find[i].pos == null && parent.nodeType == 1 && parent.contains(this.find[i].node)) {\n var pos = content.compareDocumentPosition(this.find[i].node);\n if (pos & (before ? 2 : 4))\n { this.find[i].pos = this.currentPos; }\n }\n } }\n};\n\nParseContext.prototype.findInText = function findInText (textNode) {\n if (this.find) { for (var i = 0; i < this.find.length; i++) {\n if (this.find[i].node == textNode)\n { this.find[i].pos = this.currentPos - (textNode.nodeValue.length - this.find[i].offset); }\n } }\n};\n\n// : (string) → bool\n// Determines whether the given [context\n// string](#ParseRule.context) matches this context.\nParseContext.prototype.matchesContext = function matchesContext (context) {\n var this$1 = this;\n\n if (context.indexOf(\"|\") > -1)\n { return context.split(/\\s*\\|\\s*/).some(this.matchesContext, this) }\n\n var parts = context.split(\"/\");\n var option = this.options.context;\n var useRoot = !this.isOpen && (!option || option.parent.type == this.nodes[0].type);\n var minDepth = -(option ? option.depth + 1 : 0) + (useRoot ? 0 : 1);\n var match = function (i, depth) {\n for (; i >= 0; i--) {\n var part = parts[i];\n if (part == \"\") {\n if (i == parts.length - 1 || i == 0) { continue }\n for (; depth >= minDepth; depth--)\n { if (match(i - 1, depth)) { return true } }\n return false\n } else {\n var next = depth > 0 || (depth == 0 && useRoot) ? this$1.nodes[depth].type\n : option && depth >= minDepth ? option.node(depth - minDepth).type\n : null;\n if (!next || (next.name != part && next.groups.indexOf(part) == -1))\n { return false }\n depth--;\n }\n }\n return true\n };\n return match(parts.length - 1, this.open)\n};\n\nParseContext.prototype.textblockFromContext = function textblockFromContext () {\n var $context = this.options.context;\n if ($context) { for (var d = $context.depth; d >= 0; d--) {\n var deflt = $context.node(d).contentMatchAt($context.indexAfter(d)).defaultType;\n if (deflt && deflt.isTextblock && deflt.defaultAttrs) { return deflt }\n } }\n for (var name in this.parser.schema.nodes) {\n var type = this.parser.schema.nodes[name];\n if (type.isTextblock && type.defaultAttrs) { return type }\n }\n};\n\nParseContext.prototype.addPendingMark = function addPendingMark (mark) {\n this.top.pendingMarks = mark.addToSet(this.top.pendingMarks);\n};\n\nParseContext.prototype.removePendingMark = function removePendingMark (mark, upto) {\n for (var depth = this.open; depth >= 0; depth--) {\n var level = this.nodes[depth];\n var found = level.pendingMarks.lastIndexOf(mark);\n if (found > -1) { level.pendingMarks = mark.removeFromSet(level.pendingMarks); }\n else { level.activeMarks = mark.removeFromSet(level.activeMarks); }\n if (level == upto) { break }\n }\n};\n\nObject.defineProperties( ParseContext.prototype, prototypeAccessors$6 );\n\n// Kludge to work around directly nested list nodes produced by some\n// tools and allowed by browsers to mean that the nested list is\n// actually part of the list item above it.\nfunction normalizeList(dom) {\n for (var child = dom.firstChild, prevItem = null; child; child = child.nextSibling) {\n var name = child.nodeType == 1 ? child.nodeName.toLowerCase() : null;\n if (name && listTags.hasOwnProperty(name) && prevItem) {\n prevItem.appendChild(child);\n child = prevItem;\n } else if (name == \"li\") {\n prevItem = child;\n } else if (name) {\n prevItem = null;\n }\n }\n}\n\n// Apply a CSS selector.\nfunction matches(dom, selector) {\n return (dom.matches || dom.msMatchesSelector || dom.webkitMatchesSelector || dom.mozMatchesSelector).call(dom, selector)\n}\n\n// : (string) → [string]\n// Tokenize a style attribute into property/value pairs.\nfunction parseStyles(style) {\n var re = /\\s*([\\w-]+)\\s*:\\s*([^;]+)/g, m, result = [];\n while (m = re.exec(style)) { result.push(m[1], m[2].trim()); }\n return result\n}\n\nfunction copy(obj) {\n var copy = {};\n for (var prop in obj) { copy[prop] = obj[prop]; }\n return copy\n}\n\n// Used when finding a mark at the top level of a fragment parse.\n// Checks whether it would be reasonable to apply a given mark type to\n// a given node, by looking at the way the mark occurs in the schema.\nfunction markMayApply(markType, nodeType) {\n var nodes = nodeType.schema.nodes;\n var loop = function ( name ) {\n var parent = nodes[name];\n if (!parent.allowsMarkType(markType)) { return }\n var seen = [], scan = function (match) {\n seen.push(match);\n for (var i = 0; i < match.edgeCount; i++) {\n var ref = match.edge(i);\n var type = ref.type;\n var next = ref.next;\n if (type == nodeType) { return true }\n if (seen.indexOf(next) < 0 && scan(next)) { return true }\n }\n };\n if (scan(parent.contentMatch)) { return { v: true } }\n };\n\n for (var name in nodes) {\n var returned = loop( name );\n\n if ( returned ) return returned.v;\n }\n}\n\n// DOMOutputSpec:: interface\n// A description of a DOM structure. Can be either a string, which is\n// interpreted as a text node, a DOM node, which is interpreted as\n// itself, or an array.\n//\n// An array describes a DOM element. The first value in the array\n// should be a string—the name of the DOM element, optionally prefixed\n// by a namespace URL and a space. If the second element is plain\n// object, it is interpreted as a set of attributes for the element.\n// Any elements after that (including the 2nd if it's not an attribute\n// object) are interpreted as children of the DOM elements, and must\n// either be valid `DOMOutputSpec` values, or the number zero.\n//\n// The number zero (pronounced “hole”) is used to indicate the place\n// where a node's child nodes should be inserted. If it occurs in an\n// output spec, it should be the only child element in its parent\n// node.\n\n// ::- A DOM serializer knows how to convert ProseMirror nodes and\n// marks of various types to DOM nodes.\nvar DOMSerializer = function DOMSerializer(nodes, marks) {\n // :: Object<(node: Node) → DOMOutputSpec>\n // The node serialization functions.\n this.nodes = nodes || {};\n // :: Object<?(mark: Mark, inline: bool) → DOMOutputSpec>\n // The mark serialization functions.\n this.marks = marks || {};\n};\n\n// :: (Fragment, ?Object) → dom.DocumentFragment\n// Serialize the content of this fragment to a DOM fragment. When\n// not in the browser, the `document` option, containing a DOM\n// document, should be passed so that the serializer can create\n// nodes.\nDOMSerializer.prototype.serializeFragment = function serializeFragment (fragment, options, target) {\n var this$1 = this;\n if ( options === void 0 ) options = {};\n\n if (!target) { target = doc(options).createDocumentFragment(); }\n\n var top = target, active = null;\n fragment.forEach(function (node) {\n if (active || node.marks.length) {\n if (!active) { active = []; }\n var keep = 0, rendered = 0;\n while (keep < active.length && rendered < node.marks.length) {\n var next = node.marks[rendered];\n if (!this$1.marks[next.type.name]) { rendered++; continue }\n if (!next.eq(active[keep]) || next.type.spec.spanning === false) { break }\n keep += 2; rendered++;\n }\n while (keep < active.length) {\n top = active.pop();\n active.pop();\n }\n while (rendered < node.marks.length) {\n var add = node.marks[rendered++];\n var markDOM = this$1.serializeMark(add, node.isInline, options);\n if (markDOM) {\n active.push(add, top);\n top.appendChild(markDOM.dom);\n top = markDOM.contentDOM || markDOM.dom;\n }\n }\n }\n top.appendChild(this$1.serializeNode(node, options));\n });\n\n return target\n};\n\n// :: (Node, ?Object) → dom.Node\n// Serialize this node to a DOM node. This can be useful when you\n// need to serialize a part of a document, as opposed to the whole\n// document. To serialize a whole document, use\n// [`serializeFragment`](#model.DOMSerializer.serializeFragment) on\n// its [content](#model.Node.content).\nDOMSerializer.prototype.serializeNode = function serializeNode (node, options) {\n if ( options === void 0 ) options = {};\n\n var ref =\n DOMSerializer.renderSpec(doc(options), this.nodes[node.type.name](node));\n var dom = ref.dom;\n var contentDOM = ref.contentDOM;\n if (contentDOM) {\n if (node.isLeaf)\n { throw new RangeError(\"Content hole not allowed in a leaf node spec\") }\n if (options.onContent)\n { options.onContent(node, contentDOM, options); }\n else\n { this.serializeFragment(node.content, options, contentDOM); }\n }\n return dom\n};\n\nDOMSerializer.prototype.serializeNodeAndMarks = function serializeNodeAndMarks (node, options) {\n if ( options === void 0 ) options = {};\n\n var dom = this.serializeNode(node, options);\n for (var i = node.marks.length - 1; i >= 0; i--) {\n var wrap = this.serializeMark(node.marks[i], node.isInline, options);\n if (wrap) {\n(wrap.contentDOM || wrap.dom).appendChild(dom);\n dom = wrap.dom;\n }\n }\n return dom\n};\n\nDOMSerializer.prototype.serializeMark = function serializeMark (mark, inline, options) {\n if ( options === void 0 ) options = {};\n\n var toDOM = this.marks[mark.type.name];\n return toDOM && DOMSerializer.renderSpec(doc(options), toDOM(mark, inline))\n};\n\n// :: (dom.Document, DOMOutputSpec) → {dom: dom.Node, contentDOM: ?dom.Node}\n// Render an [output spec](#model.DOMOutputSpec) to a DOM node. If\n// the spec has a hole (zero) in it, `contentDOM` will point at the\n// node with the hole.\nDOMSerializer.renderSpec = function renderSpec (doc, structure, xmlNS) {\n if ( xmlNS === void 0 ) xmlNS = null;\n\n if (typeof structure == \"string\")\n { return {dom: doc.createTextNode(structure)} }\n if (structure.nodeType != null)\n { return {dom: structure} }\n var tagName = structure[0], space = tagName.indexOf(\" \");\n if (space > 0) {\n xmlNS = tagName.slice(0, space);\n tagName = tagName.slice(space + 1);\n }\n var contentDOM = null, dom = xmlNS ? doc.createElementNS(xmlNS, tagName) : doc.createElement(tagName);\n var attrs = structure[1], start = 1;\n if (attrs && typeof attrs == \"object\" && attrs.nodeType == null && !Array.isArray(attrs)) {\n start = 2;\n for (var name in attrs) { if (attrs[name] != null) {\n var space$1 = name.indexOf(\" \");\n if (space$1 > 0) { dom.setAttributeNS(name.slice(0, space$1), name.slice(space$1 + 1), attrs[name]); }\n else { dom.setAttribute(name, attrs[name]); }\n } }\n }\n for (var i = start; i < structure.length; i++) {\n var child = structure[i];\n if (child === 0) {\n if (i < structure.length - 1 || i > start)\n { throw new RangeError(\"Content hole must be the only child of its parent node\") }\n return {dom: dom, contentDOM: dom}\n } else {\n var ref = DOMSerializer.renderSpec(doc, child, xmlNS);\n var inner = ref.dom;\n var innerContent = ref.contentDOM;\n dom.appendChild(inner);\n if (innerContent) {\n if (contentDOM) { throw new RangeError(\"Multiple content holes\") }\n contentDOM = innerContent;\n }\n }\n }\n return {dom: dom, contentDOM: contentDOM}\n};\n\n// :: (Schema) → DOMSerializer\n// Build a serializer using the [`toDOM`](#model.NodeSpec.toDOM)\n// properties in a schema's node and mark specs.\nDOMSerializer.fromSchema = function fromSchema (schema) {\n return schema.cached.domSerializer ||\n (schema.cached.domSerializer = new DOMSerializer(this.nodesFromSchema(schema), this.marksFromSchema(schema)))\n};\n\n// : (Schema) → Object<(node: Node) → DOMOutputSpec>\n// Gather the serializers in a schema's node specs into an object.\n// This can be useful as a base to build a custom serializer from.\nDOMSerializer.nodesFromSchema = function nodesFromSchema (schema) {\n var result = gatherToDOM(schema.nodes);\n if (!result.text) { result.text = function (node) { return node.text; }; }\n return result\n};\n\n// : (Schema) → Object<(mark: Mark) → DOMOutputSpec>\n// Gather the serializers in a schema's mark specs into an object.\nDOMSerializer.marksFromSchema = function marksFromSchema (schema) {\n return gatherToDOM(schema.marks)\n};\n\nfunction gatherToDOM(obj) {\n var result = {};\n for (var name in obj) {\n var toDOM = obj[name].spec.toDOM;\n if (toDOM) { result[name] = toDOM; }\n }\n return result\n}\n\nfunction doc(options) {\n // declare global: window\n return options.document || window.document\n}\n\nexport { ContentMatch, DOMParser, DOMSerializer, Fragment, Mark, MarkType, Node, NodeRange, NodeType, ReplaceError, ResolvedPos, Schema, Slice };\n//# sourceMappingURL=index.es.js.map\n","import { Plugin } from 'prosemirror-state';\nimport { dropPoint } from 'prosemirror-transform';\n\n// :: (options: ?Object) → Plugin\n// Create a plugin that, when added to a ProseMirror instance,\n// causes a decoration to show up at the drop position when something\n// is dragged over the editor.\n//\n// options::- These options are supported:\n//\n// color:: ?string\n// The color of the cursor. Defaults to `black`.\n//\n// width:: ?number\n// The precise width of the cursor in pixels. Defaults to 1.\n//\n// class:: ?string\n// A CSS class name to add to the cursor element.\nfunction dropCursor(options) {\n if ( options === void 0 ) options = {};\n\n return new Plugin({\n view: function view(editorView) { return new DropCursorView(editorView, options) }\n })\n}\n\nvar DropCursorView = function DropCursorView(editorView, options) {\n var this$1 = this;\n\n this.editorView = editorView;\n this.width = options.width || 1;\n this.color = options.color || \"black\";\n this.class = options.class;\n this.cursorPos = null;\n this.element = null;\n this.timeout = null;\n\n this.handlers = [\"dragover\", \"dragend\", \"drop\", \"dragleave\"].map(function (name) {\n var handler = function (e) { return this$1[name](e); };\n editorView.dom.addEventListener(name, handler);\n return {name: name, handler: handler}\n });\n};\n\nDropCursorView.prototype.destroy = function destroy () {\n var this$1 = this;\n\n this.handlers.forEach(function (ref) {\n var name = ref.name;\n var handler = ref.handler;\n\n return this$1.editorView.dom.removeEventListener(name, handler);\n });\n};\n\nDropCursorView.prototype.update = function update (editorView, prevState) {\n if (this.cursorPos != null && prevState.doc != editorView.state.doc) { this.updateOverlay(); }\n};\n\nDropCursorView.prototype.setCursor = function setCursor (pos) {\n if (pos == this.cursorPos) { return }\n this.cursorPos = pos;\n if (pos == null) {\n this.element.parentNode.removeChild(this.element);\n this.element = null;\n } else {\n this.updateOverlay();\n }\n};\n\nDropCursorView.prototype.updateOverlay = function updateOverlay () {\n var $pos = this.editorView.state.doc.resolve(this.cursorPos), rect;\n if (!$pos.parent.inlineContent) {\n var before = $pos.nodeBefore, after = $pos.nodeAfter;\n if (before || after) {\n var nodeRect = this.editorView.nodeDOM(this.cursorPos - (before ?before.nodeSize : 0)).getBoundingClientRect();\n var top = before ? nodeRect.bottom : nodeRect.top;\n if (before && after)\n { top = (top + this.editorView.nodeDOM(this.cursorPos).getBoundingClientRect().top) / 2; }\n rect = {left: nodeRect.left, right: nodeRect.right, top: top - this.width / 2, bottom: top + this.width / 2};\n }\n }\n if (!rect) {\n var coords = this.editorView.coordsAtPos(this.cursorPos);\n rect = {left: coords.left - this.width / 2, right: coords.left + this.width / 2, top: coords.top, bottom: coords.bottom};\n }\n\n var parent = this.editorView.dom.offsetParent;\n if (!this.element) {\n this.element = parent.appendChild(document.createElement(\"div\"));\n if (this.class) { this.element.className = this.class; }\n this.element.style.cssText = \"position: absolute; z-index: 50; pointer-events: none; background-color: \" + this.color;\n }\n var parentRect = !parent || parent == document.body && getComputedStyle(parent).position == \"static\"\n ? {left: -pageXOffset, top: -pageYOffset} : parent.getBoundingClientRect();\n this.element.style.left = (rect.left - parentRect.left) + \"px\";\n this.element.style.top = (rect.top - parentRect.top) + \"px\";\n this.element.style.width = (rect.right - rect.left) + \"px\";\n this.element.style.height = (rect.bottom - rect.top) + \"px\";\n};\n\nDropCursorView.prototype.scheduleRemoval = function scheduleRemoval (timeout) {\n var this$1 = this;\n\n clearTimeout(this.timeout);\n this.timeout = setTimeout(function () { return this$1.setCursor(null); }, timeout);\n};\n\nDropCursorView.prototype.dragover = function dragover (event) {\n if (!this.editorView.editable) { return }\n var pos = this.editorView.posAtCoords({left: event.clientX, top: event.clientY});\n if (pos) {\n var target = pos.pos;\n if (this.editorView.dragging && this.editorView.dragging.slice) {\n target = dropPoint(this.editorView.state.doc, target, this.editorView.dragging.slice);\n if (target == null) { target = pos.pos; }\n }\n this.setCursor(target);\n this.scheduleRemoval(5000);\n }\n};\n\nDropCursorView.prototype.dragend = function dragend () {\n this.scheduleRemoval(20);\n};\n\nDropCursorView.prototype.drop = function drop () {\n this.scheduleRemoval(20);\n};\n\nDropCursorView.prototype.dragleave = function dragleave (event) {\n if (event.target == this.editorView.dom || !this.editorView.dom.contains(event.relatedTarget))\n { this.setCursor(null); }\n};\n\nexport { dropCursor };\n//# sourceMappingURL=index.es.js.map\n","import { keydownHandler } from 'prosemirror-keymap';\nimport { NodeSelection, Selection, Plugin, TextSelection } from 'prosemirror-state';\nimport { DecorationSet, Decoration } from 'prosemirror-view';\nimport { Slice } from 'prosemirror-model';\n\n// ::- Gap cursor selections are represented using this class. Its\n// `$anchor` and `$head` properties both point at the cursor position.\nvar GapCursor = /*@__PURE__*/(function (Selection) {\n function GapCursor($pos) {\n Selection.call(this, $pos, $pos);\n }\n\n if ( Selection ) GapCursor.__proto__ = Selection;\n GapCursor.prototype = Object.create( Selection && Selection.prototype );\n GapCursor.prototype.constructor = GapCursor;\n\n GapCursor.prototype.map = function map (doc, mapping) {\n var $pos = doc.resolve(mapping.map(this.head));\n return GapCursor.valid($pos) ? new GapCursor($pos) : Selection.near($pos)\n };\n\n GapCursor.prototype.content = function content () { return Slice.empty };\n\n GapCursor.prototype.eq = function eq (other) {\n return other instanceof GapCursor && other.head == this.head\n };\n\n GapCursor.prototype.toJSON = function toJSON () {\n return {type: \"gapcursor\", pos: this.head}\n };\n\n GapCursor.fromJSON = function fromJSON (doc, json) {\n if (typeof json.pos != \"number\") { throw new RangeError(\"Invalid input for GapCursor.fromJSON\") }\n return new GapCursor(doc.resolve(json.pos))\n };\n\n GapCursor.prototype.getBookmark = function getBookmark () { return new GapBookmark(this.anchor) };\n\n GapCursor.valid = function valid ($pos) {\n var parent = $pos.parent;\n if (parent.isTextblock || !closedBefore($pos) || !closedAfter($pos)) { return false }\n var override = parent.type.spec.allowGapCursor;\n if (override != null) { return override }\n var deflt = parent.contentMatchAt($pos.index()).defaultType;\n return deflt && deflt.isTextblock\n };\n\n GapCursor.findFrom = function findFrom ($pos, dir, mustMove) {\n search: for (;;) {\n if (!mustMove && GapCursor.valid($pos)) { return $pos }\n var pos = $pos.pos, next = null;\n // Scan up from this position\n for (var d = $pos.depth;; d--) {\n var parent = $pos.node(d);\n if (dir > 0 ? $pos.indexAfter(d) < parent.childCount : $pos.index(d) > 0) {\n next = parent.child(dir > 0 ? $pos.indexAfter(d) : $pos.index(d) - 1);\n break\n } else if (d == 0) {\n return null\n }\n pos += dir;\n var $cur = $pos.doc.resolve(pos);\n if (GapCursor.valid($cur)) { return $cur }\n }\n\n // And then down into the next node\n for (;;) {\n var inside = dir > 0 ? next.firstChild : next.lastChild;\n if (!inside) {\n if (next.isAtom && !next.isText && !NodeSelection.isSelectable(next)) {\n $pos = $pos.doc.resolve(pos + next.nodeSize * dir);\n mustMove = false;\n continue search\n }\n break\n }\n next = inside;\n pos += dir;\n var $cur$1 = $pos.doc.resolve(pos);\n if (GapCursor.valid($cur$1)) { return $cur$1 }\n }\n\n return null\n }\n };\n\n return GapCursor;\n}(Selection));\n\nGapCursor.prototype.visible = false;\n\nSelection.jsonID(\"gapcursor\", GapCursor);\n\nvar GapBookmark = function GapBookmark(pos) {\n this.pos = pos;\n};\nGapBookmark.prototype.map = function map (mapping) {\n return new GapBookmark(mapping.map(this.pos))\n};\nGapBookmark.prototype.resolve = function resolve (doc) {\n var $pos = doc.resolve(this.pos);\n return GapCursor.valid($pos) ? new GapCursor($pos) : Selection.near($pos)\n};\n\nfunction closedBefore($pos) {\n for (var d = $pos.depth; d >= 0; d--) {\n var index = $pos.index(d);\n // At the start of this parent, look at next one\n if (index == 0) { continue }\n // See if the node before (or its first ancestor) is closed\n for (var before = $pos.node(d).child(index - 1);; before = before.lastChild) {\n if ((before.childCount == 0 && !before.inlineContent) || before.isAtom || before.type.spec.isolating) { return true }\n if (before.inlineContent) { return false }\n }\n }\n // Hit start of document\n return true\n}\n\nfunction closedAfter($pos) {\n for (var d = $pos.depth; d >= 0; d--) {\n var index = $pos.indexAfter(d), parent = $pos.node(d);\n if (index == parent.childCount) { continue }\n for (var after = parent.child(index);; after = after.firstChild) {\n if ((after.childCount == 0 && !after.inlineContent) || after.isAtom || after.type.spec.isolating) { return true }\n if (after.inlineContent) { return false }\n }\n }\n return true\n}\n\n// :: () → Plugin\n// Create a gap cursor plugin. When enabled, this will capture clicks\n// near and arrow-key-motion past places that don't have a normally\n// selectable position nearby, and create a gap cursor selection for\n// them. The cursor is drawn as an element with class\n// `ProseMirror-gapcursor`. You can either include\n// `style/gapcursor.css` from the package's directory or add your own\n// styles to make it visible.\nvar gapCursor = function() {\n return new Plugin({\n props: {\n decorations: drawGapCursor,\n\n createSelectionBetween: function createSelectionBetween(_view, $anchor, $head) {\n if ($anchor.pos == $head.pos && GapCursor.valid($head)) { return new GapCursor($head) }\n },\n\n handleClick: handleClick,\n handleKeyDown: handleKeyDown\n }\n })\n};\n\nvar handleKeyDown = keydownHandler({\n \"ArrowLeft\": arrow(\"horiz\", -1),\n \"ArrowRight\": arrow(\"horiz\", 1),\n \"ArrowUp\": arrow(\"vert\", -1),\n \"ArrowDown\": arrow(\"vert\", 1)\n});\n\nfunction arrow(axis, dir) {\n var dirStr = axis == \"vert\" ? (dir > 0 ? \"down\" : \"up\") : (dir > 0 ? \"right\" : \"left\");\n return function(state, dispatch, view) {\n var sel = state.selection;\n var $start = dir > 0 ? sel.$to : sel.$from, mustMove = sel.empty;\n if (sel instanceof TextSelection) {\n if (!view.endOfTextblock(dirStr) || $start.depth == 0) { return false }\n mustMove = false;\n $start = state.doc.resolve(dir > 0 ? $start.after() : $start.before());\n }\n var $found = GapCursor.findFrom($start, dir, mustMove);\n if (!$found) { return false }\n if (dispatch) { dispatch(state.tr.setSelection(new GapCursor($found))); }\n return true\n }\n}\n\nfunction handleClick(view, pos, event) {\n if (!view.editable) { return false }\n var $pos = view.state.doc.resolve(pos);\n if (!GapCursor.valid($pos)) { return false }\n var ref = view.posAtCoords({left: event.clientX, top: event.clientY});\n var inside = ref.inside;\n if (inside > -1 && NodeSelection.isSelectable(view.state.doc.nodeAt(inside))) { return false }\n view.dispatch(view.state.tr.setSelection(new GapCursor($pos)));\n return true\n}\n\nfunction drawGapCursor(state) {\n if (!(state.selection instanceof GapCursor)) { return null }\n var node = document.createElement(\"div\");\n node.className = \"ProseMirror-gapcursor\";\n return DecorationSet.create(state.doc, [Decoration.widget(state.selection.head, node, {key: \"gapcursor\"})])\n}\n\nexport { GapCursor, gapCursor };\n//# sourceMappingURL=index.es.js.map\n","\n /*!\n * tiptap v1.27.0\n * (c) 2020 Scrumpy UG (limited liability)\n * @license MIT\n */\n \nimport { EditorState, Plugin, PluginKey, TextSelection } from 'prosemirror-state';\nexport { NodeSelection, Plugin, PluginKey, TextSelection } from 'prosemirror-state';\nimport { EditorView } from 'prosemirror-view';\nimport { Schema, DOMParser, DOMSerializer } from 'prosemirror-model';\nimport { dropCursor } from 'prosemirror-dropcursor';\nimport { gapCursor } from 'prosemirror-gapcursor';\nimport { keymap } from 'prosemirror-keymap';\nimport { baseKeymap } from 'prosemirror-commands';\nimport { inputRules, undoInputRule } from 'prosemirror-inputrules';\nimport { getMarkRange, getMarkAttrs, getNodeAttrs, markIsActive, nodeIsActive } from 'tiptap-utils';\nimport Vue from 'vue';\nimport { setBlockType } from 'tiptap-commands';\n\nfunction _typeof(obj) {\n \"@babel/helpers - typeof\";\n\n if (typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\") {\n _typeof = function (obj) {\n return typeof obj;\n };\n } else {\n _typeof = function (obj) {\n return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj;\n };\n }\n\n return _typeof(obj);\n}\n\nfunction _classCallCheck(instance, Constructor) {\n if (!(instance instanceof Constructor)) {\n throw new TypeError(\"Cannot call a class as a function\");\n }\n}\n\nfunction _defineProperties(target, props) {\n for (var i = 0; i < props.length; i++) {\n var descriptor = props[i];\n descriptor.enumerable = descriptor.enumerable || false;\n descriptor.configurable = true;\n if (\"value\" in descriptor) descriptor.writable = true;\n Object.defineProperty(target, descriptor.key, descriptor);\n }\n}\n\nfunction _createClass(Constructor, protoProps, staticProps) {\n if (protoProps) _defineProperties(Constructor.prototype, protoProps);\n if (staticProps) _defineProperties(Constructor, staticProps);\n return Constructor;\n}\n\nfunction _defineProperty(obj, key, value) {\n if (key in obj) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n } else {\n obj[key] = value;\n }\n\n return obj;\n}\n\nfunction ownKeys(object, enumerableOnly) {\n var keys = Object.keys(object);\n\n if (Object.getOwnPropertySymbols) {\n var symbols = Object.getOwnPropertySymbols(object);\n if (enumerableOnly) symbols = symbols.filter(function (sym) {\n return Object.getOwnPropertyDescriptor(object, sym).enumerable;\n });\n keys.push.apply(keys, symbols);\n }\n\n return keys;\n}\n\nfunction _objectSpread2(target) {\n for (var i = 1; i < arguments.length; i++) {\n var source = arguments[i] != null ? arguments[i] : {};\n\n if (i % 2) {\n ownKeys(Object(source), true).forEach(function (key) {\n _defineProperty(target, key, source[key]);\n });\n } else if (Object.getOwnPropertyDescriptors) {\n Object.defineProperties(target, Object.getOwnPropertyDescriptors(source));\n } else {\n ownKeys(Object(source)).forEach(function (key) {\n Object.defineProperty(target, key, Object.getOwnPropertyDescriptor(source, key));\n });\n }\n }\n\n return target;\n}\n\nfunction _inherits(subClass, superClass) {\n if (typeof superClass !== \"function\" && superClass !== null) {\n throw new TypeError(\"Super expression must either be null or a function\");\n }\n\n subClass.prototype = Object.create(superClass && superClass.prototype, {\n constructor: {\n value: subClass,\n writable: true,\n configurable: true\n }\n });\n if (superClass) _setPrototypeOf(subClass, superClass);\n}\n\nfunction _getPrototypeOf(o) {\n _getPrototypeOf = Object.setPrototypeOf ? Object.getPrototypeOf : function _getPrototypeOf(o) {\n return o.__proto__ || Object.getPrototypeOf(o);\n };\n return _getPrototypeOf(o);\n}\n\nfunction _setPrototypeOf(o, p) {\n _setPrototypeOf = Object.setPrototypeOf || function _setPrototypeOf(o, p) {\n o.__proto__ = p;\n return o;\n };\n\n return _setPrototypeOf(o, p);\n}\n\nfunction _isNativeReflectConstruct() {\n if (typeof Reflect === \"undefined\" || !Reflect.construct) return false;\n if (Reflect.construct.sham) return false;\n if (typeof Proxy === \"function\") return true;\n\n try {\n Date.prototype.toString.call(Reflect.construct(Date, [], function () {}));\n return true;\n } catch (e) {\n return false;\n }\n}\n\nfunction _assertThisInitialized(self) {\n if (self === void 0) {\n throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\");\n }\n\n return self;\n}\n\nfunction _possibleConstructorReturn(self, call) {\n if (call && (typeof call === \"object\" || typeof call === \"function\")) {\n return call;\n }\n\n return _assertThisInitialized(self);\n}\n\nfunction _createSuper(Derived) {\n return function () {\n var Super = _getPrototypeOf(Derived),\n result;\n\n if (_isNativeReflectConstruct()) {\n var NewTarget = _getPrototypeOf(this).constructor;\n\n result = Reflect.construct(Super, arguments, NewTarget);\n } else {\n result = Super.apply(this, arguments);\n }\n\n return _possibleConstructorReturn(this, result);\n };\n}\n\nfunction _slicedToArray(arr, i) {\n return _arrayWithHoles(arr) || _iterableToArrayLimit(arr, i) || _unsupportedIterableToArray(arr, i) || _nonIterableRest();\n}\n\nfunction _toConsumableArray(arr) {\n return _arrayWithoutHoles(arr) || _iterableToArray(arr) || _unsupportedIterableToArray(arr) || _nonIterableSpread();\n}\n\nfunction _arrayWithoutHoles(arr) {\n if (Array.isArray(arr)) return _arrayLikeToArray(arr);\n}\n\nfunction _arrayWithHoles(arr) {\n if (Array.isArray(arr)) return arr;\n}\n\nfunction _iterableToArray(iter) {\n if (typeof Symbol !== \"undefined\" && Symbol.iterator in Object(iter)) return Array.from(iter);\n}\n\nfunction _iterableToArrayLimit(arr, i) {\n if (typeof Symbol === \"undefined\" || !(Symbol.iterator in Object(arr))) return;\n var _arr = [];\n var _n = true;\n var _d = false;\n var _e = undefined;\n\n try {\n for (var _i = arr[Symbol.iterator](), _s; !(_n = (_s = _i.next()).done); _n = true) {\n _arr.push(_s.value);\n\n if (i && _arr.length === i) break;\n }\n } catch (err) {\n _d = true;\n _e = err;\n } finally {\n try {\n if (!_n && _i[\"return\"] != null) _i[\"return\"]();\n } finally {\n if (_d) throw _e;\n }\n }\n\n return _arr;\n}\n\nfunction _unsupportedIterableToArray(o, minLen) {\n if (!o) return;\n if (typeof o === \"string\") return _arrayLikeToArray(o, minLen);\n var n = Object.prototype.toString.call(o).slice(8, -1);\n if (n === \"Object\" && o.constructor) n = o.constructor.name;\n if (n === \"Map\" || n === \"Set\") return Array.from(n);\n if (n === \"Arguments\" || /^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)) return _arrayLikeToArray(o, minLen);\n}\n\nfunction _arrayLikeToArray(arr, len) {\n if (len == null || len > arr.length) len = arr.length;\n\n for (var i = 0, arr2 = new Array(len); i < len; i++) arr2[i] = arr[i];\n\n return arr2;\n}\n\nfunction _nonIterableSpread() {\n throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nfunction _nonIterableRest() {\n throw new TypeError(\"Invalid attempt to destructure non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nfunction camelCase (str) {\n return str.replace(/(?:^\\w|[A-Z]|\\b\\w)/g, function (word, index) {\n return index === 0 ? word.toLowerCase() : word.toUpperCase();\n }).replace(/\\s+/g, '');\n}\n\nvar ComponentView = /*#__PURE__*/function () {\n function ComponentView(component, _ref) {\n var editor = _ref.editor,\n extension = _ref.extension,\n parent = _ref.parent,\n node = _ref.node,\n view = _ref.view,\n decorations = _ref.decorations,\n getPos = _ref.getPos;\n\n _classCallCheck(this, ComponentView);\n\n this.component = component;\n this.editor = editor;\n this.extension = extension;\n this.parent = parent;\n this.node = node;\n this.view = view;\n this.decorations = decorations;\n this.isNode = !!this.node.marks;\n this.isMark = !this.isNode;\n this.getPos = this.isMark ? this.getMarkPos : getPos;\n this.captureEvents = true;\n this.dom = this.createDOM();\n this.contentDOM = this.vm.$refs.content;\n }\n\n _createClass(ComponentView, [{\n key: \"createDOM\",\n value: function createDOM() {\n var _this = this;\n\n var Component = Vue.extend(this.component);\n var props = {\n editor: this.editor,\n node: this.node,\n view: this.view,\n getPos: function getPos() {\n return _this.getPos();\n },\n decorations: this.decorations,\n selected: false,\n options: this.extension.options,\n updateAttrs: function updateAttrs(attrs) {\n return _this.updateAttrs(attrs);\n }\n };\n\n if (typeof this.extension.setSelection === 'function') {\n this.setSelection = this.extension.setSelection;\n }\n\n if (typeof this.extension.update === 'function') {\n this.update = this.extension.update;\n }\n\n this.vm = new Component({\n parent: this.parent,\n propsData: props\n }).$mount();\n return this.vm.$el;\n }\n }, {\n key: \"update\",\n value: function update(node, decorations) {\n if (node.type !== this.node.type) {\n return false;\n }\n\n if (node === this.node && this.decorations === decorations) {\n return true;\n }\n\n this.node = node;\n this.decorations = decorations;\n this.updateComponentProps({\n node: node,\n decorations: decorations\n });\n return true;\n }\n }, {\n key: \"updateComponentProps\",\n value: function updateComponentProps(props) {\n var _this2 = this;\n\n if (!this.vm._props) {\n return;\n } // Update props in component\n // TODO: Avoid mutating a prop directly.\n // Maybe there is a better way to do this?\n\n\n var originalSilent = Vue.config.silent;\n Vue.config.silent = true;\n Object.entries(props).forEach(function (_ref2) {\n var _ref3 = _slicedToArray(_ref2, 2),\n key = _ref3[0],\n value = _ref3[1];\n\n _this2.vm._props[key] = value;\n }); // this.vm._props.node = node\n // this.vm._props.decorations = decorations\n\n Vue.config.silent = originalSilent;\n }\n }, {\n key: \"updateAttrs\",\n value: function updateAttrs(attrs) {\n if (!this.view.editable) {\n return;\n }\n\n var state = this.view.state;\n var type = this.node.type;\n var pos = this.getPos();\n\n var newAttrs = _objectSpread2({}, this.node.attrs, {}, attrs);\n\n var transaction = this.isMark ? state.tr.removeMark(pos.from, pos.to, type).addMark(pos.from, pos.to, type.create(newAttrs)) : state.tr.setNodeMarkup(pos, null, newAttrs);\n this.view.dispatch(transaction);\n } // prevent a full re-render of the vue component on update\n // we'll handle prop updates in `update()`\n\n }, {\n key: \"ignoreMutation\",\n value: function ignoreMutation(mutation) {\n // allow leaf nodes to be selected\n if (mutation.type === 'selection') {\n return false;\n }\n\n if (!this.contentDOM) {\n return true;\n }\n\n return !this.contentDOM.contains(mutation.target);\n } // disable (almost) all prosemirror event listener for node views\n\n }, {\n key: \"stopEvent\",\n value: function stopEvent(event) {\n var _this3 = this;\n\n if (typeof this.extension.stopEvent === 'function') {\n return this.extension.stopEvent(event);\n }\n\n var draggable = !!this.extension.schema.draggable; // support a custom drag handle\n\n if (draggable && event.type === 'mousedown') {\n var dragHandle = event.target.closest && event.target.closest('[data-drag-handle]');\n var isValidDragHandle = dragHandle && (this.dom === dragHandle || this.dom.contains(dragHandle));\n\n if (isValidDragHandle) {\n this.captureEvents = false;\n document.addEventListener('dragend', function () {\n _this3.captureEvents = true;\n }, {\n once: true\n });\n }\n }\n\n var isCopy = event.type === 'copy';\n var isPaste = event.type === 'paste';\n var isCut = event.type === 'cut';\n var isDrag = event.type.startsWith('drag') || event.type === 'drop';\n\n if (draggable && isDrag || isCopy || isPaste || isCut) {\n return false;\n }\n\n return this.captureEvents;\n }\n }, {\n key: \"selectNode\",\n value: function selectNode() {\n this.updateComponentProps({\n selected: true\n });\n }\n }, {\n key: \"deselectNode\",\n value: function deselectNode() {\n this.updateComponentProps({\n selected: false\n });\n }\n }, {\n key: \"getMarkPos\",\n value: function getMarkPos() {\n var pos = this.view.posAtDOM(this.dom);\n var resolvedPos = this.view.state.doc.resolve(pos);\n var range = getMarkRange(resolvedPos, this.node.type);\n return range;\n }\n }, {\n key: \"destroy\",\n value: function destroy() {\n this.vm.$destroy();\n }\n }]);\n\n return ComponentView;\n}();\n\nvar Emitter = /*#__PURE__*/function () {\n function Emitter() {\n _classCallCheck(this, Emitter);\n }\n\n _createClass(Emitter, [{\n key: \"on\",\n // Add an event listener for given event\n value: function on(event, fn) {\n this._callbacks = this._callbacks || {}; // Create namespace for this event\n\n if (!this._callbacks[event]) {\n this._callbacks[event] = [];\n }\n\n this._callbacks[event].push(fn);\n\n return this;\n }\n }, {\n key: \"emit\",\n value: function emit(event) {\n var _this = this;\n\n for (var _len = arguments.length, args = new Array(_len > 1 ? _len - 1 : 0), _key = 1; _key < _len; _key++) {\n args[_key - 1] = arguments[_key];\n }\n\n this._callbacks = this._callbacks || {};\n var callbacks = this._callbacks[event];\n\n if (callbacks) {\n callbacks.forEach(function (callback) {\n return callback.apply(_this, args);\n });\n }\n\n return this;\n } // Remove event listener for given event.\n // If fn is not provided, all event listeners for that event will be removed.\n // If neither is provided, all event listeners will be removed.\n\n }, {\n key: \"off\",\n value: function off(event, fn) {\n if (!arguments.length) {\n this._callbacks = {};\n } else {\n // event listeners for the given event\n var callbacks = this._callbacks ? this._callbacks[event] : null;\n\n if (callbacks) {\n if (fn) {\n this._callbacks[event] = callbacks.filter(function (cb) {\n return cb !== fn;\n }); // remove specific handler\n } else {\n delete this._callbacks[event]; // remove all handlers\n }\n }\n }\n\n return this;\n }\n }]);\n\n return Emitter;\n}();\n\nvar Extension = /*#__PURE__*/function () {\n function Extension() {\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, Extension);\n\n this.options = _objectSpread2({}, this.defaultOptions, {}, options);\n }\n\n _createClass(Extension, [{\n key: \"init\",\n value: function init() {\n return null;\n }\n }, {\n key: \"bindEditor\",\n value: function bindEditor() {\n var editor = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n this.editor = editor;\n }\n }, {\n key: \"inputRules\",\n value: function inputRules() {\n return [];\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules() {\n return [];\n }\n }, {\n key: \"keys\",\n value: function keys() {\n return {};\n }\n }, {\n key: \"name\",\n get: function get() {\n return null;\n }\n }, {\n key: \"type\",\n get: function get() {\n return 'extension';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {};\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [];\n }\n }]);\n\n return Extension;\n}();\n\nvar ExtensionManager = /*#__PURE__*/function () {\n function ExtensionManager() {\n var extensions = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : [];\n var editor = arguments.length > 1 ? arguments[1] : undefined;\n\n _classCallCheck(this, ExtensionManager);\n\n extensions.forEach(function (extension) {\n extension.bindEditor(editor);\n extension.init();\n });\n this.extensions = extensions;\n }\n\n _createClass(ExtensionManager, [{\n key: \"keymaps\",\n value: function keymaps(_ref) {\n var schema = _ref.schema;\n var extensionKeymaps = this.extensions.filter(function (extension) {\n return ['extension'].includes(extension.type);\n }).filter(function (extension) {\n return extension.keys;\n }).map(function (extension) {\n return extension.keys({\n schema: schema\n });\n });\n var nodeMarkKeymaps = this.extensions.filter(function (extension) {\n return ['node', 'mark'].includes(extension.type);\n }).filter(function (extension) {\n return extension.keys;\n }).map(function (extension) {\n return extension.keys({\n type: schema[\"\".concat(extension.type, \"s\")][extension.name],\n schema: schema\n });\n });\n return [].concat(_toConsumableArray(extensionKeymaps), _toConsumableArray(nodeMarkKeymaps)).map(function (keys) {\n return keymap(keys);\n });\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref2) {\n var schema = _ref2.schema,\n excludedExtensions = _ref2.excludedExtensions;\n if (!(excludedExtensions instanceof Array) && excludedExtensions) return [];\n var allowedExtensions = excludedExtensions instanceof Array ? this.extensions.filter(function (extension) {\n return !excludedExtensions.includes(extension.name);\n }) : this.extensions;\n var extensionInputRules = allowedExtensions.filter(function (extension) {\n return ['extension'].includes(extension.type);\n }).filter(function (extension) {\n return extension.inputRules;\n }).map(function (extension) {\n return extension.inputRules({\n schema: schema\n });\n });\n var nodeMarkInputRules = allowedExtensions.filter(function (extension) {\n return ['node', 'mark'].includes(extension.type);\n }).filter(function (extension) {\n return extension.inputRules;\n }).map(function (extension) {\n return extension.inputRules({\n type: schema[\"\".concat(extension.type, \"s\")][extension.name],\n schema: schema\n });\n });\n return [].concat(_toConsumableArray(extensionInputRules), _toConsumableArray(nodeMarkInputRules)).reduce(function (allInputRules, inputRules) {\n return [].concat(_toConsumableArray(allInputRules), _toConsumableArray(inputRules));\n }, []);\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref3) {\n var schema = _ref3.schema,\n excludedExtensions = _ref3.excludedExtensions;\n if (!(excludedExtensions instanceof Array) && excludedExtensions) return [];\n var allowedExtensions = excludedExtensions instanceof Array ? this.extensions.filter(function (extension) {\n return !excludedExtensions.includes(extension.name);\n }) : this.extensions;\n var extensionPasteRules = allowedExtensions.filter(function (extension) {\n return ['extension'].includes(extension.type);\n }).filter(function (extension) {\n return extension.pasteRules;\n }).map(function (extension) {\n return extension.pasteRules({\n schema: schema\n });\n });\n var nodeMarkPasteRules = allowedExtensions.filter(function (extension) {\n return ['node', 'mark'].includes(extension.type);\n }).filter(function (extension) {\n return extension.pasteRules;\n }).map(function (extension) {\n return extension.pasteRules({\n type: schema[\"\".concat(extension.type, \"s\")][extension.name],\n schema: schema\n });\n });\n return [].concat(_toConsumableArray(extensionPasteRules), _toConsumableArray(nodeMarkPasteRules)).reduce(function (allPasteRules, pasteRules) {\n return [].concat(_toConsumableArray(allPasteRules), _toConsumableArray(pasteRules));\n }, []);\n }\n }, {\n key: \"commands\",\n value: function commands(_ref4) {\n var schema = _ref4.schema,\n view = _ref4.view;\n return this.extensions.filter(function (extension) {\n return extension.commands;\n }).reduce(function (allCommands, extension) {\n var name = extension.name,\n type = extension.type;\n var commands = {};\n var value = extension.commands(_objectSpread2({\n schema: schema\n }, ['node', 'mark'].includes(type) ? {\n type: schema[\"\".concat(type, \"s\")][name]\n } : {}));\n\n var apply = function apply(cb, attrs) {\n if (!view.editable) {\n return false;\n }\n\n view.focus();\n return cb(attrs)(view.state, view.dispatch, view);\n };\n\n var handle = function handle(_name, _value) {\n if (Array.isArray(_value)) {\n commands[_name] = function (attrs) {\n return _value.forEach(function (callback) {\n return apply(callback, attrs);\n });\n };\n } else if (typeof _value === 'function') {\n commands[_name] = function (attrs) {\n return apply(_value, attrs);\n };\n }\n };\n\n if (_typeof(value) === 'object') {\n Object.entries(value).forEach(function (_ref5) {\n var _ref6 = _slicedToArray(_ref5, 2),\n commandName = _ref6[0],\n commandValue = _ref6[1];\n\n handle(commandName, commandValue);\n });\n } else {\n handle(name, value);\n }\n\n return _objectSpread2({}, allCommands, {}, commands);\n }, {});\n }\n }, {\n key: \"nodes\",\n get: function get() {\n return this.extensions.filter(function (extension) {\n return extension.type === 'node';\n }).reduce(function (nodes, _ref7) {\n var name = _ref7.name,\n schema = _ref7.schema;\n return _objectSpread2({}, nodes, _defineProperty({}, name, schema));\n }, {});\n }\n }, {\n key: \"options\",\n get: function get() {\n var view = this.view;\n return this.extensions.reduce(function (nodes, extension) {\n return _objectSpread2({}, nodes, _defineProperty({}, extension.name, new Proxy(extension.options, {\n set: function set(obj, prop, value) {\n var changed = obj[prop] !== value;\n Object.assign(obj, _defineProperty({}, prop, value));\n\n if (changed) {\n view.updateState(view.state);\n }\n\n return true;\n }\n })));\n }, {});\n }\n }, {\n key: \"marks\",\n get: function get() {\n return this.extensions.filter(function (extension) {\n return extension.type === 'mark';\n }).reduce(function (marks, _ref8) {\n var name = _ref8.name,\n schema = _ref8.schema;\n return _objectSpread2({}, marks, _defineProperty({}, name, schema));\n }, {});\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return this.extensions.filter(function (extension) {\n return extension.plugins;\n }).reduce(function (allPlugins, _ref9) {\n var plugins = _ref9.plugins;\n return [].concat(_toConsumableArray(allPlugins), _toConsumableArray(plugins));\n }, []);\n }\n }]);\n\n return ExtensionManager;\n}();\n\nfunction injectCSS (css) {\n if (process.env.NODE_ENV !== 'test') {\n var style = document.createElement('style');\n style.type = 'text/css';\n style.textContent = css;\n var _document = document,\n head = _document.head;\n var firstChild = head.firstChild;\n\n if (firstChild) {\n head.insertBefore(style, firstChild);\n } else {\n head.appendChild(style);\n }\n }\n}\n\nvar Mark = /*#__PURE__*/function (_Extension) {\n _inherits(Mark, _Extension);\n\n var _super = _createSuper(Mark);\n\n function Mark() {\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, Mark);\n\n return _super.call(this, options);\n }\n\n _createClass(Mark, [{\n key: \"command\",\n value: function command() {\n return function () {};\n }\n }, {\n key: \"type\",\n get: function get() {\n return 'mark';\n }\n }, {\n key: \"view\",\n get: function get() {\n return null;\n }\n }, {\n key: \"schema\",\n get: function get() {\n return null;\n }\n }]);\n\n return Mark;\n}(Extension);\n\nfunction minMax() {\n var value = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : 0;\n var min = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 0;\n var max = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : 0;\n return Math.min(Math.max(parseInt(value, 10), min), max);\n}\n\nvar Node = /*#__PURE__*/function (_Extension) {\n _inherits(Node, _Extension);\n\n var _super = _createSuper(Node);\n\n function Node() {\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, Node);\n\n return _super.call(this, options);\n }\n\n _createClass(Node, [{\n key: \"command\",\n value: function command() {\n return function () {};\n }\n }, {\n key: \"type\",\n get: function get() {\n return 'node';\n }\n }, {\n key: \"view\",\n get: function get() {\n return null;\n }\n }, {\n key: \"schema\",\n get: function get() {\n return null;\n }\n }]);\n\n return Node;\n}(Extension);\n\nvar Doc = /*#__PURE__*/function (_Node) {\n _inherits(Doc, _Node);\n\n var _super = _createSuper(Doc);\n\n function Doc() {\n _classCallCheck(this, Doc);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Doc, [{\n key: \"name\",\n get: function get() {\n return 'doc';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'block+'\n };\n }\n }]);\n\n return Doc;\n}(Node);\n\nvar Paragraph = /*#__PURE__*/function (_Node) {\n _inherits(Paragraph, _Node);\n\n var _super = _createSuper(Paragraph);\n\n function Paragraph() {\n _classCallCheck(this, Paragraph);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Paragraph, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type;\n return function () {\n return setBlockType(type);\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'paragraph';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'inline*',\n group: 'block',\n draggable: false,\n parseDOM: [{\n tag: 'p'\n }],\n toDOM: function toDOM() {\n return ['p', 0];\n }\n };\n }\n }]);\n\n return Paragraph;\n}(Node);\n\nvar Text = /*#__PURE__*/function (_Node) {\n _inherits(Text, _Node);\n\n var _super = _createSuper(Text);\n\n function Text() {\n _classCallCheck(this, Text);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Text, [{\n key: \"name\",\n get: function get() {\n return 'text';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n group: 'inline'\n };\n }\n }]);\n\n return Text;\n}(Node);\n\nvar css = \".ProseMirror {\\n position: relative;\\n}\\n\\n.ProseMirror {\\n word-wrap: break-word;\\n white-space: pre-wrap;\\n -webkit-font-variant-ligatures: none;\\n font-variant-ligatures: none;\\n}\\n\\n.ProseMirror pre {\\n white-space: pre-wrap;\\n}\\n\\n.ProseMirror-gapcursor {\\n display: none;\\n pointer-events: none;\\n position: absolute;\\n}\\n\\n.ProseMirror-gapcursor:after {\\n content: \\\"\\\";\\n display: block;\\n position: absolute;\\n top: -2px;\\n width: 20px;\\n border-top: 1px solid black;\\n animation: ProseMirror-cursor-blink 1.1s steps(2, start) infinite;\\n}\\n\\n@keyframes ProseMirror-cursor-blink {\\n to {\\n visibility: hidden;\\n }\\n}\\n\\n.ProseMirror-hideselection *::selection {\\n background: transparent;\\n}\\n\\n.ProseMirror-hideselection *::-moz-selection {\\n background: transparent;\\n}\\n\\n.ProseMirror-hideselection * {\\n caret-color: transparent;\\n}\\n\\n.ProseMirror-focused .ProseMirror-gapcursor {\\n display: block;\\n}\\n\";\n\nvar Editor = /*#__PURE__*/function (_Emitter) {\n _inherits(Editor, _Emitter);\n\n var _super = _createSuper(Editor);\n\n function Editor() {\n var _this;\n\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, Editor);\n\n _this = _super.call(this);\n _this.defaultOptions = {\n editorProps: {},\n editable: true,\n autoFocus: null,\n extensions: [],\n content: '',\n topNode: 'doc',\n emptyDocument: {\n type: 'doc',\n content: [{\n type: 'paragraph'\n }]\n },\n useBuiltInExtensions: true,\n disableInputRules: false,\n disablePasteRules: false,\n dropCursor: {},\n parseOptions: {},\n injectCSS: true,\n onInit: function onInit() {},\n onTransaction: function onTransaction() {},\n onUpdate: function onUpdate() {},\n onFocus: function onFocus() {},\n onBlur: function onBlur() {},\n onPaste: function onPaste() {},\n onDrop: function onDrop() {}\n };\n _this.events = ['init', 'transaction', 'update', 'focus', 'blur', 'paste', 'drop'];\n\n _this.init(options);\n\n return _this;\n }\n\n _createClass(Editor, [{\n key: \"init\",\n value: function init() {\n var _this2 = this;\n\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n this.setOptions(_objectSpread2({}, this.defaultOptions, {}, options));\n this.focused = false;\n this.selection = {\n from: 0,\n to: 0\n };\n this.element = document.createElement('div');\n this.extensions = this.createExtensions();\n this.nodes = this.createNodes();\n this.marks = this.createMarks();\n this.schema = this.createSchema();\n this.plugins = this.createPlugins();\n this.keymaps = this.createKeymaps();\n this.inputRules = this.createInputRules();\n this.pasteRules = this.createPasteRules();\n this.view = this.createView();\n this.commands = this.createCommands();\n this.setActiveNodesAndMarks();\n\n if (this.options.injectCSS) {\n injectCSS(css);\n }\n\n if (this.options.autoFocus !== null) {\n this.focus(this.options.autoFocus);\n }\n\n this.events.forEach(function (name) {\n _this2.on(name, _this2.options[camelCase(\"on \".concat(name))] || function () {});\n });\n this.emit('init', {\n view: this.view,\n state: this.state\n }); // give extension manager access to our view\n\n this.extensions.view = this.view;\n }\n }, {\n key: \"setOptions\",\n value: function setOptions(options) {\n this.options = _objectSpread2({}, this.options, {}, options);\n\n if (this.view && this.state) {\n this.view.updateState(this.state);\n }\n }\n }, {\n key: \"createExtensions\",\n value: function createExtensions() {\n return new ExtensionManager([].concat(_toConsumableArray(this.builtInExtensions), _toConsumableArray(this.options.extensions)), this);\n }\n }, {\n key: \"createPlugins\",\n value: function createPlugins() {\n return this.extensions.plugins;\n }\n }, {\n key: \"createKeymaps\",\n value: function createKeymaps() {\n return this.extensions.keymaps({\n schema: this.schema\n });\n }\n }, {\n key: \"createInputRules\",\n value: function createInputRules() {\n return this.extensions.inputRules({\n schema: this.schema,\n excludedExtensions: this.options.disableInputRules\n });\n }\n }, {\n key: \"createPasteRules\",\n value: function createPasteRules() {\n return this.extensions.pasteRules({\n schema: this.schema,\n excludedExtensions: this.options.disablePasteRules\n });\n }\n }, {\n key: \"createCommands\",\n value: function createCommands() {\n return this.extensions.commands({\n schema: this.schema,\n view: this.view\n });\n }\n }, {\n key: \"createNodes\",\n value: function createNodes() {\n return this.extensions.nodes;\n }\n }, {\n key: \"createMarks\",\n value: function createMarks() {\n return this.extensions.marks;\n }\n }, {\n key: \"createSchema\",\n value: function createSchema() {\n return new Schema({\n topNode: this.options.topNode,\n nodes: this.nodes,\n marks: this.marks\n });\n }\n }, {\n key: \"createState\",\n value: function createState() {\n var _this3 = this;\n\n return EditorState.create({\n schema: this.schema,\n doc: this.createDocument(this.options.content),\n plugins: [].concat(_toConsumableArray(this.plugins), [inputRules({\n rules: this.inputRules\n })], _toConsumableArray(this.pasteRules), _toConsumableArray(this.keymaps), [keymap({\n Backspace: undoInputRule\n }), keymap(baseKeymap), dropCursor(this.options.dropCursor), gapCursor(), new Plugin({\n key: new PluginKey('editable'),\n props: {\n editable: function editable() {\n return _this3.options.editable;\n }\n }\n }), new Plugin({\n props: {\n attributes: {\n tabindex: 0\n },\n handleDOMEvents: {\n focus: function focus(view, event) {\n _this3.focused = true;\n\n _this3.emit('focus', {\n event: event,\n state: view.state,\n view: view\n });\n\n var transaction = _this3.state.tr.setMeta('focused', true);\n\n _this3.view.dispatch(transaction);\n },\n blur: function blur(view, event) {\n _this3.focused = false;\n\n _this3.emit('blur', {\n event: event,\n state: view.state,\n view: view\n });\n\n var transaction = _this3.state.tr.setMeta('focused', false);\n\n _this3.view.dispatch(transaction);\n }\n }\n }\n }), new Plugin({\n props: this.options.editorProps\n })])\n });\n }\n }, {\n key: \"createDocument\",\n value: function createDocument(content) {\n var parseOptions = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : this.options.parseOptions;\n\n if (content === null) {\n return this.schema.nodeFromJSON(this.options.emptyDocument);\n }\n\n if (_typeof(content) === 'object') {\n try {\n return this.schema.nodeFromJSON(content);\n } catch (error) {\n console.warn('[tiptap warn]: Invalid content.', 'Passed value:', content, 'Error:', error);\n return this.schema.nodeFromJSON(this.options.emptyDocument);\n }\n }\n\n if (typeof content === 'string') {\n var element = document.createElement('div');\n element.innerHTML = content.trim();\n return DOMParser.fromSchema(this.schema).parse(element, parseOptions);\n }\n\n return false;\n }\n }, {\n key: \"createView\",\n value: function createView() {\n var _this4 = this;\n\n return new EditorView(this.element, {\n state: this.createState(),\n handlePaste: function handlePaste() {\n for (var _len = arguments.length, args = new Array(_len), _key = 0; _key < _len; _key++) {\n args[_key] = arguments[_key];\n }\n\n _this4.emit.apply(_this4, ['paste'].concat(args));\n },\n handleDrop: function handleDrop() {\n for (var _len2 = arguments.length, args = new Array(_len2), _key2 = 0; _key2 < _len2; _key2++) {\n args[_key2] = arguments[_key2];\n }\n\n _this4.emit.apply(_this4, ['drop'].concat(args));\n },\n dispatchTransaction: this.dispatchTransaction.bind(this)\n });\n }\n }, {\n key: \"setParentComponent\",\n value: function setParentComponent() {\n var component = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n\n if (!component) {\n return;\n }\n\n this.view.setProps({\n nodeViews: this.initNodeViews({\n parent: component,\n extensions: [].concat(_toConsumableArray(this.builtInExtensions), _toConsumableArray(this.options.extensions))\n })\n });\n }\n }, {\n key: \"initNodeViews\",\n value: function initNodeViews(_ref) {\n var _this5 = this;\n\n var parent = _ref.parent,\n extensions = _ref.extensions;\n return extensions.filter(function (extension) {\n return ['node', 'mark'].includes(extension.type);\n }).filter(function (extension) {\n return extension.view;\n }).reduce(function (nodeViews, extension) {\n var nodeView = function nodeView(node, view, getPos, decorations) {\n var component = extension.view;\n return new ComponentView(component, {\n editor: _this5,\n extension: extension,\n parent: parent,\n node: node,\n view: view,\n getPos: getPos,\n decorations: decorations\n });\n };\n\n return _objectSpread2({}, nodeViews, _defineProperty({}, extension.name, nodeView));\n }, {});\n }\n }, {\n key: \"dispatchTransaction\",\n value: function dispatchTransaction(transaction) {\n var newState = this.state.apply(transaction);\n this.view.updateState(newState);\n this.selection = {\n from: this.state.selection.from,\n to: this.state.selection.to\n };\n this.setActiveNodesAndMarks();\n this.emit('transaction', {\n getHTML: this.getHTML.bind(this),\n getJSON: this.getJSON.bind(this),\n state: this.state,\n transaction: transaction\n });\n\n if (!transaction.docChanged || transaction.getMeta('preventUpdate')) {\n return;\n }\n\n this.emitUpdate(transaction);\n }\n }, {\n key: \"emitUpdate\",\n value: function emitUpdate(transaction) {\n this.emit('update', {\n getHTML: this.getHTML.bind(this),\n getJSON: this.getJSON.bind(this),\n state: this.state,\n transaction: transaction\n });\n }\n }, {\n key: \"resolveSelection\",\n value: function resolveSelection() {\n var position = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n\n if (this.selection && position === null) {\n return this.selection;\n }\n\n if (position === 'start' || position === true) {\n return {\n from: 0,\n to: 0\n };\n }\n\n if (position === 'end') {\n var doc = this.state.doc;\n return {\n from: doc.content.size,\n to: doc.content.size\n };\n }\n\n return {\n from: position,\n to: position\n };\n }\n }, {\n key: \"focus\",\n value: function focus() {\n var _this6 = this;\n\n var position = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n\n if (this.view.focused && position === null || position === false) {\n return;\n }\n\n var _this$resolveSelectio = this.resolveSelection(position),\n from = _this$resolveSelectio.from,\n to = _this$resolveSelectio.to;\n\n this.setSelection(from, to);\n setTimeout(function () {\n return _this6.view.focus();\n }, 10);\n }\n }, {\n key: \"setSelection\",\n value: function setSelection() {\n var from = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : 0;\n var to = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 0;\n var _this$state = this.state,\n doc = _this$state.doc,\n tr = _this$state.tr;\n var resolvedFrom = minMax(from, 0, doc.content.size);\n var resolvedEnd = minMax(to, 0, doc.content.size);\n var selection = TextSelection.create(doc, resolvedFrom, resolvedEnd);\n var transaction = tr.setSelection(selection);\n this.view.dispatch(transaction);\n }\n }, {\n key: \"blur\",\n value: function blur() {\n this.view.dom.blur();\n }\n }, {\n key: \"getSchemaJSON\",\n value: function getSchemaJSON() {\n return JSON.parse(JSON.stringify({\n nodes: this.extensions.nodes,\n marks: this.extensions.marks\n }));\n }\n }, {\n key: \"getHTML\",\n value: function getHTML() {\n var div = document.createElement('div');\n var fragment = DOMSerializer.fromSchema(this.schema).serializeFragment(this.state.doc.content);\n div.appendChild(fragment);\n return div.innerHTML;\n }\n }, {\n key: \"getJSON\",\n value: function getJSON() {\n return this.state.doc.toJSON();\n }\n }, {\n key: \"setContent\",\n value: function setContent() {\n var content = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n var emitUpdate = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n var parseOptions = arguments.length > 2 ? arguments[2] : undefined;\n var _this$state2 = this.state,\n doc = _this$state2.doc,\n tr = _this$state2.tr;\n var document = this.createDocument(content, parseOptions);\n var selection = TextSelection.create(doc, 0, doc.content.size);\n var transaction = tr.setSelection(selection).replaceSelectionWith(document, false).setMeta('preventUpdate', !emitUpdate);\n this.view.dispatch(transaction);\n }\n }, {\n key: \"clearContent\",\n value: function clearContent() {\n var emitUpdate = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : false;\n this.setContent(this.options.emptyDocument, emitUpdate);\n }\n }, {\n key: \"setActiveNodesAndMarks\",\n value: function setActiveNodesAndMarks() {\n var _this7 = this;\n\n this.activeMarks = Object.entries(this.schema.marks).reduce(function (marks, _ref2) {\n var _ref3 = _slicedToArray(_ref2, 2),\n name = _ref3[0],\n mark = _ref3[1];\n\n return _objectSpread2({}, marks, _defineProperty({}, name, function () {\n var attrs = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n return markIsActive(_this7.state, mark, attrs);\n }));\n }, {});\n this.activeMarkAttrs = Object.entries(this.schema.marks).reduce(function (marks, _ref4) {\n var _ref5 = _slicedToArray(_ref4, 2),\n name = _ref5[0],\n mark = _ref5[1];\n\n return _objectSpread2({}, marks, _defineProperty({}, name, getMarkAttrs(_this7.state, mark)));\n }, {});\n this.activeNodes = Object.entries(this.schema.nodes).reduce(function (nodes, _ref6) {\n var _ref7 = _slicedToArray(_ref6, 2),\n name = _ref7[0],\n node = _ref7[1];\n\n return _objectSpread2({}, nodes, _defineProperty({}, name, function () {\n var attrs = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n return nodeIsActive(_this7.state, node, attrs);\n }));\n }, {});\n }\n }, {\n key: \"getMarkAttrs\",\n value: function getMarkAttrs() {\n var type = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n return this.activeMarkAttrs[type];\n }\n }, {\n key: \"getNodeAttrs\",\n value: function getNodeAttrs$1() {\n var type = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n return _objectSpread2({}, getNodeAttrs(this.state, this.schema.nodes[type]));\n }\n }, {\n key: \"registerPlugin\",\n value: function registerPlugin() {\n var plugin = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n var handlePlugins = arguments.length > 1 ? arguments[1] : undefined;\n var plugins = typeof handlePlugins === 'function' ? handlePlugins(plugin, this.state.plugins) : [plugin].concat(_toConsumableArray(this.state.plugins));\n var newState = this.state.reconfigure({\n plugins: plugins\n });\n this.view.updateState(newState);\n }\n }, {\n key: \"unregisterPlugin\",\n value: function unregisterPlugin() {\n var name = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n\n if (!name || !this.view.docView) {\n return;\n }\n\n var newState = this.state.reconfigure({\n plugins: this.state.plugins.filter(function (plugin) {\n return !plugin.key.startsWith(\"\".concat(name, \"$\"));\n })\n });\n this.view.updateState(newState);\n }\n }, {\n key: \"destroy\",\n value: function destroy() {\n if (!this.view) {\n return;\n }\n\n this.view.destroy();\n }\n }, {\n key: \"builtInExtensions\",\n get: function get() {\n if (!this.options.useBuiltInExtensions) {\n return [];\n }\n\n return [new Doc(), new Text(), new Paragraph()];\n }\n }, {\n key: \"state\",\n get: function get() {\n return this.view ? this.view.state : null;\n }\n }, {\n key: \"isActive\",\n get: function get() {\n return Object.entries(_objectSpread2({}, this.activeMarks, {}, this.activeNodes)).reduce(function (types, _ref8) {\n var _ref9 = _slicedToArray(_ref8, 2),\n name = _ref9[0],\n value = _ref9[1];\n\n return _objectSpread2({}, types, _defineProperty({}, name, function () {\n var attrs = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n return value(attrs);\n }));\n }, {});\n }\n }]);\n\n return Editor;\n}(Emitter);\n\nvar EditorContent = {\n props: {\n editor: {\n default: null,\n type: Object\n }\n },\n watch: {\n editor: {\n immediate: true,\n handler: function handler(editor) {\n var _this = this;\n\n if (editor && editor.element) {\n this.$nextTick(function () {\n _this.$el.appendChild(editor.element.firstChild);\n\n editor.setParentComponent(_this);\n });\n }\n }\n }\n },\n render: function render(createElement) {\n return createElement('div');\n },\n beforeDestroy: function beforeDestroy() {\n this.editor.element = this.$el;\n }\n};\n\nvar Menu = /*#__PURE__*/function () {\n function Menu(_ref) {\n var _this = this;\n\n var options = _ref.options;\n\n _classCallCheck(this, Menu);\n\n this.options = options;\n this.preventHide = false; // the mousedown event is fired before blur so we can prevent it\n\n this.mousedownHandler = this.handleClick.bind(this);\n this.options.element.addEventListener('mousedown', this.mousedownHandler, {\n capture: true\n });\n\n this.blurHandler = function () {\n if (_this.preventHide) {\n _this.preventHide = false;\n return;\n }\n\n _this.options.editor.emit('menubar:focusUpdate', false);\n };\n\n this.options.editor.on('blur', this.blurHandler);\n }\n\n _createClass(Menu, [{\n key: \"handleClick\",\n value: function handleClick() {\n this.preventHide = true;\n }\n }, {\n key: \"destroy\",\n value: function destroy() {\n this.options.element.removeEventListener('mousedown', this.mousedownHandler);\n this.options.editor.off('blur', this.blurHandler);\n }\n }]);\n\n return Menu;\n}();\n\nfunction MenuBar (options) {\n return new Plugin({\n key: new PluginKey('menu_bar'),\n view: function view(editorView) {\n return new Menu({\n editorView: editorView,\n options: options\n });\n }\n });\n}\n\nvar EditorMenuBar = {\n props: {\n editor: {\n default: null,\n type: Object\n }\n },\n data: function data() {\n return {\n focused: false\n };\n },\n watch: {\n editor: {\n immediate: true,\n handler: function handler(editor) {\n var _this = this;\n\n if (editor) {\n this.$nextTick(function () {\n editor.registerPlugin(MenuBar({\n editor: editor,\n element: _this.$el\n }));\n _this.focused = editor.focused;\n editor.on('focus', function () {\n _this.focused = true;\n });\n editor.on('menubar:focusUpdate', function (focused) {\n _this.focused = focused;\n });\n });\n }\n }\n }\n },\n render: function render() {\n if (!this.editor) {\n return null;\n }\n\n return this.$scopedSlots.default({\n focused: this.focused,\n focus: this.editor.focus,\n commands: this.editor.commands,\n isActive: this.editor.isActive,\n getMarkAttrs: this.editor.getMarkAttrs.bind(this.editor),\n getNodeAttrs: this.editor.getNodeAttrs.bind(this.editor)\n });\n }\n};\n\nfunction textRange(node, from, to) {\n var range = document.createRange();\n range.setEnd(node, to == null ? node.nodeValue.length : to);\n range.setStart(node, from || 0);\n return range;\n}\n\nfunction singleRect(object, bias) {\n var rects = object.getClientRects();\n return !rects.length ? object.getBoundingClientRect() : rects[bias < 0 ? 0 : rects.length - 1];\n}\n\nfunction coordsAtPos(view, pos) {\n var end = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : false;\n\n var _view$docView$domFrom = view.docView.domFromPos(pos),\n node = _view$docView$domFrom.node,\n offset = _view$docView$domFrom.offset;\n\n var side;\n var rect;\n\n if (node.nodeType === 3) {\n if (end && offset < node.nodeValue.length) {\n rect = singleRect(textRange(node, offset - 1, offset), -1);\n side = 'right';\n } else if (offset < node.nodeValue.length) {\n rect = singleRect(textRange(node, offset, offset + 1), -1);\n side = 'left';\n }\n } else if (node.firstChild) {\n if (offset < node.childNodes.length) {\n var child = node.childNodes[offset];\n rect = singleRect(child.nodeType === 3 ? textRange(child) : child, -1);\n side = 'left';\n }\n\n if ((!rect || rect.top === rect.bottom) && offset) {\n var _child = node.childNodes[offset - 1];\n rect = singleRect(_child.nodeType === 3 ? textRange(_child) : _child, 1);\n side = 'right';\n }\n } else {\n rect = node.getBoundingClientRect();\n side = 'left';\n }\n\n var x = rect[side];\n return {\n top: rect.top,\n bottom: rect.bottom,\n left: x,\n right: x\n };\n}\n\nvar Menu$1 = /*#__PURE__*/function () {\n function Menu(_ref) {\n var _this = this;\n\n var options = _ref.options,\n editorView = _ref.editorView;\n\n _classCallCheck(this, Menu);\n\n this.options = _objectSpread2({}, {\n element: null,\n keepInBounds: true,\n onUpdate: function onUpdate() {\n return false;\n }\n }, {}, options);\n this.editorView = editorView;\n this.isActive = false;\n this.left = 0;\n this.bottom = 0;\n this.top = 0;\n this.preventHide = false; // the mousedown event is fired before blur so we can prevent it\n\n this.mousedownHandler = this.handleClick.bind(this);\n this.options.element.addEventListener('mousedown', this.mousedownHandler, {\n capture: true\n });\n\n this.focusHandler = function (_ref2) {\n var view = _ref2.view;\n\n _this.update(view);\n };\n\n this.options.editor.on('focus', this.focusHandler);\n\n this.blurHandler = function (_ref3) {\n var event = _ref3.event;\n\n if (_this.preventHide) {\n _this.preventHide = false;\n return;\n }\n\n _this.hide(event);\n };\n\n this.options.editor.on('blur', this.blurHandler);\n }\n\n _createClass(Menu, [{\n key: \"handleClick\",\n value: function handleClick() {\n this.preventHide = true;\n }\n }, {\n key: \"update\",\n value: function update(view, lastState) {\n var state = view.state;\n\n if (view.composing) {\n return;\n } // Don't do anything if the document/selection didn't change\n\n\n if (lastState && lastState.doc.eq(state.doc) && lastState.selection.eq(state.selection)) {\n return;\n } // Hide the tooltip if the selection is empty\n\n\n if (state.selection.empty) {\n this.hide();\n return;\n } // Otherwise, reposition it and update its content\n\n\n var _state$selection = state.selection,\n from = _state$selection.from,\n to = _state$selection.to; // These are in screen coordinates\n // We can't use EditorView.cordsAtPos here because it can't handle linebreaks correctly\n // See: https://github.com/ProseMirror/prosemirror-view/pull/47\n\n var start = coordsAtPos(view, from);\n var end = coordsAtPos(view, to, true); // The box in which the tooltip is positioned, to use as base\n\n var parent = this.options.element.offsetParent;\n\n if (!parent) {\n this.hide();\n return;\n }\n\n var box = parent.getBoundingClientRect();\n var el = this.options.element.getBoundingClientRect(); // Find a center-ish x position from the selection endpoints (when\n // crossing lines, end may be more to the left)\n\n var left = (start.left + end.left) / 2 - box.left; // Keep the menuBubble in the bounding box of the offsetParent i\n\n this.left = Math.round(this.options.keepInBounds ? Math.min(box.width - el.width / 2, Math.max(left, el.width / 2)) : left);\n this.bottom = Math.round(box.bottom - start.top);\n this.top = Math.round(end.bottom - box.top);\n this.isActive = true;\n this.sendUpdate();\n }\n }, {\n key: \"sendUpdate\",\n value: function sendUpdate() {\n this.options.onUpdate({\n isActive: this.isActive,\n left: this.left,\n bottom: this.bottom,\n top: this.top\n });\n }\n }, {\n key: \"hide\",\n value: function hide(event) {\n if (event && event.relatedTarget && this.options.element.parentNode && this.options.element.parentNode.contains(event.relatedTarget)) {\n return;\n }\n\n this.isActive = false;\n this.sendUpdate();\n }\n }, {\n key: \"destroy\",\n value: function destroy() {\n this.options.element.removeEventListener('mousedown', this.mousedownHandler);\n this.options.editor.off('focus', this.focusHandler);\n this.options.editor.off('blur', this.blurHandler);\n }\n }]);\n\n return Menu;\n}();\n\nfunction MenuBubble (options) {\n return new Plugin({\n key: new PluginKey('menu_bubble'),\n view: function view(editorView) {\n return new Menu$1({\n editorView: editorView,\n options: options\n });\n }\n });\n}\n\nvar EditorMenuBubble = {\n props: {\n editor: {\n default: null,\n type: Object\n },\n keepInBounds: {\n default: true,\n type: Boolean\n }\n },\n data: function data() {\n return {\n menu: {\n isActive: false,\n left: 0,\n bottom: 0\n }\n };\n },\n watch: {\n editor: {\n immediate: true,\n handler: function handler(editor) {\n var _this = this;\n\n if (editor) {\n this.$nextTick(function () {\n editor.registerPlugin(MenuBubble({\n editor: editor,\n element: _this.$el,\n keepInBounds: _this.keepInBounds,\n onUpdate: function onUpdate(menu) {\n // the second check ensures event is fired only once\n if (menu.isActive && _this.menu.isActive === false) {\n _this.$emit('show', menu);\n } else if (!menu.isActive && _this.menu.isActive === true) {\n _this.$emit('hide', menu);\n }\n\n _this.menu = menu;\n }\n }));\n });\n }\n }\n }\n },\n render: function render() {\n if (!this.editor) {\n return null;\n }\n\n return this.$scopedSlots.default({\n focused: this.editor.view.focused,\n focus: this.editor.focus,\n commands: this.editor.commands,\n isActive: this.editor.isActive,\n getMarkAttrs: this.editor.getMarkAttrs.bind(this.editor),\n getNodeAttrs: this.editor.getNodeAttrs.bind(this.editor),\n menu: this.menu\n });\n },\n beforeDestroy: function beforeDestroy() {\n this.editor.unregisterPlugin('menu_bubble');\n }\n};\n\nvar Menu$2 = /*#__PURE__*/function () {\n function Menu(_ref) {\n var _this = this;\n\n var options = _ref.options,\n editorView = _ref.editorView;\n\n _classCallCheck(this, Menu);\n\n this.options = _objectSpread2({}, {\n resizeObserver: true,\n element: null,\n onUpdate: function onUpdate() {\n return false;\n }\n }, {}, options);\n this.preventHide = false;\n this.editorView = editorView;\n this.isActive = false;\n this.top = 0; // the mousedown event is fired before blur so we can prevent it\n\n this.mousedownHandler = this.handleClick.bind(this);\n this.options.element.addEventListener('mousedown', this.mousedownHandler, {\n capture: true\n });\n\n this.focusHandler = function (_ref2) {\n var view = _ref2.view;\n\n _this.update(view);\n };\n\n this.options.editor.on('focus', this.focusHandler);\n\n this.blurHandler = function (_ref3) {\n var event = _ref3.event;\n\n if (_this.preventHide) {\n _this.preventHide = false;\n return;\n }\n\n _this.hide(event);\n };\n\n this.options.editor.on('blur', this.blurHandler); // sometimes we have to update the position\n // because of a loaded images for example\n\n if (this.options.resizeObserver && window.ResizeObserver) {\n this.resizeObserver = new ResizeObserver(function () {\n if (_this.isActive) {\n _this.update(_this.editorView);\n }\n });\n this.resizeObserver.observe(this.editorView.dom);\n }\n }\n\n _createClass(Menu, [{\n key: \"handleClick\",\n value: function handleClick() {\n this.preventHide = true;\n }\n }, {\n key: \"update\",\n value: function update(view, lastState) {\n var state = view.state; // Don't do anything if the document/selection didn't change\n\n if (lastState && lastState.doc.eq(state.doc) && lastState.selection.eq(state.selection)) {\n return;\n }\n\n if (!state.selection.empty) {\n this.hide();\n return;\n }\n\n var currentDom = view.domAtPos(state.selection.anchor);\n var isActive = currentDom.node.innerHTML === '<br>' && currentDom.node.tagName === 'P' && currentDom.node.parentNode === view.dom;\n\n if (!isActive) {\n this.hide();\n return;\n }\n\n var parent = this.options.element.offsetParent;\n\n if (!parent) {\n this.hide();\n return;\n }\n\n var editorBoundings = parent.getBoundingClientRect();\n var cursorBoundings = view.coordsAtPos(state.selection.anchor);\n var top = cursorBoundings.top - editorBoundings.top;\n this.isActive = true;\n this.top = top;\n this.sendUpdate();\n }\n }, {\n key: \"sendUpdate\",\n value: function sendUpdate() {\n this.options.onUpdate({\n isActive: this.isActive,\n top: this.top\n });\n }\n }, {\n key: \"hide\",\n value: function hide(event) {\n if (event && event.relatedTarget && this.options.element.parentNode && this.options.element.parentNode.contains(event.relatedTarget)) {\n return;\n }\n\n this.isActive = false;\n this.sendUpdate();\n }\n }, {\n key: \"destroy\",\n value: function destroy() {\n this.options.element.removeEventListener('mousedown', this.mousedownHandler);\n\n if (this.resizeObserver) {\n this.resizeObserver.unobserve(this.editorView.dom);\n }\n\n this.options.editor.off('focus', this.focusHandler);\n this.options.editor.off('blur', this.blurHandler);\n }\n }]);\n\n return Menu;\n}();\n\nfunction FloatingMenu (options) {\n return new Plugin({\n key: new PluginKey('floating_menu'),\n view: function view(editorView) {\n return new Menu$2({\n editorView: editorView,\n options: options\n });\n }\n });\n}\n\nvar EditorFloatingMenu = {\n props: {\n editor: {\n default: null,\n type: Object\n }\n },\n data: function data() {\n return {\n menu: {\n isActive: false,\n left: 0,\n bottom: 0\n }\n };\n },\n watch: {\n editor: {\n immediate: true,\n handler: function handler(editor) {\n var _this = this;\n\n if (editor) {\n this.$nextTick(function () {\n editor.registerPlugin(FloatingMenu({\n editor: editor,\n element: _this.$el,\n onUpdate: function onUpdate(menu) {\n // the second check ensures event is fired only once\n if (menu.isActive && _this.menu.isActive === false) {\n _this.$emit('show', menu);\n } else if (!menu.isActive && _this.menu.isActive === true) {\n _this.$emit('hide', menu);\n }\n\n _this.menu = menu;\n }\n }));\n });\n }\n }\n }\n },\n render: function render() {\n if (!this.editor) {\n return null;\n }\n\n return this.$scopedSlots.default({\n focused: this.editor.view.focused,\n focus: this.editor.focus,\n commands: this.editor.commands,\n isActive: this.editor.isActive,\n getMarkAttrs: this.editor.getMarkAttrs.bind(this.editor),\n getNodeAttrs: this.editor.getNodeAttrs.bind(this.editor),\n menu: this.menu\n });\n },\n beforeDestroy: function beforeDestroy() {\n this.editor.unregisterPlugin('floating_menu');\n }\n};\n\nexport { Doc, Editor, EditorContent, EditorFloatingMenu, EditorMenuBar, EditorMenuBubble, Extension, Mark, Node, Paragraph, Text };\n","import { ReplaceError, Slice, Fragment, MarkType } from 'prosemirror-model';\n\n// Mappable:: interface\n// There are several things that positions can be mapped through.\n// Such objects conform to this interface.\n//\n// map:: (pos: number, assoc: ?number) → number\n// Map a position through this object. When given, `assoc` (should\n// be -1 or 1, defaults to 1) determines with which side the\n// position is associated, which determines in which direction to\n// move when a chunk of content is inserted at the mapped position.\n//\n// mapResult:: (pos: number, assoc: ?number) → MapResult\n// Map a position, and return an object containing additional\n// information about the mapping. The result's `deleted` field tells\n// you whether the position was deleted (completely enclosed in a\n// replaced range) during the mapping. When content on only one side\n// is deleted, the position itself is only considered deleted when\n// `assoc` points in the direction of the deleted content.\n\n// Recovery values encode a range index and an offset. They are\n// represented as numbers, because tons of them will be created when\n// mapping, for example, a large number of decorations. The number's\n// lower 16 bits provide the index, the remaining bits the offset.\n//\n// Note: We intentionally don't use bit shift operators to en- and\n// decode these, since those clip to 32 bits, which we might in rare\n// cases want to overflow. A 64-bit float can represent 48-bit\n// integers precisely.\n\nvar lower16 = 0xffff;\nvar factor16 = Math.pow(2, 16);\n\nfunction makeRecover(index, offset) { return index + offset * factor16 }\nfunction recoverIndex(value) { return value & lower16 }\nfunction recoverOffset(value) { return (value - (value & lower16)) / factor16 }\n\n// ::- An object representing a mapped position with extra\n// information.\nvar MapResult = function MapResult(pos, deleted, recover) {\n if ( deleted === void 0 ) deleted = false;\n if ( recover === void 0 ) recover = null;\n\n // :: number The mapped version of the position.\n this.pos = pos;\n // :: bool Tells you whether the position was deleted, that is,\n // whether the step removed its surroundings from the document.\n this.deleted = deleted;\n this.recover = recover;\n};\n\n// :: class extends Mappable\n// A map describing the deletions and insertions made by a step, which\n// can be used to find the correspondence between positions in the\n// pre-step version of a document and the same position in the\n// post-step version.\nvar StepMap = function StepMap(ranges, inverted) {\n if ( inverted === void 0 ) inverted = false;\n\n this.ranges = ranges;\n this.inverted = inverted;\n};\n\nStepMap.prototype.recover = function recover (value) {\n var diff = 0, index = recoverIndex(value);\n if (!this.inverted) { for (var i = 0; i < index; i++)\n { diff += this.ranges[i * 3 + 2] - this.ranges[i * 3 + 1]; } }\n return this.ranges[index * 3] + diff + recoverOffset(value)\n};\n\n// : (number, ?number) → MapResult\nStepMap.prototype.mapResult = function mapResult (pos, assoc) {\n if ( assoc === void 0 ) assoc = 1;\n return this._map(pos, assoc, false) };\n\n// : (number, ?number) → number\nStepMap.prototype.map = function map (pos, assoc) {\n if ( assoc === void 0 ) assoc = 1;\n return this._map(pos, assoc, true) };\n\nStepMap.prototype._map = function _map (pos, assoc, simple) {\n var diff = 0, oldIndex = this.inverted ? 2 : 1, newIndex = this.inverted ? 1 : 2;\n for (var i = 0; i < this.ranges.length; i += 3) {\n var start = this.ranges[i] - (this.inverted ? diff : 0);\n if (start > pos) { break }\n var oldSize = this.ranges[i + oldIndex], newSize = this.ranges[i + newIndex], end = start + oldSize;\n if (pos <= end) {\n var side = !oldSize ? assoc : pos == start ? -1 : pos == end ? 1 : assoc;\n var result = start + diff + (side < 0 ? 0 : newSize);\n if (simple) { return result }\n var recover = pos == (assoc < 0 ? start : end) ? null : makeRecover(i / 3, pos - start);\n return new MapResult(result, assoc < 0 ? pos != start : pos != end, recover)\n }\n diff += newSize - oldSize;\n }\n return simple ? pos + diff : new MapResult(pos + diff)\n};\n\nStepMap.prototype.touches = function touches (pos, recover) {\n var diff = 0, index = recoverIndex(recover);\n var oldIndex = this.inverted ? 2 : 1, newIndex = this.inverted ? 1 : 2;\n for (var i = 0; i < this.ranges.length; i += 3) {\n var start = this.ranges[i] - (this.inverted ? diff : 0);\n if (start > pos) { break }\n var oldSize = this.ranges[i + oldIndex], end = start + oldSize;\n if (pos <= end && i == index * 3) { return true }\n diff += this.ranges[i + newIndex] - oldSize;\n }\n return false\n};\n\n// :: ((oldStart: number, oldEnd: number, newStart: number, newEnd: number))\n// Calls the given function on each of the changed ranges included in\n// this map.\nStepMap.prototype.forEach = function forEach (f) {\n var oldIndex = this.inverted ? 2 : 1, newIndex = this.inverted ? 1 : 2;\n for (var i = 0, diff = 0; i < this.ranges.length; i += 3) {\n var start = this.ranges[i], oldStart = start - (this.inverted ? diff : 0), newStart = start + (this.inverted ? 0 : diff);\n var oldSize = this.ranges[i + oldIndex], newSize = this.ranges[i + newIndex];\n f(oldStart, oldStart + oldSize, newStart, newStart + newSize);\n diff += newSize - oldSize;\n }\n};\n\n// :: () → StepMap\n// Create an inverted version of this map. The result can be used to\n// map positions in the post-step document to the pre-step document.\nStepMap.prototype.invert = function invert () {\n return new StepMap(this.ranges, !this.inverted)\n};\n\nStepMap.prototype.toString = function toString () {\n return (this.inverted ? \"-\" : \"\") + JSON.stringify(this.ranges)\n};\n\n// :: (n: number) → StepMap\n// Create a map that moves all positions by offset `n` (which may be\n// negative). This can be useful when applying steps meant for a\n// sub-document to a larger document, or vice-versa.\nStepMap.offset = function offset (n) {\n return n == 0 ? StepMap.empty : new StepMap(n < 0 ? [0, -n, 0] : [0, 0, n])\n};\n\nStepMap.empty = new StepMap([]);\n\n// :: class extends Mappable\n// A mapping represents a pipeline of zero or more [step\n// maps](#transform.StepMap). It has special provisions for losslessly\n// handling mapping positions through a series of steps in which some\n// steps are inverted versions of earlier steps. (This comes up when\n// ‘[rebasing](/docs/guide/#transform.rebasing)’ steps for\n// collaboration or history management.)\nvar Mapping = function Mapping(maps, mirror, from, to) {\n // :: [StepMap]\n // The step maps in this mapping.\n this.maps = maps || [];\n // :: number\n // The starting position in the `maps` array, used when `map` or\n // `mapResult` is called.\n this.from = from || 0;\n // :: number\n // The end position in the `maps` array.\n this.to = to == null ? this.maps.length : to;\n this.mirror = mirror;\n};\n\n// :: (?number, ?number) → Mapping\n// Create a mapping that maps only through a part of this one.\nMapping.prototype.slice = function slice (from, to) {\n if ( from === void 0 ) from = 0;\n if ( to === void 0 ) to = this.maps.length;\n\n return new Mapping(this.maps, this.mirror, from, to)\n};\n\nMapping.prototype.copy = function copy () {\n return new Mapping(this.maps.slice(), this.mirror && this.mirror.slice(), this.from, this.to)\n};\n\n// :: (StepMap, ?number)\n// Add a step map to the end of this mapping. If `mirrors` is\n// given, it should be the index of the step map that is the mirror\n// image of this one.\nMapping.prototype.appendMap = function appendMap (map, mirrors) {\n this.to = this.maps.push(map);\n if (mirrors != null) { this.setMirror(this.maps.length - 1, mirrors); }\n};\n\n// :: (Mapping)\n// Add all the step maps in a given mapping to this one (preserving\n// mirroring information).\nMapping.prototype.appendMapping = function appendMapping (mapping) {\n for (var i = 0, startSize = this.maps.length; i < mapping.maps.length; i++) {\n var mirr = mapping.getMirror(i);\n this.appendMap(mapping.maps[i], mirr != null && mirr < i ? startSize + mirr : null);\n }\n};\n\n// :: (number) → ?number\n// Finds the offset of the step map that mirrors the map at the\n// given offset, in this mapping (as per the second argument to\n// `appendMap`).\nMapping.prototype.getMirror = function getMirror (n) {\n if (this.mirror) { for (var i = 0; i < this.mirror.length; i++)\n { if (this.mirror[i] == n) { return this.mirror[i + (i % 2 ? -1 : 1)] } } }\n};\n\nMapping.prototype.setMirror = function setMirror (n, m) {\n if (!this.mirror) { this.mirror = []; }\n this.mirror.push(n, m);\n};\n\n// :: (Mapping)\n// Append the inverse of the given mapping to this one.\nMapping.prototype.appendMappingInverted = function appendMappingInverted (mapping) {\n for (var i = mapping.maps.length - 1, totalSize = this.maps.length + mapping.maps.length; i >= 0; i--) {\n var mirr = mapping.getMirror(i);\n this.appendMap(mapping.maps[i].invert(), mirr != null && mirr > i ? totalSize - mirr - 1 : null);\n }\n};\n\n// :: () → Mapping\n// Create an inverted version of this mapping.\nMapping.prototype.invert = function invert () {\n var inverse = new Mapping;\n inverse.appendMappingInverted(this);\n return inverse\n};\n\n// : (number, ?number) → number\n// Map a position through this mapping.\nMapping.prototype.map = function map (pos, assoc) {\n if ( assoc === void 0 ) assoc = 1;\n\n if (this.mirror) { return this._map(pos, assoc, true) }\n for (var i = this.from; i < this.to; i++)\n { pos = this.maps[i].map(pos, assoc); }\n return pos\n};\n\n// : (number, ?number) → MapResult\n// Map a position through this mapping, returning a mapping\n// result.\nMapping.prototype.mapResult = function mapResult (pos, assoc) {\n if ( assoc === void 0 ) assoc = 1;\n return this._map(pos, assoc, false) };\n\nMapping.prototype._map = function _map (pos, assoc, simple) {\n var deleted = false;\n\n for (var i = this.from; i < this.to; i++) {\n var map = this.maps[i], result = map.mapResult(pos, assoc);\n if (result.recover != null) {\n var corr = this.getMirror(i);\n if (corr != null && corr > i && corr < this.to) {\n i = corr;\n pos = this.maps[corr].recover(result.recover);\n continue\n }\n }\n\n if (result.deleted) { deleted = true; }\n pos = result.pos;\n }\n\n return simple ? pos : new MapResult(pos, deleted)\n};\n\nfunction TransformError(message) {\n var err = Error.call(this, message);\n err.__proto__ = TransformError.prototype;\n return err\n}\n\nTransformError.prototype = Object.create(Error.prototype);\nTransformError.prototype.constructor = TransformError;\nTransformError.prototype.name = \"TransformError\";\n\n// ::- Abstraction to build up and track an array of\n// [steps](#transform.Step) representing a document transformation.\n//\n// Most transforming methods return the `Transform` object itself, so\n// that they can be chained.\nvar Transform = function Transform(doc) {\n // :: Node\n // The current document (the result of applying the steps in the\n // transform).\n this.doc = doc;\n // :: [Step]\n // The steps in this transform.\n this.steps = [];\n // :: [Node]\n // The documents before each of the steps.\n this.docs = [];\n // :: Mapping\n // A mapping with the maps for each of the steps in this transform.\n this.mapping = new Mapping;\n};\n\nvar prototypeAccessors = { before: { configurable: true },docChanged: { configurable: true } };\n\n// :: Node The starting document.\nprototypeAccessors.before.get = function () { return this.docs.length ? this.docs[0] : this.doc };\n\n// :: (step: Step) → this\n// Apply a new step in this transform, saving the result. Throws an\n// error when the step fails.\nTransform.prototype.step = function step (object) {\n var result = this.maybeStep(object);\n if (result.failed) { throw new TransformError(result.failed) }\n return this\n};\n\n// :: (Step) → StepResult\n// Try to apply a step in this transformation, ignoring it if it\n// fails. Returns the step result.\nTransform.prototype.maybeStep = function maybeStep (step) {\n var result = step.apply(this.doc);\n if (!result.failed) { this.addStep(step, result.doc); }\n return result\n};\n\n// :: bool\n// True when the document has been changed (when there are any\n// steps).\nprototypeAccessors.docChanged.get = function () {\n return this.steps.length > 0\n};\n\nTransform.prototype.addStep = function addStep (step, doc) {\n this.docs.push(this.doc);\n this.steps.push(step);\n this.mapping.appendMap(step.getMap());\n this.doc = doc;\n};\n\nObject.defineProperties( Transform.prototype, prototypeAccessors );\n\nfunction mustOverride() { throw new Error(\"Override me\") }\n\nvar stepsByID = Object.create(null);\n\n// ::- A step object represents an atomic change. It generally applies\n// only to the document it was created for, since the positions\n// stored in it will only make sense for that document.\n//\n// New steps are defined by creating classes that extend `Step`,\n// overriding the `apply`, `invert`, `map`, `getMap` and `fromJSON`\n// methods, and registering your class with a unique\n// JSON-serialization identifier using\n// [`Step.jsonID`](#transform.Step^jsonID).\nvar Step = function Step () {};\n\nStep.prototype.apply = function apply (_doc) { return mustOverride() };\n\n// :: () → StepMap\n// Get the step map that represents the changes made by this step,\n// and which can be used to transform between positions in the old\n// and the new document.\nStep.prototype.getMap = function getMap () { return StepMap.empty };\n\n// :: (doc: Node) → Step\n// Create an inverted version of this step. Needs the document as it\n// was before the step as argument.\nStep.prototype.invert = function invert (_doc) { return mustOverride() };\n\n// :: (mapping: Mappable) → ?Step\n// Map this step through a mappable thing, returning either a\n// version of that step with its positions adjusted, or `null` if\n// the step was entirely deleted by the mapping.\nStep.prototype.map = function map (_mapping) { return mustOverride() };\n\n// :: (other: Step) → ?Step\n// Try to merge this step with another one, to be applied directly\n// after it. Returns the merged step when possible, null if the\n// steps can't be merged.\nStep.prototype.merge = function merge (_other) { return null };\n\n// :: () → Object\n// Create a JSON-serializeable representation of this step. When\n// defining this for a custom subclass, make sure the result object\n// includes the step type's [JSON id](#transform.Step^jsonID) under\n// the `stepType` property.\nStep.prototype.toJSON = function toJSON () { return mustOverride() };\n\n// :: (Schema, Object) → Step\n// Deserialize a step from its JSON representation. Will call\n// through to the step class' own implementation of this method.\nStep.fromJSON = function fromJSON (schema, json) {\n if (!json || !json.stepType) { throw new RangeError(\"Invalid input for Step.fromJSON\") }\n var type = stepsByID[json.stepType];\n if (!type) { throw new RangeError((\"No step type \" + (json.stepType) + \" defined\")) }\n return type.fromJSON(schema, json)\n};\n\n// :: (string, constructor<Step>)\n// To be able to serialize steps to JSON, each step needs a string\n// ID to attach to its JSON representation. Use this method to\n// register an ID for your step classes. Try to pick something\n// that's unlikely to clash with steps from other modules.\nStep.jsonID = function jsonID (id, stepClass) {\n if (id in stepsByID) { throw new RangeError(\"Duplicate use of step JSON ID \" + id) }\n stepsByID[id] = stepClass;\n stepClass.prototype.jsonID = id;\n return stepClass\n};\n\n// ::- The result of [applying](#transform.Step.apply) a step. Contains either a\n// new document or a failure value.\nvar StepResult = function StepResult(doc, failed) {\n // :: ?Node The transformed document.\n this.doc = doc;\n // :: ?string Text providing information about a failed step.\n this.failed = failed;\n};\n\n// :: (Node) → StepResult\n// Create a successful step result.\nStepResult.ok = function ok (doc) { return new StepResult(doc, null) };\n\n// :: (string) → StepResult\n// Create a failed step result.\nStepResult.fail = function fail (message) { return new StepResult(null, message) };\n\n// :: (Node, number, number, Slice) → StepResult\n// Call [`Node.replace`](#model.Node.replace) with the given\n// arguments. Create a successful result if it succeeds, and a\n// failed one if it throws a `ReplaceError`.\nStepResult.fromReplace = function fromReplace (doc, from, to, slice) {\n try {\n return StepResult.ok(doc.replace(from, to, slice))\n } catch (e) {\n if (e instanceof ReplaceError) { return StepResult.fail(e.message) }\n throw e\n }\n};\n\n// ::- Replace a part of the document with a slice of new content.\nvar ReplaceStep = /*@__PURE__*/(function (Step) {\n function ReplaceStep(from, to, slice, structure) {\n Step.call(this);\n this.from = from;\n this.to = to;\n this.slice = slice;\n this.structure = !!structure;\n }\n\n if ( Step ) ReplaceStep.__proto__ = Step;\n ReplaceStep.prototype = Object.create( Step && Step.prototype );\n ReplaceStep.prototype.constructor = ReplaceStep;\n\n ReplaceStep.prototype.apply = function apply (doc) {\n if (this.structure && contentBetween(doc, this.from, this.to))\n { return StepResult.fail(\"Structure replace would overwrite content\") }\n return StepResult.fromReplace(doc, this.from, this.to, this.slice)\n };\n\n ReplaceStep.prototype.getMap = function getMap () {\n return new StepMap([this.from, this.to - this.from, this.slice.size])\n };\n\n ReplaceStep.prototype.invert = function invert (doc) {\n return new ReplaceStep(this.from, this.from + this.slice.size, doc.slice(this.from, this.to))\n };\n\n ReplaceStep.prototype.map = function map (mapping) {\n var from = mapping.mapResult(this.from, 1), to = mapping.mapResult(this.to, -1);\n if (from.deleted && to.deleted) { return null }\n return new ReplaceStep(from.pos, Math.max(from.pos, to.pos), this.slice)\n };\n\n ReplaceStep.prototype.merge = function merge (other) {\n if (!(other instanceof ReplaceStep) || other.structure != this.structure) { return null }\n\n if (this.from + this.slice.size == other.from && !this.slice.openEnd && !other.slice.openStart) {\n var slice = this.slice.size + other.slice.size == 0 ? Slice.empty\n : new Slice(this.slice.content.append(other.slice.content), this.slice.openStart, other.slice.openEnd);\n return new ReplaceStep(this.from, this.to + (other.to - other.from), slice, this.structure)\n } else if (other.to == this.from && !this.slice.openStart && !other.slice.openEnd) {\n var slice$1 = this.slice.size + other.slice.size == 0 ? Slice.empty\n : new Slice(other.slice.content.append(this.slice.content), other.slice.openStart, this.slice.openEnd);\n return new ReplaceStep(other.from, this.to, slice$1, this.structure)\n } else {\n return null\n }\n };\n\n ReplaceStep.prototype.toJSON = function toJSON () {\n var json = {stepType: \"replace\", from: this.from, to: this.to};\n if (this.slice.size) { json.slice = this.slice.toJSON(); }\n if (this.structure) { json.structure = true; }\n return json\n };\n\n ReplaceStep.fromJSON = function fromJSON (schema, json) {\n if (typeof json.from != \"number\" || typeof json.to != \"number\")\n { throw new RangeError(\"Invalid input for ReplaceStep.fromJSON\") }\n return new ReplaceStep(json.from, json.to, Slice.fromJSON(schema, json.slice), !!json.structure)\n };\n\n return ReplaceStep;\n}(Step));\n\nStep.jsonID(\"replace\", ReplaceStep);\n\n// ::- Replace a part of the document with a slice of content, but\n// preserve a range of the replaced content by moving it into the\n// slice.\nvar ReplaceAroundStep = /*@__PURE__*/(function (Step) {\n function ReplaceAroundStep(from, to, gapFrom, gapTo, slice, insert, structure) {\n Step.call(this);\n this.from = from;\n this.to = to;\n this.gapFrom = gapFrom;\n this.gapTo = gapTo;\n this.slice = slice;\n this.insert = insert;\n this.structure = !!structure;\n }\n\n if ( Step ) ReplaceAroundStep.__proto__ = Step;\n ReplaceAroundStep.prototype = Object.create( Step && Step.prototype );\n ReplaceAroundStep.prototype.constructor = ReplaceAroundStep;\n\n ReplaceAroundStep.prototype.apply = function apply (doc) {\n if (this.structure && (contentBetween(doc, this.from, this.gapFrom) ||\n contentBetween(doc, this.gapTo, this.to)))\n { return StepResult.fail(\"Structure gap-replace would overwrite content\") }\n\n var gap = doc.slice(this.gapFrom, this.gapTo);\n if (gap.openStart || gap.openEnd)\n { return StepResult.fail(\"Gap is not a flat range\") }\n var inserted = this.slice.insertAt(this.insert, gap.content);\n if (!inserted) { return StepResult.fail(\"Content does not fit in gap\") }\n return StepResult.fromReplace(doc, this.from, this.to, inserted)\n };\n\n ReplaceAroundStep.prototype.getMap = function getMap () {\n return new StepMap([this.from, this.gapFrom - this.from, this.insert,\n this.gapTo, this.to - this.gapTo, this.slice.size - this.insert])\n };\n\n ReplaceAroundStep.prototype.invert = function invert (doc) {\n var gap = this.gapTo - this.gapFrom;\n return new ReplaceAroundStep(this.from, this.from + this.slice.size + gap,\n this.from + this.insert, this.from + this.insert + gap,\n doc.slice(this.from, this.to).removeBetween(this.gapFrom - this.from, this.gapTo - this.from),\n this.gapFrom - this.from, this.structure)\n };\n\n ReplaceAroundStep.prototype.map = function map (mapping) {\n var from = mapping.mapResult(this.from, 1), to = mapping.mapResult(this.to, -1);\n var gapFrom = mapping.map(this.gapFrom, -1), gapTo = mapping.map(this.gapTo, 1);\n if ((from.deleted && to.deleted) || gapFrom < from.pos || gapTo > to.pos) { return null }\n return new ReplaceAroundStep(from.pos, to.pos, gapFrom, gapTo, this.slice, this.insert, this.structure)\n };\n\n ReplaceAroundStep.prototype.toJSON = function toJSON () {\n var json = {stepType: \"replaceAround\", from: this.from, to: this.to,\n gapFrom: this.gapFrom, gapTo: this.gapTo, insert: this.insert};\n if (this.slice.size) { json.slice = this.slice.toJSON(); }\n if (this.structure) { json.structure = true; }\n return json\n };\n\n ReplaceAroundStep.fromJSON = function fromJSON (schema, json) {\n if (typeof json.from != \"number\" || typeof json.to != \"number\" ||\n typeof json.gapFrom != \"number\" || typeof json.gapTo != \"number\" || typeof json.insert != \"number\")\n { throw new RangeError(\"Invalid input for ReplaceAroundStep.fromJSON\") }\n return new ReplaceAroundStep(json.from, json.to, json.gapFrom, json.gapTo,\n Slice.fromJSON(schema, json.slice), json.insert, !!json.structure)\n };\n\n return ReplaceAroundStep;\n}(Step));\n\nStep.jsonID(\"replaceAround\", ReplaceAroundStep);\n\nfunction contentBetween(doc, from, to) {\n var $from = doc.resolve(from), dist = to - from, depth = $from.depth;\n while (dist > 0 && depth > 0 && $from.indexAfter(depth) == $from.node(depth).childCount) {\n depth--;\n dist--;\n }\n if (dist > 0) {\n var next = $from.node(depth).maybeChild($from.indexAfter(depth));\n while (dist > 0) {\n if (!next || next.isLeaf) { return true }\n next = next.firstChild;\n dist--;\n }\n }\n return false\n}\n\nfunction canCut(node, start, end) {\n return (start == 0 || node.canReplace(start, node.childCount)) &&\n (end == node.childCount || node.canReplace(0, end))\n}\n\n// :: (NodeRange) → ?number\n// Try to find a target depth to which the content in the given range\n// can be lifted. Will not go across\n// [isolating](#model.NodeSpec.isolating) parent nodes.\nfunction liftTarget(range) {\n var parent = range.parent;\n var content = parent.content.cutByIndex(range.startIndex, range.endIndex);\n for (var depth = range.depth;; --depth) {\n var node = range.$from.node(depth);\n var index = range.$from.index(depth), endIndex = range.$to.indexAfter(depth);\n if (depth < range.depth && node.canReplace(index, endIndex, content))\n { return depth }\n if (depth == 0 || node.type.spec.isolating || !canCut(node, index, endIndex)) { break }\n }\n}\n\n// :: (NodeRange, number) → this\n// Split the content in the given range off from its parent, if there\n// is sibling content before or after it, and move it up the tree to\n// the depth specified by `target`. You'll probably want to use\n// [`liftTarget`](#transform.liftTarget) to compute `target`, to make\n// sure the lift is valid.\nTransform.prototype.lift = function(range, target) {\n var $from = range.$from;\n var $to = range.$to;\n var depth = range.depth;\n\n var gapStart = $from.before(depth + 1), gapEnd = $to.after(depth + 1);\n var start = gapStart, end = gapEnd;\n\n var before = Fragment.empty, openStart = 0;\n for (var d = depth, splitting = false; d > target; d--)\n { if (splitting || $from.index(d) > 0) {\n splitting = true;\n before = Fragment.from($from.node(d).copy(before));\n openStart++;\n } else {\n start--;\n } }\n var after = Fragment.empty, openEnd = 0;\n for (var d$1 = depth, splitting$1 = false; d$1 > target; d$1--)\n { if (splitting$1 || $to.after(d$1 + 1) < $to.end(d$1)) {\n splitting$1 = true;\n after = Fragment.from($to.node(d$1).copy(after));\n openEnd++;\n } else {\n end++;\n } }\n\n return this.step(new ReplaceAroundStep(start, end, gapStart, gapEnd,\n new Slice(before.append(after), openStart, openEnd),\n before.size - openStart, true))\n};\n\n// :: (NodeRange, NodeType, ?Object, ?NodeRange) → ?[{type: NodeType, attrs: ?Object}]\n// Try to find a valid way to wrap the content in the given range in a\n// node of the given type. May introduce extra nodes around and inside\n// the wrapper node, if necessary. Returns null if no valid wrapping\n// could be found. When `innerRange` is given, that range's content is\n// used as the content to fit into the wrapping, instead of the\n// content of `range`.\nfunction findWrapping(range, nodeType, attrs, innerRange) {\n if ( innerRange === void 0 ) innerRange = range;\n\n var around = findWrappingOutside(range, nodeType);\n var inner = around && findWrappingInside(innerRange, nodeType);\n if (!inner) { return null }\n return around.map(withAttrs).concat({type: nodeType, attrs: attrs}).concat(inner.map(withAttrs))\n}\n\nfunction withAttrs(type) { return {type: type, attrs: null} }\n\nfunction findWrappingOutside(range, type) {\n var parent = range.parent;\n var startIndex = range.startIndex;\n var endIndex = range.endIndex;\n var around = parent.contentMatchAt(startIndex).findWrapping(type);\n if (!around) { return null }\n var outer = around.length ? around[0] : type;\n return parent.canReplaceWith(startIndex, endIndex, outer) ? around : null\n}\n\nfunction findWrappingInside(range, type) {\n var parent = range.parent;\n var startIndex = range.startIndex;\n var endIndex = range.endIndex;\n var inner = parent.child(startIndex);\n var inside = type.contentMatch.findWrapping(inner.type);\n if (!inside) { return null }\n var lastType = inside.length ? inside[inside.length - 1] : type;\n var innerMatch = lastType.contentMatch;\n for (var i = startIndex; innerMatch && i < endIndex; i++)\n { innerMatch = innerMatch.matchType(parent.child(i).type); }\n if (!innerMatch || !innerMatch.validEnd) { return null }\n return inside\n}\n\n// :: (NodeRange, [{type: NodeType, attrs: ?Object}]) → this\n// Wrap the given [range](#model.NodeRange) in the given set of wrappers.\n// The wrappers are assumed to be valid in this position, and should\n// probably be computed with [`findWrapping`](#transform.findWrapping).\nTransform.prototype.wrap = function(range, wrappers) {\n var content = Fragment.empty;\n for (var i = wrappers.length - 1; i >= 0; i--)\n { content = Fragment.from(wrappers[i].type.create(wrappers[i].attrs, content)); }\n\n var start = range.start, end = range.end;\n return this.step(new ReplaceAroundStep(start, end, start, end, new Slice(content, 0, 0), wrappers.length, true))\n};\n\n// :: (number, ?number, NodeType, ?Object) → this\n// Set the type of all textblocks (partly) between `from` and `to` to\n// the given node type with the given attributes.\nTransform.prototype.setBlockType = function(from, to, type, attrs) {\n var this$1 = this;\n if ( to === void 0 ) to = from;\n\n if (!type.isTextblock) { throw new RangeError(\"Type given to setBlockType should be a textblock\") }\n var mapFrom = this.steps.length;\n this.doc.nodesBetween(from, to, function (node, pos) {\n if (node.isTextblock && !node.hasMarkup(type, attrs) && canChangeType(this$1.doc, this$1.mapping.slice(mapFrom).map(pos), type)) {\n // Ensure all markup that isn't allowed in the new node type is cleared\n this$1.clearIncompatible(this$1.mapping.slice(mapFrom).map(pos, 1), type);\n var mapping = this$1.mapping.slice(mapFrom);\n var startM = mapping.map(pos, 1), endM = mapping.map(pos + node.nodeSize, 1);\n this$1.step(new ReplaceAroundStep(startM, endM, startM + 1, endM - 1,\n new Slice(Fragment.from(type.create(attrs, null, node.marks)), 0, 0), 1, true));\n return false\n }\n });\n return this\n};\n\nfunction canChangeType(doc, pos, type) {\n var $pos = doc.resolve(pos), index = $pos.index();\n return $pos.parent.canReplaceWith(index, index + 1, type)\n}\n\n// :: (number, ?NodeType, ?Object, ?[Mark]) → this\n// Change the type, attributes, and/or marks of the node at `pos`.\n// When `type` isn't given, the existing node type is preserved,\nTransform.prototype.setNodeMarkup = function(pos, type, attrs, marks) {\n var node = this.doc.nodeAt(pos);\n if (!node) { throw new RangeError(\"No node at given position\") }\n if (!type) { type = node.type; }\n var newNode = type.create(attrs, null, marks || node.marks);\n if (node.isLeaf)\n { return this.replaceWith(pos, pos + node.nodeSize, newNode) }\n\n if (!type.validContent(node.content))\n { throw new RangeError(\"Invalid content for node type \" + type.name) }\n\n return this.step(new ReplaceAroundStep(pos, pos + node.nodeSize, pos + 1, pos + node.nodeSize - 1,\n new Slice(Fragment.from(newNode), 0, 0), 1, true))\n};\n\n// :: (Node, number, number, ?[?{type: NodeType, attrs: ?Object}]) → bool\n// Check whether splitting at the given position is allowed.\nfunction canSplit(doc, pos, depth, typesAfter) {\n if ( depth === void 0 ) depth = 1;\n\n var $pos = doc.resolve(pos), base = $pos.depth - depth;\n var innerType = (typesAfter && typesAfter[typesAfter.length - 1]) || $pos.parent;\n if (base < 0 || $pos.parent.type.spec.isolating ||\n !$pos.parent.canReplace($pos.index(), $pos.parent.childCount) ||\n !innerType.type.validContent($pos.parent.content.cutByIndex($pos.index(), $pos.parent.childCount)))\n { return false }\n for (var d = $pos.depth - 1, i = depth - 2; d > base; d--, i--) {\n var node = $pos.node(d), index$1 = $pos.index(d);\n if (node.type.spec.isolating) { return false }\n var rest = node.content.cutByIndex(index$1, node.childCount);\n var after = (typesAfter && typesAfter[i]) || node;\n if (after != node) { rest = rest.replaceChild(0, after.type.create(after.attrs)); }\n if (!node.canReplace(index$1 + 1, node.childCount) || !after.type.validContent(rest))\n { return false }\n }\n var index = $pos.indexAfter(base);\n var baseType = typesAfter && typesAfter[0];\n return $pos.node(base).canReplaceWith(index, index, baseType ? baseType.type : $pos.node(base + 1).type)\n}\n\n// :: (number, ?number, ?[?{type: NodeType, attrs: ?Object}]) → this\n// Split the node at the given position, and optionally, if `depth` is\n// greater than one, any number of nodes above that. By default, the\n// parts split off will inherit the node type of the original node.\n// This can be changed by passing an array of types and attributes to\n// use after the split.\nTransform.prototype.split = function(pos, depth, typesAfter) {\n if ( depth === void 0 ) depth = 1;\n\n var $pos = this.doc.resolve(pos), before = Fragment.empty, after = Fragment.empty;\n for (var d = $pos.depth, e = $pos.depth - depth, i = depth - 1; d > e; d--, i--) {\n before = Fragment.from($pos.node(d).copy(before));\n var typeAfter = typesAfter && typesAfter[i];\n after = Fragment.from(typeAfter ? typeAfter.type.create(typeAfter.attrs, after) : $pos.node(d).copy(after));\n }\n return this.step(new ReplaceStep(pos, pos, new Slice(before.append(after), depth, depth), true))\n};\n\n// :: (Node, number) → bool\n// Test whether the blocks before and after a given position can be\n// joined.\nfunction canJoin(doc, pos) {\n var $pos = doc.resolve(pos), index = $pos.index();\n return joinable($pos.nodeBefore, $pos.nodeAfter) &&\n $pos.parent.canReplace(index, index + 1)\n}\n\nfunction joinable(a, b) {\n return a && b && !a.isLeaf && a.canAppend(b)\n}\n\n// :: (Node, number, ?number) → ?number\n// Find an ancestor of the given position that can be joined to the\n// block before (or after if `dir` is positive). Returns the joinable\n// point, if any.\nfunction joinPoint(doc, pos, dir) {\n if ( dir === void 0 ) dir = -1;\n\n var $pos = doc.resolve(pos);\n for (var d = $pos.depth;; d--) {\n var before = (void 0), after = (void 0), index = $pos.index(d);\n if (d == $pos.depth) {\n before = $pos.nodeBefore;\n after = $pos.nodeAfter;\n } else if (dir > 0) {\n before = $pos.node(d + 1);\n index++;\n after = $pos.node(d).maybeChild(index);\n } else {\n before = $pos.node(d).maybeChild(index - 1);\n after = $pos.node(d + 1);\n }\n if (before && !before.isTextblock && joinable(before, after) &&\n $pos.node(d).canReplace(index, index + 1)) { return pos }\n if (d == 0) { break }\n pos = dir < 0 ? $pos.before(d) : $pos.after(d);\n }\n}\n\n// :: (number, ?number) → this\n// Join the blocks around the given position. If depth is 2, their\n// last and first siblings are also joined, and so on.\nTransform.prototype.join = function(pos, depth) {\n if ( depth === void 0 ) depth = 1;\n\n var step = new ReplaceStep(pos - depth, pos + depth, Slice.empty, true);\n return this.step(step)\n};\n\n// :: (Node, number, NodeType) → ?number\n// Try to find a point where a node of the given type can be inserted\n// near `pos`, by searching up the node hierarchy when `pos` itself\n// isn't a valid place but is at the start or end of a node. Return\n// null if no position was found.\nfunction insertPoint(doc, pos, nodeType) {\n var $pos = doc.resolve(pos);\n if ($pos.parent.canReplaceWith($pos.index(), $pos.index(), nodeType)) { return pos }\n\n if ($pos.parentOffset == 0)\n { for (var d = $pos.depth - 1; d >= 0; d--) {\n var index = $pos.index(d);\n if ($pos.node(d).canReplaceWith(index, index, nodeType)) { return $pos.before(d + 1) }\n if (index > 0) { return null }\n } }\n if ($pos.parentOffset == $pos.parent.content.size)\n { for (var d$1 = $pos.depth - 1; d$1 >= 0; d$1--) {\n var index$1 = $pos.indexAfter(d$1);\n if ($pos.node(d$1).canReplaceWith(index$1, index$1, nodeType)) { return $pos.after(d$1 + 1) }\n if (index$1 < $pos.node(d$1).childCount) { return null }\n } }\n}\n\n// :: (Node, number, Slice) → ?number\n// Finds a position at or around the given position where the given\n// slice can be inserted. Will look at parent nodes' nearest boundary\n// and try there, even if the original position wasn't directly at the\n// start or end of that node. Returns null when no position was found.\nfunction dropPoint(doc, pos, slice) {\n var $pos = doc.resolve(pos);\n if (!slice.content.size) { return pos }\n var content = slice.content;\n for (var i = 0; i < slice.openStart; i++) { content = content.firstChild.content; }\n for (var pass = 1; pass <= (slice.openStart == 0 && slice.size ? 2 : 1); pass++) {\n for (var d = $pos.depth; d >= 0; d--) {\n var bias = d == $pos.depth ? 0 : $pos.pos <= ($pos.start(d + 1) + $pos.end(d + 1)) / 2 ? -1 : 1;\n var insertPos = $pos.index(d) + (bias > 0 ? 1 : 0);\n if (pass == 1\n ? $pos.node(d).canReplace(insertPos, insertPos, content)\n : $pos.node(d).contentMatchAt(insertPos).findWrapping(content.firstChild.type))\n { return bias == 0 ? $pos.pos : bias < 0 ? $pos.before(d + 1) : $pos.after(d + 1) }\n }\n }\n return null\n}\n\nfunction mapFragment(fragment, f, parent) {\n var mapped = [];\n for (var i = 0; i < fragment.childCount; i++) {\n var child = fragment.child(i);\n if (child.content.size) { child = child.copy(mapFragment(child.content, f, child)); }\n if (child.isInline) { child = f(child, parent, i); }\n mapped.push(child);\n }\n return Fragment.fromArray(mapped)\n}\n\n// ::- Add a mark to all inline content between two positions.\nvar AddMarkStep = /*@__PURE__*/(function (Step) {\n function AddMarkStep(from, to, mark) {\n Step.call(this);\n this.from = from;\n this.to = to;\n this.mark = mark;\n }\n\n if ( Step ) AddMarkStep.__proto__ = Step;\n AddMarkStep.prototype = Object.create( Step && Step.prototype );\n AddMarkStep.prototype.constructor = AddMarkStep;\n\n AddMarkStep.prototype.apply = function apply (doc) {\n var this$1 = this;\n\n var oldSlice = doc.slice(this.from, this.to), $from = doc.resolve(this.from);\n var parent = $from.node($from.sharedDepth(this.to));\n var slice = new Slice(mapFragment(oldSlice.content, function (node, parent) {\n if (!parent.type.allowsMarkType(this$1.mark.type)) { return node }\n return node.mark(this$1.mark.addToSet(node.marks))\n }, parent), oldSlice.openStart, oldSlice.openEnd);\n return StepResult.fromReplace(doc, this.from, this.to, slice)\n };\n\n AddMarkStep.prototype.invert = function invert () {\n return new RemoveMarkStep(this.from, this.to, this.mark)\n };\n\n AddMarkStep.prototype.map = function map (mapping) {\n var from = mapping.mapResult(this.from, 1), to = mapping.mapResult(this.to, -1);\n if (from.deleted && to.deleted || from.pos >= to.pos) { return null }\n return new AddMarkStep(from.pos, to.pos, this.mark)\n };\n\n AddMarkStep.prototype.merge = function merge (other) {\n if (other instanceof AddMarkStep &&\n other.mark.eq(this.mark) &&\n this.from <= other.to && this.to >= other.from)\n { return new AddMarkStep(Math.min(this.from, other.from),\n Math.max(this.to, other.to), this.mark) }\n };\n\n AddMarkStep.prototype.toJSON = function toJSON () {\n return {stepType: \"addMark\", mark: this.mark.toJSON(),\n from: this.from, to: this.to}\n };\n\n AddMarkStep.fromJSON = function fromJSON (schema, json) {\n if (typeof json.from != \"number\" || typeof json.to != \"number\")\n { throw new RangeError(\"Invalid input for AddMarkStep.fromJSON\") }\n return new AddMarkStep(json.from, json.to, schema.markFromJSON(json.mark))\n };\n\n return AddMarkStep;\n}(Step));\n\nStep.jsonID(\"addMark\", AddMarkStep);\n\n// ::- Remove a mark from all inline content between two positions.\nvar RemoveMarkStep = /*@__PURE__*/(function (Step) {\n function RemoveMarkStep(from, to, mark) {\n Step.call(this);\n this.from = from;\n this.to = to;\n this.mark = mark;\n }\n\n if ( Step ) RemoveMarkStep.__proto__ = Step;\n RemoveMarkStep.prototype = Object.create( Step && Step.prototype );\n RemoveMarkStep.prototype.constructor = RemoveMarkStep;\n\n RemoveMarkStep.prototype.apply = function apply (doc) {\n var this$1 = this;\n\n var oldSlice = doc.slice(this.from, this.to);\n var slice = new Slice(mapFragment(oldSlice.content, function (node) {\n return node.mark(this$1.mark.removeFromSet(node.marks))\n }), oldSlice.openStart, oldSlice.openEnd);\n return StepResult.fromReplace(doc, this.from, this.to, slice)\n };\n\n RemoveMarkStep.prototype.invert = function invert () {\n return new AddMarkStep(this.from, this.to, this.mark)\n };\n\n RemoveMarkStep.prototype.map = function map (mapping) {\n var from = mapping.mapResult(this.from, 1), to = mapping.mapResult(this.to, -1);\n if (from.deleted && to.deleted || from.pos >= to.pos) { return null }\n return new RemoveMarkStep(from.pos, to.pos, this.mark)\n };\n\n RemoveMarkStep.prototype.merge = function merge (other) {\n if (other instanceof RemoveMarkStep &&\n other.mark.eq(this.mark) &&\n this.from <= other.to && this.to >= other.from)\n { return new RemoveMarkStep(Math.min(this.from, other.from),\n Math.max(this.to, other.to), this.mark) }\n };\n\n RemoveMarkStep.prototype.toJSON = function toJSON () {\n return {stepType: \"removeMark\", mark: this.mark.toJSON(),\n from: this.from, to: this.to}\n };\n\n RemoveMarkStep.fromJSON = function fromJSON (schema, json) {\n if (typeof json.from != \"number\" || typeof json.to != \"number\")\n { throw new RangeError(\"Invalid input for RemoveMarkStep.fromJSON\") }\n return new RemoveMarkStep(json.from, json.to, schema.markFromJSON(json.mark))\n };\n\n return RemoveMarkStep;\n}(Step));\n\nStep.jsonID(\"removeMark\", RemoveMarkStep);\n\n// :: (number, number, Mark) → this\n// Add the given mark to the inline content between `from` and `to`.\nTransform.prototype.addMark = function(from, to, mark) {\n var this$1 = this;\n\n var removed = [], added = [], removing = null, adding = null;\n this.doc.nodesBetween(from, to, function (node, pos, parent) {\n if (!node.isInline) { return }\n var marks = node.marks;\n if (!mark.isInSet(marks) && parent.type.allowsMarkType(mark.type)) {\n var start = Math.max(pos, from), end = Math.min(pos + node.nodeSize, to);\n var newSet = mark.addToSet(marks);\n\n for (var i = 0; i < marks.length; i++) {\n if (!marks[i].isInSet(newSet)) {\n if (removing && removing.to == start && removing.mark.eq(marks[i]))\n { removing.to = end; }\n else\n { removed.push(removing = new RemoveMarkStep(start, end, marks[i])); }\n }\n }\n\n if (adding && adding.to == start)\n { adding.to = end; }\n else\n { added.push(adding = new AddMarkStep(start, end, mark)); }\n }\n });\n\n removed.forEach(function (s) { return this$1.step(s); });\n added.forEach(function (s) { return this$1.step(s); });\n return this\n};\n\n// :: (number, number, ?union<Mark, MarkType>) → this\n// Remove marks from inline nodes between `from` and `to`. When `mark`\n// is a single mark, remove precisely that mark. When it is a mark type,\n// remove all marks of that type. When it is null, remove all marks of\n// any type.\nTransform.prototype.removeMark = function(from, to, mark) {\n var this$1 = this;\n if ( mark === void 0 ) mark = null;\n\n var matched = [], step = 0;\n this.doc.nodesBetween(from, to, function (node, pos) {\n if (!node.isInline) { return }\n step++;\n var toRemove = null;\n if (mark instanceof MarkType) {\n var found = mark.isInSet(node.marks);\n if (found) { toRemove = [found]; }\n } else if (mark) {\n if (mark.isInSet(node.marks)) { toRemove = [mark]; }\n } else {\n toRemove = node.marks;\n }\n if (toRemove && toRemove.length) {\n var end = Math.min(pos + node.nodeSize, to);\n for (var i = 0; i < toRemove.length; i++) {\n var style = toRemove[i], found$1 = (void 0);\n for (var j = 0; j < matched.length; j++) {\n var m = matched[j];\n if (m.step == step - 1 && style.eq(matched[j].style)) { found$1 = m; }\n }\n if (found$1) {\n found$1.to = end;\n found$1.step = step;\n } else {\n matched.push({style: style, from: Math.max(pos, from), to: end, step: step});\n }\n }\n }\n });\n matched.forEach(function (m) { return this$1.step(new RemoveMarkStep(m.from, m.to, m.style)); });\n return this\n};\n\n// :: (number, NodeType, ?ContentMatch) → this\n// Removes all marks and nodes from the content of the node at `pos`\n// that don't match the given new parent node type. Accepts an\n// optional starting [content match](#model.ContentMatch) as third\n// argument.\nTransform.prototype.clearIncompatible = function(pos, parentType, match) {\n if ( match === void 0 ) match = parentType.contentMatch;\n\n var node = this.doc.nodeAt(pos);\n var delSteps = [], cur = pos + 1;\n for (var i = 0; i < node.childCount; i++) {\n var child = node.child(i), end = cur + child.nodeSize;\n var allowed = match.matchType(child.type, child.attrs);\n if (!allowed) {\n delSteps.push(new ReplaceStep(cur, end, Slice.empty));\n } else {\n match = allowed;\n for (var j = 0; j < child.marks.length; j++) { if (!parentType.allowsMarkType(child.marks[j].type))\n { this.step(new RemoveMarkStep(cur, end, child.marks[j])); } }\n }\n cur = end;\n }\n if (!match.validEnd) {\n var fill = match.fillBefore(Fragment.empty, true);\n this.replace(cur, cur, new Slice(fill, 0, 0));\n }\n for (var i$1 = delSteps.length - 1; i$1 >= 0; i$1--) { this.step(delSteps[i$1]); }\n return this\n};\n\n// :: (Node, number, ?number, ?Slice) → ?Step\n// ‘Fit’ a slice into a given position in the document, producing a\n// [step](#transform.Step) that inserts it. Will return null if\n// there's no meaningful way to insert the slice here, or inserting it\n// would be a no-op (an empty slice over an empty range).\nfunction replaceStep(doc, from, to, slice) {\n if ( to === void 0 ) to = from;\n if ( slice === void 0 ) slice = Slice.empty;\n\n if (from == to && !slice.size) { return null }\n\n var $from = doc.resolve(from), $to = doc.resolve(to);\n // Optimization -- avoid work if it's obvious that it's not needed.\n if (fitsTrivially($from, $to, slice)) { return new ReplaceStep(from, to, slice) }\n return new Fitter($from, $to, slice).fit()\n}\n\n// :: (number, ?number, ?Slice) → this\n// Replace the part of the document between `from` and `to` with the\n// given `slice`.\nTransform.prototype.replace = function(from, to, slice) {\n if ( to === void 0 ) to = from;\n if ( slice === void 0 ) slice = Slice.empty;\n\n var step = replaceStep(this.doc, from, to, slice);\n if (step) { this.step(step); }\n return this\n};\n\n// :: (number, number, union<Fragment, Node, [Node]>) → this\n// Replace the given range with the given content, which may be a\n// fragment, node, or array of nodes.\nTransform.prototype.replaceWith = function(from, to, content) {\n return this.replace(from, to, new Slice(Fragment.from(content), 0, 0))\n};\n\n// :: (number, number) → this\n// Delete the content between the given positions.\nTransform.prototype.delete = function(from, to) {\n return this.replace(from, to, Slice.empty)\n};\n\n// :: (number, union<Fragment, Node, [Node]>) → this\n// Insert the given content at the given position.\nTransform.prototype.insert = function(pos, content) {\n return this.replaceWith(pos, pos, content)\n};\n\nfunction fitsTrivially($from, $to, slice) {\n return !slice.openStart && !slice.openEnd && $from.start() == $to.start() &&\n $from.parent.canReplace($from.index(), $to.index(), slice.content)\n}\n\n// Algorithm for 'placing' the elements of a slice into a gap:\n//\n// We consider the content of each node that is open to the left to be\n// independently placeable. I.e. in <p(\"foo\"), p(\"bar\")>, when the\n// paragraph on the left is open, \"foo\" can be placed (somewhere on\n// the left side of the replacement gap) independently from p(\"bar\").\n//\n// This class tracks the state of the placement progress in the\n// following properties:\n//\n// - `frontier` holds a stack of `{type, match}` objects that\n// represent the open side of the replacement. It starts at\n// `$from`, then moves forward as content is placed, and is finally\n// reconciled with `$to`.\n//\n// - `unplaced` is a slice that represents the content that hasn't\n// been placed yet.\n//\n// - `placed` is a fragment of placed content. Its open-start value\n// is implicit in `$from`, and its open-end value in `frontier`.\nvar Fitter = function Fitter($from, $to, slice) {\n this.$to = $to;\n this.$from = $from;\n this.unplaced = slice;\n\n this.frontier = [];\n for (var i = 0; i <= $from.depth; i++) {\n var node = $from.node(i);\n this.frontier.push({\n type: node.type,\n match: node.contentMatchAt($from.indexAfter(i))\n });\n }\n\n this.placed = Fragment.empty;\n for (var i$1 = $from.depth; i$1 > 0; i$1--)\n { this.placed = Fragment.from($from.node(i$1).copy(this.placed)); }\n};\n\nvar prototypeAccessors$1 = { depth: { configurable: true } };\n\nprototypeAccessors$1.depth.get = function () { return this.frontier.length - 1 };\n\nFitter.prototype.fit = function fit () {\n // As long as there's unplaced content, try to place some of it.\n // If that fails, either increase the open score of the unplaced\n // slice, or drop nodes from it, and then try again.\n while (this.unplaced.size) {\n var fit = this.findFittable();\n if (fit) { this.placeNodes(fit); }\n else { this.openMore() || this.dropNode(); }\n }\n // When there's inline content directly after the frontier _and_\n // directly after `this.$to`, we must generate a `ReplaceAround`\n // step that pulls that content into the node after the frontier.\n // That means the fitting must be done to the end of the textblock\n // node after `this.$to`, not `this.$to` itself.\n var moveInline = this.mustMoveInline(), placedSize = this.placed.size - this.depth - this.$from.depth;\n var $from = this.$from, $to = this.close(moveInline < 0 ? this.$to : $from.doc.resolve(moveInline));\n if (!$to) { return null }\n\n // If closing to `$to` succeeded, create a step\n var content = this.placed, openStart = $from.depth, openEnd = $to.depth;\n while (openStart && openEnd && content.childCount == 1) { // Normalize by dropping open parent nodes\n content = content.firstChild.content;\n openStart--; openEnd--;\n }\n var slice = new Slice(content, openStart, openEnd);\n if (moveInline > -1)\n { return new ReplaceAroundStep($from.pos, moveInline, this.$to.pos, this.$to.end(), slice, placedSize) }\n if (slice.size || $from.pos != this.$to.pos) // Don't generate no-op steps\n { return new ReplaceStep($from.pos, $to.pos, slice) }\n};\n\n// Find a position on the start spine of `this.unplaced` that has\n// content that can be moved somewhere on the frontier. Returns two\n// depths, one for the slice and one for the frontier.\nFitter.prototype.findFittable = function findFittable () {\n // Only try wrapping nodes (pass 2) after finding a place without\n // wrapping failed.\n for (var pass = 1; pass <= 2; pass++) {\n for (var sliceDepth = this.unplaced.openStart; sliceDepth >= 0; sliceDepth--) {\n var fragment = (void 0), parent = (void 0);\n if (sliceDepth) {\n parent = contentAt(this.unplaced.content, sliceDepth - 1).firstChild;\n fragment = parent.content;\n } else {\n fragment = this.unplaced.content;\n }\n var first = fragment.firstChild;\n for (var frontierDepth = this.depth; frontierDepth >= 0; frontierDepth--) {\n var ref = this.frontier[frontierDepth];\n var type = ref.type;\n var match = ref.match;\n var wrap = (void 0), inject = (void 0);\n // In pass 1, if the next node matches, or there is no next\n // node but the parents look compatible, we've found a\n // place.\n if (pass == 1 && (first ? match.matchType(first.type) || (inject = match.fillBefore(Fragment.from(first), false))\n : type.compatibleContent(parent.type)))\n { return {sliceDepth: sliceDepth, frontierDepth: frontierDepth, parent: parent, inject: inject} }\n // In pass 2, look for a set of wrapping nodes that make\n // `first` fit here.\n else if (pass == 2 && first && (wrap = match.findWrapping(first.type)))\n { return {sliceDepth: sliceDepth, frontierDepth: frontierDepth, parent: parent, wrap: wrap} }\n // Don't continue looking further up if the parent node\n // would fit here.\n if (parent && match.matchType(parent.type)) { break }\n }\n }\n }\n};\n\nFitter.prototype.openMore = function openMore () {\n var ref = this.unplaced;\n var content = ref.content;\n var openStart = ref.openStart;\n var openEnd = ref.openEnd;\n var inner = contentAt(content, openStart);\n if (!inner.childCount || inner.firstChild.isLeaf) { return false }\n this.unplaced = new Slice(content, openStart + 1,\n Math.max(openEnd, inner.size + openStart >= content.size - openEnd ? openStart + 1 : 0));\n return true\n};\n\nFitter.prototype.dropNode = function dropNode () {\n var ref = this.unplaced;\n var content = ref.content;\n var openStart = ref.openStart;\n var openEnd = ref.openEnd;\n var inner = contentAt(content, openStart);\n if (inner.childCount <= 1 && openStart > 0) {\n var openAtEnd = content.size - openStart <= openStart + inner.size;\n this.unplaced = new Slice(dropFromFragment(content, openStart - 1, 1), openStart - 1,\n openAtEnd ? openStart - 1 : openEnd);\n } else {\n this.unplaced = new Slice(dropFromFragment(content, openStart, 1), openStart, openEnd);\n }\n};\n\n// : ({sliceDepth: number, frontierDepth: number, parent: ?Node, wrap: ?[NodeType], inject: ?Fragment})\n// Move content from the unplaced slice at `sliceDepth` to the\n// frontier node at `frontierDepth`. Close that frontier node when\n// applicable.\nFitter.prototype.placeNodes = function placeNodes (ref) {\n var sliceDepth = ref.sliceDepth;\n var frontierDepth = ref.frontierDepth;\n var parent = ref.parent;\n var inject = ref.inject;\n var wrap = ref.wrap;\n\n while (this.depth > frontierDepth) { this.closeFrontierNode(); }\n if (wrap) { for (var i = 0; i < wrap.length; i++) { this.openFrontierNode(wrap[i]); } }\n\n var slice = this.unplaced, fragment = parent ? parent.content : slice.content;\n var openStart = slice.openStart - sliceDepth;\n var taken = 0, add = [];\n var ref$1 = this.frontier[frontierDepth];\n var match = ref$1.match;\n var type = ref$1.type;\n if (inject) {\n for (var i$1 = 0; i$1 < inject.childCount; i$1++) { add.push(inject.child(i$1)); }\n match = match.matchFragment(inject);\n }\n // Computes the amount of (end) open nodes at the end of the\n // fragment. When 0, the parent is open, but no more. When\n // negative, nothing is open.\n var openEndCount = (fragment.size + sliceDepth) - (slice.content.size - slice.openEnd);\n // Scan over the fragment, fitting as many child nodes as\n // possible.\n while (taken < fragment.childCount) {\n var next = fragment.child(taken), matches = match.matchType(next.type);\n if (!matches) { break }\n taken++;\n if (taken > 1 || openStart == 0 || next.content.size) { // Drop empty open nodes\n match = matches;\n add.push(closeNodeStart(next.mark(type.allowedMarks(next.marks)), taken == 1 ? openStart : 0,\n taken == fragment.childCount ? openEndCount : -1));\n }\n }\n var toEnd = taken == fragment.childCount;\n if (!toEnd) { openEndCount = -1; }\n\n // If the parent types match, and the entire node was moved, and\n // it's not open, close this frontier node right away.\n if (toEnd && openEndCount < 0 && parent && parent.type == this.frontier[this.depth].type) { this.closeFrontierNode(); }\n else { this.frontier[frontierDepth].match = match; }\n\n // Add new frontier nodes for any open nodes at the end.\n for (var i$2 = 0, cur = fragment; i$2 < openEndCount; i$2++) {\n var node = cur.lastChild;\n this.frontier.push({type: node.type, match: node.contentMatchAt(node.childCount)});\n cur = node.content;\n }\n\n // Update `this.unplaced`. Drop the entire node from which we\n // placed it we got to its end, otherwise just drop the placed\n // nodes.\n this.unplaced = !toEnd ? new Slice(dropFromFragment(slice.content, sliceDepth, taken), slice.openStart, slice.openEnd)\n : sliceDepth == 0 ? Slice.empty\n : new Slice(dropFromFragment(slice.content, sliceDepth - 1, 1),\n sliceDepth - 1, openEndCount < 0 ? slice.openEnd : sliceDepth - 1);\n this.placed = addToFragment(this.placed, frontierDepth, Fragment.from(add));\n};\n\nFitter.prototype.mustMoveInline = function mustMoveInline () {\n if (!this.$to.parent.isTextblock || this.$to.end() == this.$to.pos) { return -1 }\n var top = this.frontier[this.depth], level;\n if (!top.type.isTextblock || !contentAfterFits(this.$to, this.$to.depth, top.type, top.match, false) ||\n (this.$to.depth == this.depth && (level = this.findCloseLevel(this.$to)) && level.depth == this.depth)) { return -1 }\n\n var ref = this.$to;\n var depth = ref.depth;\n var after = this.$to.after(depth);\n while (depth > 1 && after == this.$to.end(--depth)) { ++after; }\n return after\n};\n\nFitter.prototype.findCloseLevel = function findCloseLevel ($to) {\n scan: for (var i = Math.min(this.depth, $to.depth); i >= 0; i--) {\n var ref = this.frontier[i];\n var match = ref.match;\n var type = ref.type;\n var dropInner = i < $to.depth && $to.end(i + 1) == $to.pos + ($to.depth - (i + 1));\n var fit = contentAfterFits($to, i, type, match, dropInner);\n if (!fit) { continue }\n for (var d = i - 1; d >= 0; d--) {\n var ref$1 = this.frontier[d];\n var match$1 = ref$1.match;\n var type$1 = ref$1.type;\n var matches = contentAfterFits($to, d, type$1, match$1, true);\n if (!matches || matches.childCount) { continue scan }\n }\n return {depth: i, fit: fit, move: dropInner ? $to.doc.resolve($to.after(i + 1)) : $to}\n }\n};\n\nFitter.prototype.close = function close ($to) {\n var close = this.findCloseLevel($to);\n if (!close) { return null }\n\n while (this.depth > close.depth) { this.closeFrontierNode(); }\n if (close.fit.childCount) { this.placed = addToFragment(this.placed, close.depth, close.fit); }\n $to = close.move;\n for (var d = close.depth + 1; d <= $to.depth; d++) {\n var node = $to.node(d), add = node.type.contentMatch.fillBefore(node.content, true, $to.index(d));\n this.openFrontierNode(node.type, node.attrs, add);\n }\n return $to\n};\n\nFitter.prototype.openFrontierNode = function openFrontierNode (type, attrs, content) {\n var top = this.frontier[this.depth];\n top.match = top.match.matchType(type);\n this.placed = addToFragment(this.placed, this.depth, Fragment.from(type.create(attrs, content)));\n this.frontier.push({type: type, match: type.contentMatch});\n};\n\nFitter.prototype.closeFrontierNode = function closeFrontierNode () {\n var open = this.frontier.pop();\n var add = open.match.fillBefore(Fragment.empty, true);\n if (add.childCount) { this.placed = addToFragment(this.placed, this.frontier.length, add); }\n};\n\nObject.defineProperties( Fitter.prototype, prototypeAccessors$1 );\n\nfunction dropFromFragment(fragment, depth, count) {\n if (depth == 0) { return fragment.cutByIndex(count) }\n return fragment.replaceChild(0, fragment.firstChild.copy(dropFromFragment(fragment.firstChild.content, depth - 1, count)))\n}\n\nfunction addToFragment(fragment, depth, content) {\n if (depth == 0) { return fragment.append(content) }\n return fragment.replaceChild(fragment.childCount - 1,\n fragment.lastChild.copy(addToFragment(fragment.lastChild.content, depth - 1, content)))\n}\n\nfunction contentAt(fragment, depth) {\n for (var i = 0; i < depth; i++) { fragment = fragment.firstChild.content; }\n return fragment\n}\n\nfunction closeNodeStart(node, openStart, openEnd) {\n if (openStart <= 0) { return node }\n var frag = node.content;\n if (openStart > 1)\n { frag = frag.replaceChild(0, closeNodeStart(frag.firstChild, openStart - 1, frag.childCount == 1 ? openEnd - 1 : 0)); }\n if (openStart > 0) {\n frag = node.type.contentMatch.fillBefore(frag).append(frag);\n if (openEnd <= 0) { frag = frag.append(node.type.contentMatch.matchFragment(frag).fillBefore(Fragment.empty, true)); }\n }\n return node.copy(frag)\n}\n\nfunction contentAfterFits($to, depth, type, match, open) {\n var node = $to.node(depth), index = open ? $to.indexAfter(depth) : $to.index(depth);\n if (index == node.childCount && !type.compatibleContent(node.type)) { return null }\n var fit = match.fillBefore(node.content, true, index);\n return fit && !invalidMarks(type, node.content, index) ? fit : null\n}\n\nfunction invalidMarks(type, fragment, start) {\n for (var i = start; i < fragment.childCount; i++)\n { if (!type.allowsMarks(fragment.child(i).marks)) { return true } }\n return false\n}\n\n// :: (number, number, Slice) → this\n// Replace a range of the document with a given slice, using `from`,\n// `to`, and the slice's [`openStart`](#model.Slice.openStart) property\n// as hints, rather than fixed start and end points. This method may\n// grow the replaced area or close open nodes in the slice in order to\n// get a fit that is more in line with WYSIWYG expectations, by\n// dropping fully covered parent nodes of the replaced region when\n// they are marked [non-defining](#model.NodeSpec.defining), or\n// including an open parent node from the slice that _is_ marked as\n// [defining](#model.NodeSpec.defining).\n//\n// This is the method, for example, to handle paste. The similar\n// [`replace`](#transform.Transform.replace) method is a more\n// primitive tool which will _not_ move the start and end of its given\n// range, and is useful in situations where you need more precise\n// control over what happens.\nTransform.prototype.replaceRange = function(from, to, slice) {\n if (!slice.size) { return this.deleteRange(from, to) }\n\n var $from = this.doc.resolve(from), $to = this.doc.resolve(to);\n if (fitsTrivially($from, $to, slice))\n { return this.step(new ReplaceStep(from, to, slice)) }\n\n var targetDepths = coveredDepths($from, this.doc.resolve(to));\n // Can't replace the whole document, so remove 0 if it's present\n if (targetDepths[targetDepths.length - 1] == 0) { targetDepths.pop(); }\n // Negative numbers represent not expansion over the whole node at\n // that depth, but replacing from $from.before(-D) to $to.pos.\n var preferredTarget = -($from.depth + 1);\n targetDepths.unshift(preferredTarget);\n // This loop picks a preferred target depth, if one of the covering\n // depths is not outside of a defining node, and adds negative\n // depths for any depth that has $from at its start and does not\n // cross a defining node.\n for (var d = $from.depth, pos = $from.pos - 1; d > 0; d--, pos--) {\n var spec = $from.node(d).type.spec;\n if (spec.defining || spec.isolating) { break }\n if (targetDepths.indexOf(d) > -1) { preferredTarget = d; }\n else if ($from.before(d) == pos) { targetDepths.splice(1, 0, -d); }\n }\n // Try to fit each possible depth of the slice into each possible\n // target depth, starting with the preferred depths.\n var preferredTargetIndex = targetDepths.indexOf(preferredTarget);\n\n var leftNodes = [], preferredDepth = slice.openStart;\n for (var content = slice.content, i = 0;; i++) {\n var node = content.firstChild;\n leftNodes.push(node);\n if (i == slice.openStart) { break }\n content = node.content;\n }\n // Back up if the node directly above openStart, or the node above\n // that separated only by a non-defining textblock node, is defining.\n if (preferredDepth > 0 && leftNodes[preferredDepth - 1].type.spec.defining &&\n $from.node(preferredTargetIndex).type != leftNodes[preferredDepth - 1].type)\n { preferredDepth -= 1; }\n else if (preferredDepth >= 2 && leftNodes[preferredDepth - 1].isTextblock && leftNodes[preferredDepth - 2].type.spec.defining &&\n $from.node(preferredTargetIndex).type != leftNodes[preferredDepth - 2].type)\n { preferredDepth -= 2; }\n\n for (var j = slice.openStart; j >= 0; j--) {\n var openDepth = (j + preferredDepth + 1) % (slice.openStart + 1);\n var insert = leftNodes[openDepth];\n if (!insert) { continue }\n for (var i$1 = 0; i$1 < targetDepths.length; i$1++) {\n // Loop over possible expansion levels, starting with the\n // preferred one\n var targetDepth = targetDepths[(i$1 + preferredTargetIndex) % targetDepths.length], expand = true;\n if (targetDepth < 0) { expand = false; targetDepth = -targetDepth; }\n var parent = $from.node(targetDepth - 1), index = $from.index(targetDepth - 1);\n if (parent.canReplaceWith(index, index, insert.type, insert.marks))\n { return this.replace($from.before(targetDepth), expand ? $to.after(targetDepth) : to,\n new Slice(closeFragment(slice.content, 0, slice.openStart, openDepth),\n openDepth, slice.openEnd)) }\n }\n }\n\n var startSteps = this.steps.length;\n for (var i$2 = targetDepths.length - 1; i$2 >= 0; i$2--) {\n this.replace(from, to, slice);\n if (this.steps.length > startSteps) { break }\n var depth = targetDepths[i$2];\n if (i$2 < 0) { continue }\n from = $from.before(depth); to = $to.after(depth);\n }\n return this\n};\n\nfunction closeFragment(fragment, depth, oldOpen, newOpen, parent) {\n if (depth < oldOpen) {\n var first = fragment.firstChild;\n fragment = fragment.replaceChild(0, first.copy(closeFragment(first.content, depth + 1, oldOpen, newOpen, first)));\n }\n if (depth > newOpen) {\n var match = parent.contentMatchAt(0);\n var start = match.fillBefore(fragment).append(fragment);\n fragment = start.append(match.matchFragment(start).fillBefore(Fragment.empty, true));\n }\n return fragment\n}\n\n// :: (number, number, Node) → this\n// Replace the given range with a node, but use `from` and `to` as\n// hints, rather than precise positions. When from and to are the same\n// and are at the start or end of a parent node in which the given\n// node doesn't fit, this method may _move_ them out towards a parent\n// that does allow the given node to be placed. When the given range\n// completely covers a parent node, this method may completely replace\n// that parent node.\nTransform.prototype.replaceRangeWith = function(from, to, node) {\n if (!node.isInline && from == to && this.doc.resolve(from).parent.content.size) {\n var point = insertPoint(this.doc, from, node.type);\n if (point != null) { from = to = point; }\n }\n return this.replaceRange(from, to, new Slice(Fragment.from(node), 0, 0))\n};\n\n// :: (number, number) → this\n// Delete the given range, expanding it to cover fully covered\n// parent nodes until a valid replace is found.\nTransform.prototype.deleteRange = function(from, to) {\n var $from = this.doc.resolve(from), $to = this.doc.resolve(to);\n var covered = coveredDepths($from, $to);\n for (var i = 0; i < covered.length; i++) {\n var depth = covered[i], last = i == covered.length - 1;\n if ((last && depth == 0) || $from.node(depth).type.contentMatch.validEnd)\n { return this.delete($from.start(depth), $to.end(depth)) }\n if (depth > 0 && (last || $from.node(depth - 1).canReplace($from.index(depth - 1), $to.indexAfter(depth - 1))))\n { return this.delete($from.before(depth), $to.after(depth)) }\n }\n for (var d = 1; d <= $from.depth && d <= $to.depth; d++) {\n if (from - $from.start(d) == $from.depth - d && to > $from.end(d) && $to.end(d) - to != $to.depth - d)\n { return this.delete($from.before(d), to) }\n }\n return this.delete(from, to)\n};\n\n// : (ResolvedPos, ResolvedPos) → [number]\n// Returns an array of all depths for which $from - $to spans the\n// whole content of the nodes at that depth.\nfunction coveredDepths($from, $to) {\n var result = [], minDepth = Math.min($from.depth, $to.depth);\n for (var d = minDepth; d >= 0; d--) {\n var start = $from.start(d);\n if (start < $from.pos - ($from.depth - d) ||\n $to.end(d) > $to.pos + ($to.depth - d) ||\n $from.node(d).type.spec.isolating ||\n $to.node(d).type.spec.isolating) { break }\n if (start == $to.start(d)) { result.push(d); }\n }\n return result\n}\n\nexport { AddMarkStep, MapResult, Mapping, RemoveMarkStep, ReplaceAroundStep, ReplaceStep, Step, StepMap, StepResult, Transform, TransformError, canJoin, canSplit, dropPoint, findWrapping, insertPoint, joinPoint, liftTarget, replaceStep };\n//# sourceMappingURL=index.es.js.map\n","import { Plugin } from 'prosemirror-state';\nimport { findWrapping, canJoin } from 'prosemirror-transform';\n\n// ::- Input rules are regular expressions describing a piece of text\n// that, when typed, causes something to happen. This might be\n// changing two dashes into an emdash, wrapping a paragraph starting\n// with `\"> \"` into a blockquote, or something entirely different.\nvar InputRule = function InputRule(match, handler) {\n this.match = match;\n this.handler = typeof handler == \"string\" ? stringHandler(handler) : handler;\n};\n\nfunction stringHandler(string) {\n return function(state, match, start, end) {\n var insert = string;\n if (match[1]) {\n var offset = match[0].lastIndexOf(match[1]);\n insert += match[0].slice(offset + match[1].length);\n start += offset;\n var cutOff = start - end;\n if (cutOff > 0) {\n insert = match[0].slice(offset - cutOff, offset) + insert;\n start = end;\n }\n }\n return state.tr.insertText(insert, start, end)\n }\n}\n\nvar MAX_MATCH = 500;\n\n// :: (config: {rules: [InputRule]}) → Plugin\n// Create an input rules plugin. When enabled, it will cause text\n// input that matches any of the given rules to trigger the rule's\n// action.\nfunction inputRules(ref) {\n var rules = ref.rules;\n\n var plugin = new Plugin({\n state: {\n init: function init() { return null },\n apply: function apply(tr, prev) {\n var stored = tr.getMeta(this);\n if (stored) { return stored }\n return tr.selectionSet || tr.docChanged ? null : prev\n }\n },\n\n props: {\n handleTextInput: function handleTextInput(view, from, to, text) {\n return run(view, from, to, text, rules, plugin)\n },\n handleDOMEvents: {\n compositionend: function (view) {\n setTimeout(function () {\n var ref = view.state.selection;\n var $cursor = ref.$cursor;\n if ($cursor) { run(view, $cursor.pos, $cursor.pos, \"\", rules, plugin); }\n });\n }\n }\n },\n\n isInputRules: true\n });\n return plugin\n}\n\nfunction run(view, from, to, text, rules, plugin) {\n if (view.composing) { return false }\n var state = view.state, $from = state.doc.resolve(from);\n if ($from.parent.type.spec.code) { return false }\n var textBefore = $from.parent.textBetween(Math.max(0, $from.parentOffset - MAX_MATCH), $from.parentOffset,\n null, \"\\ufffc\") + text;\n for (var i = 0; i < rules.length; i++) {\n var match = rules[i].match.exec(textBefore);\n var tr = match && rules[i].handler(state, match, from - (match[0].length - text.length), to);\n if (!tr) { continue }\n view.dispatch(tr.setMeta(plugin, {transform: tr, from: from, to: to, text: text}));\n return true\n }\n return false\n}\n\n// :: (EditorState, ?(Transaction)) → bool\n// This is a command that will undo an input rule, if applying such a\n// rule was the last thing that the user did.\nfunction undoInputRule(state, dispatch) {\n var plugins = state.plugins;\n for (var i = 0; i < plugins.length; i++) {\n var plugin = plugins[i], undoable = (void 0);\n if (plugin.spec.isInputRules && (undoable = plugin.getState(state))) {\n if (dispatch) {\n var tr = state.tr, toUndo = undoable.transform;\n for (var j = toUndo.steps.length - 1; j >= 0; j--)\n { tr.step(toUndo.steps[j].invert(toUndo.docs[j])); }\n var marks = tr.doc.resolve(undoable.from).marks();\n dispatch(tr.replaceWith(undoable.from, undoable.to, state.schema.text(undoable.text, marks)));\n }\n return true\n }\n }\n return false\n}\n\n// :: InputRule Converts double dashes to an emdash.\nvar emDash = new InputRule(/--$/, \"—\");\n// :: InputRule Converts three dots to an ellipsis character.\nvar ellipsis = new InputRule(/\\.\\.\\.$/, \"…\");\n// :: InputRule “Smart” opening double quotes.\nvar openDoubleQuote = new InputRule(/(?:^|[\\s\\{\\[\\(\\<'\"\\u2018\\u201C])(\")$/, \"“\");\n// :: InputRule “Smart” closing double quotes.\nvar closeDoubleQuote = new InputRule(/\"$/, \"”\");\n// :: InputRule “Smart” opening single quotes.\nvar openSingleQuote = new InputRule(/(?:^|[\\s\\{\\[\\(\\<'\"\\u2018\\u201C])(')$/, \"‘\");\n// :: InputRule “Smart” closing single quotes.\nvar closeSingleQuote = new InputRule(/'$/, \"’\");\n\n// :: [InputRule] Smart-quote related input rules.\nvar smartQuotes = [openDoubleQuote, closeDoubleQuote, openSingleQuote, closeSingleQuote];\n\n// :: (RegExp, NodeType, ?union<Object, ([string]) → ?Object>, ?([string], Node) → bool) → InputRule\n// Build an input rule for automatically wrapping a textblock when a\n// given string is typed. The `regexp` argument is\n// directly passed through to the `InputRule` constructor. You'll\n// probably want the regexp to start with `^`, so that the pattern can\n// only occur at the start of a textblock.\n//\n// `nodeType` is the type of node to wrap in. If it needs attributes,\n// you can either pass them directly, or pass a function that will\n// compute them from the regular expression match.\n//\n// By default, if there's a node with the same type above the newly\n// wrapped node, the rule will try to [join](#transform.Transform.join) those\n// two nodes. You can pass a join predicate, which takes a regular\n// expression match and the node before the wrapped node, and can\n// return a boolean to indicate whether a join should happen.\nfunction wrappingInputRule(regexp, nodeType, getAttrs, joinPredicate) {\n return new InputRule(regexp, function (state, match, start, end) {\n var attrs = getAttrs instanceof Function ? getAttrs(match) : getAttrs;\n var tr = state.tr.delete(start, end);\n var $start = tr.doc.resolve(start), range = $start.blockRange(), wrapping = range && findWrapping(range, nodeType, attrs);\n if (!wrapping) { return null }\n tr.wrap(range, wrapping);\n var before = tr.doc.resolve(start - 1).nodeBefore;\n if (before && before.type == nodeType && canJoin(tr.doc, start - 1) &&\n (!joinPredicate || joinPredicate(match, before)))\n { tr.join(start - 1); }\n return tr\n })\n}\n\n// :: (RegExp, NodeType, ?union<Object, ([string]) → ?Object>) → InputRule\n// Build an input rule that changes the type of a textblock when the\n// matched text is typed into it. You'll usually want to start your\n// regexp with `^` to that it is only matched at the start of a\n// textblock. The optional `getAttrs` parameter can be used to compute\n// the new node's attributes, and works the same as in the\n// `wrappingInputRule` function.\nfunction textblockTypeInputRule(regexp, nodeType, getAttrs) {\n return new InputRule(regexp, function (state, match, start, end) {\n var $start = state.doc.resolve(start);\n var attrs = getAttrs instanceof Function ? getAttrs(match) : getAttrs;\n if (!$start.node(-1).canReplaceWith($start.index(-1), $start.indexAfter(-1), nodeType)) { return null }\n return state.tr\n .delete(start, end)\n .setBlockType(start, start, nodeType, attrs)\n })\n}\n\nexport { InputRule, closeDoubleQuote, closeSingleQuote, ellipsis, emDash, inputRules, openDoubleQuote, openSingleQuote, smartQuotes, textblockTypeInputRule, undoInputRule, wrappingInputRule };\n//# sourceMappingURL=index.es.js.map\n","!function(t,e){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=e():\"function\"==typeof define&&define.amd?define(\"Directives/Tooltip\",[],e):\"object\"==typeof exports?exports[\"Directives/Tooltip\"]=e():(t.NextcloudVue=t.NextcloudVue||{},t.NextcloudVue[\"Directives/Tooltip\"]=e())}(window,(function(){return function(t){var e={};function r(o){if(e[o])return e[o].exports;var n=e[o]={i:o,l:!1,exports:{}};return t[o].call(n.exports,n,n.exports,r),n.l=!0,n.exports}return r.m=t,r.c=e,r.d=function(t,e,o){r.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:o})},r.r=function(t){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(t,\"__esModule\",{value:!0})},r.t=function(t,e){if(1&e&&(t=r(t)),8&e)return t;if(4&e&&\"object\"==typeof t&&t&&t.__esModule)return t;var o=Object.create(null);if(r.r(o),Object.defineProperty(o,\"default\",{enumerable:!0,value:t}),2&e&&\"string\"!=typeof t)for(var n in t)r.d(o,n,function(e){return t[e]}.bind(null,n));return o},r.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return r.d(e,\"a\",e),e},r.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},r.p=\"/dist/\",r(r.s=13)}({1:function(t,e,r){\"use strict\";t.exports=function(t){var e=[];return e.toString=function(){return this.map((function(e){var r=function(t,e){var r=t[1]||\"\",o=t[3];if(!o)return r;if(e&&\"function\"==typeof btoa){var n=(a=o,l=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),s=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(l),\"/*# \".concat(s,\" */\")),i=o.sources.map((function(t){return\"/*# sourceURL=\".concat(o.sourceRoot||\"\").concat(t,\" */\")}));return[r].concat(i).concat([n]).join(\"\\n\")}var a,l,s;return[r].join(\"\\n\")}(e,t);return e[2]?\"@media \".concat(e[2],\" {\").concat(r,\"}\"):r})).join(\"\")},e.i=function(t,r,o){\"string\"==typeof t&&(t=[[null,t,\"\"]]);var n={};if(o)for(var i=0;i<this.length;i++){var a=this[i][0];null!=a&&(n[a]=!0)}for(var l=0;l<t.length;l++){var s=[].concat(t[l]);o&&n[s[0]]||(r&&(s[2]?s[2]=\"\".concat(r,\" and \").concat(s[2]):s[2]=r),e.push(s))}},e}},13:function(t,e,r){\"use strict\";r.r(e);var o=r(4);r(42);\n/**\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\no.VTooltip.options.defaultTemplate='<div class=\"vue-tooltip\" role=\"tooltip\" data-v-'.concat(\"6f41c0d\",'><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>'),o.VTooltip.options.defaultHtml=!1,e.default=o.VTooltip},2:function(t,e,r){\"use strict\";function o(t,e){for(var r=[],o={},n=0;n<e.length;n++){var i=e[n],a=i[0],l={id:t+\":\"+n,css:i[1],media:i[2],sourceMap:i[3]};o[a]?o[a].parts.push(l):r.push(o[a]={id:a,parts:[l]})}return r}r.r(e),r.d(e,\"default\",(function(){return f}));var n=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!n)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var i={},a=n&&(document.head||document.getElementsByTagName(\"head\")[0]),l=null,s=0,d=!1,c=function(){},p=null,u=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function f(t,e,r,n){d=r,p=n||{};var a=o(t,e);return v(a),function(e){for(var r=[],n=0;n<a.length;n++){var l=a[n];(s=i[l.id]).refs--,r.push(s)}e?v(a=o(t,e)):a=[];for(n=0;n<r.length;n++){var s;if(0===(s=r[n]).refs){for(var d=0;d<s.parts.length;d++)s.parts[d]();delete i[s.id]}}}}function v(t){for(var e=0;e<t.length;e++){var r=t[e],o=i[r.id];if(o){o.refs++;for(var n=0;n<o.parts.length;n++)o.parts[n](r.parts[n]);for(;n<r.parts.length;n++)o.parts.push(b(r.parts[n]));o.parts.length>r.parts.length&&(o.parts.length=r.parts.length)}else{var a=[];for(n=0;n<r.parts.length;n++)a.push(b(r.parts[n]));i[r.id]={id:r.id,refs:1,parts:a}}}}function m(){var t=document.createElement(\"style\");return t.type=\"text/css\",a.appendChild(t),t}function b(t){var e,r,o=document.querySelector('style[data-vue-ssr-id~=\"'+t.id+'\"]');if(o){if(d)return c;o.parentNode.removeChild(o)}if(u){var n=s++;o=l||(l=m()),e=x.bind(null,o,n,!1),r=x.bind(null,o,n,!0)}else o=m(),e=y.bind(null,o),r=function(){o.parentNode.removeChild(o)};return e(t),function(o){if(o){if(o.css===t.css&&o.media===t.media&&o.sourceMap===t.sourceMap)return;e(t=o)}else r()}}var h,g=(h=[],function(t,e){return h[t]=e,h.filter(Boolean).join(\"\\n\")});function x(t,e,r,o){var n=r?\"\":o.css;if(t.styleSheet)t.styleSheet.cssText=g(e,n);else{var i=document.createTextNode(n),a=t.childNodes;a[e]&&t.removeChild(a[e]),a.length?t.insertBefore(i,a[e]):t.appendChild(i)}}function y(t,e){var r=e.css,o=e.media,n=e.sourceMap;if(o&&t.setAttribute(\"media\",o),p.ssrId&&t.setAttribute(\"data-vue-ssr-id\",e.id),n&&(r+=\"\\n/*# sourceURL=\"+n.sources[0]+\" */\",r+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(n))))+\" */\"),t.styleSheet)t.styleSheet.cssText=r;else{for(;t.firstChild;)t.removeChild(t.firstChild);t.appendChild(document.createTextNode(r))}}},4:function(t,e){t.exports=require(\"v-tooltip\")},42:function(t,e,r){var o=r(43);\"string\"==typeof o&&(o=[[t.i,o,\"\"]]),o.locals&&(t.exports=o.locals);(0,r(2).default)(\"941c791e\",o,!0,{})},43:function(t,e,r){(e=r(1)(!1)).push([t.i,\".vue-tooltip[data-v-6f41c0d]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;white-space:normal;text-decoration:none;letter-spacing:normal;word-spacing:normal;text-transform:none;word-wrap:normal;word-break:normal;opacity:0;text-shadow:none;font-family:'Nunito', 'Open Sans', Frutiger, Calibri, 'Myriad Pro', Myriad, sans-serif;font-size:12px;font-weight:normal;font-style:normal;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-6f41c0d][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-6f41c0d][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-6f41c0d][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-6f41c0d] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-6f41c0d] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\\n\",\"\"]),t.exports=e}})}));\n//# sourceMappingURL=Tooltip.js.map","var GOOD_LEAF_SIZE = 200;\n\n// :: class<T> A rope sequence is a persistent sequence data structure\n// that supports appending, prepending, and slicing without doing a\n// full copy. It is represented as a mostly-balanced tree.\nvar RopeSequence = function RopeSequence () {};\n\nRopeSequence.prototype.append = function append (other) {\n if (!other.length) { return this }\n other = RopeSequence.from(other);\n\n return (!this.length && other) ||\n (other.length < GOOD_LEAF_SIZE && this.leafAppend(other)) ||\n (this.length < GOOD_LEAF_SIZE && other.leafPrepend(this)) ||\n this.appendInner(other)\n};\n\n// :: (union<[T], RopeSequence<T>>) → RopeSequence<T>\n// Prepend an array or other rope to this one, returning a new rope.\nRopeSequence.prototype.prepend = function prepend (other) {\n if (!other.length) { return this }\n return RopeSequence.from(other).append(this)\n};\n\nRopeSequence.prototype.appendInner = function appendInner (other) {\n return new Append(this, other)\n};\n\n// :: (?number, ?number) → RopeSequence<T>\n// Create a rope repesenting a sub-sequence of this rope.\nRopeSequence.prototype.slice = function slice (from, to) {\n if ( from === void 0 ) from = 0;\n if ( to === void 0 ) to = this.length;\n\n if (from >= to) { return RopeSequence.empty }\n return this.sliceInner(Math.max(0, from), Math.min(this.length, to))\n};\n\n// :: (number) → T\n// Retrieve the element at the given position from this rope.\nRopeSequence.prototype.get = function get (i) {\n if (i < 0 || i >= this.length) { return undefined }\n return this.getInner(i)\n};\n\n// :: ((element: T, index: number) → ?bool, ?number, ?number)\n// Call the given function for each element between the given\n// indices. This tends to be more efficient than looping over the\n// indices and calling `get`, because it doesn't have to descend the\n// tree for every element.\nRopeSequence.prototype.forEach = function forEach (f, from, to) {\n if ( from === void 0 ) from = 0;\n if ( to === void 0 ) to = this.length;\n\n if (from <= to)\n { this.forEachInner(f, from, to, 0); }\n else\n { this.forEachInvertedInner(f, from, to, 0); }\n};\n\n// :: ((element: T, index: number) → U, ?number, ?number) → [U]\n// Map the given functions over the elements of the rope, producing\n// a flat array.\nRopeSequence.prototype.map = function map (f, from, to) {\n if ( from === void 0 ) from = 0;\n if ( to === void 0 ) to = this.length;\n\n var result = [];\n this.forEach(function (elt, i) { return result.push(f(elt, i)); }, from, to);\n return result\n};\n\n// :: (?union<[T], RopeSequence<T>>) → RopeSequence<T>\n// Create a rope representing the given array, or return the rope\n// itself if a rope was given.\nRopeSequence.from = function from (values) {\n if (values instanceof RopeSequence) { return values }\n return values && values.length ? new Leaf(values) : RopeSequence.empty\n};\n\nvar Leaf = /*@__PURE__*/(function (RopeSequence) {\n function Leaf(values) {\n RopeSequence.call(this);\n this.values = values;\n }\n\n if ( RopeSequence ) Leaf.__proto__ = RopeSequence;\n Leaf.prototype = Object.create( RopeSequence && RopeSequence.prototype );\n Leaf.prototype.constructor = Leaf;\n\n var prototypeAccessors = { length: { configurable: true },depth: { configurable: true } };\n\n Leaf.prototype.flatten = function flatten () {\n return this.values\n };\n\n Leaf.prototype.sliceInner = function sliceInner (from, to) {\n if (from == 0 && to == this.length) { return this }\n return new Leaf(this.values.slice(from, to))\n };\n\n Leaf.prototype.getInner = function getInner (i) {\n return this.values[i]\n };\n\n Leaf.prototype.forEachInner = function forEachInner (f, from, to, start) {\n for (var i = from; i < to; i++)\n { if (f(this.values[i], start + i) === false) { return false } }\n };\n\n Leaf.prototype.forEachInvertedInner = function forEachInvertedInner (f, from, to, start) {\n for (var i = from - 1; i >= to; i--)\n { if (f(this.values[i], start + i) === false) { return false } }\n };\n\n Leaf.prototype.leafAppend = function leafAppend (other) {\n if (this.length + other.length <= GOOD_LEAF_SIZE)\n { return new Leaf(this.values.concat(other.flatten())) }\n };\n\n Leaf.prototype.leafPrepend = function leafPrepend (other) {\n if (this.length + other.length <= GOOD_LEAF_SIZE)\n { return new Leaf(other.flatten().concat(this.values)) }\n };\n\n prototypeAccessors.length.get = function () { return this.values.length };\n\n prototypeAccessors.depth.get = function () { return 0 };\n\n Object.defineProperties( Leaf.prototype, prototypeAccessors );\n\n return Leaf;\n}(RopeSequence));\n\n// :: RopeSequence\n// The empty rope sequence.\nRopeSequence.empty = new Leaf([]);\n\nvar Append = /*@__PURE__*/(function (RopeSequence) {\n function Append(left, right) {\n RopeSequence.call(this);\n this.left = left;\n this.right = right;\n this.length = left.length + right.length;\n this.depth = Math.max(left.depth, right.depth) + 1;\n }\n\n if ( RopeSequence ) Append.__proto__ = RopeSequence;\n Append.prototype = Object.create( RopeSequence && RopeSequence.prototype );\n Append.prototype.constructor = Append;\n\n Append.prototype.flatten = function flatten () {\n return this.left.flatten().concat(this.right.flatten())\n };\n\n Append.prototype.getInner = function getInner (i) {\n return i < this.left.length ? this.left.get(i) : this.right.get(i - this.left.length)\n };\n\n Append.prototype.forEachInner = function forEachInner (f, from, to, start) {\n var leftLen = this.left.length;\n if (from < leftLen &&\n this.left.forEachInner(f, from, Math.min(to, leftLen), start) === false)\n { return false }\n if (to > leftLen &&\n this.right.forEachInner(f, Math.max(from - leftLen, 0), Math.min(this.length, to) - leftLen, start + leftLen) === false)\n { return false }\n };\n\n Append.prototype.forEachInvertedInner = function forEachInvertedInner (f, from, to, start) {\n var leftLen = this.left.length;\n if (from > leftLen &&\n this.right.forEachInvertedInner(f, from - leftLen, Math.max(to, leftLen) - leftLen, start + leftLen) === false)\n { return false }\n if (to < leftLen &&\n this.left.forEachInvertedInner(f, Math.min(from, leftLen), to, start) === false)\n { return false }\n };\n\n Append.prototype.sliceInner = function sliceInner (from, to) {\n if (from == 0 && to == this.length) { return this }\n var leftLen = this.left.length;\n if (to <= leftLen) { return this.left.slice(from, to) }\n if (from >= leftLen) { return this.right.slice(from - leftLen, to - leftLen) }\n return this.left.slice(from, leftLen).append(this.right.slice(0, to - leftLen))\n };\n\n Append.prototype.leafAppend = function leafAppend (other) {\n var inner = this.right.leafAppend(other);\n if (inner) { return new Append(this.left, inner) }\n };\n\n Append.prototype.leafPrepend = function leafPrepend (other) {\n var inner = this.left.leafPrepend(other);\n if (inner) { return new Append(inner, this.right) }\n };\n\n Append.prototype.appendInner = function appendInner (other) {\n if (this.left.depth >= Math.max(this.right.depth, other.depth) + 1)\n { return new Append(this.left, new Append(this.right, other)) }\n return new Append(this, other)\n };\n\n return Append;\n}(RopeSequence));\n\nvar ropeSequence = RopeSequence;\n\nexport default ropeSequence;\n","import RopeSequence from 'rope-sequence';\nimport { Mapping } from 'prosemirror-transform';\nimport { PluginKey, Plugin } from 'prosemirror-state';\n\n// ProseMirror's history isn't simply a way to roll back to a previous\n// state, because ProseMirror supports applying changes without adding\n// them to the history (for example during collaboration).\n//\n// To this end, each 'Branch' (one for the undo history and one for\n// the redo history) keeps an array of 'Items', which can optionally\n// hold a step (an actual undoable change), and always hold a position\n// map (which is needed to move changes below them to apply to the\n// current document).\n//\n// An item that has both a step and a selection bookmark is the start\n// of an 'event' — a group of changes that will be undone or redone at\n// once. (It stores only the bookmark, since that way we don't have to\n// provide a document until the selection is actually applied, which\n// is useful when compressing.)\n\n// Used to schedule history compression\nvar max_empty_items = 500;\n\nvar Branch = function Branch(items, eventCount) {\n this.items = items;\n this.eventCount = eventCount;\n};\n\n// : (EditorState, bool) → ?{transform: Transform, selection: ?SelectionBookmark, remaining: Branch}\n// Pop the latest event off the branch's history and apply it\n// to a document transform.\nBranch.prototype.popEvent = function popEvent (state, preserveItems) {\n var this$1 = this;\n\n if (this.eventCount == 0) { return null }\n\n var end = this.items.length;\n for (;; end--) {\n var next = this.items.get(end - 1);\n if (next.selection) { --end; break }\n }\n\n var remap, mapFrom;\n if (preserveItems) {\n remap = this.remapping(end, this.items.length);\n mapFrom = remap.maps.length;\n }\n var transform = state.tr;\n var selection, remaining;\n var addAfter = [], addBefore = [];\n\n this.items.forEach(function (item, i) {\n if (!item.step) {\n if (!remap) {\n remap = this$1.remapping(end, i + 1);\n mapFrom = remap.maps.length;\n }\n mapFrom--;\n addBefore.push(item);\n return\n }\n\n if (remap) {\n addBefore.push(new Item(item.map));\n var step = item.step.map(remap.slice(mapFrom)), map;\n\n if (step && transform.maybeStep(step).doc) {\n map = transform.mapping.maps[transform.mapping.maps.length - 1];\n addAfter.push(new Item(map, null, null, addAfter.length + addBefore.length));\n }\n mapFrom--;\n if (map) { remap.appendMap(map, mapFrom); }\n } else {\n transform.maybeStep(item.step);\n }\n\n if (item.selection) {\n selection = remap ? item.selection.map(remap.slice(mapFrom)) : item.selection;\n remaining = new Branch(this$1.items.slice(0, end).append(addBefore.reverse().concat(addAfter)), this$1.eventCount - 1);\n return false\n }\n }, this.items.length, 0);\n\n return {remaining: remaining, transform: transform, selection: selection}\n};\n\n// : (Transform, ?SelectionBookmark, Object) → Branch\n// Create a new branch with the given transform added.\nBranch.prototype.addTransform = function addTransform (transform, selection, histOptions, preserveItems) {\n var newItems = [], eventCount = this.eventCount;\n var oldItems = this.items, lastItem = !preserveItems && oldItems.length ? oldItems.get(oldItems.length - 1) : null;\n\n for (var i = 0; i < transform.steps.length; i++) {\n var step = transform.steps[i].invert(transform.docs[i]);\n var item = new Item(transform.mapping.maps[i], step, selection), merged = (void 0);\n if (merged = lastItem && lastItem.merge(item)) {\n item = merged;\n if (i) { newItems.pop(); }\n else { oldItems = oldItems.slice(0, oldItems.length - 1); }\n }\n newItems.push(item);\n if (selection) {\n eventCount++;\n selection = null;\n }\n if (!preserveItems) { lastItem = item; }\n }\n var overflow = eventCount - histOptions.depth;\n if (overflow > DEPTH_OVERFLOW) {\n oldItems = cutOffEvents(oldItems, overflow);\n eventCount -= overflow;\n }\n return new Branch(oldItems.append(newItems), eventCount)\n};\n\nBranch.prototype.remapping = function remapping (from, to) {\n var maps = new Mapping;\n this.items.forEach(function (item, i) {\n var mirrorPos = item.mirrorOffset != null && i - item.mirrorOffset >= from\n ? maps.maps.length - item.mirrorOffset : null;\n maps.appendMap(item.map, mirrorPos);\n }, from, to);\n return maps\n};\n\nBranch.prototype.addMaps = function addMaps (array) {\n if (this.eventCount == 0) { return this }\n return new Branch(this.items.append(array.map(function (map) { return new Item(map); })), this.eventCount)\n};\n\n// : (Transform, number)\n// When the collab module receives remote changes, the history has\n// to know about those, so that it can adjust the steps that were\n// rebased on top of the remote changes, and include the position\n// maps for the remote changes in its array of items.\nBranch.prototype.rebased = function rebased (rebasedTransform, rebasedCount) {\n if (!this.eventCount) { return this }\n\n var rebasedItems = [], start = Math.max(0, this.items.length - rebasedCount);\n\n var mapping = rebasedTransform.mapping;\n var newUntil = rebasedTransform.steps.length;\n var eventCount = this.eventCount;\n this.items.forEach(function (item) { if (item.selection) { eventCount--; } }, start);\n\n var iRebased = rebasedCount;\n this.items.forEach(function (item) {\n var pos = mapping.getMirror(--iRebased);\n if (pos == null) { return }\n newUntil = Math.min(newUntil, pos);\n var map = mapping.maps[pos];\n if (item.step) {\n var step = rebasedTransform.steps[pos].invert(rebasedTransform.docs[pos]);\n var selection = item.selection && item.selection.map(mapping.slice(iRebased + 1, pos));\n if (selection) { eventCount++; }\n rebasedItems.push(new Item(map, step, selection));\n } else {\n rebasedItems.push(new Item(map));\n }\n }, start);\n\n var newMaps = [];\n for (var i = rebasedCount; i < newUntil; i++)\n { newMaps.push(new Item(mapping.maps[i])); }\n var items = this.items.slice(0, start).append(newMaps).append(rebasedItems);\n var branch = new Branch(items, eventCount);\n\n if (branch.emptyItemCount() > max_empty_items)\n { branch = branch.compress(this.items.length - rebasedItems.length); }\n return branch\n};\n\nBranch.prototype.emptyItemCount = function emptyItemCount () {\n var count = 0;\n this.items.forEach(function (item) { if (!item.step) { count++; } });\n return count\n};\n\n// Compressing a branch means rewriting it to push the air (map-only\n// items) out. During collaboration, these naturally accumulate\n// because each remote change adds one. The `upto` argument is used\n// to ensure that only the items below a given level are compressed,\n// because `rebased` relies on a clean, untouched set of items in\n// order to associate old items with rebased steps.\nBranch.prototype.compress = function compress (upto) {\n if ( upto === void 0 ) upto = this.items.length;\n\n var remap = this.remapping(0, upto), mapFrom = remap.maps.length;\n var items = [], events = 0;\n this.items.forEach(function (item, i) {\n if (i >= upto) {\n items.push(item);\n if (item.selection) { events++; }\n } else if (item.step) {\n var step = item.step.map(remap.slice(mapFrom)), map = step && step.getMap();\n mapFrom--;\n if (map) { remap.appendMap(map, mapFrom); }\n if (step) {\n var selection = item.selection && item.selection.map(remap.slice(mapFrom));\n if (selection) { events++; }\n var newItem = new Item(map.invert(), step, selection), merged, last = items.length - 1;\n if (merged = items.length && items[last].merge(newItem))\n { items[last] = merged; }\n else\n { items.push(newItem); }\n }\n } else if (item.map) {\n mapFrom--;\n }\n }, this.items.length, 0);\n return new Branch(RopeSequence.from(items.reverse()), events)\n};\n\nBranch.empty = new Branch(RopeSequence.empty, 0);\n\nfunction cutOffEvents(items, n) {\n var cutPoint;\n items.forEach(function (item, i) {\n if (item.selection && (n-- == 0)) {\n cutPoint = i;\n return false\n }\n });\n return items.slice(cutPoint)\n}\n\nvar Item = function Item(map, step, selection, mirrorOffset) {\n // The (forward) step map for this item.\n this.map = map;\n // The inverted step\n this.step = step;\n // If this is non-null, this item is the start of a group, and\n // this selection is the starting selection for the group (the one\n // that was active before the first step was applied)\n this.selection = selection;\n // If this item is the inverse of a previous mapping on the stack,\n // this points at the inverse's offset\n this.mirrorOffset = mirrorOffset;\n};\n\nItem.prototype.merge = function merge (other) {\n if (this.step && other.step && !other.selection) {\n var step = other.step.merge(this.step);\n if (step) { return new Item(step.getMap().invert(), step, this.selection) }\n }\n};\n\n// The value of the state field that tracks undo/redo history for that\n// state. Will be stored in the plugin state when the history plugin\n// is active.\nvar HistoryState = function HistoryState(done, undone, prevRanges, prevTime) {\n this.done = done;\n this.undone = undone;\n this.prevRanges = prevRanges;\n this.prevTime = prevTime;\n};\n\nvar DEPTH_OVERFLOW = 20;\n\n// : (HistoryState, EditorState, Transaction, Object)\n// Record a transformation in undo history.\nfunction applyTransaction(history, state, tr, options) {\n var historyTr = tr.getMeta(historyKey), rebased;\n if (historyTr) { return historyTr.historyState }\n\n if (tr.getMeta(closeHistoryKey)) { history = new HistoryState(history.done, history.undone, null, 0); }\n\n var appended = tr.getMeta(\"appendedTransaction\");\n\n if (tr.steps.length == 0) {\n return history\n } else if (appended && appended.getMeta(historyKey)) {\n if (appended.getMeta(historyKey).redo)\n { return new HistoryState(history.done.addTransform(tr, null, options, mustPreserveItems(state)),\n history.undone, rangesFor(tr.mapping.maps[tr.steps.length - 1]), history.prevTime) }\n else\n { return new HistoryState(history.done, history.undone.addTransform(tr, null, options, mustPreserveItems(state)),\n null, history.prevTime) }\n } else if (tr.getMeta(\"addToHistory\") !== false && !(appended && appended.getMeta(\"addToHistory\") === false)) {\n // Group transforms that occur in quick succession into one event.\n var newGroup = history.prevTime == 0 || !appended && (history.prevTime < (tr.time || 0) - options.newGroupDelay ||\n !isAdjacentTo(tr, history.prevRanges));\n var prevRanges = appended ? mapRanges(history.prevRanges, tr.mapping) : rangesFor(tr.mapping.maps[tr.steps.length - 1]);\n return new HistoryState(history.done.addTransform(tr, newGroup ? state.selection.getBookmark() : null,\n options, mustPreserveItems(state)),\n Branch.empty, prevRanges, tr.time)\n } else if (rebased = tr.getMeta(\"rebased\")) {\n // Used by the collab module to tell the history that some of its\n // content has been rebased.\n return new HistoryState(history.done.rebased(tr, rebased),\n history.undone.rebased(tr, rebased),\n mapRanges(history.prevRanges, tr.mapping), history.prevTime)\n } else {\n return new HistoryState(history.done.addMaps(tr.mapping.maps),\n history.undone.addMaps(tr.mapping.maps),\n mapRanges(history.prevRanges, tr.mapping), history.prevTime)\n }\n}\n\nfunction isAdjacentTo(transform, prevRanges) {\n if (!prevRanges) { return false }\n if (!transform.docChanged) { return true }\n var adjacent = false;\n transform.mapping.maps[0].forEach(function (start, end) {\n for (var i = 0; i < prevRanges.length; i += 2)\n { if (start <= prevRanges[i + 1] && end >= prevRanges[i])\n { adjacent = true; } }\n });\n return adjacent\n}\n\nfunction rangesFor(map) {\n var result = [];\n map.forEach(function (_from, _to, from, to) { return result.push(from, to); });\n return result\n}\n\nfunction mapRanges(ranges, mapping) {\n if (!ranges) { return null }\n var result = [];\n for (var i = 0; i < ranges.length; i += 2) {\n var from = mapping.map(ranges[i], 1), to = mapping.map(ranges[i + 1], -1);\n if (from <= to) { result.push(from, to); }\n }\n return result\n}\n\n// : (HistoryState, EditorState, (tr: Transaction), bool)\n// Apply the latest event from one branch to the document and shift the event\n// onto the other branch.\nfunction histTransaction(history, state, dispatch, redo) {\n var preserveItems = mustPreserveItems(state), histOptions = historyKey.get(state).spec.config;\n var pop = (redo ? history.undone : history.done).popEvent(state, preserveItems);\n if (!pop) { return }\n\n var selection = pop.selection.resolve(pop.transform.doc);\n var added = (redo ? history.done : history.undone).addTransform(pop.transform, state.selection.getBookmark(),\n histOptions, preserveItems);\n\n var newHist = new HistoryState(redo ? added : pop.remaining, redo ? pop.remaining : added, null, 0);\n dispatch(pop.transform.setSelection(selection).setMeta(historyKey, {redo: redo, historyState: newHist}).scrollIntoView());\n}\n\nvar cachedPreserveItems = false, cachedPreserveItemsPlugins = null;\n// Check whether any plugin in the given state has a\n// `historyPreserveItems` property in its spec, in which case we must\n// preserve steps exactly as they came in, so that they can be\n// rebased.\nfunction mustPreserveItems(state) {\n var plugins = state.plugins;\n if (cachedPreserveItemsPlugins != plugins) {\n cachedPreserveItems = false;\n cachedPreserveItemsPlugins = plugins;\n for (var i = 0; i < plugins.length; i++) { if (plugins[i].spec.historyPreserveItems) {\n cachedPreserveItems = true;\n break\n } }\n }\n return cachedPreserveItems\n}\n\n// :: (Transaction) → Transaction\n// Set a flag on the given transaction that will prevent further steps\n// from being appended to an existing history event (so that they\n// require a separate undo command to undo).\nfunction closeHistory(tr) {\n return tr.setMeta(closeHistoryKey, true)\n}\n\nvar historyKey = new PluginKey(\"history\");\nvar closeHistoryKey = new PluginKey(\"closeHistory\");\n\n// :: (?Object) → Plugin\n// Returns a plugin that enables the undo history for an editor. The\n// plugin will track undo and redo stacks, which can be used with the\n// [`undo`](#history.undo) and [`redo`](#history.redo) commands.\n//\n// You can set an `\"addToHistory\"` [metadata\n// property](#state.Transaction.setMeta) of `false` on a transaction\n// to prevent it from being rolled back by undo.\n//\n// config::-\n// Supports the following configuration options:\n//\n// depth:: ?number\n// The amount of history events that are collected before the\n// oldest events are discarded. Defaults to 100.\n//\n// newGroupDelay:: ?number\n// The delay between changes after which a new group should be\n// started. Defaults to 500 (milliseconds). Note that when changes\n// aren't adjacent, a new group is always started.\nfunction history(config) {\n config = {depth: config && config.depth || 100,\n newGroupDelay: config && config.newGroupDelay || 500};\n return new Plugin({\n key: historyKey,\n\n state: {\n init: function init() {\n return new HistoryState(Branch.empty, Branch.empty, null, 0)\n },\n apply: function apply(tr, hist, state) {\n return applyTransaction(hist, state, tr, config)\n }\n },\n\n config: config\n })\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// A command function that undoes the last change, if any.\nfunction undo(state, dispatch) {\n var hist = historyKey.getState(state);\n if (!hist || hist.done.eventCount == 0) { return false }\n if (dispatch) { histTransaction(hist, state, dispatch, false); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// A command function that redoes the last undone change, if any.\nfunction redo(state, dispatch) {\n var hist = historyKey.getState(state);\n if (!hist || hist.undone.eventCount == 0) { return false }\n if (dispatch) { histTransaction(hist, state, dispatch, true); }\n return true\n}\n\n// :: (EditorState) → number\n// The amount of undoable events available in a given state.\nfunction undoDepth(state) {\n var hist = historyKey.getState(state);\n return hist ? hist.done.eventCount : 0\n}\n\n// :: (EditorState) → number\n// The amount of redoable events available in a given editor state.\nfunction redoDepth(state) {\n var hist = historyKey.getState(state);\n return hist ? hist.undone.eventCount : 0\n}\n\nexport { HistoryState, closeHistory, history, redo, redoDepth, undo, undoDepth };\n//# sourceMappingURL=index.es.js.map\n","\n /*!\n * tiptap-extensions v1.29.0\n * (c) 2020 Scrumpy UG (limited liability)\n * @license MIT\n */\n \nimport { Node, Plugin, PluginKey, Mark, Extension } from 'tiptap';\nimport { toggleWrap, wrappingInputRule, toggleList, toggleBlockType, setBlockType, textblockTypeInputRule, chainCommands, exitCode, nodeInputRule, splitListItem, sinkListItem, liftListItem, insertText, replaceText, splitToDefaultListItem, toggleMark, markInputRule, markPasteRule, updateMark, removeMark, pasteRule } from 'tiptap-commands';\nimport low from 'lowlight/lib/core';\nimport { DecorationSet, Decoration } from 'prosemirror-view';\nimport { findBlockNodes, createTable } from 'prosemirror-utils';\nimport { Plugin as Plugin$1, PluginKey as PluginKey$1, TextSelection } from 'prosemirror-state';\nimport { tableNodes, addColumnBefore, addColumnAfter, deleteColumn, addRowBefore, addRowAfter, deleteRow, deleteTable, mergeCells, splitCell, toggleHeaderColumn, toggleHeaderRow, toggleHeaderCell, setCellAttr, fixTables, goToNextCell, columnResizing, tableEditing } from 'prosemirror-tables';\nimport { getMarkAttrs, nodeEqualsType } from 'tiptap-utils';\nimport { Step } from 'prosemirror-transform';\nimport { sendableSteps, getVersion, receiveTransaction, collab } from 'prosemirror-collab';\nimport { undo, redo, undoDepth, redoDepth, history } from 'prosemirror-history';\n\nfunction _classCallCheck(instance, Constructor) {\n if (!(instance instanceof Constructor)) {\n throw new TypeError(\"Cannot call a class as a function\");\n }\n}\n\nfunction _defineProperties(target, props) {\n for (var i = 0; i < props.length; i++) {\n var descriptor = props[i];\n descriptor.enumerable = descriptor.enumerable || false;\n descriptor.configurable = true;\n if (\"value\" in descriptor) descriptor.writable = true;\n Object.defineProperty(target, descriptor.key, descriptor);\n }\n}\n\nfunction _createClass(Constructor, protoProps, staticProps) {\n if (protoProps) _defineProperties(Constructor.prototype, protoProps);\n if (staticProps) _defineProperties(Constructor, staticProps);\n return Constructor;\n}\n\nfunction _defineProperty(obj, key, value) {\n if (key in obj) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n } else {\n obj[key] = value;\n }\n\n return obj;\n}\n\nfunction ownKeys(object, enumerableOnly) {\n var keys = Object.keys(object);\n\n if (Object.getOwnPropertySymbols) {\n var symbols = Object.getOwnPropertySymbols(object);\n if (enumerableOnly) symbols = symbols.filter(function (sym) {\n return Object.getOwnPropertyDescriptor(object, sym).enumerable;\n });\n keys.push.apply(keys, symbols);\n }\n\n return keys;\n}\n\nfunction _objectSpread2(target) {\n for (var i = 1; i < arguments.length; i++) {\n var source = arguments[i] != null ? arguments[i] : {};\n\n if (i % 2) {\n ownKeys(Object(source), true).forEach(function (key) {\n _defineProperty(target, key, source[key]);\n });\n } else if (Object.getOwnPropertyDescriptors) {\n Object.defineProperties(target, Object.getOwnPropertyDescriptors(source));\n } else {\n ownKeys(Object(source)).forEach(function (key) {\n Object.defineProperty(target, key, Object.getOwnPropertyDescriptor(source, key));\n });\n }\n }\n\n return target;\n}\n\nfunction _inherits(subClass, superClass) {\n if (typeof superClass !== \"function\" && superClass !== null) {\n throw new TypeError(\"Super expression must either be null or a function\");\n }\n\n subClass.prototype = Object.create(superClass && superClass.prototype, {\n constructor: {\n value: subClass,\n writable: true,\n configurable: true\n }\n });\n if (superClass) _setPrototypeOf(subClass, superClass);\n}\n\nfunction _getPrototypeOf(o) {\n _getPrototypeOf = Object.setPrototypeOf ? Object.getPrototypeOf : function _getPrototypeOf(o) {\n return o.__proto__ || Object.getPrototypeOf(o);\n };\n return _getPrototypeOf(o);\n}\n\nfunction _setPrototypeOf(o, p) {\n _setPrototypeOf = Object.setPrototypeOf || function _setPrototypeOf(o, p) {\n o.__proto__ = p;\n return o;\n };\n\n return _setPrototypeOf(o, p);\n}\n\nfunction _isNativeReflectConstruct() {\n if (typeof Reflect === \"undefined\" || !Reflect.construct) return false;\n if (Reflect.construct.sham) return false;\n if (typeof Proxy === \"function\") return true;\n\n try {\n Date.prototype.toString.call(Reflect.construct(Date, [], function () {}));\n return true;\n } catch (e) {\n return false;\n }\n}\n\nfunction _assertThisInitialized(self) {\n if (self === void 0) {\n throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\");\n }\n\n return self;\n}\n\nfunction _possibleConstructorReturn(self, call) {\n if (call && (typeof call === \"object\" || typeof call === \"function\")) {\n return call;\n }\n\n return _assertThisInitialized(self);\n}\n\nfunction _createSuper(Derived) {\n return function () {\n var Super = _getPrototypeOf(Derived),\n result;\n\n if (_isNativeReflectConstruct()) {\n var NewTarget = _getPrototypeOf(this).constructor;\n\n result = Reflect.construct(Super, arguments, NewTarget);\n } else {\n result = Super.apply(this, arguments);\n }\n\n return _possibleConstructorReturn(this, result);\n };\n}\n\nfunction _slicedToArray(arr, i) {\n return _arrayWithHoles(arr) || _iterableToArrayLimit(arr, i) || _unsupportedIterableToArray(arr, i) || _nonIterableRest();\n}\n\nfunction _toConsumableArray(arr) {\n return _arrayWithoutHoles(arr) || _iterableToArray(arr) || _unsupportedIterableToArray(arr) || _nonIterableSpread();\n}\n\nfunction _arrayWithoutHoles(arr) {\n if (Array.isArray(arr)) return _arrayLikeToArray(arr);\n}\n\nfunction _arrayWithHoles(arr) {\n if (Array.isArray(arr)) return arr;\n}\n\nfunction _iterableToArray(iter) {\n if (typeof Symbol !== \"undefined\" && Symbol.iterator in Object(iter)) return Array.from(iter);\n}\n\nfunction _iterableToArrayLimit(arr, i) {\n if (typeof Symbol === \"undefined\" || !(Symbol.iterator in Object(arr))) return;\n var _arr = [];\n var _n = true;\n var _d = false;\n var _e = undefined;\n\n try {\n for (var _i = arr[Symbol.iterator](), _s; !(_n = (_s = _i.next()).done); _n = true) {\n _arr.push(_s.value);\n\n if (i && _arr.length === i) break;\n }\n } catch (err) {\n _d = true;\n _e = err;\n } finally {\n try {\n if (!_n && _i[\"return\"] != null) _i[\"return\"]();\n } finally {\n if (_d) throw _e;\n }\n }\n\n return _arr;\n}\n\nfunction _unsupportedIterableToArray(o, minLen) {\n if (!o) return;\n if (typeof o === \"string\") return _arrayLikeToArray(o, minLen);\n var n = Object.prototype.toString.call(o).slice(8, -1);\n if (n === \"Object\" && o.constructor) n = o.constructor.name;\n if (n === \"Map\" || n === \"Set\") return Array.from(n);\n if (n === \"Arguments\" || /^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)) return _arrayLikeToArray(o, minLen);\n}\n\nfunction _arrayLikeToArray(arr, len) {\n if (len == null || len > arr.length) len = arr.length;\n\n for (var i = 0, arr2 = new Array(len); i < len; i++) arr2[i] = arr[i];\n\n return arr2;\n}\n\nfunction _nonIterableSpread() {\n throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nfunction _nonIterableRest() {\n throw new TypeError(\"Invalid attempt to destructure non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nvar Blockquote = /*#__PURE__*/function (_Node) {\n _inherits(Blockquote, _Node);\n\n var _super = _createSuper(Blockquote);\n\n function Blockquote() {\n _classCallCheck(this, Blockquote);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Blockquote, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function () {\n return toggleWrap(type, schema.nodes.paragraph);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref2) {\n var type = _ref2.type;\n return {\n 'Ctrl->': toggleWrap(type)\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [wrappingInputRule(/^\\s*>\\s$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'blockquote';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'block*',\n group: 'block',\n defining: true,\n draggable: false,\n parseDOM: [{\n tag: 'blockquote'\n }],\n toDOM: function toDOM() {\n return ['blockquote', 0];\n }\n };\n }\n }]);\n\n return Blockquote;\n}(Node);\n\nvar BulletList = /*#__PURE__*/function (_Node) {\n _inherits(BulletList, _Node);\n\n var _super = _createSuper(BulletList);\n\n function BulletList() {\n _classCallCheck(this, BulletList);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(BulletList, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function () {\n return toggleList(type, schema.nodes.list_item);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref2) {\n var type = _ref2.type,\n schema = _ref2.schema;\n return {\n 'Shift-Ctrl-8': toggleList(type, schema.nodes.list_item)\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [wrappingInputRule(/^\\s*([-+*])\\s$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'bullet_list';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'list_item+',\n group: 'block',\n parseDOM: [{\n tag: 'ul'\n }],\n toDOM: function toDOM() {\n return ['ul', 0];\n }\n };\n }\n }]);\n\n return BulletList;\n}(Node);\n\nvar CodeBlock = /*#__PURE__*/function (_Node) {\n _inherits(CodeBlock, _Node);\n\n var _super = _createSuper(CodeBlock);\n\n function CodeBlock() {\n _classCallCheck(this, CodeBlock);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(CodeBlock, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function () {\n return toggleBlockType(type, schema.nodes.paragraph);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref2) {\n var type = _ref2.type;\n return {\n 'Shift-Ctrl-\\\\': setBlockType(type)\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [textblockTypeInputRule(/^```$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'code_block';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'text*',\n marks: '',\n group: 'block',\n code: true,\n defining: true,\n draggable: false,\n parseDOM: [{\n tag: 'pre',\n preserveWhitespace: 'full'\n }],\n toDOM: function toDOM() {\n return ['pre', ['code', 0]];\n }\n };\n }\n }]);\n\n return CodeBlock;\n}(Node);\n\nfunction getDecorations(_ref) {\n var doc = _ref.doc,\n name = _ref.name;\n var decorations = [];\n var blocks = findBlockNodes(doc).filter(function (item) {\n return item.node.type.name === name;\n });\n\n var flatten = function flatten(list) {\n return list.reduce(function (a, b) {\n return a.concat(Array.isArray(b) ? flatten(b) : b);\n }, []);\n };\n\n function parseNodes(nodes) {\n var className = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : [];\n return nodes.map(function (node) {\n var classes = [].concat(_toConsumableArray(className), _toConsumableArray(node.properties ? node.properties.className : []));\n\n if (node.children) {\n return parseNodes(node.children, classes);\n }\n\n return {\n text: node.value,\n classes: classes\n };\n });\n }\n\n blocks.forEach(function (block) {\n var startPos = block.pos + 1;\n var nodes = low.highlightAuto(block.node.textContent).value;\n flatten(parseNodes(nodes)).map(function (node) {\n var from = startPos;\n var to = from + node.text.length;\n startPos = to;\n return _objectSpread2({}, node, {\n from: from,\n to: to\n });\n }).forEach(function (node) {\n var decoration = Decoration.inline(node.from, node.to, {\n class: node.classes.join(' ')\n });\n decorations.push(decoration);\n });\n });\n return DecorationSet.create(doc, decorations);\n}\n\nfunction HighlightPlugin(_ref2) {\n var name = _ref2.name;\n return new Plugin({\n name: new PluginKey('highlight'),\n state: {\n init: function init(_, _ref3) {\n var doc = _ref3.doc;\n return getDecorations({\n doc: doc,\n name: name\n });\n },\n apply: function apply(transaction, decorationSet, oldState, newState) {\n // TODO: find way to cache decorations\n // https://discuss.prosemirror.net/t/how-to-update-multiple-inline-decorations-on-node-change/1493\n var oldNodeName = oldState.selection.$head.parent.type.name;\n var newNodeName = newState.selection.$head.parent.type.name;\n var oldNodes = findBlockNodes(oldState.doc).filter(function (item) {\n return item.node.type.name === name;\n });\n var newNodes = findBlockNodes(newState.doc).filter(function (item) {\n return item.node.type.name === name;\n }); // Apply decorations if selection includes named node, or transaction changes named node.\n\n if (transaction.docChanged && ([oldNodeName, newNodeName].includes(name) || newNodes.length !== oldNodes.length)) {\n return getDecorations({\n doc: transaction.doc,\n name: name\n });\n }\n\n return decorationSet.map(transaction.mapping, transaction.doc);\n }\n },\n props: {\n decorations: function decorations(state) {\n return this.getState(state);\n }\n }\n });\n}\n\nvar CodeBlockHighlight = /*#__PURE__*/function (_Node) {\n _inherits(CodeBlockHighlight, _Node);\n\n var _super = _createSuper(CodeBlockHighlight);\n\n function CodeBlockHighlight() {\n var _this;\n\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, CodeBlockHighlight);\n\n _this = _super.call(this, options);\n\n try {\n Object.entries(_this.options.languages).forEach(function (_ref) {\n var _ref2 = _slicedToArray(_ref, 2),\n name = _ref2[0],\n mapping = _ref2[1];\n\n low.registerLanguage(name, mapping);\n });\n } catch (err) {\n throw new Error('Invalid syntax highlight definitions: define at least one highlight.js language mapping');\n }\n\n return _this;\n }\n\n _createClass(CodeBlockHighlight, [{\n key: \"commands\",\n value: function commands(_ref3) {\n var type = _ref3.type,\n schema = _ref3.schema;\n return function () {\n return toggleBlockType(type, schema.nodes.paragraph);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref4) {\n var type = _ref4.type;\n return {\n 'Shift-Ctrl-\\\\': setBlockType(type)\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref5) {\n var type = _ref5.type;\n return [textblockTypeInputRule(/^```$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'code_block';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n languages: {}\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'text*',\n marks: '',\n group: 'block',\n code: true,\n defining: true,\n draggable: false,\n parseDOM: [{\n tag: 'pre',\n preserveWhitespace: 'full'\n }],\n toDOM: function toDOM() {\n return ['pre', ['code', 0]];\n }\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [HighlightPlugin({\n name: this.name\n })];\n }\n }]);\n\n return CodeBlockHighlight;\n}(Node);\n\nvar HardBreak = /*#__PURE__*/function (_Node) {\n _inherits(HardBreak, _Node);\n\n var _super = _createSuper(HardBreak);\n\n function HardBreak() {\n _classCallCheck(this, HardBreak);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(HardBreak, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n var command = chainCommands(exitCode, function (state, dispatch) {\n dispatch(state.tr.replaceSelectionWith(type.create()).scrollIntoView());\n return true;\n });\n return {\n 'Mod-Enter': command,\n 'Shift-Enter': command\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'hard_break';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n inline: true,\n group: 'inline',\n selectable: false,\n parseDOM: [{\n tag: 'br'\n }],\n toDOM: function toDOM() {\n return ['br'];\n }\n };\n }\n }]);\n\n return HardBreak;\n}(Node);\n\nvar Heading = /*#__PURE__*/function (_Node) {\n _inherits(Heading, _Node);\n\n var _super = _createSuper(Heading);\n\n function Heading() {\n _classCallCheck(this, Heading);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Heading, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function (attrs) {\n return toggleBlockType(type, schema.nodes.paragraph, attrs);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref2) {\n var type = _ref2.type;\n return this.options.levels.reduce(function (items, level) {\n return _objectSpread2({}, items, {}, _defineProperty({}, \"Shift-Ctrl-\".concat(level), setBlockType(type, {\n level: level\n })));\n }, {});\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return this.options.levels.map(function (level) {\n return textblockTypeInputRule(new RegExp(\"^(#{1,\".concat(level, \"})\\\\s$\")), type, function () {\n return {\n level: level\n };\n });\n });\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'heading';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n levels: [1, 2, 3, 4, 5, 6]\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n attrs: {\n level: {\n default: 1\n }\n },\n content: 'inline*',\n group: 'block',\n defining: true,\n draggable: false,\n parseDOM: this.options.levels.map(function (level) {\n return {\n tag: \"h\".concat(level),\n attrs: {\n level: level\n }\n };\n }),\n toDOM: function toDOM(node) {\n return [\"h\".concat(node.attrs.level), 0];\n }\n };\n }\n }]);\n\n return Heading;\n}(Node);\n\nvar HorizontalRule = /*#__PURE__*/function (_Node) {\n _inherits(HorizontalRule, _Node);\n\n var _super = _createSuper(HorizontalRule);\n\n function HorizontalRule() {\n _classCallCheck(this, HorizontalRule);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(HorizontalRule, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type;\n return function () {\n return function (state, dispatch) {\n return dispatch(state.tr.replaceSelectionWith(type.create()));\n };\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref2) {\n var type = _ref2.type;\n return [nodeInputRule(/^(?:---|___\\s|\\*\\*\\*\\s)$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'horizontal_rule';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n group: 'block',\n parseDOM: [{\n tag: 'hr'\n }],\n toDOM: function toDOM() {\n return ['hr'];\n }\n };\n }\n }]);\n\n return HorizontalRule;\n}(Node);\n\n/**\n * Matches following attributes in Markdown-typed image: [, alt, src, title]\n *\n * Example:\n * ![Lorem](image.jpg) -> [, \"Lorem\", \"image.jpg\"]\n * ![](image.jpg \"Ipsum\") -> [, \"\", \"image.jpg\", \"Ipsum\"]\n * ![Lorem](image.jpg \"Ipsum\") -> [, \"Lorem\", \"image.jpg\", \"Ipsum\"]\n */\n\nvar IMAGE_INPUT_REGEX = /!\\[(.+|:?)]\\((\\S+)(?:(?:\\s+)[\"'](\\S+)[\"'])?\\)/;\n\nvar Image = /*#__PURE__*/function (_Node) {\n _inherits(Image, _Node);\n\n var _super = _createSuper(Image);\n\n function Image() {\n _classCallCheck(this, Image);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Image, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type;\n return function (attrs) {\n return function (state, dispatch) {\n var selection = state.selection;\n var position = selection.$cursor ? selection.$cursor.pos : selection.$to.pos;\n var node = type.create(attrs);\n var transaction = state.tr.insert(position, node);\n dispatch(transaction);\n };\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref2) {\n var type = _ref2.type;\n return [nodeInputRule(IMAGE_INPUT_REGEX, type, function (match) {\n var _match = _slicedToArray(match, 4),\n alt = _match[1],\n src = _match[2],\n title = _match[3];\n\n return {\n src: src,\n alt: alt,\n title: title\n };\n })];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'image';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n inline: true,\n attrs: {\n src: {},\n alt: {\n default: null\n },\n title: {\n default: null\n }\n },\n group: 'inline',\n draggable: true,\n parseDOM: [{\n tag: 'img[src]',\n getAttrs: function getAttrs(dom) {\n return {\n src: dom.getAttribute('src'),\n title: dom.getAttribute('title'),\n alt: dom.getAttribute('alt')\n };\n }\n }],\n toDOM: function toDOM(node) {\n return ['img', node.attrs];\n }\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [new Plugin({\n props: {\n handleDOMEvents: {\n drop: function drop(view, event) {\n var hasFiles = event.dataTransfer && event.dataTransfer.files && event.dataTransfer.files.length;\n\n if (!hasFiles) {\n return;\n }\n\n var images = Array.from(event.dataTransfer.files).filter(function (file) {\n return /image/i.test(file.type);\n });\n\n if (images.length === 0) {\n return;\n }\n\n event.preventDefault();\n var schema = view.state.schema;\n var coordinates = view.posAtCoords({\n left: event.clientX,\n top: event.clientY\n });\n images.forEach(function (image) {\n var reader = new FileReader();\n\n reader.onload = function (readerEvent) {\n var node = schema.nodes.image.create({\n src: readerEvent.target.result\n });\n var transaction = view.state.tr.insert(coordinates.pos, node);\n view.dispatch(transaction);\n };\n\n reader.readAsDataURL(image);\n });\n }\n }\n }\n })];\n }\n }]);\n\n return Image;\n}(Node);\n\nvar ListItem = /*#__PURE__*/function (_Node) {\n _inherits(ListItem, _Node);\n\n var _super = _createSuper(ListItem);\n\n function ListItem() {\n _classCallCheck(this, ListItem);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(ListItem, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n Enter: splitListItem(type),\n Tab: sinkListItem(type),\n 'Shift-Tab': liftListItem(type)\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'list_item';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'paragraph block*',\n defining: true,\n draggable: false,\n parseDOM: [{\n tag: 'li'\n }],\n toDOM: function toDOM() {\n return ['li', 0];\n }\n };\n }\n }]);\n\n return ListItem;\n}(Node);\n\nfunction triggerCharacter(_ref) {\n var _ref$char = _ref.char,\n char = _ref$char === void 0 ? '@' : _ref$char,\n _ref$allowSpaces = _ref.allowSpaces,\n allowSpaces = _ref$allowSpaces === void 0 ? false : _ref$allowSpaces,\n _ref$startOfLine = _ref.startOfLine,\n startOfLine = _ref$startOfLine === void 0 ? false : _ref$startOfLine;\n return function ($position) {\n // cancel if top level node\n if ($position.depth <= 0) {\n return false;\n } // Matching expressions used for later\n\n\n var escapedChar = \"\\\\\".concat(char);\n var suffix = new RegExp(\"\\\\s\".concat(escapedChar, \"$\"));\n var prefix = startOfLine ? '^' : '';\n var regexp = allowSpaces ? new RegExp(\"\".concat(prefix).concat(escapedChar, \".*?(?=\\\\s\").concat(escapedChar, \"|$)\"), 'gm') : new RegExp(\"\".concat(prefix, \"(?:^)?\").concat(escapedChar, \"[^\\\\s\").concat(escapedChar, \"]*\"), 'gm'); // Lookup the boundaries of the current node\n\n var textFrom = $position.before();\n var textTo = $position.end();\n var text = $position.doc.textBetween(textFrom, textTo, '\\0', '\\0');\n var match = regexp.exec(text);\n var position;\n\n while (match !== null) {\n // JavaScript doesn't have lookbehinds; this hacks a check that first character is \" \"\n // or the line beginning\n var matchPrefix = match.input.slice(Math.max(0, match.index - 1), match.index);\n\n if (/^[\\s\\0]?$/.test(matchPrefix)) {\n // The absolute position of the match in the document\n var from = match.index + $position.start();\n var to = from + match[0].length; // Edge case handling; if spaces are allowed and we're directly in between\n // two triggers\n\n if (allowSpaces && suffix.test(text.slice(to - 1, to + 1))) {\n match[0] += ' ';\n to += 1;\n } // If the $position is located within the matched substring, return that range\n\n\n if (from < $position.pos && to >= $position.pos) {\n position = {\n range: {\n from: from,\n to: to\n },\n query: match[0].slice(char.length),\n text: match[0]\n };\n }\n }\n\n match = regexp.exec(text);\n }\n\n return position;\n };\n}\n\nfunction SuggestionsPlugin(_ref2) {\n var _ref2$matcher = _ref2.matcher,\n matcher = _ref2$matcher === void 0 ? {\n char: '@',\n allowSpaces: false,\n startOfLine: false\n } : _ref2$matcher,\n _ref2$appendText = _ref2.appendText,\n appendText = _ref2$appendText === void 0 ? null : _ref2$appendText,\n _ref2$suggestionClass = _ref2.suggestionClass,\n suggestionClass = _ref2$suggestionClass === void 0 ? 'suggestion' : _ref2$suggestionClass,\n _ref2$command = _ref2.command,\n _command = _ref2$command === void 0 ? function () {\n return false;\n } : _ref2$command,\n _ref2$items = _ref2.items,\n items = _ref2$items === void 0 ? [] : _ref2$items,\n _ref2$onEnter = _ref2.onEnter,\n onEnter = _ref2$onEnter === void 0 ? function () {\n return false;\n } : _ref2$onEnter,\n _ref2$onChange = _ref2.onChange,\n onChange = _ref2$onChange === void 0 ? function () {\n return false;\n } : _ref2$onChange,\n _ref2$onExit = _ref2.onExit,\n onExit = _ref2$onExit === void 0 ? function () {\n return false;\n } : _ref2$onExit,\n _ref2$onKeyDown = _ref2.onKeyDown,\n onKeyDown = _ref2$onKeyDown === void 0 ? function () {\n return false;\n } : _ref2$onKeyDown,\n _ref2$onFilter = _ref2.onFilter,\n onFilter = _ref2$onFilter === void 0 ? function (searchItems, query) {\n if (!query) {\n return searchItems;\n }\n\n return searchItems.filter(function (item) {\n return JSON.stringify(item).toLowerCase().includes(query.toLowerCase());\n });\n } : _ref2$onFilter;\n\n return new Plugin$1({\n key: new PluginKey$1('suggestions'),\n view: function view() {\n var _this = this;\n\n return {\n update: function update(view, prevState) {\n var prev = _this.key.getState(prevState);\n\n var next = _this.key.getState(view.state); // See how the state changed\n\n\n var moved = prev.active && next.active && prev.range.from !== next.range.from;\n var started = !prev.active && next.active;\n var stopped = prev.active && !next.active;\n var changed = !started && !stopped && prev.query !== next.query;\n var handleStart = started || moved;\n var handleChange = changed && !moved;\n var handleExit = stopped || moved; // Cancel when suggestion isn't active\n\n if (!handleStart && !handleChange && !handleExit) {\n return;\n }\n\n var state = handleExit ? prev : next;\n var decorationNode = document.querySelector(\"[data-decoration-id=\\\"\".concat(state.decorationId, \"\\\"]\")); // build a virtual node for popper.js or tippy.js\n // this can be used for building popups without a DOM node\n\n var virtualNode = decorationNode ? {\n getBoundingClientRect: function getBoundingClientRect() {\n return decorationNode.getBoundingClientRect();\n },\n clientWidth: decorationNode.clientWidth,\n clientHeight: decorationNode.clientHeight\n } : null;\n var props = {\n view: view,\n range: state.range,\n query: state.query,\n text: state.text,\n decorationNode: decorationNode,\n virtualNode: virtualNode,\n items: onFilter(Array.isArray(items) ? items : items(), state.query),\n command: function command(_ref3) {\n var range = _ref3.range,\n attrs = _ref3.attrs;\n\n _command({\n range: range,\n attrs: attrs,\n schema: view.state.schema\n })(view.state, view.dispatch, view);\n\n if (appendText) {\n insertText(appendText)(view.state, view.dispatch, view);\n }\n }\n }; // Trigger the hooks when necessary\n\n if (handleExit) {\n onExit(props);\n }\n\n if (handleChange) {\n onChange(props);\n }\n\n if (handleStart) {\n onEnter(props);\n }\n }\n };\n },\n state: {\n // Initialize the plugin's internal state.\n init: function init() {\n return {\n active: false,\n range: {},\n query: null,\n text: null\n };\n },\n // Apply changes to the plugin state from a view transaction.\n apply: function apply(tr, prev) {\n var selection = tr.selection;\n\n var next = _objectSpread2({}, prev); // We can only be suggesting if there is no selection\n\n\n if (selection.from === selection.to) {\n // Reset active state if we just left the previous suggestion range\n if (selection.from < prev.range.from || selection.from > prev.range.to) {\n next.active = false;\n } // Try to match against where our cursor currently is\n\n\n var $position = selection.$from;\n var match = triggerCharacter(matcher)($position);\n var decorationId = (Math.random() + 1).toString(36).substr(2, 5); // If we found a match, update the current state to show it\n\n if (match) {\n next.active = true;\n next.decorationId = prev.decorationId ? prev.decorationId : decorationId;\n next.range = match.range;\n next.query = match.query;\n next.text = match.text;\n } else {\n next.active = false;\n }\n } else {\n next.active = false;\n } // Make sure to empty the range if suggestion is inactive\n\n\n if (!next.active) {\n next.decorationId = null;\n next.range = {};\n next.query = null;\n next.text = null;\n }\n\n return next;\n }\n },\n props: {\n // Call the keydown hook if suggestion is active.\n handleKeyDown: function handleKeyDown(view, event) {\n var _this$getState = this.getState(view.state),\n active = _this$getState.active,\n range = _this$getState.range;\n\n if (!active) return false;\n return onKeyDown({\n view: view,\n event: event,\n range: range\n });\n },\n // Setup decorator on the currently active suggestion.\n decorations: function decorations(editorState) {\n var _this$getState2 = this.getState(editorState),\n active = _this$getState2.active,\n range = _this$getState2.range,\n decorationId = _this$getState2.decorationId;\n\n if (!active) return null;\n return DecorationSet.create(editorState.doc, [Decoration.inline(range.from, range.to, {\n nodeName: 'span',\n class: suggestionClass,\n 'data-decoration-id': decorationId\n })]);\n }\n }\n });\n}\n\nvar Mention = /*#__PURE__*/function (_Node) {\n _inherits(Mention, _Node);\n\n var _super = _createSuper(Mention);\n\n function Mention() {\n _classCallCheck(this, Mention);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Mention, [{\n key: \"commands\",\n value: function commands(_ref) {\n var _this = this;\n\n var schema = _ref.schema;\n return function (attrs) {\n return replaceText(null, schema.nodes[_this.name], attrs);\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'mention';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n matcher: {\n char: '@',\n allowSpaces: false,\n startOfLine: false\n },\n mentionClass: 'mention',\n suggestionClass: 'mention-suggestion'\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n var _this2 = this;\n\n return {\n attrs: {\n id: {},\n label: {}\n },\n group: 'inline',\n inline: true,\n selectable: false,\n atom: true,\n toDOM: function toDOM(node) {\n return ['span', {\n class: _this2.options.mentionClass,\n 'data-mention-id': node.attrs.id\n }, \"\".concat(_this2.options.matcher.char).concat(node.attrs.label)];\n },\n parseDOM: [{\n tag: 'span[data-mention-id]',\n getAttrs: function getAttrs(dom) {\n var id = dom.getAttribute('data-mention-id');\n var label = dom.innerText.split(_this2.options.matcher.char).join('');\n return {\n id: id,\n label: label\n };\n }\n }]\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n var _this3 = this;\n\n return [SuggestionsPlugin({\n command: function command(_ref2) {\n var range = _ref2.range,\n attrs = _ref2.attrs,\n schema = _ref2.schema;\n return replaceText(range, schema.nodes[_this3.name], attrs);\n },\n appendText: ' ',\n matcher: this.options.matcher,\n items: this.options.items,\n onEnter: this.options.onEnter,\n onChange: this.options.onChange,\n onExit: this.options.onExit,\n onKeyDown: this.options.onKeyDown,\n onFilter: this.options.onFilter,\n suggestionClass: this.options.suggestionClass\n })];\n }\n }]);\n\n return Mention;\n}(Node);\n\nvar OrderedList = /*#__PURE__*/function (_Node) {\n _inherits(OrderedList, _Node);\n\n var _super = _createSuper(OrderedList);\n\n function OrderedList() {\n _classCallCheck(this, OrderedList);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(OrderedList, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function () {\n return toggleList(type, schema.nodes.list_item);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref2) {\n var type = _ref2.type,\n schema = _ref2.schema;\n return {\n 'Shift-Ctrl-9': toggleList(type, schema.nodes.list_item)\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [wrappingInputRule(/^(\\d+)\\.\\s$/, type, function (match) {\n return {\n order: +match[1]\n };\n }, function (match, node) {\n return node.childCount + node.attrs.order === +match[1];\n })];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'ordered_list';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n attrs: {\n order: {\n default: 1\n }\n },\n content: 'list_item+',\n group: 'block',\n parseDOM: [{\n tag: 'ol',\n getAttrs: function getAttrs(dom) {\n return {\n order: dom.hasAttribute('start') ? +dom.getAttribute('start') : 1\n };\n }\n }],\n toDOM: function toDOM(node) {\n return node.attrs.order === 1 ? ['ol', 0] : ['ol', {\n start: node.attrs.order\n }, 0];\n }\n };\n }\n }]);\n\n return OrderedList;\n}(Node);\n\nvar TableNodes = tableNodes({\n tableGroup: 'block',\n cellContent: 'block+',\n cellAttributes: {\n background: {\n default: null,\n getFromDOM: function getFromDOM(dom) {\n return dom.style.backgroundColor || null;\n },\n setDOMAttr: function setDOMAttr(value, attrs) {\n if (value) {\n var style = {\n style: \"\".concat(attrs.style || '', \"background-color: \").concat(value, \";\")\n };\n Object.assign(attrs, style);\n }\n }\n }\n }\n});\n\nvar Table = /*#__PURE__*/function (_Node) {\n _inherits(Table, _Node);\n\n var _super = _createSuper(Table);\n\n function Table() {\n _classCallCheck(this, Table);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Table, [{\n key: \"commands\",\n value: function commands(_ref) {\n var schema = _ref.schema;\n return {\n createTable: function createTable$1(_ref2) {\n var rowsCount = _ref2.rowsCount,\n colsCount = _ref2.colsCount,\n withHeaderRow = _ref2.withHeaderRow;\n return function (state, dispatch) {\n var offset = state.tr.selection.anchor + 1;\n\n var nodes = createTable(schema, rowsCount, colsCount, withHeaderRow);\n\n var tr = state.tr.replaceSelectionWith(nodes).scrollIntoView();\n var resolvedPos = tr.doc.resolve(offset);\n tr.setSelection(TextSelection.near(resolvedPos));\n dispatch(tr);\n };\n },\n addColumnBefore: function addColumnBefore$1() {\n return addColumnBefore;\n },\n addColumnAfter: function addColumnAfter$1() {\n return addColumnAfter;\n },\n deleteColumn: function deleteColumn$1() {\n return deleteColumn;\n },\n addRowBefore: function addRowBefore$1() {\n return addRowBefore;\n },\n addRowAfter: function addRowAfter$1() {\n return addRowAfter;\n },\n deleteRow: function deleteRow$1() {\n return deleteRow;\n },\n deleteTable: function deleteTable$1() {\n return deleteTable;\n },\n toggleCellMerge: function toggleCellMerge() {\n return function (state, dispatch) {\n if (mergeCells(state, dispatch)) {\n return;\n }\n\n splitCell(state, dispatch);\n };\n },\n mergeCells: function mergeCells$1() {\n return mergeCells;\n },\n splitCell: function splitCell$1() {\n return splitCell;\n },\n toggleHeaderColumn: function toggleHeaderColumn$1() {\n return toggleHeaderColumn;\n },\n toggleHeaderRow: function toggleHeaderRow$1() {\n return toggleHeaderRow;\n },\n toggleHeaderCell: function toggleHeaderCell$1() {\n return toggleHeaderCell;\n },\n setCellAttr: function setCellAttr$1() {\n return setCellAttr;\n },\n fixTables: function fixTables$1() {\n return fixTables;\n }\n };\n }\n }, {\n key: \"keys\",\n value: function keys() {\n return {\n Tab: goToNextCell(1),\n 'Shift-Tab': goToNextCell(-1)\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'table';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n resizable: false\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n return TableNodes.table;\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [].concat(_toConsumableArray(this.options.resizable ? [columnResizing()] : []), [tableEditing()]);\n }\n }]);\n\n return Table;\n}(Node);\n\nvar TableHeader = /*#__PURE__*/function (_Node) {\n _inherits(TableHeader, _Node);\n\n var _super = _createSuper(TableHeader);\n\n function TableHeader() {\n _classCallCheck(this, TableHeader);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TableHeader, [{\n key: \"name\",\n get: function get() {\n return 'table_header';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return TableNodes.table_header;\n }\n }]);\n\n return TableHeader;\n}(Node);\n\nvar TableCell = /*#__PURE__*/function (_Node) {\n _inherits(TableCell, _Node);\n\n var _super = _createSuper(TableCell);\n\n function TableCell() {\n _classCallCheck(this, TableCell);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TableCell, [{\n key: \"name\",\n get: function get() {\n return 'table_cell';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return TableNodes.table_cell;\n }\n }]);\n\n return TableCell;\n}(Node);\n\nvar TableRow = /*#__PURE__*/function (_Node) {\n _inherits(TableRow, _Node);\n\n var _super = _createSuper(TableRow);\n\n function TableRow() {\n _classCallCheck(this, TableRow);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TableRow, [{\n key: \"name\",\n get: function get() {\n return 'table_row';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return TableNodes.table_row;\n }\n }]);\n\n return TableRow;\n}(Node);\n\nvar TodoItem = /*#__PURE__*/function (_Node) {\n _inherits(TodoItem, _Node);\n\n var _super = _createSuper(TodoItem);\n\n function TodoItem() {\n _classCallCheck(this, TodoItem);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TodoItem, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n Enter: splitToDefaultListItem(type),\n Tab: this.options.nested ? sinkListItem(type) : function () {},\n 'Shift-Tab': liftListItem(type)\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'todo_item';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n nested: false\n };\n }\n }, {\n key: \"view\",\n get: function get() {\n return {\n props: ['node', 'updateAttrs', 'view'],\n methods: {\n onChange: function onChange() {\n this.updateAttrs({\n done: !this.node.attrs.done\n });\n }\n },\n template: \"\\n <li :data-type=\\\"node.type.name\\\" :data-done=\\\"node.attrs.done.toString()\\\" data-drag-handle>\\n <span class=\\\"todo-checkbox\\\" contenteditable=\\\"false\\\" @click=\\\"onChange\\\"></span>\\n <div class=\\\"todo-content\\\" ref=\\\"content\\\" :contenteditable=\\\"view.editable.toString()\\\"></div>\\n </li>\\n \"\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n var _this = this;\n\n return {\n attrs: {\n done: {\n default: false\n }\n },\n draggable: true,\n content: this.options.nested ? '(paragraph|todo_list)+' : 'paragraph+',\n toDOM: function toDOM(node) {\n var done = node.attrs.done;\n return ['li', {\n 'data-type': _this.name,\n 'data-done': done.toString()\n }, ['span', {\n class: 'todo-checkbox',\n contenteditable: 'false'\n }], ['div', {\n class: 'todo-content'\n }, 0]];\n },\n parseDOM: [{\n priority: 51,\n tag: \"[data-type=\\\"\".concat(this.name, \"\\\"]\"),\n getAttrs: function getAttrs(dom) {\n return {\n done: dom.getAttribute('data-done') === 'true'\n };\n }\n }]\n };\n }\n }]);\n\n return TodoItem;\n}(Node);\n\nvar TodoList = /*#__PURE__*/function (_Node) {\n _inherits(TodoList, _Node);\n\n var _super = _createSuper(TodoList);\n\n function TodoList() {\n _classCallCheck(this, TodoList);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TodoList, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function () {\n return toggleList(type, schema.nodes.todo_item);\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref2) {\n var type = _ref2.type;\n return [wrappingInputRule(/^\\s*(\\[ \\])\\s$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'todo_list';\n }\n }, {\n key: \"schema\",\n get: function get() {\n var _this = this;\n\n return {\n group: 'block',\n content: 'todo_item+',\n toDOM: function toDOM() {\n return ['ul', {\n 'data-type': _this.name\n }, 0];\n },\n parseDOM: [{\n priority: 51,\n tag: \"[data-type=\\\"\".concat(this.name, \"\\\"]\")\n }]\n };\n }\n }]);\n\n return TodoList;\n}(Node);\n\nvar Bold = /*#__PURE__*/function (_Mark) {\n _inherits(Bold, _Mark);\n\n var _super = _createSuper(Bold);\n\n function Bold() {\n _classCallCheck(this, Bold);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Bold, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n 'Mod-b': toggleMark(type)\n };\n }\n }, {\n key: \"commands\",\n value: function commands(_ref2) {\n var type = _ref2.type;\n return function () {\n return toggleMark(type);\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [markInputRule(/(?:\\*\\*|__)([^*_]+)(?:\\*\\*|__)$/, type)];\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref4) {\n var type = _ref4.type;\n return [markPasteRule(/(?:\\*\\*|__)([^*_]+)(?:\\*\\*|__)/g, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'bold';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n parseDOM: [{\n tag: 'strong'\n }, {\n tag: 'b',\n getAttrs: function getAttrs(node) {\n return node.style.fontWeight !== 'normal' && null;\n }\n }, {\n style: 'font-weight',\n getAttrs: function getAttrs(value) {\n return /^(bold(er)?|[5-9]\\d{2,})$/.test(value) && null;\n }\n }],\n toDOM: function toDOM() {\n return ['strong', 0];\n }\n };\n }\n }]);\n\n return Bold;\n}(Mark);\n\nvar Code = /*#__PURE__*/function (_Mark) {\n _inherits(Code, _Mark);\n\n var _super = _createSuper(Code);\n\n function Code() {\n _classCallCheck(this, Code);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Code, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n 'Mod-`': toggleMark(type)\n };\n }\n }, {\n key: \"commands\",\n value: function commands(_ref2) {\n var type = _ref2.type;\n return function () {\n return toggleMark(type);\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [markInputRule(/(?:`)([^`]+)(?:`)$/, type)];\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref4) {\n var type = _ref4.type;\n return [markPasteRule(/(?:`)([^`]+)(?:`)/g, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'code';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n excludes: '_',\n parseDOM: [{\n tag: 'code'\n }],\n toDOM: function toDOM() {\n return ['code', 0];\n }\n };\n }\n }]);\n\n return Code;\n}(Mark);\n\nvar Italic = /*#__PURE__*/function (_Mark) {\n _inherits(Italic, _Mark);\n\n var _super = _createSuper(Italic);\n\n function Italic() {\n _classCallCheck(this, Italic);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Italic, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n 'Mod-i': toggleMark(type)\n };\n }\n }, {\n key: \"commands\",\n value: function commands(_ref2) {\n var type = _ref2.type;\n return function () {\n return toggleMark(type);\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [markInputRule(/(?:^|[^_])(_([^_]+)_)$/, type), markInputRule(/(?:^|[^*])(\\*([^*]+)\\*)$/, type)];\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref4) {\n var type = _ref4.type;\n return [markPasteRule(/_([^_]+)_/g, type), markPasteRule(/\\*([^*]+)\\*/g, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'italic';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n parseDOM: [{\n tag: 'i'\n }, {\n tag: 'em'\n }, {\n style: 'font-style=italic'\n }],\n toDOM: function toDOM() {\n return ['em', 0];\n }\n };\n }\n }]);\n\n return Italic;\n}(Mark);\n\nvar Link = /*#__PURE__*/function (_Mark) {\n _inherits(Link, _Mark);\n\n var _super = _createSuper(Link);\n\n function Link() {\n _classCallCheck(this, Link);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Link, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type;\n return function (attrs) {\n if (attrs.href) {\n return updateMark(type, attrs);\n }\n\n return removeMark(type);\n };\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref2) {\n var type = _ref2.type;\n return [pasteRule(/https?:\\/\\/(www\\.)?[-a-zA-Z0-9@:%._+~#=]{2,256}\\.[a-zA-Z]{2,}\\b([-a-zA-Z0-9@:%_+.~#?&//=]*)/g, type, function (url) {\n return {\n href: url\n };\n })];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'link';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n openOnClick: true\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n attrs: {\n href: {\n default: null\n }\n },\n inclusive: false,\n parseDOM: [{\n tag: 'a[href]',\n getAttrs: function getAttrs(dom) {\n return {\n href: dom.getAttribute('href')\n };\n }\n }],\n toDOM: function toDOM(node) {\n return ['a', _objectSpread2({}, node.attrs, {\n rel: 'noopener noreferrer nofollow'\n }), 0];\n }\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n if (!this.options.openOnClick) {\n return [];\n }\n\n return [new Plugin({\n props: {\n handleClick: function handleClick(view, pos, event) {\n var schema = view.state.schema;\n var attrs = getMarkAttrs(view.state, schema.marks.link);\n\n if (attrs.href && event.target instanceof HTMLAnchorElement) {\n event.stopPropagation();\n window.open(attrs.href);\n }\n }\n }\n })];\n }\n }]);\n\n return Link;\n}(Mark);\n\nvar Strike = /*#__PURE__*/function (_Mark) {\n _inherits(Strike, _Mark);\n\n var _super = _createSuper(Strike);\n\n function Strike() {\n _classCallCheck(this, Strike);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Strike, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n 'Mod-d': toggleMark(type)\n };\n }\n }, {\n key: \"commands\",\n value: function commands(_ref2) {\n var type = _ref2.type;\n return function () {\n return toggleMark(type);\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [markInputRule(/~([^~]+)~$/, type)];\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref4) {\n var type = _ref4.type;\n return [markPasteRule(/~([^~]+)~/g, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'strike';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n parseDOM: [{\n tag: 's'\n }, {\n tag: 'del'\n }, {\n tag: 'strike'\n }, {\n style: 'text-decoration',\n getAttrs: function getAttrs(value) {\n return value === 'line-through';\n }\n }],\n toDOM: function toDOM() {\n return ['s', 0];\n }\n };\n }\n }]);\n\n return Strike;\n}(Mark);\n\nvar Underline = /*#__PURE__*/function (_Mark) {\n _inherits(Underline, _Mark);\n\n var _super = _createSuper(Underline);\n\n function Underline() {\n _classCallCheck(this, Underline);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Underline, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n 'Mod-u': toggleMark(type)\n };\n }\n }, {\n key: \"commands\",\n value: function commands(_ref2) {\n var type = _ref2.type;\n return function () {\n return toggleMark(type);\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'underline';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n parseDOM: [{\n tag: 'u'\n }, {\n style: 'text-decoration',\n getAttrs: function getAttrs(value) {\n return value === 'underline';\n }\n }],\n toDOM: function toDOM() {\n return ['u', 0];\n }\n };\n }\n }]);\n\n return Underline;\n}(Mark);\n\nvar Collaboration = /*#__PURE__*/function (_Extension) {\n _inherits(Collaboration, _Extension);\n\n var _super = _createSuper(Collaboration);\n\n function Collaboration() {\n _classCallCheck(this, Collaboration);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Collaboration, [{\n key: \"init\",\n value: function init() {\n var _this = this;\n\n this.getSendableSteps = this.debounce(function (state) {\n var sendable = sendableSteps(state);\n\n if (sendable) {\n _this.options.onSendable({\n editor: _this.editor,\n sendable: {\n version: sendable.version,\n steps: sendable.steps.map(function (step) {\n return step.toJSON();\n }),\n clientID: sendable.clientID\n }\n });\n }\n }, this.options.debounce);\n this.editor.on('transaction', function (_ref) {\n var state = _ref.state;\n\n _this.getSendableSteps(state);\n });\n }\n }, {\n key: \"debounce\",\n value: function debounce(fn, delay) {\n var timeout;\n return function () {\n for (var _len = arguments.length, args = new Array(_len), _key = 0; _key < _len; _key++) {\n args[_key] = arguments[_key];\n }\n\n if (timeout) {\n clearTimeout(timeout);\n }\n\n timeout = setTimeout(function () {\n fn.apply(void 0, args);\n timeout = null;\n }, delay);\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'collaboration';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n var _this2 = this;\n\n return {\n version: 0,\n clientID: Math.floor(Math.random() * 0xFFFFFFFF),\n debounce: 250,\n onSendable: function onSendable() {},\n update: function update(_ref2) {\n var steps = _ref2.steps,\n version = _ref2.version;\n var _this2$editor = _this2.editor,\n state = _this2$editor.state,\n view = _this2$editor.view,\n schema = _this2$editor.schema;\n\n if (getVersion(state) > version) {\n return;\n }\n\n view.dispatch(receiveTransaction(state, steps.map(function (item) {\n return Step.fromJSON(schema, item.step);\n }), steps.map(function (item) {\n return item.clientID;\n })));\n }\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [collab({\n version: this.options.version,\n clientID: this.options.clientID\n })];\n }\n }]);\n\n return Collaboration;\n}(Extension);\n\nvar Focus = /*#__PURE__*/function (_Extension) {\n _inherits(Focus, _Extension);\n\n var _super = _createSuper(Focus);\n\n function Focus() {\n _classCallCheck(this, Focus);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Focus, [{\n key: \"name\",\n get: function get() {\n return 'focus';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n className: 'has-focus',\n nested: false\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n var _this = this;\n\n return [new Plugin({\n props: {\n decorations: function decorations(_ref) {\n var doc = _ref.doc,\n plugins = _ref.plugins,\n selection = _ref.selection;\n var editablePlugin = plugins.find(function (plugin) {\n return plugin.key.startsWith('editable$');\n });\n var editable = editablePlugin.props.editable();\n var active = editable && _this.options.className;\n var focused = _this.editor.focused;\n var anchor = selection.anchor;\n var decorations = [];\n\n if (!active || !focused) {\n return false;\n }\n\n doc.descendants(function (node, pos) {\n var hasAnchor = anchor >= pos && anchor <= pos + node.nodeSize;\n\n if (hasAnchor && !node.isText) {\n var decoration = Decoration.node(pos, pos + node.nodeSize, {\n class: _this.options.className\n });\n decorations.push(decoration);\n }\n\n return _this.options.nested;\n });\n return DecorationSet.create(doc, decorations);\n }\n }\n })];\n }\n }]);\n\n return Focus;\n}(Extension);\n\nvar History = /*#__PURE__*/function (_Extension) {\n _inherits(History, _Extension);\n\n var _super = _createSuper(History);\n\n function History() {\n _classCallCheck(this, History);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(History, [{\n key: \"keys\",\n value: function keys() {\n var keymap = {\n 'Mod-z': undo,\n 'Mod-y': redo,\n 'Shift-Mod-z': redo\n };\n return keymap;\n }\n }, {\n key: \"commands\",\n value: function commands() {\n return {\n undo: function undo$1() {\n return undo;\n },\n redo: function redo$1() {\n return redo;\n },\n undoDepth: function undoDepth$1() {\n return undoDepth;\n },\n redoDepth: function redoDepth$1() {\n return redoDepth;\n }\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'history';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n depth: '',\n newGroupDelay: ''\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [history({\n depth: this.options.depth,\n newGroupDelay: this.options.newGroupDelay\n })];\n }\n }]);\n\n return History;\n}(Extension);\n\nvar Placeholder = /*#__PURE__*/function (_Extension) {\n _inherits(Placeholder, _Extension);\n\n var _super = _createSuper(Placeholder);\n\n function Placeholder() {\n _classCallCheck(this, Placeholder);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Placeholder, [{\n key: \"name\",\n get: function get() {\n return 'placeholder';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n emptyEditorClass: 'is-editor-empty',\n emptyNodeClass: 'is-empty',\n emptyNodeText: 'Write something …',\n showOnlyWhenEditable: true,\n showOnlyCurrent: true\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n var _this = this;\n\n return [new Plugin({\n props: {\n decorations: function decorations(_ref) {\n var doc = _ref.doc,\n plugins = _ref.plugins,\n selection = _ref.selection;\n var editablePlugin = plugins.find(function (plugin) {\n return plugin.key.startsWith('editable$');\n });\n var editable = editablePlugin.props.editable();\n var active = editable || !_this.options.showOnlyWhenEditable;\n var anchor = selection.anchor;\n var decorations = [];\n var isEditorEmpty = doc.textContent.length === 0;\n\n if (!active) {\n return false;\n }\n\n doc.descendants(function (node, pos) {\n var hasAnchor = anchor >= pos && anchor <= pos + node.nodeSize;\n var isNodeEmpty = node.content.size === 0;\n\n if ((hasAnchor || !_this.options.showOnlyCurrent) && isNodeEmpty) {\n var classes = [_this.options.emptyNodeClass];\n\n if (isEditorEmpty) {\n classes.push(_this.options.emptyEditorClass);\n }\n\n var decoration = Decoration.node(pos, pos + node.nodeSize, {\n class: classes.join(' '),\n 'data-empty-text': typeof _this.options.emptyNodeText === 'function' ? _this.options.emptyNodeText(node) : _this.options.emptyNodeText\n });\n decorations.push(decoration);\n }\n\n return false;\n });\n return DecorationSet.create(doc, decorations);\n }\n }\n })];\n }\n }]);\n\n return Placeholder;\n}(Extension);\n\nvar Search = /*#__PURE__*/function (_Extension) {\n _inherits(Search, _Extension);\n\n var _super = _createSuper(Search);\n\n function Search() {\n var _this;\n\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, Search);\n\n _this = _super.call(this, options);\n _this.results = [];\n _this.searchTerm = null;\n _this._updating = false;\n return _this;\n }\n\n _createClass(Search, [{\n key: \"commands\",\n value: function commands() {\n var _this2 = this;\n\n return {\n find: function find(attrs) {\n return _this2.find(attrs);\n },\n replace: function replace(attrs) {\n return _this2.replace(attrs);\n },\n replaceAll: function replaceAll(attrs) {\n return _this2.replaceAll(attrs);\n },\n clearSearch: function clearSearch() {\n return _this2.clear();\n }\n };\n }\n }, {\n key: \"_search\",\n value: function _search(doc) {\n var _this3 = this;\n\n this.results = [];\n var mergedTextNodes = [];\n var index = 0;\n\n if (!this.searchTerm) {\n return;\n }\n\n doc.descendants(function (node, pos) {\n if (node.isText) {\n if (mergedTextNodes[index]) {\n mergedTextNodes[index] = {\n text: mergedTextNodes[index].text + node.text,\n pos: mergedTextNodes[index].pos\n };\n } else {\n mergedTextNodes[index] = {\n text: node.text,\n pos: pos\n };\n }\n } else {\n index += 1;\n }\n });\n mergedTextNodes.forEach(function (_ref) {\n var text = _ref.text,\n pos = _ref.pos;\n var search = _this3.findRegExp;\n var m; // eslint-disable-next-line no-cond-assign\n\n while (m = search.exec(text)) {\n if (m[0] === '') {\n break;\n }\n\n _this3.results.push({\n from: pos + m.index,\n to: pos + m.index + m[0].length\n });\n }\n });\n }\n }, {\n key: \"replace\",\n value: function replace(_replace) {\n var _this4 = this;\n\n return function (state, dispatch) {\n var firstResult = _this4.results[0];\n\n if (!firstResult) {\n return;\n }\n\n var _this4$results$ = _this4.results[0],\n from = _this4$results$.from,\n to = _this4$results$.to;\n dispatch(state.tr.insertText(_replace, from, to));\n\n _this4.editor.commands.find(_this4.searchTerm);\n };\n }\n }, {\n key: \"rebaseNextResult\",\n value: function rebaseNextResult(replace, index) {\n var lastOffset = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : 0;\n var nextIndex = index + 1;\n\n if (!this.results[nextIndex]) {\n return null;\n }\n\n var _this$results$index = this.results[index],\n currentFrom = _this$results$index.from,\n currentTo = _this$results$index.to;\n var offset = currentTo - currentFrom - replace.length + lastOffset;\n var _this$results$nextInd = this.results[nextIndex],\n from = _this$results$nextInd.from,\n to = _this$results$nextInd.to;\n this.results[nextIndex] = {\n to: to - offset,\n from: from - offset\n };\n return offset;\n }\n }, {\n key: \"replaceAll\",\n value: function replaceAll(replace) {\n var _this5 = this;\n\n return function (_ref2, dispatch) {\n var tr = _ref2.tr;\n var offset;\n\n if (!_this5.results.length) {\n return;\n }\n\n _this5.results.forEach(function (_ref3, index) {\n var from = _ref3.from,\n to = _ref3.to;\n tr.insertText(replace, from, to);\n offset = _this5.rebaseNextResult(replace, index, offset);\n });\n\n dispatch(tr);\n\n _this5.editor.commands.find(_this5.searchTerm);\n };\n }\n }, {\n key: \"find\",\n value: function find(searchTerm) {\n var _this6 = this;\n\n return function (state, dispatch) {\n _this6.searchTerm = _this6.options.disableRegex ? searchTerm.replace(/[-/\\\\^$*+?.()|[\\]{}]/g, '\\\\$&') : searchTerm;\n\n _this6.updateView(state, dispatch);\n };\n }\n }, {\n key: \"clear\",\n value: function clear() {\n var _this7 = this;\n\n return function (state, dispatch) {\n _this7.searchTerm = null;\n\n _this7.updateView(state, dispatch);\n };\n }\n }, {\n key: \"updateView\",\n value: function updateView(_ref4, dispatch) {\n var tr = _ref4.tr;\n this._updating = true;\n dispatch(tr);\n this._updating = false;\n }\n }, {\n key: \"createDeco\",\n value: function createDeco(doc) {\n this._search(doc);\n\n return this.decorations ? DecorationSet.create(doc, this.decorations) : [];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'search';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n autoSelectNext: true,\n findClass: 'find',\n searching: false,\n caseSensitive: false,\n disableRegex: true,\n alwaysSearch: false\n };\n }\n }, {\n key: \"findRegExp\",\n get: function get() {\n return RegExp(this.searchTerm, !this.options.caseSensitive ? 'gui' : 'gu');\n }\n }, {\n key: \"decorations\",\n get: function get() {\n var _this8 = this;\n\n return this.results.map(function (deco) {\n return Decoration.inline(deco.from, deco.to, {\n class: _this8.options.findClass\n });\n });\n }\n }, {\n key: \"plugins\",\n get: function get() {\n var _this9 = this;\n\n return [new Plugin({\n state: {\n init: function init() {\n return DecorationSet.empty;\n },\n apply: function apply(tr, old) {\n if (_this9._updating || _this9.options.searching || tr.docChanged && _this9.options.alwaysSearch) {\n return _this9.createDeco(tr.doc);\n }\n\n if (tr.docChanged) {\n return old.map(tr.mapping, tr.doc);\n }\n\n return old;\n }\n },\n props: {\n decorations: function decorations(state) {\n return this.getState(state);\n }\n }\n })];\n }\n }]);\n\n return Search;\n}(Extension);\n\nvar TrailingNode = /*#__PURE__*/function (_Extension) {\n _inherits(TrailingNode, _Extension);\n\n var _super = _createSuper(TrailingNode);\n\n function TrailingNode() {\n _classCallCheck(this, TrailingNode);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TrailingNode, [{\n key: \"name\",\n get: function get() {\n return 'trailing_node';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n node: 'paragraph',\n notAfter: ['paragraph']\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n var _this = this;\n\n var plugin = new PluginKey(this.name);\n var disabledNodes = Object.entries(this.editor.schema.nodes).map(function (_ref) {\n var _ref2 = _slicedToArray(_ref, 2),\n value = _ref2[1];\n\n return value;\n }).filter(function (node) {\n return _this.options.notAfter.includes(node.name);\n });\n return [new Plugin({\n key: plugin,\n view: function view() {\n return {\n update: function update(view) {\n var state = view.state;\n var insertNodeAtEnd = plugin.getState(state);\n\n if (!insertNodeAtEnd) {\n return;\n }\n\n var doc = state.doc,\n schema = state.schema,\n tr = state.tr;\n var type = schema.nodes[_this.options.node];\n var transaction = tr.insert(doc.content.size, type.create());\n view.dispatch(transaction);\n }\n };\n },\n state: {\n init: function init(_, state) {\n var lastNode = state.tr.doc.lastChild;\n return !nodeEqualsType({\n node: lastNode,\n types: disabledNodes\n });\n },\n apply: function apply(tr, value) {\n if (!tr.docChanged) {\n return value;\n }\n\n var lastNode = tr.doc.lastChild;\n return !nodeEqualsType({\n node: lastNode,\n types: disabledNodes\n });\n }\n }\n })];\n }\n }]);\n\n return TrailingNode;\n}(Extension);\n\nexport { Blockquote, Bold, BulletList, Code, CodeBlock, CodeBlockHighlight, Collaboration, Focus, HardBreak, Heading, HighlightPlugin as Highlight, History, HorizontalRule, Image, Italic, Link, ListItem, Mention, OrderedList, Placeholder, Search, Strike, SuggestionsPlugin as Suggestions, Table, TableCell, TableHeader, TableRow, TodoItem, TodoList, TrailingNode, Underline };\n","import { TextSelection, Selection, NodeSelection } from 'prosemirror-state';\nimport { DOMSerializer, Fragment, Mark, DOMParser, Slice } from 'prosemirror-model';\nimport { dropPoint } from 'prosemirror-transform';\n\nvar result = {};\n\nif (typeof navigator != \"undefined\" && typeof document != \"undefined\") {\n var ie_edge = /Edge\\/(\\d+)/.exec(navigator.userAgent);\n var ie_upto10 = /MSIE \\d/.test(navigator.userAgent);\n var ie_11up = /Trident\\/(?:[7-9]|\\d{2,})\\..*rv:(\\d+)/.exec(navigator.userAgent);\n\n result.mac = /Mac/.test(navigator.platform);\n var ie = result.ie = !!(ie_upto10 || ie_11up || ie_edge);\n result.ie_version = ie_upto10 ? document.documentMode || 6 : ie_11up ? +ie_11up[1] : ie_edge ? +ie_edge[1] : null;\n result.gecko = !ie && /gecko\\/(\\d+)/i.test(navigator.userAgent);\n result.gecko_version = result.gecko && +(/Firefox\\/(\\d+)/.exec(navigator.userAgent) || [0, 0])[1];\n var chrome = !ie && /Chrome\\/(\\d+)/.exec(navigator.userAgent);\n result.chrome = !!chrome;\n result.chrome_version = chrome && +chrome[1];\n result.ios = !ie && /AppleWebKit/.test(navigator.userAgent) && /Mobile\\/\\w+/.test(navigator.userAgent);\n result.android = /Android \\d/.test(navigator.userAgent);\n result.webkit = \"webkitFontSmoothing\" in document.documentElement.style;\n result.safari = /Apple Computer/.test(navigator.vendor);\n result.webkit_version = result.webkit && +(/\\bAppleWebKit\\/(\\d+)/.exec(navigator.userAgent) || [0, 0])[1];\n}\n\nvar domIndex = function(node) {\n for (var index = 0;; index++) {\n node = node.previousSibling;\n if (!node) { return index }\n }\n};\n\nvar parentNode = function(node) {\n var parent = node.parentNode;\n return parent && parent.nodeType == 11 ? parent.host : parent\n};\n\nvar textRange = function(node, from, to) {\n var range = document.createRange();\n range.setEnd(node, to == null ? node.nodeValue.length : to);\n range.setStart(node, from || 0);\n return range\n};\n\n// Scans forward and backward through DOM positions equivalent to the\n// given one to see if the two are in the same place (i.e. after a\n// text node vs at the end of that text node)\nvar isEquivalentPosition = function(node, off, targetNode, targetOff) {\n return targetNode && (scanFor(node, off, targetNode, targetOff, -1) ||\n scanFor(node, off, targetNode, targetOff, 1))\n};\n\nvar atomElements = /^(img|br|input|textarea|hr)$/i;\n\nfunction scanFor(node, off, targetNode, targetOff, dir) {\n for (;;) {\n if (node == targetNode && off == targetOff) { return true }\n if (off == (dir < 0 ? 0 : nodeSize(node))) {\n var parent = node.parentNode;\n if (parent.nodeType != 1 || hasBlockDesc(node) || atomElements.test(node.nodeName) || node.contentEditable == \"false\")\n { return false }\n off = domIndex(node) + (dir < 0 ? 0 : 1);\n node = parent;\n } else if (node.nodeType == 1) {\n node = node.childNodes[off + (dir < 0 ? -1 : 0)];\n if (node.contentEditable == \"false\") { return false }\n off = dir < 0 ? nodeSize(node) : 0;\n } else {\n return false\n }\n }\n}\n\nfunction nodeSize(node) {\n return node.nodeType == 3 ? node.nodeValue.length : node.childNodes.length\n}\n\nfunction isOnEdge(node, offset, parent) {\n for (var atStart = offset == 0, atEnd = offset == nodeSize(node); atStart || atEnd;) {\n if (node == parent) { return true }\n var index = domIndex(node);\n node = node.parentNode;\n if (!node) { return false }\n atStart = atStart && index == 0;\n atEnd = atEnd && index == nodeSize(node);\n }\n}\n\nfunction hasBlockDesc(dom) {\n var desc;\n for (var cur = dom; cur; cur = cur.parentNode) { if (desc = cur.pmViewDesc) { break } }\n return desc && desc.node && desc.node.isBlock && (desc.dom == dom || desc.contentDOM == dom)\n}\n\n// Work around Chrome issue https://bugs.chromium.org/p/chromium/issues/detail?id=447523\n// (isCollapsed inappropriately returns true in shadow dom)\nvar selectionCollapsed = function(domSel) {\n var collapsed = domSel.isCollapsed;\n if (collapsed && result.chrome && domSel.rangeCount && !domSel.getRangeAt(0).collapsed)\n { collapsed = false; }\n return collapsed\n};\n\nfunction keyEvent(keyCode, key) {\n var event = document.createEvent(\"Event\");\n event.initEvent(\"keydown\", true, true);\n event.keyCode = keyCode;\n event.key = event.code = key;\n return event\n}\n\nfunction windowRect(doc) {\n return {left: 0, right: doc.documentElement.clientWidth,\n top: 0, bottom: doc.documentElement.clientHeight}\n}\n\nfunction getSide(value, side) {\n return typeof value == \"number\" ? value : value[side]\n}\n\nfunction clientRect(node) {\n var rect = node.getBoundingClientRect();\n // Make sure scrollbar width isn't included in the rectangle\n return {left: rect.left, right: rect.left + node.clientWidth,\n top: rect.top, bottom: rect.top + node.clientHeight}\n}\n\nfunction scrollRectIntoView(view, rect, startDOM) {\n var scrollThreshold = view.someProp(\"scrollThreshold\") || 0, scrollMargin = view.someProp(\"scrollMargin\") || 5;\n var doc = view.dom.ownerDocument;\n for (var parent = startDOM || view.dom;; parent = parentNode(parent)) {\n if (!parent) { break }\n if (parent.nodeType != 1) { continue }\n var atTop = parent == doc.body || parent.nodeType != 1;\n var bounding = atTop ? windowRect(doc) : clientRect(parent);\n var moveX = 0, moveY = 0;\n if (rect.top < bounding.top + getSide(scrollThreshold, \"top\"))\n { moveY = -(bounding.top - rect.top + getSide(scrollMargin, \"top\")); }\n else if (rect.bottom > bounding.bottom - getSide(scrollThreshold, \"bottom\"))\n { moveY = rect.bottom - bounding.bottom + getSide(scrollMargin, \"bottom\"); }\n if (rect.left < bounding.left + getSide(scrollThreshold, \"left\"))\n { moveX = -(bounding.left - rect.left + getSide(scrollMargin, \"left\")); }\n else if (rect.right > bounding.right - getSide(scrollThreshold, \"right\"))\n { moveX = rect.right - bounding.right + getSide(scrollMargin, \"right\"); }\n if (moveX || moveY) {\n if (atTop) {\n doc.defaultView.scrollBy(moveX, moveY);\n } else {\n var startX = parent.scrollLeft, startY = parent.scrollTop;\n if (moveY) { parent.scrollTop += moveY; }\n if (moveX) { parent.scrollLeft += moveX; }\n var dX = parent.scrollLeft - startX, dY = parent.scrollTop - startY;\n rect = {left: rect.left - dX, top: rect.top - dY, right: rect.right - dX, bottom: rect.bottom - dY};\n }\n }\n if (atTop) { break }\n }\n}\n\n// Store the scroll position of the editor's parent nodes, along with\n// the top position of an element near the top of the editor, which\n// will be used to make sure the visible viewport remains stable even\n// when the size of the content above changes.\nfunction storeScrollPos(view) {\n var rect = view.dom.getBoundingClientRect(), startY = Math.max(0, rect.top);\n var refDOM, refTop;\n for (var x = (rect.left + rect.right) / 2, y = startY + 1;\n y < Math.min(innerHeight, rect.bottom); y += 5) {\n var dom = view.root.elementFromPoint(x, y);\n if (dom == view.dom || !view.dom.contains(dom)) { continue }\n var localRect = dom.getBoundingClientRect();\n if (localRect.top >= startY - 20) {\n refDOM = dom;\n refTop = localRect.top;\n break\n }\n }\n return {refDOM: refDOM, refTop: refTop, stack: scrollStack(view.dom)}\n}\n\nfunction scrollStack(dom) {\n var stack = [], doc = dom.ownerDocument;\n for (; dom; dom = parentNode(dom)) {\n stack.push({dom: dom, top: dom.scrollTop, left: dom.scrollLeft});\n if (dom == doc) { break }\n }\n return stack\n}\n\n// Reset the scroll position of the editor's parent nodes to that what\n// it was before, when storeScrollPos was called.\nfunction resetScrollPos(ref) {\n var refDOM = ref.refDOM;\n var refTop = ref.refTop;\n var stack = ref.stack;\n\n var newRefTop = refDOM ? refDOM.getBoundingClientRect().top : 0;\n restoreScrollStack(stack, newRefTop == 0 ? 0 : newRefTop - refTop);\n}\n\nfunction restoreScrollStack(stack, dTop) {\n for (var i = 0; i < stack.length; i++) {\n var ref = stack[i];\n var dom = ref.dom;\n var top = ref.top;\n var left = ref.left;\n if (dom.scrollTop != top + dTop) { dom.scrollTop = top + dTop; }\n if (dom.scrollLeft != left) { dom.scrollLeft = left; }\n }\n}\n\nvar preventScrollSupported = null;\n// Feature-detects support for .focus({preventScroll: true}), and uses\n// a fallback kludge when not supported.\nfunction focusPreventScroll(dom) {\n if (dom.setActive) { return dom.setActive() } // in IE\n if (preventScrollSupported) { return dom.focus(preventScrollSupported) }\n\n var stored = scrollStack(dom);\n dom.focus(preventScrollSupported == null ? {\n get preventScroll() {\n preventScrollSupported = {preventScroll: true};\n return true\n }\n } : undefined);\n if (!preventScrollSupported) {\n preventScrollSupported = false;\n restoreScrollStack(stored, 0);\n }\n}\n\nfunction findOffsetInNode(node, coords) {\n var closest, dxClosest = 2e8, coordsClosest, offset = 0;\n var rowBot = coords.top, rowTop = coords.top;\n for (var child = node.firstChild, childIndex = 0; child; child = child.nextSibling, childIndex++) {\n var rects = (void 0);\n if (child.nodeType == 1) { rects = child.getClientRects(); }\n else if (child.nodeType == 3) { rects = textRange(child).getClientRects(); }\n else { continue }\n\n for (var i = 0; i < rects.length; i++) {\n var rect = rects[i];\n if (rect.top <= rowBot && rect.bottom >= rowTop) {\n rowBot = Math.max(rect.bottom, rowBot);\n rowTop = Math.min(rect.top, rowTop);\n var dx = rect.left > coords.left ? rect.left - coords.left\n : rect.right < coords.left ? coords.left - rect.right : 0;\n if (dx < dxClosest) {\n closest = child;\n dxClosest = dx;\n coordsClosest = dx && closest.nodeType == 3 ? {left: rect.right < coords.left ? rect.right : rect.left, top: coords.top} : coords;\n if (child.nodeType == 1 && dx)\n { offset = childIndex + (coords.left >= (rect.left + rect.right) / 2 ? 1 : 0); }\n continue\n }\n }\n if (!closest && (coords.left >= rect.right && coords.top >= rect.top ||\n coords.left >= rect.left && coords.top >= rect.bottom))\n { offset = childIndex + 1; }\n }\n }\n if (closest && closest.nodeType == 3) { return findOffsetInText(closest, coordsClosest) }\n if (!closest || (dxClosest && closest.nodeType == 1)) { return {node: node, offset: offset} }\n return findOffsetInNode(closest, coordsClosest)\n}\n\nfunction findOffsetInText(node, coords) {\n var len = node.nodeValue.length;\n var range = document.createRange();\n for (var i = 0; i < len; i++) {\n range.setEnd(node, i + 1);\n range.setStart(node, i);\n var rect = singleRect(range, 1);\n if (rect.top == rect.bottom) { continue }\n if (inRect(coords, rect))\n { return {node: node, offset: i + (coords.left >= (rect.left + rect.right) / 2 ? 1 : 0)} }\n }\n return {node: node, offset: 0}\n}\n\nfunction inRect(coords, rect) {\n return coords.left >= rect.left - 1 && coords.left <= rect.right + 1&&\n coords.top >= rect.top - 1 && coords.top <= rect.bottom + 1\n}\n\nfunction targetKludge(dom, coords) {\n var parent = dom.parentNode;\n if (parent && /^li$/i.test(parent.nodeName) && coords.left < dom.getBoundingClientRect().left)\n { return parent }\n return dom\n}\n\nfunction posFromElement(view, elt, coords) {\n var ref = findOffsetInNode(elt, coords);\n var node = ref.node;\n var offset = ref.offset;\n var bias = -1;\n if (node.nodeType == 1 && !node.firstChild) {\n var rect = node.getBoundingClientRect();\n bias = rect.left != rect.right && coords.left > (rect.left + rect.right) / 2 ? 1 : -1;\n }\n return view.docView.posFromDOM(node, offset, bias)\n}\n\nfunction posFromCaret(view, node, offset, coords) {\n // Browser (in caretPosition/RangeFromPoint) will agressively\n // normalize towards nearby inline nodes. Since we are interested in\n // positions between block nodes too, we first walk up the hierarchy\n // of nodes to see if there are block nodes that the coordinates\n // fall outside of. If so, we take the position before/after that\n // block. If not, we call `posFromDOM` on the raw node/offset.\n var outside = -1;\n for (var cur = node;;) {\n if (cur == view.dom) { break }\n var desc = view.docView.nearestDesc(cur, true);\n if (!desc) { return null }\n if (desc.node.isBlock && desc.parent) {\n var rect = desc.dom.getBoundingClientRect();\n if (rect.left > coords.left || rect.top > coords.top) { outside = desc.posBefore; }\n else if (rect.right < coords.left || rect.bottom < coords.top) { outside = desc.posAfter; }\n else { break }\n }\n cur = desc.dom.parentNode;\n }\n return outside > -1 ? outside : view.docView.posFromDOM(node, offset)\n}\n\nfunction elementFromPoint(element, coords, box) {\n var len = element.childNodes.length;\n if (len && box.top < box.bottom) {\n for (var startI = Math.max(0, Math.min(len - 1, Math.floor(len * (coords.top - box.top) / (box.bottom - box.top)) - 2)), i = startI;;) {\n var child = element.childNodes[i];\n if (child.nodeType == 1) {\n var rects = child.getClientRects();\n for (var j = 0; j < rects.length; j++) {\n var rect = rects[j];\n if (inRect(coords, rect)) { return elementFromPoint(child, coords, rect) }\n }\n }\n if ((i = (i + 1) % len) == startI) { break }\n }\n }\n return element\n}\n\n// Given an x,y position on the editor, get the position in the document.\nfunction posAtCoords(view, coords) {\n var assign, assign$1;\n\n var root = view.root, node, offset;\n if (root.caretPositionFromPoint) {\n try { // Firefox throws for this call in hard-to-predict circumstances (#994)\n var pos$1 = root.caretPositionFromPoint(coords.left, coords.top);\n if (pos$1) { ((assign = pos$1, node = assign.offsetNode, offset = assign.offset)); }\n } catch (_) {}\n }\n if (!node && root.caretRangeFromPoint) {\n var range = root.caretRangeFromPoint(coords.left, coords.top);\n if (range) { ((assign$1 = range, node = assign$1.startContainer, offset = assign$1.startOffset)); }\n }\n\n var elt = root.elementFromPoint(coords.left, coords.top + 1), pos;\n if (!elt || !view.dom.contains(elt.nodeType != 1 ? elt.parentNode : elt)) {\n var box = view.dom.getBoundingClientRect();\n if (!inRect(coords, box)) { return null }\n elt = elementFromPoint(view.dom, coords, box);\n if (!elt) { return null }\n }\n // Safari's caretRangeFromPoint returns nonsense when on a draggable element\n if (result.safari && elt.draggable) { node = offset = null; }\n elt = targetKludge(elt, coords);\n if (node) {\n if (result.gecko && node.nodeType == 1) {\n // Firefox will sometimes return offsets into <input> nodes, which\n // have no actual children, from caretPositionFromPoint (#953)\n offset = Math.min(offset, node.childNodes.length);\n // It'll also move the returned position before image nodes,\n // even if those are behind it.\n if (offset < node.childNodes.length) {\n var next = node.childNodes[offset], box$1;\n if (next.nodeName == \"IMG\" && (box$1 = next.getBoundingClientRect()).right <= coords.left &&\n box$1.bottom > coords.top)\n { offset++; }\n }\n }\n // Suspiciously specific kludge to work around caret*FromPoint\n // never returning a position at the end of the document\n if (node == view.dom && offset == node.childNodes.length - 1 && node.lastChild.nodeType == 1 &&\n coords.top > node.lastChild.getBoundingClientRect().bottom)\n { pos = view.state.doc.content.size; }\n // Ignore positions directly after a BR, since caret*FromPoint\n // 'round up' positions that would be more accurately placed\n // before the BR node.\n else if (offset == 0 || node.nodeType != 1 || node.childNodes[offset - 1].nodeName != \"BR\")\n { pos = posFromCaret(view, node, offset, coords); }\n }\n if (pos == null) { pos = posFromElement(view, elt, coords); }\n\n var desc = view.docView.nearestDesc(elt, true);\n return {pos: pos, inside: desc ? desc.posAtStart - desc.border : -1}\n}\n\nfunction singleRect(object, bias) {\n var rects = object.getClientRects();\n return !rects.length ? object.getBoundingClientRect() : rects[bias < 0 ? 0 : rects.length - 1]\n}\n\n// : (EditorView, number) → {left: number, top: number, right: number, bottom: number}\n// Given a position in the document model, get a bounding box of the\n// character at that position, relative to the window.\nfunction coordsAtPos(view, pos) {\n var ref = view.docView.domFromPos(pos);\n var node = ref.node;\n var offset = ref.offset;\n\n // These browsers support querying empty text ranges\n if (node.nodeType == 3 && (result.webkit || result.gecko)) {\n var rect = singleRect(textRange(node, offset, offset), 0);\n // Firefox returns bad results (the position before the space)\n // when querying a position directly after line-broken\n // whitespace. Detect this situation and and kludge around it\n if (result.gecko && offset && /\\s/.test(node.nodeValue[offset - 1]) && offset < node.nodeValue.length) {\n var rectBefore = singleRect(textRange(node, offset - 1, offset - 1), -1);\n if (rectBefore.top == rect.top) {\n var rectAfter = singleRect(textRange(node, offset, offset + 1), -1);\n if (rectAfter.top != rect.top)\n { return flattenV(rectAfter, rectAfter.left < rectBefore.left) }\n }\n }\n return rect\n }\n\n if (node.nodeType == 1 && !view.state.doc.resolve(pos).parent.inlineContent) {\n // Return a horizontal line in block context\n var top = true, rect$1;\n if (offset < node.childNodes.length) {\n var after = node.childNodes[offset];\n if (after.nodeType == 1) { rect$1 = after.getBoundingClientRect(); }\n }\n if (!rect$1 && offset) {\n var before = node.childNodes[offset - 1];\n if (before.nodeType == 1) { rect$1 = before.getBoundingClientRect(); top = false; }\n }\n return flattenH(rect$1 || node.getBoundingClientRect(), top)\n }\n\n // Not Firefox/Chrome, or not in a text node, so we have to use\n // actual element/character rectangles to get a solution (this part\n // is not very bidi-safe)\n //\n // Try the left side first, fall back to the right one if that\n // doesn't work.\n for (var dir = -1; dir < 2; dir += 2) {\n if (dir < 0 && offset) {\n var prev = (void 0), target = node.nodeType == 3 ? textRange(node, offset - 1, offset)\n : (prev = node.childNodes[offset - 1]).nodeType == 3 ? textRange(prev)\n : prev.nodeType == 1 && prev.nodeName != \"BR\" ? prev : null; // BR nodes tend to only return the rectangle before them\n if (target) {\n var rect$2 = singleRect(target, 1);\n if (rect$2.top < rect$2.bottom) { return flattenV(rect$2, false) }\n }\n } else if (dir > 0 && offset < nodeSize(node)) {\n var next = (void 0), target$1 = node.nodeType == 3 ? textRange(node, offset, offset + 1)\n : (next = node.childNodes[offset]).nodeType == 3 ? textRange(next)\n : next.nodeType == 1 ? next : null;\n if (target$1) {\n var rect$3 = singleRect(target$1, -1);\n if (rect$3.top < rect$3.bottom) { return flattenV(rect$3, true) }\n }\n }\n }\n // All else failed, just try to get a rectangle for the target node\n return flattenV(singleRect(node.nodeType == 3 ? textRange(node) : node, 0), false)\n}\n\nfunction flattenV(rect, left) {\n if (rect.width == 0) { return rect }\n var x = left ? rect.left : rect.right;\n return {top: rect.top, bottom: rect.bottom, left: x, right: x}\n}\n\nfunction flattenH(rect, top) {\n if (rect.height == 0) { return rect }\n var y = top ? rect.top : rect.bottom;\n return {top: y, bottom: y, left: rect.left, right: rect.right}\n}\n\nfunction withFlushedState(view, state, f) {\n var viewState = view.state, active = view.root.activeElement;\n if (viewState != state) { view.updateState(state); }\n if (active != view.dom) { view.focus(); }\n try {\n return f()\n } finally {\n if (viewState != state) { view.updateState(viewState); }\n if (active != view.dom && active) { active.focus(); }\n }\n}\n\n// : (EditorView, number, number)\n// Whether vertical position motion in a given direction\n// from a position would leave a text block.\nfunction endOfTextblockVertical(view, state, dir) {\n var sel = state.selection;\n var $pos = dir == \"up\" ? sel.$anchor.min(sel.$head) : sel.$anchor.max(sel.$head);\n return withFlushedState(view, state, function () {\n var ref = view.docView.domFromPos($pos.pos);\n var dom = ref.node;\n for (;;) {\n var nearest = view.docView.nearestDesc(dom, true);\n if (!nearest) { break }\n if (nearest.node.isBlock) { dom = nearest.dom; break }\n dom = nearest.dom.parentNode;\n }\n var coords = coordsAtPos(view, $pos.pos);\n for (var child = dom.firstChild; child; child = child.nextSibling) {\n var boxes = (void 0);\n if (child.nodeType == 1) { boxes = child.getClientRects(); }\n else if (child.nodeType == 3) { boxes = textRange(child, 0, child.nodeValue.length).getClientRects(); }\n else { continue }\n for (var i = 0; i < boxes.length; i++) {\n var box = boxes[i];\n if (box.bottom > box.top && (dir == \"up\" ? box.bottom < coords.top + 1 : box.top > coords.bottom - 1))\n { return false }\n }\n }\n return true\n })\n}\n\nvar maybeRTL = /[\\u0590-\\u08ac]/;\n\nfunction endOfTextblockHorizontal(view, state, dir) {\n var ref = state.selection;\n var $head = ref.$head;\n if (!$head.parent.isTextblock) { return false }\n var offset = $head.parentOffset, atStart = !offset, atEnd = offset == $head.parent.content.size;\n var sel = getSelection();\n // If the textblock is all LTR, or the browser doesn't support\n // Selection.modify (Edge), fall back to a primitive approach\n if (!maybeRTL.test($head.parent.textContent) || !sel.modify)\n { return dir == \"left\" || dir == \"backward\" ? atStart : atEnd }\n\n return withFlushedState(view, state, function () {\n // This is a huge hack, but appears to be the best we can\n // currently do: use `Selection.modify` to move the selection by\n // one character, and see if that moves the cursor out of the\n // textblock (or doesn't move it at all, when at the start/end of\n // the document).\n var oldRange = sel.getRangeAt(0), oldNode = sel.focusNode, oldOff = sel.focusOffset;\n var oldBidiLevel = sel.caretBidiLevel; // Only for Firefox\n sel.modify(\"move\", dir, \"character\");\n var parentDOM = $head.depth ? view.docView.domAfterPos($head.before()) : view.dom;\n var result = !parentDOM.contains(sel.focusNode.nodeType == 1 ? sel.focusNode : sel.focusNode.parentNode) ||\n (oldNode == sel.focusNode && oldOff == sel.focusOffset);\n // Restore the previous selection\n sel.removeAllRanges();\n sel.addRange(oldRange);\n if (oldBidiLevel != null) { sel.caretBidiLevel = oldBidiLevel; }\n return result\n })\n}\n\nvar cachedState = null, cachedDir = null, cachedResult = false;\nfunction endOfTextblock(view, state, dir) {\n if (cachedState == state && cachedDir == dir) { return cachedResult }\n cachedState = state; cachedDir = dir;\n return cachedResult = dir == \"up\" || dir == \"down\"\n ? endOfTextblockVertical(view, state, dir)\n : endOfTextblockHorizontal(view, state, dir)\n}\n\n// NodeView:: interface\n//\n// By default, document nodes are rendered using the result of the\n// [`toDOM`](#model.NodeSpec.toDOM) method of their spec, and managed\n// entirely by the editor. For some use cases, such as embedded\n// node-specific editing interfaces, you want more control over\n// the behavior of a node's in-editor representation, and need to\n// [define](#view.EditorProps.nodeViews) a custom node view.\n//\n// Mark views only support `dom` and `contentDOM`, and don't support\n// any of the node view methods.\n//\n// Objects returned as node views must conform to this interface.\n//\n// dom:: ?dom.Node\n// The outer DOM node that represents the document node. When not\n// given, the default strategy is used to create a DOM node.\n//\n// contentDOM:: ?dom.Node\n// The DOM node that should hold the node's content. Only meaningful\n// if the node view also defines a `dom` property and if its node\n// type is not a leaf node type. When this is present, ProseMirror\n// will take care of rendering the node's children into it. When it\n// is not present, the node view itself is responsible for rendering\n// (or deciding not to render) its child nodes.\n//\n// update:: ?(node: Node, decorations: [Decoration]) → bool\n// When given, this will be called when the view is updating itself.\n// It will be given a node (possibly of a different type), and an\n// array of active decorations (which are automatically drawn, and\n// the node view may ignore if it isn't interested in them), and\n// should return true if it was able to update to that node, and\n// false otherwise. If the node view has a `contentDOM` property (or\n// no `dom` property), updating its child nodes will be handled by\n// ProseMirror.\n//\n// selectNode:: ?()\n// Can be used to override the way the node's selected status (as a\n// node selection) is displayed.\n//\n// deselectNode:: ?()\n// When defining a `selectNode` method, you should also provide a\n// `deselectNode` method to remove the effect again.\n//\n// setSelection:: ?(anchor: number, head: number, root: dom.Document)\n// This will be called to handle setting the selection inside the\n// node. The `anchor` and `head` positions are relative to the start\n// of the node. By default, a DOM selection will be created between\n// the DOM positions corresponding to those positions, but if you\n// override it you can do something else.\n//\n// stopEvent:: ?(event: dom.Event) → bool\n// Can be used to prevent the editor view from trying to handle some\n// or all DOM events that bubble up from the node view. Events for\n// which this returns true are not handled by the editor.\n//\n// ignoreMutation:: ?(dom.MutationRecord) → bool\n// Called when a DOM\n// [mutation](https://developer.mozilla.org/en-US/docs/Web/API/MutationObserver)\n// or a selection change happens within the view. When the change is\n// a selection change, the record will have a `type` property of\n// `\"selection\"` (which doesn't occur for native mutation records).\n// Return false if the editor should re-read the selection or\n// re-parse the range around the mutation, true if it can safely be\n// ignored.\n//\n// destroy:: ?()\n// Called when the node view is removed from the editor or the whole\n// editor is destroyed.\n\n// View descriptions are data structures that describe the DOM that is\n// used to represent the editor's content. They are used for:\n//\n// - Incremental redrawing when the document changes\n//\n// - Figuring out what part of the document a given DOM position\n// corresponds to\n//\n// - Wiring in custom implementations of the editing interface for a\n// given node\n//\n// They form a doubly-linked mutable tree, starting at `view.docView`.\n\nvar NOT_DIRTY = 0, CHILD_DIRTY = 1, CONTENT_DIRTY = 2, NODE_DIRTY = 3;\n\n// Superclass for the various kinds of descriptions. Defines their\n// basic structure and shared methods.\nvar ViewDesc = function ViewDesc(parent, children, dom, contentDOM) {\n this.parent = parent;\n this.children = children;\n this.dom = dom;\n // An expando property on the DOM node provides a link back to its\n // description.\n dom.pmViewDesc = this;\n // This is the node that holds the child views. It may be null for\n // descs that don't have children.\n this.contentDOM = contentDOM;\n this.dirty = NOT_DIRTY;\n};\n\nvar prototypeAccessors = { beforePosition: { configurable: true },size: { configurable: true },border: { configurable: true },posBefore: { configurable: true },posAtStart: { configurable: true },posAfter: { configurable: true },posAtEnd: { configurable: true },contentLost: { configurable: true } };\n\n// Used to check whether a given description corresponds to a\n// widget/mark/node.\nViewDesc.prototype.matchesWidget = function matchesWidget () { return false };\nViewDesc.prototype.matchesMark = function matchesMark () { return false };\nViewDesc.prototype.matchesNode = function matchesNode () { return false };\nViewDesc.prototype.matchesHack = function matchesHack () { return false };\n\nprototypeAccessors.beforePosition.get = function () { return false };\n\n// : () → ?ParseRule\n// When parsing in-editor content (in domchange.js), we allow\n// descriptions to determine the parse rules that should be used to\n// parse them.\nViewDesc.prototype.parseRule = function parseRule () { return null };\n\n// : (dom.Event) → bool\n// Used by the editor's event handler to ignore events that come\n// from certain descs.\nViewDesc.prototype.stopEvent = function stopEvent () { return false };\n\n// The size of the content represented by this desc.\nprototypeAccessors.size.get = function () {\n var size = 0;\n for (var i = 0; i < this.children.length; i++) { size += this.children[i].size; }\n return size\n};\n\n// For block nodes, this represents the space taken up by their\n// start/end tokens.\nprototypeAccessors.border.get = function () { return 0 };\n\nViewDesc.prototype.destroy = function destroy () {\n this.parent = null;\n if (this.dom.pmViewDesc == this) { this.dom.pmViewDesc = null; }\n for (var i = 0; i < this.children.length; i++)\n { this.children[i].destroy(); }\n};\n\nViewDesc.prototype.posBeforeChild = function posBeforeChild (child) {\n for (var i = 0, pos = this.posAtStart; i < this.children.length; i++) {\n var cur = this.children[i];\n if (cur == child) { return pos }\n pos += cur.size;\n }\n};\n\nprototypeAccessors.posBefore.get = function () {\n return this.parent.posBeforeChild(this)\n};\n\nprototypeAccessors.posAtStart.get = function () {\n return this.parent ? this.parent.posBeforeChild(this) + this.border : 0\n};\n\nprototypeAccessors.posAfter.get = function () {\n return this.posBefore + this.size\n};\n\nprototypeAccessors.posAtEnd.get = function () {\n return this.posAtStart + this.size - 2 * this.border\n};\n\n// : (dom.Node, number, ?number) → number\nViewDesc.prototype.localPosFromDOM = function localPosFromDOM (dom, offset, bias) {\n // If the DOM position is in the content, use the child desc after\n // it to figure out a position.\n if (this.contentDOM && this.contentDOM.contains(dom.nodeType == 1 ? dom : dom.parentNode)) {\n if (bias < 0) {\n var domBefore, desc;\n if (dom == this.contentDOM) {\n domBefore = dom.childNodes[offset - 1];\n } else {\n while (dom.parentNode != this.contentDOM) { dom = dom.parentNode; }\n domBefore = dom.previousSibling;\n }\n while (domBefore && !((desc = domBefore.pmViewDesc) && desc.parent == this)) { domBefore = domBefore.previousSibling; }\n return domBefore ? this.posBeforeChild(desc) + desc.size : this.posAtStart\n } else {\n var domAfter, desc$1;\n if (dom == this.contentDOM) {\n domAfter = dom.childNodes[offset];\n } else {\n while (dom.parentNode != this.contentDOM) { dom = dom.parentNode; }\n domAfter = dom.nextSibling;\n }\n while (domAfter && !((desc$1 = domAfter.pmViewDesc) && desc$1.parent == this)) { domAfter = domAfter.nextSibling; }\n return domAfter ? this.posBeforeChild(desc$1) : this.posAtEnd\n }\n }\n // Otherwise, use various heuristics, falling back on the bias\n // parameter, to determine whether to return the position at the\n // start or at the end of this view desc.\n var atEnd;\n if (this.contentDOM && this.contentDOM != this.dom && this.dom.contains(this.contentDOM)) {\n atEnd = dom.compareDocumentPosition(this.contentDOM) & 2;\n } else if (this.dom.firstChild) {\n if (offset == 0) { for (var search = dom;; search = search.parentNode) {\n if (search == this.dom) { atEnd = false; break }\n if (search.parentNode.firstChild != search) { break }\n } }\n if (atEnd == null && offset == dom.childNodes.length) { for (var search$1 = dom;; search$1 = search$1.parentNode) {\n if (search$1 == this.dom) { atEnd = true; break }\n if (search$1.parentNode.lastChild != search$1) { break }\n } }\n }\n return (atEnd == null ? bias > 0 : atEnd) ? this.posAtEnd : this.posAtStart\n};\n\n// Scan up the dom finding the first desc that is a descendant of\n// this one.\nViewDesc.prototype.nearestDesc = function nearestDesc (dom, onlyNodes) {\n for (var first = true, cur = dom; cur; cur = cur.parentNode) {\n var desc = this.getDesc(cur);\n if (desc && (!onlyNodes || desc.node)) {\n // If dom is outside of this desc's nodeDOM, don't count it.\n if (first && desc.nodeDOM &&\n !(desc.nodeDOM.nodeType == 1 ? desc.nodeDOM.contains(dom.nodeType == 1 ? dom : dom.parentNode) : desc.nodeDOM == dom))\n { first = false; }\n else\n { return desc }\n }\n }\n};\n\nViewDesc.prototype.getDesc = function getDesc (dom) {\n var desc = dom.pmViewDesc;\n for (var cur = desc; cur; cur = cur.parent) { if (cur == this) { return desc } }\n};\n\nViewDesc.prototype.posFromDOM = function posFromDOM (dom, offset, bias) {\n for (var scan = dom; scan; scan = scan.parentNode) {\n var desc = this.getDesc(scan);\n if (desc) { return desc.localPosFromDOM(dom, offset, bias) }\n }\n return -1\n};\n\n// : (number) → ?NodeViewDesc\n// Find the desc for the node after the given pos, if any. (When a\n// parent node overrode rendering, there might not be one.)\nViewDesc.prototype.descAt = function descAt (pos) {\n for (var i = 0, offset = 0; i < this.children.length; i++) {\n var child = this.children[i], end = offset + child.size;\n if (offset == pos && end != offset) {\n while (!child.border && child.children.length) { child = child.children[0]; }\n return child\n }\n if (pos < end) { return child.descAt(pos - offset - child.border) }\n offset = end;\n }\n};\n\n// : (number) → {node: dom.Node, offset: number}\nViewDesc.prototype.domFromPos = function domFromPos (pos) {\n if (!this.contentDOM) { return {node: this.dom, offset: 0} }\n for (var offset = 0, i = 0;; i++) {\n if (offset == pos) {\n while (i < this.children.length && (this.children[i].beforePosition || this.children[i].dom.parentNode != this.contentDOM)) { i++; }\n return {node: this.contentDOM,\n offset: i == this.children.length ? this.contentDOM.childNodes.length : domIndex(this.children[i].dom)}\n }\n if (i == this.children.length) { throw new Error(\"Invalid position \" + pos) }\n var child = this.children[i], end = offset + child.size;\n if (pos < end) { return child.domFromPos(pos - offset - child.border) }\n offset = end;\n }\n};\n\n// Used to find a DOM range in a single parent for a given changed\n// range.\nViewDesc.prototype.parseRange = function parseRange (from, to, base) {\n if ( base === void 0 ) base = 0;\n\n if (this.children.length == 0)\n { return {node: this.contentDOM, from: from, to: to, fromOffset: 0, toOffset: this.contentDOM.childNodes.length} }\n\n var fromOffset = -1, toOffset = -1;\n for (var offset = base, i = 0;; i++) {\n var child = this.children[i], end = offset + child.size;\n if (fromOffset == -1 && from <= end) {\n var childBase = offset + child.border;\n // FIXME maybe descend mark views to parse a narrower range?\n if (from >= childBase && to <= end - child.border && child.node &&\n child.contentDOM && this.contentDOM.contains(child.contentDOM))\n { return child.parseRange(from, to, childBase) }\n\n from = offset;\n for (var j = i; j > 0; j--) {\n var prev = this.children[j - 1];\n if (prev.size && prev.dom.parentNode == this.contentDOM && !prev.emptyChildAt(1)) {\n fromOffset = domIndex(prev.dom) + 1;\n break\n }\n from -= prev.size;\n }\n if (fromOffset == -1) { fromOffset = 0; }\n }\n if (fromOffset > -1 && to <= end) {\n to = end;\n for (var j$1 = i + 1; j$1 < this.children.length; j$1++) {\n var next = this.children[j$1];\n if (next.size && next.dom.parentNode == this.contentDOM && !next.emptyChildAt(-1)) {\n toOffset = domIndex(next.dom);\n break\n }\n to += next.size;\n }\n if (toOffset == -1) { toOffset = this.contentDOM.childNodes.length; }\n break\n }\n offset = end;\n }\n return {node: this.contentDOM, from: from, to: to, fromOffset: fromOffset, toOffset: toOffset}\n};\n\nViewDesc.prototype.emptyChildAt = function emptyChildAt (side) {\n if (this.border || !this.contentDOM || !this.children.length) { return false }\n var child = this.children[side < 0 ? 0 : this.children.length - 1];\n return child.size == 0 || child.emptyChildAt(side)\n};\n\n// : (number) → dom.Node\nViewDesc.prototype.domAfterPos = function domAfterPos (pos) {\n var ref = this.domFromPos(pos);\n var node = ref.node;\n var offset = ref.offset;\n if (node.nodeType != 1 || offset == node.childNodes.length)\n { throw new RangeError(\"No node after pos \" + pos) }\n return node.childNodes[offset]\n};\n\n// : (number, number, dom.Document)\n// View descs are responsible for setting any selection that falls\n// entirely inside of them, so that custom implementations can do\n// custom things with the selection. Note that this falls apart when\n// a selection starts in such a node and ends in another, in which\n// case we just use whatever domFromPos produces as a best effort.\nViewDesc.prototype.setSelection = function setSelection (anchor, head, root, force) {\n // If the selection falls entirely in a child, give it to that child\n var from = Math.min(anchor, head), to = Math.max(anchor, head);\n for (var i = 0, offset = 0; i < this.children.length; i++) {\n var child = this.children[i], end = offset + child.size;\n if (from > offset && to < end)\n { return child.setSelection(anchor - offset - child.border, head - offset - child.border, root, force) }\n offset = end;\n }\n\n var anchorDOM = this.domFromPos(anchor), headDOM = this.domFromPos(head);\n var domSel = root.getSelection();\n\n if (!force &&\n isEquivalentPosition(anchorDOM.node, anchorDOM.offset, domSel.anchorNode, domSel.anchorOffset) &&\n isEquivalentPosition(headDOM.node, headDOM.offset, domSel.focusNode, domSel.focusOffset))\n { return }\n\n // Selection.extend can be used to create an 'inverted' selection\n // (one where the focus is before the anchor), but not all\n // browsers support it yet.\n var domSelExtended = false;\n if (domSel.extend || anchor == head) {\n domSel.collapse(anchorDOM.node, anchorDOM.offset);\n try {\n if (anchor != head) { domSel.extend(headDOM.node, headDOM.offset); }\n domSelExtended = true;\n } catch (err) {\n // In some cases with Chrome the selection is empty after calling\n // collapse, even when it should be valid. This appears to be a bug, but\n // it is difficult to isolate. If this happens fallback to the old path\n // without using extend.\n if (!(err instanceof DOMException)) { throw err }\n }\n }\n if (!domSelExtended) {\n if (anchor > head) { var tmp = anchorDOM; anchorDOM = headDOM; headDOM = tmp; }\n var range = document.createRange();\n range.setEnd(headDOM.node, headDOM.offset);\n range.setStart(anchorDOM.node, anchorDOM.offset);\n domSel.removeAllRanges();\n domSel.addRange(range);\n }\n};\n\n// : (dom.MutationRecord) → bool\nViewDesc.prototype.ignoreMutation = function ignoreMutation (mutation) {\n return !this.contentDOM && mutation.type != \"selection\"\n};\n\nprototypeAccessors.contentLost.get = function () {\n return this.contentDOM && this.contentDOM != this.dom && !this.dom.contains(this.contentDOM)\n};\n\n// Remove a subtree of the element tree that has been touched\n// by a DOM change, so that the next update will redraw it.\nViewDesc.prototype.markDirty = function markDirty (from, to) {\n for (var offset = 0, i = 0; i < this.children.length; i++) {\n var child = this.children[i], end = offset + child.size;\n if (offset == end ? from <= end && to >= offset : from < end && to > offset) {\n var startInside = offset + child.border, endInside = end - child.border;\n if (from >= startInside && to <= endInside) {\n this.dirty = from == offset || to == end ? CONTENT_DIRTY : CHILD_DIRTY;\n if (from == startInside && to == endInside &&\n (child.contentLost || child.dom.parentNode != this.contentDOM)) { child.dirty = NODE_DIRTY; }\n else { child.markDirty(from - startInside, to - startInside); }\n return\n } else {\n child.dirty = NODE_DIRTY;\n }\n }\n offset = end;\n }\n this.dirty = CONTENT_DIRTY;\n};\n\nViewDesc.prototype.markParentsDirty = function markParentsDirty () {\n var level = 1;\n for (var node = this.parent; node; node = node.parent, level++) {\n var dirty = level == 1 ? CONTENT_DIRTY : CHILD_DIRTY;\n if (node.dirty < dirty) { node.dirty = dirty; }\n }\n};\n\nObject.defineProperties( ViewDesc.prototype, prototypeAccessors );\n\n// Reused array to avoid allocating fresh arrays for things that will\n// stay empty anyway.\nvar nothing = [];\n\n// A widget desc represents a widget decoration, which is a DOM node\n// drawn between the document nodes.\nvar WidgetViewDesc = /*@__PURE__*/(function (ViewDesc) {\n function WidgetViewDesc(parent, widget, view, pos) {\n var self, dom = widget.type.toDOM;\n if (typeof dom == \"function\") { dom = dom(view, function () {\n if (!self) { return pos }\n if (self.parent) { return self.parent.posBeforeChild(self) }\n }); }\n if (!widget.type.spec.raw) {\n if (dom.nodeType != 1) {\n var wrap = document.createElement(\"span\");\n wrap.appendChild(dom);\n dom = wrap;\n }\n dom.contentEditable = false;\n dom.classList.add(\"ProseMirror-widget\");\n }\n ViewDesc.call(this, parent, nothing, dom, null);\n this.widget = widget;\n self = this;\n }\n\n if ( ViewDesc ) WidgetViewDesc.__proto__ = ViewDesc;\n WidgetViewDesc.prototype = Object.create( ViewDesc && ViewDesc.prototype );\n WidgetViewDesc.prototype.constructor = WidgetViewDesc;\n\n var prototypeAccessors$1 = { beforePosition: { configurable: true } };\n\n prototypeAccessors$1.beforePosition.get = function () {\n return this.widget.type.side < 0\n };\n\n WidgetViewDesc.prototype.matchesWidget = function matchesWidget (widget) {\n return this.dirty == NOT_DIRTY && widget.type.eq(this.widget.type)\n };\n\n WidgetViewDesc.prototype.parseRule = function parseRule () { return {ignore: true} };\n\n WidgetViewDesc.prototype.stopEvent = function stopEvent (event) {\n var stop = this.widget.spec.stopEvent;\n return stop ? stop(event) : false\n };\n\n WidgetViewDesc.prototype.ignoreMutation = function ignoreMutation (mutation) {\n return mutation.type != \"selection\" || this.widget.spec.ignoreSelection\n };\n\n Object.defineProperties( WidgetViewDesc.prototype, prototypeAccessors$1 );\n\n return WidgetViewDesc;\n}(ViewDesc));\n\nvar CompositionViewDesc = /*@__PURE__*/(function (ViewDesc) {\n function CompositionViewDesc(parent, dom, textDOM, text) {\n ViewDesc.call(this, parent, nothing, dom, null);\n this.textDOM = textDOM;\n this.text = text;\n }\n\n if ( ViewDesc ) CompositionViewDesc.__proto__ = ViewDesc;\n CompositionViewDesc.prototype = Object.create( ViewDesc && ViewDesc.prototype );\n CompositionViewDesc.prototype.constructor = CompositionViewDesc;\n\n var prototypeAccessors$2 = { size: { configurable: true } };\n\n prototypeAccessors$2.size.get = function () { return this.text.length };\n\n CompositionViewDesc.prototype.localPosFromDOM = function localPosFromDOM (dom, offset) {\n if (dom != this.textDOM) { return this.posAtStart + (offset ? this.size : 0) }\n return this.posAtStart + offset\n };\n\n CompositionViewDesc.prototype.domFromPos = function domFromPos (pos) {\n return {node: this.textDOM, offset: pos}\n };\n\n CompositionViewDesc.prototype.ignoreMutation = function ignoreMutation (mut) {\n return mut.type === 'characterData' && mut.target.nodeValue == mut.oldValue\n };\n\n Object.defineProperties( CompositionViewDesc.prototype, prototypeAccessors$2 );\n\n return CompositionViewDesc;\n}(ViewDesc));\n\n// A mark desc represents a mark. May have multiple children,\n// depending on how the mark is split. Note that marks are drawn using\n// a fixed nesting order, for simplicity and predictability, so in\n// some cases they will be split more often than would appear\n// necessary.\nvar MarkViewDesc = /*@__PURE__*/(function (ViewDesc) {\n function MarkViewDesc(parent, mark, dom, contentDOM) {\n ViewDesc.call(this, parent, [], dom, contentDOM);\n this.mark = mark;\n }\n\n if ( ViewDesc ) MarkViewDesc.__proto__ = ViewDesc;\n MarkViewDesc.prototype = Object.create( ViewDesc && ViewDesc.prototype );\n MarkViewDesc.prototype.constructor = MarkViewDesc;\n\n MarkViewDesc.create = function create (parent, mark, inline, view) {\n var custom = view.nodeViews[mark.type.name];\n var spec = custom && custom(mark, view, inline);\n if (!spec || !spec.dom)\n { spec = DOMSerializer.renderSpec(document, mark.type.spec.toDOM(mark, inline)); }\n return new MarkViewDesc(parent, mark, spec.dom, spec.contentDOM || spec.dom)\n };\n\n MarkViewDesc.prototype.parseRule = function parseRule () { return {mark: this.mark.type.name, attrs: this.mark.attrs, contentElement: this.contentDOM} };\n\n MarkViewDesc.prototype.matchesMark = function matchesMark (mark) { return this.dirty != NODE_DIRTY && this.mark.eq(mark) };\n\n MarkViewDesc.prototype.markDirty = function markDirty (from, to) {\n ViewDesc.prototype.markDirty.call(this, from, to);\n // Move dirty info to nearest node view\n if (this.dirty != NOT_DIRTY) {\n var parent = this.parent;\n while (!parent.node) { parent = parent.parent; }\n if (parent.dirty < this.dirty) { parent.dirty = this.dirty; }\n this.dirty = NOT_DIRTY;\n }\n };\n\n MarkViewDesc.prototype.slice = function slice (from, to, view) {\n var copy = MarkViewDesc.create(this.parent, this.mark, true, view);\n var nodes = this.children, size = this.size;\n if (to < size) { nodes = replaceNodes(nodes, to, size, view); }\n if (from > 0) { nodes = replaceNodes(nodes, 0, from, view); }\n for (var i = 0; i < nodes.length; i++) { nodes[i].parent = copy; }\n copy.children = nodes;\n return copy\n };\n\n return MarkViewDesc;\n}(ViewDesc));\n\n// Node view descs are the main, most common type of view desc, and\n// correspond to an actual node in the document. Unlike mark descs,\n// they populate their child array themselves.\nvar NodeViewDesc = /*@__PURE__*/(function (ViewDesc) {\n function NodeViewDesc(parent, node, outerDeco, innerDeco, dom, contentDOM, nodeDOM, view, pos) {\n ViewDesc.call(this, parent, node.isLeaf ? nothing : [], dom, contentDOM);\n this.nodeDOM = nodeDOM;\n this.node = node;\n this.outerDeco = outerDeco;\n this.innerDeco = innerDeco;\n if (contentDOM) { this.updateChildren(view, pos); }\n }\n\n if ( ViewDesc ) NodeViewDesc.__proto__ = ViewDesc;\n NodeViewDesc.prototype = Object.create( ViewDesc && ViewDesc.prototype );\n NodeViewDesc.prototype.constructor = NodeViewDesc;\n\n var prototypeAccessors$3 = { size: { configurable: true },border: { configurable: true } };\n\n // By default, a node is rendered using the `toDOM` method from the\n // node type spec. But client code can use the `nodeViews` spec to\n // supply a custom node view, which can influence various aspects of\n // the way the node works.\n //\n // (Using subclassing for this was intentionally decided against,\n // since it'd require exposing a whole slew of finnicky\n // implementation details to the user code that they probably will\n // never need.)\n NodeViewDesc.create = function create (parent, node, outerDeco, innerDeco, view, pos) {\n var assign;\n\n var custom = view.nodeViews[node.type.name], descObj;\n var spec = custom && custom(node, view, function () {\n // (This is a function that allows the custom view to find its\n // own position)\n if (!descObj) { return pos }\n if (descObj.parent) { return descObj.parent.posBeforeChild(descObj) }\n }, outerDeco);\n\n var dom = spec && spec.dom, contentDOM = spec && spec.contentDOM;\n if (node.isText) {\n if (!dom) { dom = document.createTextNode(node.text); }\n else if (dom.nodeType != 3) { throw new RangeError(\"Text must be rendered as a DOM text node\") }\n } else if (!dom) {\n((assign = DOMSerializer.renderSpec(document, node.type.spec.toDOM(node)), dom = assign.dom, contentDOM = assign.contentDOM));\n }\n if (!contentDOM && !node.isText && dom.nodeName != \"BR\") { // Chrome gets confused by <br contenteditable=false>\n if (!dom.hasAttribute(\"contenteditable\")) { dom.contentEditable = false; }\n if (node.type.spec.draggable) { dom.draggable = true; }\n }\n\n var nodeDOM = dom;\n dom = applyOuterDeco(dom, outerDeco, node);\n\n if (spec)\n { return descObj = new CustomNodeViewDesc(parent, node, outerDeco, innerDeco, dom, contentDOM, nodeDOM,\n spec, view, pos + 1) }\n else if (node.isText)\n { return new TextViewDesc(parent, node, outerDeco, innerDeco, dom, nodeDOM, view) }\n else\n { return new NodeViewDesc(parent, node, outerDeco, innerDeco, dom, contentDOM, nodeDOM, view, pos + 1) }\n };\n\n NodeViewDesc.prototype.parseRule = function parseRule () {\n var this$1 = this;\n\n // Experimental kludge to allow opt-in re-parsing of nodes\n if (this.node.type.spec.reparseInView) { return null }\n // FIXME the assumption that this can always return the current\n // attrs means that if the user somehow manages to change the\n // attrs in the dom, that won't be picked up. Not entirely sure\n // whether this is a problem\n var rule = {node: this.node.type.name, attrs: this.node.attrs};\n if (this.node.type.spec.code) { rule.preserveWhitespace = \"full\"; }\n if (this.contentDOM && !this.contentLost) { rule.contentElement = this.contentDOM; }\n else { rule.getContent = function () { return this$1.contentDOM ? Fragment.empty : this$1.node.content; }; }\n return rule\n };\n\n NodeViewDesc.prototype.matchesNode = function matchesNode (node, outerDeco, innerDeco) {\n return this.dirty == NOT_DIRTY && node.eq(this.node) &&\n sameOuterDeco(outerDeco, this.outerDeco) && innerDeco.eq(this.innerDeco)\n };\n\n prototypeAccessors$3.size.get = function () { return this.node.nodeSize };\n\n prototypeAccessors$3.border.get = function () { return this.node.isLeaf ? 0 : 1 };\n\n // Syncs `this.children` to match `this.node.content` and the local\n // decorations, possibly introducing nesting for marks. Then, in a\n // separate step, syncs the DOM inside `this.contentDOM` to\n // `this.children`.\n NodeViewDesc.prototype.updateChildren = function updateChildren (view, pos) {\n var this$1 = this;\n\n var inline = this.node.inlineContent, off = pos;\n var composition = inline && view.composing && this.localCompositionNode(view, pos);\n var updater = new ViewTreeUpdater(this, composition && composition.node);\n iterDeco(this.node, this.innerDeco, function (widget, i, insideNode) {\n if (widget.spec.marks)\n { updater.syncToMarks(widget.spec.marks, inline, view); }\n else if (widget.type.side >= 0 && !insideNode)\n { updater.syncToMarks(i == this$1.node.childCount ? Mark.none : this$1.node.child(i).marks, inline, view); }\n // If the next node is a desc matching this widget, reuse it,\n // otherwise insert the widget as a new view desc.\n updater.placeWidget(widget, view, off);\n }, function (child, outerDeco, innerDeco, i) {\n // Make sure the wrapping mark descs match the node's marks.\n updater.syncToMarks(child.marks, inline, view);\n // Either find an existing desc that exactly matches this node,\n // and drop the descs before it.\n updater.findNodeMatch(child, outerDeco, innerDeco, i) ||\n // Or try updating the next desc to reflect this node.\n updater.updateNextNode(child, outerDeco, innerDeco, view, i) ||\n // Or just add it as a new desc.\n updater.addNode(child, outerDeco, innerDeco, view, off);\n off += child.nodeSize;\n });\n // Drop all remaining descs after the current position.\n updater.syncToMarks(nothing, inline, view);\n if (this.node.isTextblock) { updater.addTextblockHacks(); }\n updater.destroyRest();\n\n // Sync the DOM if anything changed\n if (updater.changed || this.dirty == CONTENT_DIRTY) {\n // May have to protect focused DOM from being changed if a composition is active\n if (composition) { this.protectLocalComposition(view, composition); }\n this.renderChildren();\n }\n };\n\n NodeViewDesc.prototype.renderChildren = function renderChildren () {\n renderDescs(this.contentDOM, this.children);\n if (result.ios) { iosHacks(this.dom); }\n };\n\n NodeViewDesc.prototype.localCompositionNode = function localCompositionNode (view, pos) {\n // Only do something if both the selection and a focused text node\n // are inside of this node, and the node isn't already part of a\n // view that's a child of this view\n var ref = view.state.selection;\n var from = ref.from;\n var to = ref.to;\n if (!(view.state.selection instanceof TextSelection) || from < pos || to > pos + this.node.content.size) { return }\n var sel = view.root.getSelection();\n var textNode = nearbyTextNode(sel.focusNode, sel.focusOffset);\n if (!textNode || !this.dom.contains(textNode.parentNode)) { return }\n\n // Find the text in the focused node in the node, stop if it's not\n // there (may have been modified through other means, in which\n // case it should overwritten)\n var text = textNode.nodeValue;\n var textPos = findTextInFragment(this.node.content, text, from - pos, to - pos);\n\n return textPos < 0 ? null : {node: textNode, pos: textPos, text: text}\n };\n\n NodeViewDesc.prototype.protectLocalComposition = function protectLocalComposition (view, ref) {\n var node = ref.node;\n var pos = ref.pos;\n var text = ref.text;\n\n // The node is already part of a local view desc, leave it there\n if (this.getDesc(node)) { return }\n\n // Create a composition view for the orphaned nodes\n var topNode = node;\n for (;; topNode = topNode.parentNode) {\n if (topNode.parentNode == this.contentDOM) { break }\n while (topNode.previousSibling) { topNode.parentNode.removeChild(topNode.previousSibling); }\n while (topNode.nextSibling) { topNode.parentNode.removeChild(topNode.nextSibling); }\n if (topNode.pmViewDesc) { topNode.pmViewDesc = null; }\n }\n var desc = new CompositionViewDesc(this, topNode, node, text);\n view.compositionNodes.push(desc);\n\n // Patch up this.children to contain the composition view\n this.children = replaceNodes(this.children, pos, pos + text.length, view, desc);\n };\n\n // : (Node, [Decoration], DecorationSet, EditorView) → bool\n // If this desc be updated to match the given node decoration,\n // do so and return true.\n NodeViewDesc.prototype.update = function update (node, outerDeco, innerDeco, view) {\n if (this.dirty == NODE_DIRTY ||\n !node.sameMarkup(this.node)) { return false }\n this.updateInner(node, outerDeco, innerDeco, view);\n return true\n };\n\n NodeViewDesc.prototype.updateInner = function updateInner (node, outerDeco, innerDeco, view) {\n this.updateOuterDeco(outerDeco);\n this.node = node;\n this.innerDeco = innerDeco;\n if (this.contentDOM) { this.updateChildren(view, this.posAtStart); }\n this.dirty = NOT_DIRTY;\n };\n\n NodeViewDesc.prototype.updateOuterDeco = function updateOuterDeco (outerDeco) {\n if (sameOuterDeco(outerDeco, this.outerDeco)) { return }\n var needsWrap = this.nodeDOM.nodeType != 1;\n var oldDOM = this.dom;\n this.dom = patchOuterDeco(this.dom, this.nodeDOM,\n computeOuterDeco(this.outerDeco, this.node, needsWrap),\n computeOuterDeco(outerDeco, this.node, needsWrap));\n if (this.dom != oldDOM) {\n oldDOM.pmViewDesc = null;\n this.dom.pmViewDesc = this;\n }\n this.outerDeco = outerDeco;\n };\n\n // Mark this node as being the selected node.\n NodeViewDesc.prototype.selectNode = function selectNode () {\n this.nodeDOM.classList.add(\"ProseMirror-selectednode\");\n if (this.contentDOM || !this.node.type.spec.draggable) { this.dom.draggable = true; }\n };\n\n // Remove selected node marking from this node.\n NodeViewDesc.prototype.deselectNode = function deselectNode () {\n this.nodeDOM.classList.remove(\"ProseMirror-selectednode\");\n if (this.contentDOM || !this.node.type.spec.draggable) { this.dom.removeAttribute(\"draggable\"); }\n };\n\n Object.defineProperties( NodeViewDesc.prototype, prototypeAccessors$3 );\n\n return NodeViewDesc;\n}(ViewDesc));\n\n// Create a view desc for the top-level document node, to be exported\n// and used by the view class.\nfunction docViewDesc(doc, outerDeco, innerDeco, dom, view) {\n applyOuterDeco(dom, outerDeco, doc);\n return new NodeViewDesc(null, doc, outerDeco, innerDeco, dom, dom, dom, view, 0)\n}\n\nvar TextViewDesc = /*@__PURE__*/(function (NodeViewDesc) {\n function TextViewDesc(parent, node, outerDeco, innerDeco, dom, nodeDOM, view) {\n NodeViewDesc.call(this, parent, node, outerDeco, innerDeco, dom, null, nodeDOM, view);\n }\n\n if ( NodeViewDesc ) TextViewDesc.__proto__ = NodeViewDesc;\n TextViewDesc.prototype = Object.create( NodeViewDesc && NodeViewDesc.prototype );\n TextViewDesc.prototype.constructor = TextViewDesc;\n\n TextViewDesc.prototype.parseRule = function parseRule () {\n var skip = this.nodeDOM.parentNode;\n while (skip && skip != this.dom && !skip.pmIsDeco) { skip = skip.parentNode; }\n return {skip: skip || true}\n };\n\n TextViewDesc.prototype.update = function update (node, outerDeco) {\n if (this.dirty == NODE_DIRTY || (this.dirty != NOT_DIRTY && !this.inParent()) ||\n !node.sameMarkup(this.node)) { return false }\n this.updateOuterDeco(outerDeco);\n if ((this.dirty != NOT_DIRTY || node.text != this.node.text) && node.text != this.nodeDOM.nodeValue)\n { this.nodeDOM.nodeValue = node.text; }\n this.node = node;\n this.dirty = NOT_DIRTY;\n return true\n };\n\n TextViewDesc.prototype.inParent = function inParent () {\n var parentDOM = this.parent.contentDOM;\n for (var n = this.nodeDOM; n; n = n.parentNode) { if (n == parentDOM) { return true } }\n return false\n };\n\n TextViewDesc.prototype.domFromPos = function domFromPos (pos) {\n return {node: this.nodeDOM, offset: pos}\n };\n\n TextViewDesc.prototype.localPosFromDOM = function localPosFromDOM (dom, offset, bias) {\n if (dom == this.nodeDOM) { return this.posAtStart + Math.min(offset, this.node.text.length) }\n return NodeViewDesc.prototype.localPosFromDOM.call(this, dom, offset, bias)\n };\n\n TextViewDesc.prototype.ignoreMutation = function ignoreMutation (mutation) {\n return mutation.type != \"characterData\" && mutation.type != \"selection\"\n };\n\n TextViewDesc.prototype.slice = function slice (from, to, view) {\n var node = this.node.cut(from, to), dom = document.createTextNode(node.text);\n return new TextViewDesc(this.parent, node, this.outerDeco, this.innerDeco, dom, dom, view)\n };\n\n return TextViewDesc;\n}(NodeViewDesc));\n\n// A dummy desc used to tag trailing BR or span nodes created to work\n// around contentEditable terribleness.\nvar BRHackViewDesc = /*@__PURE__*/(function (ViewDesc) {\n function BRHackViewDesc () {\n ViewDesc.apply(this, arguments);\n }\n\n if ( ViewDesc ) BRHackViewDesc.__proto__ = ViewDesc;\n BRHackViewDesc.prototype = Object.create( ViewDesc && ViewDesc.prototype );\n BRHackViewDesc.prototype.constructor = BRHackViewDesc;\n\n BRHackViewDesc.prototype.parseRule = function parseRule () { return {ignore: true} };\n BRHackViewDesc.prototype.matchesHack = function matchesHack () { return this.dirty == NOT_DIRTY };\n\n return BRHackViewDesc;\n}(ViewDesc));\n\n// A separate subclass is used for customized node views, so that the\n// extra checks only have to be made for nodes that are actually\n// customized.\nvar CustomNodeViewDesc = /*@__PURE__*/(function (NodeViewDesc) {\n function CustomNodeViewDesc(parent, node, outerDeco, innerDeco, dom, contentDOM, nodeDOM, spec, view, pos) {\n NodeViewDesc.call(this, parent, node, outerDeco, innerDeco, dom, contentDOM, nodeDOM, view, pos);\n this.spec = spec;\n }\n\n if ( NodeViewDesc ) CustomNodeViewDesc.__proto__ = NodeViewDesc;\n CustomNodeViewDesc.prototype = Object.create( NodeViewDesc && NodeViewDesc.prototype );\n CustomNodeViewDesc.prototype.constructor = CustomNodeViewDesc;\n\n // A custom `update` method gets to decide whether the update goes\n // through. If it does, and there's a `contentDOM` node, our logic\n // updates the children.\n CustomNodeViewDesc.prototype.update = function update (node, outerDeco, innerDeco, view) {\n if (this.dirty == NODE_DIRTY) { return false }\n if (this.spec.update) {\n var result = this.spec.update(node, outerDeco);\n if (result) { this.updateInner(node, outerDeco, innerDeco, view); }\n return result\n } else if (!this.contentDOM && !node.isLeaf) {\n return false\n } else {\n return NodeViewDesc.prototype.update.call(this, node, outerDeco, innerDeco, view)\n }\n };\n\n CustomNodeViewDesc.prototype.selectNode = function selectNode () {\n this.spec.selectNode ? this.spec.selectNode() : NodeViewDesc.prototype.selectNode.call(this);\n };\n\n CustomNodeViewDesc.prototype.deselectNode = function deselectNode () {\n this.spec.deselectNode ? this.spec.deselectNode() : NodeViewDesc.prototype.deselectNode.call(this);\n };\n\n CustomNodeViewDesc.prototype.setSelection = function setSelection (anchor, head, root, force) {\n this.spec.setSelection ? this.spec.setSelection(anchor, head, root)\n : NodeViewDesc.prototype.setSelection.call(this, anchor, head, root, force);\n };\n\n CustomNodeViewDesc.prototype.destroy = function destroy () {\n if (this.spec.destroy) { this.spec.destroy(); }\n NodeViewDesc.prototype.destroy.call(this);\n };\n\n CustomNodeViewDesc.prototype.stopEvent = function stopEvent (event) {\n return this.spec.stopEvent ? this.spec.stopEvent(event) : false\n };\n\n CustomNodeViewDesc.prototype.ignoreMutation = function ignoreMutation (mutation) {\n return this.spec.ignoreMutation ? this.spec.ignoreMutation(mutation) : NodeViewDesc.prototype.ignoreMutation.call(this, mutation)\n };\n\n return CustomNodeViewDesc;\n}(NodeViewDesc));\n\n// : (dom.Node, [ViewDesc])\n// Sync the content of the given DOM node with the nodes associated\n// with the given array of view descs, recursing into mark descs\n// because this should sync the subtree for a whole node at a time.\nfunction renderDescs(parentDOM, descs) {\n var dom = parentDOM.firstChild;\n for (var i = 0; i < descs.length; i++) {\n var desc = descs[i], childDOM = desc.dom;\n if (childDOM.parentNode == parentDOM) {\n while (childDOM != dom) { dom = rm(dom); }\n dom = dom.nextSibling;\n } else {\n parentDOM.insertBefore(childDOM, dom);\n }\n if (desc instanceof MarkViewDesc) {\n var pos = dom ? dom.previousSibling : parentDOM.lastChild;\n renderDescs(desc.contentDOM, desc.children);\n dom = pos ? pos.nextSibling : parentDOM.firstChild;\n }\n }\n while (dom) { dom = rm(dom); }\n}\n\nfunction OuterDecoLevel(nodeName) {\n if (nodeName) { this.nodeName = nodeName; }\n}\nOuterDecoLevel.prototype = Object.create(null);\n\nvar noDeco = [new OuterDecoLevel];\n\nfunction computeOuterDeco(outerDeco, node, needsWrap) {\n if (outerDeco.length == 0) { return noDeco }\n\n var top = needsWrap ? noDeco[0] : new OuterDecoLevel, result = [top];\n\n for (var i = 0; i < outerDeco.length; i++) {\n var attrs = outerDeco[i].type.attrs, cur = top;\n if (!attrs) { continue }\n if (attrs.nodeName)\n { result.push(cur = new OuterDecoLevel(attrs.nodeName)); }\n\n for (var name in attrs) {\n var val = attrs[name];\n if (val == null) { continue }\n if (needsWrap && result.length == 1)\n { result.push(cur = top = new OuterDecoLevel(node.isInline ? \"span\" : \"div\")); }\n if (name == \"class\") { cur.class = (cur.class ? cur.class + \" \" : \"\") + val; }\n else if (name == \"style\") { cur.style = (cur.style ? cur.style + \";\" : \"\") + val; }\n else if (name != \"nodeName\") { cur[name] = val; }\n }\n }\n\n return result\n}\n\nfunction patchOuterDeco(outerDOM, nodeDOM, prevComputed, curComputed) {\n // Shortcut for trivial case\n if (prevComputed == noDeco && curComputed == noDeco) { return nodeDOM }\n\n var curDOM = nodeDOM;\n for (var i = 0; i < curComputed.length; i++) {\n var deco = curComputed[i], prev = prevComputed[i];\n if (i) {\n var parent = (void 0);\n if (prev && prev.nodeName == deco.nodeName && curDOM != outerDOM &&\n (parent = curDOM.parentNode) && parent.tagName.toLowerCase() == deco.nodeName) {\n curDOM = parent;\n } else {\n parent = document.createElement(deco.nodeName);\n parent.pmIsDeco = true;\n parent.appendChild(curDOM);\n prev = noDeco[0];\n curDOM = parent;\n }\n }\n patchAttributes(curDOM, prev || noDeco[0], deco);\n }\n return curDOM\n}\n\nfunction patchAttributes(dom, prev, cur) {\n for (var name in prev)\n { if (name != \"class\" && name != \"style\" && name != \"nodeName\" && !(name in cur))\n { dom.removeAttribute(name); } }\n for (var name$1 in cur)\n { if (name$1 != \"class\" && name$1 != \"style\" && name$1 != \"nodeName\" && cur[name$1] != prev[name$1])\n { dom.setAttribute(name$1, cur[name$1]); } }\n if (prev.class != cur.class) {\n var prevList = prev.class ? prev.class.split(\" \") : nothing;\n var curList = cur.class ? cur.class.split(\" \") : nothing;\n for (var i = 0; i < prevList.length; i++) { if (curList.indexOf(prevList[i]) == -1)\n { dom.classList.remove(prevList[i]); } }\n for (var i$1 = 0; i$1 < curList.length; i$1++) { if (prevList.indexOf(curList[i$1]) == -1)\n { dom.classList.add(curList[i$1]); } }\n }\n if (prev.style != cur.style) {\n if (prev.style) {\n var prop = /\\s*([\\w\\-\\xa1-\\uffff]+)\\s*:(?:\"(?:\\\\.|[^\"])*\"|'(?:\\\\.|[^'])*'|\\(.*?\\)|[^;])*/g, m;\n while (m = prop.exec(prev.style))\n { dom.style.removeProperty(m[1]); }\n }\n if (cur.style)\n { dom.style.cssText += cur.style; }\n }\n}\n\nfunction applyOuterDeco(dom, deco, node) {\n return patchOuterDeco(dom, dom, noDeco, computeOuterDeco(deco, node, dom.nodeType != 1))\n}\n\n// : ([Decoration], [Decoration]) → bool\nfunction sameOuterDeco(a, b) {\n if (a.length != b.length) { return false }\n for (var i = 0; i < a.length; i++) { if (!a[i].type.eq(b[i].type)) { return false } }\n return true\n}\n\n// Remove a DOM node and return its next sibling.\nfunction rm(dom) {\n var next = dom.nextSibling;\n dom.parentNode.removeChild(dom);\n return next\n}\n\n// Helper class for incrementally updating a tree of mark descs and\n// the widget and node descs inside of them.\nvar ViewTreeUpdater = function ViewTreeUpdater(top, lockedNode) {\n this.top = top;\n this.lock = lockedNode;\n // Index into `this.top`'s child array, represents the current\n // update position.\n this.index = 0;\n // When entering a mark, the current top and index are pushed\n // onto this.\n this.stack = [];\n // Tracks whether anything was changed\n this.changed = false;\n\n var pre = preMatch(top.node.content, top.children);\n this.preMatched = pre.nodes;\n this.preMatchOffset = pre.offset;\n};\n\nViewTreeUpdater.prototype.getPreMatch = function getPreMatch (index) {\n return index >= this.preMatchOffset ? this.preMatched[index - this.preMatchOffset] : null\n};\n\n// Destroy and remove the children between the given indices in\n// `this.top`.\nViewTreeUpdater.prototype.destroyBetween = function destroyBetween (start, end) {\n if (start == end) { return }\n for (var i = start; i < end; i++) { this.top.children[i].destroy(); }\n this.top.children.splice(start, end - start);\n this.changed = true;\n};\n\n// Destroy all remaining children in `this.top`.\nViewTreeUpdater.prototype.destroyRest = function destroyRest () {\n this.destroyBetween(this.index, this.top.children.length);\n};\n\n// : ([Mark], EditorView)\n// Sync the current stack of mark descs with the given array of\n// marks, reusing existing mark descs when possible.\nViewTreeUpdater.prototype.syncToMarks = function syncToMarks (marks, inline, view) {\n var keep = 0, depth = this.stack.length >> 1;\n var maxKeep = Math.min(depth, marks.length);\n while (keep < maxKeep &&\n (keep == depth - 1 ? this.top : this.stack[(keep + 1) << 1]).matchesMark(marks[keep]) && marks[keep].type.spec.spanning !== false)\n { keep++; }\n\n while (keep < depth) {\n this.destroyRest();\n this.top.dirty = NOT_DIRTY;\n this.index = this.stack.pop();\n this.top = this.stack.pop();\n depth--;\n }\n while (depth < marks.length) {\n this.stack.push(this.top, this.index + 1);\n var found = -1;\n for (var i = this.index; i < Math.min(this.index + 3, this.top.children.length); i++) {\n if (this.top.children[i].matchesMark(marks[depth])) { found = i; break }\n }\n if (found > -1) {\n if (found > this.index) {\n this.changed = true;\n this.destroyBetween(this.index, found);\n }\n this.top = this.top.children[this.index];\n } else {\n var markDesc = MarkViewDesc.create(this.top, marks[depth], inline, view);\n this.top.children.splice(this.index, 0, markDesc);\n this.top = markDesc;\n this.changed = true;\n }\n this.index = 0;\n depth++;\n }\n};\n\n// : (Node, [Decoration], DecorationSet) → bool\n// Try to find a node desc matching the given data. Skip over it and\n// return true when successful.\nViewTreeUpdater.prototype.findNodeMatch = function findNodeMatch (node, outerDeco, innerDeco, index) {\n var found = -1, preMatch = index < 0 ? undefined : this.getPreMatch(index), children = this.top.children;\n if (preMatch && preMatch.matchesNode(node, outerDeco, innerDeco)) {\n found = children.indexOf(preMatch);\n } else {\n for (var i = this.index, e = Math.min(children.length, i + 5); i < e; i++) {\n var child = children[i];\n if (child.matchesNode(node, outerDeco, innerDeco) && this.preMatched.indexOf(child) < 0) {\n found = i;\n break\n }\n }\n }\n if (found < 0) { return false }\n this.destroyBetween(this.index, found);\n this.index++;\n return true\n};\n\n// : (Node, [Decoration], DecorationSet, EditorView, Fragment, number) → bool\n// Try to update the next node, if any, to the given data. Checks\n// pre-matches to avoid overwriting nodes that could still be used.\nViewTreeUpdater.prototype.updateNextNode = function updateNextNode (node, outerDeco, innerDeco, view, index) {\n for (var i = this.index; i < this.top.children.length; i++) {\n var next = this.top.children[i];\n if (next instanceof NodeViewDesc) {\n var preMatch = this.preMatched.indexOf(next);\n if (preMatch > -1 && preMatch + this.preMatchOffset != index) { return false }\n var nextDOM = next.dom;\n\n // Can't update if nextDOM is or contains this.lock, except if\n // it's a text node whose content already matches the new text\n // and whose decorations match the new ones.\n var locked = this.lock && (nextDOM == this.lock || nextDOM.nodeType == 1 && nextDOM.contains(this.lock.parentNode)) &&\n !(node.isText && next.node && next.node.isText && next.nodeDOM.nodeValue == node.text &&\n next.dirty != NODE_DIRTY && sameOuterDeco(outerDeco, next.outerDeco));\n if (!locked && next.update(node, outerDeco, innerDeco, view)) {\n this.destroyBetween(this.index, i);\n if (next.dom != nextDOM) { this.changed = true; }\n this.index++;\n return true\n }\n break\n }\n }\n return false\n};\n\n// : (Node, [Decoration], DecorationSet, EditorView)\n// Insert the node as a newly created node desc.\nViewTreeUpdater.prototype.addNode = function addNode (node, outerDeco, innerDeco, view, pos) {\n this.top.children.splice(this.index++, 0, NodeViewDesc.create(this.top, node, outerDeco, innerDeco, view, pos));\n this.changed = true;\n};\n\nViewTreeUpdater.prototype.placeWidget = function placeWidget (widget, view, pos) {\n var next = this.index < this.top.children.length ? this.top.children[this.index] : null;\n if (next && next.matchesWidget(widget) && (widget == next.widget || !next.widget.type.toDOM.parentNode)) {\n this.index++;\n } else {\n var desc = new WidgetViewDesc(this.top, widget, view, pos);\n this.top.children.splice(this.index++, 0, desc);\n this.changed = true;\n }\n};\n\n// Make sure a textblock looks and behaves correctly in\n// contentEditable.\nViewTreeUpdater.prototype.addTextblockHacks = function addTextblockHacks () {\n var lastChild = this.top.children[this.index - 1];\n while (lastChild instanceof MarkViewDesc) { lastChild = lastChild.children[lastChild.children.length - 1]; }\n\n if (!lastChild || // Empty textblock\n !(lastChild instanceof TextViewDesc) ||\n /\\n$/.test(lastChild.node.text)) {\n if (this.index < this.top.children.length && this.top.children[this.index].matchesHack()) {\n this.index++;\n } else {\n var dom = document.createElement(\"br\");\n this.top.children.splice(this.index++, 0, new BRHackViewDesc(this.top, nothing, dom, null));\n this.changed = true;\n }\n }\n};\n\n// : (Fragment, [ViewDesc]) → [ViewDesc]\n// Iterate from the end of the fragment and array of descs to find\n// directly matching ones, in order to avoid overeagerly reusing\n// those for other nodes. Returns an array whose positions correspond\n// to node positions in the fragment, and whose elements are either\n// descs matched to the child at that index, or empty.\nfunction preMatch(frag, descs) {\n var result = [], end = frag.childCount;\n for (var i = descs.length - 1; end > 0 && i >= 0; i--) {\n var desc = descs[i], node = desc.node;\n if (!node) { continue }\n if (node != frag.child(end - 1)) { break }\n result.push(desc);\n --end;\n }\n return {nodes: result.reverse(), offset: end}\n}\n\nfunction compareSide(a, b) { return a.type.side - b.type.side }\n\n// : (ViewDesc, DecorationSet, (Decoration, number), (Node, [Decoration], DecorationSet, number))\n// This function abstracts iterating over the nodes and decorations in\n// a fragment. Calls `onNode` for each node, with its local and child\n// decorations. Splits text nodes when there is a decoration starting\n// or ending inside of them. Calls `onWidget` for each widget.\nfunction iterDeco(parent, deco, onWidget, onNode) {\n var locals = deco.locals(parent), offset = 0;\n // Simple, cheap variant for when there are no local decorations\n if (locals.length == 0) {\n for (var i = 0; i < parent.childCount; i++) {\n var child = parent.child(i);\n onNode(child, locals, deco.forChild(offset, child), i);\n offset += child.nodeSize;\n }\n return\n }\n\n var decoIndex = 0, active = [], restNode = null;\n for (var parentIndex = 0;;) {\n if (decoIndex < locals.length && locals[decoIndex].to == offset) {\n var widget = locals[decoIndex++], widgets = (void 0);\n while (decoIndex < locals.length && locals[decoIndex].to == offset)\n { (widgets || (widgets = [widget])).push(locals[decoIndex++]); }\n if (widgets) {\n widgets.sort(compareSide);\n for (var i$1 = 0; i$1 < widgets.length; i$1++) { onWidget(widgets[i$1], parentIndex, !!restNode); }\n } else {\n onWidget(widget, parentIndex, !!restNode);\n }\n }\n\n var child$1 = (void 0), index = (void 0);\n if (restNode) {\n index = -1;\n child$1 = restNode;\n restNode = null;\n } else if (parentIndex < parent.childCount) {\n index = parentIndex;\n child$1 = parent.child(parentIndex++);\n } else {\n break\n }\n\n for (var i$2 = 0; i$2 < active.length; i$2++) { if (active[i$2].to <= offset) { active.splice(i$2--, 1); } }\n while (decoIndex < locals.length && locals[decoIndex].from <= offset && locals[decoIndex].to > offset) { active.push(locals[decoIndex++]); }\n\n var end = offset + child$1.nodeSize;\n if (child$1.isText) {\n var cutAt = end;\n if (decoIndex < locals.length && locals[decoIndex].from < cutAt) { cutAt = locals[decoIndex].from; }\n for (var i$3 = 0; i$3 < active.length; i$3++) { if (active[i$3].to < cutAt) { cutAt = active[i$3].to; } }\n if (cutAt < end) {\n restNode = child$1.cut(cutAt - offset);\n child$1 = child$1.cut(0, cutAt - offset);\n end = cutAt;\n index = -1;\n }\n }\n\n onNode(child$1, active.length ? active.slice() : nothing, deco.forChild(offset, child$1), index);\n offset = end;\n }\n}\n\n// List markers in Mobile Safari will mysteriously disappear\n// sometimes. This works around that.\nfunction iosHacks(dom) {\n if (dom.nodeName == \"UL\" || dom.nodeName == \"OL\") {\n var oldCSS = dom.style.cssText;\n dom.style.cssText = oldCSS + \"; list-style: square !important\";\n window.getComputedStyle(dom).listStyle;\n dom.style.cssText = oldCSS;\n }\n}\n\nfunction nearbyTextNode(node, offset) {\n for (;;) {\n if (node.nodeType == 3) { return node }\n if (node.nodeType == 1 && offset > 0) {\n if (node.childNodes.length > offset && node.childNodes[offset].nodeType == 3)\n { return node.childNodes[offset] }\n node = node.childNodes[offset - 1];\n offset = nodeSize(node);\n } else if (node.nodeType == 1 && offset < node.childNodes.length) {\n node = node.childNodes[offset];\n offset = 0;\n } else {\n return null\n }\n }\n}\n\n// Find a piece of text in an inline fragment, overlapping from-to\nfunction findTextInFragment(frag, text, from, to) {\n for (var i = 0, pos = 0; i < frag.childCount && pos <= to;) {\n var child = frag.child(i++), childStart = pos;\n pos += child.nodeSize;\n if (!child.isText) { continue }\n var str = child.text;\n while (i < frag.childCount) {\n var next = frag.child(i++);\n pos += next.nodeSize;\n if (!next.isText) { break }\n str += next.text;\n }\n if (pos >= from) {\n var found = str.lastIndexOf(text, to - childStart);\n if (found >= 0 && found + text.length + childStart >= from)\n { return childStart + found }\n }\n }\n return -1\n}\n\n// Replace range from-to in an array of view descs with replacement\n// (may be null to just delete). This goes very much against the grain\n// of the rest of this code, which tends to create nodes with the\n// right shape in one go, rather than messing with them after\n// creation, but is necessary in the composition hack.\nfunction replaceNodes(nodes, from, to, view, replacement) {\n var result = [];\n for (var i = 0, off = 0; i < nodes.length; i++) {\n var child = nodes[i], start = off, end = off += child.size;\n if (start >= to || end <= from) {\n result.push(child);\n } else {\n if (start < from) { result.push(child.slice(0, from - start, view)); }\n if (replacement) {\n result.push(replacement);\n replacement = null;\n }\n if (end > to) { result.push(child.slice(to - start, child.size, view)); }\n }\n }\n return result\n}\n\nfunction moveSelectionBlock(state, dir) {\n var ref = state.selection;\n var $anchor = ref.$anchor;\n var $head = ref.$head;\n var $side = dir > 0 ? $anchor.max($head) : $anchor.min($head);\n var $start = !$side.parent.inlineContent ? $side : $side.depth ? state.doc.resolve(dir > 0 ? $side.after() : $side.before()) : null;\n return $start && Selection.findFrom($start, dir)\n}\n\nfunction apply(view, sel) {\n view.dispatch(view.state.tr.setSelection(sel).scrollIntoView());\n return true\n}\n\nfunction selectHorizontally(view, dir, mods) {\n var sel = view.state.selection;\n if (sel instanceof TextSelection) {\n if (!sel.empty || mods.indexOf(\"s\") > -1) {\n return false\n } else if (view.endOfTextblock(dir > 0 ? \"right\" : \"left\")) {\n var next = moveSelectionBlock(view.state, dir);\n if (next && (next instanceof NodeSelection)) { return apply(view, next) }\n return false\n } else if (!(result.mac && mods.indexOf(\"m\") > -1)) {\n var $head = sel.$head, node = $head.textOffset ? null : dir < 0 ? $head.nodeBefore : $head.nodeAfter, desc;\n if (!node || node.isText) { return false }\n var nodePos = dir < 0 ? $head.pos - node.nodeSize : $head.pos;\n if (!(node.isAtom || (desc = view.docView.descAt(nodePos)) && !desc.contentDOM)) { return false }\n if (NodeSelection.isSelectable(node)) {\n return apply(view, new NodeSelection(dir < 0 ? view.state.doc.resolve($head.pos - node.nodeSize) : $head))\n } else if (result.webkit) {\n // Chrome and Safari will introduce extra pointless cursor\n // positions around inline uneditable nodes, so we have to\n // take over and move the cursor past them (#937)\n return apply(view, new TextSelection(view.state.doc.resolve(dir < 0 ? nodePos : nodePos + node.nodeSize)))\n } else {\n return false\n }\n }\n } else if (sel instanceof NodeSelection && sel.node.isInline) {\n return apply(view, new TextSelection(dir > 0 ? sel.$to : sel.$from))\n } else {\n var next$1 = moveSelectionBlock(view.state, dir);\n if (next$1) { return apply(view, next$1) }\n return false\n }\n}\n\nfunction nodeLen(node) {\n return node.nodeType == 3 ? node.nodeValue.length : node.childNodes.length\n}\n\nfunction isIgnorable(dom) {\n var desc = dom.pmViewDesc;\n return desc && desc.size == 0 && (dom.nextSibling || dom.nodeName != \"BR\")\n}\n\n// Make sure the cursor isn't directly after one or more ignored\n// nodes, which will confuse the browser's cursor motion logic.\nfunction skipIgnoredNodesLeft(view) {\n var sel = view.root.getSelection();\n var node = sel.focusNode, offset = sel.focusOffset;\n if (!node) { return }\n var moveNode, moveOffset, force = false;\n // Gecko will do odd things when the selection is directly in front\n // of a non-editable node, so in that case, move it into the next\n // node if possible. Issue prosemirror/prosemirror#832.\n if (result.gecko && node.nodeType == 1 && offset < nodeLen(node) && isIgnorable(node.childNodes[offset])) { force = true; }\n for (;;) {\n if (offset > 0) {\n if (node.nodeType != 1) {\n break\n } else {\n var before = node.childNodes[offset - 1];\n if (isIgnorable(before)) {\n moveNode = node;\n moveOffset = --offset;\n } else if (before.nodeType == 3) {\n node = before;\n offset = node.nodeValue.length;\n } else { break }\n }\n } else if (isBlockNode(node)) {\n break\n } else {\n var prev = node.previousSibling;\n while (prev && isIgnorable(prev)) {\n moveNode = node.parentNode;\n moveOffset = domIndex(prev);\n prev = prev.previousSibling;\n }\n if (!prev) {\n node = node.parentNode;\n if (node == view.dom) { break }\n offset = 0;\n } else {\n node = prev;\n offset = nodeLen(node);\n }\n }\n }\n if (force) { setSelFocus(view, sel, node, offset); }\n else if (moveNode) { setSelFocus(view, sel, moveNode, moveOffset); }\n}\n\n// Make sure the cursor isn't directly before one or more ignored\n// nodes.\nfunction skipIgnoredNodesRight(view) {\n var sel = view.root.getSelection();\n var node = sel.focusNode, offset = sel.focusOffset;\n if (!node) { return }\n var len = nodeLen(node);\n var moveNode, moveOffset;\n for (;;) {\n if (offset < len) {\n if (node.nodeType != 1) { break }\n var after = node.childNodes[offset];\n if (isIgnorable(after)) {\n moveNode = node;\n moveOffset = ++offset;\n }\n else { break }\n } else if (isBlockNode(node)) {\n break\n } else {\n var next = node.nextSibling;\n while (next && isIgnorable(next)) {\n moveNode = next.parentNode;\n moveOffset = domIndex(next) + 1;\n next = next.nextSibling;\n }\n if (!next) {\n node = node.parentNode;\n if (node == view.dom) { break }\n offset = len = 0;\n } else {\n node = next;\n offset = 0;\n len = nodeLen(node);\n }\n }\n }\n if (moveNode) { setSelFocus(view, sel, moveNode, moveOffset); }\n}\n\nfunction isBlockNode(dom) {\n var desc = dom.pmViewDesc;\n return desc && desc.node && desc.node.isBlock\n}\n\nfunction setSelFocus(view, sel, node, offset) {\n if (selectionCollapsed(sel)) {\n var range = document.createRange();\n range.setEnd(node, offset);\n range.setStart(node, offset);\n sel.removeAllRanges();\n sel.addRange(range);\n } else if (sel.extend) {\n sel.extend(node, offset);\n }\n view.domObserver.setCurSelection();\n}\n\n// : (EditorState, number)\n// Check whether vertical selection motion would involve node\n// selections. If so, apply it (if not, the result is left to the\n// browser)\nfunction selectVertically(view, dir, mods) {\n var sel = view.state.selection;\n if (sel instanceof TextSelection && !sel.empty || mods.indexOf(\"s\") > -1) { return false }\n if (result.mac && mods.indexOf(\"m\") > -1) { return false }\n var $from = sel.$from;\n var $to = sel.$to;\n\n if (!$from.parent.inlineContent || view.endOfTextblock(dir < 0 ? \"up\" : \"down\")) {\n var next = moveSelectionBlock(view.state, dir);\n if (next && (next instanceof NodeSelection))\n { return apply(view, next) }\n }\n if (!$from.parent.inlineContent) {\n var beyond = Selection.findFrom(dir < 0 ? $from : $to, dir);\n return beyond ? apply(view, beyond) : true\n }\n return false\n}\n\nfunction stopNativeHorizontalDelete(view, dir) {\n if (!(view.state.selection instanceof TextSelection)) { return true }\n var ref = view.state.selection;\n var $head = ref.$head;\n var $anchor = ref.$anchor;\n var empty = ref.empty;\n if (!$head.sameParent($anchor)) { return true }\n if (!empty) { return false }\n if (view.endOfTextblock(dir > 0 ? \"forward\" : \"backward\")) { return true }\n var nextNode = !$head.textOffset && (dir < 0 ? $head.nodeBefore : $head.nodeAfter);\n if (nextNode && !nextNode.isText) {\n var tr = view.state.tr;\n if (dir < 0) { tr.delete($head.pos - nextNode.nodeSize, $head.pos); }\n else { tr.delete($head.pos, $head.pos + nextNode.nodeSize); }\n view.dispatch(tr);\n return true\n }\n return false\n}\n\nfunction switchEditable(view, node, state) {\n view.domObserver.stop();\n node.contentEditable = state;\n view.domObserver.start();\n}\n\n// Issue #867 / https://bugs.chromium.org/p/chromium/issues/detail?id=903821\n// In which Chrome does really wrong things when the down arrow is\n// pressed when the cursor is directly at the start of a textblock and\n// has an uneditable node after it\nfunction chromeDownArrowBug(view) {\n if (!result.chrome || view.state.selection.$head.parentOffset > 0) { return }\n var ref = view.root.getSelection();\n var focusNode = ref.focusNode;\n var focusOffset = ref.focusOffset;\n if (focusNode && focusNode.nodeType == 1 && focusOffset == 0 &&\n focusNode.firstChild && focusNode.firstChild.contentEditable == \"false\") {\n var child = focusNode.firstChild;\n switchEditable(view, child, true);\n setTimeout(function () { return switchEditable(view, child, false); }, 20);\n }\n}\n\n// A backdrop key mapping used to make sure we always suppress keys\n// that have a dangerous default effect, even if the commands they are\n// bound to return false, and to make sure that cursor-motion keys\n// find a cursor (as opposed to a node selection) when pressed. For\n// cursor-motion keys, the code in the handlers also takes care of\n// block selections.\n\nfunction getMods(event) {\n var result = \"\";\n if (event.ctrlKey) { result += \"c\"; }\n if (event.metaKey) { result += \"m\"; }\n if (event.altKey) { result += \"a\"; }\n if (event.shiftKey) { result += \"s\"; }\n return result\n}\n\nfunction captureKeyDown(view, event) {\n var code = event.keyCode, mods = getMods(event);\n if (code == 8 || (result.mac && code == 72 && mods == \"c\")) { // Backspace, Ctrl-h on Mac\n return stopNativeHorizontalDelete(view, -1) || skipIgnoredNodesLeft(view)\n } else if (code == 46 || (result.mac && code == 68 && mods == \"c\")) { // Delete, Ctrl-d on Mac\n return stopNativeHorizontalDelete(view, 1) || skipIgnoredNodesRight(view)\n } else if (code == 13 || code == 27) { // Enter, Esc\n return true\n } else if (code == 37) { // Left arrow\n return selectHorizontally(view, -1, mods) || skipIgnoredNodesLeft(view)\n } else if (code == 39) { // Right arrow\n return selectHorizontally(view, 1, mods) || skipIgnoredNodesRight(view)\n } else if (code == 38) { // Up arrow\n return selectVertically(view, -1, mods) || skipIgnoredNodesLeft(view)\n } else if (code == 40) { // Down arrow\n return chromeDownArrowBug(view) || selectVertically(view, 1, mods) || skipIgnoredNodesRight(view)\n } else if (mods == (result.mac ? \"m\" : \"c\") &&\n (code == 66 || code == 73 || code == 89 || code == 90)) { // Mod-[biyz]\n return true\n }\n return false\n}\n\nfunction selectionFromDOM(view, origin) {\n var domSel = view.root.getSelection(), doc = view.state.doc;\n if (!domSel.focusNode) { return null }\n var nearestDesc = view.docView.nearestDesc(domSel.focusNode), inWidget = nearestDesc && nearestDesc.size == 0;\n var head = view.docView.posFromDOM(domSel.focusNode, domSel.focusOffset);\n if (head < 0) { return null }\n var $head = doc.resolve(head), $anchor, selection;\n if (selectionCollapsed(domSel)) {\n $anchor = $head;\n while (nearestDesc && !nearestDesc.node) { nearestDesc = nearestDesc.parent; }\n if (nearestDesc && nearestDesc.node.isAtom && NodeSelection.isSelectable(nearestDesc.node) && nearestDesc.parent\n && !(nearestDesc.node.isInline && isOnEdge(domSel.focusNode, domSel.focusOffset, nearestDesc.dom))) {\n var pos = nearestDesc.posBefore;\n selection = new NodeSelection(head == pos ? $head : doc.resolve(pos));\n }\n } else {\n var anchor = view.docView.posFromDOM(domSel.anchorNode, domSel.anchorOffset);\n if (anchor < 0) { return null }\n $anchor = doc.resolve(anchor);\n }\n\n if (!selection) {\n var bias = origin == \"pointer\" || (view.state.selection.head < $head.pos && !inWidget) ? 1 : -1;\n selection = selectionBetween(view, $anchor, $head, bias);\n }\n return selection\n}\n\nfunction selectionToDOM(view, force) {\n var sel = view.state.selection;\n syncNodeSelection(view, sel);\n\n if (view.editable ? !view.hasFocus() :\n !(hasSelection(view) && document.activeElement && document.activeElement.contains(view.dom))) { return }\n\n view.domObserver.disconnectSelection();\n\n if (view.cursorWrapper) {\n selectCursorWrapper(view);\n } else {\n var anchor = sel.anchor;\n var head = sel.head;\n var resetEditableFrom, resetEditableTo;\n if (brokenSelectBetweenUneditable && !(sel instanceof TextSelection)) {\n if (!sel.$from.parent.inlineContent)\n { resetEditableFrom = temporarilyEditableNear(view, sel.from); }\n if (!sel.empty && !sel.$from.parent.inlineContent)\n { resetEditableTo = temporarilyEditableNear(view, sel.to); }\n }\n view.docView.setSelection(anchor, head, view.root, force);\n if (brokenSelectBetweenUneditable) {\n if (resetEditableFrom) { resetEditable(resetEditableFrom); }\n if (resetEditableTo) { resetEditable(resetEditableTo); }\n }\n if (sel.visible) {\n view.dom.classList.remove(\"ProseMirror-hideselection\");\n } else {\n view.dom.classList.add(\"ProseMirror-hideselection\");\n if (\"onselectionchange\" in document) { removeClassOnSelectionChange(view); }\n }\n }\n\n view.domObserver.setCurSelection();\n view.domObserver.connectSelection();\n}\n\n// Kludge to work around Webkit not allowing a selection to start/end\n// between non-editable block nodes. We briefly make something\n// editable, set the selection, then set it uneditable again.\n\nvar brokenSelectBetweenUneditable = result.safari || result.chrome && result.chrome_version < 63;\n\nfunction temporarilyEditableNear(view, pos) {\n var ref = view.docView.domFromPos(pos);\n var node = ref.node;\n var offset = ref.offset;\n var after = offset < node.childNodes.length ? node.childNodes[offset] : null;\n var before = offset ? node.childNodes[offset - 1] : null;\n if (result.safari && after && after.contentEditable == \"false\") { return setEditable(after) }\n if ((!after || after.contentEditable == \"false\") && (!before || before.contentEditable == \"false\")) {\n if (after) { return setEditable(after) }\n else if (before) { return setEditable(before) }\n }\n}\n\nfunction setEditable(element) {\n element.contentEditable = \"true\";\n if (result.safari && element.draggable) { element.draggable = false; element.wasDraggable = true; }\n return element\n}\n\nfunction resetEditable(element) {\n element.contentEditable = \"false\";\n if (element.wasDraggable) { element.draggable = true; element.wasDraggable = null; }\n}\n\nfunction removeClassOnSelectionChange(view) {\n var doc = view.dom.ownerDocument;\n doc.removeEventListener(\"selectionchange\", view.hideSelectionGuard);\n var domSel = view.root.getSelection();\n var node = domSel.anchorNode, offset = domSel.anchorOffset;\n doc.addEventListener(\"selectionchange\", view.hideSelectionGuard = function () {\n if (domSel.anchorNode != node || domSel.anchorOffset != offset) {\n doc.removeEventListener(\"selectionchange\", view.hideSelectionGuard);\n view.dom.classList.remove(\"ProseMirror-hideselection\");\n }\n });\n}\n\nfunction selectCursorWrapper(view) {\n var domSel = view.root.getSelection(), range = document.createRange();\n var node = view.cursorWrapper.dom, img = node.nodeName == \"IMG\";\n if (img) { range.setEnd(node.parentNode, domIndex(node) + 1); }\n else { range.setEnd(node, 0); }\n range.collapse(false);\n domSel.removeAllRanges();\n domSel.addRange(range);\n // Kludge to kill 'control selection' in IE11 when selecting an\n // invisible cursor wrapper, since that would result in those weird\n // resize handles and a selection that considers the absolutely\n // positioned wrapper, rather than the root editable node, the\n // focused element.\n if (!img && !view.state.selection.visible && result.ie && result.ie_version <= 11) {\n node.disabled = true;\n node.disabled = false;\n }\n}\n\nfunction syncNodeSelection(view, sel) {\n if (sel instanceof NodeSelection) {\n var desc = view.docView.descAt(sel.from);\n if (desc != view.lastSelectedViewDesc) {\n clearNodeSelection(view);\n if (desc) { desc.selectNode(); }\n view.lastSelectedViewDesc = desc;\n }\n } else {\n clearNodeSelection(view);\n }\n}\n\n// Clear all DOM statefulness of the last node selection.\nfunction clearNodeSelection(view) {\n if (view.lastSelectedViewDesc) {\n if (view.lastSelectedViewDesc.parent)\n { view.lastSelectedViewDesc.deselectNode(); }\n view.lastSelectedViewDesc = null;\n }\n}\n\nfunction selectionBetween(view, $anchor, $head, bias) {\n return view.someProp(\"createSelectionBetween\", function (f) { return f(view, $anchor, $head); })\n || TextSelection.between($anchor, $head, bias)\n}\n\nfunction hasFocusAndSelection(view) {\n if (view.editable && view.root.activeElement != view.dom) { return false }\n return hasSelection(view)\n}\n\nfunction hasSelection(view) {\n var sel = view.root.getSelection();\n if (!sel.anchorNode) { return false }\n try {\n // Firefox will raise 'permission denied' errors when accessing\n // properties of `sel.anchorNode` when it's in a generated CSS\n // element.\n return view.dom.contains(sel.anchorNode.nodeType == 3 ? sel.anchorNode.parentNode : sel.anchorNode) &&\n (view.editable || view.dom.contains(sel.focusNode.nodeType == 3 ? sel.focusNode.parentNode : sel.focusNode))\n } catch(_) {\n return false\n }\n}\n\nfunction anchorInRightPlace(view) {\n var anchorDOM = view.docView.domFromPos(view.state.selection.anchor);\n var domSel = view.root.getSelection();\n return isEquivalentPosition(anchorDOM.node, anchorDOM.offset, domSel.anchorNode, domSel.anchorOffset)\n}\n\n// Note that all referencing and parsing is done with the\n// start-of-operation selection and document, since that's the one\n// that the DOM represents. If any changes came in in the meantime,\n// the modification is mapped over those before it is applied, in\n// readDOMChange.\n\nfunction parseBetween(view, from_, to_) {\n var ref = view.docView.parseRange(from_, to_);\n var parent = ref.node;\n var fromOffset = ref.fromOffset;\n var toOffset = ref.toOffset;\n var from = ref.from;\n var to = ref.to;\n\n var domSel = view.root.getSelection(), find = null, anchor = domSel.anchorNode;\n if (anchor && view.dom.contains(anchor.nodeType == 1 ? anchor : anchor.parentNode)) {\n find = [{node: anchor, offset: domSel.anchorOffset}];\n if (!selectionCollapsed(domSel))\n { find.push({node: domSel.focusNode, offset: domSel.focusOffset}); }\n }\n // Work around issue in Chrome where backspacing sometimes replaces\n // the deleted content with a random BR node (issues #799, #831)\n if (result.chrome && view.lastKeyCode === 8) {\n for (var off = toOffset; off > fromOffset; off--) {\n var node = parent.childNodes[off - 1], desc = node.pmViewDesc;\n if (node.nodeType == \"BR\" && !desc) { toOffset = off; break }\n if (!desc || desc.size) { break }\n }\n }\n var startDoc = view.state.doc;\n var parser = view.someProp(\"domParser\") || DOMParser.fromSchema(view.state.schema);\n var $from = startDoc.resolve(from);\n\n var sel = null, doc = parser.parse(parent, {\n topNode: $from.parent,\n topMatch: $from.parent.contentMatchAt($from.index()),\n topOpen: true,\n from: fromOffset,\n to: toOffset,\n preserveWhitespace: $from.parent.type.spec.code ? \"full\" : true,\n editableContent: true,\n findPositions: find,\n ruleFromNode: ruleFromNode,\n context: $from\n });\n if (find && find[0].pos != null) {\n var anchor$1 = find[0].pos, head = find[1] && find[1].pos;\n if (head == null) { head = anchor$1; }\n sel = {anchor: anchor$1 + from, head: head + from};\n }\n return {doc: doc, sel: sel, from: from, to: to}\n}\n\nfunction ruleFromNode(dom) {\n var desc = dom.pmViewDesc;\n if (desc) {\n return desc.parseRule()\n } else if (dom.nodeName == \"BR\" && dom.parentNode) {\n // Safari replaces the list item or table cell with a BR\n // directly in the list node (?!) if you delete the last\n // character in a list item or table cell (#708, #862)\n if (result.safari && /^(ul|ol)$/i.test(dom.parentNode.nodeName)) {\n var skip = document.createElement(\"div\");\n skip.appendChild(document.createElement(\"li\"));\n return {skip: skip}\n } else if (dom.parentNode.lastChild == dom || result.safari && /^(tr|table)$/i.test(dom.parentNode.nodeName)) {\n return {ignore: true}\n }\n } else if (dom.nodeName == \"IMG\" && dom.getAttribute(\"mark-placeholder\")) {\n return {ignore: true}\n }\n}\n\nfunction readDOMChange(view, from, to, typeOver, addedNodes) {\n if (from < 0) {\n var origin = view.lastSelectionTime > Date.now() - 50 ? view.lastSelectionOrigin : null;\n var newSel = selectionFromDOM(view, origin);\n if (newSel && !view.state.selection.eq(newSel)) {\n var tr$1 = view.state.tr.setSelection(newSel);\n if (origin == \"pointer\") { tr$1.setMeta(\"pointer\", true); }\n else if (origin == \"key\") { tr$1.scrollIntoView(); }\n view.dispatch(tr$1);\n }\n return\n }\n\n var $before = view.state.doc.resolve(from);\n var shared = $before.sharedDepth(to);\n from = $before.before(shared + 1);\n to = view.state.doc.resolve(to).after(shared + 1);\n\n var sel = view.state.selection;\n var parse = parseBetween(view, from, to);\n\n var doc = view.state.doc, compare = doc.slice(parse.from, parse.to);\n var preferredPos, preferredSide;\n // Prefer anchoring to end when Backspace is pressed\n if (view.lastKeyCode === 8 && Date.now() - 100 < view.lastKeyCodeTime) {\n preferredPos = view.state.selection.to;\n preferredSide = \"end\";\n } else {\n preferredPos = view.state.selection.from;\n preferredSide = \"start\";\n }\n view.lastKeyCode = null;\n\n var change = findDiff(compare.content, parse.doc.content, parse.from, preferredPos, preferredSide);\n if (!change) {\n if (typeOver && sel instanceof TextSelection && !sel.empty && sel.$head.sameParent(sel.$anchor) &&\n !view.composing && !(parse.sel && parse.sel.anchor != parse.sel.head)) {\n change = {start: sel.from, endA: sel.to, endB: sel.to};\n } else {\n if (parse.sel) {\n var sel$1 = resolveSelection(view, view.state.doc, parse.sel);\n if (sel$1 && !sel$1.eq(view.state.selection)) { view.dispatch(view.state.tr.setSelection(sel$1)); }\n }\n return\n }\n }\n view.domChangeCount++;\n // Handle the case where overwriting a selection by typing matches\n // the start or end of the selected content, creating a change\n // that's smaller than what was actually overwritten.\n if (view.state.selection.from < view.state.selection.to &&\n change.start == change.endB &&\n view.state.selection instanceof TextSelection) {\n if (change.start > view.state.selection.from && change.start <= view.state.selection.from + 2) {\n change.start = view.state.selection.from;\n } else if (change.endA < view.state.selection.to && change.endA >= view.state.selection.to - 2) {\n change.endB += (view.state.selection.to - change.endA);\n change.endA = view.state.selection.to;\n }\n }\n\n // IE11 will insert a non-breaking space _ahead_ of the space after\n // the cursor space when adding a space before another space. When\n // that happened, adjust the change to cover the space instead.\n if (result.ie && result.ie_version <= 11 && change.endB == change.start + 1 &&\n change.endA == change.start && change.start > parse.from &&\n parse.doc.textBetween(change.start - parse.from - 1, change.start - parse.from + 1) == \" \\u00a0\") {\n change.start--;\n change.endA--;\n change.endB--;\n }\n\n var $from = parse.doc.resolveNoCache(change.start - parse.from);\n var $to = parse.doc.resolveNoCache(change.endB - parse.from);\n var inlineChange = $from.sameParent($to) && $from.parent.inlineContent;\n var nextSel;\n // If this looks like the effect of pressing Enter (or was recorded\n // as being an iOS enter press), just dispatch an Enter key instead.\n if (((result.ios && view.lastIOSEnter > Date.now() - 100 &&\n (!inlineChange || addedNodes.some(function (n) { return n.nodeName == \"DIV\" || n.nodeName == \"P\"; }))) ||\n (!inlineChange && $from.pos < parse.doc.content.size &&\n (nextSel = Selection.findFrom(parse.doc.resolve($from.pos + 1), 1, true)) &&\n nextSel.head == $to.pos)) &&\n view.someProp(\"handleKeyDown\", function (f) { return f(view, keyEvent(13, \"Enter\")); })) {\n view.lastIOSEnter = 0;\n return\n }\n // Same for backspace\n if (view.state.selection.anchor > change.start &&\n looksLikeJoin(doc, change.start, change.endA, $from, $to) &&\n view.someProp(\"handleKeyDown\", function (f) { return f(view, keyEvent(8, \"Backspace\")); })) {\n if (result.android && result.chrome) { view.domObserver.suppressSelectionUpdates(); } // #820\n return\n }\n\n // This tries to detect Android virtual keyboard\n // enter-and-pick-suggestion action. That sometimes (see issue\n // #1059) first fires a DOM mutation, before moving the selection to\n // the newly created block. And then, because ProseMirror cleans up\n // the DOM selection, it gives up moving the selection entirely,\n // leaving the cursor in the wrong place. When that happens, we drop\n // the new paragraph from the initial change, and fire a simulated\n // enter key afterwards.\n if (result.android && !inlineChange && $from.start() != $to.start() && $to.parentOffset == 0 && $from.depth == $to.depth &&\n parse.sel && parse.sel.anchor == parse.sel.head && parse.sel.head == change.endA) {\n change.endB -= 2;\n $to = parse.doc.resolveNoCache(change.endB - parse.from);\n setTimeout(function () {\n view.someProp(\"handleKeyDown\", function (f) { return f(view, keyEvent(13, \"Enter\")); });\n }, 20);\n }\n\n var chFrom = change.start, chTo = change.endA;\n\n var tr, storedMarks, markChange, $from1;\n if (inlineChange) {\n if ($from.pos == $to.pos) { // Deletion\n // IE11 sometimes weirdly moves the DOM selection around after\n // backspacing out the first element in a textblock\n if (result.ie && result.ie_version <= 11 && $from.parentOffset == 0) {\n view.domObserver.suppressSelectionUpdates();\n setTimeout(function () { return selectionToDOM(view); }, 20);\n }\n tr = view.state.tr.delete(chFrom, chTo);\n storedMarks = doc.resolve(change.start).marksAcross(doc.resolve(change.endA));\n } else if ( // Adding or removing a mark\n change.endA == change.endB && ($from1 = doc.resolve(change.start)) &&\n (markChange = isMarkChange($from.parent.content.cut($from.parentOffset, $to.parentOffset),\n $from1.parent.content.cut($from1.parentOffset, change.endA - $from1.start())))\n ) {\n tr = view.state.tr;\n if (markChange.type == \"add\") { tr.addMark(chFrom, chTo, markChange.mark); }\n else { tr.removeMark(chFrom, chTo, markChange.mark); }\n } else if ($from.parent.child($from.index()).isText && $from.index() == $to.index() - ($to.textOffset ? 0 : 1)) {\n // Both positions in the same text node -- simply insert text\n var text = $from.parent.textBetween($from.parentOffset, $to.parentOffset);\n if (view.someProp(\"handleTextInput\", function (f) { return f(view, chFrom, chTo, text); })) { return }\n tr = view.state.tr.insertText(text, chFrom, chTo);\n }\n }\n\n if (!tr)\n { tr = view.state.tr.replace(chFrom, chTo, parse.doc.slice(change.start - parse.from, change.endB - parse.from)); }\n if (parse.sel) {\n var sel$2 = resolveSelection(view, tr.doc, parse.sel);\n // Chrome Android will sometimes, during composition, report the\n // selection in the wrong place. If it looks like that is\n // happening, don't update the selection.\n // Edge just doesn't move the cursor forward when you start typing\n // in an empty block or between br nodes.\n if (sel$2 && !(result.chrome && result.android && view.composing && sel$2.empty &&\n (sel$2.head == chFrom || sel$2.head == tr.mapping.map(chTo) - 1) ||\n result.ie && sel$2.empty && sel$2.head == chFrom))\n { tr.setSelection(sel$2); }\n }\n if (storedMarks) { tr.ensureMarks(storedMarks); }\n view.dispatch(tr.scrollIntoView());\n}\n\nfunction resolveSelection(view, doc, parsedSel) {\n if (Math.max(parsedSel.anchor, parsedSel.head) > doc.content.size) { return null }\n return selectionBetween(view, doc.resolve(parsedSel.anchor), doc.resolve(parsedSel.head))\n}\n\n// : (Fragment, Fragment) → ?{mark: Mark, type: string}\n// Given two same-length, non-empty fragments of inline content,\n// determine whether the first could be created from the second by\n// removing or adding a single mark type.\nfunction isMarkChange(cur, prev) {\n var curMarks = cur.firstChild.marks, prevMarks = prev.firstChild.marks;\n var added = curMarks, removed = prevMarks, type, mark, update;\n for (var i = 0; i < prevMarks.length; i++) { added = prevMarks[i].removeFromSet(added); }\n for (var i$1 = 0; i$1 < curMarks.length; i$1++) { removed = curMarks[i$1].removeFromSet(removed); }\n if (added.length == 1 && removed.length == 0) {\n mark = added[0];\n type = \"add\";\n update = function (node) { return node.mark(mark.addToSet(node.marks)); };\n } else if (added.length == 0 && removed.length == 1) {\n mark = removed[0];\n type = \"remove\";\n update = function (node) { return node.mark(mark.removeFromSet(node.marks)); };\n } else {\n return null\n }\n var updated = [];\n for (var i$2 = 0; i$2 < prev.childCount; i$2++) { updated.push(update(prev.child(i$2))); }\n if (Fragment.from(updated).eq(cur)) { return {mark: mark, type: type} }\n}\n\nfunction looksLikeJoin(old, start, end, $newStart, $newEnd) {\n if (!$newStart.parent.isTextblock ||\n // The content must have shrunk\n end - start <= $newEnd.pos - $newStart.pos ||\n // newEnd must point directly at or after the end of the block that newStart points into\n skipClosingAndOpening($newStart, true, false) < $newEnd.pos)\n { return false }\n\n var $start = old.resolve(start);\n // Start must be at the end of a block\n if ($start.parentOffset < $start.parent.content.size || !$start.parent.isTextblock)\n { return false }\n var $next = old.resolve(skipClosingAndOpening($start, true, true));\n // The next textblock must start before end and end near it\n if (!$next.parent.isTextblock || $next.pos > end ||\n skipClosingAndOpening($next, true, false) < end)\n { return false }\n\n // The fragments after the join point must match\n return $newStart.parent.content.cut($newStart.parentOffset).eq($next.parent.content)\n}\n\nfunction skipClosingAndOpening($pos, fromEnd, mayOpen) {\n var depth = $pos.depth, end = fromEnd ? $pos.end() : $pos.pos;\n while (depth > 0 && (fromEnd || $pos.indexAfter(depth) == $pos.node(depth).childCount)) {\n depth--;\n end++;\n fromEnd = false;\n }\n if (mayOpen) {\n var next = $pos.node(depth).maybeChild($pos.indexAfter(depth));\n while (next && !next.isLeaf) {\n next = next.firstChild;\n end++;\n }\n }\n return end\n}\n\nfunction findDiff(a, b, pos, preferredPos, preferredSide) {\n var start = a.findDiffStart(b, pos);\n if (start == null) { return null }\n var ref = a.findDiffEnd(b, pos + a.size, pos + b.size);\n var endA = ref.a;\n var endB = ref.b;\n if (preferredSide == \"end\") {\n var adjust = Math.max(0, start - Math.min(endA, endB));\n preferredPos -= endA + adjust - start;\n }\n if (endA < start && a.size < b.size) {\n var move = preferredPos <= start && preferredPos >= endA ? start - preferredPos : 0;\n start -= move;\n endB = start + (endB - endA);\n endA = start;\n } else if (endB < start) {\n var move$1 = preferredPos <= start && preferredPos >= endB ? start - preferredPos : 0;\n start -= move$1;\n endA = start + (endA - endB);\n endB = start;\n }\n return {start: start, endA: endA, endB: endB}\n}\n\nfunction serializeForClipboard(view, slice) {\n var context = [];\n var content = slice.content;\n var openStart = slice.openStart;\n var openEnd = slice.openEnd;\n while (openStart > 1 && openEnd > 1 && content.childCount == 1 && content.firstChild.childCount == 1) {\n openStart--;\n openEnd--;\n var node = content.firstChild;\n context.push(node.type.name, node.type.hasRequiredAttrs() ? node.attrs : null);\n content = node.content;\n }\n\n var serializer = view.someProp(\"clipboardSerializer\") || DOMSerializer.fromSchema(view.state.schema);\n var doc = detachedDoc(), wrap = doc.createElement(\"div\");\n wrap.appendChild(serializer.serializeFragment(content, {document: doc}));\n\n var firstChild = wrap.firstChild, needsWrap;\n while (firstChild && firstChild.nodeType == 1 && (needsWrap = wrapMap[firstChild.nodeName.toLowerCase()])) {\n for (var i = needsWrap.length - 1; i >= 0; i--) {\n var wrapper = doc.createElement(needsWrap[i]);\n while (wrap.firstChild) { wrapper.appendChild(wrap.firstChild); }\n wrap.appendChild(wrapper);\n }\n firstChild = wrap.firstChild;\n }\n\n if (firstChild && firstChild.nodeType == 1)\n { firstChild.setAttribute(\"data-pm-slice\", (openStart + \" \" + openEnd + \" \" + (JSON.stringify(context)))); }\n\n var text = view.someProp(\"clipboardTextSerializer\", function (f) { return f(slice); }) ||\n slice.content.textBetween(0, slice.content.size, \"\\n\\n\");\n\n return {dom: wrap, text: text}\n}\n\n// : (EditorView, string, string, ?bool, ResolvedPos) → ?Slice\n// Read a slice of content from the clipboard (or drop data).\nfunction parseFromClipboard(view, text, html, plainText, $context) {\n var dom, inCode = $context.parent.type.spec.code, slice;\n if (!html && !text) { return null }\n var asText = text && (plainText || inCode || !html);\n if (asText) {\n view.someProp(\"transformPastedText\", function (f) { text = f(text); });\n if (inCode) { return new Slice(Fragment.from(view.state.schema.text(text)), 0, 0) }\n var parsed = view.someProp(\"clipboardTextParser\", function (f) { return f(text, $context); });\n if (parsed) {\n slice = parsed;\n } else {\n dom = document.createElement(\"div\");\n text.trim().split(/(?:\\r\\n?|\\n)+/).forEach(function (block) {\n dom.appendChild(document.createElement(\"p\")).textContent = block;\n });\n }\n } else {\n view.someProp(\"transformPastedHTML\", function (f) { html = f(html); });\n dom = readHTML(html);\n }\n\n var contextNode = dom && dom.querySelector(\"[data-pm-slice]\");\n var sliceData = contextNode && /^(\\d+) (\\d+) (.*)/.exec(contextNode.getAttribute(\"data-pm-slice\"));\n if (!slice) {\n var parser = view.someProp(\"clipboardParser\") || view.someProp(\"domParser\") || DOMParser.fromSchema(view.state.schema);\n slice = parser.parseSlice(dom, {preserveWhitespace: !!(asText || sliceData), context: $context});\n }\n if (sliceData)\n { slice = addContext(closeSlice(slice, +sliceData[1], +sliceData[2]), sliceData[3]); }\n else // HTML wasn't created by ProseMirror. Make sure top-level siblings are coherent\n { slice = Slice.maxOpen(normalizeSiblings(slice.content, $context), false); }\n\n view.someProp(\"transformPasted\", function (f) { slice = f(slice); });\n return slice\n}\n\n// Takes a slice parsed with parseSlice, which means there hasn't been\n// any content-expression checking done on the top nodes, tries to\n// find a parent node in the current context that might fit the nodes,\n// and if successful, rebuilds the slice so that it fits into that parent.\n//\n// This addresses the problem that Transform.replace expects a\n// coherent slice, and will fail to place a set of siblings that don't\n// fit anywhere in the schema.\nfunction normalizeSiblings(fragment, $context) {\n if (fragment.childCount < 2) { return fragment }\n var loop = function ( d ) {\n var parent = $context.node(d);\n var match = parent.contentMatchAt($context.index(d));\n var lastWrap = (void 0), result = [];\n fragment.forEach(function (node) {\n if (!result) { return }\n var wrap = match.findWrapping(node.type), inLast;\n if (!wrap) { return result = null }\n if (inLast = result.length && lastWrap.length && addToSibling(wrap, lastWrap, node, result[result.length - 1], 0)) {\n result[result.length - 1] = inLast;\n } else {\n if (result.length) { result[result.length - 1] = closeRight(result[result.length - 1], lastWrap.length); }\n var wrapped = withWrappers(node, wrap);\n result.push(wrapped);\n match = match.matchType(wrapped.type, wrapped.attrs);\n lastWrap = wrap;\n }\n });\n if (result) { return { v: Fragment.from(result) } }\n };\n\n for (var d = $context.depth; d >= 0; d--) {\n var returned = loop( d );\n\n if ( returned ) return returned.v;\n }\n return fragment\n}\n\nfunction withWrappers(node, wrap, from) {\n if ( from === void 0 ) from = 0;\n\n for (var i = wrap.length - 1; i >= from; i--)\n { node = wrap[i].create(null, Fragment.from(node)); }\n return node\n}\n\n// Used to group adjacent nodes wrapped in similar parents by\n// normalizeSiblings into the same parent node\nfunction addToSibling(wrap, lastWrap, node, sibling, depth) {\n if (depth < wrap.length && depth < lastWrap.length && wrap[depth] == lastWrap[depth]) {\n var inner = addToSibling(wrap, lastWrap, node, sibling.lastChild, depth + 1);\n if (inner) { return sibling.copy(sibling.content.replaceChild(sibling.childCount - 1, inner)) }\n var match = sibling.contentMatchAt(sibling.childCount);\n if (match.matchType(depth == wrap.length - 1 ? node.type : wrap[depth + 1]))\n { return sibling.copy(sibling.content.append(Fragment.from(withWrappers(node, wrap, depth + 1)))) }\n }\n}\n\nfunction closeRight(node, depth) {\n if (depth == 0) { return node }\n var fragment = node.content.replaceChild(node.childCount - 1, closeRight(node.lastChild, depth - 1));\n var fill = node.contentMatchAt(node.childCount).fillBefore(Fragment.empty, true);\n return node.copy(fragment.append(fill))\n}\n\nfunction closeRange(fragment, side, from, to, depth, openEnd) {\n var node = side < 0 ? fragment.firstChild : fragment.lastChild, inner = node.content;\n if (depth < to - 1) { inner = closeRange(inner, side, from, to, depth + 1, openEnd); }\n if (depth >= from)\n { inner = side < 0 ? node.contentMatchAt(0).fillBefore(inner, fragment.childCount > 1 || openEnd <= depth).append(inner)\n : inner.append(node.contentMatchAt(node.childCount).fillBefore(Fragment.empty, true)); }\n return fragment.replaceChild(side < 0 ? 0 : fragment.childCount - 1, node.copy(inner))\n}\n\nfunction closeSlice(slice, openStart, openEnd) {\n if (openStart < slice.openStart)\n { slice = new Slice(closeRange(slice.content, -1, openStart, slice.openStart, 0, slice.openEnd), openStart, slice.openEnd); }\n if (openEnd < slice.openEnd)\n { slice = new Slice(closeRange(slice.content, 1, openEnd, slice.openEnd, 0, 0), slice.openStart, openEnd); }\n return slice\n}\n\n// Trick from jQuery -- some elements must be wrapped in other\n// elements for innerHTML to work. I.e. if you do `div.innerHTML =\n// \"<td>..</td>\"` the table cells are ignored.\nvar wrapMap = {\n thead: [\"table\"],\n tbody: [\"table\"],\n tfoot: [\"table\"],\n caption: [\"table\"],\n colgroup: [\"table\"],\n col: [\"table\", \"colgroup\"],\n tr: [\"table\", \"tbody\"],\n td: [\"table\", \"tbody\", \"tr\"],\n th: [\"table\", \"tbody\", \"tr\"]\n};\n\nvar _detachedDoc = null;\nfunction detachedDoc() {\n return _detachedDoc || (_detachedDoc = document.implementation.createHTMLDocument(\"title\"))\n}\n\nfunction readHTML(html) {\n var metas = /(\\s*<meta [^>]*>)*/.exec(html);\n if (metas) { html = html.slice(metas[0].length); }\n var elt = detachedDoc().createElement(\"div\");\n var firstTag = /(?:<meta [^>]*>)*<([a-z][^>\\s]+)/i.exec(html), wrap, depth = 0;\n if (wrap = firstTag && wrapMap[firstTag[1].toLowerCase()]) {\n html = wrap.map(function (n) { return \"<\" + n + \">\"; }).join(\"\") + html + wrap.map(function (n) { return \"</\" + n + \">\"; }).reverse().join(\"\");\n depth = wrap.length;\n }\n elt.innerHTML = html;\n for (var i = 0; i < depth; i++) { elt = elt.firstChild; }\n return elt\n}\n\nfunction addContext(slice, context) {\n if (!slice.size) { return slice }\n var schema = slice.content.firstChild.type.schema, array;\n try { array = JSON.parse(context); }\n catch(e) { return slice }\n var content = slice.content;\n var openStart = slice.openStart;\n var openEnd = slice.openEnd;\n for (var i = array.length - 2; i >= 0; i -= 2) {\n var type = schema.nodes[array[i]];\n if (!type || type.hasRequiredAttrs()) { break }\n content = Fragment.from(type.create(array[i + 1], content));\n openStart++; openEnd++;\n }\n return new Slice(content, openStart, openEnd)\n}\n\nvar observeOptions = {\n childList: true,\n characterData: true,\n characterDataOldValue: true,\n attributes: true,\n attributeOldValue: true,\n subtree: true\n};\n// IE11 has very broken mutation observers, so we also listen to DOMCharacterDataModified\nvar useCharData = result.ie && result.ie_version <= 11;\n\nvar SelectionState = function SelectionState() {\n this.anchorNode = this.anchorOffset = this.focusNode = this.focusOffset = null;\n};\n\nSelectionState.prototype.set = function set (sel) {\n this.anchorNode = sel.anchorNode; this.anchorOffset = sel.anchorOffset;\n this.focusNode = sel.focusNode; this.focusOffset = sel.focusOffset;\n};\n\nSelectionState.prototype.eq = function eq (sel) {\n return sel.anchorNode == this.anchorNode && sel.anchorOffset == this.anchorOffset &&\n sel.focusNode == this.focusNode && sel.focusOffset == this.focusOffset\n};\n\nvar DOMObserver = function DOMObserver(view, handleDOMChange) {\n var this$1 = this;\n\n this.view = view;\n this.handleDOMChange = handleDOMChange;\n this.queue = [];\n this.flushingSoon = -1;\n this.observer = window.MutationObserver &&\n new window.MutationObserver(function (mutations) {\n for (var i = 0; i < mutations.length; i++) { this$1.queue.push(mutations[i]); }\n // IE11 will sometimes (on backspacing out a single character\n // text node after a BR node) call the observer callback\n // before actually updating the DOM, which will cause\n // ProseMirror to miss the change (see #930)\n if (result.ie && result.ie_version <= 11 && mutations.some(\n function (m) { return m.type == \"childList\" && m.removedNodes.length ||\n m.type == \"characterData\" && m.oldValue.length > m.target.nodeValue.length; }))\n { this$1.flushSoon(); }\n else\n { this$1.flush(); }\n });\n this.currentSelection = new SelectionState;\n if (useCharData) {\n this.onCharData = function (e) {\n this$1.queue.push({target: e.target, type: \"characterData\", oldValue: e.prevValue});\n this$1.flushSoon();\n };\n }\n this.onSelectionChange = this.onSelectionChange.bind(this);\n this.suppressingSelectionUpdates = false;\n};\n\nDOMObserver.prototype.flushSoon = function flushSoon () {\n var this$1 = this;\n\n if (this.flushingSoon < 0)\n { this.flushingSoon = window.setTimeout(function () { this$1.flushingSoon = -1; this$1.flush(); }, 20); }\n};\n\nDOMObserver.prototype.forceFlush = function forceFlush () {\n if (this.flushingSoon > -1) {\n window.clearTimeout(this.flushingSoon);\n this.flushingSoon = -1;\n this.flush();\n }\n};\n\nDOMObserver.prototype.start = function start () {\n if (this.observer)\n { this.observer.observe(this.view.dom, observeOptions); }\n if (useCharData)\n { this.view.dom.addEventListener(\"DOMCharacterDataModified\", this.onCharData); }\n this.connectSelection();\n};\n\nDOMObserver.prototype.stop = function stop () {\n var this$1 = this;\n\n if (this.observer) {\n var take = this.observer.takeRecords();\n if (take.length) {\n for (var i = 0; i < take.length; i++) { this.queue.push(take[i]); }\n window.setTimeout(function () { return this$1.flush(); }, 20);\n }\n this.observer.disconnect();\n }\n if (useCharData) { this.view.dom.removeEventListener(\"DOMCharacterDataModified\", this.onCharData); }\n this.disconnectSelection();\n};\n\nDOMObserver.prototype.connectSelection = function connectSelection () {\n this.view.dom.ownerDocument.addEventListener(\"selectionchange\", this.onSelectionChange);\n};\n\nDOMObserver.prototype.disconnectSelection = function disconnectSelection () {\n this.view.dom.ownerDocument.removeEventListener(\"selectionchange\", this.onSelectionChange);\n};\n\nDOMObserver.prototype.suppressSelectionUpdates = function suppressSelectionUpdates () {\n var this$1 = this;\n\n this.suppressingSelectionUpdates = true;\n setTimeout(function () { return this$1.suppressingSelectionUpdates = false; }, 50);\n};\n\nDOMObserver.prototype.onSelectionChange = function onSelectionChange () {\n if (!hasFocusAndSelection(this.view)) { return }\n if (this.suppressingSelectionUpdates) { return selectionToDOM(this.view) }\n // Deletions on IE11 fire their events in the wrong order, giving\n // us a selection change event before the DOM changes are\n // reported.\n if (result.ie && result.ie_version <= 11 && !this.view.state.selection.empty) {\n var sel = this.view.root.getSelection();\n // Selection.isCollapsed isn't reliable on IE\n if (sel.focusNode && isEquivalentPosition(sel.focusNode, sel.focusOffset, sel.anchorNode, sel.anchorOffset))\n { return this.flushSoon() }\n }\n this.flush();\n};\n\nDOMObserver.prototype.setCurSelection = function setCurSelection () {\n this.currentSelection.set(this.view.root.getSelection());\n};\n\nDOMObserver.prototype.ignoreSelectionChange = function ignoreSelectionChange (sel) {\n if (sel.rangeCount == 0) { return true }\n var container = sel.getRangeAt(0).commonAncestorContainer;\n var desc = this.view.docView.nearestDesc(container);\n if (desc && desc.ignoreMutation({type: \"selection\", target: container.nodeType == 3 ? container.parentNode : container})) {\n this.setCurSelection();\n return true\n }\n};\n\nDOMObserver.prototype.flush = function flush () {\n if (!this.view.docView || this.flushingSoon > -1) { return }\n var mutations = this.observer ? this.observer.takeRecords() : [];\n if (this.queue.length) {\n mutations = this.queue.concat(mutations);\n this.queue.length = 0;\n }\n\n var sel = this.view.root.getSelection();\n var newSel = !this.suppressingSelectionUpdates && !this.currentSelection.eq(sel) && hasSelection(this.view) && !this.ignoreSelectionChange(sel);\n\n var from = -1, to = -1, typeOver = false, added = [];\n if (this.view.editable) {\n for (var i = 0; i < mutations.length; i++) {\n var result$1 = this.registerMutation(mutations[i], added);\n if (result$1) {\n from = from < 0 ? result$1.from : Math.min(result$1.from, from);\n to = to < 0 ? result$1.to : Math.max(result$1.to, to);\n if (result$1.typeOver) { typeOver = true; }\n }\n }\n }\n\n if (result.gecko && added.length > 1) {\n var brs = added.filter(function (n) { return n.nodeName == \"BR\"; });\n if (brs.length == 2) {\n var a = brs[0];\n var b = brs[1];\n if (a.parentNode && a.parentNode.parentNode == b.parentNode) { b.remove(); }\n else { a.remove(); }\n }\n }\n\n if (from > -1 || newSel) {\n if (from > -1) {\n this.view.docView.markDirty(from, to);\n checkCSS(this.view);\n }\n this.handleDOMChange(from, to, typeOver, added);\n if (this.view.docView.dirty) { this.view.updateState(this.view.state); }\n else if (!this.currentSelection.eq(sel)) { selectionToDOM(this.view); }\n }\n};\n\nDOMObserver.prototype.registerMutation = function registerMutation (mut, added) {\n // Ignore mutations inside nodes that were already noted as inserted\n if (added.indexOf(mut.target) > -1) { return null }\n var desc = this.view.docView.nearestDesc(mut.target);\n if (mut.type == \"attributes\" &&\n (desc == this.view.docView || mut.attributeName == \"contenteditable\" ||\n // Firefox sometimes fires spurious events for null/empty styles\n (mut.attributeName == \"style\" && !mut.oldValue && !mut.target.getAttribute(\"style\"))))\n { return null }\n if (!desc || desc.ignoreMutation(mut)) { return null }\n\n if (mut.type == \"childList\") {\n var prev = mut.previousSibling, next = mut.nextSibling;\n if (result.ie && result.ie_version <= 11 && mut.addedNodes.length) {\n // IE11 gives us incorrect next/prev siblings for some\n // insertions, so if there are added nodes, recompute those\n for (var i = 0; i < mut.addedNodes.length; i++) {\n var ref = mut.addedNodes[i];\n var previousSibling = ref.previousSibling;\n var nextSibling = ref.nextSibling;\n if (!previousSibling || Array.prototype.indexOf.call(mut.addedNodes, previousSibling) < 0) { prev = previousSibling; }\n if (!nextSibling || Array.prototype.indexOf.call(mut.addedNodes, nextSibling) < 0) { next = nextSibling; }\n }\n }\n var fromOffset = prev && prev.parentNode == mut.target\n ? domIndex(prev) + 1 : 0;\n var from = desc.localPosFromDOM(mut.target, fromOffset, -1);\n var toOffset = next && next.parentNode == mut.target\n ? domIndex(next) : mut.target.childNodes.length;\n for (var i$1 = 0; i$1 < mut.addedNodes.length; i$1++) { added.push(mut.addedNodes[i$1]); }\n var to = desc.localPosFromDOM(mut.target, toOffset, 1);\n return {from: from, to: to}\n } else if (mut.type == \"attributes\") {\n return {from: desc.posAtStart - desc.border, to: desc.posAtEnd + desc.border}\n } else { // \"characterData\"\n return {\n from: desc.posAtStart,\n to: desc.posAtEnd,\n // An event was generated for a text change that didn't change\n // any text. Mark the dom change to fall back to assuming the\n // selection was typed over with an identical value if it can't\n // find another change.\n typeOver: mut.target.nodeValue == mut.oldValue\n }\n }\n};\n\nvar cssChecked = false;\n\nfunction checkCSS(view) {\n if (cssChecked) { return }\n cssChecked = true;\n if (getComputedStyle(view.dom).whiteSpace == \"normal\")\n { console[\"warn\"](\"ProseMirror expects the CSS white-space property to be set, preferably to 'pre-wrap'. It is recommended to load style/prosemirror.css from the prosemirror-view package.\"); }\n}\n\n// A collection of DOM events that occur within the editor, and callback functions\n// to invoke when the event fires.\nvar handlers = {}, editHandlers = {};\n\nfunction initInput(view) {\n view.shiftKey = false;\n view.mouseDown = null;\n view.lastKeyCode = null;\n view.lastKeyCodeTime = 0;\n view.lastClick = {time: 0, x: 0, y: 0, type: \"\"};\n view.lastSelectionOrigin = null;\n view.lastSelectionTime = 0;\n\n view.lastIOSEnter = 0;\n\n view.composing = false;\n view.composingTimeout = null;\n view.compositionNodes = [];\n view.compositionEndedAt = -2e8;\n\n view.domObserver = new DOMObserver(view, function (from, to, typeOver, added) { return readDOMChange(view, from, to, typeOver, added); });\n view.domObserver.start();\n // Used by hacks like the beforeinput handler to check whether anything happened in the DOM\n view.domChangeCount = 0;\n\n view.eventHandlers = Object.create(null);\n var loop = function ( event ) {\n var handler = handlers[event];\n view.dom.addEventListener(event, view.eventHandlers[event] = function (event) {\n if (eventBelongsToView(view, event) && !runCustomHandler(view, event) &&\n (view.editable || !(event.type in editHandlers)))\n { handler(view, event); }\n });\n };\n\n for (var event in handlers) loop( event );\n // On Safari, for reasons beyond my understanding, adding an input\n // event handler makes an issue where the composition vanishes when\n // you press enter go away.\n if (result.safari) { view.dom.addEventListener(\"input\", function () { return null; }); }\n\n ensureListeners(view);\n}\n\nfunction setSelectionOrigin(view, origin) {\n view.lastSelectionOrigin = origin;\n view.lastSelectionTime = Date.now();\n}\n\nfunction destroyInput(view) {\n view.domObserver.stop();\n for (var type in view.eventHandlers)\n { view.dom.removeEventListener(type, view.eventHandlers[type]); }\n clearTimeout(view.composingTimeout);\n}\n\nfunction ensureListeners(view) {\n view.someProp(\"handleDOMEvents\", function (currentHandlers) {\n for (var type in currentHandlers) { if (!view.eventHandlers[type])\n { view.dom.addEventListener(type, view.eventHandlers[type] = function (event) { return runCustomHandler(view, event); }); } }\n });\n}\n\nfunction runCustomHandler(view, event) {\n return view.someProp(\"handleDOMEvents\", function (handlers) {\n var handler = handlers[event.type];\n return handler ? handler(view, event) || event.defaultPrevented : false\n })\n}\n\nfunction eventBelongsToView(view, event) {\n if (!event.bubbles) { return true }\n if (event.defaultPrevented) { return false }\n for (var node = event.target; node != view.dom; node = node.parentNode)\n { if (!node || node.nodeType == 11 ||\n (node.pmViewDesc && node.pmViewDesc.stopEvent(event)))\n { return false } }\n return true\n}\n\nfunction dispatchEvent(view, event) {\n if (!runCustomHandler(view, event) && handlers[event.type] &&\n (view.editable || !(event.type in editHandlers)))\n { handlers[event.type](view, event); }\n}\n\neditHandlers.keydown = function (view, event) {\n view.shiftKey = event.keyCode == 16 || event.shiftKey;\n if (inOrNearComposition(view, event)) { return }\n view.domObserver.forceFlush();\n view.lastKeyCode = event.keyCode;\n view.lastKeyCodeTime = Date.now();\n // On iOS, if we preventDefault enter key presses, the virtual\n // keyboard gets confused. So the hack here is to set a flag that\n // makes the DOM change code recognize that what just happens should\n // be replaced by whatever the Enter key handlers do.\n if (result.ios && event.keyCode == 13 && !event.ctrlKey && !event.altKey && !event.metaKey) {\n var now = Date.now();\n view.lastIOSEnter = now;\n setTimeout(function () {\n if (view.lastIOSEnter == now) {\n view.someProp(\"handleKeyDown\", function (f) { return f(view, keyEvent(13, \"Enter\")); });\n view.lastIOSEnter = 0;\n }\n }, 50);\n } else if (view.someProp(\"handleKeyDown\", function (f) { return f(view, event); }) || captureKeyDown(view, event)) {\n event.preventDefault();\n } else {\n setSelectionOrigin(view, \"key\");\n }\n};\n\neditHandlers.keyup = function (view, e) {\n if (e.keyCode == 16) { view.shiftKey = false; }\n};\n\neditHandlers.keypress = function (view, event) {\n if (inOrNearComposition(view, event) || !event.charCode ||\n event.ctrlKey && !event.altKey || result.mac && event.metaKey) { return }\n\n if (view.someProp(\"handleKeyPress\", function (f) { return f(view, event); })) {\n event.preventDefault();\n return\n }\n\n var sel = view.state.selection;\n if (!(sel instanceof TextSelection) || !sel.$from.sameParent(sel.$to)) {\n var text = String.fromCharCode(event.charCode);\n if (!view.someProp(\"handleTextInput\", function (f) { return f(view, sel.$from.pos, sel.$to.pos, text); }))\n { view.dispatch(view.state.tr.insertText(text).scrollIntoView()); }\n event.preventDefault();\n }\n};\n\nfunction eventCoords(event) { return {left: event.clientX, top: event.clientY} }\n\nfunction isNear(event, click) {\n var dx = click.x - event.clientX, dy = click.y - event.clientY;\n return dx * dx + dy * dy < 100\n}\n\nfunction runHandlerOnContext(view, propName, pos, inside, event) {\n if (inside == -1) { return false }\n var $pos = view.state.doc.resolve(inside);\n var loop = function ( i ) {\n if (view.someProp(propName, function (f) { return i > $pos.depth ? f(view, pos, $pos.nodeAfter, $pos.before(i), event, true)\n : f(view, pos, $pos.node(i), $pos.before(i), event, false); }))\n { return { v: true } }\n };\n\n for (var i = $pos.depth + 1; i > 0; i--) {\n var returned = loop( i );\n\n if ( returned ) return returned.v;\n }\n return false\n}\n\nfunction updateSelection(view, selection, origin) {\n if (!view.focused) { view.focus(); }\n var tr = view.state.tr.setSelection(selection);\n if (origin == \"pointer\") { tr.setMeta(\"pointer\", true); }\n view.dispatch(tr);\n}\n\nfunction selectClickedLeaf(view, inside) {\n if (inside == -1) { return false }\n var $pos = view.state.doc.resolve(inside), node = $pos.nodeAfter;\n if (node && node.isAtom && NodeSelection.isSelectable(node)) {\n updateSelection(view, new NodeSelection($pos), \"pointer\");\n return true\n }\n return false\n}\n\nfunction selectClickedNode(view, inside) {\n if (inside == -1) { return false }\n var sel = view.state.selection, selectedNode, selectAt;\n if (sel instanceof NodeSelection) { selectedNode = sel.node; }\n\n var $pos = view.state.doc.resolve(inside);\n for (var i = $pos.depth + 1; i > 0; i--) {\n var node = i > $pos.depth ? $pos.nodeAfter : $pos.node(i);\n if (NodeSelection.isSelectable(node)) {\n if (selectedNode && sel.$from.depth > 0 &&\n i >= sel.$from.depth && $pos.before(sel.$from.depth + 1) == sel.$from.pos)\n { selectAt = $pos.before(sel.$from.depth); }\n else\n { selectAt = $pos.before(i); }\n break\n }\n }\n\n if (selectAt != null) {\n updateSelection(view, NodeSelection.create(view.state.doc, selectAt), \"pointer\");\n return true\n } else {\n return false\n }\n}\n\nfunction handleSingleClick(view, pos, inside, event, selectNode) {\n return runHandlerOnContext(view, \"handleClickOn\", pos, inside, event) ||\n view.someProp(\"handleClick\", function (f) { return f(view, pos, event); }) ||\n (selectNode ? selectClickedNode(view, inside) : selectClickedLeaf(view, inside))\n}\n\nfunction handleDoubleClick(view, pos, inside, event) {\n return runHandlerOnContext(view, \"handleDoubleClickOn\", pos, inside, event) ||\n view.someProp(\"handleDoubleClick\", function (f) { return f(view, pos, event); })\n}\n\nfunction handleTripleClick(view, pos, inside, event) {\n return runHandlerOnContext(view, \"handleTripleClickOn\", pos, inside, event) ||\n view.someProp(\"handleTripleClick\", function (f) { return f(view, pos, event); }) ||\n defaultTripleClick(view, inside)\n}\n\nfunction defaultTripleClick(view, inside) {\n var doc = view.state.doc;\n if (inside == -1) {\n if (doc.inlineContent) {\n updateSelection(view, TextSelection.create(doc, 0, doc.content.size), \"pointer\");\n return true\n }\n return false\n }\n\n var $pos = doc.resolve(inside);\n for (var i = $pos.depth + 1; i > 0; i--) {\n var node = i > $pos.depth ? $pos.nodeAfter : $pos.node(i);\n var nodePos = $pos.before(i);\n if (node.inlineContent)\n { updateSelection(view, TextSelection.create(doc, nodePos + 1, nodePos + 1 + node.content.size), \"pointer\"); }\n else if (NodeSelection.isSelectable(node))\n { updateSelection(view, NodeSelection.create(doc, nodePos), \"pointer\"); }\n else\n { continue }\n return true\n }\n}\n\nfunction forceDOMFlush(view) {\n return endComposition(view)\n}\n\nvar selectNodeModifier = result.mac ? \"metaKey\" : \"ctrlKey\";\n\nhandlers.mousedown = function (view, event) {\n view.shiftKey = event.shiftKey;\n var flushed = forceDOMFlush(view);\n var now = Date.now(), type = \"singleClick\";\n if (now - view.lastClick.time < 500 && isNear(event, view.lastClick) && !event[selectNodeModifier]) {\n if (view.lastClick.type == \"singleClick\") { type = \"doubleClick\"; }\n else if (view.lastClick.type == \"doubleClick\") { type = \"tripleClick\"; }\n }\n view.lastClick = {time: now, x: event.clientX, y: event.clientY, type: type};\n\n var pos = view.posAtCoords(eventCoords(event));\n if (!pos) { return }\n\n if (type == \"singleClick\")\n { view.mouseDown = new MouseDown(view, pos, event, flushed); }\n else if ((type == \"doubleClick\" ? handleDoubleClick : handleTripleClick)(view, pos.pos, pos.inside, event))\n { event.preventDefault(); }\n else\n { setSelectionOrigin(view, \"pointer\"); }\n};\n\nvar MouseDown = function MouseDown(view, pos, event, flushed) {\n var this$1 = this;\n\n this.view = view;\n this.startDoc = view.state.doc;\n this.pos = pos;\n this.event = event;\n this.flushed = flushed;\n this.selectNode = event[selectNodeModifier];\n this.allowDefault = event.shiftKey;\n\n var targetNode, targetPos;\n if (pos.inside > -1) {\n targetNode = view.state.doc.nodeAt(pos.inside);\n targetPos = pos.inside;\n } else {\n var $pos = view.state.doc.resolve(pos.pos);\n targetNode = $pos.parent;\n targetPos = $pos.depth ? $pos.before() : 0;\n }\n\n this.mightDrag = null;\n\n var target = flushed ? null : event.target;\n var targetDesc = target ? view.docView.nearestDesc(target, true) : null;\n this.target = targetDesc ? targetDesc.dom : null;\n\n if (targetNode.type.spec.draggable && targetNode.type.spec.selectable !== false ||\n view.state.selection instanceof NodeSelection && targetPos == view.state.selection.from)\n { this.mightDrag = {node: targetNode,\n pos: targetPos,\n addAttr: this.target && !this.target.draggable,\n setUneditable: this.target && result.gecko && !this.target.hasAttribute(\"contentEditable\")}; }\n\n if (this.target && this.mightDrag && (this.mightDrag.addAttr || this.mightDrag.setUneditable)) {\n this.view.domObserver.stop();\n if (this.mightDrag.addAttr) { this.target.draggable = true; }\n if (this.mightDrag.setUneditable)\n { setTimeout(function () { return this$1.target.setAttribute(\"contentEditable\", \"false\"); }, 20); }\n this.view.domObserver.start();\n }\n\n view.root.addEventListener(\"mouseup\", this.up = this.up.bind(this));\n view.root.addEventListener(\"mousemove\", this.move = this.move.bind(this));\n setSelectionOrigin(view, \"pointer\");\n};\n\nMouseDown.prototype.done = function done () {\n this.view.root.removeEventListener(\"mouseup\", this.up);\n this.view.root.removeEventListener(\"mousemove\", this.move);\n if (this.mightDrag && this.target) {\n this.view.domObserver.stop();\n if (this.mightDrag.addAttr) { this.target.removeAttribute(\"draggable\"); }\n if (this.mightDrag.setUneditable) { this.target.removeAttribute(\"contentEditable\"); }\n this.view.domObserver.start();\n }\n this.view.mouseDown = null;\n};\n\nMouseDown.prototype.up = function up (event) {\n this.done();\n\n if (!this.view.dom.contains(event.target.nodeType == 3 ? event.target.parentNode : event.target))\n { return }\n\n var pos = this.pos;\n if (this.view.state.doc != this.startDoc) { pos = this.view.posAtCoords(eventCoords(event)); }\n\n if (this.allowDefault || !pos) {\n setSelectionOrigin(this.view, \"pointer\");\n } else if (handleSingleClick(this.view, pos.pos, pos.inside, event, this.selectNode)) {\n event.preventDefault();\n } else if (this.flushed ||\n // Safari ignores clicks on draggable elements\n (result.safari && this.mightDrag && !this.mightDrag.node.isAtom) ||\n // Chrome will sometimes treat a node selection as a\n // cursor, but still report that the node is selected\n // when asked through getSelection. You'll then get a\n // situation where clicking at the point where that\n // (hidden) cursor is doesn't change the selection, and\n // thus doesn't get a reaction from ProseMirror. This\n // works around that.\n (result.chrome && !(this.view.state.selection instanceof TextSelection) &&\n (pos.pos == this.view.state.selection.from || pos.pos == this.view.state.selection.to))) {\n updateSelection(this.view, Selection.near(this.view.state.doc.resolve(pos.pos)), \"pointer\");\n event.preventDefault();\n } else {\n setSelectionOrigin(this.view, \"pointer\");\n }\n};\n\nMouseDown.prototype.move = function move (event) {\n if (!this.allowDefault && (Math.abs(this.event.x - event.clientX) > 4 ||\n Math.abs(this.event.y - event.clientY) > 4))\n { this.allowDefault = true; }\n setSelectionOrigin(this.view, \"pointer\");\n};\n\nhandlers.touchdown = function (view) {\n forceDOMFlush(view);\n setSelectionOrigin(view, \"pointer\");\n};\n\nhandlers.contextmenu = function (view) { return forceDOMFlush(view); };\n\nfunction inOrNearComposition(view, event) {\n if (view.composing) { return true }\n // See https://www.stum.de/2016/06/24/handling-ime-events-in-javascript/.\n // On Japanese input method editors (IMEs), the Enter key is used to confirm character\n // selection. On Safari, when Enter is pressed, compositionend and keydown events are\n // emitted. The keydown event triggers newline insertion, which we don't want.\n // This method returns true if the keydown event should be ignored.\n // We only ignore it once, as pressing Enter a second time *should* insert a newline.\n // Furthermore, the keydown event timestamp must be close to the compositionEndedAt timestamp.\n // This guards against the case where compositionend is triggered without the keyboard\n // (e.g. character confirmation may be done with the mouse), and keydown is triggered\n // afterwards- we wouldn't want to ignore the keydown event in this case.\n if (result.safari && Math.abs(event.timeStamp - view.compositionEndedAt) < 500) {\n view.compositionEndedAt = -2e8;\n return true\n }\n return false\n}\n\n// Drop active composition after 5 seconds of inactivity on Android\nvar timeoutComposition = result.android ? 5000 : -1;\n\neditHandlers.compositionstart = editHandlers.compositionupdate = function (view) {\n if (!view.composing) {\n view.domObserver.flush();\n var state = view.state;\n var $pos = state.selection.$from;\n if (state.selection.empty &&\n (state.storedMarks || (!$pos.textOffset && $pos.parentOffset && $pos.nodeBefore.marks.some(function (m) { return m.type.spec.inclusive === false; })))) {\n // Need to wrap the cursor in mark nodes different from the ones in the DOM context\n view.markCursor = view.state.storedMarks || $pos.marks();\n endComposition(view, true);\n view.markCursor = null;\n } else {\n endComposition(view);\n // In firefox, if the cursor is after but outside a marked node,\n // the inserted text won't inherit the marks. So this moves it\n // inside if necessary.\n if (result.gecko && state.selection.empty && $pos.parentOffset && !$pos.textOffset && $pos.nodeBefore.marks.length) {\n var sel = view.root.getSelection();\n for (var node = sel.focusNode, offset = sel.focusOffset; node && node.nodeType == 1 && offset != 0;) {\n var before = offset < 0 ? node.lastChild : node.childNodes[offset - 1];\n if (!before) { break }\n if (before.nodeType == 3) {\n sel.collapse(before, before.nodeValue.length);\n break\n } else {\n node = before;\n offset = -1;\n }\n }\n }\n }\n view.composing = true;\n }\n scheduleComposeEnd(view, timeoutComposition);\n};\n\neditHandlers.compositionend = function (view, event) {\n if (view.composing) {\n view.composing = false;\n view.compositionEndedAt = event.timeStamp;\n scheduleComposeEnd(view, 20);\n }\n};\n\nfunction scheduleComposeEnd(view, delay) {\n clearTimeout(view.composingTimeout);\n if (delay > -1) { view.composingTimeout = setTimeout(function () { return endComposition(view); }, delay); }\n}\n\nfunction endComposition(view, forceUpdate) {\n view.domObserver.forceFlush();\n view.composing = false;\n while (view.compositionNodes.length > 0) { view.compositionNodes.pop().markParentsDirty(); }\n if (forceUpdate || view.docView.dirty) {\n var sel = selectionFromDOM(view);\n if (sel && !sel.eq(view.state.selection)) { view.dispatch(view.state.tr.setSelection(sel)); }\n else { view.updateState(view.state); }\n return true\n }\n return false\n}\n\nfunction captureCopy(view, dom) {\n // The extra wrapper is somehow necessary on IE/Edge to prevent the\n // content from being mangled when it is put onto the clipboard\n if (!view.dom.parentNode) { return }\n var wrap = view.dom.parentNode.appendChild(document.createElement(\"div\"));\n wrap.appendChild(dom);\n wrap.style.cssText = \"position: fixed; left: -10000px; top: 10px\";\n var sel = getSelection(), range = document.createRange();\n range.selectNodeContents(dom);\n // Done because IE will fire a selectionchange moving the selection\n // to its start when removeAllRanges is called and the editor still\n // has focus (which will mess up the editor's selection state).\n view.dom.blur();\n sel.removeAllRanges();\n sel.addRange(range);\n setTimeout(function () {\n if (wrap.parentNode) { wrap.parentNode.removeChild(wrap); }\n view.focus();\n }, 50);\n}\n\n// This is very crude, but unfortunately both these browsers _pretend_\n// that they have a clipboard API—all the objects and methods are\n// there, they just don't work, and they are hard to test.\nvar brokenClipboardAPI = (result.ie && result.ie_version < 15) ||\n (result.ios && result.webkit_version < 604);\n\nhandlers.copy = editHandlers.cut = function (view, e) {\n var sel = view.state.selection, cut = e.type == \"cut\";\n if (sel.empty) { return }\n\n // IE and Edge's clipboard interface is completely broken\n var data = brokenClipboardAPI ? null : e.clipboardData;\n var slice = sel.content();\n var ref = serializeForClipboard(view, slice);\n var dom = ref.dom;\n var text = ref.text;\n if (data) {\n e.preventDefault();\n data.clearData();\n data.setData(\"text/html\", dom.innerHTML);\n data.setData(\"text/plain\", text);\n } else {\n captureCopy(view, dom);\n }\n if (cut) { view.dispatch(view.state.tr.deleteSelection().scrollIntoView().setMeta(\"uiEvent\", \"cut\")); }\n};\n\nfunction sliceSingleNode(slice) {\n return slice.openStart == 0 && slice.openEnd == 0 && slice.content.childCount == 1 ? slice.content.firstChild : null\n}\n\nfunction capturePaste(view, e) {\n if (!view.dom.parentNode) { return }\n var plainText = view.shiftKey || view.state.selection.$from.parent.type.spec.code;\n var target = view.dom.parentNode.appendChild(document.createElement(plainText ? \"textarea\" : \"div\"));\n if (!plainText) { target.contentEditable = \"true\"; }\n target.style.cssText = \"position: fixed; left: -10000px; top: 10px\";\n target.focus();\n setTimeout(function () {\n view.focus();\n if (target.parentNode) { target.parentNode.removeChild(target); }\n if (plainText) { doPaste(view, target.value, null, e); }\n else { doPaste(view, target.textContent, target.innerHTML, e); }\n }, 50);\n}\n\nfunction doPaste(view, text, html, e) {\n var slice = parseFromClipboard(view, text, html, view.shiftKey, view.state.selection.$from);\n if (view.someProp(\"handlePaste\", function (f) { return f(view, e, slice || Slice.empty); }) || !slice) { return }\n\n var singleNode = sliceSingleNode(slice);\n var tr = singleNode ? view.state.tr.replaceSelectionWith(singleNode, view.shiftKey) : view.state.tr.replaceSelection(slice);\n view.dispatch(tr.scrollIntoView().setMeta(\"paste\", true).setMeta(\"uiEvent\", \"paste\"));\n}\n\neditHandlers.paste = function (view, e) {\n var data = brokenClipboardAPI ? null : e.clipboardData;\n var html = data && data.getData(\"text/html\"), text = data && data.getData(\"text/plain\");\n if (data && (html || text || data.files.length)) {\n doPaste(view, text, html, e);\n e.preventDefault();\n } else {\n capturePaste(view, e);\n }\n};\n\nvar Dragging = function Dragging(slice, move) {\n this.slice = slice;\n this.move = move;\n};\n\nvar dragCopyModifier = result.mac ? \"altKey\" : \"ctrlKey\";\n\nhandlers.dragstart = function (view, e) {\n var mouseDown = view.mouseDown;\n if (mouseDown) { mouseDown.done(); }\n if (!e.dataTransfer) { return }\n\n var sel = view.state.selection;\n var pos = sel.empty ? null : view.posAtCoords(eventCoords(e));\n if (pos && pos.pos >= sel.from && pos.pos <= (sel instanceof NodeSelection ? sel.to - 1: sel.to)) ; else if (mouseDown && mouseDown.mightDrag) {\n view.dispatch(view.state.tr.setSelection(NodeSelection.create(view.state.doc, mouseDown.mightDrag.pos)));\n } else if (e.target && e.target.nodeType == 1) {\n var desc = view.docView.nearestDesc(e.target, true);\n if (!desc || !desc.node.type.spec.draggable || desc == view.docView) { return }\n view.dispatch(view.state.tr.setSelection(NodeSelection.create(view.state.doc, desc.posBefore)));\n }\n var slice = view.state.selection.content();\n var ref = serializeForClipboard(view, slice);\n var dom = ref.dom;\n var text = ref.text;\n e.dataTransfer.clearData();\n e.dataTransfer.setData(brokenClipboardAPI ? \"Text\" : \"text/html\", dom.innerHTML);\n if (!brokenClipboardAPI) { e.dataTransfer.setData(\"text/plain\", text); }\n view.dragging = new Dragging(slice, !e[dragCopyModifier]);\n};\n\nhandlers.dragend = function (view) {\n window.setTimeout(function () { return view.dragging = null; }, 50);\n};\n\neditHandlers.dragover = editHandlers.dragenter = function (_, e) { return e.preventDefault(); };\n\neditHandlers.drop = function (view, e) {\n var dragging = view.dragging;\n view.dragging = null;\n\n if (!e.dataTransfer) { return }\n\n var eventPos = view.posAtCoords(eventCoords(e));\n if (!eventPos) { return }\n var $mouse = view.state.doc.resolve(eventPos.pos);\n if (!$mouse) { return }\n var slice = dragging && dragging.slice ||\n parseFromClipboard(view, e.dataTransfer.getData(brokenClipboardAPI ? \"Text\" : \"text/plain\"),\n brokenClipboardAPI ? null : e.dataTransfer.getData(\"text/html\"), false, $mouse);\n if (view.someProp(\"handleDrop\", function (f) { return f(view, e, slice || Slice.empty, dragging && dragging.move); })) {\n e.preventDefault();\n return\n }\n if (!slice) { return }\n\n e.preventDefault();\n var insertPos = slice ? dropPoint(view.state.doc, $mouse.pos, slice) : $mouse.pos;\n if (insertPos == null) { insertPos = $mouse.pos; }\n\n var tr = view.state.tr;\n if (dragging && dragging.move) { tr.deleteSelection(); }\n\n var pos = tr.mapping.map(insertPos);\n var isNode = slice.openStart == 0 && slice.openEnd == 0 && slice.content.childCount == 1;\n var beforeInsert = tr.doc;\n if (isNode)\n { tr.replaceRangeWith(pos, pos, slice.content.firstChild); }\n else\n { tr.replaceRange(pos, pos, slice); }\n if (tr.doc.eq(beforeInsert)) { return }\n\n var $pos = tr.doc.resolve(pos);\n if (isNode && NodeSelection.isSelectable(slice.content.firstChild) &&\n $pos.nodeAfter && $pos.nodeAfter.sameMarkup(slice.content.firstChild))\n { tr.setSelection(new NodeSelection($pos)); }\n else\n { tr.setSelection(selectionBetween(view, $pos, tr.doc.resolve(tr.mapping.map(insertPos)))); }\n view.focus();\n view.dispatch(tr.setMeta(\"uiEvent\", \"drop\"));\n};\n\nhandlers.focus = function (view) {\n if (!view.focused) {\n view.domObserver.stop();\n view.dom.classList.add(\"ProseMirror-focused\");\n view.domObserver.start();\n view.focused = true;\n setTimeout(function () {\n if (view.docView && view.hasFocus() && !view.domObserver.currentSelection.eq(view.root.getSelection()))\n { selectionToDOM(view); }\n }, 20);\n }\n};\n\nhandlers.blur = function (view) {\n if (view.focused) {\n view.domObserver.stop();\n view.dom.classList.remove(\"ProseMirror-focused\");\n view.domObserver.start();\n view.domObserver.currentSelection.set({});\n view.focused = false;\n }\n};\n\nhandlers.beforeinput = function (view, event) {\n // We should probably do more with beforeinput events, but support\n // is so spotty that I'm still waiting to see where they are going.\n\n // Very specific hack to deal with backspace sometimes failing on\n // Chrome Android when after an uneditable node.\n if (result.chrome && result.android && event.inputType == \"deleteContentBackward\") {\n var domChangeCount = view.domChangeCount;\n setTimeout(function () {\n if (view.domChangeCount != domChangeCount) { return } // Event already had some effect\n // This bug tends to close the virtual keyboard, so we refocus\n view.dom.blur();\n view.focus();\n if (view.someProp(\"handleKeyDown\", function (f) { return f(view, keyEvent(8, \"Backspace\")); })) { return }\n var ref = view.state.selection;\n var $cursor = ref.$cursor;\n // Crude approximation of backspace behavior when no command handled it\n if ($cursor && $cursor.pos > 0) { view.dispatch(view.state.tr.delete($cursor.pos - 1, $cursor.pos).scrollIntoView()); }\n }, 50);\n }\n};\n\n// Make sure all handlers get registered\nfor (var prop in editHandlers) { handlers[prop] = editHandlers[prop]; }\n\nfunction compareObjs(a, b) {\n if (a == b) { return true }\n for (var p in a) { if (a[p] !== b[p]) { return false } }\n for (var p$1 in b) { if (!(p$1 in a)) { return false } }\n return true\n}\n\nvar WidgetType = function WidgetType(toDOM, spec) {\n this.spec = spec || noSpec;\n this.side = this.spec.side || 0;\n this.toDOM = toDOM;\n};\n\nWidgetType.prototype.map = function map (mapping, span, offset, oldOffset) {\n var ref = mapping.mapResult(span.from + oldOffset, this.side < 0 ? -1 : 1);\n var pos = ref.pos;\n var deleted = ref.deleted;\n return deleted ? null : new Decoration(pos - offset, pos - offset, this)\n};\n\nWidgetType.prototype.valid = function valid () { return true };\n\nWidgetType.prototype.eq = function eq (other) {\n return this == other ||\n (other instanceof WidgetType &&\n (this.spec.key && this.spec.key == other.spec.key ||\n this.toDOM == other.toDOM && compareObjs(this.spec, other.spec)))\n};\n\nvar InlineType = function InlineType(attrs, spec) {\n this.spec = spec || noSpec;\n this.attrs = attrs;\n};\n\nInlineType.prototype.map = function map (mapping, span, offset, oldOffset) {\n var from = mapping.map(span.from + oldOffset, this.spec.inclusiveStart ? -1 : 1) - offset;\n var to = mapping.map(span.to + oldOffset, this.spec.inclusiveEnd ? 1 : -1) - offset;\n return from >= to ? null : new Decoration(from, to, this)\n};\n\nInlineType.prototype.valid = function valid (_, span) { return span.from < span.to };\n\nInlineType.prototype.eq = function eq (other) {\n return this == other ||\n (other instanceof InlineType && compareObjs(this.attrs, other.attrs) &&\n compareObjs(this.spec, other.spec))\n};\n\nInlineType.is = function is (span) { return span.type instanceof InlineType };\n\nvar NodeType = function NodeType(attrs, spec) {\n this.spec = spec || noSpec;\n this.attrs = attrs;\n};\n\nNodeType.prototype.map = function map (mapping, span, offset, oldOffset) {\n var from = mapping.mapResult(span.from + oldOffset, 1);\n if (from.deleted) { return null }\n var to = mapping.mapResult(span.to + oldOffset, -1);\n if (to.deleted || to.pos <= from.pos) { return null }\n return new Decoration(from.pos - offset, to.pos - offset, this)\n};\n\nNodeType.prototype.valid = function valid (node, span) {\n var ref = node.content.findIndex(span.from);\n var index = ref.index;\n var offset = ref.offset;\n return offset == span.from && offset + node.child(index).nodeSize == span.to\n};\n\nNodeType.prototype.eq = function eq (other) {\n return this == other ||\n (other instanceof NodeType && compareObjs(this.attrs, other.attrs) &&\n compareObjs(this.spec, other.spec))\n};\n\n// ::- Decoration objects can be provided to the view through the\n// [`decorations` prop](#view.EditorProps.decorations). They come in\n// several variants—see the static members of this class for details.\nvar Decoration = function Decoration(from, to, type) {\n // :: number\n // The start position of the decoration.\n this.from = from;\n // :: number\n // The end position. Will be the same as `from` for [widget\n // decorations](#view.Decoration^widget).\n this.to = to;\n this.type = type;\n};\n\nvar prototypeAccessors$1 = { spec: { configurable: true } };\n\nDecoration.prototype.copy = function copy (from, to) {\n return new Decoration(from, to, this.type)\n};\n\nDecoration.prototype.eq = function eq (other, offset) {\n if ( offset === void 0 ) offset = 0;\n\n return this.type.eq(other.type) && this.from + offset == other.from && this.to + offset == other.to\n};\n\nDecoration.prototype.map = function map (mapping, offset, oldOffset) {\n return this.type.map(mapping, this, offset, oldOffset)\n};\n\n// :: (number, union<(view: EditorView, getPos: () → number) → dom.Node, dom.Node>, ?Object) → Decoration\n// Creates a widget decoration, which is a DOM node that's shown in\n// the document at the given position. It is recommended that you\n// delay rendering the widget by passing a function that will be\n// called when the widget is actually drawn in a view, but you can\n// also directly pass a DOM node. `getPos` can be used to find the\n// widget's current document position.\n//\n// spec::- These options are supported:\n//\n// side:: ?number\n// Controls which side of the document position this widget is\n// associated with. When negative, it is drawn before a cursor\n// at its position, and content inserted at that position ends\n// up after the widget. When zero (the default) or positive, the\n// widget is drawn after the cursor and content inserted there\n// ends up before the widget.\n//\n// When there are multiple widgets at a given position, their\n// `side` values determine the order in which they appear. Those\n// with lower values appear first. The ordering of widgets with\n// the same `side` value is unspecified.\n//\n// When `marks` is null, `side` also determines the marks that\n// the widget is wrapped in—those of the node before when\n// negative, those of the node after when positive.\n//\n// marks:: ?[Mark]\n// The precise set of marks to draw around the widget.\n//\n// stopEvent:: ?(event: dom.Event) → bool\n// Can be used to control which DOM events, when they bubble out\n// of this widget, the editor view should ignore.\n//\n// ignoreSelection:: ?bool\n// When set (defaults to false), selection changes inside the\n// widget are ignored, and don't cause ProseMirror to try and\n// re-sync the selection with its selection state.\n//\n// key:: ?string\n// When comparing decorations of this type (in order to decide\n// whether it needs to be redrawn), ProseMirror will by default\n// compare the widget DOM node by identity. If you pass a key,\n// that key will be compared instead, which can be useful when\n// you generate decorations on the fly and don't want to store\n// and reuse DOM nodes. Make sure that any widgets with the same\n// key are interchangeable—if widgets differ in, for example,\n// the behavior of some event handler, they should get\n// different keys.\nDecoration.widget = function widget (pos, toDOM, spec) {\n return new Decoration(pos, pos, new WidgetType(toDOM, spec))\n};\n\n// :: (number, number, DecorationAttrs, ?Object) → Decoration\n// Creates an inline decoration, which adds the given attributes to\n// each inline node between `from` and `to`.\n//\n// spec::- These options are recognized:\n//\n// inclusiveStart:: ?bool\n// Determines how the left side of the decoration is\n// [mapped](#transform.Position_Mapping) when content is\n// inserted directly at that position. By default, the decoration\n// won't include the new content, but you can set this to `true`\n// to make it inclusive.\n//\n// inclusiveEnd:: ?bool\n// Determines how the right side of the decoration is mapped.\n// See\n// [`inclusiveStart`](#view.Decoration^inline^spec.inclusiveStart).\nDecoration.inline = function inline (from, to, attrs, spec) {\n return new Decoration(from, to, new InlineType(attrs, spec))\n};\n\n// :: (number, number, DecorationAttrs, ?Object) → Decoration\n// Creates a node decoration. `from` and `to` should point precisely\n// before and after a node in the document. That node, and only that\n// node, will receive the given attributes.\n//\n// spec::-\n//\n// Optional information to store with the decoration. It\n// is also used when comparing decorators for equality.\nDecoration.node = function node (from, to, attrs, spec) {\n return new Decoration(from, to, new NodeType(attrs, spec))\n};\n\n// :: Object\n// The spec provided when creating this decoration. Can be useful\n// if you've stored extra information in that object.\nprototypeAccessors$1.spec.get = function () { return this.type.spec };\n\nObject.defineProperties( Decoration.prototype, prototypeAccessors$1 );\n\n// DecorationAttrs:: interface\n// A set of attributes to add to a decorated node. Most properties\n// simply directly correspond to DOM attributes of the same name,\n// which will be set to the property's value. These are exceptions:\n//\n// class:: ?string\n// A CSS class name or a space-separated set of class names to be\n// _added_ to the classes that the node already had.\n//\n// style:: ?string\n// A string of CSS to be _added_ to the node's existing `style` property.\n//\n// nodeName:: ?string\n// When non-null, the target node is wrapped in a DOM element of\n// this type (and the other attributes are applied to this element).\n\nvar none = [], noSpec = {};\n\n// ::- A collection of [decorations](#view.Decoration), organized in\n// such a way that the drawing algorithm can efficiently use and\n// compare them. This is a persistent data structure—it is not\n// modified, updates create a new value.\nvar DecorationSet = function DecorationSet(local, children) {\n this.local = local && local.length ? local : none;\n this.children = children && children.length ? children : none;\n};\n\n// :: (Node, [Decoration]) → DecorationSet\n// Create a set of decorations, using the structure of the given\n// document.\nDecorationSet.create = function create (doc, decorations) {\n return decorations.length ? buildTree(decorations, doc, 0, noSpec) : empty\n};\n\n// :: (?number, ?number, ?(spec: Object) → bool) → [Decoration]\n// Find all decorations in this set which touch the given range\n// (including decorations that start or end directly at the\n// boundaries) and match the given predicate on their spec. When\n// `start` and `end` are omitted, all decorations in the set are\n// considered. When `predicate` isn't given, all decorations are\n// assumed to match.\nDecorationSet.prototype.find = function find (start, end, predicate) {\n var result = [];\n this.findInner(start == null ? 0 : start, end == null ? 1e9 : end, result, 0, predicate);\n return result\n};\n\nDecorationSet.prototype.findInner = function findInner (start, end, result, offset, predicate) {\n for (var i = 0; i < this.local.length; i++) {\n var span = this.local[i];\n if (span.from <= end && span.to >= start && (!predicate || predicate(span.spec)))\n { result.push(span.copy(span.from + offset, span.to + offset)); }\n }\n for (var i$1 = 0; i$1 < this.children.length; i$1 += 3) {\n if (this.children[i$1] < end && this.children[i$1 + 1] > start) {\n var childOff = this.children[i$1] + 1;\n this.children[i$1 + 2].findInner(start - childOff, end - childOff, result, offset + childOff, predicate);\n }\n }\n};\n\n// :: (Mapping, Node, ?Object) → DecorationSet\n// Map the set of decorations in response to a change in the\n// document.\n//\n// options::- An optional set of options.\n//\n// onRemove:: ?(decorationSpec: Object)\n// When given, this function will be called for each decoration\n// that gets dropped as a result of the mapping, passing the\n// spec of that decoration.\nDecorationSet.prototype.map = function map (mapping, doc, options) {\n if (this == empty || mapping.maps.length == 0) { return this }\n return this.mapInner(mapping, doc, 0, 0, options || noSpec)\n};\n\nDecorationSet.prototype.mapInner = function mapInner (mapping, node, offset, oldOffset, options) {\n var newLocal;\n for (var i = 0; i < this.local.length; i++) {\n var mapped = this.local[i].map(mapping, offset, oldOffset);\n if (mapped && mapped.type.valid(node, mapped)) { (newLocal || (newLocal = [])).push(mapped); }\n else if (options.onRemove) { options.onRemove(this.local[i].spec); }\n }\n\n if (this.children.length)\n { return mapChildren(this.children, newLocal, mapping, node, offset, oldOffset, options) }\n else\n { return newLocal ? new DecorationSet(newLocal.sort(byPos)) : empty }\n};\n\n// :: (Node, [Decoration]) → DecorationSet\n// Add the given array of decorations to the ones in the set,\n// producing a new set. Needs access to the current document to\n// create the appropriate tree structure.\nDecorationSet.prototype.add = function add (doc, decorations) {\n if (!decorations.length) { return this }\n if (this == empty) { return DecorationSet.create(doc, decorations) }\n return this.addInner(doc, decorations, 0)\n};\n\nDecorationSet.prototype.addInner = function addInner (doc, decorations, offset) {\n var this$1 = this;\n\n var children, childIndex = 0;\n doc.forEach(function (childNode, childOffset) {\n var baseOffset = childOffset + offset, found;\n if (!(found = takeSpansForNode(decorations, childNode, baseOffset))) { return }\n\n if (!children) { children = this$1.children.slice(); }\n while (childIndex < children.length && children[childIndex] < childOffset) { childIndex += 3; }\n if (children[childIndex] == childOffset)\n { children[childIndex + 2] = children[childIndex + 2].addInner(childNode, found, baseOffset + 1); }\n else\n { children.splice(childIndex, 0, childOffset, childOffset + childNode.nodeSize, buildTree(found, childNode, baseOffset + 1, noSpec)); }\n childIndex += 3;\n });\n\n var local = moveSpans(childIndex ? withoutNulls(decorations) : decorations, -offset);\n return new DecorationSet(local.length ? this.local.concat(local).sort(byPos) : this.local,\n children || this.children)\n};\n\n// :: ([Decoration]) → DecorationSet\n// Create a new set that contains the decorations in this set, minus\n// the ones in the given array.\nDecorationSet.prototype.remove = function remove (decorations) {\n if (decorations.length == 0 || this == empty) { return this }\n return this.removeInner(decorations, 0)\n};\n\nDecorationSet.prototype.removeInner = function removeInner (decorations, offset) {\n var children = this.children, local = this.local;\n for (var i = 0; i < children.length; i += 3) {\n var found = (void 0), from = children[i] + offset, to = children[i + 1] + offset;\n for (var j = 0, span = (void 0); j < decorations.length; j++) { if (span = decorations[j]) {\n if (span.from > from && span.to < to) {\n decorations[j] = null\n ;(found || (found = [])).push(span);\n }\n } }\n if (!found) { continue }\n if (children == this.children) { children = this.children.slice(); }\n var removed = children[i + 2].removeInner(found, from + 1);\n if (removed != empty) {\n children[i + 2] = removed;\n } else {\n children.splice(i, 3);\n i -= 3;\n }\n }\n if (local.length) { for (var i$1 = 0, span$1 = (void 0); i$1 < decorations.length; i$1++) { if (span$1 = decorations[i$1]) {\n for (var j$1 = 0; j$1 < local.length; j$1++) { if (local[j$1].eq(span$1, offset)) {\n if (local == this.local) { local = this.local.slice(); }\n local.splice(j$1--, 1);\n } }\n } } }\n if (children == this.children && local == this.local) { return this }\n return local.length || children.length ? new DecorationSet(local, children) : empty\n};\n\nDecorationSet.prototype.forChild = function forChild (offset, node) {\n if (this == empty) { return this }\n if (node.isLeaf) { return DecorationSet.empty }\n\n var child, local;\n for (var i = 0; i < this.children.length; i += 3) { if (this.children[i] >= offset) {\n if (this.children[i] == offset) { child = this.children[i + 2]; }\n break\n } }\n var start = offset + 1, end = start + node.content.size;\n for (var i$1 = 0; i$1 < this.local.length; i$1++) {\n var dec = this.local[i$1];\n if (dec.from < end && dec.to > start && (dec.type instanceof InlineType)) {\n var from = Math.max(start, dec.from) - start, to = Math.min(end, dec.to) - start;\n if (from < to) { (local || (local = [])).push(dec.copy(from, to)); }\n }\n }\n if (local) {\n var localSet = new DecorationSet(local.sort(byPos));\n return child ? new DecorationGroup([localSet, child]) : localSet\n }\n return child || empty\n};\n\nDecorationSet.prototype.eq = function eq (other) {\n if (this == other) { return true }\n if (!(other instanceof DecorationSet) ||\n this.local.length != other.local.length ||\n this.children.length != other.children.length) { return false }\n for (var i = 0; i < this.local.length; i++)\n { if (!this.local[i].eq(other.local[i])) { return false } }\n for (var i$1 = 0; i$1 < this.children.length; i$1 += 3)\n { if (this.children[i$1] != other.children[i$1] ||\n this.children[i$1 + 1] != other.children[i$1 + 1] ||\n !this.children[i$1 + 2].eq(other.children[i$1 + 2])) { return false } }\n return true\n};\n\nDecorationSet.prototype.locals = function locals (node) {\n return removeOverlap(this.localsInner(node))\n};\n\nDecorationSet.prototype.localsInner = function localsInner (node) {\n if (this == empty) { return none }\n if (node.inlineContent || !this.local.some(InlineType.is)) { return this.local }\n var result = [];\n for (var i = 0; i < this.local.length; i++) {\n if (!(this.local[i].type instanceof InlineType))\n { result.push(this.local[i]); }\n }\n return result\n};\n\nvar empty = new DecorationSet();\n\n// :: DecorationSet\n// The empty set of decorations.\nDecorationSet.empty = empty;\n\nDecorationSet.removeOverlap = removeOverlap;\n\n// :- An abstraction that allows the code dealing with decorations to\n// treat multiple DecorationSet objects as if it were a single object\n// with (a subset of) the same interface.\nvar DecorationGroup = function DecorationGroup(members) {\n this.members = members;\n};\n\nDecorationGroup.prototype.forChild = function forChild (offset, child) {\n if (child.isLeaf) { return DecorationSet.empty }\n var found = [];\n for (var i = 0; i < this.members.length; i++) {\n var result = this.members[i].forChild(offset, child);\n if (result == empty) { continue }\n if (result instanceof DecorationGroup) { found = found.concat(result.members); }\n else { found.push(result); }\n }\n return DecorationGroup.from(found)\n};\n\nDecorationGroup.prototype.eq = function eq (other) {\n if (!(other instanceof DecorationGroup) ||\n other.members.length != this.members.length) { return false }\n for (var i = 0; i < this.members.length; i++)\n { if (!this.members[i].eq(other.members[i])) { return false } }\n return true\n};\n\nDecorationGroup.prototype.locals = function locals (node) {\n var result, sorted = true;\n for (var i = 0; i < this.members.length; i++) {\n var locals = this.members[i].localsInner(node);\n if (!locals.length) { continue }\n if (!result) {\n result = locals;\n } else {\n if (sorted) {\n result = result.slice();\n sorted = false;\n }\n for (var j = 0; j < locals.length; j++) { result.push(locals[j]); }\n }\n }\n return result ? removeOverlap(sorted ? result : result.sort(byPos)) : none\n};\n\n// : ([DecorationSet]) → union<DecorationSet, DecorationGroup>\n// Create a group for the given array of decoration sets, or return\n// a single set when possible.\nDecorationGroup.from = function from (members) {\n switch (members.length) {\n case 0: return empty\n case 1: return members[0]\n default: return new DecorationGroup(members)\n }\n};\n\nfunction mapChildren(oldChildren, newLocal, mapping, node, offset, oldOffset, options) {\n var children = oldChildren.slice();\n\n // Mark the children that are directly touched by changes, and\n // move those that are after the changes.\n var shift = function (oldStart, oldEnd, newStart, newEnd) {\n for (var i = 0; i < children.length; i += 3) {\n var end = children[i + 1], dSize = (void 0);\n if (end == -1 || oldStart > end + oldOffset) { continue }\n if (oldEnd >= children[i] + oldOffset) {\n children[i + 1] = -1;\n } else if (newStart >= offset && (dSize = (newEnd - newStart) - (oldEnd - oldStart))) {\n children[i] += dSize;\n children[i + 1] += dSize;\n }\n }\n };\n for (var i = 0; i < mapping.maps.length; i++) { mapping.maps[i].forEach(shift); }\n\n // Find the child nodes that still correspond to a single node,\n // recursively call mapInner on them and update their positions.\n var mustRebuild = false;\n for (var i$1 = 0; i$1 < children.length; i$1 += 3) { if (children[i$1 + 1] == -1) { // Touched nodes\n var from = mapping.map(children[i$1] + oldOffset), fromLocal = from - offset;\n if (fromLocal < 0 || fromLocal >= node.content.size) {\n mustRebuild = true;\n continue\n }\n // Must read oldChildren because children was tagged with -1\n var to = mapping.map(oldChildren[i$1 + 1] + oldOffset, -1), toLocal = to - offset;\n var ref = node.content.findIndex(fromLocal);\n var index = ref.index;\n var childOffset = ref.offset;\n var childNode = node.maybeChild(index);\n if (childNode && childOffset == fromLocal && childOffset + childNode.nodeSize == toLocal) {\n var mapped = children[i$1 + 2].mapInner(mapping, childNode, from + 1, children[i$1] + oldOffset + 1, options);\n if (mapped != empty) {\n children[i$1] = fromLocal;\n children[i$1 + 1] = toLocal;\n children[i$1 + 2] = mapped;\n } else {\n children[i$1 + 1] = -2;\n mustRebuild = true;\n }\n } else {\n mustRebuild = true;\n }\n } }\n\n // Remaining children must be collected and rebuilt into the appropriate structure\n if (mustRebuild) {\n var decorations = mapAndGatherRemainingDecorations(children, oldChildren, newLocal || [], mapping,\n offset, oldOffset, options);\n var built = buildTree(decorations, node, 0, options);\n newLocal = built.local;\n for (var i$2 = 0; i$2 < children.length; i$2 += 3) { if (children[i$2 + 1] < 0) {\n children.splice(i$2, 3);\n i$2 -= 3;\n } }\n for (var i$3 = 0, j = 0; i$3 < built.children.length; i$3 += 3) {\n var from$1 = built.children[i$3];\n while (j < children.length && children[j] < from$1) { j += 3; }\n children.splice(j, 0, built.children[i$3], built.children[i$3 + 1], built.children[i$3 + 2]);\n }\n }\n\n return new DecorationSet(newLocal && newLocal.sort(byPos), children)\n}\n\nfunction moveSpans(spans, offset) {\n if (!offset || !spans.length) { return spans }\n var result = [];\n for (var i = 0; i < spans.length; i++) {\n var span = spans[i];\n result.push(new Decoration(span.from + offset, span.to + offset, span.type));\n }\n return result\n}\n\nfunction mapAndGatherRemainingDecorations(children, oldChildren, decorations, mapping, offset, oldOffset, options) {\n // Gather all decorations from the remaining marked children\n function gather(set, oldOffset) {\n for (var i = 0; i < set.local.length; i++) {\n var mapped = set.local[i].map(mapping, offset, oldOffset);\n if (mapped) { decorations.push(mapped); }\n else if (options.onRemove) { options.onRemove(set.local[i].spec); }\n }\n for (var i$1 = 0; i$1 < set.children.length; i$1 += 3)\n { gather(set.children[i$1 + 2], set.children[i$1] + oldOffset + 1); }\n }\n for (var i = 0; i < children.length; i += 3) { if (children[i + 1] == -1)\n { gather(children[i + 2], oldChildren[i] + oldOffset + 1); } }\n\n return decorations\n}\n\nfunction takeSpansForNode(spans, node, offset) {\n if (node.isLeaf) { return null }\n var end = offset + node.nodeSize, found = null;\n for (var i = 0, span = (void 0); i < spans.length; i++) {\n if ((span = spans[i]) && span.from > offset && span.to < end) {\n(found || (found = [])).push(span);\n spans[i] = null;\n }\n }\n return found\n}\n\nfunction withoutNulls(array) {\n var result = [];\n for (var i = 0; i < array.length; i++)\n { if (array[i] != null) { result.push(array[i]); } }\n return result\n}\n\n// : ([Decoration], Node, number) → DecorationSet\n// Build up a tree that corresponds to a set of decorations. `offset`\n// is a base offset that should be subtractet from the `from` and `to`\n// positions in the spans (so that we don't have to allocate new spans\n// for recursive calls).\nfunction buildTree(spans, node, offset, options) {\n var children = [], hasNulls = false;\n node.forEach(function (childNode, localStart) {\n var found = takeSpansForNode(spans, childNode, localStart + offset);\n if (found) {\n hasNulls = true;\n var subtree = buildTree(found, childNode, offset + localStart + 1, options);\n if (subtree != empty)\n { children.push(localStart, localStart + childNode.nodeSize, subtree); }\n }\n });\n var locals = moveSpans(hasNulls ? withoutNulls(spans) : spans, -offset).sort(byPos);\n for (var i = 0; i < locals.length; i++) { if (!locals[i].type.valid(node, locals[i])) {\n if (options.onRemove) { options.onRemove(locals[i].spec); }\n locals.splice(i--, 1);\n } }\n return locals.length || children.length ? new DecorationSet(locals, children) : empty\n}\n\n// : (Decoration, Decoration) → number\n// Used to sort decorations so that ones with a low start position\n// come first, and within a set with the same start position, those\n// with an smaller end position come first.\nfunction byPos(a, b) {\n return a.from - b.from || a.to - b.to\n}\n\n// : ([Decoration]) → [Decoration]\n// Scan a sorted array of decorations for partially overlapping spans,\n// and split those so that only fully overlapping spans are left (to\n// make subsequent rendering easier). Will return the input array if\n// no partially overlapping spans are found (the common case).\nfunction removeOverlap(spans) {\n var working = spans;\n for (var i = 0; i < working.length - 1; i++) {\n var span = working[i];\n if (span.from != span.to) { for (var j = i + 1; j < working.length; j++) {\n var next = working[j];\n if (next.from == span.from) {\n if (next.to != span.to) {\n if (working == spans) { working = spans.slice(); }\n // Followed by a partially overlapping larger span. Split that\n // span.\n working[j] = next.copy(next.from, span.to);\n insertAhead(working, j + 1, next.copy(span.to, next.to));\n }\n continue\n } else {\n if (next.from < span.to) {\n if (working == spans) { working = spans.slice(); }\n // The end of this one overlaps with a subsequent span. Split\n // this one.\n working[i] = span.copy(span.from, next.from);\n insertAhead(working, j, span.copy(next.from, span.to));\n }\n break\n }\n } }\n }\n return working\n}\n\nfunction insertAhead(array, i, deco) {\n while (i < array.length && byPos(deco, array[i]) > 0) { i++; }\n array.splice(i, 0, deco);\n}\n\n// : (EditorView) → union<DecorationSet, DecorationGroup>\n// Get the decorations associated with the current props of a view.\nfunction viewDecorations(view) {\n var found = [];\n view.someProp(\"decorations\", function (f) {\n var result = f(view.state);\n if (result && result != empty) { found.push(result); }\n });\n if (view.cursorWrapper)\n { found.push(DecorationSet.create(view.state.doc, [view.cursorWrapper.deco])); }\n return DecorationGroup.from(found)\n}\n\n// ::- An editor view manages the DOM structure that represents an\n// editable document. Its state and behavior are determined by its\n// [props](#view.DirectEditorProps).\nvar EditorView = function EditorView(place, props) {\n this._props = props;\n // :: EditorState\n // The view's current [state](#state.EditorState).\n this.state = props.state;\n\n this.dispatch = this.dispatch.bind(this);\n\n this._root = null;\n this.focused = false;\n\n // :: dom.Element\n // An editable DOM node containing the document. (You probably\n // should not directly interfere with its content.)\n this.dom = (place && place.mount) || document.createElement(\"div\");\n if (place) {\n if (place.appendChild) { place.appendChild(this.dom); }\n else if (place.apply) { place(this.dom); }\n else if (place.mount) { this.mounted = true; }\n }\n\n // :: bool\n // Indicates whether the editor is currently [editable](#view.EditorProps.editable).\n this.editable = getEditable(this);\n this.markCursor = null;\n this.cursorWrapper = null;\n updateCursorWrapper(this);\n this.nodeViews = buildNodeViews(this);\n this.docView = docViewDesc(this.state.doc, computeDocDeco(this), viewDecorations(this), this.dom, this);\n\n this.lastSelectedViewDesc = null;\n // :: ?{slice: Slice, move: bool}\n // When editor content is being dragged, this object contains\n // information about the dragged slice and whether it is being\n // copied or moved. At any other time, it is null.\n this.dragging = null;\n\n initInput(this);\n\n this.pluginViews = [];\n this.updatePluginViews();\n};\n\nvar prototypeAccessors$2 = { props: { configurable: true },root: { configurable: true } };\n\n// composing:: boolean\n// Holds `true` when a\n// [composition](https://developer.mozilla.org/en-US/docs/Mozilla/IME_handling_guide)\n// is active.\n\n// :: DirectEditorProps\n// The view's current [props](#view.EditorProps).\nprototypeAccessors$2.props.get = function () {\n if (this._props.state != this.state) {\n var prev = this._props;\n this._props = {};\n for (var name in prev) { this._props[name] = prev[name]; }\n this._props.state = this.state;\n }\n return this._props\n};\n\n// :: (DirectEditorProps)\n// Update the view's props. Will immediately cause an update to\n// the DOM.\nEditorView.prototype.update = function update (props) {\n if (props.handleDOMEvents != this._props.handleDOMEvents) { ensureListeners(this); }\n this._props = props;\n this.updateStateInner(props.state, true);\n};\n\n// :: (DirectEditorProps)\n// Update the view by updating existing props object with the object\n// given as argument. Equivalent to `view.update(Object.assign({},\n// view.props, props))`.\nEditorView.prototype.setProps = function setProps (props) {\n var updated = {};\n for (var name in this._props) { updated[name] = this._props[name]; }\n updated.state = this.state;\n for (var name$1 in props) { updated[name$1] = props[name$1]; }\n this.update(updated);\n};\n\n// :: (EditorState)\n// Update the editor's `state` prop, without touching any of the\n// other props.\nEditorView.prototype.updateState = function updateState (state) {\n this.updateStateInner(state, this.state.plugins != state.plugins);\n};\n\nEditorView.prototype.updateStateInner = function updateStateInner (state, reconfigured) {\n var this$1 = this;\n\n var prev = this.state, redraw = false;\n this.state = state;\n if (reconfigured) {\n var nodeViews = buildNodeViews(this);\n if (changedNodeViews(nodeViews, this.nodeViews)) {\n this.nodeViews = nodeViews;\n redraw = true;\n }\n ensureListeners(this);\n }\n\n this.editable = getEditable(this);\n updateCursorWrapper(this);\n var innerDeco = viewDecorations(this), outerDeco = computeDocDeco(this);\n\n var scroll = reconfigured ? \"reset\"\n : state.scrollToSelection > prev.scrollToSelection ? \"to selection\" : \"preserve\";\n var updateDoc = redraw || !this.docView.matchesNode(state.doc, outerDeco, innerDeco);\n var updateSel = updateDoc || !state.selection.eq(prev.selection);\n var oldScrollPos = scroll == \"preserve\" && updateSel && this.dom.style.overflowAnchor == null && storeScrollPos(this);\n\n if (updateSel) {\n this.domObserver.stop();\n // Work around an issue in Chrome, IE, and Edge where changing\n // the DOM around an active selection puts it into a broken\n // state where the thing the user sees differs from the\n // selection reported by the Selection object (#710, #973,\n // #1011, #1013, #1035).\n var forceSelUpdate = updateDoc && (result.ie || result.chrome) && !this.composing &&\n !prev.selection.empty && !state.selection.empty && selectionContextChanged(prev.selection, state.selection);\n if (updateDoc) {\n var selContext = result.chrome && selectionContext(this.root);\n if (redraw || !this.docView.update(state.doc, outerDeco, innerDeco, this)) {\n this.docView.updateOuterDeco([]);\n this.docView.destroy();\n this.docView = docViewDesc(state.doc, outerDeco, innerDeco, this.dom, this);\n }\n if (selContext && needChromeSelectionReset(selContext, this.root)) { forceSelUpdate = true; }\n }\n // Work around for an issue where an update arriving right between\n // a DOM selection change and the \"selectionchange\" event for it\n // can cause a spurious DOM selection update, disrupting mouse\n // drag selection.\n if (forceSelUpdate ||\n !(this.mouseDown && this.domObserver.currentSelection.eq(this.root.getSelection()) && anchorInRightPlace(this))) {\n selectionToDOM(this, forceSelUpdate);\n } else {\n syncNodeSelection(this, state.selection);\n this.domObserver.setCurSelection();\n }\n this.domObserver.start();\n }\n\n this.updatePluginViews(prev);\n\n if (scroll == \"reset\") {\n this.dom.scrollTop = 0;\n } else if (scroll == \"to selection\") {\n var startDOM = this.root.getSelection().focusNode;\n if (this.someProp(\"handleScrollToSelection\", function (f) { return f(this$1); }))\n ; // Handled\n else if (state.selection instanceof NodeSelection)\n { scrollRectIntoView(this, this.docView.domAfterPos(state.selection.from).getBoundingClientRect(), startDOM); }\n else\n { scrollRectIntoView(this, this.coordsAtPos(state.selection.head), startDOM); }\n } else if (oldScrollPos) {\n resetScrollPos(oldScrollPos);\n }\n};\n\nEditorView.prototype.destroyPluginViews = function destroyPluginViews () {\n var view;\n while (view = this.pluginViews.pop()) { if (view.destroy) { view.destroy(); } }\n};\n\nEditorView.prototype.updatePluginViews = function updatePluginViews (prevState) {\n if (!prevState || prevState.plugins != this.state.plugins) {\n this.destroyPluginViews();\n for (var i = 0; i < this.state.plugins.length; i++) {\n var plugin = this.state.plugins[i];\n if (plugin.spec.view) { this.pluginViews.push(plugin.spec.view(this)); }\n }\n } else {\n for (var i$1 = 0; i$1 < this.pluginViews.length; i$1++) {\n var pluginView = this.pluginViews[i$1];\n if (pluginView.update) { pluginView.update(this, prevState); }\n }\n }\n};\n\n// :: (string, ?(prop: *) → *) → *\n// Goes over the values of a prop, first those provided directly,\n// then those from plugins (in order), and calls `f` every time a\n// non-undefined value is found. When `f` returns a truthy value,\n// that is immediately returned. When `f` isn't provided, it is\n// treated as the identity function (the prop value is returned\n// directly).\nEditorView.prototype.someProp = function someProp (propName, f) {\n var prop = this._props && this._props[propName], value;\n if (prop != null && (value = f ? f(prop) : prop)) { return value }\n var plugins = this.state.plugins;\n if (plugins) { for (var i = 0; i < plugins.length; i++) {\n var prop$1 = plugins[i].props[propName];\n if (prop$1 != null && (value = f ? f(prop$1) : prop$1)) { return value }\n } }\n};\n\n// :: () → bool\n// Query whether the view has focus.\nEditorView.prototype.hasFocus = function hasFocus () {\n return this.root.activeElement == this.dom\n};\n\n// :: ()\n// Focus the editor.\nEditorView.prototype.focus = function focus () {\n this.domObserver.stop();\n if (this.editable) { focusPreventScroll(this.dom); }\n selectionToDOM(this);\n this.domObserver.start();\n};\n\n// :: union<dom.Document, dom.DocumentFragment>\n// Get the document root in which the editor exists. This will\n// usually be the top-level `document`, but might be a [shadow\n// DOM](https://developer.mozilla.org/en-US/docs/Web/Web_Components/Shadow_DOM)\n// root if the editor is inside one.\nprototypeAccessors$2.root.get = function () {\n var cached = this._root;\n if (cached == null) { for (var search = this.dom.parentNode; search; search = search.parentNode) {\n if (search.nodeType == 9 || (search.nodeType == 11 && search.host)) {\n if (!search.getSelection) { Object.getPrototypeOf(search).getSelection = function () { return document.getSelection(); }; }\n return this._root = search\n }\n } }\n return cached || document\n};\n\n// :: ({left: number, top: number}) → ?{pos: number, inside: number}\n// Given a pair of viewport coordinates, return the document\n// position that corresponds to them. May return null if the given\n// coordinates aren't inside of the editor. When an object is\n// returned, its `pos` property is the position nearest to the\n// coordinates, and its `inside` property holds the position of the\n// inner node that the position falls inside of, or -1 if it is at\n// the top level, not in any node.\nEditorView.prototype.posAtCoords = function posAtCoords$1 (coords) {\n return posAtCoords(this, coords)\n};\n\n// :: (number) → {left: number, right: number, top: number, bottom: number}\n// Returns the viewport rectangle at a given document position. `left`\n// and `right` will be the same number, as this returns a flat\n// cursor-ish rectangle.\nEditorView.prototype.coordsAtPos = function coordsAtPos$1 (pos) {\n return coordsAtPos(this, pos)\n};\n\n// :: (number) → {node: dom.Node, offset: number}\n// Find the DOM position that corresponds to the given document\n// position. Note that you should **not** mutate the editor's\n// internal DOM, only inspect it (and even that is usually not\n// necessary).\nEditorView.prototype.domAtPos = function domAtPos (pos) {\n return this.docView.domFromPos(pos)\n};\n\n// :: (number) → ?dom.Node\n// Find the DOM node that represents the document node after the\n// given position. May return `null` when the position doesn't point\n// in front of a node or if the node is inside an opaque node view.\n//\n// This is intended to be able to call things like\n// `getBoundingClientRect` on that DOM node. Do **not** mutate the\n// editor DOM directly, or add styling this way, since that will be\n// immediately overriden by the editor as it redraws the node.\nEditorView.prototype.nodeDOM = function nodeDOM (pos) {\n var desc = this.docView.descAt(pos);\n return desc ? desc.nodeDOM : null\n};\n\n// :: (dom.Node, number, ?number) → number\n// Find the document position that corresponds to a given DOM\n// position. (Whenever possible, it is preferable to inspect the\n// document structure directly, rather than poking around in the\n// DOM, but sometimes—for example when interpreting an event\n// target—you don't have a choice.)\n//\n// The `bias` parameter can be used to influence which side of a DOM\n// node to use when the position is inside a leaf node.\nEditorView.prototype.posAtDOM = function posAtDOM (node, offset, bias) {\n if ( bias === void 0 ) bias = -1;\n\n var pos = this.docView.posFromDOM(node, offset, bias);\n if (pos == null) { throw new RangeError(\"DOM position not inside the editor\") }\n return pos\n};\n\n// :: (union<\"up\", \"down\", \"left\", \"right\", \"forward\", \"backward\">, ?EditorState) → bool\n// Find out whether the selection is at the end of a textblock when\n// moving in a given direction. When, for example, given `\"left\"`,\n// it will return true if moving left from the current cursor\n// position would leave that position's parent textblock. Will apply\n// to the view's current state by default, but it is possible to\n// pass a different state.\nEditorView.prototype.endOfTextblock = function endOfTextblock$1 (dir, state) {\n return endOfTextblock(this, state || this.state, dir)\n};\n\n// :: ()\n// Removes the editor from the DOM and destroys all [node\n// views](#view.NodeView).\nEditorView.prototype.destroy = function destroy () {\n if (!this.docView) { return }\n destroyInput(this);\n this.destroyPluginViews();\n if (this.mounted) {\n this.docView.update(this.state.doc, [], viewDecorations(this), this);\n this.dom.textContent = \"\";\n } else if (this.dom.parentNode) {\n this.dom.parentNode.removeChild(this.dom);\n }\n this.docView.destroy();\n this.docView = null;\n};\n\n// Used for testing.\nEditorView.prototype.dispatchEvent = function dispatchEvent$1 (event) {\n return dispatchEvent(this, event)\n};\n\n// :: (Transaction)\n// Dispatch a transaction. Will call\n// [`dispatchTransaction`](#view.DirectEditorProps.dispatchTransaction)\n// when given, and otherwise defaults to applying the transaction to\n// the current state and calling\n// [`updateState`](#view.EditorView.updateState) with the result.\n// This method is bound to the view instance, so that it can be\n// easily passed around.\nEditorView.prototype.dispatch = function dispatch (tr) {\n var dispatchTransaction = this._props.dispatchTransaction;\n if (dispatchTransaction) { dispatchTransaction.call(this, tr); }\n else { this.updateState(this.state.apply(tr)); }\n};\n\nObject.defineProperties( EditorView.prototype, prototypeAccessors$2 );\n\nfunction computeDocDeco(view) {\n var attrs = Object.create(null);\n attrs.class = \"ProseMirror\";\n attrs.contenteditable = String(view.editable);\n\n view.someProp(\"attributes\", function (value) {\n if (typeof value == \"function\") { value = value(view.state); }\n if (value) { for (var attr in value) {\n if (attr == \"class\")\n { attrs.class += \" \" + value[attr]; }\n else if (!attrs[attr] && attr != \"contenteditable\" && attr != \"nodeName\")\n { attrs[attr] = String(value[attr]); }\n } }\n });\n\n return [Decoration.node(0, view.state.doc.content.size, attrs)]\n}\n\nfunction updateCursorWrapper(view) {\n if (view.markCursor) {\n var dom = document.createElement(\"img\");\n dom.setAttribute(\"mark-placeholder\", \"true\");\n view.cursorWrapper = {dom: dom, deco: Decoration.widget(view.state.selection.head, dom, {raw: true, marks: view.markCursor})};\n } else {\n view.cursorWrapper = null;\n }\n}\n\nfunction getEditable(view) {\n return !view.someProp(\"editable\", function (value) { return value(view.state) === false; })\n}\n\nfunction selectionContextChanged(sel1, sel2) {\n var depth = Math.min(sel1.$anchor.sharedDepth(sel1.head), sel2.$anchor.sharedDepth(sel2.head));\n return sel1.$anchor.start(depth) != sel2.$anchor.start(depth)\n}\n\nfunction buildNodeViews(view) {\n var result = {};\n view.someProp(\"nodeViews\", function (obj) {\n for (var prop in obj) { if (!Object.prototype.hasOwnProperty.call(result, prop))\n { result[prop] = obj[prop]; } }\n });\n return result\n}\n\nfunction changedNodeViews(a, b) {\n var nA = 0, nB = 0;\n for (var prop in a) {\n if (a[prop] != b[prop]) { return true }\n nA++;\n }\n for (var _ in b) { nB++; }\n return nA != nB\n}\n\nfunction selectionContext(root) {\n var ref = root.getSelection();\n var offset = ref.focusOffset;\n var node = ref.focusNode;\n return node && node.nodeType == 1 ? [node, offset, node.childNodes[offset - 1], node.childNodes[offset]] : null\n}\n\nfunction needChromeSelectionReset(context, root) {\n var newContext = selectionContext(root);\n if (!newContext || newContext[0].nodeType == 3) { return false }\n for (var i = 0; i < context.length; i++) { if (newContext[i] != context[i]) { return true } }\n return false\n}\n\n// EditorProps:: interface\n//\n// Props are configuration values that can be passed to an editor view\n// or included in a plugin. This interface lists the supported props.\n//\n// The various event-handling functions may all return `true` to\n// indicate that they handled the given event. The view will then take\n// care to call `preventDefault` on the event, except with\n// `handleDOMEvents`, where the handler itself is responsible for that.\n//\n// How a prop is resolved depends on the prop. Handler functions are\n// called one at a time, starting with the base props and then\n// searching through the plugins (in order of appearance) until one of\n// them returns true. For some props, the first plugin that yields a\n// value gets precedence.\n//\n// handleDOMEvents:: ?Object<(view: EditorView, event: dom.Event) → bool>\n// Can be an object mapping DOM event type names to functions that\n// handle them. Such functions will be called before any handling\n// ProseMirror does of events fired on the editable DOM element.\n// Contrary to the other event handling props, when returning true\n// from such a function, you are responsible for calling\n// `preventDefault` yourself (or not, if you want to allow the\n// default behavior).\n//\n// handleKeyDown:: ?(view: EditorView, event: dom.KeyboardEvent) → bool\n// Called when the editor receives a `keydown` event.\n//\n// handleKeyPress:: ?(view: EditorView, event: dom.KeyboardEvent) → bool\n// Handler for `keypress` events.\n//\n// handleTextInput:: ?(view: EditorView, from: number, to: number, text: string) → bool\n// Whenever the user directly input text, this handler is called\n// before the input is applied. If it returns `true`, the default\n// behavior of actually inserting the text is suppressed.\n//\n// handleClickOn:: ?(view: EditorView, pos: number, node: Node, nodePos: number, event: dom.MouseEvent, direct: bool) → bool\n// Called for each node around a click, from the inside out. The\n// `direct` flag will be true for the inner node.\n//\n// handleClick:: ?(view: EditorView, pos: number, event: dom.MouseEvent) → bool\n// Called when the editor is clicked, after `handleClickOn` handlers\n// have been called.\n//\n// handleDoubleClickOn:: ?(view: EditorView, pos: number, node: Node, nodePos: number, event: dom.MouseEvent, direct: bool) → bool\n// Called for each node around a double click.\n//\n// handleDoubleClick:: ?(view: EditorView, pos: number, event: dom.MouseEvent) → bool\n// Called when the editor is double-clicked, after `handleDoubleClickOn`.\n//\n// handleTripleClickOn:: ?(view: EditorView, pos: number, node: Node, nodePos: number, event: dom.MouseEvent, direct: bool) → bool\n// Called for each node around a triple click.\n//\n// handleTripleClick:: ?(view: EditorView, pos: number, event: dom.MouseEvent) → bool\n// Called when the editor is triple-clicked, after `handleTripleClickOn`.\n//\n// handlePaste:: ?(view: EditorView, event: dom.ClipboardEvent, slice: Slice) → bool\n// Can be used to override the behavior of pasting. `slice` is the\n// pasted content parsed by the editor, but you can directly access\n// the event to get at the raw content.\n//\n// handleDrop:: ?(view: EditorView, event: dom.Event, slice: Slice, moved: bool) → bool\n// Called when something is dropped on the editor. `moved` will be\n// true if this drop moves from the current selection (which should\n// thus be deleted).\n//\n// handleScrollToSelection:: ?(view: EditorView) → bool\n// Called when the view, after updating its state, tries to scroll\n// the selection into view. A handler function may return false to\n// indicate that it did not handle the scrolling and further\n// handlers or the default behavior should be tried.\n//\n// createSelectionBetween:: ?(view: EditorView, anchor: ResolvedPos, head: ResolvedPos) → ?Selection\n// Can be used to override the way a selection is created when\n// reading a DOM selection between the given anchor and head.\n//\n// domParser:: ?DOMParser\n// The [parser](#model.DOMParser) to use when reading editor changes\n// from the DOM. Defaults to calling\n// [`DOMParser.fromSchema`](#model.DOMParser^fromSchema) on the\n// editor's schema.\n//\n// transformPastedHTML:: ?(html: string) → string\n// Can be used to transform pasted HTML text, _before_ it is parsed,\n// for example to clean it up.\n//\n// clipboardParser:: ?DOMParser\n// The [parser](#model.DOMParser) to use when reading content from\n// the clipboard. When not given, the value of the\n// [`domParser`](#view.EditorProps.domParser) prop is used.\n//\n// transformPastedText:: ?(text: string) → string\n// Transform pasted plain text.\n//\n// clipboardTextParser:: ?(text: string, $context: ResolvedPos) → Slice\n// A function to parse text from the clipboard into a document\n// slice. Called after\n// [`transformPastedText`](#view.EditorProps.transformPastedText).\n// The default behavior is to split the text into lines, wrap them\n// in `<p>` tags, and call\n// [`clipboardParser`](#view.EditorProps.clipboardParser) on it.\n//\n// transformPasted:: ?(Slice) → Slice\n// Can be used to transform pasted content before it is applied to\n// the document.\n//\n// nodeViews:: ?Object<(node: Node, view: EditorView, getPos: () → number, decorations: [Decoration]) → NodeView>\n// Allows you to pass custom rendering and behavior logic for nodes\n// and marks. Should map node and mark names to constructor\n// functions that produce a [`NodeView`](#view.NodeView) object\n// implementing the node's display behavior. For nodes, the third\n// argument `getPos` is a function that can be called to get the\n// node's current position, which can be useful when creating\n// transactions to update it. For marks, the third argument is a\n// boolean that indicates whether the mark's content is inline.\n//\n// `decorations` is an array of node or inline decorations that are\n// active around the node. They are automatically drawn in the\n// normal way, and you will usually just want to ignore this, but\n// they can also be used as a way to provide context information to\n// the node view without adding it to the document itself.\n//\n// clipboardSerializer:: ?DOMSerializer\n// The DOM serializer to use when putting content onto the\n// clipboard. If not given, the result of\n// [`DOMSerializer.fromSchema`](#model.DOMSerializer^fromSchema)\n// will be used.\n//\n// clipboardTextSerializer:: ?(Slice) → string\n// A function that will be called to get the text for the current\n// selection when copying text to the clipboard. By default, the\n// editor will use [`textBetween`](#model.Node.textBetween) on the\n// selected range.\n//\n// decorations:: ?(state: EditorState) → ?DecorationSet\n// A set of [document decorations](#view.Decoration) to show in the\n// view.\n//\n// editable:: ?(state: EditorState) → bool\n// When this returns false, the content of the view is not directly\n// editable.\n//\n// attributes:: ?union<Object<string>, (EditorState) → ?Object<string>>\n// Control the DOM attributes of the editable element. May be either\n// an object or a function going from an editor state to an object.\n// By default, the element will get a class `\"ProseMirror\"`, and\n// will have its `contentEditable` attribute determined by the\n// [`editable` prop](#view.EditorProps.editable). Additional classes\n// provided here will be added to the class. For other attributes,\n// the value provided first (as in\n// [`someProp`](#view.EditorView.someProp)) will be used.\n//\n// scrollThreshold:: ?union<number, {top: number, right: number, bottom: number, left: number}>\n// Determines the distance (in pixels) between the cursor and the\n// end of the visible viewport at which point, when scrolling the\n// cursor into view, scrolling takes place. Defaults to 0.\n//\n// scrollMargin:: ?union<number, {top: number, right: number, bottom: number, left: number}>\n// Determines the extra space (in pixels) that is left above or\n// below the cursor when it is scrolled into view. Defaults to 5.\n\n// DirectEditorProps:: interface extends EditorProps\n//\n// The props object given directly to the editor view supports two\n// fields that can't be used in plugins:\n//\n// state:: EditorState\n// The current state of the editor.\n//\n// dispatchTransaction:: ?(tr: Transaction)\n// The callback over which to send transactions (state updates)\n// produced by the view. If you specify this, you probably want to\n// make sure this ends up calling the view's\n// [`updateState`](#view.EditorView.updateState) method with a new\n// state that has the transaction\n// [applied](#state.EditorState.apply). The callback will be bound to have\n// the view instance as its `this` binding.\n\nexport { Decoration, DecorationSet, EditorView, endComposition as __endComposition, parseFromClipboard as __parseFromClipboard, serializeForClipboard as __serializeForClipboard };\n//# sourceMappingURL=index.es.js.map\n","\n /*!\n * tiptap-utils v1.9.0\n * (c) 2020 Scrumpy UG (limited liability)\n * @license MIT\n */\n \nimport { findSelectedNodeOfType, findParentNode } from 'prosemirror-utils';\n\nfunction _defineProperty(obj, key, value) {\n if (key in obj) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n } else {\n obj[key] = value;\n }\n\n return obj;\n}\n\nfunction ownKeys(object, enumerableOnly) {\n var keys = Object.keys(object);\n\n if (Object.getOwnPropertySymbols) {\n var symbols = Object.getOwnPropertySymbols(object);\n if (enumerableOnly) symbols = symbols.filter(function (sym) {\n return Object.getOwnPropertyDescriptor(object, sym).enumerable;\n });\n keys.push.apply(keys, symbols);\n }\n\n return keys;\n}\n\nfunction _objectSpread2(target) {\n for (var i = 1; i < arguments.length; i++) {\n var source = arguments[i] != null ? arguments[i] : {};\n\n if (i % 2) {\n ownKeys(Object(source), true).forEach(function (key) {\n _defineProperty(target, key, source[key]);\n });\n } else if (Object.getOwnPropertyDescriptors) {\n Object.defineProperties(target, Object.getOwnPropertyDescriptors(source));\n } else {\n ownKeys(Object(source)).forEach(function (key) {\n Object.defineProperty(target, key, Object.getOwnPropertyDescriptor(source, key));\n });\n }\n }\n\n return target;\n}\n\nfunction _toConsumableArray(arr) {\n return _arrayWithoutHoles(arr) || _iterableToArray(arr) || _unsupportedIterableToArray(arr) || _nonIterableSpread();\n}\n\nfunction _arrayWithoutHoles(arr) {\n if (Array.isArray(arr)) return _arrayLikeToArray(arr);\n}\n\nfunction _iterableToArray(iter) {\n if (typeof Symbol !== \"undefined\" && Symbol.iterator in Object(iter)) return Array.from(iter);\n}\n\nfunction _unsupportedIterableToArray(o, minLen) {\n if (!o) return;\n if (typeof o === \"string\") return _arrayLikeToArray(o, minLen);\n var n = Object.prototype.toString.call(o).slice(8, -1);\n if (n === \"Object\" && o.constructor) n = o.constructor.name;\n if (n === \"Map\" || n === \"Set\") return Array.from(n);\n if (n === \"Arguments\" || /^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)) return _arrayLikeToArray(o, minLen);\n}\n\nfunction _arrayLikeToArray(arr, len) {\n if (len == null || len > arr.length) len = arr.length;\n\n for (var i = 0, arr2 = new Array(len); i < len; i++) arr2[i] = arr[i];\n\n return arr2;\n}\n\nfunction _nonIterableSpread() {\n throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nfunction getMarkAttrs(state, type) {\n var _state$selection = state.selection,\n from = _state$selection.from,\n to = _state$selection.to;\n var marks = [];\n state.doc.nodesBetween(from, to, function (node) {\n marks = [].concat(_toConsumableArray(marks), _toConsumableArray(node.marks));\n });\n var mark = marks.find(function (markItem) {\n return markItem.type.name === type.name;\n });\n\n if (mark) {\n return mark.attrs;\n }\n\n return {};\n}\n\nfunction getNodeAttrs(state, type) {\n var _state$selection = state.selection,\n from = _state$selection.from,\n to = _state$selection.to;\n var nodes = [];\n state.doc.nodesBetween(from, to, function (node) {\n nodes = [].concat(_toConsumableArray(nodes), [node]);\n });\n var node = nodes.reverse().find(function (nodeItem) {\n return nodeItem.type.name === type.name;\n });\n\n if (node) {\n return node.attrs;\n }\n\n return {};\n}\n\nfunction getMarkRange() {\n var $pos = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n var type = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : null;\n\n if (!$pos || !type) {\n return false;\n }\n\n var start = $pos.parent.childAfter($pos.parentOffset);\n\n if (!start.node) {\n return false;\n }\n\n var link = start.node.marks.find(function (mark) {\n return mark.type === type;\n });\n\n if (!link) {\n return false;\n }\n\n var startIndex = $pos.index();\n var startPos = $pos.start() + start.offset;\n var endIndex = startIndex + 1;\n var endPos = startPos + start.node.nodeSize;\n\n while (startIndex > 0 && link.isInSet($pos.parent.child(startIndex - 1).marks)) {\n startIndex -= 1;\n startPos -= $pos.parent.child(startIndex).nodeSize;\n }\n\n while (endIndex < $pos.parent.childCount && link.isInSet($pos.parent.child(endIndex).marks)) {\n endPos += $pos.parent.child(endIndex).nodeSize;\n endIndex += 1;\n }\n\n return {\n from: startPos,\n to: endPos\n };\n}\n\nfunction markIsActive(state, type) {\n var _state$selection = state.selection,\n from = _state$selection.from,\n $from = _state$selection.$from,\n to = _state$selection.to,\n empty = _state$selection.empty;\n\n if (empty) {\n return !!type.isInSet(state.storedMarks || $from.marks());\n }\n\n return !!state.doc.rangeHasMark(from, to, type);\n}\n\nfunction nodeEqualsType(_ref) {\n var types = _ref.types,\n node = _ref.node;\n return Array.isArray(types) && types.includes(node.type) || node.type === types;\n}\n\nfunction nodeIsActive(state, type) {\n var attrs = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n\n var predicate = function predicate(node) {\n return node.type === type;\n };\n\n var node = findSelectedNodeOfType(type)(state.selection) || findParentNode(predicate)(state.selection);\n\n if (!Object.keys(attrs).length || !node) {\n return !!node;\n }\n\n return node.node.hasMarkup(type, _objectSpread2({}, node.node.attrs, {}, attrs));\n}\n\nexport { getMarkAttrs, getMarkRange, getNodeAttrs, markIsActive, nodeEqualsType, nodeIsActive };\n","'use strict';\n\nObject.defineProperty(exports, '__esModule', { value: true });\n\nvar prosemirrorState = require('prosemirror-state');\nvar prosemirrorModel = require('prosemirror-model');\nvar prosemirrorTables = require('prosemirror-tables');\n\n// :: (nodeType: union<NodeType, [NodeType]>) → (tr: Transaction) → Transaction\n// Returns a new transaction that removes a node of a given `nodeType`. It will return an original transaction if parent node hasn't been found.\n//\n// ```javascript\n// dispatch(\n// removeParentNodeOfType(schema.nodes.table)(tr)\n// );\n// ```\nvar removeParentNodeOfType = function removeParentNodeOfType(nodeType) {\n return function (tr) {\n var parent = findParentNodeOfType(nodeType)(tr.selection);\n if (parent) {\n return removeNodeAtPos(parent.pos)(tr);\n }\n return tr;\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>, content: union<ProseMirrorNode, Fragment>) → (tr: Transaction) → Transaction\n// Returns a new transaction that replaces parent node of a given `nodeType` with the given `content`. It will return an original transaction if either parent node hasn't been found or replacing is not possible.\n//\n// ```javascript\n// const node = schema.nodes.paragraph.createChecked({}, schema.text('new'));\n//\n// dispatch(\n// replaceParentNodeOfType(schema.nodes.table, node)(tr)\n// );\n// ```\nvar replaceParentNodeOfType = function replaceParentNodeOfType(nodeType, content) {\n return function (tr) {\n if (!Array.isArray(nodeType)) {\n nodeType = [nodeType];\n }\n for (var i = 0, count = nodeType.length; i < count; i++) {\n var parent = findParentNodeOfType(nodeType[i])(tr.selection);\n if (parent) {\n var newTr = replaceNodeAtPos(parent.pos, content)(tr);\n if (newTr !== tr) {\n return newTr;\n }\n }\n }\n return tr;\n };\n};\n\n// :: (tr: Transaction) → Transaction\n// Returns a new transaction that removes selected node. It will return an original transaction if current selection is not a `NodeSelection`.\n//\n// ```javascript\n// dispatch(\n// removeSelectedNode(tr)\n// );\n// ```\nvar removeSelectedNode = function removeSelectedNode(tr) {\n if (isNodeSelection(tr.selection)) {\n var from = tr.selection.$from.pos;\n var to = tr.selection.$to.pos;\n return cloneTr(tr.delete(from, to));\n }\n return tr;\n};\n\n// :: (content: union<ProseMirrorNode, ProseMirrorFragment>) → (tr: Transaction) → Transaction\n// Returns a new transaction that replaces selected node with a given `node`, keeping NodeSelection on the new `node`.\n// It will return the original transaction if either current selection is not a NodeSelection or replacing is not possible.\n//\n// ```javascript\n// const node = schema.nodes.paragraph.createChecked({}, schema.text('new'));\n// dispatch(\n// replaceSelectedNode(node)(tr)\n// );\n// ```\nvar replaceSelectedNode = function replaceSelectedNode(content) {\n return function (tr) {\n if (isNodeSelection(tr.selection)) {\n var _tr$selection = tr.selection,\n $from = _tr$selection.$from,\n $to = _tr$selection.$to;\n\n if (content instanceof prosemirrorModel.Fragment && $from.parent.canReplace($from.index(), $from.indexAfter(), content) || $from.parent.canReplaceWith($from.index(), $from.indexAfter(), content.type)) {\n return cloneTr(tr.replaceWith($from.pos, $to.pos, content)\n // restore node selection\n .setSelection(new prosemirrorState.NodeSelection(tr.doc.resolve($from.pos))));\n }\n }\n return tr;\n };\n};\n\n// :: (position: number, dir: ?number) → (tr: Transaction) → Transaction\n// Returns a new transaction that tries to find a valid cursor selection starting at the given `position`\n// and searching back if `dir` is negative, and forward if positive.\n// If a valid cursor position hasn't been found, it will return the original transaction.\n//\n// ```javascript\n// dispatch(\n// setTextSelection(5)(tr)\n// );\n// ```\nvar setTextSelection = function setTextSelection(position) {\n var dir = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 1;\n return function (tr) {\n var nextSelection = prosemirrorState.Selection.findFrom(tr.doc.resolve(position), dir, true);\n if (nextSelection) {\n return tr.setSelection(nextSelection);\n }\n return tr;\n };\n};\n\nvar isSelectableNode = function isSelectableNode(node) {\n return node.type && node.type.spec.selectable;\n};\nvar shouldSelectNode = function shouldSelectNode(node) {\n return isSelectableNode(node) && node.type.isLeaf;\n};\n\nvar setSelection = function setSelection(node, pos, tr) {\n if (shouldSelectNode(node)) {\n return tr.setSelection(new prosemirrorState.NodeSelection(tr.doc.resolve(pos)));\n }\n return setTextSelection(pos)(tr);\n};\n\n// :: (content: union<ProseMirrorNode, Fragment>, position: ?number, tryToReplace?: boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that inserts a given `content` at the current cursor position, or at a given `position`, if it is allowed by schema. If schema restricts such nesting, it will try to find an appropriate place for a given node in the document, looping through parent nodes up until the root document node.\n// If `tryToReplace` is true and current selection is a NodeSelection, it will replace selected node with inserted content if its allowed by schema.\n// If cursor is inside of an empty paragraph, it will try to replace that paragraph with the given content. If insertion is successful and inserted node has content, it will set cursor inside of that content.\n// It will return an original transaction if the place for insertion hasn't been found.\n//\n// ```javascript\n// const node = schema.nodes.extension.createChecked({});\n// dispatch(\n// safeInsert(node)(tr)\n// );\n// ```\nvar safeInsert = function safeInsert(content, position, tryToReplace) {\n return function (tr) {\n var hasPosition = typeof position === 'number';\n var $from = tr.selection.$from;\n\n var $insertPos = hasPosition ? tr.doc.resolve(position) : isNodeSelection(tr.selection) ? tr.doc.resolve($from.pos + 1) : $from;\n var parent = $insertPos.parent;\n\n // try to replace selected node\n\n if (isNodeSelection(tr.selection) && tryToReplace) {\n var oldTr = tr;\n tr = replaceSelectedNode(content)(tr);\n if (oldTr !== tr) {\n return tr;\n }\n }\n\n // try to replace an empty paragraph\n if (isEmptyParagraph(parent)) {\n var _oldTr = tr;\n tr = replaceParentNodeOfType(parent.type, content)(tr);\n if (_oldTr !== tr) {\n var pos = isSelectableNode(content) ? // for selectable node, selection position would be the position of the replaced parent\n $insertPos.before($insertPos.depth) : $insertPos.pos;\n return setSelection(content, pos, tr);\n }\n }\n\n // given node is allowed at the current cursor position\n if (canInsert($insertPos, content)) {\n tr.insert($insertPos.pos, content);\n var _pos = hasPosition ? $insertPos.pos : isSelectableNode(content) ? // for atom nodes selection position after insertion is the previous pos\n tr.selection.$anchor.pos - 1 : tr.selection.$anchor.pos;\n return cloneTr(setSelection(content, _pos, tr));\n }\n\n // looking for a place in the doc where the node is allowed\n for (var i = $insertPos.depth; i > 0; i--) {\n var _pos2 = $insertPos.after(i);\n var $pos = tr.doc.resolve(_pos2);\n if (canInsert($pos, content)) {\n tr.insert(_pos2, content);\n return cloneTr(setSelection(content, _pos2, tr));\n }\n }\n return tr;\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>, type: ?union<NodeType, null>, attrs: ?union<Object, null>, marks?: [Mark]) → (tr: Transaction) → Transaction\n// Returns a transaction that changes the type, attributes, and/or marks of the parent node of a given `nodeType`.\n//\n// ```javascript\n// const node = schema.nodes.extension.createChecked({});\n// dispatch(\n// setParentNodeMarkup(schema.nodes.panel, null, { panelType })(tr);\n// );\n// ```\nvar setParentNodeMarkup = function setParentNodeMarkup(nodeType, type, attrs, marks) {\n return function (tr) {\n var parent = findParentNodeOfType(nodeType)(tr.selection);\n if (parent) {\n return cloneTr(tr.setNodeMarkup(parent.pos, type, Object.assign({}, parent.node.attrs, attrs), marks));\n }\n return tr;\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>) → (tr: Transaction) → Transaction\n// Returns a new transaction that sets a `NodeSelection` on a parent node of a `given nodeType`.\n//\n// ```javascript\n// dispatch(\n// selectParentNodeOfType([tableCell, tableHeader])(state.tr)\n// );\n// ```\nvar selectParentNodeOfType = function selectParentNodeOfType(nodeType) {\n return function (tr) {\n if (!isNodeSelection(tr.selection)) {\n var parent = findParentNodeOfType(nodeType)(tr.selection);\n if (parent) {\n return cloneTr(tr.setSelection(prosemirrorState.NodeSelection.create(tr.doc, parent.pos)));\n }\n }\n return tr;\n };\n};\n\n// :: (tr: Transaction) → Transaction\n// Returns a new transaction that deletes previous node.\n//\n// ```javascript\n// dispatch(\n// removeNodeBefore(state.tr)\n// );\n// ```\nvar removeNodeBefore = function removeNodeBefore(tr) {\n var position = findPositionOfNodeBefore(tr.selection);\n if (typeof position === 'number') {\n return removeNodeAtPos(position)(tr);\n }\n return tr;\n};\n\n// :: (selection: Selection) → boolean\n// Checks if current selection is a `NodeSelection`.\n//\n// ```javascript\n// if (isNodeSelection(tr.selection)) {\n// // ...\n// }\n// ```\nvar isNodeSelection = function isNodeSelection(selection) {\n return selection instanceof prosemirrorState.NodeSelection;\n};\n\n// (nodeType: union<NodeType, [NodeType]>) → boolean\n// Checks if the type a given `node` equals to a given `nodeType`.\nvar equalNodeType = function equalNodeType(nodeType, node) {\n return Array.isArray(nodeType) && nodeType.indexOf(node.type) > -1 || node.type === nodeType;\n};\n\n// (tr: Transaction) → Transaction\n// Creates a new transaction object from a given transaction\nvar cloneTr = function cloneTr(tr) {\n return Object.assign(Object.create(tr), tr).setTime(Date.now());\n};\n\n// (position: number, content: union<ProseMirrorNode, Fragment>) → (tr: Transaction) → Transaction\n// Returns a `replace` transaction that replaces a node at a given position with the given `content`.\n// It will return the original transaction if replacing is not possible.\n// `position` should point at the position immediately before the node.\nvar replaceNodeAtPos = function replaceNodeAtPos(position, content) {\n return function (tr) {\n var node = tr.doc.nodeAt(position);\n var $pos = tr.doc.resolve(position);\n if (canReplace($pos, content)) {\n tr = tr.replaceWith(position, position + node.nodeSize, content);\n var start = tr.selection.$from.pos - 1;\n // put cursor inside of the inserted node\n tr = setTextSelection(Math.max(start, 0), -1)(tr);\n // move cursor to the start of the node\n tr = setTextSelection(tr.selection.$from.start())(tr);\n return cloneTr(tr);\n }\n return tr;\n };\n};\n\n// ($pos: ResolvedPos, doc: ProseMirrorNode, content: union<ProseMirrorNode, Fragment>, ) → boolean\n// Checks if replacing a node at a given `$pos` inside of the `doc` node with the given `content` is possible.\nvar canReplace = function canReplace($pos, content) {\n var node = $pos.node($pos.depth);\n return node && node.type.validContent(content instanceof prosemirrorModel.Fragment ? content : prosemirrorModel.Fragment.from(content));\n};\n\n// (position: number) → (tr: Transaction) → Transaction\n// Returns a `delete` transaction that removes a node at a given position with the given `node`.\n// `position` should point at the position immediately before the node.\nvar removeNodeAtPos = function removeNodeAtPos(position) {\n return function (tr) {\n var node = tr.doc.nodeAt(position);\n return cloneTr(tr.delete(position, position + node.nodeSize));\n };\n};\n\n// (schema: Schema) → {[key: string]: NodeType}\n// Returns a map where keys are tableRoles and values are NodeTypes.\nvar tableNodeTypes = function tableNodeTypes(schema) {\n if (schema.cached.tableNodeTypes) {\n return schema.cached.tableNodeTypes;\n }\n var roles = {};\n Object.keys(schema.nodes).forEach(function (type) {\n var nodeType = schema.nodes[type];\n if (nodeType.spec.tableRole) {\n roles[nodeType.spec.tableRole] = nodeType;\n }\n });\n schema.cached.tableNodeTypes = roles;\n return roles;\n};\n\n// :: ($pos: ResolvedPos, content: union<ProseMirrorNode, Fragment>) → boolean\n// Checks if a given `content` can be inserted at the given `$pos`\n//\n// ```javascript\n// const { selection: { $from } } = state;\n// const node = state.schema.nodes.atom.createChecked();\n// if (canInsert($from, node)) {\n// // ...\n// }\n// ```\nvar canInsert = function canInsert($pos, content) {\n var index = $pos.index();\n\n if (content instanceof prosemirrorModel.Fragment) {\n return $pos.parent.canReplace(index, index, content);\n } else if (content instanceof prosemirrorModel.Node) {\n return $pos.parent.canReplaceWith(index, index, content.type);\n }\n return false;\n};\n\n// (node: ProseMirrorNode) → boolean\n// Checks if a given `node` is an empty paragraph\nvar isEmptyParagraph = function isEmptyParagraph(node) {\n return !node || node.type.name === 'paragraph' && node.nodeSize === 2;\n};\n\n// ($pos: ResolvedPos) → ?{pos: number, start: number, node: ProseMirrorNode}\n// Iterates over parent nodes, returning a table node closest to a given `$pos`.\n//\n// ```javascript\n// const table = findTableClosestToPos(state.doc.resolve(10));\n// ```\nvar findTableClosestToPos = function findTableClosestToPos($pos) {\n var predicate = function predicate(node) {\n return node.type.spec.tableRole && /table/i.test(node.type.spec.tableRole);\n };\n return findParentNodeClosestToPos($pos, predicate);\n};\n\nvar createCell = function createCell(cellType) {\n var cellContent = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : null;\n\n if (cellContent) {\n return cellType.createChecked(null, cellContent);\n }\n\n return cellType.createAndFill();\n};\n\n// (rect: {left: number, right: number, top: number, bottom: number}) → (selection: Selection) → boolean\n// Checks if a given CellSelection rect is selected\nvar isRectSelected = function isRectSelected(rect) {\n return function (selection) {\n var map = prosemirrorTables.TableMap.get(selection.$anchorCell.node(-1));\n var start = selection.$anchorCell.start(-1);\n var cells = map.cellsInRect(rect);\n var selectedCells = map.cellsInRect(map.rectBetween(selection.$anchorCell.pos - start, selection.$headCell.pos - start));\n\n for (var i = 0, count = cells.length; i < count; i++) {\n if (selectedCells.indexOf(cells[i]) === -1) {\n return false;\n }\n }\n\n return true;\n };\n};\n\n// This function transposes an array of array flipping the columns for rows,\n// transposition is a familiar algebra concept;\n// you can get more details here:\n// https://en.wikipedia.org/wiki/Transpose\n//\n// ```javascript\n//\n// const arr = [\n// ['a1', 'a2', 'a3'],\n// ['b1', 'b2', 'b3'],\n// ['c1', 'c2', 'c3'],\n// ['d1', 'd2', 'd3'],\n// ];\n//\n// const result = transpose(arr);\n//\n// result === [\n// ['a1', 'b1', 'c1', 'd1'],\n// ['a2', 'b2', 'c2', 'd2'],\n// ['a3', 'b3', 'c3', 'd3'],\n// ]\n// ```\nvar transpose = function transpose(array) {\n return array[0].map(function (_, i) {\n return array.map(function (column) {\n return column[i];\n });\n });\n};\n\n// :: (tableNode: Node) -> Array<Node>\n// This function will transform the table node\n// into a matrix of rows and columns respecting merged cells,\n// for example this table will be convert to the below:\n//\n// ```\n// ____________________________\n// | | | |\n// | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// | A3 | B3 | C2 | |\n// |______|______|______|______|\n// ```\n//\n//\n// ```javascript\n// array = [\n// [A1, B1, C1, null],\n// [A2, B2, null, D1],\n// [A3. B3, C2, null],\n// ]\n// ```\nvar convertTableNodeToArrayOfRows = function convertTableNodeToArrayOfRows(tableNode) {\n var map = prosemirrorTables.TableMap.get(tableNode);\n var rows = [];\n for (var rowIndex = 0; rowIndex < map.height; rowIndex++) {\n var rowCells = [];\n var seen = {};\n\n for (var colIndex = 0; colIndex < map.width; colIndex++) {\n var cellPos = map.map[rowIndex * map.width + colIndex];\n var cell = tableNode.nodeAt(cellPos);\n var rect = map.findCell(cellPos);\n if (seen[cellPos] || rect.top !== rowIndex) {\n rowCells.push(null);\n continue;\n }\n seen[cellPos] = true;\n\n rowCells.push(cell);\n }\n\n rows.push(rowCells);\n }\n\n return rows;\n};\n\n// :: (tableNode: Node, tableArray: Array<Node>) -> Node\n// This function will transform a matrix of nodes\n// into table node respecting merged cells and rows configurations,\n// for example this array will be convert to the table below:\n//\n// ```javascript\n// array = [\n// [A1, B1, C1, null],\n// [A2, B2, null, D1],\n// [A3. B3, C2, null],\n// ]\n// ```\n//\n// ```\n// ____________________________\n// | | | |\n// | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// | A3 | B3 | C2 | |\n// |______|______|______|______|\n// ```\n//\nvar convertArrayOfRowsToTableNode = function convertArrayOfRowsToTableNode(tableNode, arrayOfNodes) {\n var rowsPM = [];\n var map = prosemirrorTables.TableMap.get(tableNode);\n for (var rowIndex = 0; rowIndex < map.height; rowIndex++) {\n var row = tableNode.child(rowIndex);\n var rowCells = [];\n\n for (var colIndex = 0; colIndex < map.width; colIndex++) {\n if (!arrayOfNodes[rowIndex][colIndex]) {\n continue;\n }\n var cellPos = map.map[rowIndex * map.width + colIndex];\n\n var cell = arrayOfNodes[rowIndex][colIndex];\n var oldCell = tableNode.nodeAt(cellPos);\n var newCell = oldCell.type.createChecked(Object.assign({}, cell.attrs), cell.content, cell.marks);\n rowCells.push(newCell);\n }\n\n rowsPM.push(row.type.createChecked(row.attrs, rowCells, row.marks));\n }\n\n var newTable = tableNode.type.createChecked(tableNode.attrs, rowsPM, tableNode.marks);\n\n return newTable;\n};\n\nvar moveTableColumn = function moveTableColumn(table, indexesOrigin, indexesTarget, direction) {\n var rows = transpose(convertTableNodeToArrayOfRows(table.node));\n\n rows = moveRowInArrayOfRows(rows, indexesOrigin, indexesTarget, direction);\n rows = transpose(rows);\n\n return convertArrayOfRowsToTableNode(table.node, rows);\n};\n\nvar moveTableRow = function moveTableRow(table, indexesOrigin, indexesTarget, direction) {\n var rows = convertTableNodeToArrayOfRows(table.node);\n\n rows = moveRowInArrayOfRows(rows, indexesOrigin, indexesTarget, direction);\n\n return convertArrayOfRowsToTableNode(table.node, rows);\n};\n\nvar moveRowInArrayOfRows = function moveRowInArrayOfRows(rows, indexesOrigin, indexesTarget, directionOverride) {\n var direction = indexesOrigin[0] > indexesTarget[0] ? -1 : 1;\n\n var rowsExtracted = rows.splice(indexesOrigin[0], indexesOrigin.length);\n var positionOffset = rowsExtracted.length % 2 === 0 ? 1 : 0;\n var target = void 0;\n\n if (directionOverride === -1 && direction === 1) {\n target = indexesTarget[0] - 1;\n } else if (directionOverride === 1 && direction === -1) {\n target = indexesTarget[indexesTarget.length - 1] - positionOffset + 1;\n } else {\n target = direction === -1 ? indexesTarget[0] : indexesTarget[indexesTarget.length - 1] - positionOffset;\n }\n\n rows.splice.apply(rows, [target, 0].concat(rowsExtracted));\n return rows;\n};\n\nvar checkInvalidMovements = function checkInvalidMovements(originIndex, targetIndex, targets, type) {\n var direction = originIndex > targetIndex ? -1 : 1;\n var errorMessage = 'Target position is invalid, you can\\'t move the ' + type + ' ' + originIndex + ' to ' + targetIndex + ', the target can\\'t be split. You could use tryToFit option.';\n\n if (direction === 1) {\n if (targets.slice(0, targets.length - 1).indexOf(targetIndex) !== -1) {\n throw new Error(errorMessage);\n }\n } else {\n if (targets.slice(1).indexOf(targetIndex) !== -1) {\n throw new Error(errorMessage);\n }\n }\n\n return true;\n};\n\n// :: (predicate: (node: ProseMirrorNode) → boolean) → (selection: Selection) → ?{pos: number, start: number, depth: number, node: ProseMirrorNode}\n// Iterates over parent nodes, returning the closest node and its start position `predicate` returns truthy for. `start` points to the start position of the node, `pos` points directly before the node.\n//\n// ```javascript\n// const predicate = node => node.type === schema.nodes.blockquote;\n// const parent = findParentNode(predicate)(selection);\n// ```\nvar findParentNode = function findParentNode(predicate) {\n return function (_ref) {\n var $from = _ref.$from;\n return findParentNodeClosestToPos($from, predicate);\n };\n};\n\n// :: ($pos: ResolvedPos, predicate: (node: ProseMirrorNode) → boolean) → ?{pos: number, start: number, depth: number, node: ProseMirrorNode}\n// Iterates over parent nodes starting from the given `$pos`, returning the closest node and its start position `predicate` returns truthy for. `start` points to the start position of the node, `pos` points directly before the node.\n//\n// ```javascript\n// const predicate = node => node.type === schema.nodes.blockquote;\n// const parent = findParentNodeClosestToPos(state.doc.resolve(5), predicate);\n// ```\nvar findParentNodeClosestToPos = function findParentNodeClosestToPos($pos, predicate) {\n for (var i = $pos.depth; i > 0; i--) {\n var node = $pos.node(i);\n if (predicate(node)) {\n return {\n pos: i > 0 ? $pos.before(i) : 0,\n start: $pos.start(i),\n depth: i,\n node: node\n };\n }\n }\n};\n\n// :: (predicate: (node: ProseMirrorNode) → boolean, domAtPos: (pos: number) → {node: dom.Node, offset: number}) → (selection: Selection) → ?dom.Node\n// Iterates over parent nodes, returning DOM reference of the closest node `predicate` returns truthy for.\n//\n// ```javascript\n// const domAtPos = view.domAtPos.bind(view);\n// const predicate = node => node.type === schema.nodes.table;\n// const parent = findParentDomRef(predicate, domAtPos)(selection); // <table>\n// ```\nvar findParentDomRef = function findParentDomRef(predicate, domAtPos) {\n return function (selection) {\n var parent = findParentNode(predicate)(selection);\n if (parent) {\n return findDomRefAtPos(parent.pos, domAtPos);\n }\n };\n};\n\n// :: (predicate: (node: ProseMirrorNode) → boolean) → (selection: Selection) → boolean\n// Checks if there's a parent node `predicate` returns truthy for.\n//\n// ```javascript\n// if (hasParentNode(node => node.type === schema.nodes.table)(selection)) {\n// // ....\n// }\n// ```\nvar hasParentNode = function hasParentNode(predicate) {\n return function (selection) {\n return !!findParentNode(predicate)(selection);\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>) → (selection: Selection) → ?{pos: number, start: number, depth: number, node: ProseMirrorNode}\n// Iterates over parent nodes, returning closest node of a given `nodeType`. `start` points to the start position of the node, `pos` points directly before the node.\n//\n// ```javascript\n// const parent = findParentNodeOfType(schema.nodes.paragraph)(selection);\n// ```\nvar findParentNodeOfType = function findParentNodeOfType(nodeType) {\n return function (selection) {\n return findParentNode(function (node) {\n return equalNodeType(nodeType, node);\n })(selection);\n };\n};\n\n// :: ($pos: ResolvedPos, nodeType: union<NodeType, [NodeType]>) → ?{pos: number, start: number, depth: number, node: ProseMirrorNode}\n// Iterates over parent nodes starting from the given `$pos`, returning closest node of a given `nodeType`. `start` points to the start position of the node, `pos` points directly before the node.\n//\n// ```javascript\n// const parent = findParentNodeOfTypeClosestToPos(state.doc.resolve(10), schema.nodes.paragraph);\n// ```\nvar findParentNodeOfTypeClosestToPos = function findParentNodeOfTypeClosestToPos($pos, nodeType) {\n return findParentNodeClosestToPos($pos, function (node) {\n return equalNodeType(nodeType, node);\n });\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>) → (selection: Selection) → boolean\n// Checks if there's a parent node of a given `nodeType`.\n//\n// ```javascript\n// if (hasParentNodeOfType(schema.nodes.table)(selection)) {\n// // ....\n// }\n// ```\nvar hasParentNodeOfType = function hasParentNodeOfType(nodeType) {\n return function (selection) {\n return hasParentNode(function (node) {\n return equalNodeType(nodeType, node);\n })(selection);\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>, domAtPos: (pos: number) → {node: dom.Node, offset: number}) → (selection: Selection) → ?dom.Node\n// Iterates over parent nodes, returning DOM reference of the closest node of a given `nodeType`.\n//\n// ```javascript\n// const domAtPos = view.domAtPos.bind(view);\n// const parent = findParentDomRefOfType(schema.nodes.codeBlock, domAtPos)(selection); // <pre>\n// ```\nvar findParentDomRefOfType = function findParentDomRefOfType(nodeType, domAtPos) {\n return function (selection) {\n return findParentDomRef(function (node) {\n return equalNodeType(nodeType, node);\n }, domAtPos)(selection);\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>) → (selection: Selection) → ?{pos: number, start: number, depth: number, node: ProseMirrorNode}\n// Returns a node of a given `nodeType` if it is selected. `start` points to the start position of the node, `pos` points directly before the node.\n//\n// ```javascript\n// const { extension, inlineExtension, bodiedExtension } = schema.nodes;\n// const selectedNode = findSelectedNodeOfType([\n// extension,\n// inlineExtension,\n// bodiedExtension,\n// ])(selection);\n// ```\nvar findSelectedNodeOfType = function findSelectedNodeOfType(nodeType) {\n return function (selection) {\n if (isNodeSelection(selection)) {\n var node = selection.node,\n $from = selection.$from;\n\n if (equalNodeType(nodeType, node)) {\n return { node: node, pos: $from.pos, depth: $from.depth };\n }\n }\n };\n};\n\n// :: (selection: Selection) → ?number\n// Returns position of the previous node.\n//\n// ```javascript\n// const pos = findPositionOfNodeBefore(tr.selection);\n// ```\nvar findPositionOfNodeBefore = function findPositionOfNodeBefore(selection) {\n var nodeBefore = selection.$from.nodeBefore;\n\n var maybeSelection = prosemirrorState.Selection.findFrom(selection.$from, -1);\n if (maybeSelection && nodeBefore) {\n // leaf node\n var parent = findParentNodeOfType(nodeBefore.type)(maybeSelection);\n if (parent) {\n return parent.pos;\n }\n return maybeSelection.$from.pos;\n }\n};\n\n// :: (position: number, domAtPos: (pos: number) → {node: dom.Node, offset: number}) → dom.Node\n// Returns DOM reference of a node at a given `position`. If the node type is of type `TEXT_NODE` it will return the reference of the parent node.\n//\n// ```javascript\n// const domAtPos = view.domAtPos.bind(view);\n// const ref = findDomRefAtPos($from.pos, domAtPos);\n// ```\nvar findDomRefAtPos = function findDomRefAtPos(position, domAtPos) {\n var dom = domAtPos(position);\n var node = dom.node.childNodes[dom.offset];\n\n if (dom.node.nodeType === Node.TEXT_NODE) {\n return dom.node.parentNode;\n }\n\n if (!node || node.nodeType === Node.TEXT_NODE) {\n return dom.node;\n }\n\n return node;\n};\n\n// :: (node: ProseMirrorNode, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Flattens descendants of a given `node`. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const children = flatten(node);\n// ```\nvar flatten = function flatten(node) {\n var descend = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : true;\n\n if (!node) {\n throw new Error('Invalid \"node\" parameter');\n }\n var result = [];\n node.descendants(function (child, pos) {\n result.push({ node: child, pos: pos });\n if (!descend) {\n return false;\n }\n });\n return result;\n};\n\n// :: (node: ProseMirrorNode, predicate: (node: ProseMirrorNode) → boolean, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Iterates over descendants of a given `node`, returning child nodes predicate returns truthy for. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const textNodes = findChildren(node, child => child.isText, false);\n// ```\nvar findChildren = function findChildren(node, predicate, descend) {\n if (!node) {\n throw new Error('Invalid \"node\" parameter');\n } else if (!predicate) {\n throw new Error('Invalid \"predicate\" parameter');\n }\n return flatten(node, descend).filter(function (child) {\n return predicate(child.node);\n });\n};\n\n// :: (node: ProseMirrorNode, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Returns text nodes of a given `node`. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const textNodes = findTextNodes(node);\n// ```\nvar findTextNodes = function findTextNodes(node, descend) {\n return findChildren(node, function (child) {\n return child.isText;\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Returns inline nodes of a given `node`. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const inlineNodes = findInlineNodes(node);\n// ```\nvar findInlineNodes = function findInlineNodes(node, descend) {\n return findChildren(node, function (child) {\n return child.isInline;\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Returns block descendants of a given `node`. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const blockNodes = findBlockNodes(node);\n// ```\nvar findBlockNodes = function findBlockNodes(node, descend) {\n return findChildren(node, function (child) {\n return child.isBlock;\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, predicate: (attrs: ?Object) → boolean, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Iterates over descendants of a given `node`, returning child nodes predicate returns truthy for. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const mergedCells = findChildrenByAttr(table, attrs => attrs.colspan === 2);\n// ```\nvar findChildrenByAttr = function findChildrenByAttr(node, predicate, descend) {\n return findChildren(node, function (child) {\n return !!predicate(child.attrs);\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, nodeType: NodeType, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Iterates over descendants of a given `node`, returning child nodes of a given nodeType. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const cells = findChildrenByType(table, schema.nodes.tableCell);\n// ```\nvar findChildrenByType = function findChildrenByType(node, nodeType, descend) {\n return findChildren(node, function (child) {\n return child.type === nodeType;\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, markType: markType, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Iterates over descendants of a given `node`, returning child nodes that have a mark of a given markType. It doesn't descend into a `node` when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const nodes = findChildrenByMark(state.doc, schema.marks.strong);\n// ```\nvar findChildrenByMark = function findChildrenByMark(node, markType, descend) {\n return findChildren(node, function (child) {\n return markType.isInSet(child.marks);\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, nodeType: NodeType) → boolean\n// Returns `true` if a given node contains nodes of a given `nodeType`\n//\n// ```javascript\n// if (contains(panel, schema.nodes.listItem)) {\n// // ...\n// }\n// ```\nvar contains = function contains(node, nodeType) {\n return !!findChildrenByType(node, nodeType).length;\n};\n\nfunction _toConsumableArray(arr) { if (Array.isArray(arr)) { for (var i = 0, arr2 = Array(arr.length); i < arr.length; i++) { arr2[i] = arr[i]; } return arr2; } else { return Array.from(arr); } }\n\n// :: (selection: Selection) → ?{pos: number, start: number, node: ProseMirrorNode}\n// Iterates over parent nodes, returning the closest table node.\n//\n// ```javascript\n// const table = findTable(selection);\n// ```\nvar findTable = function findTable(selection) {\n return findParentNode(function (node) {\n return node.type.spec.tableRole && node.type.spec.tableRole === 'table';\n })(selection);\n};\n\n// :: (selection: Selection) → boolean\n// Checks if current selection is a `CellSelection`.\n//\n// ```javascript\n// if (isCellSelection(selection)) {\n// // ...\n// }\n// ```\nvar isCellSelection = function isCellSelection(selection) {\n return selection instanceof prosemirrorTables.CellSelection;\n};\n\n// :: (selection: Selection) → ?{left: number, right: number, top: number, bottom: number}\n// Get the selection rectangle. Returns `undefined` if selection is not a CellSelection.\n//\n// ```javascript\n// const rect = getSelectionRect(selection);\n// ```\nvar getSelectionRect = function getSelectionRect(selection) {\n if (!isCellSelection(selection)) {\n return;\n }\n var start = selection.$anchorCell.start(-1);\n var map = prosemirrorTables.TableMap.get(selection.$anchorCell.node(-1));\n return map.rectBetween(selection.$anchorCell.pos - start, selection.$headCell.pos - start);\n};\n\n// :: (columnIndex: number) → (selection: Selection) → boolean\n// Checks if entire column at index `columnIndex` is selected.\n//\n// ```javascript\n// const className = isColumnSelected(i)(selection) ? 'selected' : '';\n// ```\nvar isColumnSelected = function isColumnSelected(columnIndex) {\n return function (selection) {\n if (isCellSelection(selection)) {\n var map = prosemirrorTables.TableMap.get(selection.$anchorCell.node(-1));\n return isRectSelected({\n left: columnIndex,\n right: columnIndex + 1,\n top: 0,\n bottom: map.height\n })(selection);\n }\n\n return false;\n };\n};\n\n// :: (rowIndex: number) → (selection: Selection) → boolean\n// Checks if entire row at index `rowIndex` is selected.\n//\n// ```javascript\n// const className = isRowSelected(i)(selection) ? 'selected' : '';\n// ```\nvar isRowSelected = function isRowSelected(rowIndex) {\n return function (selection) {\n if (isCellSelection(selection)) {\n var map = prosemirrorTables.TableMap.get(selection.$anchorCell.node(-1));\n return isRectSelected({\n left: 0,\n right: map.width,\n top: rowIndex,\n bottom: rowIndex + 1\n })(selection);\n }\n\n return false;\n };\n};\n\n// :: (selection: Selection) → boolean\n// Checks if entire table is selected\n//\n// ```javascript\n// const className = isTableSelected(selection) ? 'selected' : '';\n// ```\nvar isTableSelected = function isTableSelected(selection) {\n if (isCellSelection(selection)) {\n var map = prosemirrorTables.TableMap.get(selection.$anchorCell.node(-1));\n return isRectSelected({\n left: 0,\n right: map.width,\n top: 0,\n bottom: map.height\n })(selection);\n }\n\n return false;\n};\n\n// :: (columnIndex: union<number, [number]>) → (selection: Selection) → ?[{pos: number, start: number, node: ProseMirrorNode}]\n// Returns an array of cells in a column(s), where `columnIndex` could be a column index or an array of column indexes.\n//\n// ```javascript\n// const cells = getCellsInColumn(i)(selection); // [{node, pos}, {node, pos}]\n// ```\nvar getCellsInColumn = function getCellsInColumn(columnIndex) {\n return function (selection) {\n var table = findTable(selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var indexes = Array.isArray(columnIndex) ? columnIndex : Array.from([columnIndex]);\n return indexes.reduce(function (acc, index) {\n if (index >= 0 && index <= map.width - 1) {\n var cells = map.cellsInRect({\n left: index,\n right: index + 1,\n top: 0,\n bottom: map.height\n });\n return acc.concat(cells.map(function (nodePos) {\n var node = table.node.nodeAt(nodePos);\n var pos = nodePos + table.start;\n return { pos: pos, start: pos + 1, node: node };\n }));\n }\n }, []);\n }\n };\n};\n\n// :: (rowIndex: union<number, [number]>) → (selection: Selection) → ?[{pos: number, start: number, node: ProseMirrorNode}]\n// Returns an array of cells in a row(s), where `rowIndex` could be a row index or an array of row indexes.\n//\n// ```javascript\n// const cells = getCellsInRow(i)(selection); // [{node, pos}, {node, pos}]\n// ```\nvar getCellsInRow = function getCellsInRow(rowIndex) {\n return function (selection) {\n var table = findTable(selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var indexes = Array.isArray(rowIndex) ? rowIndex : Array.from([rowIndex]);\n return indexes.reduce(function (acc, index) {\n if (index >= 0 && index <= map.height - 1) {\n var cells = map.cellsInRect({\n left: 0,\n right: map.width,\n top: index,\n bottom: index + 1\n });\n return acc.concat(cells.map(function (nodePos) {\n var node = table.node.nodeAt(nodePos);\n var pos = nodePos + table.start;\n return { pos: pos, start: pos + 1, node: node };\n }));\n }\n }, []);\n }\n };\n};\n\n// :: (selection: Selection) → ?[{pos: number, start: number, node: ProseMirrorNode}]\n// Returns an array of all cells in a table.\n//\n// ```javascript\n// const cells = getCellsInTable(selection); // [{node, pos}, {node, pos}]\n// ```\nvar getCellsInTable = function getCellsInTable(selection) {\n var table = findTable(selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var cells = map.cellsInRect({\n left: 0,\n right: map.width,\n top: 0,\n bottom: map.height\n });\n return cells.map(function (nodePos) {\n var node = table.node.nodeAt(nodePos);\n var pos = nodePos + table.start;\n return { pos: pos, start: pos + 1, node: node };\n });\n }\n};\n\nvar select = function select(type) {\n return function (index, expand) {\n return function (tr) {\n var table = findTable(tr.selection);\n var isRowSelection = type === 'row';\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n\n // Check if the index is valid\n if (index >= 0 && index < (isRowSelection ? map.height : map.width)) {\n var left = isRowSelection ? 0 : index;\n var top = isRowSelection ? index : 0;\n var right = isRowSelection ? map.width : index + 1;\n var bottom = isRowSelection ? index + 1 : map.height;\n\n if (expand) {\n var cell = findCellClosestToPos(tr.selection.$from);\n if (!cell) {\n return tr;\n }\n\n var selRect = map.findCell(cell.pos - table.start);\n if (isRowSelection) {\n top = Math.min(top, selRect.top);\n bottom = Math.max(bottom, selRect.bottom);\n } else {\n left = Math.min(left, selRect.left);\n right = Math.max(right, selRect.right);\n }\n }\n\n var cellsInFirstRow = map.cellsInRect({\n left: left,\n top: top,\n right: isRowSelection ? right : left + 1,\n bottom: isRowSelection ? top + 1 : bottom\n });\n\n var cellsInLastRow = bottom - top === 1 ? cellsInFirstRow : map.cellsInRect({\n left: isRowSelection ? left : right - 1,\n top: isRowSelection ? bottom - 1 : top,\n right: right,\n bottom: bottom\n });\n\n var head = table.start + cellsInFirstRow[0];\n var anchor = table.start + cellsInLastRow[cellsInLastRow.length - 1];\n var $head = tr.doc.resolve(head);\n var $anchor = tr.doc.resolve(anchor);\n\n return cloneTr(tr.setSelection(new prosemirrorTables.CellSelection($anchor, $head)));\n }\n }\n return tr;\n };\n };\n};\n\n// :: (columnIndex: number, expand: ?boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that creates a `CellSelection` on a column at index `columnIndex`.\n// Use the optional `expand` param to extend from current selection.\n//\n// ```javascript\n// dispatch(\n// selectColumn(i)(state.tr)\n// );\n// ```\nvar selectColumn = select('column');\n\n// :: (rowIndex: number, expand: ?boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that creates a `CellSelection` on a column at index `rowIndex`.\n// Use the optional `expand` param to extend from current selection.\n//\n// ```javascript\n// dispatch(\n// selectRow(i)(state.tr)\n// );\n// ```\nvar selectRow = select('row');\n\n// :: (selection: Selection) → (tr: Transaction) → Transaction\n// Returns a new transaction that creates a `CellSelection` on the entire table.\n//\n// ```javascript\n// dispatch(\n// selectTable(i)(state.tr)\n// );\n// ```\nvar selectTable = function selectTable(tr) {\n var table = findTable(tr.selection);\n if (table) {\n var _TableMap$get = prosemirrorTables.TableMap.get(table.node),\n map = _TableMap$get.map;\n\n if (map && map.length) {\n var head = table.start + map[0];\n var anchor = table.start + map[map.length - 1];\n var $head = tr.doc.resolve(head);\n var $anchor = tr.doc.resolve(anchor);\n\n return cloneTr(tr.setSelection(new prosemirrorTables.CellSelection($anchor, $head)));\n }\n }\n return tr;\n};\n\n// :: (cell: {pos: number, node: ProseMirrorNode}, schema: Schema) → (tr: Transaction) → Transaction\n// Returns a new transaction that clears the content of a given `cell`.\n//\n// ```javascript\n// const $pos = state.doc.resolve(13);\n// dispatch(\n// emptyCell(findCellClosestToPos($pos), state.schema)(state.tr)\n// );\n// ```\nvar emptyCell = function emptyCell(cell, schema) {\n return function (tr) {\n if (cell) {\n var _tableNodeTypes$cell$ = tableNodeTypes(schema).cell.createAndFill(),\n content = _tableNodeTypes$cell$.content;\n\n if (!cell.node.content.eq(content)) {\n tr.replaceWith(cell.pos + 1, cell.pos + cell.node.nodeSize, content);\n return cloneTr(tr);\n }\n }\n return tr;\n };\n};\n\n// :: (columnIndex: number) → (tr: Transaction) → Transaction\n// Returns a new transaction that adds a new column at index `columnIndex`.\n//\n// ```javascript\n// dispatch(\n// addColumnAt(i)(state.tr)\n// );\n// ```\nvar addColumnAt = function addColumnAt(columnIndex) {\n return function (tr) {\n var table = findTable(tr.selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n if (columnIndex >= 0 && columnIndex <= map.width) {\n return cloneTr(prosemirrorTables.addColumn(tr, {\n map: map,\n tableStart: table.start,\n table: table.node\n }, columnIndex));\n }\n }\n return tr;\n };\n};\n\n// :: (originRowIndex: number, targetRowIndex: targetColumnIndex, options?: MovementOptions) → (tr: Transaction) → Transaction\n// Returns a new transaction that moves the origin row to the target index;\n//\n// by default \"tryToFit\" is false, that means if you try to move a row to a place\n// where we will need to split a row with merged cells it'll throw an exception, for example:\n//\n// ```\n// ____________________________\n// | | | |\n// 0 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// 1 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 2 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// ```\n//\n// if you try to move the row 0 to the row index 1 with tryToFit false,\n// it'll throw an exception since you can't split the row 1;\n// but if \"tryToFit\" is true, it'll move the row using the current direction.\n//\n// We defined current direction using the target and origin values\n// if the origin is greater than the target, that means the course is `bottom-to-top`,\n// so the `tryToFit` logic will use this direction to determine\n// if we should move the column to the right or the left.\n//\n// for example, if you call the function using `moveRow(0, 1, { tryToFit: true })`\n// the result will be:\n// ```\n// ____________________________\n// | | | |\n// 0 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 1 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// | | | |\n// 2 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// ```\n//\n// since we could put the row zero on index one,\n// we pushed to the best place to fit the row index 0,\n// in this case, row index 2.\n//\n//\n// -------- HOW TO OVERRIDE DIRECTION --------\n//\n// If you set \"tryToFit\" to \"true\", it will try to figure out the best direction\n// place to fit using the origin and target index, for example:\n//\n//\n// ```\n// ____________________________\n// | | | |\n// 0 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// 1 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 2 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// | | | |\n// 3 | A4 | B4 | |\n// |______|______ ______| |\n// | | | | D2 |\n// 4 | A5 | B5 | C3 | |\n// |______|______|______|______|\n// ```\n//\n//\n// If you try to move the row 0 to row index 4 with \"tryToFit\" enabled, by default,\n// the code will put it on after the merged rows,\n// but you can override it using the \"direction\" option.\n//\n// -1: Always put the origin before the target\n// ```\n// ____________________________\n// | | | |\n// 0 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 1 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// | | | |\n// 2 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// 3 | A4 | B4 | |\n// |______|______ ______| |\n// | | | | D2 |\n// 4 | A5 | B5 | C3 | |\n// |______|______|______|______|\n// ```\n//\n// 0: Automatically decide the best place to fit\n// ```\n// ____________________________\n// | | | |\n// 0 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 1 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// | | | |\n// 2 | A4 | B4 | |\n// |______|______ ______| |\n// | | | | D2 |\n// 3 | A5 | B5 | C3 | |\n// |______|______|______|______|\n// | | | |\n// 4 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// ```\n//\n// 1: Always put the origin after the target\n// ```\n// ____________________________\n// | | | |\n// 0 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 1 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// | | | |\n// 2 | A4 | B4 | |\n// |______|______ ______| |\n// | | | | D2 |\n// 3 | A5 | B5 | C3 | |\n// |______|______|______|______|\n// | | | |\n// 4 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// ```\n//\n// ```javascript\n// dispatch(\n// moveRow(x, y, options)(state.tr)\n// );\n// ```\nvar moveRow = function moveRow(originRowIndex, targetRowIndex, opts) {\n return function (tr) {\n var defaultOptions = { tryToFit: false, direction: 0 };\n var options = Object.assign(defaultOptions, opts);\n var table = findTable(tr.selection);\n if (!table) {\n return tr;\n }\n\n var _getSelectionRangeInR = getSelectionRangeInRow(originRowIndex)(tr),\n indexesOriginRow = _getSelectionRangeInR.indexes;\n\n var _getSelectionRangeInR2 = getSelectionRangeInRow(targetRowIndex)(tr),\n indexesTargetRow = _getSelectionRangeInR2.indexes;\n\n if (indexesOriginRow.indexOf(targetRowIndex) > -1) {\n return tr;\n }\n\n if (!options.tryToFit && indexesTargetRow.length > 1) {\n checkInvalidMovements(originRowIndex, targetRowIndex, indexesTargetRow, 'row');\n }\n\n var newTable = moveTableRow(table, indexesOriginRow, indexesTargetRow, options.direction);\n\n return cloneTr(tr).replaceWith(table.pos, table.pos + table.node.nodeSize, newTable);\n };\n};\n\n// :: (originColumnIndex: number, targetColumnIndex: targetColumnIndex, options?: MovementOptions) → (tr: Transaction) → Transaction\n// Returns a new transaction that moves the origin column to the target index;\n//\n// by default \"tryToFit\" is false, that means if you try to move a column to a place\n// where we will need to split a column with merged cells it'll throw an exception, for example:\n//\n// ```\n// 0 1 2\n// ____________________________\n// | | | |\n// | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// | A3 | B3 | C2 | |\n// |______|______|______|______|\n// ```\n//\n//\n// if you try to move the column 0 to the column index 1 with tryToFit false,\n// it'll throw an exception since you can't split the column 1;\n// but if \"tryToFit\" is true, it'll move the column using the current direction.\n//\n// We defined current direction using the target and origin values\n// if the origin is greater than the target, that means the course is `right-to-left`,\n// so the `tryToFit` logic will use this direction to determine\n// if we should move the column to the right or the left.\n//\n// for example, if you call the function using `moveColumn(0, 1, { tryToFit: true })`\n// the result will be:\n//\n// ```\n// 0 1 2\n// _____________________ _______\n// | | | |\n// | B1 | C1 | A1 |\n// |______|______ ______|______|\n// | | | |\n// | B2 | | A2 |\n// |______ ______| |______|\n// | | | D1 | |\n// | B3 | C2 | | A3 |\n// |______|______|______|______|\n// ```\n//\n// since we could put the column zero on index one,\n// we pushed to the best place to fit the column 0, in this case, column index 2.\n//\n// -------- HOW TO OVERRIDE DIRECTION --------\n//\n// If you set \"tryToFit\" to \"true\", it will try to figure out the best direction\n// place to fit using the origin and target index, for example:\n//\n//\n// ```\n// 0 1 2 3 4 5 6\n// _________________________________________________\n// | | | | | |\n// | A1 | B1 | C1 | E1 | F1 |\n// |______|______|______ ______|______|______ ______|\n// | | | | | |\n// | A2 | B2 | | E2 | |\n// |______|______ ______| |______ ______| |\n// | | | | D1 | | | G2 |\n// | A3 | B3 | C3 | | E3 | F3 | |\n// |______|______|______|______|______|______|______|\n// ```\n//\n//\n// If you try to move the column 0 to column index 5 with \"tryToFit\" enabled, by default,\n// the code will put it on after the merged columns,\n// but you can override it using the \"direction\" option.\n//\n// -1: Always put the origin before the target\n//\n// ```\n// 0 1 2 3 4 5 6\n// _________________________________________________\n// | | | | | |\n// | B1 | C1 | A1 | E1 | F1 |\n// |______|______ ______|______|______|______ ______|\n// | | | | | |\n// | B2 | | A2 | E2 | |\n// |______ ______| |______|______ ______| |\n// | | | D1 | | | | G2 |\n// | B3 | C3 | | A3 | E3 | F3 | |\n// |______|______|______|______|______|______|______|\n// ```\n//\n// 0: Automatically decide the best place to fit\n//\n// ```\n// 0 1 2 3 4 5 6\n// _________________________________________________\n// | | | | | |\n// | B1 | C1 | E1 | F1 | A1 |\n// |______|______ ______|______|______ ______|______|\n// | | | | | |\n// | B2 | | E2 | | A2 |\n// |______ ______| |______ ______| |______|\n// | | | D1 | | | G2 | |\n// | B3 | C3 | | E3 | F3 | | A3 |\n// |______|______|______|______|______|______|______|\n// ```\n//\n// 1: Always put the origin after the target\n//\n// ```\n// 0 1 2 3 4 5 6\n// _________________________________________________\n// | | | | | |\n// | B1 | C1 | E1 | F1 | A1 |\n// |______|______ ______|______|______ ______|______|\n// | | | | | |\n// | B2 | | E2 | | A2 |\n// |______ ______| |______ ______| |______|\n// | | | D1 | | | G2 | |\n// | B3 | C3 | | E3 | F3 | | A3 |\n// |______|______|______|______|______|______|______|\n// ```\n//\n// ```javascript\n// dispatch(\n// moveColumn(x, y, options)(state.tr)\n// );\n// ```\nvar moveColumn = function moveColumn(originColumnIndex, targetColumnIndex, opts) {\n return function (tr) {\n var defaultOptions = { tryToFit: false, direction: 0 };\n var options = Object.assign(defaultOptions, opts);\n var table = findTable(tr.selection);\n if (!table) {\n return tr;\n }\n\n var _getSelectionRangeInC = getSelectionRangeInColumn(originColumnIndex)(tr),\n indexesOriginColumn = _getSelectionRangeInC.indexes;\n\n var _getSelectionRangeInC2 = getSelectionRangeInColumn(targetColumnIndex)(tr),\n indexesTargetColumn = _getSelectionRangeInC2.indexes;\n\n if (indexesOriginColumn.indexOf(targetColumnIndex) > -1) {\n return tr;\n }\n\n if (!options.tryToFit && indexesTargetColumn.length > 1) {\n checkInvalidMovements(originColumnIndex, targetColumnIndex, indexesTargetColumn, 'column');\n }\n\n var newTable = moveTableColumn(table, indexesOriginColumn, indexesTargetColumn, options.direction);\n\n return cloneTr(tr).replaceWith(table.pos, table.pos + table.node.nodeSize, newTable);\n };\n};\n\n// :: (rowIndex: number, clonePreviousRow?: boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that adds a new row at index `rowIndex`. Optionally clone the previous row.\n//\n// ```javascript\n// dispatch(\n// addRowAt(i)(state.tr)\n// );\n// ```\n//\n// ```javascript\n// dispatch(\n// addRowAt(i, true)(state.tr)\n// );\n// ```\nvar addRowAt = function addRowAt(rowIndex, clonePreviousRow) {\n return function (tr) {\n var table = findTable(tr.selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var cloneRowIndex = rowIndex - 1;\n\n if (clonePreviousRow && cloneRowIndex >= 0) {\n return cloneTr(cloneRowAt(cloneRowIndex)(tr));\n }\n\n if (rowIndex >= 0 && rowIndex <= map.height) {\n return cloneTr(prosemirrorTables.addRow(tr, {\n map: map,\n tableStart: table.start,\n table: table.node\n }, rowIndex));\n }\n }\n return tr;\n };\n};\n\n// :: (cloneRowIndex: number) → (tr: Transaction) → Transaction\n// Returns a new transaction that adds a new row after `cloneRowIndex`, cloning the row attributes at `cloneRowIndex`.\n//\n// ```javascript\n// dispatch(\n// cloneRowAt(i)(state.tr)\n// );\n// ```\nvar cloneRowAt = function cloneRowAt(rowIndex) {\n return function (tr) {\n var table = findTable(tr.selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n\n if (rowIndex >= 0 && rowIndex <= map.height) {\n var tableNode = table.node;\n var tableNodes = tableNodeTypes(tableNode.type.schema);\n\n var rowPos = table.start;\n for (var i = 0; i < rowIndex + 1; i++) {\n rowPos += tableNode.child(i).nodeSize;\n }\n\n var cloneRow = tableNode.child(rowIndex);\n // Re-create the same nodes with same attrs, dropping the node content.\n var cells = [];\n var rowWidth = 0;\n cloneRow.forEach(function (cell) {\n // If we're copying a row with rowspan somewhere, we dont want to copy that cell\n // We'll increment its span below.\n if (cell.attrs.rowspan === 1) {\n rowWidth += cell.attrs.colspan;\n cells.push(tableNodes[cell.type.spec.tableRole].createAndFill(cell.attrs, cell.marks));\n }\n });\n\n // If a higher row spans past our clone row, bump the higher row to cover this new row too.\n if (rowWidth < map.width) {\n var rowSpanCells = [];\n\n var _loop = function _loop(_i) {\n var foundCells = filterCellsInRow(_i, function (cell, tr) {\n var rowspan = cell.node.attrs.rowspan;\n var spanRange = _i + rowspan;\n return rowspan > 1 && spanRange > rowIndex;\n })(tr);\n rowSpanCells.push.apply(rowSpanCells, _toConsumableArray(foundCells));\n };\n\n for (var _i = rowIndex; _i >= 0; _i--) {\n _loop(_i);\n }\n\n if (rowSpanCells.length) {\n rowSpanCells.forEach(function (cell) {\n tr = setCellAttrs(cell, {\n rowspan: cell.node.attrs.rowspan + 1\n })(tr);\n });\n }\n }\n\n return safeInsert(tableNodes.row.create(cloneRow.attrs, cells), rowPos)(tr);\n }\n }\n return tr;\n };\n};\n\n// :: (columnIndex: number) → (tr: Transaction) → Transaction\n// Returns a new transaction that removes a column at index `columnIndex`. If there is only one column left, it will remove the entire table.\n//\n// ```javascript\n// dispatch(\n// removeColumnAt(i)(state.tr)\n// );\n// ```\nvar removeColumnAt = function removeColumnAt(columnIndex) {\n return function (tr) {\n var table = findTable(tr.selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n if (columnIndex === 0 && map.width === 1) {\n return removeTable(tr);\n } else if (columnIndex >= 0 && columnIndex <= map.width) {\n prosemirrorTables.removeColumn(tr, {\n map: map,\n tableStart: table.start,\n table: table.node\n }, columnIndex);\n return cloneTr(tr);\n }\n }\n return tr;\n };\n};\n\n// :: (rowIndex: number) → (tr: Transaction) → Transaction\n// Returns a new transaction that removes a row at index `rowIndex`. If there is only one row left, it will remove the entire table.\n//\n// ```javascript\n// dispatch(\n// removeRowAt(i)(state.tr)\n// );\n// ```\nvar removeRowAt = function removeRowAt(rowIndex) {\n return function (tr) {\n var table = findTable(tr.selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n if (rowIndex === 0 && map.height === 1) {\n return removeTable(tr);\n } else if (rowIndex >= 0 && rowIndex <= map.height) {\n prosemirrorTables.removeRow(tr, {\n map: map,\n tableStart: table.start,\n table: table.node\n }, rowIndex);\n return cloneTr(tr);\n }\n }\n return tr;\n };\n};\n\n// :: (tr: Transaction) → Transaction\n// Returns a new transaction that removes a table node if the cursor is inside of it.\n//\n// ```javascript\n// dispatch(\n// removeTable(state.tr)\n// );\n// ```\nvar removeTable = function removeTable(tr) {\n var $from = tr.selection.$from;\n\n for (var depth = $from.depth; depth > 0; depth--) {\n var node = $from.node(depth);\n if (node.type.spec.tableRole === 'table') {\n return cloneTr(tr.delete($from.before(depth), $from.after(depth)));\n }\n }\n return tr;\n};\n\n// :: (tr: Transaction) → Transaction\n// Returns a new transaction that removes selected columns.\n//\n// ```javascript\n// dispatch(\n// removeSelectedColumns(state.tr)\n// );\n// ```\nvar removeSelectedColumns = function removeSelectedColumns(tr) {\n var selection = tr.selection;\n\n if (isTableSelected(selection)) {\n return removeTable(tr);\n }\n if (isCellSelection(selection)) {\n var table = findTable(selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var rect = map.rectBetween(selection.$anchorCell.pos - table.start, selection.$headCell.pos - table.start);\n\n if (rect.left == 0 && rect.right == map.width) {\n return false;\n }\n\n var pmTableRect = Object.assign({}, rect, {\n map: map,\n table: table.node,\n tableStart: table.start\n });\n\n for (var i = pmTableRect.right - 1;; i--) {\n prosemirrorTables.removeColumn(tr, pmTableRect, i);\n if (i === pmTableRect.left) {\n break;\n }\n pmTableRect.table = pmTableRect.tableStart ? tr.doc.nodeAt(pmTableRect.tableStart - 1) : tr.doc;\n pmTableRect.map = prosemirrorTables.TableMap.get(pmTableRect.table);\n }\n return cloneTr(tr);\n }\n }\n return tr;\n};\n\n// :: (tr: Transaction) → Transaction\n// Returns a new transaction that removes selected rows.\n//\n// ```javascript\n// dispatch(\n// removeSelectedRows(state.tr)\n// );\n// ```\nvar removeSelectedRows = function removeSelectedRows(tr) {\n var selection = tr.selection;\n\n if (isTableSelected(selection)) {\n return removeTable(tr);\n }\n if (isCellSelection(selection)) {\n var table = findTable(selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var rect = map.rectBetween(selection.$anchorCell.pos - table.start, selection.$headCell.pos - table.start);\n\n if (rect.top == 0 && rect.bottom == map.height) {\n return false;\n }\n\n var pmTableRect = Object.assign({}, rect, {\n map: map,\n table: table.node,\n tableStart: table.start\n });\n\n for (var i = pmTableRect.bottom - 1;; i--) {\n prosemirrorTables.removeRow(tr, pmTableRect, i);\n if (i === pmTableRect.top) {\n break;\n }\n pmTableRect.table = pmTableRect.tableStart ? tr.doc.nodeAt(pmTableRect.tableStart - 1) : tr.doc;\n pmTableRect.map = prosemirrorTables.TableMap.get(pmTableRect.table);\n }\n\n return cloneTr(tr);\n }\n }\n return tr;\n};\n\n// :: ($pos: ResolvedPos) → (tr: Transaction) → Transaction\n// Returns a new transaction that removes a column closest to a given `$pos`.\n//\n// ```javascript\n// dispatch(\n// removeColumnClosestToPos(state.doc.resolve(3))(state.tr)\n// );\n// ```\nvar removeColumnClosestToPos = function removeColumnClosestToPos($pos) {\n return function (tr) {\n var rect = findCellRectClosestToPos($pos);\n if (rect) {\n return removeColumnAt(rect.left)(setTextSelection($pos.pos)(tr));\n }\n return tr;\n };\n};\n\n// :: ($pos: ResolvedPos) → (tr: Transaction) → Transaction\n// Returns a new transaction that removes a row closest to a given `$pos`.\n//\n// ```javascript\n// dispatch(\n// removeRowClosestToPos(state.doc.resolve(3))(state.tr)\n// );\n// ```\nvar removeRowClosestToPos = function removeRowClosestToPos($pos) {\n return function (tr) {\n var rect = findCellRectClosestToPos($pos);\n if (rect) {\n return removeRowAt(rect.top)(setTextSelection($pos.pos)(tr));\n }\n return tr;\n };\n};\n\n// :: (columnIndex: number, cellTransform: (cell: {pos: number, start: number, node: ProseMirrorNode}, tr: Transaction) → Transaction, setCursorToLastCell: ?boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that maps a given `cellTransform` function to each cell in a column at a given `columnIndex`.\n// It will set the selection into the last cell of the column if `setCursorToLastCell` param is set to `true`.\n//\n// ```javascript\n// dispatch(\n// forEachCellInColumn(0, (cell, tr) => emptyCell(cell, state.schema)(tr))(state.tr)\n// );\n// ```\nvar forEachCellInColumn = function forEachCellInColumn(columnIndex, cellTransform, setCursorToLastCell) {\n return function (tr) {\n var cells = getCellsInColumn(columnIndex)(tr.selection);\n if (cells) {\n for (var i = cells.length - 1; i >= 0; i--) {\n tr = cellTransform(cells[i], tr);\n }\n if (setCursorToLastCell) {\n var $pos = tr.doc.resolve(tr.mapping.map(cells[cells.length - 1].pos));\n tr.setSelection(prosemirrorState.Selection.near($pos));\n }\n return cloneTr(tr);\n }\n return tr;\n };\n};\n\n// :: (rowIndex: number, cellTransform: (cell: {pos: number, start: number, node: ProseMirrorNode}, tr: Transaction) → Transaction, setCursorToLastCell: ?boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that maps a given `cellTransform` function to each cell in a row at a given `rowIndex`.\n// It will set the selection into the last cell of the row if `setCursorToLastCell` param is set to `true`.\n//\n// ```javascript\n// dispatch(\n// forEachCellInRow(0, (cell, tr) => setCellAttrs(cell, { background: 'red' })(tr))(state.tr)\n// );\n// ```\nvar forEachCellInRow = function forEachCellInRow(rowIndex, cellTransform, setCursorToLastCell) {\n return function (tr) {\n var cells = getCellsInRow(rowIndex)(tr.selection);\n if (cells) {\n for (var i = cells.length - 1; i >= 0; i--) {\n tr = cellTransform(cells[i], tr);\n }\n if (setCursorToLastCell) {\n var $pos = tr.doc.resolve(tr.mapping.map(cells[cells.length - 1].pos));\n tr.setSelection(prosemirrorState.Selection.near($pos));\n }\n }\n return tr;\n };\n};\n\n// :: (cell: {pos: number, start: number, node: ProseMirrorNode}, attrs: Object) → (tr: Transaction) → Transaction\n// Returns a new transaction that sets given `attrs` to a given `cell`.\n//\n// ```javascript\n// dispatch(\n// setCellAttrs(findCellClosestToPos($pos), { background: 'blue' })(tr);\n// );\n// ```\nvar setCellAttrs = function setCellAttrs(cell, attrs) {\n return function (tr) {\n if (cell) {\n tr.setNodeMarkup(cell.pos, null, Object.assign({}, cell.node.attrs, attrs));\n return cloneTr(tr);\n }\n return tr;\n };\n};\n\n// :: (schema: Schema, rowsCount: ?number, colsCount: ?number, withHeaderRow: ?boolean, cellContent: ?Node) → Node\n// Returns a table node of a given size.\n// `withHeaderRow` defines whether the first row of the table will be a header row.\n// `cellContent` defines the content of each cell.\n//\n// ```javascript\n// const table = createTable(state.schema); // 3x3 table node\n// dispatch(\n// tr.replaceSelectionWith(table).scrollIntoView()\n// );\n// ```\nvar createTable = function createTable(schema) {\n var rowsCount = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 3;\n var colsCount = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : 3;\n var withHeaderRow = arguments.length > 3 && arguments[3] !== undefined ? arguments[3] : true;\n var cellContent = arguments.length > 4 && arguments[4] !== undefined ? arguments[4] : null;\n\n var _tableNodeTypes = tableNodeTypes(schema),\n tableCell = _tableNodeTypes.cell,\n tableHeader = _tableNodeTypes.header_cell,\n tableRow = _tableNodeTypes.row,\n table = _tableNodeTypes.table;\n\n var cells = [];\n var headerCells = [];\n for (var i = 0; i < colsCount; i++) {\n cells.push(createCell(tableCell, cellContent));\n\n if (withHeaderRow) {\n headerCells.push(createCell(tableHeader, cellContent));\n }\n }\n\n var rows = [];\n for (var _i2 = 0; _i2 < rowsCount; _i2++) {\n rows.push(tableRow.createChecked(null, withHeaderRow && _i2 === 0 ? headerCells : cells));\n }\n\n return table.createChecked(null, rows);\n};\n\n// :: ($pos: ResolvedPos) → ?{pos: number, start: number, node: ProseMirrorNode}\n// Iterates over parent nodes, returning a table cell or a table header node closest to a given `$pos`.\n//\n// ```javascript\n// const cell = findCellClosestToPos(state.selection.$from);\n// ```\nvar findCellClosestToPos = function findCellClosestToPos($pos) {\n var predicate = function predicate(node) {\n return node.type.spec.tableRole && /cell/i.test(node.type.spec.tableRole);\n };\n return findParentNodeClosestToPos($pos, predicate);\n};\n\n// :: ($pos: ResolvedPos) → ?{left: number, top: number, right: number, bottom: number}\n// Returns the rectangle spanning a cell closest to a given `$pos`.\n//\n// ```javascript\n// dispatch(\n// findCellRectClosestToPos(state.selection.$from)\n// );\n// ```\nvar findCellRectClosestToPos = function findCellRectClosestToPos($pos) {\n var cell = findCellClosestToPos($pos);\n if (cell) {\n var table = findTableClosestToPos($pos);\n var map = prosemirrorTables.TableMap.get(table.node);\n var cellPos = cell.pos - table.start;\n return map.rectBetween(cellPos, cellPos);\n }\n};\n\nvar filterCellsInRow = function filterCellsInRow(rowIndex, predicate) {\n return function (tr) {\n var foundCells = [];\n var cells = getCellsInRow(rowIndex)(tr.selection);\n if (cells) {\n for (var j = cells.length - 1; j >= 0; j--) {\n if (predicate(cells[j], tr)) {\n foundCells.push(cells[j]);\n }\n }\n }\n\n return foundCells;\n };\n};\n\n// :: (columnIndex: number) → (tr: Transaction) → {$anchor: ResolvedPos, $head: ResolvedPos, indexes: [number]}\n// Returns a range of rectangular selection spanning all merged cells around a column at index `columnIndex`.\n//\n// ```javascript\n// const range = getSelectionRangeInColumn(3)(state.tr);\n// ```\nvar getSelectionRangeInColumn = function getSelectionRangeInColumn(columnIndex) {\n return function (tr) {\n var startIndex = columnIndex;\n var endIndex = columnIndex;\n\n // looking for selection start column (startIndex)\n\n var _loop2 = function _loop2(i) {\n var cells = getCellsInColumn(i)(tr.selection);\n if (cells) {\n cells.forEach(function (cell) {\n var maybeEndIndex = cell.node.attrs.colspan + i - 1;\n if (maybeEndIndex >= startIndex) {\n startIndex = i;\n }\n if (maybeEndIndex > endIndex) {\n endIndex = maybeEndIndex;\n }\n });\n }\n };\n\n for (var i = columnIndex; i >= 0; i--) {\n _loop2(i);\n }\n // looking for selection end column (endIndex)\n\n var _loop3 = function _loop3(i) {\n var cells = getCellsInColumn(i)(tr.selection);\n if (cells) {\n cells.forEach(function (cell) {\n var maybeEndIndex = cell.node.attrs.colspan + i - 1;\n if (cell.node.attrs.colspan > 1 && maybeEndIndex > endIndex) {\n endIndex = maybeEndIndex;\n }\n });\n }\n };\n\n for (var i = columnIndex; i <= endIndex; i++) {\n _loop3(i);\n }\n\n // filter out columns without cells (where all rows have colspan > 1 in the same column)\n var indexes = [];\n for (var i = startIndex; i <= endIndex; i++) {\n var maybeCells = getCellsInColumn(i)(tr.selection);\n if (maybeCells && maybeCells.length) {\n indexes.push(i);\n }\n }\n startIndex = indexes[0];\n endIndex = indexes[indexes.length - 1];\n\n var firstSelectedColumnCells = getCellsInColumn(startIndex)(tr.selection);\n var firstRowCells = getCellsInRow(0)(tr.selection);\n var $anchor = tr.doc.resolve(firstSelectedColumnCells[firstSelectedColumnCells.length - 1].pos);\n\n var headCell = void 0;\n for (var _i3 = endIndex; _i3 >= startIndex; _i3--) {\n var columnCells = getCellsInColumn(_i3)(tr.selection);\n if (columnCells && columnCells.length) {\n for (var j = firstRowCells.length - 1; j >= 0; j--) {\n if (firstRowCells[j].pos === columnCells[0].pos) {\n headCell = columnCells[0];\n break;\n }\n }\n if (headCell) {\n break;\n }\n }\n }\n\n var $head = tr.doc.resolve(headCell.pos);\n return { $anchor: $anchor, $head: $head, indexes: indexes };\n };\n};\n\n// :: (rowIndex: number) → (tr: Transaction) → {$anchor: ResolvedPos, $head: ResolvedPos, indexes: [number]}\n// Returns a range of rectangular selection spanning all merged cells around a row at index `rowIndex`.\n//\n// ```javascript\n// const range = getSelectionRangeInRow(3)(state.tr);\n// ```\nvar getSelectionRangeInRow = function getSelectionRangeInRow(rowIndex) {\n return function (tr) {\n var startIndex = rowIndex;\n var endIndex = rowIndex;\n // looking for selection start row (startIndex)\n\n var _loop4 = function _loop4(i) {\n var cells = getCellsInRow(i)(tr.selection);\n cells.forEach(function (cell) {\n var maybeEndIndex = cell.node.attrs.rowspan + i - 1;\n if (maybeEndIndex >= startIndex) {\n startIndex = i;\n }\n if (maybeEndIndex > endIndex) {\n endIndex = maybeEndIndex;\n }\n });\n };\n\n for (var i = rowIndex; i >= 0; i--) {\n _loop4(i);\n }\n // looking for selection end row (endIndex)\n\n var _loop5 = function _loop5(i) {\n var cells = getCellsInRow(i)(tr.selection);\n cells.forEach(function (cell) {\n var maybeEndIndex = cell.node.attrs.rowspan + i - 1;\n if (cell.node.attrs.rowspan > 1 && maybeEndIndex > endIndex) {\n endIndex = maybeEndIndex;\n }\n });\n };\n\n for (var i = rowIndex; i <= endIndex; i++) {\n _loop5(i);\n }\n\n // filter out rows without cells (where all columns have rowspan > 1 in the same row)\n var indexes = [];\n for (var i = startIndex; i <= endIndex; i++) {\n var maybeCells = getCellsInRow(i)(tr.selection);\n if (maybeCells && maybeCells.length) {\n indexes.push(i);\n }\n }\n startIndex = indexes[0];\n endIndex = indexes[indexes.length - 1];\n\n var firstSelectedRowCells = getCellsInRow(startIndex)(tr.selection);\n var firstColumnCells = getCellsInColumn(0)(tr.selection);\n var $anchor = tr.doc.resolve(firstSelectedRowCells[firstSelectedRowCells.length - 1].pos);\n\n var headCell = void 0;\n for (var _i4 = endIndex; _i4 >= startIndex; _i4--) {\n var rowCells = getCellsInRow(_i4)(tr.selection);\n if (rowCells && rowCells.length) {\n for (var j = firstColumnCells.length - 1; j >= 0; j--) {\n if (firstColumnCells[j].pos === rowCells[0].pos) {\n headCell = rowCells[0];\n break;\n }\n }\n if (headCell) {\n break;\n }\n }\n }\n\n var $head = tr.doc.resolve(headCell.pos);\n return { $anchor: $anchor, $head: $head, indexes: indexes };\n };\n};\n\nexports.isNodeSelection = isNodeSelection;\nexports.canInsert = canInsert;\nexports.convertTableNodeToArrayOfRows = convertTableNodeToArrayOfRows;\nexports.convertArrayOfRowsToTableNode = convertArrayOfRowsToTableNode;\nexports.findParentNode = findParentNode;\nexports.findParentNodeClosestToPos = findParentNodeClosestToPos;\nexports.findParentDomRef = findParentDomRef;\nexports.hasParentNode = hasParentNode;\nexports.findParentNodeOfType = findParentNodeOfType;\nexports.findParentNodeOfTypeClosestToPos = findParentNodeOfTypeClosestToPos;\nexports.hasParentNodeOfType = hasParentNodeOfType;\nexports.findParentDomRefOfType = findParentDomRefOfType;\nexports.findSelectedNodeOfType = findSelectedNodeOfType;\nexports.findPositionOfNodeBefore = findPositionOfNodeBefore;\nexports.findDomRefAtPos = findDomRefAtPos;\nexports.flatten = flatten;\nexports.findChildren = findChildren;\nexports.findTextNodes = findTextNodes;\nexports.findInlineNodes = findInlineNodes;\nexports.findBlockNodes = findBlockNodes;\nexports.findChildrenByAttr = findChildrenByAttr;\nexports.findChildrenByType = findChildrenByType;\nexports.findChildrenByMark = findChildrenByMark;\nexports.contains = contains;\nexports.findTable = findTable;\nexports.isCellSelection = isCellSelection;\nexports.getSelectionRect = getSelectionRect;\nexports.isColumnSelected = isColumnSelected;\nexports.isRowSelected = isRowSelected;\nexports.isTableSelected = isTableSelected;\nexports.getCellsInColumn = getCellsInColumn;\nexports.getCellsInRow = getCellsInRow;\nexports.getCellsInTable = getCellsInTable;\nexports.selectColumn = selectColumn;\nexports.selectRow = selectRow;\nexports.selectTable = selectTable;\nexports.emptyCell = emptyCell;\nexports.addColumnAt = addColumnAt;\nexports.moveRow = moveRow;\nexports.moveColumn = moveColumn;\nexports.addRowAt = addRowAt;\nexports.cloneRowAt = cloneRowAt;\nexports.removeColumnAt = removeColumnAt;\nexports.removeRowAt = removeRowAt;\nexports.removeTable = removeTable;\nexports.removeSelectedColumns = removeSelectedColumns;\nexports.removeSelectedRows = removeSelectedRows;\nexports.removeColumnClosestToPos = removeColumnClosestToPos;\nexports.removeRowClosestToPos = removeRowClosestToPos;\nexports.forEachCellInColumn = forEachCellInColumn;\nexports.forEachCellInRow = forEachCellInRow;\nexports.setCellAttrs = setCellAttrs;\nexports.createTable = createTable;\nexports.findCellClosestToPos = findCellClosestToPos;\nexports.findCellRectClosestToPos = findCellRectClosestToPos;\nexports.getSelectionRangeInColumn = getSelectionRangeInColumn;\nexports.getSelectionRangeInRow = getSelectionRangeInRow;\nexports.removeParentNodeOfType = removeParentNodeOfType;\nexports.replaceParentNodeOfType = replaceParentNodeOfType;\nexports.removeSelectedNode = removeSelectedNode;\nexports.replaceSelectedNode = replaceSelectedNode;\nexports.setTextSelection = setTextSelection;\nexports.safeInsert = safeInsert;\nexports.setParentNodeMarkup = setParentNodeMarkup;\nexports.selectParentNodeOfType = selectParentNodeOfType;\nexports.removeNodeBefore = removeNodeBefore;\n//# sourceMappingURL=index.js.map\n","import { findWrapping, ReplaceAroundStep, canSplit, liftTarget } from 'prosemirror-transform';\nimport { NodeRange, Fragment, Slice } from 'prosemirror-model';\n\nvar olDOM = [\"ol\", 0], ulDOM = [\"ul\", 0], liDOM = [\"li\", 0];\n\n// :: NodeSpec\n// An ordered list [node spec](#model.NodeSpec). Has a single\n// attribute, `order`, which determines the number at which the list\n// starts counting, and defaults to 1. Represented as an `<ol>`\n// element.\nvar orderedList = {\n attrs: {order: {default: 1}},\n parseDOM: [{tag: \"ol\", getAttrs: function getAttrs(dom) {\n return {order: dom.hasAttribute(\"start\") ? +dom.getAttribute(\"start\") : 1}\n }}],\n toDOM: function toDOM(node) {\n return node.attrs.order == 1 ? olDOM : [\"ol\", {start: node.attrs.order}, 0]\n }\n};\n\n// :: NodeSpec\n// A bullet list node spec, represented in the DOM as `<ul>`.\nvar bulletList = {\n parseDOM: [{tag: \"ul\"}],\n toDOM: function toDOM() { return ulDOM }\n};\n\n// :: NodeSpec\n// A list item (`<li>`) spec.\nvar listItem = {\n parseDOM: [{tag: \"li\"}],\n toDOM: function toDOM() { return liDOM },\n defining: true\n};\n\nfunction add(obj, props) {\n var copy = {};\n for (var prop in obj) { copy[prop] = obj[prop]; }\n for (var prop$1 in props) { copy[prop$1] = props[prop$1]; }\n return copy\n}\n\n// :: (OrderedMap<NodeSpec>, string, ?string) → OrderedMap<NodeSpec>\n// Convenience function for adding list-related node types to a map\n// specifying the nodes for a schema. Adds\n// [`orderedList`](#schema-list.orderedList) as `\"ordered_list\"`,\n// [`bulletList`](#schema-list.bulletList) as `\"bullet_list\"`, and\n// [`listItem`](#schema-list.listItem) as `\"list_item\"`.\n//\n// `itemContent` determines the content expression for the list items.\n// If you want the commands defined in this module to apply to your\n// list structure, it should have a shape like `\"paragraph block*\"` or\n// `\"paragraph (ordered_list | bullet_list)*\"`. `listGroup` can be\n// given to assign a group name to the list node types, for example\n// `\"block\"`.\nfunction addListNodes(nodes, itemContent, listGroup) {\n return nodes.append({\n ordered_list: add(orderedList, {content: \"list_item+\", group: listGroup}),\n bullet_list: add(bulletList, {content: \"list_item+\", group: listGroup}),\n list_item: add(listItem, {content: itemContent})\n })\n}\n\n// :: (NodeType, ?Object) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Returns a command function that wraps the selection in a list with\n// the given type an attributes. If `dispatch` is null, only return a\n// value to indicate whether this is possible, but don't actually\n// perform the change.\nfunction wrapInList(listType, attrs) {\n return function(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var range = $from.blockRange($to), doJoin = false, outerRange = range;\n if (!range) { return false }\n // This is at the top of an existing list item\n if (range.depth >= 2 && $from.node(range.depth - 1).type.compatibleContent(listType) && range.startIndex == 0) {\n // Don't do anything if this is the top of the list\n if ($from.index(range.depth - 1) == 0) { return false }\n var $insert = state.doc.resolve(range.start - 2);\n outerRange = new NodeRange($insert, $insert, range.depth);\n if (range.endIndex < range.parent.childCount)\n { range = new NodeRange($from, state.doc.resolve($to.end(range.depth)), range.depth); }\n doJoin = true;\n }\n var wrap = findWrapping(outerRange, listType, attrs, range);\n if (!wrap) { return false }\n if (dispatch) { dispatch(doWrapInList(state.tr, range, wrap, doJoin, listType).scrollIntoView()); }\n return true\n }\n}\n\nfunction doWrapInList(tr, range, wrappers, joinBefore, listType) {\n var content = Fragment.empty;\n for (var i = wrappers.length - 1; i >= 0; i--)\n { content = Fragment.from(wrappers[i].type.create(wrappers[i].attrs, content)); }\n\n tr.step(new ReplaceAroundStep(range.start - (joinBefore ? 2 : 0), range.end, range.start, range.end,\n new Slice(content, 0, 0), wrappers.length, true));\n\n var found = 0;\n for (var i$1 = 0; i$1 < wrappers.length; i$1++) { if (wrappers[i$1].type == listType) { found = i$1 + 1; } }\n var splitDepth = wrappers.length - found;\n\n var splitPos = range.start + wrappers.length - (joinBefore ? 2 : 0), parent = range.parent;\n for (var i$2 = range.startIndex, e = range.endIndex, first = true; i$2 < e; i$2++, first = false) {\n if (!first && canSplit(tr.doc, splitPos, splitDepth)) {\n tr.split(splitPos, splitDepth);\n splitPos += 2 * splitDepth;\n }\n splitPos += parent.child(i$2).nodeSize;\n }\n return tr\n}\n\n// :: (NodeType) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Build a command that splits a non-empty textblock at the top level\n// of a list item by also splitting that list item.\nfunction splitListItem(itemType) {\n return function(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var node = ref.node;\n if ((node && node.isBlock) || $from.depth < 2 || !$from.sameParent($to)) { return false }\n var grandParent = $from.node(-1);\n if (grandParent.type != itemType) { return false }\n if ($from.parent.content.size == 0) {\n // In an empty block. If this is a nested list, the wrapping\n // list item should be split. Otherwise, bail out and let next\n // command handle lifting.\n if ($from.depth == 2 || $from.node(-3).type != itemType ||\n $from.index(-2) != $from.node(-2).childCount - 1) { return false }\n if (dispatch) {\n var wrap = Fragment.empty, keepItem = $from.index(-1) > 0;\n // Build a fragment containing empty versions of the structure\n // from the outer list item to the parent node of the cursor\n for (var d = $from.depth - (keepItem ? 1 : 2); d >= $from.depth - 3; d--)\n { wrap = Fragment.from($from.node(d).copy(wrap)); }\n // Add a second list item with an empty default start node\n wrap = wrap.append(Fragment.from(itemType.createAndFill()));\n var tr$1 = state.tr.replace($from.before(keepItem ? null : -1), $from.after(-3), new Slice(wrap, keepItem ? 3 : 2, 2));\n tr$1.setSelection(state.selection.constructor.near(tr$1.doc.resolve($from.pos + (keepItem ? 3 : 2))));\n dispatch(tr$1.scrollIntoView());\n }\n return true\n }\n var nextType = $to.pos == $from.end() ? grandParent.contentMatchAt(0).defaultType : null;\n var tr = state.tr.delete($from.pos, $to.pos);\n var types = nextType && [null, {type: nextType}];\n if (!canSplit(tr.doc, $from.pos, 2, types)) { return false }\n if (dispatch) { dispatch(tr.split($from.pos, 2, types).scrollIntoView()); }\n return true\n }\n}\n\n// :: (NodeType) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Create a command to lift the list item around the selection up into\n// a wrapping list.\nfunction liftListItem(itemType) {\n return function(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var range = $from.blockRange($to, function (node) { return node.childCount && node.firstChild.type == itemType; });\n if (!range) { return false }\n if (!dispatch) { return true }\n if ($from.node(range.depth - 1).type == itemType) // Inside a parent list\n { return liftToOuterList(state, dispatch, itemType, range) }\n else // Outer list node\n { return liftOutOfList(state, dispatch, range) }\n }\n}\n\nfunction liftToOuterList(state, dispatch, itemType, range) {\n var tr = state.tr, end = range.end, endOfList = range.$to.end(range.depth);\n if (end < endOfList) {\n // There are siblings after the lifted items, which must become\n // children of the last item\n tr.step(new ReplaceAroundStep(end - 1, endOfList, end, endOfList,\n new Slice(Fragment.from(itemType.create(null, range.parent.copy())), 1, 0), 1, true));\n range = new NodeRange(tr.doc.resolve(range.$from.pos), tr.doc.resolve(endOfList), range.depth);\n }\n dispatch(tr.lift(range, liftTarget(range)).scrollIntoView());\n return true\n}\n\nfunction liftOutOfList(state, dispatch, range) {\n var tr = state.tr, list = range.parent;\n // Merge the list items into a single big item\n for (var pos = range.end, i = range.endIndex - 1, e = range.startIndex; i > e; i--) {\n pos -= list.child(i).nodeSize;\n tr.delete(pos - 1, pos + 1);\n }\n var $start = tr.doc.resolve(range.start), item = $start.nodeAfter;\n var atStart = range.startIndex == 0, atEnd = range.endIndex == list.childCount;\n var parent = $start.node(-1), indexBefore = $start.index(-1);\n if (!parent.canReplace(indexBefore + (atStart ? 0 : 1), indexBefore + 1,\n item.content.append(atEnd ? Fragment.empty : Fragment.from(list))))\n { return false }\n var start = $start.pos, end = start + item.nodeSize;\n // Strip off the surrounding list. At the sides where we're not at\n // the end of the list, the existing list is closed. At sides where\n // this is the end, it is overwritten to its end.\n tr.step(new ReplaceAroundStep(start - (atStart ? 1 : 0), end + (atEnd ? 1 : 0), start + 1, end - 1,\n new Slice((atStart ? Fragment.empty : Fragment.from(list.copy(Fragment.empty)))\n .append(atEnd ? Fragment.empty : Fragment.from(list.copy(Fragment.empty))),\n atStart ? 0 : 1, atEnd ? 0 : 1), atStart ? 0 : 1));\n dispatch(tr.scrollIntoView());\n return true\n}\n\n// :: (NodeType) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Create a command to sink the list item around the selection down\n// into an inner list.\nfunction sinkListItem(itemType) {\n return function(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var range = $from.blockRange($to, function (node) { return node.childCount && node.firstChild.type == itemType; });\n if (!range) { return false }\n var startIndex = range.startIndex;\n if (startIndex == 0) { return false }\n var parent = range.parent, nodeBefore = parent.child(startIndex - 1);\n if (nodeBefore.type != itemType) { return false }\n\n if (dispatch) {\n var nestedBefore = nodeBefore.lastChild && nodeBefore.lastChild.type == parent.type;\n var inner = Fragment.from(nestedBefore ? itemType.create() : null);\n var slice = new Slice(Fragment.from(itemType.create(null, Fragment.from(parent.type.create(null, inner)))),\n nestedBefore ? 3 : 1, 0);\n var before = range.start, after = range.end;\n dispatch(state.tr.step(new ReplaceAroundStep(before - (nestedBefore ? 3 : 1), after,\n before, after, slice, 1, true))\n .scrollIntoView());\n }\n return true\n }\n}\n\nexport { addListNodes, bulletList, liftListItem, listItem, orderedList, sinkListItem, splitListItem, wrapInList };\n//# sourceMappingURL=index.es.js.map\n","\n /*!\n * tiptap-commands v1.13.0\n * (c) 2020 Scrumpy UG (limited liability)\n * @license MIT\n */\n \nimport { setBlockType, lift, wrapIn } from 'prosemirror-commands';\nexport { autoJoin, baseKeymap, chainCommands, createParagraphNear, deleteSelection, exitCode, joinBackward, joinDown, joinForward, joinUp, lift, liftEmptyBlock, macBaseKeymap, newlineInCode, pcBaseKeymap, selectAll, selectNodeBackward, selectNodeForward, selectParentNode, setBlockType, splitBlock, splitBlockKeepMarks, toggleMark, wrapIn } from 'prosemirror-commands';\nimport { liftListItem, wrapInList } from 'prosemirror-schema-list';\nexport { addListNodes, liftListItem, sinkListItem, splitListItem, wrapInList } from 'prosemirror-schema-list';\nimport { InputRule } from 'prosemirror-inputrules';\nexport { textblockTypeInputRule, wrappingInputRule } from 'prosemirror-inputrules';\nimport { Plugin } from 'prosemirror-state';\nimport { Slice, Fragment } from 'prosemirror-model';\nimport { getMarkRange, nodeIsActive } from 'tiptap-utils';\nimport { findParentNode } from 'prosemirror-utils';\n\nfunction insertText () {\n var text = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : '';\n return function (state, dispatch) {\n var $from = state.selection.$from;\n var pos = $from.pos.pos;\n dispatch(state.tr.insertText(text, pos));\n return true;\n };\n}\n\nfunction _toConsumableArray(arr) {\n return _arrayWithoutHoles(arr) || _iterableToArray(arr) || _unsupportedIterableToArray(arr) || _nonIterableSpread();\n}\n\nfunction _arrayWithoutHoles(arr) {\n if (Array.isArray(arr)) return _arrayLikeToArray(arr);\n}\n\nfunction _iterableToArray(iter) {\n if (typeof Symbol !== \"undefined\" && Symbol.iterator in Object(iter)) return Array.from(iter);\n}\n\nfunction _unsupportedIterableToArray(o, minLen) {\n if (!o) return;\n if (typeof o === \"string\") return _arrayLikeToArray(o, minLen);\n var n = Object.prototype.toString.call(o).slice(8, -1);\n if (n === \"Object\" && o.constructor) n = o.constructor.name;\n if (n === \"Map\" || n === \"Set\") return Array.from(n);\n if (n === \"Arguments\" || /^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)) return _arrayLikeToArray(o, minLen);\n}\n\nfunction _arrayLikeToArray(arr, len) {\n if (len == null || len > arr.length) len = arr.length;\n\n for (var i = 0, arr2 = new Array(len); i < len; i++) arr2[i] = arr[i];\n\n return arr2;\n}\n\nfunction _nonIterableSpread() {\n throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nfunction getMarksBetween(start, end, state) {\n var marks = [];\n state.doc.nodesBetween(start, end, function (node, pos) {\n marks = [].concat(_toConsumableArray(marks), _toConsumableArray(node.marks.map(function (mark) {\n return {\n start: pos,\n end: pos + node.nodeSize,\n mark: mark\n };\n })));\n });\n return marks;\n}\n\nfunction markInputRule (regexp, markType, getAttrs) {\n return new InputRule(regexp, function (state, match, start, end) {\n var attrs = getAttrs instanceof Function ? getAttrs(match) : getAttrs;\n var tr = state.tr;\n var m = match.length - 1;\n var markEnd = end;\n var markStart = start;\n\n if (match[m]) {\n var matchStart = start + match[0].indexOf(match[m - 1]);\n var matchEnd = matchStart + match[m - 1].length - 1;\n var textStart = matchStart + match[m - 1].lastIndexOf(match[m]);\n var textEnd = textStart + match[m].length;\n var excludedMarks = getMarksBetween(start, end, state).filter(function (item) {\n var excluded = item.mark.type.excluded;\n return excluded.find(function (type) {\n return type.name === markType.name;\n });\n }).filter(function (item) {\n return item.end > matchStart;\n });\n\n if (excludedMarks.length) {\n return false;\n }\n\n if (textEnd < matchEnd) {\n tr.delete(textEnd, matchEnd);\n }\n\n if (textStart > matchStart) {\n tr.delete(matchStart, textStart);\n }\n\n markStart = matchStart;\n markEnd = markStart + match[m].length;\n }\n\n tr.addMark(markStart, markEnd, markType.create(attrs));\n tr.removeStoredMark(markType);\n return tr;\n });\n}\n\nfunction nodeInputRule (regexp, type, getAttrs) {\n return new InputRule(regexp, function (state, match, start, end) {\n var attrs = getAttrs instanceof Function ? getAttrs(match) : getAttrs;\n var tr = state.tr;\n\n if (match[0]) {\n tr.replaceWith(start - 1, end, type.create(attrs));\n }\n\n return tr;\n });\n}\n\nfunction pasteRule (regexp, type, getAttrs) {\n var handler = function handler(fragment) {\n var nodes = [];\n fragment.forEach(function (child) {\n if (child.isText) {\n var text = child.text;\n var pos = 0;\n var match;\n\n do {\n match = regexp.exec(text);\n\n if (match) {\n var start = match.index;\n var end = start + match[0].length;\n var attrs = getAttrs instanceof Function ? getAttrs(match[0]) : getAttrs;\n\n if (start > 0) {\n nodes.push(child.cut(pos, start));\n }\n\n nodes.push(child.cut(start, end).mark(type.create(attrs).addToSet(child.marks)));\n pos = end;\n }\n } while (match);\n\n if (pos < text.length) {\n nodes.push(child.cut(pos));\n }\n } else {\n nodes.push(child.copy(handler(child.content)));\n }\n });\n return Fragment.fromArray(nodes);\n };\n\n return new Plugin({\n props: {\n transformPasted: function transformPasted(slice) {\n return new Slice(handler(slice.content), slice.openStart, slice.openEnd);\n }\n }\n });\n}\n\nfunction markPasteRule (regexp, type, getAttrs) {\n var handler = function handler(fragment, parent) {\n var nodes = [];\n fragment.forEach(function (child) {\n if (child.isText) {\n var text = child.text,\n marks = child.marks;\n var pos = 0;\n var match;\n var isLink = !!marks.filter(function (x) {\n return x.type.name === 'link';\n })[0]; // eslint-disable-next-line\n\n while (!isLink && (match = regexp.exec(text)) !== null) {\n if (parent.type.allowsMarkType(type) && match[1]) {\n var start = match.index;\n var end = start + match[0].length;\n var textStart = start + match[0].indexOf(match[1]);\n var textEnd = textStart + match[1].length;\n var attrs = getAttrs instanceof Function ? getAttrs(match) : getAttrs; // adding text before markdown to nodes\n\n if (start > 0) {\n nodes.push(child.cut(pos, start));\n } // adding the markdown part to nodes\n\n\n nodes.push(child.cut(textStart, textEnd).mark(type.create(attrs).addToSet(child.marks)));\n pos = end;\n }\n } // adding rest of text to nodes\n\n\n if (pos < text.length) {\n nodes.push(child.cut(pos));\n }\n } else {\n nodes.push(child.copy(handler(child.content, child)));\n }\n });\n return Fragment.fromArray(nodes);\n };\n\n return new Plugin({\n props: {\n transformPasted: function transformPasted(slice) {\n return new Slice(handler(slice.content), slice.openStart, slice.openEnd);\n }\n }\n });\n}\n\nfunction removeMark (type) {\n return function (state, dispatch) {\n var tr = state.tr,\n selection = state.selection;\n var from = selection.from,\n to = selection.to;\n var $from = selection.$from,\n empty = selection.empty;\n\n if (empty) {\n var range = getMarkRange($from, type);\n from = range.from;\n to = range.to;\n }\n\n tr.removeMark(from, to, type);\n return dispatch(tr);\n };\n}\n\nfunction replaceText () {\n var range = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n var type = arguments.length > 1 ? arguments[1] : undefined;\n var attrs = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n return function (state, dispatch) {\n var _state$selection = state.selection,\n $from = _state$selection.$from,\n $to = _state$selection.$to;\n var index = $from.index();\n var from = range ? range.from : $from.pos;\n var to = range ? range.to : $to.pos;\n\n if (!$from.parent.canReplaceWith(index, index, type)) {\n return false;\n }\n\n if (dispatch) {\n dispatch(state.tr.replaceWith(from, to, type.create(attrs)));\n }\n\n return true;\n };\n}\n\nfunction setInlineBlockType (type) {\n var attrs = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n return function (state, dispatch) {\n var $from = state.selection.$from;\n var index = $from.index();\n\n if (!$from.parent.canReplaceWith(index, index, type)) {\n return false;\n }\n\n if (dispatch) {\n dispatch(state.tr.replaceSelectionWith(type.create(attrs)));\n }\n\n return true;\n };\n}\n\n// see https://github.com/ProseMirror/prosemirror-transform/blob/master/src/structure.js\n// Since this piece of code was \"borrowed\" from prosemirror, ESLint rules are ignored.\n\n/* eslint-disable max-len, no-plusplus, no-undef, eqeqeq */\n\nfunction canSplit(doc, pos) {\n var depth = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : 1;\n var typesAfter = arguments.length > 3 ? arguments[3] : undefined;\n var $pos = doc.resolve(pos);\n var base = $pos.depth - depth;\n var innerType = typesAfter && typesAfter[typesAfter.length - 1] || $pos.parent;\n if (base < 0 || $pos.parent.type.spec.isolating || !$pos.parent.canReplace($pos.index(), $pos.parent.childCount) || !innerType.type.validContent($pos.parent.content.cutByIndex($pos.index(), $pos.parent.childCount))) return false;\n\n for (var d = $pos.depth - 1, i = depth - 2; d > base; d--, i--) {\n var node = $pos.node(d);\n\n var _index = $pos.index(d);\n\n if (node.type.spec.isolating) return false;\n var rest = node.content.cutByIndex(_index, node.childCount);\n var after = typesAfter && typesAfter[i] || node;\n if (after != node) rest = rest.replaceChild(0, after.type.create(after.attrs));\n /* Change starts from here */\n // if (!node.canReplace(index + 1, node.childCount) || !after.type.validContent(rest))\n // return false\n\n if (!node.canReplace(_index + 1, node.childCount)) return false;\n /* Change ends here */\n }\n\n var index = $pos.indexAfter(base);\n var baseType = typesAfter && typesAfter[0];\n return $pos.node(base).canReplaceWith(index, index, baseType ? baseType.type : $pos.node(base + 1).type);\n} // this is a copy of splitListItem\n// see https://github.com/ProseMirror/prosemirror-schema-list/blob/master/src/schema-list.js\n\n\nfunction splitToDefaultListItem(itemType) {\n return function (state, dispatch) {\n var _state$selection = state.selection,\n $from = _state$selection.$from,\n $to = _state$selection.$to,\n node = _state$selection.node;\n if (node && node.isBlock || $from.depth < 2 || !$from.sameParent($to)) return false;\n var grandParent = $from.node(-1);\n if (grandParent.type != itemType) return false;\n\n if ($from.parent.content.size == 0) {\n // In an empty block. If this is a nested list, the wrapping\n // list item should be split. Otherwise, bail out and let next\n // command handle lifting.\n if ($from.depth == 2 || $from.node(-3).type != itemType || $from.index(-2) != $from.node(-2).childCount - 1) return false;\n\n if (dispatch) {\n var wrap = Fragment.empty;\n var keepItem = $from.index(-1) > 0; // Build a fragment containing empty versions of the structure\n // from the outer list item to the parent node of the cursor\n\n for (var d = $from.depth - (keepItem ? 1 : 2); d >= $from.depth - 3; d--) {\n wrap = Fragment.from($from.node(d).copy(wrap));\n } // Add a second list item with an empty default start node\n\n\n wrap = wrap.append(Fragment.from(itemType.createAndFill()));\n\n var _tr = state.tr.replace($from.before(keepItem ? null : -1), $from.after(-3), new Slice(wrap, keepItem ? 3 : 2, 2));\n\n _tr.setSelection(state.selection.constructor.near(_tr.doc.resolve($from.pos + (keepItem ? 3 : 2))));\n\n dispatch(_tr.scrollIntoView());\n }\n\n return true;\n }\n\n var nextType = $to.pos == $from.end() ? grandParent.contentMatchAt($from.indexAfter(-1)).defaultType : null;\n var tr = state.tr.delete($from.pos, $to.pos);\n /* Change starts from here */\n // let types = nextType && [null, {type: nextType}]\n\n var types = nextType && [{\n type: itemType\n }, {\n type: nextType\n }];\n if (!types) types = [{\n type: itemType\n }, null];\n /* Change ends here */\n\n if (!canSplit(tr.doc, $from.pos, 2, types)) return false;\n if (dispatch) dispatch(tr.split($from.pos, 2, types).scrollIntoView());\n return true;\n };\n}\n/* eslint-enable max-len, no-plusplus, no-undef, eqeqeq */\n\nfunction toggleBlockType (type, toggletype) {\n var attrs = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n return function (state, dispatch, view) {\n var isActive = nodeIsActive(state, type, attrs);\n\n if (isActive) {\n return setBlockType(toggletype)(state, dispatch, view);\n }\n\n return setBlockType(type, attrs)(state, dispatch, view);\n };\n}\n\nfunction isList(node, schema) {\n return node.type === schema.nodes.bullet_list || node.type === schema.nodes.ordered_list || node.type === schema.nodes.todo_list;\n}\n\nfunction toggleList(listType, itemType) {\n return function (state, dispatch, view) {\n var schema = state.schema,\n selection = state.selection;\n var $from = selection.$from,\n $to = selection.$to;\n var range = $from.blockRange($to);\n\n if (!range) {\n return false;\n }\n\n var parentList = findParentNode(function (node) {\n return isList(node, schema);\n })(selection);\n\n if (range.depth >= 1 && parentList && range.depth - parentList.depth <= 1) {\n if (parentList.node.type === listType) {\n return liftListItem(itemType)(state, dispatch, view);\n }\n\n if (isList(parentList.node, schema) && listType.validContent(parentList.node.content)) {\n var tr = state.tr;\n tr.setNodeMarkup(parentList.pos, listType);\n\n if (dispatch) {\n dispatch(tr);\n }\n\n return false;\n }\n }\n\n return wrapInList(listType)(state, dispatch, view);\n };\n}\n\nfunction toggleWrap (type) {\n return function (state, dispatch, view) {\n var isActive = nodeIsActive(state, type);\n\n if (isActive) {\n return lift(state, dispatch);\n }\n\n return wrapIn(type)(state, dispatch, view);\n };\n}\n\nfunction updateMark (type, attrs) {\n return function (state, dispatch) {\n var tr = state.tr,\n selection = state.selection,\n doc = state.doc;\n var from = selection.from,\n to = selection.to;\n var $from = selection.$from,\n empty = selection.empty;\n\n if (empty) {\n var range = getMarkRange($from, type);\n from = range.from;\n to = range.to;\n }\n\n var hasMark = doc.rangeHasMark(from, to, type);\n\n if (hasMark) {\n tr.removeMark(from, to, type);\n }\n\n tr.addMark(from, to, type.create(attrs));\n return dispatch(tr);\n };\n}\n\nexport { insertText, markInputRule, markPasteRule, nodeInputRule, pasteRule, removeMark, replaceText, setInlineBlockType, splitToDefaultListItem, toggleBlockType, toggleList, toggleWrap, updateMark };\n","import { PluginKey, Plugin, TextSelection } from 'prosemirror-state';\n\nvar Rebaseable = function Rebaseable(step, inverted, origin) {\n this.step = step;\n this.inverted = inverted;\n this.origin = origin;\n};\n\n// : ([Rebaseable], [Step], Transform) → [Rebaseable]\n// Undo a given set of steps, apply a set of other steps, and then\n// redo them.\nfunction rebaseSteps(steps, over, transform) {\n for (var i = steps.length - 1; i >= 0; i--) { transform.step(steps[i].inverted); }\n for (var i$1 = 0; i$1 < over.length; i$1++) { transform.step(over[i$1]); }\n var result = [];\n for (var i$2 = 0, mapFrom = steps.length; i$2 < steps.length; i$2++) {\n var mapped = steps[i$2].step.map(transform.mapping.slice(mapFrom));\n mapFrom--;\n if (mapped && !transform.maybeStep(mapped).failed) {\n transform.mapping.setMirror(mapFrom, transform.steps.length - 1);\n result.push(new Rebaseable(mapped, mapped.invert(transform.docs[transform.docs.length - 1]), steps[i$2].origin));\n }\n }\n return result\n}\n\n// This state field accumulates changes that have to be sent to the\n// central authority in the collaborating group and makes it possible\n// to integrate changes made by peers into our local document. It is\n// defined by the plugin, and will be available as the `collab` field\n// in the resulting editor state.\nvar CollabState = function CollabState(version, unconfirmed) {\n // : number\n // The version number of the last update received from the central\n // authority. Starts at 0 or the value of the `version` property\n // in the option object, for the editor's value when the option\n // was enabled.\n this.version = version;\n\n // : [Rebaseable]\n // The local steps that havent been successfully sent to the\n // server yet.\n this.unconfirmed = unconfirmed;\n};\n\nfunction unconfirmedFrom(transform) {\n var result = [];\n for (var i = 0; i < transform.steps.length; i++)\n { result.push(new Rebaseable(transform.steps[i],\n transform.steps[i].invert(transform.docs[i]),\n transform)); }\n return result\n}\n\nvar collabKey = new PluginKey(\"collab\");\n\n// :: (?Object) → Plugin\n//\n// Creates a plugin that enables the collaborative editing framework\n// for the editor.\n//\n// config::- An optional set of options\n//\n// version:: ?number\n// The starting version number of the collaborative editing.\n// Defaults to 0.\n//\n// clientID:: ?union<number, string>\n// This client's ID, used to distinguish its changes from those of\n// other clients. Defaults to a random 32-bit number.\nfunction collab(config) {\n if ( config === void 0 ) config = {};\n\n config = {version: config.version || 0,\n clientID: config.clientID == null ? Math.floor(Math.random() * 0xFFFFFFFF) : config.clientID};\n\n return new Plugin({\n key: collabKey,\n\n state: {\n init: function () { return new CollabState(config.version, []); },\n apply: function apply(tr, collab) {\n var newState = tr.getMeta(collabKey);\n if (newState)\n { return newState }\n if (tr.docChanged)\n { return new CollabState(collab.version, collab.unconfirmed.concat(unconfirmedFrom(tr))) }\n return collab\n }\n },\n\n config: config,\n // This is used to notify the history plugin to not merge steps,\n // so that the history can be rebased.\n historyPreserveItems: true\n })\n}\n\n// :: (state: EditorState, steps: [Step], clientIDs: [union<number, string>], options: ?Object) → Transaction\n// Create a transaction that represents a set of new steps received from\n// the authority. Applying this transaction moves the state forward to\n// adjust to the authority's view of the document.\n//\n// options::- Additional options.\n//\n// mapSelectionBackward:: ?boolean\n// When enabled (the default is `false`), if the current selection\n// is a [text selection](#state.TextSelection), its sides are\n// mapped with a negative bias for this transaction, so that\n// content inserted at the cursor ends up after the cursor. Users\n// usually prefer this, but it isn't done by default for reasons\n// of backwards compatibility.\nfunction receiveTransaction(state, steps, clientIDs, options) {\n // Pushes a set of steps (received from the central authority) into\n // the editor state (which should have the collab plugin enabled).\n // Will recognize its own changes, and confirm unconfirmed steps as\n // appropriate. Remaining unconfirmed steps will be rebased over\n // remote steps.\n var collabState = collabKey.getState(state);\n var version = collabState.version + steps.length;\n var ourID = collabKey.get(state).spec.config.clientID;\n\n // Find out which prefix of the steps originated with us\n var ours = 0;\n while (ours < clientIDs.length && clientIDs[ours] == ourID) { ++ours; }\n var unconfirmed = collabState.unconfirmed.slice(ours);\n steps = ours ? steps.slice(ours) : steps;\n\n // If all steps originated with us, we're done.\n if (!steps.length)\n { return state.tr.setMeta(collabKey, new CollabState(version, unconfirmed)) }\n\n var nUnconfirmed = unconfirmed.length;\n var tr = state.tr;\n if (nUnconfirmed) {\n unconfirmed = rebaseSteps(unconfirmed, steps, tr);\n } else {\n for (var i = 0; i < steps.length; i++) { tr.step(steps[i]); }\n unconfirmed = [];\n }\n\n var newCollabState = new CollabState(version, unconfirmed);\n if (options && options.mapSelectionBackward && state.selection instanceof TextSelection) {\n tr.setSelection(TextSelection.between(tr.doc.resolve(tr.mapping.map(state.selection.anchor, -1)),\n tr.doc.resolve(tr.mapping.map(state.selection.head, -1)), -1));\n tr.updated &= ~1;\n }\n return tr.setMeta(\"rebased\", nUnconfirmed).setMeta(\"addToHistory\", false).setMeta(collabKey, newCollabState)\n}\n\n// :: (state: EditorState) → ?{version: number, steps: [Step], clientID: union<number, string>, origins: [Transaction]}\n// Provides data describing the editor's unconfirmed steps, which need\n// to be sent to the central authority. Returns null when there is\n// nothing to send.\n//\n// `origins` holds the _original_ transactions that produced each\n// steps. This can be useful for looking up time stamps and other\n// metadata for the steps, but note that the steps may have been\n// rebased, whereas the origin transactions are still the old,\n// unchanged objects.\nfunction sendableSteps(state) {\n var collabState = collabKey.getState(state);\n if (collabState.unconfirmed.length == 0) { return null }\n return {\n version: collabState.version,\n steps: collabState.unconfirmed.map(function (s) { return s.step; }),\n clientID: collabKey.get(state).spec.config.clientID,\n get origins() { return this._origins || (this._origins = collabState.unconfirmed.map(function (s) { return s.origin; })) }\n }\n}\n\n// :: (EditorState) → number\n// Get the version up to which the collab plugin has synced with the\n// central authority.\nfunction getVersion(state) {\n return collabKey.getState(state).version\n}\n\nexport { collab, getVersion, rebaseSteps, receiveTransaction, sendableSteps };\n//# sourceMappingURL=index.es.js.map\n","module.exports=/[!-#%-\\*,-\\/:;\\?@\\[-\\]_\\{\\}\\xA1\\xA7\\xAB\\xB6\\xB7\\xBB\\xBF\\u037E\\u0387\\u055A-\\u055F\\u0589\\u058A\\u05BE\\u05C0\\u05C3\\u05C6\\u05F3\\u05F4\\u0609\\u060A\\u060C\\u060D\\u061B\\u061E\\u061F\\u066A-\\u066D\\u06D4\\u0700-\\u070D\\u07F7-\\u07F9\\u0830-\\u083E\\u085E\\u0964\\u0965\\u0970\\u09FD\\u0A76\\u0AF0\\u0C84\\u0DF4\\u0E4F\\u0E5A\\u0E5B\\u0F04-\\u0F12\\u0F14\\u0F3A-\\u0F3D\\u0F85\\u0FD0-\\u0FD4\\u0FD9\\u0FDA\\u104A-\\u104F\\u10FB\\u1360-\\u1368\\u1400\\u166D\\u166E\\u169B\\u169C\\u16EB-\\u16ED\\u1735\\u1736\\u17D4-\\u17D6\\u17D8-\\u17DA\\u1800-\\u180A\\u1944\\u1945\\u1A1E\\u1A1F\\u1AA0-\\u1AA6\\u1AA8-\\u1AAD\\u1B5A-\\u1B60\\u1BFC-\\u1BFF\\u1C3B-\\u1C3F\\u1C7E\\u1C7F\\u1CC0-\\u1CC7\\u1CD3\\u2010-\\u2027\\u2030-\\u2043\\u2045-\\u2051\\u2053-\\u205E\\u207D\\u207E\\u208D\\u208E\\u2308-\\u230B\\u2329\\u232A\\u2768-\\u2775\\u27C5\\u27C6\\u27E6-\\u27EF\\u2983-\\u2998\\u29D8-\\u29DB\\u29FC\\u29FD\\u2CF9-\\u2CFC\\u2CFE\\u2CFF\\u2D70\\u2E00-\\u2E2E\\u2E30-\\u2E4E\\u3001-\\u3003\\u3008-\\u3011\\u3014-\\u301F\\u3030\\u303D\\u30A0\\u30FB\\uA4FE\\uA4FF\\uA60D-\\uA60F\\uA673\\uA67E\\uA6F2-\\uA6F7\\uA874-\\uA877\\uA8CE\\uA8CF\\uA8F8-\\uA8FA\\uA8FC\\uA92E\\uA92F\\uA95F\\uA9C1-\\uA9CD\\uA9DE\\uA9DF\\uAA5C-\\uAA5F\\uAADE\\uAADF\\uAAF0\\uAAF1\\uABEB\\uFD3E\\uFD3F\\uFE10-\\uFE19\\uFE30-\\uFE52\\uFE54-\\uFE61\\uFE63\\uFE68\\uFE6A\\uFE6B\\uFF01-\\uFF03\\uFF05-\\uFF0A\\uFF0C-\\uFF0F\\uFF1A\\uFF1B\\uFF1F\\uFF20\\uFF3B-\\uFF3D\\uFF3F\\uFF5B\\uFF5D\\uFF5F-\\uFF65]|\\uD800[\\uDD00-\\uDD02\\uDF9F\\uDFD0]|\\uD801\\uDD6F|\\uD802[\\uDC57\\uDD1F\\uDD3F\\uDE50-\\uDE58\\uDE7F\\uDEF0-\\uDEF6\\uDF39-\\uDF3F\\uDF99-\\uDF9C]|\\uD803[\\uDF55-\\uDF59]|\\uD804[\\uDC47-\\uDC4D\\uDCBB\\uDCBC\\uDCBE-\\uDCC1\\uDD40-\\uDD43\\uDD74\\uDD75\\uDDC5-\\uDDC8\\uDDCD\\uDDDB\\uDDDD-\\uDDDF\\uDE38-\\uDE3D\\uDEA9]|\\uD805[\\uDC4B-\\uDC4F\\uDC5B\\uDC5D\\uDCC6\\uDDC1-\\uDDD7\\uDE41-\\uDE43\\uDE60-\\uDE6C\\uDF3C-\\uDF3E]|\\uD806[\\uDC3B\\uDE3F-\\uDE46\\uDE9A-\\uDE9C\\uDE9E-\\uDEA2]|\\uD807[\\uDC41-\\uDC45\\uDC70\\uDC71\\uDEF7\\uDEF8]|\\uD809[\\uDC70-\\uDC74]|\\uD81A[\\uDE6E\\uDE6F\\uDEF5\\uDF37-\\uDF3B\\uDF44]|\\uD81B[\\uDE97-\\uDE9A]|\\uD82F\\uDC9F|\\uD836[\\uDE87-\\uDE8B]|\\uD83A[\\uDD5E\\uDD5F]/","/**\n * class Ruler\n *\n * Helper class, used by [[MarkdownIt#core]], [[MarkdownIt#block]] and\n * [[MarkdownIt#inline]] to manage sequences of functions (rules):\n *\n * - keep rules in defined order\n * - assign the name to each rule\n * - enable/disable rules\n * - add/replace rules\n * - allow assign rules to additional named chains (in the same)\n * - cacheing lists of active rules\n *\n * You will not need use this class directly until write plugins. For simple\n * rules control use [[MarkdownIt.disable]], [[MarkdownIt.enable]] and\n * [[MarkdownIt.use]].\n **/\n'use strict';\n\n\n/**\n * new Ruler()\n **/\nfunction Ruler() {\n // List of added rules. Each element is:\n //\n // {\n // name: XXX,\n // enabled: Boolean,\n // fn: Function(),\n // alt: [ name2, name3 ]\n // }\n //\n this.__rules__ = [];\n\n // Cached rule chains.\n //\n // First level - chain name, '' for default.\n // Second level - diginal anchor for fast filtering by charcodes.\n //\n this.__cache__ = null;\n}\n\n////////////////////////////////////////////////////////////////////////////////\n// Helper methods, should not be used directly\n\n\n// Find rule index by name\n//\nRuler.prototype.__find__ = function (name) {\n for (var i = 0; i < this.__rules__.length; i++) {\n if (this.__rules__[i].name === name) {\n return i;\n }\n }\n return -1;\n};\n\n\n// Build rules lookup cache\n//\nRuler.prototype.__compile__ = function () {\n var self = this;\n var chains = [ '' ];\n\n // collect unique names\n self.__rules__.forEach(function (rule) {\n if (!rule.enabled) { return; }\n\n rule.alt.forEach(function (altName) {\n if (chains.indexOf(altName) < 0) {\n chains.push(altName);\n }\n });\n });\n\n self.__cache__ = {};\n\n chains.forEach(function (chain) {\n self.__cache__[chain] = [];\n self.__rules__.forEach(function (rule) {\n if (!rule.enabled) { return; }\n\n if (chain && rule.alt.indexOf(chain) < 0) { return; }\n\n self.__cache__[chain].push(rule.fn);\n });\n });\n};\n\n\n/**\n * Ruler.at(name, fn [, options])\n * - name (String): rule name to replace.\n * - fn (Function): new rule function.\n * - options (Object): new rule options (not mandatory).\n *\n * Replace rule by name with new function & options. Throws error if name not\n * found.\n *\n * ##### Options:\n *\n * - __alt__ - array with names of \"alternate\" chains.\n *\n * ##### Example\n *\n * Replace existing typographer replacement rule with new one:\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * md.core.ruler.at('replacements', function replace(state) {\n * //...\n * });\n * ```\n **/\nRuler.prototype.at = function (name, fn, options) {\n var index = this.__find__(name);\n var opt = options || {};\n\n if (index === -1) { throw new Error('Parser rule not found: ' + name); }\n\n this.__rules__[index].fn = fn;\n this.__rules__[index].alt = opt.alt || [];\n this.__cache__ = null;\n};\n\n\n/**\n * Ruler.before(beforeName, ruleName, fn [, options])\n * - beforeName (String): new rule will be added before this one.\n * - ruleName (String): name of added rule.\n * - fn (Function): rule function.\n * - options (Object): rule options (not mandatory).\n *\n * Add new rule to chain before one with given name. See also\n * [[Ruler.after]], [[Ruler.push]].\n *\n * ##### Options:\n *\n * - __alt__ - array with names of \"alternate\" chains.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * md.block.ruler.before('paragraph', 'my_rule', function replace(state) {\n * //...\n * });\n * ```\n **/\nRuler.prototype.before = function (beforeName, ruleName, fn, options) {\n var index = this.__find__(beforeName);\n var opt = options || {};\n\n if (index === -1) { throw new Error('Parser rule not found: ' + beforeName); }\n\n this.__rules__.splice(index, 0, {\n name: ruleName,\n enabled: true,\n fn: fn,\n alt: opt.alt || []\n });\n\n this.__cache__ = null;\n};\n\n\n/**\n * Ruler.after(afterName, ruleName, fn [, options])\n * - afterName (String): new rule will be added after this one.\n * - ruleName (String): name of added rule.\n * - fn (Function): rule function.\n * - options (Object): rule options (not mandatory).\n *\n * Add new rule to chain after one with given name. See also\n * [[Ruler.before]], [[Ruler.push]].\n *\n * ##### Options:\n *\n * - __alt__ - array with names of \"alternate\" chains.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * md.inline.ruler.after('text', 'my_rule', function replace(state) {\n * //...\n * });\n * ```\n **/\nRuler.prototype.after = function (afterName, ruleName, fn, options) {\n var index = this.__find__(afterName);\n var opt = options || {};\n\n if (index === -1) { throw new Error('Parser rule not found: ' + afterName); }\n\n this.__rules__.splice(index + 1, 0, {\n name: ruleName,\n enabled: true,\n fn: fn,\n alt: opt.alt || []\n });\n\n this.__cache__ = null;\n};\n\n/**\n * Ruler.push(ruleName, fn [, options])\n * - ruleName (String): name of added rule.\n * - fn (Function): rule function.\n * - options (Object): rule options (not mandatory).\n *\n * Push new rule to the end of chain. See also\n * [[Ruler.before]], [[Ruler.after]].\n *\n * ##### Options:\n *\n * - __alt__ - array with names of \"alternate\" chains.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * md.core.ruler.push('my_rule', function replace(state) {\n * //...\n * });\n * ```\n **/\nRuler.prototype.push = function (ruleName, fn, options) {\n var opt = options || {};\n\n this.__rules__.push({\n name: ruleName,\n enabled: true,\n fn: fn,\n alt: opt.alt || []\n });\n\n this.__cache__ = null;\n};\n\n\n/**\n * Ruler.enable(list [, ignoreInvalid]) -> Array\n * - list (String|Array): list of rule names to enable.\n * - ignoreInvalid (Boolean): set `true` to ignore errors when rule not found.\n *\n * Enable rules with given names. If any rule name not found - throw Error.\n * Errors can be disabled by second param.\n *\n * Returns list of found rule names (if no exception happened).\n *\n * See also [[Ruler.disable]], [[Ruler.enableOnly]].\n **/\nRuler.prototype.enable = function (list, ignoreInvalid) {\n if (!Array.isArray(list)) { list = [ list ]; }\n\n var result = [];\n\n // Search by name and enable\n list.forEach(function (name) {\n var idx = this.__find__(name);\n\n if (idx < 0) {\n if (ignoreInvalid) { return; }\n throw new Error('Rules manager: invalid rule name ' + name);\n }\n this.__rules__[idx].enabled = true;\n result.push(name);\n }, this);\n\n this.__cache__ = null;\n return result;\n};\n\n\n/**\n * Ruler.enableOnly(list [, ignoreInvalid])\n * - list (String|Array): list of rule names to enable (whitelist).\n * - ignoreInvalid (Boolean): set `true` to ignore errors when rule not found.\n *\n * Enable rules with given names, and disable everything else. If any rule name\n * not found - throw Error. Errors can be disabled by second param.\n *\n * See also [[Ruler.disable]], [[Ruler.enable]].\n **/\nRuler.prototype.enableOnly = function (list, ignoreInvalid) {\n if (!Array.isArray(list)) { list = [ list ]; }\n\n this.__rules__.forEach(function (rule) { rule.enabled = false; });\n\n this.enable(list, ignoreInvalid);\n};\n\n\n/**\n * Ruler.disable(list [, ignoreInvalid]) -> Array\n * - list (String|Array): list of rule names to disable.\n * - ignoreInvalid (Boolean): set `true` to ignore errors when rule not found.\n *\n * Disable rules with given names. If any rule name not found - throw Error.\n * Errors can be disabled by second param.\n *\n * Returns list of found rule names (if no exception happened).\n *\n * See also [[Ruler.enable]], [[Ruler.enableOnly]].\n **/\nRuler.prototype.disable = function (list, ignoreInvalid) {\n if (!Array.isArray(list)) { list = [ list ]; }\n\n var result = [];\n\n // Search by name and disable\n list.forEach(function (name) {\n var idx = this.__find__(name);\n\n if (idx < 0) {\n if (ignoreInvalid) { return; }\n throw new Error('Rules manager: invalid rule name ' + name);\n }\n this.__rules__[idx].enabled = false;\n result.push(name);\n }, this);\n\n this.__cache__ = null;\n return result;\n};\n\n\n/**\n * Ruler.getRules(chainName) -> Array\n *\n * Return array of active functions (rules) for given chain name. It analyzes\n * rules configuration, compiles caches if not exists and returns result.\n *\n * Default chain name is `''` (empty string). It can't be skipped. That's\n * done intentionally, to keep signature monomorphic for high speed.\n **/\nRuler.prototype.getRules = function (chainName) {\n if (this.__cache__ === null) {\n this.__compile__();\n }\n\n // Chain can be empty, if rules disabled. But we still have to return Array.\n return this.__cache__[chainName] || [];\n};\n\nmodule.exports = Ruler;\n","// Token class\n\n'use strict';\n\n\n/**\n * class Token\n **/\n\n/**\n * new Token(type, tag, nesting)\n *\n * Create new token and fill passed properties.\n **/\nfunction Token(type, tag, nesting) {\n /**\n * Token#type -> String\n *\n * Type of the token (string, e.g. \"paragraph_open\")\n **/\n this.type = type;\n\n /**\n * Token#tag -> String\n *\n * html tag name, e.g. \"p\"\n **/\n this.tag = tag;\n\n /**\n * Token#attrs -> Array\n *\n * Html attributes. Format: `[ [ name1, value1 ], [ name2, value2 ] ]`\n **/\n this.attrs = null;\n\n /**\n * Token#map -> Array\n *\n * Source map info. Format: `[ line_begin, line_end ]`\n **/\n this.map = null;\n\n /**\n * Token#nesting -> Number\n *\n * Level change (number in {-1, 0, 1} set), where:\n *\n * - `1` means the tag is opening\n * - `0` means the tag is self-closing\n * - `-1` means the tag is closing\n **/\n this.nesting = nesting;\n\n /**\n * Token#level -> Number\n *\n * nesting level, the same as `state.level`\n **/\n this.level = 0;\n\n /**\n * Token#children -> Array\n *\n * An array of child nodes (inline and img tokens)\n **/\n this.children = null;\n\n /**\n * Token#content -> String\n *\n * In a case of self-closing tag (code, html, fence, etc.),\n * it has contents of this tag.\n **/\n this.content = '';\n\n /**\n * Token#markup -> String\n *\n * '*' or '_' for emphasis, fence string for fence, etc.\n **/\n this.markup = '';\n\n /**\n * Token#info -> String\n *\n * fence infostring\n **/\n this.info = '';\n\n /**\n * Token#meta -> Object\n *\n * A place for plugins to store an arbitrary data\n **/\n this.meta = null;\n\n /**\n * Token#block -> Boolean\n *\n * True for block-level tokens, false for inline tokens.\n * Used in renderer to calculate line breaks\n **/\n this.block = false;\n\n /**\n * Token#hidden -> Boolean\n *\n * If it's true, ignore this element when rendering. Used for tight lists\n * to hide paragraphs.\n **/\n this.hidden = false;\n}\n\n\n/**\n * Token.attrIndex(name) -> Number\n *\n * Search attribute index by name.\n **/\nToken.prototype.attrIndex = function attrIndex(name) {\n var attrs, i, len;\n\n if (!this.attrs) { return -1; }\n\n attrs = this.attrs;\n\n for (i = 0, len = attrs.length; i < len; i++) {\n if (attrs[i][0] === name) { return i; }\n }\n return -1;\n};\n\n\n/**\n * Token.attrPush(attrData)\n *\n * Add `[ name, value ]` attribute to list. Init attrs if necessary\n **/\nToken.prototype.attrPush = function attrPush(attrData) {\n if (this.attrs) {\n this.attrs.push(attrData);\n } else {\n this.attrs = [ attrData ];\n }\n};\n\n\n/**\n * Token.attrSet(name, value)\n *\n * Set `name` attribute to `value`. Override old value if exists.\n **/\nToken.prototype.attrSet = function attrSet(name, value) {\n var idx = this.attrIndex(name),\n attrData = [ name, value ];\n\n if (idx < 0) {\n this.attrPush(attrData);\n } else {\n this.attrs[idx] = attrData;\n }\n};\n\n\n/**\n * Token.attrGet(name)\n *\n * Get the value of attribute `name`, or null if it does not exist.\n **/\nToken.prototype.attrGet = function attrGet(name) {\n var idx = this.attrIndex(name), value = null;\n if (idx >= 0) {\n value = this.attrs[idx][1];\n }\n return value;\n};\n\n\n/**\n * Token.attrJoin(name, value)\n *\n * Join value to existing attribute via space. Or create new attribute if not\n * exists. Useful to operate with token classes.\n **/\nToken.prototype.attrJoin = function attrJoin(name, value) {\n var idx = this.attrIndex(name);\n\n if (idx < 0) {\n this.attrPush([ name, value ]);\n } else {\n this.attrs[idx][1] = this.attrs[idx][1] + ' ' + value;\n }\n};\n\n\nmodule.exports = Token;\n","export var base = {\n 8: \"Backspace\",\n 9: \"Tab\",\n 10: \"Enter\",\n 12: \"NumLock\",\n 13: \"Enter\",\n 16: \"Shift\",\n 17: \"Control\",\n 18: \"Alt\",\n 20: \"CapsLock\",\n 27: \"Escape\",\n 32: \" \",\n 33: \"PageUp\",\n 34: \"PageDown\",\n 35: \"End\",\n 36: \"Home\",\n 37: \"ArrowLeft\",\n 38: \"ArrowUp\",\n 39: \"ArrowRight\",\n 40: \"ArrowDown\",\n 44: \"PrintScreen\",\n 45: \"Insert\",\n 46: \"Delete\",\n 59: \";\",\n 61: \"=\",\n 91: \"Meta\",\n 92: \"Meta\",\n 106: \"*\",\n 107: \"+\",\n 108: \",\",\n 109: \"-\",\n 110: \".\",\n 111: \"/\",\n 144: \"NumLock\",\n 145: \"ScrollLock\",\n 160: \"Shift\",\n 161: \"Shift\",\n 162: \"Control\",\n 163: \"Control\",\n 164: \"Alt\",\n 165: \"Alt\",\n 173: \"-\",\n 186: \";\",\n 187: \"=\",\n 188: \",\",\n 189: \"-\",\n 190: \".\",\n 191: \"/\",\n 192: \"`\",\n 219: \"[\",\n 220: \"\\\\\",\n 221: \"]\",\n 222: \"'\",\n 229: \"q\"\n}\n\nexport var shift = {\n 48: \")\",\n 49: \"!\",\n 50: \"@\",\n 51: \"#\",\n 52: \"$\",\n 53: \"%\",\n 54: \"^\",\n 55: \"&\",\n 56: \"*\",\n 57: \"(\",\n 59: \":\",\n 61: \"+\",\n 173: \"_\",\n 186: \":\",\n 187: \"+\",\n 188: \"<\",\n 189: \"_\",\n 190: \">\",\n 191: \"?\",\n 192: \"~\",\n 219: \"{\",\n 220: \"|\",\n 221: \"}\",\n 222: \"\\\"\",\n 229: \"Q\"\n}\n\nvar chrome = typeof navigator != \"undefined\" && /Chrome\\/(\\d+)/.exec(navigator.userAgent)\nvar safari = typeof navigator != \"undefined\" && /Apple Computer/.test(navigator.vendor)\nvar gecko = typeof navigator != \"undefined\" && /Gecko\\/\\d+/.test(navigator.userAgent)\nvar mac = typeof navigator != \"undefined\" && /Mac/.test(navigator.platform)\nvar ie = typeof navigator != \"undefined\" && /MSIE \\d|Trident\\/(?:[7-9]|\\d{2,})\\..*rv:(\\d+)/.exec(navigator.userAgent)\nvar brokenModifierNames = chrome && (mac || +chrome[1] < 57) || gecko && mac\n\n// Fill in the digit keys\nfor (var i = 0; i < 10; i++) base[48 + i] = base[96 + i] = String(i)\n\n// The function keys\nfor (var i = 1; i <= 24; i++) base[i + 111] = \"F\" + i\n\n// And the alphabetic keys\nfor (var i = 65; i <= 90; i++) {\n base[i] = String.fromCharCode(i + 32)\n shift[i] = String.fromCharCode(i)\n}\n\n// For each code that doesn't have a shift-equivalent, copy the base name\nfor (var code in base) if (!shift.hasOwnProperty(code)) shift[code] = base[code]\n\nexport function keyName(event) {\n // Don't trust event.key in Chrome when there are modifiers until\n // they fix https://bugs.chromium.org/p/chromium/issues/detail?id=633838\n var ignoreKey = brokenModifierNames && (event.ctrlKey || event.altKey || event.metaKey) ||\n (safari || ie) && event.shiftKey && event.key && event.key.length == 1\n var name = (!ignoreKey && event.key) ||\n (event.shiftKey ? shift : base)[event.keyCode] ||\n event.key || \"Unidentified\"\n // Edge sometimes produces wrong names (Issue #3)\n if (name == \"Esc\") name = \"Escape\"\n if (name == \"Del\") name = \"Delete\"\n // https://developer.microsoft.com/en-us/microsoft-edge/platform/issues/8860571/\n if (name == \"Left\") name = \"ArrowLeft\"\n if (name == \"Up\") name = \"ArrowUp\"\n if (name == \"Right\") name = \"ArrowRight\"\n if (name == \"Down\") name = \"ArrowDown\"\n return name\n}\n","import { keyName, base } from 'w3c-keyname';\nimport { Plugin } from 'prosemirror-state';\n\n// declare global: navigator\n\nvar mac = typeof navigator != \"undefined\" ? /Mac/.test(navigator.platform) : false;\n\nfunction normalizeKeyName(name) {\n var parts = name.split(/-(?!$)/), result = parts[parts.length - 1];\n if (result == \"Space\") { result = \" \"; }\n var alt, ctrl, shift, meta;\n for (var i = 0; i < parts.length - 1; i++) {\n var mod = parts[i];\n if (/^(cmd|meta|m)$/i.test(mod)) { meta = true; }\n else if (/^a(lt)?$/i.test(mod)) { alt = true; }\n else if (/^(c|ctrl|control)$/i.test(mod)) { ctrl = true; }\n else if (/^s(hift)?$/i.test(mod)) { shift = true; }\n else if (/^mod$/i.test(mod)) { if (mac) { meta = true; } else { ctrl = true; } }\n else { throw new Error(\"Unrecognized modifier name: \" + mod) }\n }\n if (alt) { result = \"Alt-\" + result; }\n if (ctrl) { result = \"Ctrl-\" + result; }\n if (meta) { result = \"Meta-\" + result; }\n if (shift) { result = \"Shift-\" + result; }\n return result\n}\n\nfunction normalize(map) {\n var copy = Object.create(null);\n for (var prop in map) { copy[normalizeKeyName(prop)] = map[prop]; }\n return copy\n}\n\nfunction modifiers(name, event, shift) {\n if (event.altKey) { name = \"Alt-\" + name; }\n if (event.ctrlKey) { name = \"Ctrl-\" + name; }\n if (event.metaKey) { name = \"Meta-\" + name; }\n if (shift !== false && event.shiftKey) { name = \"Shift-\" + name; }\n return name\n}\n\n// :: (Object) → Plugin\n// Create a keymap plugin for the given set of bindings.\n//\n// Bindings should map key names to [command](#commands)-style\n// functions, which will be called with `(EditorState, dispatch,\n// EditorView)` arguments, and should return true when they've handled\n// the key. Note that the view argument isn't part of the command\n// protocol, but can be used as an escape hatch if a binding needs to\n// directly interact with the UI.\n//\n// Key names may be strings like `\"Shift-Ctrl-Enter\"`—a key\n// identifier prefixed with zero or more modifiers. Key identifiers\n// are based on the strings that can appear in\n// [`KeyEvent.key`](https://developer.mozilla.org/en-US/docs/Web/API/KeyboardEvent/key).\n// Use lowercase letters to refer to letter keys (or uppercase letters\n// if you want shift to be held). You may use `\"Space\"` as an alias\n// for the `\" \"` name.\n//\n// Modifiers can be given in any order. `Shift-` (or `s-`), `Alt-` (or\n// `a-`), `Ctrl-` (or `c-` or `Control-`) and `Cmd-` (or `m-` or\n// `Meta-`) are recognized. For characters that are created by holding\n// shift, the `Shift-` prefix is implied, and should not be added\n// explicitly.\n//\n// You can use `Mod-` as a shorthand for `Cmd-` on Mac and `Ctrl-` on\n// other platforms.\n//\n// You can add multiple keymap plugins to an editor. The order in\n// which they appear determines their precedence (the ones early in\n// the array get to dispatch first).\nfunction keymap(bindings) {\n return new Plugin({props: {handleKeyDown: keydownHandler(bindings)}})\n}\n\n// :: (Object) → (view: EditorView, event: dom.Event) → bool\n// Given a set of bindings (using the same format as\n// [`keymap`](#keymap.keymap), return a [keydown\n// handler](#view.EditorProps.handleKeyDown) that handles them.\nfunction keydownHandler(bindings) {\n var map = normalize(bindings);\n return function(view, event) {\n var name = keyName(event), isChar = name.length == 1 && name != \" \", baseName;\n var direct = map[modifiers(name, event, !isChar)];\n if (direct && direct(view.state, view.dispatch, view)) { return true }\n if (isChar && (event.shiftKey || event.altKey || event.metaKey) &&\n (baseName = base[event.keyCode]) && baseName != name) {\n var fromCode = map[modifiers(baseName, event, true)];\n if (fromCode && fromCode(view.state, view.dispatch, view)) { return true }\n } else if (isChar && event.shiftKey) {\n var withShift = map[modifiers(name, event, true)];\n if (withShift && withShift(view.state, view.dispatch, view)) { return true }\n }\n return false\n }\n}\n\nexport { keydownHandler, keymap };\n//# sourceMappingURL=index.es.js.map\n","/*!\n * escape-html\n * Copyright(c) 2012-2013 TJ Holowaychuk\n * Copyright(c) 2015 Andreas Lubbe\n * Copyright(c) 2015 Tiancheng \"Timothy\" Gu\n * MIT Licensed\n */\n\n'use strict';\n\n/**\n * Module variables.\n * @private\n */\n\nvar matchHtmlRegExp = /[\"'&<>]/;\n\n/**\n * Module exports.\n * @public\n */\n\nmodule.exports = escapeHtml;\n\n/**\n * Escape special characters in the given string of html.\n *\n * @param {string} string The string to escape for inserting into HTML\n * @return {string}\n * @public\n */\n\nfunction escapeHtml(string) {\n var str = '' + string;\n var match = matchHtmlRegExp.exec(str);\n\n if (!match) {\n return str;\n }\n\n var escape;\n var html = '';\n var index = 0;\n var lastIndex = 0;\n\n for (index = match.index; index < str.length; index++) {\n switch (str.charCodeAt(index)) {\n case 34: // \"\n escape = '&quot;';\n break;\n case 38: // &\n escape = '&amp;';\n break;\n case 39: // '\n escape = '&#39;';\n break;\n case 60: // <\n escape = '&lt;';\n break;\n case 62: // >\n escape = '&gt;';\n break;\n default:\n continue;\n }\n\n if (lastIndex !== index) {\n html += str.substring(lastIndex, index);\n }\n\n lastIndex = index + 1;\n html += escape;\n }\n\n return lastIndex !== index\n ? html + str.substring(lastIndex, index)\n : html;\n}\n","module.exports = function(module) {\n\tif (!module.webpackPolyfill) {\n\t\tmodule.deprecate = function() {};\n\t\tmodule.paths = [];\n\t\t// module.parent = undefined by default\n\t\tif (!module.children) module.children = [];\n\t\tObject.defineProperty(module, \"loaded\", {\n\t\t\tenumerable: true,\n\t\t\tget: function() {\n\t\t\t\treturn module.l;\n\t\t\t}\n\t\t});\n\t\tObject.defineProperty(module, \"id\", {\n\t\t\tenumerable: true,\n\t\t\tget: function() {\n\t\t\t\treturn module.i;\n\t\t\t}\n\t\t});\n\t\tmodule.webpackPolyfill = 1;\n\t}\n\treturn module;\n};\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var af = moment.defineLocale('af', {\n months : 'Januarie_Februarie_Maart_April_Mei_Junie_Julie_Augustus_September_Oktober_November_Desember'.split('_'),\n monthsShort : 'Jan_Feb_Mrt_Apr_Mei_Jun_Jul_Aug_Sep_Okt_Nov_Des'.split('_'),\n weekdays : 'Sondag_Maandag_Dinsdag_Woensdag_Donderdag_Vrydag_Saterdag'.split('_'),\n weekdaysShort : 'Son_Maa_Din_Woe_Don_Vry_Sat'.split('_'),\n weekdaysMin : 'So_Ma_Di_Wo_Do_Vr_Sa'.split('_'),\n meridiemParse: /vm|nm/i,\n isPM : function (input) {\n return /^nm$/i.test(input);\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 12) {\n return isLower ? 'vm' : 'VM';\n } else {\n return isLower ? 'nm' : 'NM';\n }\n },\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Vandag om] LT',\n nextDay : '[Môre om] LT',\n nextWeek : 'dddd [om] LT',\n lastDay : '[Gister om] LT',\n lastWeek : '[Laas] dddd [om] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'oor %s',\n past : '%s gelede',\n s : '\\'n paar sekondes',\n ss : '%d sekondes',\n m : '\\'n minuut',\n mm : '%d minute',\n h : '\\'n uur',\n hh : '%d ure',\n d : '\\'n dag',\n dd : '%d dae',\n M : '\\'n maand',\n MM : '%d maande',\n y : '\\'n jaar',\n yy : '%d jaar'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(ste|de)/,\n ordinal : function (number) {\n return number + ((number === 1 || number === 8 || number >= 20) ? 'ste' : 'de'); // Thanks to Joris Röling : https://github.com/jjupiter\n },\n week : {\n dow : 1, // Maandag is die eerste dag van die week.\n doy : 4 // Die week wat die 4de Januarie bevat is die eerste week van die jaar.\n }\n });\n\n return af;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '١',\n '2': '٢',\n '3': '٣',\n '4': '٤',\n '5': '٥',\n '6': '٦',\n '7': '٧',\n '8': '٨',\n '9': '٩',\n '0': '٠'\n }, numberMap = {\n '١': '1',\n '٢': '2',\n '٣': '3',\n '٤': '4',\n '٥': '5',\n '٦': '6',\n '٧': '7',\n '٨': '8',\n '٩': '9',\n '٠': '0'\n }, pluralForm = function (n) {\n return n === 0 ? 0 : n === 1 ? 1 : n === 2 ? 2 : n % 100 >= 3 && n % 100 <= 10 ? 3 : n % 100 >= 11 ? 4 : 5;\n }, plurals = {\n s : ['أقل من ثانية', 'ثانية واحدة', ['ثانيتان', 'ثانيتين'], '%d ثوان', '%d ثانية', '%d ثانية'],\n m : ['أقل من دقيقة', 'دقيقة واحدة', ['دقيقتان', 'دقيقتين'], '%d دقائق', '%d دقيقة', '%d دقيقة'],\n h : ['أقل من ساعة', 'ساعة واحدة', ['ساعتان', 'ساعتين'], '%d ساعات', '%d ساعة', '%d ساعة'],\n d : ['أقل من يوم', 'يوم واحد', ['يومان', 'يومين'], '%d أيام', '%d يومًا', '%d يوم'],\n M : ['أقل من شهر', 'شهر واحد', ['شهران', 'شهرين'], '%d أشهر', '%d شهرا', '%d شهر'],\n y : ['أقل من عام', 'عام واحد', ['عامان', 'عامين'], '%d أعوام', '%d عامًا', '%d عام']\n }, pluralize = function (u) {\n return function (number, withoutSuffix, string, isFuture) {\n var f = pluralForm(number),\n str = plurals[u][pluralForm(number)];\n if (f === 2) {\n str = str[withoutSuffix ? 0 : 1];\n }\n return str.replace(/%d/i, number);\n };\n }, months = [\n 'يناير',\n 'فبراير',\n 'مارس',\n 'أبريل',\n 'مايو',\n 'يونيو',\n 'يوليو',\n 'أغسطس',\n 'سبتمبر',\n 'أكتوبر',\n 'نوفمبر',\n 'ديسمبر'\n ];\n\n var ar = moment.defineLocale('ar', {\n months : months,\n monthsShort : months,\n weekdays : 'الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'D/\\u200FM/\\u200FYYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n meridiemParse: /ص|م/,\n isPM : function (input) {\n return 'م' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ص';\n } else {\n return 'م';\n }\n },\n calendar : {\n sameDay: '[اليوم عند الساعة] LT',\n nextDay: '[غدًا عند الساعة] LT',\n nextWeek: 'dddd [عند الساعة] LT',\n lastDay: '[أمس عند الساعة] LT',\n lastWeek: 'dddd [عند الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'بعد %s',\n past : 'منذ %s',\n s : pluralize('s'),\n ss : pluralize('s'),\n m : pluralize('m'),\n mm : pluralize('m'),\n h : pluralize('h'),\n hh : pluralize('h'),\n d : pluralize('d'),\n dd : pluralize('d'),\n M : pluralize('M'),\n MM : pluralize('M'),\n y : pluralize('y'),\n yy : pluralize('y')\n },\n preparse: function (string) {\n return string.replace(/[١٢٣٤٥٦٧٨٩٠]/g, function (match) {\n return numberMap[match];\n }).replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n }).replace(/,/g, '،');\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return ar;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var arDz = moment.defineLocale('ar-dz', {\n months : 'جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n monthsShort : 'جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n weekdays : 'الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'احد_اثنين_ثلاثاء_اربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'أح_إث_ثلا_أر_خم_جم_سب'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[اليوم على الساعة] LT',\n nextDay: '[غدا على الساعة] LT',\n nextWeek: 'dddd [على الساعة] LT',\n lastDay: '[أمس على الساعة] LT',\n lastWeek: 'dddd [على الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'في %s',\n past : 'منذ %s',\n s : 'ثوان',\n ss : '%d ثانية',\n m : 'دقيقة',\n mm : '%d دقائق',\n h : 'ساعة',\n hh : '%d ساعات',\n d : 'يوم',\n dd : '%d أيام',\n M : 'شهر',\n MM : '%d أشهر',\n y : 'سنة',\n yy : '%d سنوات'\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return arDz;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var arKw = moment.defineLocale('ar-kw', {\n months : 'يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر'.split('_'),\n monthsShort : 'يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر'.split('_'),\n weekdays : 'الأحد_الإتنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'احد_اتنين_ثلاثاء_اربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[اليوم على الساعة] LT',\n nextDay: '[غدا على الساعة] LT',\n nextWeek: 'dddd [على الساعة] LT',\n lastDay: '[أمس على الساعة] LT',\n lastWeek: 'dddd [على الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'في %s',\n past : 'منذ %s',\n s : 'ثوان',\n ss : '%d ثانية',\n m : 'دقيقة',\n mm : '%d دقائق',\n h : 'ساعة',\n hh : '%d ساعات',\n d : 'يوم',\n dd : '%d أيام',\n M : 'شهر',\n MM : '%d أشهر',\n y : 'سنة',\n yy : '%d سنوات'\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return arKw;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '1',\n '2': '2',\n '3': '3',\n '4': '4',\n '5': '5',\n '6': '6',\n '7': '7',\n '8': '8',\n '9': '9',\n '0': '0'\n }, pluralForm = function (n) {\n return n === 0 ? 0 : n === 1 ? 1 : n === 2 ? 2 : n % 100 >= 3 && n % 100 <= 10 ? 3 : n % 100 >= 11 ? 4 : 5;\n }, plurals = {\n s : ['أقل من ثانية', 'ثانية واحدة', ['ثانيتان', 'ثانيتين'], '%d ثوان', '%d ثانية', '%d ثانية'],\n m : ['أقل من دقيقة', 'دقيقة واحدة', ['دقيقتان', 'دقيقتين'], '%d دقائق', '%d دقيقة', '%d دقيقة'],\n h : ['أقل من ساعة', 'ساعة واحدة', ['ساعتان', 'ساعتين'], '%d ساعات', '%d ساعة', '%d ساعة'],\n d : ['أقل من يوم', 'يوم واحد', ['يومان', 'يومين'], '%d أيام', '%d يومًا', '%d يوم'],\n M : ['أقل من شهر', 'شهر واحد', ['شهران', 'شهرين'], '%d أشهر', '%d شهرا', '%d شهر'],\n y : ['أقل من عام', 'عام واحد', ['عامان', 'عامين'], '%d أعوام', '%d عامًا', '%d عام']\n }, pluralize = function (u) {\n return function (number, withoutSuffix, string, isFuture) {\n var f = pluralForm(number),\n str = plurals[u][pluralForm(number)];\n if (f === 2) {\n str = str[withoutSuffix ? 0 : 1];\n }\n return str.replace(/%d/i, number);\n };\n }, months = [\n 'يناير',\n 'فبراير',\n 'مارس',\n 'أبريل',\n 'مايو',\n 'يونيو',\n 'يوليو',\n 'أغسطس',\n 'سبتمبر',\n 'أكتوبر',\n 'نوفمبر',\n 'ديسمبر'\n ];\n\n var arLy = moment.defineLocale('ar-ly', {\n months : months,\n monthsShort : months,\n weekdays : 'الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'D/\\u200FM/\\u200FYYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n meridiemParse: /ص|م/,\n isPM : function (input) {\n return 'م' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ص';\n } else {\n return 'م';\n }\n },\n calendar : {\n sameDay: '[اليوم عند الساعة] LT',\n nextDay: '[غدًا عند الساعة] LT',\n nextWeek: 'dddd [عند الساعة] LT',\n lastDay: '[أمس عند الساعة] LT',\n lastWeek: 'dddd [عند الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'بعد %s',\n past : 'منذ %s',\n s : pluralize('s'),\n ss : pluralize('s'),\n m : pluralize('m'),\n mm : pluralize('m'),\n h : pluralize('h'),\n hh : pluralize('h'),\n d : pluralize('d'),\n dd : pluralize('d'),\n M : pluralize('M'),\n MM : pluralize('M'),\n y : pluralize('y'),\n yy : pluralize('y')\n },\n preparse: function (string) {\n return string.replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n }).replace(/,/g, '،');\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return arLy;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var arMa = moment.defineLocale('ar-ma', {\n months : 'يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر'.split('_'),\n monthsShort : 'يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر'.split('_'),\n weekdays : 'الأحد_الإتنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'احد_اتنين_ثلاثاء_اربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[اليوم على الساعة] LT',\n nextDay: '[غدا على الساعة] LT',\n nextWeek: 'dddd [على الساعة] LT',\n lastDay: '[أمس على الساعة] LT',\n lastWeek: 'dddd [على الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'في %s',\n past : 'منذ %s',\n s : 'ثوان',\n ss : '%d ثانية',\n m : 'دقيقة',\n mm : '%d دقائق',\n h : 'ساعة',\n hh : '%d ساعات',\n d : 'يوم',\n dd : '%d أيام',\n M : 'شهر',\n MM : '%d أشهر',\n y : 'سنة',\n yy : '%d سنوات'\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return arMa;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '١',\n '2': '٢',\n '3': '٣',\n '4': '٤',\n '5': '٥',\n '6': '٦',\n '7': '٧',\n '8': '٨',\n '9': '٩',\n '0': '٠'\n }, numberMap = {\n '١': '1',\n '٢': '2',\n '٣': '3',\n '٤': '4',\n '٥': '5',\n '٦': '6',\n '٧': '7',\n '٨': '8',\n '٩': '9',\n '٠': '0'\n };\n\n var arSa = moment.defineLocale('ar-sa', {\n months : 'يناير_فبراير_مارس_أبريل_مايو_يونيو_يوليو_أغسطس_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n monthsShort : 'يناير_فبراير_مارس_أبريل_مايو_يونيو_يوليو_أغسطس_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n weekdays : 'الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n meridiemParse: /ص|م/,\n isPM : function (input) {\n return 'م' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ص';\n } else {\n return 'م';\n }\n },\n calendar : {\n sameDay: '[اليوم على الساعة] LT',\n nextDay: '[غدا على الساعة] LT',\n nextWeek: 'dddd [على الساعة] LT',\n lastDay: '[أمس على الساعة] LT',\n lastWeek: 'dddd [على الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'في %s',\n past : 'منذ %s',\n s : 'ثوان',\n ss : '%d ثانية',\n m : 'دقيقة',\n mm : '%d دقائق',\n h : 'ساعة',\n hh : '%d ساعات',\n d : 'يوم',\n dd : '%d أيام',\n M : 'شهر',\n MM : '%d أشهر',\n y : 'سنة',\n yy : '%d سنوات'\n },\n preparse: function (string) {\n return string.replace(/[١٢٣٤٥٦٧٨٩٠]/g, function (match) {\n return numberMap[match];\n }).replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n }).replace(/,/g, '،');\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return arSa;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var arTn = moment.defineLocale('ar-tn', {\n months: 'جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n monthsShort: 'جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n weekdays: 'الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort: 'أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin: 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY HH:mm',\n LLLL: 'dddd D MMMM YYYY HH:mm'\n },\n calendar: {\n sameDay: '[اليوم على الساعة] LT',\n nextDay: '[غدا على الساعة] LT',\n nextWeek: 'dddd [على الساعة] LT',\n lastDay: '[أمس على الساعة] LT',\n lastWeek: 'dddd [على الساعة] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: 'في %s',\n past: 'منذ %s',\n s: 'ثوان',\n ss : '%d ثانية',\n m: 'دقيقة',\n mm: '%d دقائق',\n h: 'ساعة',\n hh: '%d ساعات',\n d: 'يوم',\n dd: '%d أيام',\n M: 'شهر',\n MM: '%d أشهر',\n y: 'سنة',\n yy: '%d سنوات'\n },\n week: {\n dow: 1, // Monday is the first day of the week.\n doy: 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return arTn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var suffixes = {\n 1: '-inci',\n 5: '-inci',\n 8: '-inci',\n 70: '-inci',\n 80: '-inci',\n 2: '-nci',\n 7: '-nci',\n 20: '-nci',\n 50: '-nci',\n 3: '-üncü',\n 4: '-üncü',\n 100: '-üncü',\n 6: '-ncı',\n 9: '-uncu',\n 10: '-uncu',\n 30: '-uncu',\n 60: '-ıncı',\n 90: '-ıncı'\n };\n\n var az = moment.defineLocale('az', {\n months : 'yanvar_fevral_mart_aprel_may_iyun_iyul_avqust_sentyabr_oktyabr_noyabr_dekabr'.split('_'),\n monthsShort : 'yan_fev_mar_apr_may_iyn_iyl_avq_sen_okt_noy_dek'.split('_'),\n weekdays : 'Bazar_Bazar ertəsi_Çərşənbə axşamı_Çərşənbə_Cümə axşamı_Cümə_Şənbə'.split('_'),\n weekdaysShort : 'Baz_BzE_ÇAx_Çər_CAx_Cüm_Şən'.split('_'),\n weekdaysMin : 'Bz_BE_ÇA_Çə_CA_Cü_Şə'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[bugün saat] LT',\n nextDay : '[sabah saat] LT',\n nextWeek : '[gələn həftə] dddd [saat] LT',\n lastDay : '[dünən] LT',\n lastWeek : '[keçən həftə] dddd [saat] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s sonra',\n past : '%s əvvəl',\n s : 'birneçə saniyə',\n ss : '%d saniyə',\n m : 'bir dəqiqə',\n mm : '%d dəqiqə',\n h : 'bir saat',\n hh : '%d saat',\n d : 'bir gün',\n dd : '%d gün',\n M : 'bir ay',\n MM : '%d ay',\n y : 'bir il',\n yy : '%d il'\n },\n meridiemParse: /gecə|səhər|gündüz|axşam/,\n isPM : function (input) {\n return /^(gündüz|axşam)$/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'gecə';\n } else if (hour < 12) {\n return 'səhər';\n } else if (hour < 17) {\n return 'gündüz';\n } else {\n return 'axşam';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(ıncı|inci|nci|üncü|ncı|uncu)/,\n ordinal : function (number) {\n if (number === 0) { // special case for zero\n return number + '-ıncı';\n }\n var a = number % 10,\n b = number % 100 - a,\n c = number >= 100 ? 100 : null;\n return number + (suffixes[a] || suffixes[b] || suffixes[c]);\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return az;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function plural(word, num) {\n var forms = word.split('_');\n return num % 10 === 1 && num % 100 !== 11 ? forms[0] : (num % 10 >= 2 && num % 10 <= 4 && (num % 100 < 10 || num % 100 >= 20) ? forms[1] : forms[2]);\n }\n function relativeTimeWithPlural(number, withoutSuffix, key) {\n var format = {\n 'ss': withoutSuffix ? 'секунда_секунды_секунд' : 'секунду_секунды_секунд',\n 'mm': withoutSuffix ? 'хвіліна_хвіліны_хвілін' : 'хвіліну_хвіліны_хвілін',\n 'hh': withoutSuffix ? 'гадзіна_гадзіны_гадзін' : 'гадзіну_гадзіны_гадзін',\n 'dd': 'дзень_дні_дзён',\n 'MM': 'месяц_месяцы_месяцаў',\n 'yy': 'год_гады_гадоў'\n };\n if (key === 'm') {\n return withoutSuffix ? 'хвіліна' : 'хвіліну';\n }\n else if (key === 'h') {\n return withoutSuffix ? 'гадзіна' : 'гадзіну';\n }\n else {\n return number + ' ' + plural(format[key], +number);\n }\n }\n\n var be = moment.defineLocale('be', {\n months : {\n format: 'студзеня_лютага_сакавіка_красавіка_траўня_чэрвеня_ліпеня_жніўня_верасня_кастрычніка_лістапада_снежня'.split('_'),\n standalone: 'студзень_люты_сакавік_красавік_травень_чэрвень_ліпень_жнівень_верасень_кастрычнік_лістапад_снежань'.split('_')\n },\n monthsShort : 'студ_лют_сак_крас_трав_чэрв_ліп_жнів_вер_каст_ліст_снеж'.split('_'),\n weekdays : {\n format: 'нядзелю_панядзелак_аўторак_сераду_чацвер_пятніцу_суботу'.split('_'),\n standalone: 'нядзеля_панядзелак_аўторак_серада_чацвер_пятніца_субота'.split('_'),\n isFormat: /\\[ ?[Ууў] ?(?:мінулую|наступную)? ?\\] ?dddd/\n },\n weekdaysShort : 'нд_пн_ат_ср_чц_пт_сб'.split('_'),\n weekdaysMin : 'нд_пн_ат_ср_чц_пт_сб'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY г.',\n LLL : 'D MMMM YYYY г., HH:mm',\n LLLL : 'dddd, D MMMM YYYY г., HH:mm'\n },\n calendar : {\n sameDay: '[Сёння ў] LT',\n nextDay: '[Заўтра ў] LT',\n lastDay: '[Учора ў] LT',\n nextWeek: function () {\n return '[У] dddd [ў] LT';\n },\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n case 3:\n case 5:\n case 6:\n return '[У мінулую] dddd [ў] LT';\n case 1:\n case 2:\n case 4:\n return '[У мінулы] dddd [ў] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'праз %s',\n past : '%s таму',\n s : 'некалькі секунд',\n m : relativeTimeWithPlural,\n mm : relativeTimeWithPlural,\n h : relativeTimeWithPlural,\n hh : relativeTimeWithPlural,\n d : 'дзень',\n dd : relativeTimeWithPlural,\n M : 'месяц',\n MM : relativeTimeWithPlural,\n y : 'год',\n yy : relativeTimeWithPlural\n },\n meridiemParse: /ночы|раніцы|дня|вечара/,\n isPM : function (input) {\n return /^(дня|вечара)$/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'ночы';\n } else if (hour < 12) {\n return 'раніцы';\n } else if (hour < 17) {\n return 'дня';\n } else {\n return 'вечара';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(і|ы|га)/,\n ordinal: function (number, period) {\n switch (period) {\n case 'M':\n case 'd':\n case 'DDD':\n case 'w':\n case 'W':\n return (number % 10 === 2 || number % 10 === 3) && (number % 100 !== 12 && number % 100 !== 13) ? number + '-і' : number + '-ы';\n case 'D':\n return number + '-га';\n default:\n return number;\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return be;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var bg = moment.defineLocale('bg', {\n months : 'януари_февруари_март_април_май_юни_юли_август_септември_октомври_ноември_декември'.split('_'),\n monthsShort : 'янр_фев_мар_апр_май_юни_юли_авг_сеп_окт_ное_дек'.split('_'),\n weekdays : 'неделя_понеделник_вторник_сряда_четвъртък_петък_събота'.split('_'),\n weekdaysShort : 'нед_пон_вто_сря_чет_пет_съб'.split('_'),\n weekdaysMin : 'нд_пн_вт_ср_чт_пт_сб'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'D.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY H:mm',\n LLLL : 'dddd, D MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[Днес в] LT',\n nextDay : '[Утре в] LT',\n nextWeek : 'dddd [в] LT',\n lastDay : '[Вчера в] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 0:\n case 3:\n case 6:\n return '[В изминалата] dddd [в] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[В изминалия] dddd [в] LT';\n }\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'след %s',\n past : 'преди %s',\n s : 'няколко секунди',\n ss : '%d секунди',\n m : 'минута',\n mm : '%d минути',\n h : 'час',\n hh : '%d часа',\n d : 'ден',\n dd : '%d дни',\n M : 'месец',\n MM : '%d месеца',\n y : 'година',\n yy : '%d години'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(ев|ен|ти|ви|ри|ми)/,\n ordinal : function (number) {\n var lastDigit = number % 10,\n last2Digits = number % 100;\n if (number === 0) {\n return number + '-ев';\n } else if (last2Digits === 0) {\n return number + '-ен';\n } else if (last2Digits > 10 && last2Digits < 20) {\n return number + '-ти';\n } else if (lastDigit === 1) {\n return number + '-ви';\n } else if (lastDigit === 2) {\n return number + '-ри';\n } else if (lastDigit === 7 || lastDigit === 8) {\n return number + '-ми';\n } else {\n return number + '-ти';\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return bg;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var bm = moment.defineLocale('bm', {\n months : 'Zanwuyekalo_Fewuruyekalo_Marisikalo_Awirilikalo_Mɛkalo_Zuwɛnkalo_Zuluyekalo_Utikalo_Sɛtanburukalo_ɔkutɔburukalo_Nowanburukalo_Desanburukalo'.split('_'),\n monthsShort : 'Zan_Few_Mar_Awi_Mɛ_Zuw_Zul_Uti_Sɛt_ɔku_Now_Des'.split('_'),\n weekdays : 'Kari_Ntɛnɛn_Tarata_Araba_Alamisa_Juma_Sibiri'.split('_'),\n weekdaysShort : 'Kar_Ntɛ_Tar_Ara_Ala_Jum_Sib'.split('_'),\n weekdaysMin : 'Ka_Nt_Ta_Ar_Al_Ju_Si'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'MMMM [tile] D [san] YYYY',\n LLL : 'MMMM [tile] D [san] YYYY [lɛrɛ] HH:mm',\n LLLL : 'dddd MMMM [tile] D [san] YYYY [lɛrɛ] HH:mm'\n },\n calendar : {\n sameDay : '[Bi lɛrɛ] LT',\n nextDay : '[Sini lɛrɛ] LT',\n nextWeek : 'dddd [don lɛrɛ] LT',\n lastDay : '[Kunu lɛrɛ] LT',\n lastWeek : 'dddd [tɛmɛnen lɛrɛ] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s kɔnɔ',\n past : 'a bɛ %s bɔ',\n s : 'sanga dama dama',\n ss : 'sekondi %d',\n m : 'miniti kelen',\n mm : 'miniti %d',\n h : 'lɛrɛ kelen',\n hh : 'lɛrɛ %d',\n d : 'tile kelen',\n dd : 'tile %d',\n M : 'kalo kelen',\n MM : 'kalo %d',\n y : 'san kelen',\n yy : 'san %d'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return bm;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '১',\n '2': '২',\n '3': '৩',\n '4': '৪',\n '5': '৫',\n '6': '৬',\n '7': '৭',\n '8': '৮',\n '9': '৯',\n '0': '০'\n },\n numberMap = {\n '১': '1',\n '২': '2',\n '৩': '3',\n '৪': '4',\n '৫': '5',\n '৬': '6',\n '৭': '7',\n '৮': '8',\n '৯': '9',\n '০': '0'\n };\n\n var bn = moment.defineLocale('bn', {\n months : 'জানুয়ারী_ফেব্রুয়ারি_মার্চ_এপ্রিল_মে_জুন_জুলাই_আগস্ট_সেপ্টেম্বর_অক্টোবর_নভেম্বর_ডিসেম্বর'.split('_'),\n monthsShort : 'জানু_ফেব_মার্চ_এপ্র_মে_জুন_জুল_আগ_সেপ্ট_অক্টো_নভে_ডিসে'.split('_'),\n weekdays : 'রবিবার_সোমবার_মঙ্গলবার_বুধবার_বৃহস্পতিবার_শুক্রবার_শনিবার'.split('_'),\n weekdaysShort : 'রবি_সোম_মঙ্গল_বুধ_বৃহস্পতি_শুক্র_শনি'.split('_'),\n weekdaysMin : 'রবি_সোম_মঙ্গ_বুধ_বৃহঃ_শুক্র_শনি'.split('_'),\n longDateFormat : {\n LT : 'A h:mm সময়',\n LTS : 'A h:mm:ss সময়',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm সময়',\n LLLL : 'dddd, D MMMM YYYY, A h:mm সময়'\n },\n calendar : {\n sameDay : '[আজ] LT',\n nextDay : '[আগামীকাল] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[গতকাল] LT',\n lastWeek : '[গত] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s পরে',\n past : '%s আগে',\n s : 'কয়েক সেকেন্ড',\n ss : '%d সেকেন্ড',\n m : 'এক মিনিট',\n mm : '%d মিনিট',\n h : 'এক ঘন্টা',\n hh : '%d ঘন্টা',\n d : 'এক দিন',\n dd : '%d দিন',\n M : 'এক মাস',\n MM : '%d মাস',\n y : 'এক বছর',\n yy : '%d বছর'\n },\n preparse: function (string) {\n return string.replace(/[১২৩৪৫৬৭৮৯০]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n meridiemParse: /রাত|সকাল|দুপুর|বিকাল|রাত/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if ((meridiem === 'রাত' && hour >= 4) ||\n (meridiem === 'দুপুর' && hour < 5) ||\n meridiem === 'বিকাল') {\n return hour + 12;\n } else {\n return hour;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'রাত';\n } else if (hour < 10) {\n return 'সকাল';\n } else if (hour < 17) {\n return 'দুপুর';\n } else if (hour < 20) {\n return 'বিকাল';\n } else {\n return 'রাত';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return bn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '༡',\n '2': '༢',\n '3': '༣',\n '4': '༤',\n '5': '༥',\n '6': '༦',\n '7': '༧',\n '8': '༨',\n '9': '༩',\n '0': '༠'\n },\n numberMap = {\n '༡': '1',\n '༢': '2',\n '༣': '3',\n '༤': '4',\n '༥': '5',\n '༦': '6',\n '༧': '7',\n '༨': '8',\n '༩': '9',\n '༠': '0'\n };\n\n var bo = moment.defineLocale('bo', {\n months : 'ཟླ་བ་དང་པོ_ཟླ་བ་གཉིས་པ_ཟླ་བ་གསུམ་པ_ཟླ་བ་བཞི་པ_ཟླ་བ་ལྔ་པ_ཟླ་བ་དྲུག་པ_ཟླ་བ་བདུན་པ_ཟླ་བ་བརྒྱད་པ_ཟླ་བ་དགུ་པ_ཟླ་བ་བཅུ་པ_ཟླ་བ་བཅུ་གཅིག་པ_ཟླ་བ་བཅུ་གཉིས་པ'.split('_'),\n monthsShort : 'ཟླ་བ་དང་པོ_ཟླ་བ་གཉིས་པ_ཟླ་བ་གསུམ་པ_ཟླ་བ་བཞི་པ_ཟླ་བ་ལྔ་པ_ཟླ་བ་དྲུག་པ_ཟླ་བ་བདུན་པ_ཟླ་བ་བརྒྱད་པ_ཟླ་བ་དགུ་པ_ཟླ་བ་བཅུ་པ_ཟླ་བ་བཅུ་གཅིག་པ_ཟླ་བ་བཅུ་གཉིས་པ'.split('_'),\n weekdays : 'གཟའ་ཉི་མ་_གཟའ་ཟླ་བ་_གཟའ་མིག་དམར་_གཟའ་ལྷག་པ་_གཟའ་ཕུར་བུ_གཟའ་པ་སངས་_གཟའ་སྤེན་པ་'.split('_'),\n weekdaysShort : 'ཉི་མ་_ཟླ་བ་_མིག་དམར་_ལྷག་པ་_ཕུར་བུ_པ་སངས་_སྤེན་པ་'.split('_'),\n weekdaysMin : 'ཉི་མ་_ཟླ་བ་_མིག་དམར་_ལྷག་པ་_ཕུར་བུ_པ་སངས་_སྤེན་པ་'.split('_'),\n longDateFormat : {\n LT : 'A h:mm',\n LTS : 'A h:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm',\n LLLL : 'dddd, D MMMM YYYY, A h:mm'\n },\n calendar : {\n sameDay : '[དི་རིང] LT',\n nextDay : '[སང་ཉིན] LT',\n nextWeek : '[བདུན་ཕྲག་རྗེས་མ], LT',\n lastDay : '[ཁ་སང] LT',\n lastWeek : '[བདུན་ཕྲག་མཐའ་མ] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s ལ་',\n past : '%s སྔན་ལ',\n s : 'ལམ་སང',\n ss : '%d སྐར་ཆ།',\n m : 'སྐར་མ་གཅིག',\n mm : '%d སྐར་མ',\n h : 'ཆུ་ཚོད་གཅིག',\n hh : '%d ཆུ་ཚོད',\n d : 'ཉིན་གཅིག',\n dd : '%d ཉིན་',\n M : 'ཟླ་བ་གཅིག',\n MM : '%d ཟླ་བ',\n y : 'ལོ་གཅིག',\n yy : '%d ལོ'\n },\n preparse: function (string) {\n return string.replace(/[༡༢༣༤༥༦༧༨༩༠]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n meridiemParse: /མཚན་མོ|ཞོགས་ཀས|ཉིན་གུང|དགོང་དག|མཚན་མོ/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if ((meridiem === 'མཚན་མོ' && hour >= 4) ||\n (meridiem === 'ཉིན་གུང' && hour < 5) ||\n meridiem === 'དགོང་དག') {\n return hour + 12;\n } else {\n return hour;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'མཚན་མོ';\n } else if (hour < 10) {\n return 'ཞོགས་ཀས';\n } else if (hour < 17) {\n return 'ཉིན་གུང';\n } else if (hour < 20) {\n return 'དགོང་དག';\n } else {\n return 'མཚན་མོ';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return bo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function relativeTimeWithMutation(number, withoutSuffix, key) {\n var format = {\n 'mm': 'munutenn',\n 'MM': 'miz',\n 'dd': 'devezh'\n };\n return number + ' ' + mutation(format[key], number);\n }\n function specialMutationForYears(number) {\n switch (lastNumber(number)) {\n case 1:\n case 3:\n case 4:\n case 5:\n case 9:\n return number + ' bloaz';\n default:\n return number + ' vloaz';\n }\n }\n function lastNumber(number) {\n if (number > 9) {\n return lastNumber(number % 10);\n }\n return number;\n }\n function mutation(text, number) {\n if (number === 2) {\n return softMutation(text);\n }\n return text;\n }\n function softMutation(text) {\n var mutationTable = {\n 'm': 'v',\n 'b': 'v',\n 'd': 'z'\n };\n if (mutationTable[text.charAt(0)] === undefined) {\n return text;\n }\n return mutationTable[text.charAt(0)] + text.substring(1);\n }\n\n var br = moment.defineLocale('br', {\n months : 'Genver_C\\'hwevrer_Meurzh_Ebrel_Mae_Mezheven_Gouere_Eost_Gwengolo_Here_Du_Kerzu'.split('_'),\n monthsShort : 'Gen_C\\'hwe_Meu_Ebr_Mae_Eve_Gou_Eos_Gwe_Her_Du_Ker'.split('_'),\n weekdays : 'Sul_Lun_Meurzh_Merc\\'her_Yaou_Gwener_Sadorn'.split('_'),\n weekdaysShort : 'Sul_Lun_Meu_Mer_Yao_Gwe_Sad'.split('_'),\n weekdaysMin : 'Su_Lu_Me_Mer_Ya_Gw_Sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'h[e]mm A',\n LTS : 'h[e]mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D [a viz] MMMM YYYY',\n LLL : 'D [a viz] MMMM YYYY h[e]mm A',\n LLLL : 'dddd, D [a viz] MMMM YYYY h[e]mm A'\n },\n calendar : {\n sameDay : '[Hiziv da] LT',\n nextDay : '[Warc\\'hoazh da] LT',\n nextWeek : 'dddd [da] LT',\n lastDay : '[Dec\\'h da] LT',\n lastWeek : 'dddd [paset da] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'a-benn %s',\n past : '%s \\'zo',\n s : 'un nebeud segondennoù',\n ss : '%d eilenn',\n m : 'ur vunutenn',\n mm : relativeTimeWithMutation,\n h : 'un eur',\n hh : '%d eur',\n d : 'un devezh',\n dd : relativeTimeWithMutation,\n M : 'ur miz',\n MM : relativeTimeWithMutation,\n y : 'ur bloaz',\n yy : specialMutationForYears\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(añ|vet)/,\n ordinal : function (number) {\n var output = (number === 1) ? 'añ' : 'vet';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return br;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function translate(number, withoutSuffix, key) {\n var result = number + ' ';\n switch (key) {\n case 'ss':\n if (number === 1) {\n result += 'sekunda';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'sekunde';\n } else {\n result += 'sekundi';\n }\n return result;\n case 'm':\n return withoutSuffix ? 'jedna minuta' : 'jedne minute';\n case 'mm':\n if (number === 1) {\n result += 'minuta';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'minute';\n } else {\n result += 'minuta';\n }\n return result;\n case 'h':\n return withoutSuffix ? 'jedan sat' : 'jednog sata';\n case 'hh':\n if (number === 1) {\n result += 'sat';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'sata';\n } else {\n result += 'sati';\n }\n return result;\n case 'dd':\n if (number === 1) {\n result += 'dan';\n } else {\n result += 'dana';\n }\n return result;\n case 'MM':\n if (number === 1) {\n result += 'mjesec';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'mjeseca';\n } else {\n result += 'mjeseci';\n }\n return result;\n case 'yy':\n if (number === 1) {\n result += 'godina';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'godine';\n } else {\n result += 'godina';\n }\n return result;\n }\n }\n\n var bs = moment.defineLocale('bs', {\n months : 'januar_februar_mart_april_maj_juni_juli_august_septembar_oktobar_novembar_decembar'.split('_'),\n monthsShort : 'jan._feb._mar._apr._maj._jun._jul._aug._sep._okt._nov._dec.'.split('_'),\n monthsParseExact: true,\n weekdays : 'nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota'.split('_'),\n weekdaysShort : 'ned._pon._uto._sri._čet._pet._sub.'.split('_'),\n weekdaysMin : 'ne_po_ut_sr_če_pe_su'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd, D. MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[danas u] LT',\n nextDay : '[sutra u] LT',\n nextWeek : function () {\n switch (this.day()) {\n case 0:\n return '[u] [nedjelju] [u] LT';\n case 3:\n return '[u] [srijedu] [u] LT';\n case 6:\n return '[u] [subotu] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[u] dddd [u] LT';\n }\n },\n lastDay : '[jučer u] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 0:\n case 3:\n return '[prošlu] dddd [u] LT';\n case 6:\n return '[prošle] [subote] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[prošli] dddd [u] LT';\n }\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'prije %s',\n s : 'par sekundi',\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : 'dan',\n dd : translate,\n M : 'mjesec',\n MM : translate,\n y : 'godinu',\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return bs;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ca = moment.defineLocale('ca', {\n months : {\n standalone: 'gener_febrer_març_abril_maig_juny_juliol_agost_setembre_octubre_novembre_desembre'.split('_'),\n format: 'de gener_de febrer_de març_d\\'abril_de maig_de juny_de juliol_d\\'agost_de setembre_d\\'octubre_de novembre_de desembre'.split('_'),\n isFormat: /D[oD]?(\\s)+MMMM/\n },\n monthsShort : 'gen._febr._març_abr._maig_juny_jul._ag._set._oct._nov._des.'.split('_'),\n monthsParseExact : true,\n weekdays : 'diumenge_dilluns_dimarts_dimecres_dijous_divendres_dissabte'.split('_'),\n weekdaysShort : 'dg._dl._dt._dc._dj._dv._ds.'.split('_'),\n weekdaysMin : 'dg_dl_dt_dc_dj_dv_ds'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM [de] YYYY',\n ll : 'D MMM YYYY',\n LLL : 'D MMMM [de] YYYY [a les] H:mm',\n lll : 'D MMM YYYY, H:mm',\n LLLL : 'dddd D MMMM [de] YYYY [a les] H:mm',\n llll : 'ddd D MMM YYYY, H:mm'\n },\n calendar : {\n sameDay : function () {\n return '[avui a ' + ((this.hours() !== 1) ? 'les' : 'la') + '] LT';\n },\n nextDay : function () {\n return '[demà a ' + ((this.hours() !== 1) ? 'les' : 'la') + '] LT';\n },\n nextWeek : function () {\n return 'dddd [a ' + ((this.hours() !== 1) ? 'les' : 'la') + '] LT';\n },\n lastDay : function () {\n return '[ahir a ' + ((this.hours() !== 1) ? 'les' : 'la') + '] LT';\n },\n lastWeek : function () {\n return '[el] dddd [passat a ' + ((this.hours() !== 1) ? 'les' : 'la') + '] LT';\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'd\\'aquí %s',\n past : 'fa %s',\n s : 'uns segons',\n ss : '%d segons',\n m : 'un minut',\n mm : '%d minuts',\n h : 'una hora',\n hh : '%d hores',\n d : 'un dia',\n dd : '%d dies',\n M : 'un mes',\n MM : '%d mesos',\n y : 'un any',\n yy : '%d anys'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(r|n|t|è|a)/,\n ordinal : function (number, period) {\n var output = (number === 1) ? 'r' :\n (number === 2) ? 'n' :\n (number === 3) ? 'r' :\n (number === 4) ? 't' : 'è';\n if (period === 'w' || period === 'W') {\n output = 'a';\n }\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return ca;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = 'leden_únor_březen_duben_květen_červen_červenec_srpen_září_říjen_listopad_prosinec'.split('_'),\n monthsShort = 'led_úno_bře_dub_kvě_čvn_čvc_srp_zář_říj_lis_pro'.split('_');\n\n var monthsParse = [/^led/i, /^úno/i, /^bře/i, /^dub/i, /^kvě/i, /^(čvn|červen$|června)/i, /^(čvc|červenec|července)/i, /^srp/i, /^zář/i, /^říj/i, /^lis/i, /^pro/i];\n // NOTE: 'červen' is substring of 'červenec'; therefore 'červenec' must precede 'červen' in the regex to be fully matched.\n // Otherwise parser matches '1. červenec' as '1. červen' + 'ec'.\n var monthsRegex = /^(leden|únor|březen|duben|květen|červenec|července|červen|června|srpen|září|říjen|listopad|prosinec|led|úno|bře|dub|kvě|čvn|čvc|srp|zář|říj|lis|pro)/i;\n\n function plural(n) {\n return (n > 1) && (n < 5) && (~~(n / 10) !== 1);\n }\n function translate(number, withoutSuffix, key, isFuture) {\n var result = number + ' ';\n switch (key) {\n case 's': // a few seconds / in a few seconds / a few seconds ago\n return (withoutSuffix || isFuture) ? 'pár sekund' : 'pár sekundami';\n case 'ss': // 9 seconds / in 9 seconds / 9 seconds ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'sekundy' : 'sekund');\n } else {\n return result + 'sekundami';\n }\n break;\n case 'm': // a minute / in a minute / a minute ago\n return withoutSuffix ? 'minuta' : (isFuture ? 'minutu' : 'minutou');\n case 'mm': // 9 minutes / in 9 minutes / 9 minutes ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'minuty' : 'minut');\n } else {\n return result + 'minutami';\n }\n break;\n case 'h': // an hour / in an hour / an hour ago\n return withoutSuffix ? 'hodina' : (isFuture ? 'hodinu' : 'hodinou');\n case 'hh': // 9 hours / in 9 hours / 9 hours ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'hodiny' : 'hodin');\n } else {\n return result + 'hodinami';\n }\n break;\n case 'd': // a day / in a day / a day ago\n return (withoutSuffix || isFuture) ? 'den' : 'dnem';\n case 'dd': // 9 days / in 9 days / 9 days ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'dny' : 'dní');\n } else {\n return result + 'dny';\n }\n break;\n case 'M': // a month / in a month / a month ago\n return (withoutSuffix || isFuture) ? 'měsíc' : 'měsícem';\n case 'MM': // 9 months / in 9 months / 9 months ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'měsíce' : 'měsíců');\n } else {\n return result + 'měsíci';\n }\n break;\n case 'y': // a year / in a year / a year ago\n return (withoutSuffix || isFuture) ? 'rok' : 'rokem';\n case 'yy': // 9 years / in 9 years / 9 years ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'roky' : 'let');\n } else {\n return result + 'lety';\n }\n break;\n }\n }\n\n var cs = moment.defineLocale('cs', {\n months : months,\n monthsShort : monthsShort,\n monthsRegex : monthsRegex,\n monthsShortRegex : monthsRegex,\n // NOTE: 'červen' is substring of 'červenec'; therefore 'červenec' must precede 'červen' in the regex to be fully matched.\n // Otherwise parser matches '1. červenec' as '1. červen' + 'ec'.\n monthsStrictRegex : /^(leden|ledna|února|únor|březen|března|duben|dubna|květen|května|červenec|července|červen|června|srpen|srpna|září|říjen|října|listopadu|listopad|prosinec|prosince)/i,\n monthsShortStrictRegex : /^(led|úno|bře|dub|kvě|čvn|čvc|srp|zář|říj|lis|pro)/i,\n monthsParse : monthsParse,\n longMonthsParse : monthsParse,\n shortMonthsParse : monthsParse,\n weekdays : 'neděle_pondělí_úterý_středa_čtvrtek_pátek_sobota'.split('_'),\n weekdaysShort : 'ne_po_út_st_čt_pá_so'.split('_'),\n weekdaysMin : 'ne_po_út_st_čt_pá_so'.split('_'),\n longDateFormat : {\n LT: 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd D. MMMM YYYY H:mm',\n l : 'D. M. YYYY'\n },\n calendar : {\n sameDay: '[dnes v] LT',\n nextDay: '[zítra v] LT',\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[v neděli v] LT';\n case 1:\n case 2:\n return '[v] dddd [v] LT';\n case 3:\n return '[ve středu v] LT';\n case 4:\n return '[ve čtvrtek v] LT';\n case 5:\n return '[v pátek v] LT';\n case 6:\n return '[v sobotu v] LT';\n }\n },\n lastDay: '[včera v] LT',\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n return '[minulou neděli v] LT';\n case 1:\n case 2:\n return '[minulé] dddd [v] LT';\n case 3:\n return '[minulou středu v] LT';\n case 4:\n case 5:\n return '[minulý] dddd [v] LT';\n case 6:\n return '[minulou sobotu v] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'před %s',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse : /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return cs;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var cv = moment.defineLocale('cv', {\n months : 'кӑрлач_нарӑс_пуш_ака_май_ҫӗртме_утӑ_ҫурла_авӑн_юпа_чӳк_раштав'.split('_'),\n monthsShort : 'кӑр_нар_пуш_ака_май_ҫӗр_утӑ_ҫур_авн_юпа_чӳк_раш'.split('_'),\n weekdays : 'вырсарникун_тунтикун_ытларикун_юнкун_кӗҫнерникун_эрнекун_шӑматкун'.split('_'),\n weekdaysShort : 'выр_тун_ытл_юн_кӗҫ_эрн_шӑм'.split('_'),\n weekdaysMin : 'вр_тн_ыт_юн_кҫ_эр_шм'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD-MM-YYYY',\n LL : 'YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ]',\n LLL : 'YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ], HH:mm',\n LLLL : 'dddd, YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ], HH:mm'\n },\n calendar : {\n sameDay: '[Паян] LT [сехетре]',\n nextDay: '[Ыран] LT [сехетре]',\n lastDay: '[Ӗнер] LT [сехетре]',\n nextWeek: '[Ҫитес] dddd LT [сехетре]',\n lastWeek: '[Иртнӗ] dddd LT [сехетре]',\n sameElse: 'L'\n },\n relativeTime : {\n future : function (output) {\n var affix = /сехет$/i.exec(output) ? 'рен' : /ҫул$/i.exec(output) ? 'тан' : 'ран';\n return output + affix;\n },\n past : '%s каялла',\n s : 'пӗр-ик ҫеккунт',\n ss : '%d ҫеккунт',\n m : 'пӗр минут',\n mm : '%d минут',\n h : 'пӗр сехет',\n hh : '%d сехет',\n d : 'пӗр кун',\n dd : '%d кун',\n M : 'пӗр уйӑх',\n MM : '%d уйӑх',\n y : 'пӗр ҫул',\n yy : '%d ҫул'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-мӗш/,\n ordinal : '%d-мӗш',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return cv;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var cy = moment.defineLocale('cy', {\n months: 'Ionawr_Chwefror_Mawrth_Ebrill_Mai_Mehefin_Gorffennaf_Awst_Medi_Hydref_Tachwedd_Rhagfyr'.split('_'),\n monthsShort: 'Ion_Chwe_Maw_Ebr_Mai_Meh_Gor_Aws_Med_Hyd_Tach_Rhag'.split('_'),\n weekdays: 'Dydd Sul_Dydd Llun_Dydd Mawrth_Dydd Mercher_Dydd Iau_Dydd Gwener_Dydd Sadwrn'.split('_'),\n weekdaysShort: 'Sul_Llun_Maw_Mer_Iau_Gwe_Sad'.split('_'),\n weekdaysMin: 'Su_Ll_Ma_Me_Ia_Gw_Sa'.split('_'),\n weekdaysParseExact : true,\n // time formats are the same as en-gb\n longDateFormat: {\n LT: 'HH:mm',\n LTS : 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY HH:mm',\n LLLL: 'dddd, D MMMM YYYY HH:mm'\n },\n calendar: {\n sameDay: '[Heddiw am] LT',\n nextDay: '[Yfory am] LT',\n nextWeek: 'dddd [am] LT',\n lastDay: '[Ddoe am] LT',\n lastWeek: 'dddd [diwethaf am] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: 'mewn %s',\n past: '%s yn ôl',\n s: 'ychydig eiliadau',\n ss: '%d eiliad',\n m: 'munud',\n mm: '%d munud',\n h: 'awr',\n hh: '%d awr',\n d: 'diwrnod',\n dd: '%d diwrnod',\n M: 'mis',\n MM: '%d mis',\n y: 'blwyddyn',\n yy: '%d flynedd'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(fed|ain|af|il|ydd|ed|eg)/,\n // traditional ordinal numbers above 31 are not commonly used in colloquial Welsh\n ordinal: function (number) {\n var b = number,\n output = '',\n lookup = [\n '', 'af', 'il', 'ydd', 'ydd', 'ed', 'ed', 'ed', 'fed', 'fed', 'fed', // 1af to 10fed\n 'eg', 'fed', 'eg', 'eg', 'fed', 'eg', 'eg', 'fed', 'eg', 'fed' // 11eg to 20fed\n ];\n if (b > 20) {\n if (b === 40 || b === 50 || b === 60 || b === 80 || b === 100) {\n output = 'fed'; // not 30ain, 70ain or 90ain\n } else {\n output = 'ain';\n }\n } else if (b > 0) {\n output = lookup[b];\n }\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return cy;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var da = moment.defineLocale('da', {\n months : 'januar_februar_marts_april_maj_juni_juli_august_september_oktober_november_december'.split('_'),\n monthsShort : 'jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec'.split('_'),\n weekdays : 'søndag_mandag_tirsdag_onsdag_torsdag_fredag_lørdag'.split('_'),\n weekdaysShort : 'søn_man_tir_ons_tor_fre_lør'.split('_'),\n weekdaysMin : 'sø_ma_ti_on_to_fr_lø'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY HH:mm',\n LLLL : 'dddd [d.] D. MMMM YYYY [kl.] HH:mm'\n },\n calendar : {\n sameDay : '[i dag kl.] LT',\n nextDay : '[i morgen kl.] LT',\n nextWeek : 'på dddd [kl.] LT',\n lastDay : '[i går kl.] LT',\n lastWeek : '[i] dddd[s kl.] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'om %s',\n past : '%s siden',\n s : 'få sekunder',\n ss : '%d sekunder',\n m : 'et minut',\n mm : '%d minutter',\n h : 'en time',\n hh : '%d timer',\n d : 'en dag',\n dd : '%d dage',\n M : 'en måned',\n MM : '%d måneder',\n y : 'et år',\n yy : '%d år'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return da;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 'm': ['eine Minute', 'einer Minute'],\n 'h': ['eine Stunde', 'einer Stunde'],\n 'd': ['ein Tag', 'einem Tag'],\n 'dd': [number + ' Tage', number + ' Tagen'],\n 'M': ['ein Monat', 'einem Monat'],\n 'MM': [number + ' Monate', number + ' Monaten'],\n 'y': ['ein Jahr', 'einem Jahr'],\n 'yy': [number + ' Jahre', number + ' Jahren']\n };\n return withoutSuffix ? format[key][0] : format[key][1];\n }\n\n var de = moment.defineLocale('de', {\n months : 'Januar_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember'.split('_'),\n monthsShort : 'Jan._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.'.split('_'),\n monthsParseExact : true,\n weekdays : 'Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag'.split('_'),\n weekdaysShort : 'So._Mo._Di._Mi._Do._Fr._Sa.'.split('_'),\n weekdaysMin : 'So_Mo_Di_Mi_Do_Fr_Sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY HH:mm',\n LLLL : 'dddd, D. MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[heute um] LT [Uhr]',\n sameElse: 'L',\n nextDay: '[morgen um] LT [Uhr]',\n nextWeek: 'dddd [um] LT [Uhr]',\n lastDay: '[gestern um] LT [Uhr]',\n lastWeek: '[letzten] dddd [um] LT [Uhr]'\n },\n relativeTime : {\n future : 'in %s',\n past : 'vor %s',\n s : 'ein paar Sekunden',\n ss : '%d Sekunden',\n m : processRelativeTime,\n mm : '%d Minuten',\n h : processRelativeTime,\n hh : '%d Stunden',\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return de;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 'm': ['eine Minute', 'einer Minute'],\n 'h': ['eine Stunde', 'einer Stunde'],\n 'd': ['ein Tag', 'einem Tag'],\n 'dd': [number + ' Tage', number + ' Tagen'],\n 'M': ['ein Monat', 'einem Monat'],\n 'MM': [number + ' Monate', number + ' Monaten'],\n 'y': ['ein Jahr', 'einem Jahr'],\n 'yy': [number + ' Jahre', number + ' Jahren']\n };\n return withoutSuffix ? format[key][0] : format[key][1];\n }\n\n var deAt = moment.defineLocale('de-at', {\n months : 'Jänner_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember'.split('_'),\n monthsShort : 'Jän._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.'.split('_'),\n monthsParseExact : true,\n weekdays : 'Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag'.split('_'),\n weekdaysShort : 'So._Mo._Di._Mi._Do._Fr._Sa.'.split('_'),\n weekdaysMin : 'So_Mo_Di_Mi_Do_Fr_Sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY HH:mm',\n LLLL : 'dddd, D. MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[heute um] LT [Uhr]',\n sameElse: 'L',\n nextDay: '[morgen um] LT [Uhr]',\n nextWeek: 'dddd [um] LT [Uhr]',\n lastDay: '[gestern um] LT [Uhr]',\n lastWeek: '[letzten] dddd [um] LT [Uhr]'\n },\n relativeTime : {\n future : 'in %s',\n past : 'vor %s',\n s : 'ein paar Sekunden',\n ss : '%d Sekunden',\n m : processRelativeTime,\n mm : '%d Minuten',\n h : processRelativeTime,\n hh : '%d Stunden',\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return deAt;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 'm': ['eine Minute', 'einer Minute'],\n 'h': ['eine Stunde', 'einer Stunde'],\n 'd': ['ein Tag', 'einem Tag'],\n 'dd': [number + ' Tage', number + ' Tagen'],\n 'M': ['ein Monat', 'einem Monat'],\n 'MM': [number + ' Monate', number + ' Monaten'],\n 'y': ['ein Jahr', 'einem Jahr'],\n 'yy': [number + ' Jahre', number + ' Jahren']\n };\n return withoutSuffix ? format[key][0] : format[key][1];\n }\n\n var deCh = moment.defineLocale('de-ch', {\n months : 'Januar_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember'.split('_'),\n monthsShort : 'Jan._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.'.split('_'),\n monthsParseExact : true,\n weekdays : 'Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag'.split('_'),\n weekdaysShort : 'So_Mo_Di_Mi_Do_Fr_Sa'.split('_'),\n weekdaysMin : 'So_Mo_Di_Mi_Do_Fr_Sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY HH:mm',\n LLLL : 'dddd, D. MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[heute um] LT [Uhr]',\n sameElse: 'L',\n nextDay: '[morgen um] LT [Uhr]',\n nextWeek: 'dddd [um] LT [Uhr]',\n lastDay: '[gestern um] LT [Uhr]',\n lastWeek: '[letzten] dddd [um] LT [Uhr]'\n },\n relativeTime : {\n future : 'in %s',\n past : 'vor %s',\n s : 'ein paar Sekunden',\n ss : '%d Sekunden',\n m : processRelativeTime,\n mm : '%d Minuten',\n h : processRelativeTime,\n hh : '%d Stunden',\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return deCh;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = [\n 'ޖެނުއަރީ',\n 'ފެބްރުއަރީ',\n 'މާރިޗު',\n 'އޭޕްރީލު',\n 'މޭ',\n 'ޖޫން',\n 'ޖުލައި',\n 'އޯގަސްޓު',\n 'ސެޕްޓެމްބަރު',\n 'އޮކްޓޯބަރު',\n 'ނޮވެމްބަރު',\n 'ޑިސެމްބަރު'\n ], weekdays = [\n 'އާދިއްތަ',\n 'ހޯމަ',\n 'އަންގާރަ',\n 'ބުދަ',\n 'ބުރާސްފަތި',\n 'ހުކުރު',\n 'ހޮނިހިރު'\n ];\n\n var dv = moment.defineLocale('dv', {\n months : months,\n monthsShort : months,\n weekdays : weekdays,\n weekdaysShort : weekdays,\n weekdaysMin : 'އާދި_ހޯމަ_އަން_ބުދަ_ބުރާ_ހުކު_ހޮނި'.split('_'),\n longDateFormat : {\n\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'D/M/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n meridiemParse: /މކ|މފ/,\n isPM : function (input) {\n return 'މފ' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'މކ';\n } else {\n return 'މފ';\n }\n },\n calendar : {\n sameDay : '[މިއަދު] LT',\n nextDay : '[މާދަމާ] LT',\n nextWeek : 'dddd LT',\n lastDay : '[އިއްޔެ] LT',\n lastWeek : '[ފާއިތުވި] dddd LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'ތެރޭގައި %s',\n past : 'ކުރިން %s',\n s : 'ސިކުންތުކޮޅެއް',\n ss : 'd% ސިކުންތު',\n m : 'މިނިޓެއް',\n mm : 'މިނިޓު %d',\n h : 'ގަޑިއިރެއް',\n hh : 'ގަޑިއިރު %d',\n d : 'ދުވަހެއް',\n dd : 'ދުވަސް %d',\n M : 'މަހެއް',\n MM : 'މަސް %d',\n y : 'އަހަރެއް',\n yy : 'އަހަރު %d'\n },\n preparse: function (string) {\n return string.replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/,/g, '،');\n },\n week : {\n dow : 7, // Sunday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return dv;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n function isFunction(input) {\n return input instanceof Function || Object.prototype.toString.call(input) === '[object Function]';\n }\n\n\n var el = moment.defineLocale('el', {\n monthsNominativeEl : 'Ιανουάριος_Φεβρουάριος_Μάρτιος_Απρίλιος_Μάιος_Ιούνιος_Ιούλιος_Αύγουστος_Σεπτέμβριος_Οκτώβριος_Νοέμβριος_Δεκέμβριος'.split('_'),\n monthsGenitiveEl : 'Ιανουαρίου_Φεβρουαρίου_Μαρτίου_Απριλίου_Μαΐου_Ιουνίου_Ιουλίου_Αυγούστου_Σεπτεμβρίου_Οκτωβρίου_Νοεμβρίου_Δεκεμβρίου'.split('_'),\n months : function (momentToFormat, format) {\n if (!momentToFormat) {\n return this._monthsNominativeEl;\n } else if (typeof format === 'string' && /D/.test(format.substring(0, format.indexOf('MMMM')))) { // if there is a day number before 'MMMM'\n return this._monthsGenitiveEl[momentToFormat.month()];\n } else {\n return this._monthsNominativeEl[momentToFormat.month()];\n }\n },\n monthsShort : 'Ιαν_Φεβ_Μαρ_Απρ_Μαϊ_Ιουν_Ιουλ_Αυγ_Σεπ_Οκτ_Νοε_Δεκ'.split('_'),\n weekdays : 'Κυριακή_Δευτέρα_Τρίτη_Τετάρτη_Πέμπτη_Παρασκευή_Σάββατο'.split('_'),\n weekdaysShort : 'Κυρ_Δευ_Τρι_Τετ_Πεμ_Παρ_Σαβ'.split('_'),\n weekdaysMin : 'Κυ_Δε_Τρ_Τε_Πε_Πα_Σα'.split('_'),\n meridiem : function (hours, minutes, isLower) {\n if (hours > 11) {\n return isLower ? 'μμ' : 'ΜΜ';\n } else {\n return isLower ? 'πμ' : 'ΠΜ';\n }\n },\n isPM : function (input) {\n return ((input + '').toLowerCase()[0] === 'μ');\n },\n meridiemParse : /[ΠΜ]\\.?Μ?\\.?/i,\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendarEl : {\n sameDay : '[Σήμερα {}] LT',\n nextDay : '[Αύριο {}] LT',\n nextWeek : 'dddd [{}] LT',\n lastDay : '[Χθες {}] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 6:\n return '[το προηγούμενο] dddd [{}] LT';\n default:\n return '[την προηγούμενη] dddd [{}] LT';\n }\n },\n sameElse : 'L'\n },\n calendar : function (key, mom) {\n var output = this._calendarEl[key],\n hours = mom && mom.hours();\n if (isFunction(output)) {\n output = output.apply(mom);\n }\n return output.replace('{}', (hours % 12 === 1 ? 'στη' : 'στις'));\n },\n relativeTime : {\n future : 'σε %s',\n past : '%s πριν',\n s : 'λίγα δευτερόλεπτα',\n ss : '%d δευτερόλεπτα',\n m : 'ένα λεπτό',\n mm : '%d λεπτά',\n h : 'μία ώρα',\n hh : '%d ώρες',\n d : 'μία μέρα',\n dd : '%d μέρες',\n M : 'ένας μήνας',\n MM : '%d μήνες',\n y : 'ένας χρόνος',\n yy : '%d χρόνια'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}η/,\n ordinal: '%dη',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4st is the first week of the year.\n }\n });\n\n return el;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enSG = moment.defineLocale('en-SG', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return enSG;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enAu = moment.defineLocale('en-au', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return enAu;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enCa = moment.defineLocale('en-ca', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'YYYY-MM-DD',\n LL : 'MMMM D, YYYY',\n LLL : 'MMMM D, YYYY h:mm A',\n LLLL : 'dddd, MMMM D, YYYY h:mm A'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n }\n });\n\n return enCa;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enGb = moment.defineLocale('en-gb', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return enGb;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enIe = moment.defineLocale('en-ie', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return enIe;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enIl = moment.defineLocale('en-il', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n }\n });\n\n return enIl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enNz = moment.defineLocale('en-nz', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return enNz;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var eo = moment.defineLocale('eo', {\n months : 'januaro_februaro_marto_aprilo_majo_junio_julio_aŭgusto_septembro_oktobro_novembro_decembro'.split('_'),\n monthsShort : 'jan_feb_mar_apr_maj_jun_jul_aŭg_sep_okt_nov_dec'.split('_'),\n weekdays : 'dimanĉo_lundo_mardo_merkredo_ĵaŭdo_vendredo_sabato'.split('_'),\n weekdaysShort : 'dim_lun_mard_merk_ĵaŭ_ven_sab'.split('_'),\n weekdaysMin : 'di_lu_ma_me_ĵa_ve_sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'D[-a de] MMMM, YYYY',\n LLL : 'D[-a de] MMMM, YYYY HH:mm',\n LLLL : 'dddd, [la] D[-a de] MMMM, YYYY HH:mm'\n },\n meridiemParse: /[ap]\\.t\\.m/i,\n isPM: function (input) {\n return input.charAt(0).toLowerCase() === 'p';\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours > 11) {\n return isLower ? 'p.t.m.' : 'P.T.M.';\n } else {\n return isLower ? 'a.t.m.' : 'A.T.M.';\n }\n },\n calendar : {\n sameDay : '[Hodiaŭ je] LT',\n nextDay : '[Morgaŭ je] LT',\n nextWeek : 'dddd [je] LT',\n lastDay : '[Hieraŭ je] LT',\n lastWeek : '[pasinta] dddd [je] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'post %s',\n past : 'antaŭ %s',\n s : 'sekundoj',\n ss : '%d sekundoj',\n m : 'minuto',\n mm : '%d minutoj',\n h : 'horo',\n hh : '%d horoj',\n d : 'tago',//ne 'diurno', ĉar estas uzita por proksimumo\n dd : '%d tagoj',\n M : 'monato',\n MM : '%d monatoj',\n y : 'jaro',\n yy : '%d jaroj'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}a/,\n ordinal : '%da',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return eo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortDot = 'ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.'.split('_'),\n monthsShort = 'ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic'.split('_');\n\n var monthsParse = [/^ene/i, /^feb/i, /^mar/i, /^abr/i, /^may/i, /^jun/i, /^jul/i, /^ago/i, /^sep/i, /^oct/i, /^nov/i, /^dic/i];\n var monthsRegex = /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i;\n\n var es = moment.defineLocale('es', {\n months : 'enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortDot;\n } else if (/-MMM-/.test(format)) {\n return monthsShort[m.month()];\n } else {\n return monthsShortDot[m.month()];\n }\n },\n monthsRegex : monthsRegex,\n monthsShortRegex : monthsRegex,\n monthsStrictRegex : /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,\n monthsShortStrictRegex : /^(ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i,\n monthsParse : monthsParse,\n longMonthsParse : monthsParse,\n shortMonthsParse : monthsParse,\n weekdays : 'domingo_lunes_martes_miércoles_jueves_viernes_sábado'.split('_'),\n weekdaysShort : 'dom._lun._mar._mié._jue._vie._sáb.'.split('_'),\n weekdaysMin : 'do_lu_ma_mi_ju_vi_sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY H:mm',\n LLLL : 'dddd, D [de] MMMM [de] YYYY H:mm'\n },\n calendar : {\n sameDay : function () {\n return '[hoy a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextDay : function () {\n return '[mañana a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextWeek : function () {\n return 'dddd [a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastDay : function () {\n return '[ayer a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastWeek : function () {\n return '[el] dddd [pasado a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'en %s',\n past : 'hace %s',\n s : 'unos segundos',\n ss : '%d segundos',\n m : 'un minuto',\n mm : '%d minutos',\n h : 'una hora',\n hh : '%d horas',\n d : 'un día',\n dd : '%d días',\n M : 'un mes',\n MM : '%d meses',\n y : 'un año',\n yy : '%d años'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal : '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return es;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortDot = 'ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.'.split('_'),\n monthsShort = 'ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic'.split('_');\n\n var monthsParse = [/^ene/i, /^feb/i, /^mar/i, /^abr/i, /^may/i, /^jun/i, /^jul/i, /^ago/i, /^sep/i, /^oct/i, /^nov/i, /^dic/i];\n var monthsRegex = /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i;\n\n var esDo = moment.defineLocale('es-do', {\n months : 'enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortDot;\n } else if (/-MMM-/.test(format)) {\n return monthsShort[m.month()];\n } else {\n return monthsShortDot[m.month()];\n }\n },\n monthsRegex: monthsRegex,\n monthsShortRegex: monthsRegex,\n monthsStrictRegex: /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,\n monthsShortStrictRegex: /^(ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i,\n monthsParse: monthsParse,\n longMonthsParse: monthsParse,\n shortMonthsParse: monthsParse,\n weekdays : 'domingo_lunes_martes_miércoles_jueves_viernes_sábado'.split('_'),\n weekdaysShort : 'dom._lun._mar._mié._jue._vie._sáb.'.split('_'),\n weekdaysMin : 'do_lu_ma_mi_ju_vi_sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY h:mm A',\n LLLL : 'dddd, D [de] MMMM [de] YYYY h:mm A'\n },\n calendar : {\n sameDay : function () {\n return '[hoy a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextDay : function () {\n return '[mañana a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextWeek : function () {\n return 'dddd [a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastDay : function () {\n return '[ayer a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastWeek : function () {\n return '[el] dddd [pasado a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'en %s',\n past : 'hace %s',\n s : 'unos segundos',\n ss : '%d segundos',\n m : 'un minuto',\n mm : '%d minutos',\n h : 'una hora',\n hh : '%d horas',\n d : 'un día',\n dd : '%d días',\n M : 'un mes',\n MM : '%d meses',\n y : 'un año',\n yy : '%d años'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal : '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return esDo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortDot = 'ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.'.split('_'),\n monthsShort = 'ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic'.split('_');\n\n var monthsParse = [/^ene/i, /^feb/i, /^mar/i, /^abr/i, /^may/i, /^jun/i, /^jul/i, /^ago/i, /^sep/i, /^oct/i, /^nov/i, /^dic/i];\n var monthsRegex = /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i;\n\n var esUs = moment.defineLocale('es-us', {\n months : 'enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortDot;\n } else if (/-MMM-/.test(format)) {\n return monthsShort[m.month()];\n } else {\n return monthsShortDot[m.month()];\n }\n },\n monthsRegex: monthsRegex,\n monthsShortRegex: monthsRegex,\n monthsStrictRegex: /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,\n monthsShortStrictRegex: /^(ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i,\n monthsParse: monthsParse,\n longMonthsParse: monthsParse,\n shortMonthsParse: monthsParse,\n weekdays : 'domingo_lunes_martes_miércoles_jueves_viernes_sábado'.split('_'),\n weekdaysShort : 'dom._lun._mar._mié._jue._vie._sáb.'.split('_'),\n weekdaysMin : 'do_lu_ma_mi_ju_vi_sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'MM/DD/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY h:mm A',\n LLLL : 'dddd, D [de] MMMM [de] YYYY h:mm A'\n },\n calendar : {\n sameDay : function () {\n return '[hoy a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextDay : function () {\n return '[mañana a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextWeek : function () {\n return 'dddd [a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastDay : function () {\n return '[ayer a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastWeek : function () {\n return '[el] dddd [pasado a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'en %s',\n past : 'hace %s',\n s : 'unos segundos',\n ss : '%d segundos',\n m : 'un minuto',\n mm : '%d minutos',\n h : 'una hora',\n hh : '%d horas',\n d : 'un día',\n dd : '%d días',\n M : 'un mes',\n MM : '%d meses',\n y : 'un año',\n yy : '%d años'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal : '%dº',\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return esUs;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 's' : ['mõne sekundi', 'mõni sekund', 'paar sekundit'],\n 'ss': [number + 'sekundi', number + 'sekundit'],\n 'm' : ['ühe minuti', 'üks minut'],\n 'mm': [number + ' minuti', number + ' minutit'],\n 'h' : ['ühe tunni', 'tund aega', 'üks tund'],\n 'hh': [number + ' tunni', number + ' tundi'],\n 'd' : ['ühe päeva', 'üks päev'],\n 'M' : ['kuu aja', 'kuu aega', 'üks kuu'],\n 'MM': [number + ' kuu', number + ' kuud'],\n 'y' : ['ühe aasta', 'aasta', 'üks aasta'],\n 'yy': [number + ' aasta', number + ' aastat']\n };\n if (withoutSuffix) {\n return format[key][2] ? format[key][2] : format[key][1];\n }\n return isFuture ? format[key][0] : format[key][1];\n }\n\n var et = moment.defineLocale('et', {\n months : 'jaanuar_veebruar_märts_aprill_mai_juuni_juuli_august_september_oktoober_november_detsember'.split('_'),\n monthsShort : 'jaan_veebr_märts_apr_mai_juuni_juuli_aug_sept_okt_nov_dets'.split('_'),\n weekdays : 'pühapäev_esmaspäev_teisipäev_kolmapäev_neljapäev_reede_laupäev'.split('_'),\n weekdaysShort : 'P_E_T_K_N_R_L'.split('_'),\n weekdaysMin : 'P_E_T_K_N_R_L'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd, D. MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[Täna,] LT',\n nextDay : '[Homme,] LT',\n nextWeek : '[Järgmine] dddd LT',\n lastDay : '[Eile,] LT',\n lastWeek : '[Eelmine] dddd LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s pärast',\n past : '%s tagasi',\n s : processRelativeTime,\n ss : processRelativeTime,\n m : processRelativeTime,\n mm : processRelativeTime,\n h : processRelativeTime,\n hh : processRelativeTime,\n d : processRelativeTime,\n dd : '%d päeva',\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return et;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var eu = moment.defineLocale('eu', {\n months : 'urtarrila_otsaila_martxoa_apirila_maiatza_ekaina_uztaila_abuztua_iraila_urria_azaroa_abendua'.split('_'),\n monthsShort : 'urt._ots._mar._api._mai._eka._uzt._abu._ira._urr._aza._abe.'.split('_'),\n monthsParseExact : true,\n weekdays : 'igandea_astelehena_asteartea_asteazkena_osteguna_ostirala_larunbata'.split('_'),\n weekdaysShort : 'ig._al._ar._az._og._ol._lr.'.split('_'),\n weekdaysMin : 'ig_al_ar_az_og_ol_lr'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'YYYY[ko] MMMM[ren] D[a]',\n LLL : 'YYYY[ko] MMMM[ren] D[a] HH:mm',\n LLLL : 'dddd, YYYY[ko] MMMM[ren] D[a] HH:mm',\n l : 'YYYY-M-D',\n ll : 'YYYY[ko] MMM D[a]',\n lll : 'YYYY[ko] MMM D[a] HH:mm',\n llll : 'ddd, YYYY[ko] MMM D[a] HH:mm'\n },\n calendar : {\n sameDay : '[gaur] LT[etan]',\n nextDay : '[bihar] LT[etan]',\n nextWeek : 'dddd LT[etan]',\n lastDay : '[atzo] LT[etan]',\n lastWeek : '[aurreko] dddd LT[etan]',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s barru',\n past : 'duela %s',\n s : 'segundo batzuk',\n ss : '%d segundo',\n m : 'minutu bat',\n mm : '%d minutu',\n h : 'ordu bat',\n hh : '%d ordu',\n d : 'egun bat',\n dd : '%d egun',\n M : 'hilabete bat',\n MM : '%d hilabete',\n y : 'urte bat',\n yy : '%d urte'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return eu;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '۱',\n '2': '۲',\n '3': '۳',\n '4': '۴',\n '5': '۵',\n '6': '۶',\n '7': '۷',\n '8': '۸',\n '9': '۹',\n '0': '۰'\n }, numberMap = {\n '۱': '1',\n '۲': '2',\n '۳': '3',\n '۴': '4',\n '۵': '5',\n '۶': '6',\n '۷': '7',\n '۸': '8',\n '۹': '9',\n '۰': '0'\n };\n\n var fa = moment.defineLocale('fa', {\n months : 'ژانویه_فوریه_مارس_آوریل_مه_ژوئن_ژوئیه_اوت_سپتامبر_اکتبر_نوامبر_دسامبر'.split('_'),\n monthsShort : 'ژانویه_فوریه_مارس_آوریل_مه_ژوئن_ژوئیه_اوت_سپتامبر_اکتبر_نوامبر_دسامبر'.split('_'),\n weekdays : 'یک\\u200cشنبه_دوشنبه_سه\\u200cشنبه_چهارشنبه_پنج\\u200cشنبه_جمعه_شنبه'.split('_'),\n weekdaysShort : 'یک\\u200cشنبه_دوشنبه_سه\\u200cشنبه_چهارشنبه_پنج\\u200cشنبه_جمعه_شنبه'.split('_'),\n weekdaysMin : 'ی_د_س_چ_پ_ج_ش'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n meridiemParse: /قبل از ظهر|بعد از ظهر/,\n isPM: function (input) {\n return /بعد از ظهر/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'قبل از ظهر';\n } else {\n return 'بعد از ظهر';\n }\n },\n calendar : {\n sameDay : '[امروز ساعت] LT',\n nextDay : '[فردا ساعت] LT',\n nextWeek : 'dddd [ساعت] LT',\n lastDay : '[دیروز ساعت] LT',\n lastWeek : 'dddd [پیش] [ساعت] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'در %s',\n past : '%s پیش',\n s : 'چند ثانیه',\n ss : 'ثانیه d%',\n m : 'یک دقیقه',\n mm : '%d دقیقه',\n h : 'یک ساعت',\n hh : '%d ساعت',\n d : 'یک روز',\n dd : '%d روز',\n M : 'یک ماه',\n MM : '%d ماه',\n y : 'یک سال',\n yy : '%d سال'\n },\n preparse: function (string) {\n return string.replace(/[۰-۹]/g, function (match) {\n return numberMap[match];\n }).replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n }).replace(/,/g, '،');\n },\n dayOfMonthOrdinalParse: /\\d{1,2}م/,\n ordinal : '%dم',\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return fa;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var numbersPast = 'nolla yksi kaksi kolme neljä viisi kuusi seitsemän kahdeksan yhdeksän'.split(' '),\n numbersFuture = [\n 'nolla', 'yhden', 'kahden', 'kolmen', 'neljän', 'viiden', 'kuuden',\n numbersPast[7], numbersPast[8], numbersPast[9]\n ];\n function translate(number, withoutSuffix, key, isFuture) {\n var result = '';\n switch (key) {\n case 's':\n return isFuture ? 'muutaman sekunnin' : 'muutama sekunti';\n case 'ss':\n return isFuture ? 'sekunnin' : 'sekuntia';\n case 'm':\n return isFuture ? 'minuutin' : 'minuutti';\n case 'mm':\n result = isFuture ? 'minuutin' : 'minuuttia';\n break;\n case 'h':\n return isFuture ? 'tunnin' : 'tunti';\n case 'hh':\n result = isFuture ? 'tunnin' : 'tuntia';\n break;\n case 'd':\n return isFuture ? 'päivän' : 'päivä';\n case 'dd':\n result = isFuture ? 'päivän' : 'päivää';\n break;\n case 'M':\n return isFuture ? 'kuukauden' : 'kuukausi';\n case 'MM':\n result = isFuture ? 'kuukauden' : 'kuukautta';\n break;\n case 'y':\n return isFuture ? 'vuoden' : 'vuosi';\n case 'yy':\n result = isFuture ? 'vuoden' : 'vuotta';\n break;\n }\n result = verbalNumber(number, isFuture) + ' ' + result;\n return result;\n }\n function verbalNumber(number, isFuture) {\n return number < 10 ? (isFuture ? numbersFuture[number] : numbersPast[number]) : number;\n }\n\n var fi = moment.defineLocale('fi', {\n months : 'tammikuu_helmikuu_maaliskuu_huhtikuu_toukokuu_kesäkuu_heinäkuu_elokuu_syyskuu_lokakuu_marraskuu_joulukuu'.split('_'),\n monthsShort : 'tammi_helmi_maalis_huhti_touko_kesä_heinä_elo_syys_loka_marras_joulu'.split('_'),\n weekdays : 'sunnuntai_maanantai_tiistai_keskiviikko_torstai_perjantai_lauantai'.split('_'),\n weekdaysShort : 'su_ma_ti_ke_to_pe_la'.split('_'),\n weekdaysMin : 'su_ma_ti_ke_to_pe_la'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD.MM.YYYY',\n LL : 'Do MMMM[ta] YYYY',\n LLL : 'Do MMMM[ta] YYYY, [klo] HH.mm',\n LLLL : 'dddd, Do MMMM[ta] YYYY, [klo] HH.mm',\n l : 'D.M.YYYY',\n ll : 'Do MMM YYYY',\n lll : 'Do MMM YYYY, [klo] HH.mm',\n llll : 'ddd, Do MMM YYYY, [klo] HH.mm'\n },\n calendar : {\n sameDay : '[tänään] [klo] LT',\n nextDay : '[huomenna] [klo] LT',\n nextWeek : 'dddd [klo] LT',\n lastDay : '[eilen] [klo] LT',\n lastWeek : '[viime] dddd[na] [klo] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s päästä',\n past : '%s sitten',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return fi;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var fo = moment.defineLocale('fo', {\n months : 'januar_februar_mars_apríl_mai_juni_juli_august_september_oktober_november_desember'.split('_'),\n monthsShort : 'jan_feb_mar_apr_mai_jun_jul_aug_sep_okt_nov_des'.split('_'),\n weekdays : 'sunnudagur_mánadagur_týsdagur_mikudagur_hósdagur_fríggjadagur_leygardagur'.split('_'),\n weekdaysShort : 'sun_mán_týs_mik_hós_frí_ley'.split('_'),\n weekdaysMin : 'su_má_tý_mi_hó_fr_le'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D. MMMM, YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Í dag kl.] LT',\n nextDay : '[Í morgin kl.] LT',\n nextWeek : 'dddd [kl.] LT',\n lastDay : '[Í gjár kl.] LT',\n lastWeek : '[síðstu] dddd [kl] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'um %s',\n past : '%s síðani',\n s : 'fá sekund',\n ss : '%d sekundir',\n m : 'ein minuttur',\n mm : '%d minuttir',\n h : 'ein tími',\n hh : '%d tímar',\n d : 'ein dagur',\n dd : '%d dagar',\n M : 'ein mánaður',\n MM : '%d mánaðir',\n y : 'eitt ár',\n yy : '%d ár'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return fo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var fr = moment.defineLocale('fr', {\n months : 'janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre'.split('_'),\n monthsShort : 'janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.'.split('_'),\n monthsParseExact : true,\n weekdays : 'dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi'.split('_'),\n weekdaysShort : 'dim._lun._mar._mer._jeu._ven._sam.'.split('_'),\n weekdaysMin : 'di_lu_ma_me_je_ve_sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Aujourd’hui à] LT',\n nextDay : '[Demain à] LT',\n nextWeek : 'dddd [à] LT',\n lastDay : '[Hier à] LT',\n lastWeek : 'dddd [dernier à] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dans %s',\n past : 'il y a %s',\n s : 'quelques secondes',\n ss : '%d secondes',\n m : 'une minute',\n mm : '%d minutes',\n h : 'une heure',\n hh : '%d heures',\n d : 'un jour',\n dd : '%d jours',\n M : 'un mois',\n MM : '%d mois',\n y : 'un an',\n yy : '%d ans'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(er|)/,\n ordinal : function (number, period) {\n switch (period) {\n // TODO: Return 'e' when day of month > 1. Move this case inside\n // block for masculine words below.\n // See https://github.com/moment/moment/issues/3375\n case 'D':\n return number + (number === 1 ? 'er' : '');\n\n // Words with masculine grammatical gender: mois, trimestre, jour\n default:\n case 'M':\n case 'Q':\n case 'DDD':\n case 'd':\n return number + (number === 1 ? 'er' : 'e');\n\n // Words with feminine grammatical gender: semaine\n case 'w':\n case 'W':\n return number + (number === 1 ? 're' : 'e');\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return fr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var frCa = moment.defineLocale('fr-ca', {\n months : 'janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre'.split('_'),\n monthsShort : 'janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.'.split('_'),\n monthsParseExact : true,\n weekdays : 'dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi'.split('_'),\n weekdaysShort : 'dim._lun._mar._mer._jeu._ven._sam.'.split('_'),\n weekdaysMin : 'di_lu_ma_me_je_ve_sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Aujourd’hui à] LT',\n nextDay : '[Demain à] LT',\n nextWeek : 'dddd [à] LT',\n lastDay : '[Hier à] LT',\n lastWeek : 'dddd [dernier à] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dans %s',\n past : 'il y a %s',\n s : 'quelques secondes',\n ss : '%d secondes',\n m : 'une minute',\n mm : '%d minutes',\n h : 'une heure',\n hh : '%d heures',\n d : 'un jour',\n dd : '%d jours',\n M : 'un mois',\n MM : '%d mois',\n y : 'un an',\n yy : '%d ans'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(er|e)/,\n ordinal : function (number, period) {\n switch (period) {\n // Words with masculine grammatical gender: mois, trimestre, jour\n default:\n case 'M':\n case 'Q':\n case 'D':\n case 'DDD':\n case 'd':\n return number + (number === 1 ? 'er' : 'e');\n\n // Words with feminine grammatical gender: semaine\n case 'w':\n case 'W':\n return number + (number === 1 ? 're' : 'e');\n }\n }\n });\n\n return frCa;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var frCh = moment.defineLocale('fr-ch', {\n months : 'janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre'.split('_'),\n monthsShort : 'janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.'.split('_'),\n monthsParseExact : true,\n weekdays : 'dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi'.split('_'),\n weekdaysShort : 'dim._lun._mar._mer._jeu._ven._sam.'.split('_'),\n weekdaysMin : 'di_lu_ma_me_je_ve_sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Aujourd’hui à] LT',\n nextDay : '[Demain à] LT',\n nextWeek : 'dddd [à] LT',\n lastDay : '[Hier à] LT',\n lastWeek : 'dddd [dernier à] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dans %s',\n past : 'il y a %s',\n s : 'quelques secondes',\n ss : '%d secondes',\n m : 'une minute',\n mm : '%d minutes',\n h : 'une heure',\n hh : '%d heures',\n d : 'un jour',\n dd : '%d jours',\n M : 'un mois',\n MM : '%d mois',\n y : 'un an',\n yy : '%d ans'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(er|e)/,\n ordinal : function (number, period) {\n switch (period) {\n // Words with masculine grammatical gender: mois, trimestre, jour\n default:\n case 'M':\n case 'Q':\n case 'D':\n case 'DDD':\n case 'd':\n return number + (number === 1 ? 'er' : 'e');\n\n // Words with feminine grammatical gender: semaine\n case 'w':\n case 'W':\n return number + (number === 1 ? 're' : 'e');\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return frCh;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortWithDots = 'jan._feb._mrt._apr._mai_jun._jul._aug._sep._okt._nov._des.'.split('_'),\n monthsShortWithoutDots = 'jan_feb_mrt_apr_mai_jun_jul_aug_sep_okt_nov_des'.split('_');\n\n var fy = moment.defineLocale('fy', {\n months : 'jannewaris_febrewaris_maart_april_maaie_juny_july_augustus_septimber_oktober_novimber_desimber'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortWithDots;\n } else if (/-MMM-/.test(format)) {\n return monthsShortWithoutDots[m.month()];\n } else {\n return monthsShortWithDots[m.month()];\n }\n },\n monthsParseExact : true,\n weekdays : 'snein_moandei_tiisdei_woansdei_tongersdei_freed_sneon'.split('_'),\n weekdaysShort : 'si._mo._ti._wo._to._fr._so.'.split('_'),\n weekdaysMin : 'Si_Mo_Ti_Wo_To_Fr_So'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD-MM-YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[hjoed om] LT',\n nextDay: '[moarn om] LT',\n nextWeek: 'dddd [om] LT',\n lastDay: '[juster om] LT',\n lastWeek: '[ôfrûne] dddd [om] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'oer %s',\n past : '%s lyn',\n s : 'in pear sekonden',\n ss : '%d sekonden',\n m : 'ien minút',\n mm : '%d minuten',\n h : 'ien oere',\n hh : '%d oeren',\n d : 'ien dei',\n dd : '%d dagen',\n M : 'ien moanne',\n MM : '%d moannen',\n y : 'ien jier',\n yy : '%d jierren'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(ste|de)/,\n ordinal : function (number) {\n return number + ((number === 1 || number === 8 || number >= 20) ? 'ste' : 'de');\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return fy;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n\n var months = [\n 'Eanáir', 'Feabhra', 'Márta', 'Aibreán', 'Bealtaine', 'Méitheamh', 'Iúil', 'Lúnasa', 'Meán Fómhair', 'Deaireadh Fómhair', 'Samhain', 'Nollaig'\n ];\n\n var monthsShort = ['Eaná', 'Feab', 'Márt', 'Aibr', 'Beal', 'Méit', 'Iúil', 'Lúna', 'Meán', 'Deai', 'Samh', 'Noll'];\n\n var weekdays = ['Dé Domhnaigh', 'Dé Luain', 'Dé Máirt', 'Dé Céadaoin', 'Déardaoin', 'Dé hAoine', 'Dé Satharn'];\n\n var weekdaysShort = ['Dom', 'Lua', 'Mái', 'Céa', 'Déa', 'hAo', 'Sat'];\n\n var weekdaysMin = ['Do', 'Lu', 'Má', 'Ce', 'Dé', 'hA', 'Sa'];\n\n var ga = moment.defineLocale('ga', {\n months: months,\n monthsShort: monthsShort,\n monthsParseExact: true,\n weekdays: weekdays,\n weekdaysShort: weekdaysShort,\n weekdaysMin: weekdaysMin,\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY HH:mm',\n LLLL: 'dddd, D MMMM YYYY HH:mm'\n },\n calendar: {\n sameDay: '[Inniu ag] LT',\n nextDay: '[Amárach ag] LT',\n nextWeek: 'dddd [ag] LT',\n lastDay: '[Inné aig] LT',\n lastWeek: 'dddd [seo caite] [ag] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: 'i %s',\n past: '%s ó shin',\n s: 'cúpla soicind',\n ss: '%d soicind',\n m: 'nóiméad',\n mm: '%d nóiméad',\n h: 'uair an chloig',\n hh: '%d uair an chloig',\n d: 'lá',\n dd: '%d lá',\n M: 'mí',\n MM: '%d mí',\n y: 'bliain',\n yy: '%d bliain'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(d|na|mh)/,\n ordinal: function (number) {\n var output = number === 1 ? 'd' : number % 10 === 2 ? 'na' : 'mh';\n return number + output;\n },\n week: {\n dow: 1, // Monday is the first day of the week.\n doy: 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return ga;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = [\n 'Am Faoilleach', 'An Gearran', 'Am Màrt', 'An Giblean', 'An Cèitean', 'An t-Ògmhios', 'An t-Iuchar', 'An Lùnastal', 'An t-Sultain', 'An Dàmhair', 'An t-Samhain', 'An Dùbhlachd'\n ];\n\n var monthsShort = ['Faoi', 'Gear', 'Màrt', 'Gibl', 'Cèit', 'Ògmh', 'Iuch', 'Lùn', 'Sult', 'Dàmh', 'Samh', 'Dùbh'];\n\n var weekdays = ['Didòmhnaich', 'Diluain', 'Dimàirt', 'Diciadain', 'Diardaoin', 'Dihaoine', 'Disathairne'];\n\n var weekdaysShort = ['Did', 'Dil', 'Dim', 'Dic', 'Dia', 'Dih', 'Dis'];\n\n var weekdaysMin = ['Dò', 'Lu', 'Mà', 'Ci', 'Ar', 'Ha', 'Sa'];\n\n var gd = moment.defineLocale('gd', {\n months : months,\n monthsShort : monthsShort,\n monthsParseExact : true,\n weekdays : weekdays,\n weekdaysShort : weekdaysShort,\n weekdaysMin : weekdaysMin,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[An-diugh aig] LT',\n nextDay : '[A-màireach aig] LT',\n nextWeek : 'dddd [aig] LT',\n lastDay : '[An-dè aig] LT',\n lastWeek : 'dddd [seo chaidh] [aig] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'ann an %s',\n past : 'bho chionn %s',\n s : 'beagan diogan',\n ss : '%d diogan',\n m : 'mionaid',\n mm : '%d mionaidean',\n h : 'uair',\n hh : '%d uairean',\n d : 'latha',\n dd : '%d latha',\n M : 'mìos',\n MM : '%d mìosan',\n y : 'bliadhna',\n yy : '%d bliadhna'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}(d|na|mh)/,\n ordinal : function (number) {\n var output = number === 1 ? 'd' : number % 10 === 2 ? 'na' : 'mh';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return gd;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var gl = moment.defineLocale('gl', {\n months : 'xaneiro_febreiro_marzo_abril_maio_xuño_xullo_agosto_setembro_outubro_novembro_decembro'.split('_'),\n monthsShort : 'xan._feb._mar._abr._mai._xuñ._xul._ago._set._out._nov._dec.'.split('_'),\n monthsParseExact: true,\n weekdays : 'domingo_luns_martes_mércores_xoves_venres_sábado'.split('_'),\n weekdaysShort : 'dom._lun._mar._mér._xov._ven._sáb.'.split('_'),\n weekdaysMin : 'do_lu_ma_mé_xo_ve_sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY H:mm',\n LLLL : 'dddd, D [de] MMMM [de] YYYY H:mm'\n },\n calendar : {\n sameDay : function () {\n return '[hoxe ' + ((this.hours() !== 1) ? 'ás' : 'á') + '] LT';\n },\n nextDay : function () {\n return '[mañá ' + ((this.hours() !== 1) ? 'ás' : 'á') + '] LT';\n },\n nextWeek : function () {\n return 'dddd [' + ((this.hours() !== 1) ? 'ás' : 'a') + '] LT';\n },\n lastDay : function () {\n return '[onte ' + ((this.hours() !== 1) ? 'á' : 'a') + '] LT';\n },\n lastWeek : function () {\n return '[o] dddd [pasado ' + ((this.hours() !== 1) ? 'ás' : 'a') + '] LT';\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : function (str) {\n if (str.indexOf('un') === 0) {\n return 'n' + str;\n }\n return 'en ' + str;\n },\n past : 'hai %s',\n s : 'uns segundos',\n ss : '%d segundos',\n m : 'un minuto',\n mm : '%d minutos',\n h : 'unha hora',\n hh : '%d horas',\n d : 'un día',\n dd : '%d días',\n M : 'un mes',\n MM : '%d meses',\n y : 'un ano',\n yy : '%d anos'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal : '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return gl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 's': ['thodde secondanim', 'thodde second'],\n 'ss': [number + ' secondanim', number + ' second'],\n 'm': ['eka mintan', 'ek minute'],\n 'mm': [number + ' mintanim', number + ' mintam'],\n 'h': ['eka voran', 'ek vor'],\n 'hh': [number + ' voranim', number + ' voram'],\n 'd': ['eka disan', 'ek dis'],\n 'dd': [number + ' disanim', number + ' dis'],\n 'M': ['eka mhoinean', 'ek mhoino'],\n 'MM': [number + ' mhoineanim', number + ' mhoine'],\n 'y': ['eka vorsan', 'ek voros'],\n 'yy': [number + ' vorsanim', number + ' vorsam']\n };\n return withoutSuffix ? format[key][0] : format[key][1];\n }\n\n var gomLatn = moment.defineLocale('gom-latn', {\n months : 'Janer_Febrer_Mars_Abril_Mai_Jun_Julai_Agost_Setembr_Otubr_Novembr_Dezembr'.split('_'),\n monthsShort : 'Jan._Feb._Mars_Abr._Mai_Jun_Jul._Ago._Set._Otu._Nov._Dez.'.split('_'),\n monthsParseExact : true,\n weekdays : 'Aitar_Somar_Mongllar_Budvar_Brestar_Sukrar_Son\\'var'.split('_'),\n weekdaysShort : 'Ait._Som._Mon._Bud._Bre._Suk._Son.'.split('_'),\n weekdaysMin : 'Ai_Sm_Mo_Bu_Br_Su_Sn'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'A h:mm [vazta]',\n LTS : 'A h:mm:ss [vazta]',\n L : 'DD-MM-YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY A h:mm [vazta]',\n LLLL : 'dddd, MMMM[achea] Do, YYYY, A h:mm [vazta]',\n llll: 'ddd, D MMM YYYY, A h:mm [vazta]'\n },\n calendar : {\n sameDay: '[Aiz] LT',\n nextDay: '[Faleam] LT',\n nextWeek: '[Ieta to] dddd[,] LT',\n lastDay: '[Kal] LT',\n lastWeek: '[Fatlo] dddd[,] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : '%s',\n past : '%s adim',\n s : processRelativeTime,\n ss : processRelativeTime,\n m : processRelativeTime,\n mm : processRelativeTime,\n h : processRelativeTime,\n hh : processRelativeTime,\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse : /\\d{1,2}(er)/,\n ordinal : function (number, period) {\n switch (period) {\n // the ordinal 'er' only applies to day of the month\n case 'D':\n return number + 'er';\n default:\n case 'M':\n case 'Q':\n case 'DDD':\n case 'd':\n case 'w':\n case 'W':\n return number;\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n },\n meridiemParse: /rati|sokalli|donparam|sanje/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'rati') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'sokalli') {\n return hour;\n } else if (meridiem === 'donparam') {\n return hour > 12 ? hour : hour + 12;\n } else if (meridiem === 'sanje') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'rati';\n } else if (hour < 12) {\n return 'sokalli';\n } else if (hour < 16) {\n return 'donparam';\n } else if (hour < 20) {\n return 'sanje';\n } else {\n return 'rati';\n }\n }\n });\n\n return gomLatn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '૧',\n '2': '૨',\n '3': '૩',\n '4': '૪',\n '5': '૫',\n '6': '૬',\n '7': '૭',\n '8': '૮',\n '9': '૯',\n '0': '૦'\n },\n numberMap = {\n '૧': '1',\n '૨': '2',\n '૩': '3',\n '૪': '4',\n '૫': '5',\n '૬': '6',\n '૭': '7',\n '૮': '8',\n '૯': '9',\n '૦': '0'\n };\n\n var gu = moment.defineLocale('gu', {\n months: 'જાન્યુઆરી_ફેબ્રુઆરી_માર્ચ_એપ્રિલ_મે_જૂન_જુલાઈ_ઑગસ્ટ_સપ્ટેમ્બર_ઑક્ટ્બર_નવેમ્બર_ડિસેમ્બર'.split('_'),\n monthsShort: 'જાન્યુ._ફેબ્રુ._માર્ચ_એપ્રિ._મે_જૂન_જુલા._ઑગ._સપ્ટે._ઑક્ટ્._નવે._ડિસે.'.split('_'),\n monthsParseExact: true,\n weekdays: 'રવિવાર_સોમવાર_મંગળવાર_બુધ્વાર_ગુરુવાર_શુક્રવાર_શનિવાર'.split('_'),\n weekdaysShort: 'રવિ_સોમ_મંગળ_બુધ્_ગુરુ_શુક્ર_શનિ'.split('_'),\n weekdaysMin: 'ર_સો_મં_બુ_ગુ_શુ_શ'.split('_'),\n longDateFormat: {\n LT: 'A h:mm વાગ્યે',\n LTS: 'A h:mm:ss વાગ્યે',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY, A h:mm વાગ્યે',\n LLLL: 'dddd, D MMMM YYYY, A h:mm વાગ્યે'\n },\n calendar: {\n sameDay: '[આજ] LT',\n nextDay: '[કાલે] LT',\n nextWeek: 'dddd, LT',\n lastDay: '[ગઇકાલે] LT',\n lastWeek: '[પાછલા] dddd, LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: '%s મા',\n past: '%s પેહલા',\n s: 'અમુક પળો',\n ss: '%d સેકંડ',\n m: 'એક મિનિટ',\n mm: '%d મિનિટ',\n h: 'એક કલાક',\n hh: '%d કલાક',\n d: 'એક દિવસ',\n dd: '%d દિવસ',\n M: 'એક મહિનો',\n MM: '%d મહિનો',\n y: 'એક વર્ષ',\n yy: '%d વર્ષ'\n },\n preparse: function (string) {\n return string.replace(/[૧૨૩૪૫૬૭૮૯૦]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n // Gujarati notation for meridiems are quite fuzzy in practice. While there exists\n // a rigid notion of a 'Pahar' it is not used as rigidly in modern Gujarati.\n meridiemParse: /રાત|બપોર|સવાર|સાંજ/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'રાત') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'સવાર') {\n return hour;\n } else if (meridiem === 'બપોર') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'સાંજ') {\n return hour + 12;\n }\n },\n meridiem: function (hour, minute, isLower) {\n if (hour < 4) {\n return 'રાત';\n } else if (hour < 10) {\n return 'સવાર';\n } else if (hour < 17) {\n return 'બપોર';\n } else if (hour < 20) {\n return 'સાંજ';\n } else {\n return 'રાત';\n }\n },\n week: {\n dow: 0, // Sunday is the first day of the week.\n doy: 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return gu;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var he = moment.defineLocale('he', {\n months : 'ינואר_פברואר_מרץ_אפריל_מאי_יוני_יולי_אוגוסט_ספטמבר_אוקטובר_נובמבר_דצמבר'.split('_'),\n monthsShort : 'ינו׳_פבר׳_מרץ_אפר׳_מאי_יוני_יולי_אוג׳_ספט׳_אוק׳_נוב׳_דצמ׳'.split('_'),\n weekdays : 'ראשון_שני_שלישי_רביעי_חמישי_שישי_שבת'.split('_'),\n weekdaysShort : 'א׳_ב׳_ג׳_ד׳_ה׳_ו׳_ש׳'.split('_'),\n weekdaysMin : 'א_ב_ג_ד_ה_ו_ש'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D [ב]MMMM YYYY',\n LLL : 'D [ב]MMMM YYYY HH:mm',\n LLLL : 'dddd, D [ב]MMMM YYYY HH:mm',\n l : 'D/M/YYYY',\n ll : 'D MMM YYYY',\n lll : 'D MMM YYYY HH:mm',\n llll : 'ddd, D MMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[היום ב־]LT',\n nextDay : '[מחר ב־]LT',\n nextWeek : 'dddd [בשעה] LT',\n lastDay : '[אתמול ב־]LT',\n lastWeek : '[ביום] dddd [האחרון בשעה] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'בעוד %s',\n past : 'לפני %s',\n s : 'מספר שניות',\n ss : '%d שניות',\n m : 'דקה',\n mm : '%d דקות',\n h : 'שעה',\n hh : function (number) {\n if (number === 2) {\n return 'שעתיים';\n }\n return number + ' שעות';\n },\n d : 'יום',\n dd : function (number) {\n if (number === 2) {\n return 'יומיים';\n }\n return number + ' ימים';\n },\n M : 'חודש',\n MM : function (number) {\n if (number === 2) {\n return 'חודשיים';\n }\n return number + ' חודשים';\n },\n y : 'שנה',\n yy : function (number) {\n if (number === 2) {\n return 'שנתיים';\n } else if (number % 10 === 0 && number !== 10) {\n return number + ' שנה';\n }\n return number + ' שנים';\n }\n },\n meridiemParse: /אחה\"צ|לפנה\"צ|אחרי הצהריים|לפני הצהריים|לפנות בוקר|בבוקר|בערב/i,\n isPM : function (input) {\n return /^(אחה\"צ|אחרי הצהריים|בערב)$/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 5) {\n return 'לפנות בוקר';\n } else if (hour < 10) {\n return 'בבוקר';\n } else if (hour < 12) {\n return isLower ? 'לפנה\"צ' : 'לפני הצהריים';\n } else if (hour < 18) {\n return isLower ? 'אחה\"צ' : 'אחרי הצהריים';\n } else {\n return 'בערב';\n }\n }\n });\n\n return he;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '१',\n '2': '२',\n '3': '३',\n '4': '४',\n '5': '५',\n '6': '६',\n '7': '७',\n '8': '८',\n '9': '९',\n '0': '०'\n },\n numberMap = {\n '१': '1',\n '२': '2',\n '३': '3',\n '४': '4',\n '५': '5',\n '६': '6',\n '७': '7',\n '८': '8',\n '९': '9',\n '०': '0'\n };\n\n var hi = moment.defineLocale('hi', {\n months : 'जनवरी_फ़रवरी_मार्च_अप्रैल_मई_जून_जुलाई_अगस्त_सितम्बर_अक्टूबर_नवम्बर_दिसम्बर'.split('_'),\n monthsShort : 'जन._फ़र._मार्च_अप्रै._मई_जून_जुल._अग._सित._अक्टू._नव._दिस.'.split('_'),\n monthsParseExact: true,\n weekdays : 'रविवार_सोमवार_मंगलवार_बुधवार_गुरूवार_शुक्रवार_शनिवार'.split('_'),\n weekdaysShort : 'रवि_सोम_मंगल_बुध_गुरू_शुक्र_शनि'.split('_'),\n weekdaysMin : 'र_सो_मं_बु_गु_शु_श'.split('_'),\n longDateFormat : {\n LT : 'A h:mm बजे',\n LTS : 'A h:mm:ss बजे',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm बजे',\n LLLL : 'dddd, D MMMM YYYY, A h:mm बजे'\n },\n calendar : {\n sameDay : '[आज] LT',\n nextDay : '[कल] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[कल] LT',\n lastWeek : '[पिछले] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s में',\n past : '%s पहले',\n s : 'कुछ ही क्षण',\n ss : '%d सेकंड',\n m : 'एक मिनट',\n mm : '%d मिनट',\n h : 'एक घंटा',\n hh : '%d घंटे',\n d : 'एक दिन',\n dd : '%d दिन',\n M : 'एक महीने',\n MM : '%d महीने',\n y : 'एक वर्ष',\n yy : '%d वर्ष'\n },\n preparse: function (string) {\n return string.replace(/[१२३४५६७८९०]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n // Hindi notation for meridiems are quite fuzzy in practice. While there exists\n // a rigid notion of a 'Pahar' it is not used as rigidly in modern Hindi.\n meridiemParse: /रात|सुबह|दोपहर|शाम/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'रात') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'सुबह') {\n return hour;\n } else if (meridiem === 'दोपहर') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'शाम') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'रात';\n } else if (hour < 10) {\n return 'सुबह';\n } else if (hour < 17) {\n return 'दोपहर';\n } else if (hour < 20) {\n return 'शाम';\n } else {\n return 'रात';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return hi;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function translate(number, withoutSuffix, key) {\n var result = number + ' ';\n switch (key) {\n case 'ss':\n if (number === 1) {\n result += 'sekunda';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'sekunde';\n } else {\n result += 'sekundi';\n }\n return result;\n case 'm':\n return withoutSuffix ? 'jedna minuta' : 'jedne minute';\n case 'mm':\n if (number === 1) {\n result += 'minuta';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'minute';\n } else {\n result += 'minuta';\n }\n return result;\n case 'h':\n return withoutSuffix ? 'jedan sat' : 'jednog sata';\n case 'hh':\n if (number === 1) {\n result += 'sat';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'sata';\n } else {\n result += 'sati';\n }\n return result;\n case 'dd':\n if (number === 1) {\n result += 'dan';\n } else {\n result += 'dana';\n }\n return result;\n case 'MM':\n if (number === 1) {\n result += 'mjesec';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'mjeseca';\n } else {\n result += 'mjeseci';\n }\n return result;\n case 'yy':\n if (number === 1) {\n result += 'godina';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'godine';\n } else {\n result += 'godina';\n }\n return result;\n }\n }\n\n var hr = moment.defineLocale('hr', {\n months : {\n format: 'siječnja_veljače_ožujka_travnja_svibnja_lipnja_srpnja_kolovoza_rujna_listopada_studenoga_prosinca'.split('_'),\n standalone: 'siječanj_veljača_ožujak_travanj_svibanj_lipanj_srpanj_kolovoz_rujan_listopad_studeni_prosinac'.split('_')\n },\n monthsShort : 'sij._velj._ožu._tra._svi._lip._srp._kol._ruj._lis._stu._pro.'.split('_'),\n monthsParseExact: true,\n weekdays : 'nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota'.split('_'),\n weekdaysShort : 'ned._pon._uto._sri._čet._pet._sub.'.split('_'),\n weekdaysMin : 'ne_po_ut_sr_če_pe_su'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd, D. MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[danas u] LT',\n nextDay : '[sutra u] LT',\n nextWeek : function () {\n switch (this.day()) {\n case 0:\n return '[u] [nedjelju] [u] LT';\n case 3:\n return '[u] [srijedu] [u] LT';\n case 6:\n return '[u] [subotu] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[u] dddd [u] LT';\n }\n },\n lastDay : '[jučer u] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 0:\n case 3:\n return '[prošlu] dddd [u] LT';\n case 6:\n return '[prošle] [subote] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[prošli] dddd [u] LT';\n }\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'prije %s',\n s : 'par sekundi',\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : 'dan',\n dd : translate,\n M : 'mjesec',\n MM : translate,\n y : 'godinu',\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return hr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var weekEndings = 'vasárnap hétfőn kedden szerdán csütörtökön pénteken szombaton'.split(' ');\n function translate(number, withoutSuffix, key, isFuture) {\n var num = number;\n switch (key) {\n case 's':\n return (isFuture || withoutSuffix) ? 'néhány másodperc' : 'néhány másodperce';\n case 'ss':\n return num + (isFuture || withoutSuffix) ? ' másodperc' : ' másodperce';\n case 'm':\n return 'egy' + (isFuture || withoutSuffix ? ' perc' : ' perce');\n case 'mm':\n return num + (isFuture || withoutSuffix ? ' perc' : ' perce');\n case 'h':\n return 'egy' + (isFuture || withoutSuffix ? ' óra' : ' órája');\n case 'hh':\n return num + (isFuture || withoutSuffix ? ' óra' : ' órája');\n case 'd':\n return 'egy' + (isFuture || withoutSuffix ? ' nap' : ' napja');\n case 'dd':\n return num + (isFuture || withoutSuffix ? ' nap' : ' napja');\n case 'M':\n return 'egy' + (isFuture || withoutSuffix ? ' hónap' : ' hónapja');\n case 'MM':\n return num + (isFuture || withoutSuffix ? ' hónap' : ' hónapja');\n case 'y':\n return 'egy' + (isFuture || withoutSuffix ? ' év' : ' éve');\n case 'yy':\n return num + (isFuture || withoutSuffix ? ' év' : ' éve');\n }\n return '';\n }\n function week(isFuture) {\n return (isFuture ? '' : '[múlt] ') + '[' + weekEndings[this.day()] + '] LT[-kor]';\n }\n\n var hu = moment.defineLocale('hu', {\n months : 'január_február_március_április_május_június_július_augusztus_szeptember_október_november_december'.split('_'),\n monthsShort : 'jan_feb_márc_ápr_máj_jún_júl_aug_szept_okt_nov_dec'.split('_'),\n weekdays : 'vasárnap_hétfő_kedd_szerda_csütörtök_péntek_szombat'.split('_'),\n weekdaysShort : 'vas_hét_kedd_sze_csüt_pén_szo'.split('_'),\n weekdaysMin : 'v_h_k_sze_cs_p_szo'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'YYYY.MM.DD.',\n LL : 'YYYY. MMMM D.',\n LLL : 'YYYY. MMMM D. H:mm',\n LLLL : 'YYYY. MMMM D., dddd H:mm'\n },\n meridiemParse: /de|du/i,\n isPM: function (input) {\n return input.charAt(1).toLowerCase() === 'u';\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 12) {\n return isLower === true ? 'de' : 'DE';\n } else {\n return isLower === true ? 'du' : 'DU';\n }\n },\n calendar : {\n sameDay : '[ma] LT[-kor]',\n nextDay : '[holnap] LT[-kor]',\n nextWeek : function () {\n return week.call(this, true);\n },\n lastDay : '[tegnap] LT[-kor]',\n lastWeek : function () {\n return week.call(this, false);\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s múlva',\n past : '%s',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return hu;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var hyAm = moment.defineLocale('hy-am', {\n months : {\n format: 'հունվարի_փետրվարի_մարտի_ապրիլի_մայիսի_հունիսի_հուլիսի_օգոստոսի_սեպտեմբերի_հոկտեմբերի_նոյեմբերի_դեկտեմբերի'.split('_'),\n standalone: 'հունվար_փետրվար_մարտ_ապրիլ_մայիս_հունիս_հուլիս_օգոստոս_սեպտեմբեր_հոկտեմբեր_նոյեմբեր_դեկտեմբեր'.split('_')\n },\n monthsShort : 'հնվ_փտր_մրտ_ապր_մյս_հնս_հլս_օգս_սպտ_հկտ_նմբ_դկտ'.split('_'),\n weekdays : 'կիրակի_երկուշաբթի_երեքշաբթի_չորեքշաբթի_հինգշաբթի_ուրբաթ_շաբաթ'.split('_'),\n weekdaysShort : 'կրկ_երկ_երք_չրք_հնգ_ուրբ_շբթ'.split('_'),\n weekdaysMin : 'կրկ_երկ_երք_չրք_հնգ_ուրբ_շբթ'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY թ.',\n LLL : 'D MMMM YYYY թ., HH:mm',\n LLLL : 'dddd, D MMMM YYYY թ., HH:mm'\n },\n calendar : {\n sameDay: '[այսօր] LT',\n nextDay: '[վաղը] LT',\n lastDay: '[երեկ] LT',\n nextWeek: function () {\n return 'dddd [օրը ժամը] LT';\n },\n lastWeek: function () {\n return '[անցած] dddd [օրը ժամը] LT';\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : '%s հետո',\n past : '%s առաջ',\n s : 'մի քանի վայրկյան',\n ss : '%d վայրկյան',\n m : 'րոպե',\n mm : '%d րոպե',\n h : 'ժամ',\n hh : '%d ժամ',\n d : 'օր',\n dd : '%d օր',\n M : 'ամիս',\n MM : '%d ամիս',\n y : 'տարի',\n yy : '%d տարի'\n },\n meridiemParse: /գիշերվա|առավոտվա|ցերեկվա|երեկոյան/,\n isPM: function (input) {\n return /^(ցերեկվա|երեկոյան)$/.test(input);\n },\n meridiem : function (hour) {\n if (hour < 4) {\n return 'գիշերվա';\n } else if (hour < 12) {\n return 'առավոտվա';\n } else if (hour < 17) {\n return 'ցերեկվա';\n } else {\n return 'երեկոյան';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}|\\d{1,2}-(ին|րդ)/,\n ordinal: function (number, period) {\n switch (period) {\n case 'DDD':\n case 'w':\n case 'W':\n case 'DDDo':\n if (number === 1) {\n return number + '-ին';\n }\n return number + '-րդ';\n default:\n return number;\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return hyAm;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var id = moment.defineLocale('id', {\n months : 'Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_November_Desember'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_Mei_Jun_Jul_Agt_Sep_Okt_Nov_Des'.split('_'),\n weekdays : 'Minggu_Senin_Selasa_Rabu_Kamis_Jumat_Sabtu'.split('_'),\n weekdaysShort : 'Min_Sen_Sel_Rab_Kam_Jum_Sab'.split('_'),\n weekdaysMin : 'Mg_Sn_Sl_Rb_Km_Jm_Sb'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY [pukul] HH.mm',\n LLLL : 'dddd, D MMMM YYYY [pukul] HH.mm'\n },\n meridiemParse: /pagi|siang|sore|malam/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'pagi') {\n return hour;\n } else if (meridiem === 'siang') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'sore' || meridiem === 'malam') {\n return hour + 12;\n }\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 11) {\n return 'pagi';\n } else if (hours < 15) {\n return 'siang';\n } else if (hours < 19) {\n return 'sore';\n } else {\n return 'malam';\n }\n },\n calendar : {\n sameDay : '[Hari ini pukul] LT',\n nextDay : '[Besok pukul] LT',\n nextWeek : 'dddd [pukul] LT',\n lastDay : '[Kemarin pukul] LT',\n lastWeek : 'dddd [lalu pukul] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dalam %s',\n past : '%s yang lalu',\n s : 'beberapa detik',\n ss : '%d detik',\n m : 'semenit',\n mm : '%d menit',\n h : 'sejam',\n hh : '%d jam',\n d : 'sehari',\n dd : '%d hari',\n M : 'sebulan',\n MM : '%d bulan',\n y : 'setahun',\n yy : '%d tahun'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return id;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function plural(n) {\n if (n % 100 === 11) {\n return true;\n } else if (n % 10 === 1) {\n return false;\n }\n return true;\n }\n function translate(number, withoutSuffix, key, isFuture) {\n var result = number + ' ';\n switch (key) {\n case 's':\n return withoutSuffix || isFuture ? 'nokkrar sekúndur' : 'nokkrum sekúndum';\n case 'ss':\n if (plural(number)) {\n return result + (withoutSuffix || isFuture ? 'sekúndur' : 'sekúndum');\n }\n return result + 'sekúnda';\n case 'm':\n return withoutSuffix ? 'mínúta' : 'mínútu';\n case 'mm':\n if (plural(number)) {\n return result + (withoutSuffix || isFuture ? 'mínútur' : 'mínútum');\n } else if (withoutSuffix) {\n return result + 'mínúta';\n }\n return result + 'mínútu';\n case 'hh':\n if (plural(number)) {\n return result + (withoutSuffix || isFuture ? 'klukkustundir' : 'klukkustundum');\n }\n return result + 'klukkustund';\n case 'd':\n if (withoutSuffix) {\n return 'dagur';\n }\n return isFuture ? 'dag' : 'degi';\n case 'dd':\n if (plural(number)) {\n if (withoutSuffix) {\n return result + 'dagar';\n }\n return result + (isFuture ? 'daga' : 'dögum');\n } else if (withoutSuffix) {\n return result + 'dagur';\n }\n return result + (isFuture ? 'dag' : 'degi');\n case 'M':\n if (withoutSuffix) {\n return 'mánuður';\n }\n return isFuture ? 'mánuð' : 'mánuði';\n case 'MM':\n if (plural(number)) {\n if (withoutSuffix) {\n return result + 'mánuðir';\n }\n return result + (isFuture ? 'mánuði' : 'mánuðum');\n } else if (withoutSuffix) {\n return result + 'mánuður';\n }\n return result + (isFuture ? 'mánuð' : 'mánuði');\n case 'y':\n return withoutSuffix || isFuture ? 'ár' : 'ári';\n case 'yy':\n if (plural(number)) {\n return result + (withoutSuffix || isFuture ? 'ár' : 'árum');\n }\n return result + (withoutSuffix || isFuture ? 'ár' : 'ári');\n }\n }\n\n var is = moment.defineLocale('is', {\n months : 'janúar_febrúar_mars_apríl_maí_júní_júlí_ágúst_september_október_nóvember_desember'.split('_'),\n monthsShort : 'jan_feb_mar_apr_maí_jún_júl_ágú_sep_okt_nóv_des'.split('_'),\n weekdays : 'sunnudagur_mánudagur_þriðjudagur_miðvikudagur_fimmtudagur_föstudagur_laugardagur'.split('_'),\n weekdaysShort : 'sun_mán_þri_mið_fim_fös_lau'.split('_'),\n weekdaysMin : 'Su_Má_Þr_Mi_Fi_Fö_La'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY [kl.] H:mm',\n LLLL : 'dddd, D. MMMM YYYY [kl.] H:mm'\n },\n calendar : {\n sameDay : '[í dag kl.] LT',\n nextDay : '[á morgun kl.] LT',\n nextWeek : 'dddd [kl.] LT',\n lastDay : '[í gær kl.] LT',\n lastWeek : '[síðasta] dddd [kl.] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'eftir %s',\n past : 'fyrir %s síðan',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : 'klukkustund',\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return is;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var it = moment.defineLocale('it', {\n months : 'gennaio_febbraio_marzo_aprile_maggio_giugno_luglio_agosto_settembre_ottobre_novembre_dicembre'.split('_'),\n monthsShort : 'gen_feb_mar_apr_mag_giu_lug_ago_set_ott_nov_dic'.split('_'),\n weekdays : 'domenica_lunedì_martedì_mercoledì_giovedì_venerdì_sabato'.split('_'),\n weekdaysShort : 'dom_lun_mar_mer_gio_ven_sab'.split('_'),\n weekdaysMin : 'do_lu_ma_me_gi_ve_sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Oggi alle] LT',\n nextDay: '[Domani alle] LT',\n nextWeek: 'dddd [alle] LT',\n lastDay: '[Ieri alle] LT',\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n return '[la scorsa] dddd [alle] LT';\n default:\n return '[lo scorso] dddd [alle] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : function (s) {\n return ((/^[0-9].+$/).test(s) ? 'tra' : 'in') + ' ' + s;\n },\n past : '%s fa',\n s : 'alcuni secondi',\n ss : '%d secondi',\n m : 'un minuto',\n mm : '%d minuti',\n h : 'un\\'ora',\n hh : '%d ore',\n d : 'un giorno',\n dd : '%d giorni',\n M : 'un mese',\n MM : '%d mesi',\n y : 'un anno',\n yy : '%d anni'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal: '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return it;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var itCh = moment.defineLocale('it-ch', {\n months : 'gennaio_febbraio_marzo_aprile_maggio_giugno_luglio_agosto_settembre_ottobre_novembre_dicembre'.split('_'),\n monthsShort : 'gen_feb_mar_apr_mag_giu_lug_ago_set_ott_nov_dic'.split('_'),\n weekdays : 'domenica_lunedì_martedì_mercoledì_giovedì_venerdì_sabato'.split('_'),\n weekdaysShort : 'dom_lun_mar_mer_gio_ven_sab'.split('_'),\n weekdaysMin : 'do_lu_ma_me_gi_ve_sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Oggi alle] LT',\n nextDay: '[Domani alle] LT',\n nextWeek: 'dddd [alle] LT',\n lastDay: '[Ieri alle] LT',\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n return '[la scorsa] dddd [alle] LT';\n default:\n return '[lo scorso] dddd [alle] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : function (s) {\n return ((/^[0-9].+$/).test(s) ? 'tra' : 'in') + ' ' + s;\n },\n past : '%s fa',\n s : 'alcuni secondi',\n ss : '%d secondi',\n m : 'un minuto',\n mm : '%d minuti',\n h : 'un\\'ora',\n hh : '%d ore',\n d : 'un giorno',\n dd : '%d giorni',\n M : 'un mese',\n MM : '%d mesi',\n y : 'un anno',\n yy : '%d anni'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal: '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return itCh;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ja = moment.defineLocale('ja', {\n months : '一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月'.split('_'),\n monthsShort : '1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月'.split('_'),\n weekdays : '日曜日_月曜日_火曜日_水曜日_木曜日_金曜日_土曜日'.split('_'),\n weekdaysShort : '日_月_火_水_木_金_土'.split('_'),\n weekdaysMin : '日_月_火_水_木_金_土'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY/MM/DD',\n LL : 'YYYY年M月D日',\n LLL : 'YYYY年M月D日 HH:mm',\n LLLL : 'YYYY年M月D日 dddd HH:mm',\n l : 'YYYY/MM/DD',\n ll : 'YYYY年M月D日',\n lll : 'YYYY年M月D日 HH:mm',\n llll : 'YYYY年M月D日(ddd) HH:mm'\n },\n meridiemParse: /午前|午後/i,\n isPM : function (input) {\n return input === '午後';\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return '午前';\n } else {\n return '午後';\n }\n },\n calendar : {\n sameDay : '[今日] LT',\n nextDay : '[明日] LT',\n nextWeek : function (now) {\n if (now.week() < this.week()) {\n return '[来週]dddd LT';\n } else {\n return 'dddd LT';\n }\n },\n lastDay : '[昨日] LT',\n lastWeek : function (now) {\n if (this.week() < now.week()) {\n return '[先週]dddd LT';\n } else {\n return 'dddd LT';\n }\n },\n sameElse : 'L'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}日/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'DDD':\n return number + '日';\n default:\n return number;\n }\n },\n relativeTime : {\n future : '%s後',\n past : '%s前',\n s : '数秒',\n ss : '%d秒',\n m : '1分',\n mm : '%d分',\n h : '1時間',\n hh : '%d時間',\n d : '1日',\n dd : '%d日',\n M : '1ヶ月',\n MM : '%dヶ月',\n y : '1年',\n yy : '%d年'\n }\n });\n\n return ja;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var jv = moment.defineLocale('jv', {\n months : 'Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_Nopember_Desember'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_Mei_Jun_Jul_Ags_Sep_Okt_Nop_Des'.split('_'),\n weekdays : 'Minggu_Senen_Seloso_Rebu_Kemis_Jemuwah_Septu'.split('_'),\n weekdaysShort : 'Min_Sen_Sel_Reb_Kem_Jem_Sep'.split('_'),\n weekdaysMin : 'Mg_Sn_Sl_Rb_Km_Jm_Sp'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY [pukul] HH.mm',\n LLLL : 'dddd, D MMMM YYYY [pukul] HH.mm'\n },\n meridiemParse: /enjing|siyang|sonten|ndalu/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'enjing') {\n return hour;\n } else if (meridiem === 'siyang') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'sonten' || meridiem === 'ndalu') {\n return hour + 12;\n }\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 11) {\n return 'enjing';\n } else if (hours < 15) {\n return 'siyang';\n } else if (hours < 19) {\n return 'sonten';\n } else {\n return 'ndalu';\n }\n },\n calendar : {\n sameDay : '[Dinten puniko pukul] LT',\n nextDay : '[Mbenjang pukul] LT',\n nextWeek : 'dddd [pukul] LT',\n lastDay : '[Kala wingi pukul] LT',\n lastWeek : 'dddd [kepengker pukul] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'wonten ing %s',\n past : '%s ingkang kepengker',\n s : 'sawetawis detik',\n ss : '%d detik',\n m : 'setunggal menit',\n mm : '%d menit',\n h : 'setunggal jam',\n hh : '%d jam',\n d : 'sedinten',\n dd : '%d dinten',\n M : 'sewulan',\n MM : '%d wulan',\n y : 'setaun',\n yy : '%d taun'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return jv;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ka = moment.defineLocale('ka', {\n months : {\n standalone: 'იანვარი_თებერვალი_მარტი_აპრილი_მაისი_ივნისი_ივლისი_აგვისტო_სექტემბერი_ოქტომბერი_ნოემბერი_დეკემბერი'.split('_'),\n format: 'იანვარს_თებერვალს_მარტს_აპრილის_მაისს_ივნისს_ივლისს_აგვისტს_სექტემბერს_ოქტომბერს_ნოემბერს_დეკემბერს'.split('_')\n },\n monthsShort : 'იან_თებ_მარ_აპრ_მაი_ივნ_ივლ_აგვ_სექ_ოქტ_ნოე_დეკ'.split('_'),\n weekdays : {\n standalone: 'კვირა_ორშაბათი_სამშაბათი_ოთხშაბათი_ხუთშაბათი_პარასკევი_შაბათი'.split('_'),\n format: 'კვირას_ორშაბათს_სამშაბათს_ოთხშაბათს_ხუთშაბათს_პარასკევს_შაბათს'.split('_'),\n isFormat: /(წინა|შემდეგ)/\n },\n weekdaysShort : 'კვი_ორშ_სამ_ოთხ_ხუთ_პარ_შაბ'.split('_'),\n weekdaysMin : 'კვ_ორ_სა_ოთ_ხუ_პა_შა'.split('_'),\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendar : {\n sameDay : '[დღეს] LT[-ზე]',\n nextDay : '[ხვალ] LT[-ზე]',\n lastDay : '[გუშინ] LT[-ზე]',\n nextWeek : '[შემდეგ] dddd LT[-ზე]',\n lastWeek : '[წინა] dddd LT-ზე',\n sameElse : 'L'\n },\n relativeTime : {\n future : function (s) {\n return (/(წამი|წუთი|საათი|წელი)/).test(s) ?\n s.replace(/ი$/, 'ში') :\n s + 'ში';\n },\n past : function (s) {\n if ((/(წამი|წუთი|საათი|დღე|თვე)/).test(s)) {\n return s.replace(/(ი|ე)$/, 'ის წინ');\n }\n if ((/წელი/).test(s)) {\n return s.replace(/წელი$/, 'წლის წინ');\n }\n },\n s : 'რამდენიმე წამი',\n ss : '%d წამი',\n m : 'წუთი',\n mm : '%d წუთი',\n h : 'საათი',\n hh : '%d საათი',\n d : 'დღე',\n dd : '%d დღე',\n M : 'თვე',\n MM : '%d თვე',\n y : 'წელი',\n yy : '%d წელი'\n },\n dayOfMonthOrdinalParse: /0|1-ლი|მე-\\d{1,2}|\\d{1,2}-ე/,\n ordinal : function (number) {\n if (number === 0) {\n return number;\n }\n if (number === 1) {\n return number + '-ლი';\n }\n if ((number < 20) || (number <= 100 && (number % 20 === 0)) || (number % 100 === 0)) {\n return 'მე-' + number;\n }\n return number + '-ე';\n },\n week : {\n dow : 1,\n doy : 7\n }\n });\n\n return ka;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var suffixes = {\n 0: '-ші',\n 1: '-ші',\n 2: '-ші',\n 3: '-ші',\n 4: '-ші',\n 5: '-ші',\n 6: '-шы',\n 7: '-ші',\n 8: '-ші',\n 9: '-шы',\n 10: '-шы',\n 20: '-шы',\n 30: '-шы',\n 40: '-шы',\n 50: '-ші',\n 60: '-шы',\n 70: '-ші',\n 80: '-ші',\n 90: '-шы',\n 100: '-ші'\n };\n\n var kk = moment.defineLocale('kk', {\n months : 'қаңтар_ақпан_наурыз_сәуір_мамыр_маусым_шілде_тамыз_қыркүйек_қазан_қараша_желтоқсан'.split('_'),\n monthsShort : 'қаң_ақп_нау_сәу_мам_мау_шіл_там_қыр_қаз_қар_жел'.split('_'),\n weekdays : 'жексенбі_дүйсенбі_сейсенбі_сәрсенбі_бейсенбі_жұма_сенбі'.split('_'),\n weekdaysShort : 'жек_дүй_сей_сәр_бей_жұм_сен'.split('_'),\n weekdaysMin : 'жк_дй_сй_ср_бй_жм_сн'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Бүгін сағат] LT',\n nextDay : '[Ертең сағат] LT',\n nextWeek : 'dddd [сағат] LT',\n lastDay : '[Кеше сағат] LT',\n lastWeek : '[Өткен аптаның] dddd [сағат] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s ішінде',\n past : '%s бұрын',\n s : 'бірнеше секунд',\n ss : '%d секунд',\n m : 'бір минут',\n mm : '%d минут',\n h : 'бір сағат',\n hh : '%d сағат',\n d : 'бір күн',\n dd : '%d күн',\n M : 'бір ай',\n MM : '%d ай',\n y : 'бір жыл',\n yy : '%d жыл'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(ші|шы)/,\n ordinal : function (number) {\n var a = number % 10,\n b = number >= 100 ? 100 : null;\n return number + (suffixes[number] || suffixes[a] || suffixes[b]);\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return kk;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '១',\n '2': '២',\n '3': '៣',\n '4': '៤',\n '5': '៥',\n '6': '៦',\n '7': '៧',\n '8': '៨',\n '9': '៩',\n '0': '០'\n }, numberMap = {\n '១': '1',\n '២': '2',\n '៣': '3',\n '៤': '4',\n '៥': '5',\n '៦': '6',\n '៧': '7',\n '៨': '8',\n '៩': '9',\n '០': '0'\n };\n\n var km = moment.defineLocale('km', {\n months: 'មករា_កុម្ភៈ_មីនា_មេសា_ឧសភា_មិថុនា_កក្កដា_សីហា_កញ្ញា_តុលា_វិច្ឆិកា_ធ្នូ'.split(\n '_'\n ),\n monthsShort: 'មករា_កុម្ភៈ_មីនា_មេសា_ឧសភា_មិថុនា_កក្កដា_សីហា_កញ្ញា_តុលា_វិច្ឆិកា_ធ្នូ'.split(\n '_'\n ),\n weekdays: 'អាទិត្យ_ច័ន្ទ_អង្គារ_ពុធ_ព្រហស្បតិ៍_សុក្រ_សៅរ៍'.split('_'),\n weekdaysShort: 'អា_ច_អ_ព_ព្រ_សុ_ស'.split('_'),\n weekdaysMin: 'អា_ច_អ_ព_ព្រ_សុ_ស'.split('_'),\n weekdaysParseExact: true,\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY HH:mm',\n LLLL: 'dddd, D MMMM YYYY HH:mm'\n },\n meridiemParse: /ព្រឹក|ល្ងាច/,\n isPM: function (input) {\n return input === 'ល្ងាច';\n },\n meridiem: function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ព្រឹក';\n } else {\n return 'ល្ងាច';\n }\n },\n calendar: {\n sameDay: '[ថ្ងៃនេះ ម៉ោង] LT',\n nextDay: '[ស្អែក ម៉ោង] LT',\n nextWeek: 'dddd [ម៉ោង] LT',\n lastDay: '[ម្សិលមិញ ម៉ោង] LT',\n lastWeek: 'dddd [សប្តាហ៍មុន] [ម៉ោង] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: '%sទៀត',\n past: '%sមុន',\n s: 'ប៉ុន្មានវិនាទី',\n ss: '%d វិនាទី',\n m: 'មួយនាទី',\n mm: '%d នាទី',\n h: 'មួយម៉ោង',\n hh: '%d ម៉ោង',\n d: 'មួយថ្ងៃ',\n dd: '%d ថ្ងៃ',\n M: 'មួយខែ',\n MM: '%d ខែ',\n y: 'មួយឆ្នាំ',\n yy: '%d ឆ្នាំ'\n },\n dayOfMonthOrdinalParse : /ទី\\d{1,2}/,\n ordinal : 'ទី%d',\n preparse: function (string) {\n return string.replace(/[១២៣៤៥៦៧៨៩០]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n week: {\n dow: 1, // Monday is the first day of the week.\n doy: 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return km;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '೧',\n '2': '೨',\n '3': '೩',\n '4': '೪',\n '5': '೫',\n '6': '೬',\n '7': '೭',\n '8': '೮',\n '9': '೯',\n '0': '೦'\n },\n numberMap = {\n '೧': '1',\n '೨': '2',\n '೩': '3',\n '೪': '4',\n '೫': '5',\n '೬': '6',\n '೭': '7',\n '೮': '8',\n '೯': '9',\n '೦': '0'\n };\n\n var kn = moment.defineLocale('kn', {\n months : 'ಜನವರಿ_ಫೆಬ್ರವರಿ_ಮಾರ್ಚ್_ಏಪ್ರಿಲ್_ಮೇ_ಜೂನ್_ಜುಲೈ_ಆಗಸ್ಟ್_ಸೆಪ್ಟೆಂಬರ್_ಅಕ್ಟೋಬರ್_ನವೆಂಬರ್_ಡಿಸೆಂಬರ್'.split('_'),\n monthsShort : 'ಜನ_ಫೆಬ್ರ_ಮಾರ್ಚ್_ಏಪ್ರಿಲ್_ಮೇ_ಜೂನ್_ಜುಲೈ_ಆಗಸ್ಟ್_ಸೆಪ್ಟೆಂ_ಅಕ್ಟೋ_ನವೆಂ_ಡಿಸೆಂ'.split('_'),\n monthsParseExact: true,\n weekdays : 'ಭಾನುವಾರ_ಸೋಮವಾರ_ಮಂಗಳವಾರ_ಬುಧವಾರ_ಗುರುವಾರ_ಶುಕ್ರವಾರ_ಶನಿವಾರ'.split('_'),\n weekdaysShort : 'ಭಾನು_ಸೋಮ_ಮಂಗಳ_ಬುಧ_ಗುರು_ಶುಕ್ರ_ಶನಿ'.split('_'),\n weekdaysMin : 'ಭಾ_ಸೋ_ಮಂ_ಬು_ಗು_ಶು_ಶ'.split('_'),\n longDateFormat : {\n LT : 'A h:mm',\n LTS : 'A h:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm',\n LLLL : 'dddd, D MMMM YYYY, A h:mm'\n },\n calendar : {\n sameDay : '[ಇಂದು] LT',\n nextDay : '[ನಾಳೆ] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[ನಿನ್ನೆ] LT',\n lastWeek : '[ಕೊನೆಯ] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s ನಂತರ',\n past : '%s ಹಿಂದೆ',\n s : 'ಕೆಲವು ಕ್ಷಣಗಳು',\n ss : '%d ಸೆಕೆಂಡುಗಳು',\n m : 'ಒಂದು ನಿಮಿಷ',\n mm : '%d ನಿಮಿಷ',\n h : 'ಒಂದು ಗಂಟೆ',\n hh : '%d ಗಂಟೆ',\n d : 'ಒಂದು ದಿನ',\n dd : '%d ದಿನ',\n M : 'ಒಂದು ತಿಂಗಳು',\n MM : '%d ತಿಂಗಳು',\n y : 'ಒಂದು ವರ್ಷ',\n yy : '%d ವರ್ಷ'\n },\n preparse: function (string) {\n return string.replace(/[೧೨೩೪೫೬೭೮೯೦]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n meridiemParse: /ರಾತ್ರಿ|ಬೆಳಿಗ್ಗೆ|ಮಧ್ಯಾಹ್ನ|ಸಂಜೆ/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'ರಾತ್ರಿ') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'ಬೆಳಿಗ್ಗೆ') {\n return hour;\n } else if (meridiem === 'ಮಧ್ಯಾಹ್ನ') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'ಸಂಜೆ') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'ರಾತ್ರಿ';\n } else if (hour < 10) {\n return 'ಬೆಳಿಗ್ಗೆ';\n } else if (hour < 17) {\n return 'ಮಧ್ಯಾಹ್ನ';\n } else if (hour < 20) {\n return 'ಸಂಜೆ';\n } else {\n return 'ರಾತ್ರಿ';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(ನೇ)/,\n ordinal : function (number) {\n return number + 'ನೇ';\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return kn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ko = moment.defineLocale('ko', {\n months : '1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월'.split('_'),\n monthsShort : '1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월'.split('_'),\n weekdays : '일요일_월요일_화요일_수요일_목요일_금요일_토요일'.split('_'),\n weekdaysShort : '일_월_화_수_목_금_토'.split('_'),\n weekdaysMin : '일_월_화_수_목_금_토'.split('_'),\n longDateFormat : {\n LT : 'A h:mm',\n LTS : 'A h:mm:ss',\n L : 'YYYY.MM.DD.',\n LL : 'YYYY년 MMMM D일',\n LLL : 'YYYY년 MMMM D일 A h:mm',\n LLLL : 'YYYY년 MMMM D일 dddd A h:mm',\n l : 'YYYY.MM.DD.',\n ll : 'YYYY년 MMMM D일',\n lll : 'YYYY년 MMMM D일 A h:mm',\n llll : 'YYYY년 MMMM D일 dddd A h:mm'\n },\n calendar : {\n sameDay : '오늘 LT',\n nextDay : '내일 LT',\n nextWeek : 'dddd LT',\n lastDay : '어제 LT',\n lastWeek : '지난주 dddd LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s 후',\n past : '%s 전',\n s : '몇 초',\n ss : '%d초',\n m : '1분',\n mm : '%d분',\n h : '한 시간',\n hh : '%d시간',\n d : '하루',\n dd : '%d일',\n M : '한 달',\n MM : '%d달',\n y : '일 년',\n yy : '%d년'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}(일|월|주)/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'DDD':\n return number + '일';\n case 'M':\n return number + '월';\n case 'w':\n case 'W':\n return number + '주';\n default:\n return number;\n }\n },\n meridiemParse : /오전|오후/,\n isPM : function (token) {\n return token === '오후';\n },\n meridiem : function (hour, minute, isUpper) {\n return hour < 12 ? '오전' : '오후';\n }\n });\n\n return ko;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '١',\n '2': '٢',\n '3': '٣',\n '4': '٤',\n '5': '٥',\n '6': '٦',\n '7': '٧',\n '8': '٨',\n '9': '٩',\n '0': '٠'\n }, numberMap = {\n '١': '1',\n '٢': '2',\n '٣': '3',\n '٤': '4',\n '٥': '5',\n '٦': '6',\n '٧': '7',\n '٨': '8',\n '٩': '9',\n '٠': '0'\n },\n months = [\n 'کانونی دووەم',\n 'شوبات',\n 'ئازار',\n 'نیسان',\n 'ئایار',\n 'حوزەیران',\n 'تەمموز',\n 'ئاب',\n 'ئەیلوول',\n 'تشرینی یەكەم',\n 'تشرینی دووەم',\n 'كانونی یەکەم'\n ];\n\n\n var ku = moment.defineLocale('ku', {\n months : months,\n monthsShort : months,\n weekdays : 'یه‌كشه‌ممه‌_دووشه‌ممه‌_سێشه‌ممه‌_چوارشه‌ممه‌_پێنجشه‌ممه‌_هه‌ینی_شه‌ممه‌'.split('_'),\n weekdaysShort : 'یه‌كشه‌م_دووشه‌م_سێشه‌م_چوارشه‌م_پێنجشه‌م_هه‌ینی_شه‌ممه‌'.split('_'),\n weekdaysMin : 'ی_د_س_چ_پ_ه_ش'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n meridiemParse: /ئێواره‌|به‌یانی/,\n isPM: function (input) {\n return /ئێواره‌/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'به‌یانی';\n } else {\n return 'ئێواره‌';\n }\n },\n calendar : {\n sameDay : '[ئه‌مرۆ كاتژمێر] LT',\n nextDay : '[به‌یانی كاتژمێر] LT',\n nextWeek : 'dddd [كاتژمێر] LT',\n lastDay : '[دوێنێ كاتژمێر] LT',\n lastWeek : 'dddd [كاتژمێر] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'له‌ %s',\n past : '%s',\n s : 'چه‌ند چركه‌یه‌ك',\n ss : 'چركه‌ %d',\n m : 'یه‌ك خوله‌ك',\n mm : '%d خوله‌ك',\n h : 'یه‌ك كاتژمێر',\n hh : '%d كاتژمێر',\n d : 'یه‌ك ڕۆژ',\n dd : '%d ڕۆژ',\n M : 'یه‌ك مانگ',\n MM : '%d مانگ',\n y : 'یه‌ك ساڵ',\n yy : '%d ساڵ'\n },\n preparse: function (string) {\n return string.replace(/[١٢٣٤٥٦٧٨٩٠]/g, function (match) {\n return numberMap[match];\n }).replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n }).replace(/,/g, '،');\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return ku;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var suffixes = {\n 0: '-чү',\n 1: '-чи',\n 2: '-чи',\n 3: '-чү',\n 4: '-чү',\n 5: '-чи',\n 6: '-чы',\n 7: '-чи',\n 8: '-чи',\n 9: '-чу',\n 10: '-чу',\n 20: '-чы',\n 30: '-чу',\n 40: '-чы',\n 50: '-чү',\n 60: '-чы',\n 70: '-чи',\n 80: '-чи',\n 90: '-чу',\n 100: '-чү'\n };\n\n var ky = moment.defineLocale('ky', {\n months : 'январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь'.split('_'),\n monthsShort : 'янв_фев_март_апр_май_июнь_июль_авг_сен_окт_ноя_дек'.split('_'),\n weekdays : 'Жекшемби_Дүйшөмбү_Шейшемби_Шаршемби_Бейшемби_Жума_Ишемби'.split('_'),\n weekdaysShort : 'Жек_Дүй_Шей_Шар_Бей_Жум_Ише'.split('_'),\n weekdaysMin : 'Жк_Дй_Шй_Шр_Бй_Жм_Иш'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Бүгүн саат] LT',\n nextDay : '[Эртең саат] LT',\n nextWeek : 'dddd [саат] LT',\n lastDay : '[Кечээ саат] LT',\n lastWeek : '[Өткөн аптанын] dddd [күнү] [саат] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s ичинде',\n past : '%s мурун',\n s : 'бирнече секунд',\n ss : '%d секунд',\n m : 'бир мүнөт',\n mm : '%d мүнөт',\n h : 'бир саат',\n hh : '%d саат',\n d : 'бир күн',\n dd : '%d күн',\n M : 'бир ай',\n MM : '%d ай',\n y : 'бир жыл',\n yy : '%d жыл'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(чи|чы|чү|чу)/,\n ordinal : function (number) {\n var a = number % 10,\n b = number >= 100 ? 100 : null;\n return number + (suffixes[number] || suffixes[a] || suffixes[b]);\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return ky;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 'm': ['eng Minutt', 'enger Minutt'],\n 'h': ['eng Stonn', 'enger Stonn'],\n 'd': ['een Dag', 'engem Dag'],\n 'M': ['ee Mount', 'engem Mount'],\n 'y': ['ee Joer', 'engem Joer']\n };\n return withoutSuffix ? format[key][0] : format[key][1];\n }\n function processFutureTime(string) {\n var number = string.substr(0, string.indexOf(' '));\n if (eifelerRegelAppliesToNumber(number)) {\n return 'a ' + string;\n }\n return 'an ' + string;\n }\n function processPastTime(string) {\n var number = string.substr(0, string.indexOf(' '));\n if (eifelerRegelAppliesToNumber(number)) {\n return 'viru ' + string;\n }\n return 'virun ' + string;\n }\n /**\n * Returns true if the word before the given number loses the '-n' ending.\n * e.g. 'an 10 Deeg' but 'a 5 Deeg'\n *\n * @param number {integer}\n * @returns {boolean}\n */\n function eifelerRegelAppliesToNumber(number) {\n number = parseInt(number, 10);\n if (isNaN(number)) {\n return false;\n }\n if (number < 0) {\n // Negative Number --> always true\n return true;\n } else if (number < 10) {\n // Only 1 digit\n if (4 <= number && number <= 7) {\n return true;\n }\n return false;\n } else if (number < 100) {\n // 2 digits\n var lastDigit = number % 10, firstDigit = number / 10;\n if (lastDigit === 0) {\n return eifelerRegelAppliesToNumber(firstDigit);\n }\n return eifelerRegelAppliesToNumber(lastDigit);\n } else if (number < 10000) {\n // 3 or 4 digits --> recursively check first digit\n while (number >= 10) {\n number = number / 10;\n }\n return eifelerRegelAppliesToNumber(number);\n } else {\n // Anything larger than 4 digits: recursively check first n-3 digits\n number = number / 1000;\n return eifelerRegelAppliesToNumber(number);\n }\n }\n\n var lb = moment.defineLocale('lb', {\n months: 'Januar_Februar_Mäerz_Abrëll_Mee_Juni_Juli_August_September_Oktober_November_Dezember'.split('_'),\n monthsShort: 'Jan._Febr._Mrz._Abr._Mee_Jun._Jul._Aug._Sept._Okt._Nov._Dez.'.split('_'),\n monthsParseExact : true,\n weekdays: 'Sonndeg_Méindeg_Dënschdeg_Mëttwoch_Donneschdeg_Freideg_Samschdeg'.split('_'),\n weekdaysShort: 'So._Mé._Dë._Më._Do._Fr._Sa.'.split('_'),\n weekdaysMin: 'So_Mé_Dë_Më_Do_Fr_Sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat: {\n LT: 'H:mm [Auer]',\n LTS: 'H:mm:ss [Auer]',\n L: 'DD.MM.YYYY',\n LL: 'D. MMMM YYYY',\n LLL: 'D. MMMM YYYY H:mm [Auer]',\n LLLL: 'dddd, D. MMMM YYYY H:mm [Auer]'\n },\n calendar: {\n sameDay: '[Haut um] LT',\n sameElse: 'L',\n nextDay: '[Muer um] LT',\n nextWeek: 'dddd [um] LT',\n lastDay: '[Gëschter um] LT',\n lastWeek: function () {\n // Different date string for 'Dënschdeg' (Tuesday) and 'Donneschdeg' (Thursday) due to phonological rule\n switch (this.day()) {\n case 2:\n case 4:\n return '[Leschten] dddd [um] LT';\n default:\n return '[Leschte] dddd [um] LT';\n }\n }\n },\n relativeTime : {\n future : processFutureTime,\n past : processPastTime,\n s : 'e puer Sekonnen',\n ss : '%d Sekonnen',\n m : processRelativeTime,\n mm : '%d Minutten',\n h : processRelativeTime,\n hh : '%d Stonnen',\n d : processRelativeTime,\n dd : '%d Deeg',\n M : processRelativeTime,\n MM : '%d Méint',\n y : processRelativeTime,\n yy : '%d Joer'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal: '%d.',\n week: {\n dow: 1, // Monday is the first day of the week.\n doy: 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return lb;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var lo = moment.defineLocale('lo', {\n months : 'ມັງກອນ_ກຸມພາ_ມີນາ_ເມສາ_ພຶດສະພາ_ມິຖຸນາ_ກໍລະກົດ_ສິງຫາ_ກັນຍາ_ຕຸລາ_ພະຈິກ_ທັນວາ'.split('_'),\n monthsShort : 'ມັງກອນ_ກຸມພາ_ມີນາ_ເມສາ_ພຶດສະພາ_ມິຖຸນາ_ກໍລະກົດ_ສິງຫາ_ກັນຍາ_ຕຸລາ_ພະຈິກ_ທັນວາ'.split('_'),\n weekdays : 'ອາທິດ_ຈັນ_ອັງຄານ_ພຸດ_ພະຫັດ_ສຸກ_ເສົາ'.split('_'),\n weekdaysShort : 'ທິດ_ຈັນ_ອັງຄານ_ພຸດ_ພະຫັດ_ສຸກ_ເສົາ'.split('_'),\n weekdaysMin : 'ທ_ຈ_ອຄ_ພ_ພຫ_ສກ_ສ'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'ວັນdddd D MMMM YYYY HH:mm'\n },\n meridiemParse: /ຕອນເຊົ້າ|ຕອນແລງ/,\n isPM: function (input) {\n return input === 'ຕອນແລງ';\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ຕອນເຊົ້າ';\n } else {\n return 'ຕອນແລງ';\n }\n },\n calendar : {\n sameDay : '[ມື້ນີ້ເວລາ] LT',\n nextDay : '[ມື້ອື່ນເວລາ] LT',\n nextWeek : '[ວັນ]dddd[ໜ້າເວລາ] LT',\n lastDay : '[ມື້ວານນີ້ເວລາ] LT',\n lastWeek : '[ວັນ]dddd[ແລ້ວນີ້ເວລາ] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'ອີກ %s',\n past : '%sຜ່ານມາ',\n s : 'ບໍ່ເທົ່າໃດວິນາທີ',\n ss : '%d ວິນາທີ' ,\n m : '1 ນາທີ',\n mm : '%d ນາທີ',\n h : '1 ຊົ່ວໂມງ',\n hh : '%d ຊົ່ວໂມງ',\n d : '1 ມື້',\n dd : '%d ມື້',\n M : '1 ເດືອນ',\n MM : '%d ເດືອນ',\n y : '1 ປີ',\n yy : '%d ປີ'\n },\n dayOfMonthOrdinalParse: /(ທີ່)\\d{1,2}/,\n ordinal : function (number) {\n return 'ທີ່' + number;\n }\n });\n\n return lo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var units = {\n 'ss' : 'sekundė_sekundžių_sekundes',\n 'm' : 'minutė_minutės_minutę',\n 'mm': 'minutės_minučių_minutes',\n 'h' : 'valanda_valandos_valandą',\n 'hh': 'valandos_valandų_valandas',\n 'd' : 'diena_dienos_dieną',\n 'dd': 'dienos_dienų_dienas',\n 'M' : 'mėnuo_mėnesio_mėnesį',\n 'MM': 'mėnesiai_mėnesių_mėnesius',\n 'y' : 'metai_metų_metus',\n 'yy': 'metai_metų_metus'\n };\n function translateSeconds(number, withoutSuffix, key, isFuture) {\n if (withoutSuffix) {\n return 'kelios sekundės';\n } else {\n return isFuture ? 'kelių sekundžių' : 'kelias sekundes';\n }\n }\n function translateSingular(number, withoutSuffix, key, isFuture) {\n return withoutSuffix ? forms(key)[0] : (isFuture ? forms(key)[1] : forms(key)[2]);\n }\n function special(number) {\n return number % 10 === 0 || (number > 10 && number < 20);\n }\n function forms(key) {\n return units[key].split('_');\n }\n function translate(number, withoutSuffix, key, isFuture) {\n var result = number + ' ';\n if (number === 1) {\n return result + translateSingular(number, withoutSuffix, key[0], isFuture);\n } else if (withoutSuffix) {\n return result + (special(number) ? forms(key)[1] : forms(key)[0]);\n } else {\n if (isFuture) {\n return result + forms(key)[1];\n } else {\n return result + (special(number) ? forms(key)[1] : forms(key)[2]);\n }\n }\n }\n var lt = moment.defineLocale('lt', {\n months : {\n format: 'sausio_vasario_kovo_balandžio_gegužės_birželio_liepos_rugpjūčio_rugsėjo_spalio_lapkričio_gruodžio'.split('_'),\n standalone: 'sausis_vasaris_kovas_balandis_gegužė_birželis_liepa_rugpjūtis_rugsėjis_spalis_lapkritis_gruodis'.split('_'),\n isFormat: /D[oD]?(\\[[^\\[\\]]*\\]|\\s)+MMMM?|MMMM?(\\[[^\\[\\]]*\\]|\\s)+D[oD]?/\n },\n monthsShort : 'sau_vas_kov_bal_geg_bir_lie_rgp_rgs_spa_lap_grd'.split('_'),\n weekdays : {\n format: 'sekmadienį_pirmadienį_antradienį_trečiadienį_ketvirtadienį_penktadienį_šeštadienį'.split('_'),\n standalone: 'sekmadienis_pirmadienis_antradienis_trečiadienis_ketvirtadienis_penktadienis_šeštadienis'.split('_'),\n isFormat: /dddd HH:mm/\n },\n weekdaysShort : 'Sek_Pir_Ant_Tre_Ket_Pen_Šeš'.split('_'),\n weekdaysMin : 'S_P_A_T_K_Pn_Š'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'YYYY [m.] MMMM D [d.]',\n LLL : 'YYYY [m.] MMMM D [d.], HH:mm [val.]',\n LLLL : 'YYYY [m.] MMMM D [d.], dddd, HH:mm [val.]',\n l : 'YYYY-MM-DD',\n ll : 'YYYY [m.] MMMM D [d.]',\n lll : 'YYYY [m.] MMMM D [d.], HH:mm [val.]',\n llll : 'YYYY [m.] MMMM D [d.], ddd, HH:mm [val.]'\n },\n calendar : {\n sameDay : '[Šiandien] LT',\n nextDay : '[Rytoj] LT',\n nextWeek : 'dddd LT',\n lastDay : '[Vakar] LT',\n lastWeek : '[Praėjusį] dddd LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'po %s',\n past : 'prieš %s',\n s : translateSeconds,\n ss : translate,\n m : translateSingular,\n mm : translate,\n h : translateSingular,\n hh : translate,\n d : translateSingular,\n dd : translate,\n M : translateSingular,\n MM : translate,\n y : translateSingular,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-oji/,\n ordinal : function (number) {\n return number + '-oji';\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return lt;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var units = {\n 'ss': 'sekundes_sekundēm_sekunde_sekundes'.split('_'),\n 'm': 'minūtes_minūtēm_minūte_minūtes'.split('_'),\n 'mm': 'minūtes_minūtēm_minūte_minūtes'.split('_'),\n 'h': 'stundas_stundām_stunda_stundas'.split('_'),\n 'hh': 'stundas_stundām_stunda_stundas'.split('_'),\n 'd': 'dienas_dienām_diena_dienas'.split('_'),\n 'dd': 'dienas_dienām_diena_dienas'.split('_'),\n 'M': 'mēneša_mēnešiem_mēnesis_mēneši'.split('_'),\n 'MM': 'mēneša_mēnešiem_mēnesis_mēneši'.split('_'),\n 'y': 'gada_gadiem_gads_gadi'.split('_'),\n 'yy': 'gada_gadiem_gads_gadi'.split('_')\n };\n /**\n * @param withoutSuffix boolean true = a length of time; false = before/after a period of time.\n */\n function format(forms, number, withoutSuffix) {\n if (withoutSuffix) {\n // E.g. \"21 minūte\", \"3 minūtes\".\n return number % 10 === 1 && number % 100 !== 11 ? forms[2] : forms[3];\n } else {\n // E.g. \"21 minūtes\" as in \"pēc 21 minūtes\".\n // E.g. \"3 minūtēm\" as in \"pēc 3 minūtēm\".\n return number % 10 === 1 && number % 100 !== 11 ? forms[0] : forms[1];\n }\n }\n function relativeTimeWithPlural(number, withoutSuffix, key) {\n return number + ' ' + format(units[key], number, withoutSuffix);\n }\n function relativeTimeWithSingular(number, withoutSuffix, key) {\n return format(units[key], number, withoutSuffix);\n }\n function relativeSeconds(number, withoutSuffix) {\n return withoutSuffix ? 'dažas sekundes' : 'dažām sekundēm';\n }\n\n var lv = moment.defineLocale('lv', {\n months : 'janvāris_februāris_marts_aprīlis_maijs_jūnijs_jūlijs_augusts_septembris_oktobris_novembris_decembris'.split('_'),\n monthsShort : 'jan_feb_mar_apr_mai_jūn_jūl_aug_sep_okt_nov_dec'.split('_'),\n weekdays : 'svētdiena_pirmdiena_otrdiena_trešdiena_ceturtdiena_piektdiena_sestdiena'.split('_'),\n weekdaysShort : 'Sv_P_O_T_C_Pk_S'.split('_'),\n weekdaysMin : 'Sv_P_O_T_C_Pk_S'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY.',\n LL : 'YYYY. [gada] D. MMMM',\n LLL : 'YYYY. [gada] D. MMMM, HH:mm',\n LLLL : 'YYYY. [gada] D. MMMM, dddd, HH:mm'\n },\n calendar : {\n sameDay : '[Šodien pulksten] LT',\n nextDay : '[Rīt pulksten] LT',\n nextWeek : 'dddd [pulksten] LT',\n lastDay : '[Vakar pulksten] LT',\n lastWeek : '[Pagājušā] dddd [pulksten] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'pēc %s',\n past : 'pirms %s',\n s : relativeSeconds,\n ss : relativeTimeWithPlural,\n m : relativeTimeWithSingular,\n mm : relativeTimeWithPlural,\n h : relativeTimeWithSingular,\n hh : relativeTimeWithPlural,\n d : relativeTimeWithSingular,\n dd : relativeTimeWithPlural,\n M : relativeTimeWithSingular,\n MM : relativeTimeWithPlural,\n y : relativeTimeWithSingular,\n yy : relativeTimeWithPlural\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return lv;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var translator = {\n words: { //Different grammatical cases\n ss: ['sekund', 'sekunda', 'sekundi'],\n m: ['jedan minut', 'jednog minuta'],\n mm: ['minut', 'minuta', 'minuta'],\n h: ['jedan sat', 'jednog sata'],\n hh: ['sat', 'sata', 'sati'],\n dd: ['dan', 'dana', 'dana'],\n MM: ['mjesec', 'mjeseca', 'mjeseci'],\n yy: ['godina', 'godine', 'godina']\n },\n correctGrammaticalCase: function (number, wordKey) {\n return number === 1 ? wordKey[0] : (number >= 2 && number <= 4 ? wordKey[1] : wordKey[2]);\n },\n translate: function (number, withoutSuffix, key) {\n var wordKey = translator.words[key];\n if (key.length === 1) {\n return withoutSuffix ? wordKey[0] : wordKey[1];\n } else {\n return number + ' ' + translator.correctGrammaticalCase(number, wordKey);\n }\n }\n };\n\n var me = moment.defineLocale('me', {\n months: 'januar_februar_mart_april_maj_jun_jul_avgust_septembar_oktobar_novembar_decembar'.split('_'),\n monthsShort: 'jan._feb._mar._apr._maj_jun_jul_avg._sep._okt._nov._dec.'.split('_'),\n monthsParseExact : true,\n weekdays: 'nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota'.split('_'),\n weekdaysShort: 'ned._pon._uto._sri._čet._pet._sub.'.split('_'),\n weekdaysMin: 'ne_po_ut_sr_če_pe_su'.split('_'),\n weekdaysParseExact : true,\n longDateFormat: {\n LT: 'H:mm',\n LTS : 'H:mm:ss',\n L: 'DD.MM.YYYY',\n LL: 'D. MMMM YYYY',\n LLL: 'D. MMMM YYYY H:mm',\n LLLL: 'dddd, D. MMMM YYYY H:mm'\n },\n calendar: {\n sameDay: '[danas u] LT',\n nextDay: '[sjutra u] LT',\n\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[u] [nedjelju] [u] LT';\n case 3:\n return '[u] [srijedu] [u] LT';\n case 6:\n return '[u] [subotu] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[u] dddd [u] LT';\n }\n },\n lastDay : '[juče u] LT',\n lastWeek : function () {\n var lastWeekDays = [\n '[prošle] [nedjelje] [u] LT',\n '[prošlog] [ponedjeljka] [u] LT',\n '[prošlog] [utorka] [u] LT',\n '[prošle] [srijede] [u] LT',\n '[prošlog] [četvrtka] [u] LT',\n '[prošlog] [petka] [u] LT',\n '[prošle] [subote] [u] LT'\n ];\n return lastWeekDays[this.day()];\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'prije %s',\n s : 'nekoliko sekundi',\n ss : translator.translate,\n m : translator.translate,\n mm : translator.translate,\n h : translator.translate,\n hh : translator.translate,\n d : 'dan',\n dd : translator.translate,\n M : 'mjesec',\n MM : translator.translate,\n y : 'godinu',\n yy : translator.translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return me;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var mi = moment.defineLocale('mi', {\n months: 'Kohi-tāte_Hui-tanguru_Poutū-te-rangi_Paenga-whāwhā_Haratua_Pipiri_Hōngoingoi_Here-turi-kōkā_Mahuru_Whiringa-ā-nuku_Whiringa-ā-rangi_Hakihea'.split('_'),\n monthsShort: 'Kohi_Hui_Pou_Pae_Hara_Pipi_Hōngoi_Here_Mahu_Whi-nu_Whi-ra_Haki'.split('_'),\n monthsRegex: /(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,3}/i,\n monthsStrictRegex: /(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,3}/i,\n monthsShortRegex: /(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,3}/i,\n monthsShortStrictRegex: /(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,2}/i,\n weekdays: 'Rātapu_Mane_Tūrei_Wenerei_Tāite_Paraire_Hātarei'.split('_'),\n weekdaysShort: 'Ta_Ma_Tū_We_Tāi_Pa_Hā'.split('_'),\n weekdaysMin: 'Ta_Ma_Tū_We_Tāi_Pa_Hā'.split('_'),\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY [i] HH:mm',\n LLLL: 'dddd, D MMMM YYYY [i] HH:mm'\n },\n calendar: {\n sameDay: '[i teie mahana, i] LT',\n nextDay: '[apopo i] LT',\n nextWeek: 'dddd [i] LT',\n lastDay: '[inanahi i] LT',\n lastWeek: 'dddd [whakamutunga i] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: 'i roto i %s',\n past: '%s i mua',\n s: 'te hēkona ruarua',\n ss: '%d hēkona',\n m: 'he meneti',\n mm: '%d meneti',\n h: 'te haora',\n hh: '%d haora',\n d: 'he ra',\n dd: '%d ra',\n M: 'he marama',\n MM: '%d marama',\n y: 'he tau',\n yy: '%d tau'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}º/,\n ordinal: '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return mi;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var mk = moment.defineLocale('mk', {\n months : 'јануари_февруари_март_април_мај_јуни_јули_август_септември_октомври_ноември_декември'.split('_'),\n monthsShort : 'јан_фев_мар_апр_мај_јун_јул_авг_сеп_окт_ное_дек'.split('_'),\n weekdays : 'недела_понеделник_вторник_среда_четврток_петок_сабота'.split('_'),\n weekdaysShort : 'нед_пон_вто_сре_чет_пет_саб'.split('_'),\n weekdaysMin : 'нe_пo_вт_ср_че_пе_сa'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'D.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY H:mm',\n LLLL : 'dddd, D MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[Денес во] LT',\n nextDay : '[Утре во] LT',\n nextWeek : '[Во] dddd [во] LT',\n lastDay : '[Вчера во] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 0:\n case 3:\n case 6:\n return '[Изминатата] dddd [во] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[Изминатиот] dddd [во] LT';\n }\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'после %s',\n past : 'пред %s',\n s : 'неколку секунди',\n ss : '%d секунди',\n m : 'минута',\n mm : '%d минути',\n h : 'час',\n hh : '%d часа',\n d : 'ден',\n dd : '%d дена',\n M : 'месец',\n MM : '%d месеци',\n y : 'година',\n yy : '%d години'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(ев|ен|ти|ви|ри|ми)/,\n ordinal : function (number) {\n var lastDigit = number % 10,\n last2Digits = number % 100;\n if (number === 0) {\n return number + '-ев';\n } else if (last2Digits === 0) {\n return number + '-ен';\n } else if (last2Digits > 10 && last2Digits < 20) {\n return number + '-ти';\n } else if (lastDigit === 1) {\n return number + '-ви';\n } else if (lastDigit === 2) {\n return number + '-ри';\n } else if (lastDigit === 7 || lastDigit === 8) {\n return number + '-ми';\n } else {\n return number + '-ти';\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return mk;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ml = moment.defineLocale('ml', {\n months : 'ജനുവരി_ഫെബ്രുവരി_മാർച്ച്_ഏപ്രിൽ_മേയ്_ജൂൺ_ജൂലൈ_ഓഗസ്റ്റ്_സെപ്റ്റംബർ_ഒക്ടോബർ_നവംബർ_ഡിസംബർ'.split('_'),\n monthsShort : 'ജനു._ഫെബ്രു._മാർ._ഏപ്രി._മേയ്_ജൂൺ_ജൂലൈ._ഓഗ._സെപ്റ്റ._ഒക്ടോ._നവം._ഡിസം.'.split('_'),\n monthsParseExact : true,\n weekdays : 'ഞായറാഴ്ച_തിങ്കളാഴ്ച_ചൊവ്വാഴ്ച_ബുധനാഴ്ച_വ്യാഴാഴ്ച_വെള്ളിയാഴ്ച_ശനിയാഴ്ച'.split('_'),\n weekdaysShort : 'ഞായർ_തിങ്കൾ_ചൊവ്വ_ബുധൻ_വ്യാഴം_വെള്ളി_ശനി'.split('_'),\n weekdaysMin : 'ഞാ_തി_ചൊ_ബു_വ്യാ_വെ_ശ'.split('_'),\n longDateFormat : {\n LT : 'A h:mm -നു',\n LTS : 'A h:mm:ss -നു',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm -നു',\n LLLL : 'dddd, D MMMM YYYY, A h:mm -നു'\n },\n calendar : {\n sameDay : '[ഇന്ന്] LT',\n nextDay : '[നാളെ] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[ഇന്നലെ] LT',\n lastWeek : '[കഴിഞ്ഞ] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s കഴിഞ്ഞ്',\n past : '%s മുൻപ്',\n s : 'അൽപ നിമിഷങ്ങൾ',\n ss : '%d സെക്കൻഡ്',\n m : 'ഒരു മിനിറ്റ്',\n mm : '%d മിനിറ്റ്',\n h : 'ഒരു മണിക്കൂർ',\n hh : '%d മണിക്കൂർ',\n d : 'ഒരു ദിവസം',\n dd : '%d ദിവസം',\n M : 'ഒരു മാസം',\n MM : '%d മാസം',\n y : 'ഒരു വർഷം',\n yy : '%d വർഷം'\n },\n meridiemParse: /രാത്രി|രാവിലെ|ഉച്ച കഴിഞ്ഞ്|വൈകുന്നേരം|രാത്രി/i,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if ((meridiem === 'രാത്രി' && hour >= 4) ||\n meridiem === 'ഉച്ച കഴിഞ്ഞ്' ||\n meridiem === 'വൈകുന്നേരം') {\n return hour + 12;\n } else {\n return hour;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'രാത്രി';\n } else if (hour < 12) {\n return 'രാവിലെ';\n } else if (hour < 17) {\n return 'ഉച്ച കഴിഞ്ഞ്';\n } else if (hour < 20) {\n return 'വൈകുന്നേരം';\n } else {\n return 'രാത്രി';\n }\n }\n });\n\n return ml;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function translate(number, withoutSuffix, key, isFuture) {\n switch (key) {\n case 's':\n return withoutSuffix ? 'хэдхэн секунд' : 'хэдхэн секундын';\n case 'ss':\n return number + (withoutSuffix ? ' секунд' : ' секундын');\n case 'm':\n case 'mm':\n return number + (withoutSuffix ? ' минут' : ' минутын');\n case 'h':\n case 'hh':\n return number + (withoutSuffix ? ' цаг' : ' цагийн');\n case 'd':\n case 'dd':\n return number + (withoutSuffix ? ' өдөр' : ' өдрийн');\n case 'M':\n case 'MM':\n return number + (withoutSuffix ? ' сар' : ' сарын');\n case 'y':\n case 'yy':\n return number + (withoutSuffix ? ' жил' : ' жилийн');\n default:\n return number;\n }\n }\n\n var mn = moment.defineLocale('mn', {\n months : 'Нэгдүгээр сар_Хоёрдугаар сар_Гуравдугаар сар_Дөрөвдүгээр сар_Тавдугаар сар_Зургадугаар сар_Долдугаар сар_Наймдугаар сар_Есдүгээр сар_Аравдугаар сар_Арван нэгдүгээр сар_Арван хоёрдугаар сар'.split('_'),\n monthsShort : '1 сар_2 сар_3 сар_4 сар_5 сар_6 сар_7 сар_8 сар_9 сар_10 сар_11 сар_12 сар'.split('_'),\n monthsParseExact : true,\n weekdays : 'Ням_Даваа_Мягмар_Лхагва_Пүрэв_Баасан_Бямба'.split('_'),\n weekdaysShort : 'Ням_Дав_Мяг_Лха_Пүр_Баа_Бям'.split('_'),\n weekdaysMin : 'Ня_Да_Мя_Лх_Пү_Ба_Бя'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'YYYY оны MMMMын D',\n LLL : 'YYYY оны MMMMын D HH:mm',\n LLLL : 'dddd, YYYY оны MMMMын D HH:mm'\n },\n meridiemParse: /ҮӨ|ҮХ/i,\n isPM : function (input) {\n return input === 'ҮХ';\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ҮӨ';\n } else {\n return 'ҮХ';\n }\n },\n calendar : {\n sameDay : '[Өнөөдөр] LT',\n nextDay : '[Маргааш] LT',\n nextWeek : '[Ирэх] dddd LT',\n lastDay : '[Өчигдөр] LT',\n lastWeek : '[Өнгөрсөн] dddd LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s дараа',\n past : '%s өмнө',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2} өдөр/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'DDD':\n return number + ' өдөр';\n default:\n return number;\n }\n }\n });\n\n return mn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '१',\n '2': '२',\n '3': '३',\n '4': '४',\n '5': '५',\n '6': '६',\n '7': '७',\n '8': '८',\n '9': '९',\n '0': '०'\n },\n numberMap = {\n '१': '1',\n '२': '2',\n '३': '3',\n '४': '4',\n '५': '5',\n '६': '6',\n '७': '7',\n '८': '8',\n '९': '9',\n '०': '0'\n };\n\n function relativeTimeMr(number, withoutSuffix, string, isFuture)\n {\n var output = '';\n if (withoutSuffix) {\n switch (string) {\n case 's': output = 'काही सेकंद'; break;\n case 'ss': output = '%d सेकंद'; break;\n case 'm': output = 'एक मिनिट'; break;\n case 'mm': output = '%d मिनिटे'; break;\n case 'h': output = 'एक तास'; break;\n case 'hh': output = '%d तास'; break;\n case 'd': output = 'एक दिवस'; break;\n case 'dd': output = '%d दिवस'; break;\n case 'M': output = 'एक महिना'; break;\n case 'MM': output = '%d महिने'; break;\n case 'y': output = 'एक वर्ष'; break;\n case 'yy': output = '%d वर्षे'; break;\n }\n }\n else {\n switch (string) {\n case 's': output = 'काही सेकंदां'; break;\n case 'ss': output = '%d सेकंदां'; break;\n case 'm': output = 'एका मिनिटा'; break;\n case 'mm': output = '%d मिनिटां'; break;\n case 'h': output = 'एका तासा'; break;\n case 'hh': output = '%d तासां'; break;\n case 'd': output = 'एका दिवसा'; break;\n case 'dd': output = '%d दिवसां'; break;\n case 'M': output = 'एका महिन्या'; break;\n case 'MM': output = '%d महिन्यां'; break;\n case 'y': output = 'एका वर्षा'; break;\n case 'yy': output = '%d वर्षां'; break;\n }\n }\n return output.replace(/%d/i, number);\n }\n\n var mr = moment.defineLocale('mr', {\n months : 'जानेवारी_फेब्रुवारी_मार्च_एप्रिल_मे_जून_जुलै_ऑगस्ट_सप्टेंबर_ऑक्टोबर_नोव्हेंबर_डिसेंबर'.split('_'),\n monthsShort: 'जाने._फेब्रु._मार्च._एप्रि._मे._जून._जुलै._ऑग._सप्टें._ऑक्टो._नोव्हें._डिसें.'.split('_'),\n monthsParseExact : true,\n weekdays : 'रविवार_सोमवार_मंगळवार_बुधवार_गुरूवार_शुक्रवार_शनिवार'.split('_'),\n weekdaysShort : 'रवि_सोम_मंगळ_बुध_गुरू_शुक्र_शनि'.split('_'),\n weekdaysMin : 'र_सो_मं_बु_गु_शु_श'.split('_'),\n longDateFormat : {\n LT : 'A h:mm वाजता',\n LTS : 'A h:mm:ss वाजता',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm वाजता',\n LLLL : 'dddd, D MMMM YYYY, A h:mm वाजता'\n },\n calendar : {\n sameDay : '[आज] LT',\n nextDay : '[उद्या] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[काल] LT',\n lastWeek: '[मागील] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future: '%sमध्ये',\n past: '%sपूर्वी',\n s: relativeTimeMr,\n ss: relativeTimeMr,\n m: relativeTimeMr,\n mm: relativeTimeMr,\n h: relativeTimeMr,\n hh: relativeTimeMr,\n d: relativeTimeMr,\n dd: relativeTimeMr,\n M: relativeTimeMr,\n MM: relativeTimeMr,\n y: relativeTimeMr,\n yy: relativeTimeMr\n },\n preparse: function (string) {\n return string.replace(/[१२३४५६७८९०]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n meridiemParse: /रात्री|सकाळी|दुपारी|सायंकाळी/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'रात्री') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'सकाळी') {\n return hour;\n } else if (meridiem === 'दुपारी') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'सायंकाळी') {\n return hour + 12;\n }\n },\n meridiem: function (hour, minute, isLower) {\n if (hour < 4) {\n return 'रात्री';\n } else if (hour < 10) {\n return 'सकाळी';\n } else if (hour < 17) {\n return 'दुपारी';\n } else if (hour < 20) {\n return 'सायंकाळी';\n } else {\n return 'रात्री';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return mr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ms = moment.defineLocale('ms', {\n months : 'Januari_Februari_Mac_April_Mei_Jun_Julai_Ogos_September_Oktober_November_Disember'.split('_'),\n monthsShort : 'Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ogs_Sep_Okt_Nov_Dis'.split('_'),\n weekdays : 'Ahad_Isnin_Selasa_Rabu_Khamis_Jumaat_Sabtu'.split('_'),\n weekdaysShort : 'Ahd_Isn_Sel_Rab_Kha_Jum_Sab'.split('_'),\n weekdaysMin : 'Ah_Is_Sl_Rb_Km_Jm_Sb'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY [pukul] HH.mm',\n LLLL : 'dddd, D MMMM YYYY [pukul] HH.mm'\n },\n meridiemParse: /pagi|tengahari|petang|malam/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'pagi') {\n return hour;\n } else if (meridiem === 'tengahari') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'petang' || meridiem === 'malam') {\n return hour + 12;\n }\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 11) {\n return 'pagi';\n } else if (hours < 15) {\n return 'tengahari';\n } else if (hours < 19) {\n return 'petang';\n } else {\n return 'malam';\n }\n },\n calendar : {\n sameDay : '[Hari ini pukul] LT',\n nextDay : '[Esok pukul] LT',\n nextWeek : 'dddd [pukul] LT',\n lastDay : '[Kelmarin pukul] LT',\n lastWeek : 'dddd [lepas pukul] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dalam %s',\n past : '%s yang lepas',\n s : 'beberapa saat',\n ss : '%d saat',\n m : 'seminit',\n mm : '%d minit',\n h : 'sejam',\n hh : '%d jam',\n d : 'sehari',\n dd : '%d hari',\n M : 'sebulan',\n MM : '%d bulan',\n y : 'setahun',\n yy : '%d tahun'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return ms;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var msMy = moment.defineLocale('ms-my', {\n months : 'Januari_Februari_Mac_April_Mei_Jun_Julai_Ogos_September_Oktober_November_Disember'.split('_'),\n monthsShort : 'Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ogs_Sep_Okt_Nov_Dis'.split('_'),\n weekdays : 'Ahad_Isnin_Selasa_Rabu_Khamis_Jumaat_Sabtu'.split('_'),\n weekdaysShort : 'Ahd_Isn_Sel_Rab_Kha_Jum_Sab'.split('_'),\n weekdaysMin : 'Ah_Is_Sl_Rb_Km_Jm_Sb'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY [pukul] HH.mm',\n LLLL : 'dddd, D MMMM YYYY [pukul] HH.mm'\n },\n meridiemParse: /pagi|tengahari|petang|malam/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'pagi') {\n return hour;\n } else if (meridiem === 'tengahari') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'petang' || meridiem === 'malam') {\n return hour + 12;\n }\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 11) {\n return 'pagi';\n } else if (hours < 15) {\n return 'tengahari';\n } else if (hours < 19) {\n return 'petang';\n } else {\n return 'malam';\n }\n },\n calendar : {\n sameDay : '[Hari ini pukul] LT',\n nextDay : '[Esok pukul] LT',\n nextWeek : 'dddd [pukul] LT',\n lastDay : '[Kelmarin pukul] LT',\n lastWeek : 'dddd [lepas pukul] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dalam %s',\n past : '%s yang lepas',\n s : 'beberapa saat',\n ss : '%d saat',\n m : 'seminit',\n mm : '%d minit',\n h : 'sejam',\n hh : '%d jam',\n d : 'sehari',\n dd : '%d hari',\n M : 'sebulan',\n MM : '%d bulan',\n y : 'setahun',\n yy : '%d tahun'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return msMy;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var mt = moment.defineLocale('mt', {\n months : 'Jannar_Frar_Marzu_April_Mejju_Ġunju_Lulju_Awwissu_Settembru_Ottubru_Novembru_Diċembru'.split('_'),\n monthsShort : 'Jan_Fra_Mar_Apr_Mej_Ġun_Lul_Aww_Set_Ott_Nov_Diċ'.split('_'),\n weekdays : 'Il-Ħadd_It-Tnejn_It-Tlieta_L-Erbgħa_Il-Ħamis_Il-Ġimgħa_Is-Sibt'.split('_'),\n weekdaysShort : 'Ħad_Tne_Tli_Erb_Ħam_Ġim_Sib'.split('_'),\n weekdaysMin : 'Ħa_Tn_Tl_Er_Ħa_Ġi_Si'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Illum fil-]LT',\n nextDay : '[Għada fil-]LT',\n nextWeek : 'dddd [fil-]LT',\n lastDay : '[Il-bieraħ fil-]LT',\n lastWeek : 'dddd [li għadda] [fil-]LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'f’ %s',\n past : '%s ilu',\n s : 'ftit sekondi',\n ss : '%d sekondi',\n m : 'minuta',\n mm : '%d minuti',\n h : 'siegħa',\n hh : '%d siegħat',\n d : 'ġurnata',\n dd : '%d ġranet',\n M : 'xahar',\n MM : '%d xhur',\n y : 'sena',\n yy : '%d sni'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal: '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return mt;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '၁',\n '2': '၂',\n '3': '၃',\n '4': '၄',\n '5': '၅',\n '6': '၆',\n '7': '၇',\n '8': '၈',\n '9': '၉',\n '0': '၀'\n }, numberMap = {\n '၁': '1',\n '၂': '2',\n '၃': '3',\n '၄': '4',\n '၅': '5',\n '၆': '6',\n '၇': '7',\n '၈': '8',\n '၉': '9',\n '၀': '0'\n };\n\n var my = moment.defineLocale('my', {\n months: 'ဇန်နဝါရီ_ဖေဖော်ဝါရီ_မတ်_ဧပြီ_မေ_ဇွန်_ဇူလိုင်_သြဂုတ်_စက်တင်ဘာ_အောက်တိုဘာ_နိုဝင်ဘာ_ဒီဇင်ဘာ'.split('_'),\n monthsShort: 'ဇန်_ဖေ_မတ်_ပြီ_မေ_ဇွန်_လိုင်_သြ_စက်_အောက်_နို_ဒီ'.split('_'),\n weekdays: 'တနင်္ဂနွေ_တနင်္လာ_အင်္ဂါ_ဗုဒ္ဓဟူး_ကြာသပတေး_သောကြာ_စနေ'.split('_'),\n weekdaysShort: 'နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ'.split('_'),\n weekdaysMin: 'နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ'.split('_'),\n\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY HH:mm',\n LLLL: 'dddd D MMMM YYYY HH:mm'\n },\n calendar: {\n sameDay: '[ယနေ.] LT [မှာ]',\n nextDay: '[မနက်ဖြန်] LT [မှာ]',\n nextWeek: 'dddd LT [မှာ]',\n lastDay: '[မနေ.က] LT [မှာ]',\n lastWeek: '[ပြီးခဲ့သော] dddd LT [မှာ]',\n sameElse: 'L'\n },\n relativeTime: {\n future: 'လာမည့် %s မှာ',\n past: 'လွန်ခဲ့သော %s က',\n s: 'စက္ကန်.အနည်းငယ်',\n ss : '%d စက္ကန့်',\n m: 'တစ်မိနစ်',\n mm: '%d မိနစ်',\n h: 'တစ်နာရီ',\n hh: '%d နာရီ',\n d: 'တစ်ရက်',\n dd: '%d ရက်',\n M: 'တစ်လ',\n MM: '%d လ',\n y: 'တစ်နှစ်',\n yy: '%d နှစ်'\n },\n preparse: function (string) {\n return string.replace(/[၁၂၃၄၅၆၇၈၉၀]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n week: {\n dow: 1, // Monday is the first day of the week.\n doy: 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return my;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var nb = moment.defineLocale('nb', {\n months : 'januar_februar_mars_april_mai_juni_juli_august_september_oktober_november_desember'.split('_'),\n monthsShort : 'jan._feb._mars_april_mai_juni_juli_aug._sep._okt._nov._des.'.split('_'),\n monthsParseExact : true,\n weekdays : 'søndag_mandag_tirsdag_onsdag_torsdag_fredag_lørdag'.split('_'),\n weekdaysShort : 'sø._ma._ti._on._to._fr._lø.'.split('_'),\n weekdaysMin : 'sø_ma_ti_on_to_fr_lø'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY [kl.] HH:mm',\n LLLL : 'dddd D. MMMM YYYY [kl.] HH:mm'\n },\n calendar : {\n sameDay: '[i dag kl.] LT',\n nextDay: '[i morgen kl.] LT',\n nextWeek: 'dddd [kl.] LT',\n lastDay: '[i går kl.] LT',\n lastWeek: '[forrige] dddd [kl.] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'om %s',\n past : '%s siden',\n s : 'noen sekunder',\n ss : '%d sekunder',\n m : 'ett minutt',\n mm : '%d minutter',\n h : 'en time',\n hh : '%d timer',\n d : 'en dag',\n dd : '%d dager',\n M : 'en måned',\n MM : '%d måneder',\n y : 'ett år',\n yy : '%d år'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return nb;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '१',\n '2': '२',\n '3': '३',\n '4': '४',\n '5': '५',\n '6': '६',\n '7': '७',\n '8': '८',\n '9': '९',\n '0': '०'\n },\n numberMap = {\n '१': '1',\n '२': '2',\n '३': '3',\n '४': '4',\n '५': '5',\n '६': '6',\n '७': '7',\n '८': '8',\n '९': '9',\n '०': '0'\n };\n\n var ne = moment.defineLocale('ne', {\n months : 'जनवरी_फेब्रुवरी_मार्च_अप्रिल_मई_जुन_जुलाई_अगष्ट_सेप्टेम्बर_अक्टोबर_नोभेम्बर_डिसेम्बर'.split('_'),\n monthsShort : 'जन._फेब्रु._मार्च_अप्रि._मई_जुन_जुलाई._अग._सेप्ट._अक्टो._नोभे._डिसे.'.split('_'),\n monthsParseExact : true,\n weekdays : 'आइतबार_सोमबार_मङ्गलबार_बुधबार_बिहिबार_शुक्रबार_शनिबार'.split('_'),\n weekdaysShort : 'आइत._सोम._मङ्गल._बुध._बिहि._शुक्र._शनि.'.split('_'),\n weekdaysMin : 'आ._सो._मं._बु._बि._शु._श.'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'Aको h:mm बजे',\n LTS : 'Aको h:mm:ss बजे',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, Aको h:mm बजे',\n LLLL : 'dddd, D MMMM YYYY, Aको h:mm बजे'\n },\n preparse: function (string) {\n return string.replace(/[१२३४५६७८९०]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n meridiemParse: /राति|बिहान|दिउँसो|साँझ/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'राति') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'बिहान') {\n return hour;\n } else if (meridiem === 'दिउँसो') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'साँझ') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 3) {\n return 'राति';\n } else if (hour < 12) {\n return 'बिहान';\n } else if (hour < 16) {\n return 'दिउँसो';\n } else if (hour < 20) {\n return 'साँझ';\n } else {\n return 'राति';\n }\n },\n calendar : {\n sameDay : '[आज] LT',\n nextDay : '[भोलि] LT',\n nextWeek : '[आउँदो] dddd[,] LT',\n lastDay : '[हिजो] LT',\n lastWeek : '[गएको] dddd[,] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%sमा',\n past : '%s अगाडि',\n s : 'केही क्षण',\n ss : '%d सेकेण्ड',\n m : 'एक मिनेट',\n mm : '%d मिनेट',\n h : 'एक घण्टा',\n hh : '%d घण्टा',\n d : 'एक दिन',\n dd : '%d दिन',\n M : 'एक महिना',\n MM : '%d महिना',\n y : 'एक बर्ष',\n yy : '%d बर्ष'\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return ne;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortWithDots = 'jan._feb._mrt._apr._mei_jun._jul._aug._sep._okt._nov._dec.'.split('_'),\n monthsShortWithoutDots = 'jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec'.split('_');\n\n var monthsParse = [/^jan/i, /^feb/i, /^maart|mrt.?$/i, /^apr/i, /^mei$/i, /^jun[i.]?$/i, /^jul[i.]?$/i, /^aug/i, /^sep/i, /^okt/i, /^nov/i, /^dec/i];\n var monthsRegex = /^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december|jan\\.?|feb\\.?|mrt\\.?|apr\\.?|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i;\n\n var nl = moment.defineLocale('nl', {\n months : 'januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortWithDots;\n } else if (/-MMM-/.test(format)) {\n return monthsShortWithoutDots[m.month()];\n } else {\n return monthsShortWithDots[m.month()];\n }\n },\n\n monthsRegex: monthsRegex,\n monthsShortRegex: monthsRegex,\n monthsStrictRegex: /^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december)/i,\n monthsShortStrictRegex: /^(jan\\.?|feb\\.?|mrt\\.?|apr\\.?|mei|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i,\n\n monthsParse : monthsParse,\n longMonthsParse : monthsParse,\n shortMonthsParse : monthsParse,\n\n weekdays : 'zondag_maandag_dinsdag_woensdag_donderdag_vrijdag_zaterdag'.split('_'),\n weekdaysShort : 'zo._ma._di._wo._do._vr._za.'.split('_'),\n weekdaysMin : 'zo_ma_di_wo_do_vr_za'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD-MM-YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[vandaag om] LT',\n nextDay: '[morgen om] LT',\n nextWeek: 'dddd [om] LT',\n lastDay: '[gisteren om] LT',\n lastWeek: '[afgelopen] dddd [om] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'over %s',\n past : '%s geleden',\n s : 'een paar seconden',\n ss : '%d seconden',\n m : 'één minuut',\n mm : '%d minuten',\n h : 'één uur',\n hh : '%d uur',\n d : 'één dag',\n dd : '%d dagen',\n M : 'één maand',\n MM : '%d maanden',\n y : 'één jaar',\n yy : '%d jaar'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(ste|de)/,\n ordinal : function (number) {\n return number + ((number === 1 || number === 8 || number >= 20) ? 'ste' : 'de');\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return nl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortWithDots = 'jan._feb._mrt._apr._mei_jun._jul._aug._sep._okt._nov._dec.'.split('_'),\n monthsShortWithoutDots = 'jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec'.split('_');\n\n var monthsParse = [/^jan/i, /^feb/i, /^maart|mrt.?$/i, /^apr/i, /^mei$/i, /^jun[i.]?$/i, /^jul[i.]?$/i, /^aug/i, /^sep/i, /^okt/i, /^nov/i, /^dec/i];\n var monthsRegex = /^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december|jan\\.?|feb\\.?|mrt\\.?|apr\\.?|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i;\n\n var nlBe = moment.defineLocale('nl-be', {\n months : 'januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortWithDots;\n } else if (/-MMM-/.test(format)) {\n return monthsShortWithoutDots[m.month()];\n } else {\n return monthsShortWithDots[m.month()];\n }\n },\n\n monthsRegex: monthsRegex,\n monthsShortRegex: monthsRegex,\n monthsStrictRegex: /^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december)/i,\n monthsShortStrictRegex: /^(jan\\.?|feb\\.?|mrt\\.?|apr\\.?|mei|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i,\n\n monthsParse : monthsParse,\n longMonthsParse : monthsParse,\n shortMonthsParse : monthsParse,\n\n weekdays : 'zondag_maandag_dinsdag_woensdag_donderdag_vrijdag_zaterdag'.split('_'),\n weekdaysShort : 'zo._ma._di._wo._do._vr._za.'.split('_'),\n weekdaysMin : 'zo_ma_di_wo_do_vr_za'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[vandaag om] LT',\n nextDay: '[morgen om] LT',\n nextWeek: 'dddd [om] LT',\n lastDay: '[gisteren om] LT',\n lastWeek: '[afgelopen] dddd [om] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'over %s',\n past : '%s geleden',\n s : 'een paar seconden',\n ss : '%d seconden',\n m : 'één minuut',\n mm : '%d minuten',\n h : 'één uur',\n hh : '%d uur',\n d : 'één dag',\n dd : '%d dagen',\n M : 'één maand',\n MM : '%d maanden',\n y : 'één jaar',\n yy : '%d jaar'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(ste|de)/,\n ordinal : function (number) {\n return number + ((number === 1 || number === 8 || number >= 20) ? 'ste' : 'de');\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return nlBe;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var nn = moment.defineLocale('nn', {\n months : 'januar_februar_mars_april_mai_juni_juli_august_september_oktober_november_desember'.split('_'),\n monthsShort : 'jan_feb_mar_apr_mai_jun_jul_aug_sep_okt_nov_des'.split('_'),\n weekdays : 'sundag_måndag_tysdag_onsdag_torsdag_fredag_laurdag'.split('_'),\n weekdaysShort : 'sun_mån_tys_ons_tor_fre_lau'.split('_'),\n weekdaysMin : 'su_må_ty_on_to_fr_lø'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY [kl.] H:mm',\n LLLL : 'dddd D. MMMM YYYY [kl.] HH:mm'\n },\n calendar : {\n sameDay: '[I dag klokka] LT',\n nextDay: '[I morgon klokka] LT',\n nextWeek: 'dddd [klokka] LT',\n lastDay: '[I går klokka] LT',\n lastWeek: '[Føregåande] dddd [klokka] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'om %s',\n past : '%s sidan',\n s : 'nokre sekund',\n ss : '%d sekund',\n m : 'eit minutt',\n mm : '%d minutt',\n h : 'ein time',\n hh : '%d timar',\n d : 'ein dag',\n dd : '%d dagar',\n M : 'ein månad',\n MM : '%d månader',\n y : 'eit år',\n yy : '%d år'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return nn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '੧',\n '2': '੨',\n '3': '੩',\n '4': '੪',\n '5': '੫',\n '6': '੬',\n '7': '੭',\n '8': '੮',\n '9': '੯',\n '0': '੦'\n },\n numberMap = {\n '੧': '1',\n '੨': '2',\n '੩': '3',\n '੪': '4',\n '੫': '5',\n '੬': '6',\n '੭': '7',\n '੮': '8',\n '੯': '9',\n '੦': '0'\n };\n\n var paIn = moment.defineLocale('pa-in', {\n // There are months name as per Nanakshahi Calendar but they are not used as rigidly in modern Punjabi.\n months : 'ਜਨਵਰੀ_ਫ਼ਰਵਰੀ_ਮਾਰਚ_ਅਪ੍ਰੈਲ_ਮਈ_ਜੂਨ_ਜੁਲਾਈ_ਅਗਸਤ_ਸਤੰਬਰ_ਅਕਤੂਬਰ_ਨਵੰਬਰ_ਦਸੰਬਰ'.split('_'),\n monthsShort : 'ਜਨਵਰੀ_ਫ਼ਰਵਰੀ_ਮਾਰਚ_ਅਪ੍ਰੈਲ_ਮਈ_ਜੂਨ_ਜੁਲਾਈ_ਅਗਸਤ_ਸਤੰਬਰ_ਅਕਤੂਬਰ_ਨਵੰਬਰ_ਦਸੰਬਰ'.split('_'),\n weekdays : 'ਐਤਵਾਰ_ਸੋਮਵਾਰ_ਮੰਗਲਵਾਰ_ਬੁਧਵਾਰ_ਵੀਰਵਾਰ_ਸ਼ੁੱਕਰਵਾਰ_ਸ਼ਨੀਚਰਵਾਰ'.split('_'),\n weekdaysShort : 'ਐਤ_ਸੋਮ_ਮੰਗਲ_ਬੁਧ_ਵੀਰ_ਸ਼ੁਕਰ_ਸ਼ਨੀ'.split('_'),\n weekdaysMin : 'ਐਤ_ਸੋਮ_ਮੰਗਲ_ਬੁਧ_ਵੀਰ_ਸ਼ੁਕਰ_ਸ਼ਨੀ'.split('_'),\n longDateFormat : {\n LT : 'A h:mm ਵਜੇ',\n LTS : 'A h:mm:ss ਵਜੇ',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm ਵਜੇ',\n LLLL : 'dddd, D MMMM YYYY, A h:mm ਵਜੇ'\n },\n calendar : {\n sameDay : '[ਅਜ] LT',\n nextDay : '[ਕਲ] LT',\n nextWeek : '[ਅਗਲਾ] dddd, LT',\n lastDay : '[ਕਲ] LT',\n lastWeek : '[ਪਿਛਲੇ] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s ਵਿੱਚ',\n past : '%s ਪਿਛਲੇ',\n s : 'ਕੁਝ ਸਕਿੰਟ',\n ss : '%d ਸਕਿੰਟ',\n m : 'ਇਕ ਮਿੰਟ',\n mm : '%d ਮਿੰਟ',\n h : 'ਇੱਕ ਘੰਟਾ',\n hh : '%d ਘੰਟੇ',\n d : 'ਇੱਕ ਦਿਨ',\n dd : '%d ਦਿਨ',\n M : 'ਇੱਕ ਮਹੀਨਾ',\n MM : '%d ਮਹੀਨੇ',\n y : 'ਇੱਕ ਸਾਲ',\n yy : '%d ਸਾਲ'\n },\n preparse: function (string) {\n return string.replace(/[੧੨੩੪੫੬੭੮੯੦]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n // Punjabi notation for meridiems are quite fuzzy in practice. While there exists\n // a rigid notion of a 'Pahar' it is not used as rigidly in modern Punjabi.\n meridiemParse: /ਰਾਤ|ਸਵੇਰ|ਦੁਪਹਿਰ|ਸ਼ਾਮ/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'ਰਾਤ') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'ਸਵੇਰ') {\n return hour;\n } else if (meridiem === 'ਦੁਪਹਿਰ') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'ਸ਼ਾਮ') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'ਰਾਤ';\n } else if (hour < 10) {\n return 'ਸਵੇਰ';\n } else if (hour < 17) {\n return 'ਦੁਪਹਿਰ';\n } else if (hour < 20) {\n return 'ਸ਼ਾਮ';\n } else {\n return 'ਰਾਤ';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return paIn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsNominative = 'styczeń_luty_marzec_kwiecień_maj_czerwiec_lipiec_sierpień_wrzesień_październik_listopad_grudzień'.split('_'),\n monthsSubjective = 'stycznia_lutego_marca_kwietnia_maja_czerwca_lipca_sierpnia_września_października_listopada_grudnia'.split('_');\n function plural(n) {\n return (n % 10 < 5) && (n % 10 > 1) && ((~~(n / 10) % 10) !== 1);\n }\n function translate(number, withoutSuffix, key) {\n var result = number + ' ';\n switch (key) {\n case 'ss':\n return result + (plural(number) ? 'sekundy' : 'sekund');\n case 'm':\n return withoutSuffix ? 'minuta' : 'minutę';\n case 'mm':\n return result + (plural(number) ? 'minuty' : 'minut');\n case 'h':\n return withoutSuffix ? 'godzina' : 'godzinę';\n case 'hh':\n return result + (plural(number) ? 'godziny' : 'godzin');\n case 'MM':\n return result + (plural(number) ? 'miesiące' : 'miesięcy');\n case 'yy':\n return result + (plural(number) ? 'lata' : 'lat');\n }\n }\n\n var pl = moment.defineLocale('pl', {\n months : function (momentToFormat, format) {\n if (!momentToFormat) {\n return monthsNominative;\n } else if (format === '') {\n // Hack: if format empty we know this is used to generate\n // RegExp by moment. Give then back both valid forms of months\n // in RegExp ready format.\n return '(' + monthsSubjective[momentToFormat.month()] + '|' + monthsNominative[momentToFormat.month()] + ')';\n } else if (/D MMMM/.test(format)) {\n return monthsSubjective[momentToFormat.month()];\n } else {\n return monthsNominative[momentToFormat.month()];\n }\n },\n monthsShort : 'sty_lut_mar_kwi_maj_cze_lip_sie_wrz_paź_lis_gru'.split('_'),\n weekdays : 'niedziela_poniedziałek_wtorek_środa_czwartek_piątek_sobota'.split('_'),\n weekdaysShort : 'ndz_pon_wt_śr_czw_pt_sob'.split('_'),\n weekdaysMin : 'Nd_Pn_Wt_Śr_Cz_Pt_So'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Dziś o] LT',\n nextDay: '[Jutro o] LT',\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[W niedzielę o] LT';\n\n case 2:\n return '[We wtorek o] LT';\n\n case 3:\n return '[W środę o] LT';\n\n case 6:\n return '[W sobotę o] LT';\n\n default:\n return '[W] dddd [o] LT';\n }\n },\n lastDay: '[Wczoraj o] LT',\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n return '[W zeszłą niedzielę o] LT';\n case 3:\n return '[W zeszłą środę o] LT';\n case 6:\n return '[W zeszłą sobotę o] LT';\n default:\n return '[W zeszły] dddd [o] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : '%s temu',\n s : 'kilka sekund',\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : '1 dzień',\n dd : '%d dni',\n M : 'miesiąc',\n MM : translate,\n y : 'rok',\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return pl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var pt = moment.defineLocale('pt', {\n months : 'Janeiro_Fevereiro_Março_Abril_Maio_Junho_Julho_Agosto_Setembro_Outubro_Novembro_Dezembro'.split('_'),\n monthsShort : 'Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez'.split('_'),\n weekdays : 'Domingo_Segunda-feira_Terça-feira_Quarta-feira_Quinta-feira_Sexta-feira_Sábado'.split('_'),\n weekdaysShort : 'Dom_Seg_Ter_Qua_Qui_Sex_Sáb'.split('_'),\n weekdaysMin : 'Do_2ª_3ª_4ª_5ª_6ª_Sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY HH:mm',\n LLLL : 'dddd, D [de] MMMM [de] YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Hoje às] LT',\n nextDay: '[Amanhã às] LT',\n nextWeek: 'dddd [às] LT',\n lastDay: '[Ontem às] LT',\n lastWeek: function () {\n return (this.day() === 0 || this.day() === 6) ?\n '[Último] dddd [às] LT' : // Saturday + Sunday\n '[Última] dddd [às] LT'; // Monday - Friday\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'em %s',\n past : 'há %s',\n s : 'segundos',\n ss : '%d segundos',\n m : 'um minuto',\n mm : '%d minutos',\n h : 'uma hora',\n hh : '%d horas',\n d : 'um dia',\n dd : '%d dias',\n M : 'um mês',\n MM : '%d meses',\n y : 'um ano',\n yy : '%d anos'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}º/,\n ordinal : '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return pt;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ptBr = moment.defineLocale('pt-br', {\n months : 'Janeiro_Fevereiro_Março_Abril_Maio_Junho_Julho_Agosto_Setembro_Outubro_Novembro_Dezembro'.split('_'),\n monthsShort : 'Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez'.split('_'),\n weekdays : 'Domingo_Segunda-feira_Terça-feira_Quarta-feira_Quinta-feira_Sexta-feira_Sábado'.split('_'),\n weekdaysShort : 'Dom_Seg_Ter_Qua_Qui_Sex_Sáb'.split('_'),\n weekdaysMin : 'Do_2ª_3ª_4ª_5ª_6ª_Sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY [às] HH:mm',\n LLLL : 'dddd, D [de] MMMM [de] YYYY [às] HH:mm'\n },\n calendar : {\n sameDay: '[Hoje às] LT',\n nextDay: '[Amanhã às] LT',\n nextWeek: 'dddd [às] LT',\n lastDay: '[Ontem às] LT',\n lastWeek: function () {\n return (this.day() === 0 || this.day() === 6) ?\n '[Último] dddd [às] LT' : // Saturday + Sunday\n '[Última] dddd [às] LT'; // Monday - Friday\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'em %s',\n past : 'há %s',\n s : 'poucos segundos',\n ss : '%d segundos',\n m : 'um minuto',\n mm : '%d minutos',\n h : 'uma hora',\n hh : '%d horas',\n d : 'um dia',\n dd : '%d dias',\n M : 'um mês',\n MM : '%d meses',\n y : 'um ano',\n yy : '%d anos'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}º/,\n ordinal : '%dº'\n });\n\n return ptBr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function relativeTimeWithPlural(number, withoutSuffix, key) {\n var format = {\n 'ss': 'secunde',\n 'mm': 'minute',\n 'hh': 'ore',\n 'dd': 'zile',\n 'MM': 'luni',\n 'yy': 'ani'\n },\n separator = ' ';\n if (number % 100 >= 20 || (number >= 100 && number % 100 === 0)) {\n separator = ' de ';\n }\n return number + separator + format[key];\n }\n\n var ro = moment.defineLocale('ro', {\n months : 'ianuarie_februarie_martie_aprilie_mai_iunie_iulie_august_septembrie_octombrie_noiembrie_decembrie'.split('_'),\n monthsShort : 'ian._febr._mart._apr._mai_iun._iul._aug._sept._oct._nov._dec.'.split('_'),\n monthsParseExact: true,\n weekdays : 'duminică_luni_marți_miercuri_joi_vineri_sâmbătă'.split('_'),\n weekdaysShort : 'Dum_Lun_Mar_Mie_Joi_Vin_Sâm'.split('_'),\n weekdaysMin : 'Du_Lu_Ma_Mi_Jo_Vi_Sâ'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY H:mm',\n LLLL : 'dddd, D MMMM YYYY H:mm'\n },\n calendar : {\n sameDay: '[azi la] LT',\n nextDay: '[mâine la] LT',\n nextWeek: 'dddd [la] LT',\n lastDay: '[ieri la] LT',\n lastWeek: '[fosta] dddd [la] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'peste %s',\n past : '%s în urmă',\n s : 'câteva secunde',\n ss : relativeTimeWithPlural,\n m : 'un minut',\n mm : relativeTimeWithPlural,\n h : 'o oră',\n hh : relativeTimeWithPlural,\n d : 'o zi',\n dd : relativeTimeWithPlural,\n M : 'o lună',\n MM : relativeTimeWithPlural,\n y : 'un an',\n yy : relativeTimeWithPlural\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return ro;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function plural(word, num) {\n var forms = word.split('_');\n return num % 10 === 1 && num % 100 !== 11 ? forms[0] : (num % 10 >= 2 && num % 10 <= 4 && (num % 100 < 10 || num % 100 >= 20) ? forms[1] : forms[2]);\n }\n function relativeTimeWithPlural(number, withoutSuffix, key) {\n var format = {\n 'ss': withoutSuffix ? 'секунда_секунды_секунд' : 'секунду_секунды_секунд',\n 'mm': withoutSuffix ? 'минута_минуты_минут' : 'минуту_минуты_минут',\n 'hh': 'час_часа_часов',\n 'dd': 'день_дня_дней',\n 'MM': 'месяц_месяца_месяцев',\n 'yy': 'год_года_лет'\n };\n if (key === 'm') {\n return withoutSuffix ? 'минута' : 'минуту';\n }\n else {\n return number + ' ' + plural(format[key], +number);\n }\n }\n var monthsParse = [/^янв/i, /^фев/i, /^мар/i, /^апр/i, /^ма[йя]/i, /^июн/i, /^июл/i, /^авг/i, /^сен/i, /^окт/i, /^ноя/i, /^дек/i];\n\n // http://new.gramota.ru/spravka/rules/139-prop : § 103\n // Сокращения месяцев: http://new.gramota.ru/spravka/buro/search-answer?s=242637\n // CLDR data: http://www.unicode.org/cldr/charts/28/summary/ru.html#1753\n var ru = moment.defineLocale('ru', {\n months : {\n format: 'января_февраля_марта_апреля_мая_июня_июля_августа_сентября_октября_ноября_декабря'.split('_'),\n standalone: 'январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь'.split('_')\n },\n monthsShort : {\n // по CLDR именно \"июл.\" и \"июн.\", но какой смысл менять букву на точку ?\n format: 'янв._февр._мар._апр._мая_июня_июля_авг._сент._окт._нояб._дек.'.split('_'),\n standalone: 'янв._февр._март_апр._май_июнь_июль_авг._сент._окт._нояб._дек.'.split('_')\n },\n weekdays : {\n standalone: 'воскресенье_понедельник_вторник_среда_четверг_пятница_суббота'.split('_'),\n format: 'воскресенье_понедельник_вторник_среду_четверг_пятницу_субботу'.split('_'),\n isFormat: /\\[ ?[Вв] ?(?:прошлую|следующую|эту)? ?\\] ?dddd/\n },\n weekdaysShort : 'вс_пн_вт_ср_чт_пт_сб'.split('_'),\n weekdaysMin : 'вс_пн_вт_ср_чт_пт_сб'.split('_'),\n monthsParse : monthsParse,\n longMonthsParse : monthsParse,\n shortMonthsParse : monthsParse,\n\n // полные названия с падежами, по три буквы, для некоторых, по 4 буквы, сокращения с точкой и без точки\n monthsRegex: /^(январ[ья]|янв\\.?|феврал[ья]|февр?\\.?|марта?|мар\\.?|апрел[ья]|апр\\.?|ма[йя]|июн[ья]|июн\\.?|июл[ья]|июл\\.?|августа?|авг\\.?|сентябр[ья]|сент?\\.?|октябр[ья]|окт\\.?|ноябр[ья]|нояб?\\.?|декабр[ья]|дек\\.?)/i,\n\n // копия предыдущего\n monthsShortRegex: /^(январ[ья]|янв\\.?|феврал[ья]|февр?\\.?|марта?|мар\\.?|апрел[ья]|апр\\.?|ма[йя]|июн[ья]|июн\\.?|июл[ья]|июл\\.?|августа?|авг\\.?|сентябр[ья]|сент?\\.?|октябр[ья]|окт\\.?|ноябр[ья]|нояб?\\.?|декабр[ья]|дек\\.?)/i,\n\n // полные названия с падежами\n monthsStrictRegex: /^(январ[яь]|феврал[яь]|марта?|апрел[яь]|ма[яй]|июн[яь]|июл[яь]|августа?|сентябр[яь]|октябр[яь]|ноябр[яь]|декабр[яь])/i,\n\n // Выражение, которое соотвествует только сокращённым формам\n monthsShortStrictRegex: /^(янв\\.|февр?\\.|мар[т.]|апр\\.|ма[яй]|июн[ья.]|июл[ья.]|авг\\.|сент?\\.|окт\\.|нояб?\\.|дек\\.)/i,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY г.',\n LLL : 'D MMMM YYYY г., H:mm',\n LLLL : 'dddd, D MMMM YYYY г., H:mm'\n },\n calendar : {\n sameDay: '[Сегодня, в] LT',\n nextDay: '[Завтра, в] LT',\n lastDay: '[Вчера, в] LT',\n nextWeek: function (now) {\n if (now.week() !== this.week()) {\n switch (this.day()) {\n case 0:\n return '[В следующее] dddd, [в] LT';\n case 1:\n case 2:\n case 4:\n return '[В следующий] dddd, [в] LT';\n case 3:\n case 5:\n case 6:\n return '[В следующую] dddd, [в] LT';\n }\n } else {\n if (this.day() === 2) {\n return '[Во] dddd, [в] LT';\n } else {\n return '[В] dddd, [в] LT';\n }\n }\n },\n lastWeek: function (now) {\n if (now.week() !== this.week()) {\n switch (this.day()) {\n case 0:\n return '[В прошлое] dddd, [в] LT';\n case 1:\n case 2:\n case 4:\n return '[В прошлый] dddd, [в] LT';\n case 3:\n case 5:\n case 6:\n return '[В прошлую] dddd, [в] LT';\n }\n } else {\n if (this.day() === 2) {\n return '[Во] dddd, [в] LT';\n } else {\n return '[В] dddd, [в] LT';\n }\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'через %s',\n past : '%s назад',\n s : 'несколько секунд',\n ss : relativeTimeWithPlural,\n m : relativeTimeWithPlural,\n mm : relativeTimeWithPlural,\n h : 'час',\n hh : relativeTimeWithPlural,\n d : 'день',\n dd : relativeTimeWithPlural,\n M : 'месяц',\n MM : relativeTimeWithPlural,\n y : 'год',\n yy : relativeTimeWithPlural\n },\n meridiemParse: /ночи|утра|дня|вечера/i,\n isPM : function (input) {\n return /^(дня|вечера)$/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'ночи';\n } else if (hour < 12) {\n return 'утра';\n } else if (hour < 17) {\n return 'дня';\n } else {\n return 'вечера';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(й|го|я)/,\n ordinal: function (number, period) {\n switch (period) {\n case 'M':\n case 'd':\n case 'DDD':\n return number + '-й';\n case 'D':\n return number + '-го';\n case 'w':\n case 'W':\n return number + '-я';\n default:\n return number;\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return ru;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = [\n 'جنوري',\n 'فيبروري',\n 'مارچ',\n 'اپريل',\n 'مئي',\n 'جون',\n 'جولاءِ',\n 'آگسٽ',\n 'سيپٽمبر',\n 'آڪٽوبر',\n 'نومبر',\n 'ڊسمبر'\n ];\n var days = [\n 'آچر',\n 'سومر',\n 'اڱارو',\n 'اربع',\n 'خميس',\n 'جمع',\n 'ڇنڇر'\n ];\n\n var sd = moment.defineLocale('sd', {\n months : months,\n monthsShort : months,\n weekdays : days,\n weekdaysShort : days,\n weekdaysMin : days,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd، D MMMM YYYY HH:mm'\n },\n meridiemParse: /صبح|شام/,\n isPM : function (input) {\n return 'شام' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'صبح';\n }\n return 'شام';\n },\n calendar : {\n sameDay : '[اڄ] LT',\n nextDay : '[سڀاڻي] LT',\n nextWeek : 'dddd [اڳين هفتي تي] LT',\n lastDay : '[ڪالهه] LT',\n lastWeek : '[گزريل هفتي] dddd [تي] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s پوء',\n past : '%s اڳ',\n s : 'چند سيڪنڊ',\n ss : '%d سيڪنڊ',\n m : 'هڪ منٽ',\n mm : '%d منٽ',\n h : 'هڪ ڪلاڪ',\n hh : '%d ڪلاڪ',\n d : 'هڪ ڏينهن',\n dd : '%d ڏينهن',\n M : 'هڪ مهينو',\n MM : '%d مهينا',\n y : 'هڪ سال',\n yy : '%d سال'\n },\n preparse: function (string) {\n return string.replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/,/g, '،');\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return sd;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var se = moment.defineLocale('se', {\n months : 'ođđajagemánnu_guovvamánnu_njukčamánnu_cuoŋománnu_miessemánnu_geassemánnu_suoidnemánnu_borgemánnu_čakčamánnu_golggotmánnu_skábmamánnu_juovlamánnu'.split('_'),\n monthsShort : 'ođđj_guov_njuk_cuo_mies_geas_suoi_borg_čakč_golg_skáb_juov'.split('_'),\n weekdays : 'sotnabeaivi_vuossárga_maŋŋebárga_gaskavahkku_duorastat_bearjadat_lávvardat'.split('_'),\n weekdaysShort : 'sotn_vuos_maŋ_gask_duor_bear_láv'.split('_'),\n weekdaysMin : 's_v_m_g_d_b_L'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'MMMM D. [b.] YYYY',\n LLL : 'MMMM D. [b.] YYYY [ti.] HH:mm',\n LLLL : 'dddd, MMMM D. [b.] YYYY [ti.] HH:mm'\n },\n calendar : {\n sameDay: '[otne ti] LT',\n nextDay: '[ihttin ti] LT',\n nextWeek: 'dddd [ti] LT',\n lastDay: '[ikte ti] LT',\n lastWeek: '[ovddit] dddd [ti] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : '%s geažes',\n past : 'maŋit %s',\n s : 'moadde sekunddat',\n ss: '%d sekunddat',\n m : 'okta minuhta',\n mm : '%d minuhtat',\n h : 'okta diimmu',\n hh : '%d diimmut',\n d : 'okta beaivi',\n dd : '%d beaivvit',\n M : 'okta mánnu',\n MM : '%d mánut',\n y : 'okta jahki',\n yy : '%d jagit'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return se;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n /*jshint -W100*/\n var si = moment.defineLocale('si', {\n months : 'ජනවාරි_පෙබරවාරි_මාර්තු_අප්‍රේල්_මැයි_ජූනි_ජූලි_අගෝස්තු_සැප්තැම්බර්_ඔක්තෝබර්_නොවැම්බර්_දෙසැම්බර්'.split('_'),\n monthsShort : 'ජන_පෙබ_මාර්_අප්_මැයි_ජූනි_ජූලි_අගෝ_සැප්_ඔක්_නොවැ_දෙසැ'.split('_'),\n weekdays : 'ඉරිදා_සඳුදා_අඟහරුවාදා_බදාදා_බ්‍රහස්පතින්දා_සිකුරාදා_සෙනසුරාදා'.split('_'),\n weekdaysShort : 'ඉරි_සඳු_අඟ_බදා_බ්‍රහ_සිකු_සෙන'.split('_'),\n weekdaysMin : 'ඉ_ස_අ_බ_බ්‍ර_සි_සෙ'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'a h:mm',\n LTS : 'a h:mm:ss',\n L : 'YYYY/MM/DD',\n LL : 'YYYY MMMM D',\n LLL : 'YYYY MMMM D, a h:mm',\n LLLL : 'YYYY MMMM D [වැනි] dddd, a h:mm:ss'\n },\n calendar : {\n sameDay : '[අද] LT[ට]',\n nextDay : '[හෙට] LT[ට]',\n nextWeek : 'dddd LT[ට]',\n lastDay : '[ඊයේ] LT[ට]',\n lastWeek : '[පසුගිය] dddd LT[ට]',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%sකින්',\n past : '%sකට පෙර',\n s : 'තත්පර කිහිපය',\n ss : 'තත්පර %d',\n m : 'මිනිත්තුව',\n mm : 'මිනිත්තු %d',\n h : 'පැය',\n hh : 'පැය %d',\n d : 'දිනය',\n dd : 'දින %d',\n M : 'මාසය',\n MM : 'මාස %d',\n y : 'වසර',\n yy : 'වසර %d'\n },\n dayOfMonthOrdinalParse: /\\d{1,2} වැනි/,\n ordinal : function (number) {\n return number + ' වැනි';\n },\n meridiemParse : /පෙර වරු|පස් වරු|පෙ.ව|ප.ව./,\n isPM : function (input) {\n return input === 'ප.ව.' || input === 'පස් වරු';\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours > 11) {\n return isLower ? 'ප.ව.' : 'පස් වරු';\n } else {\n return isLower ? 'පෙ.ව.' : 'පෙර වරු';\n }\n }\n });\n\n return si;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = 'január_február_marec_apríl_máj_jún_júl_august_september_október_november_december'.split('_'),\n monthsShort = 'jan_feb_mar_apr_máj_jún_júl_aug_sep_okt_nov_dec'.split('_');\n function plural(n) {\n return (n > 1) && (n < 5);\n }\n function translate(number, withoutSuffix, key, isFuture) {\n var result = number + ' ';\n switch (key) {\n case 's': // a few seconds / in a few seconds / a few seconds ago\n return (withoutSuffix || isFuture) ? 'pár sekúnd' : 'pár sekundami';\n case 'ss': // 9 seconds / in 9 seconds / 9 seconds ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'sekundy' : 'sekúnd');\n } else {\n return result + 'sekundami';\n }\n break;\n case 'm': // a minute / in a minute / a minute ago\n return withoutSuffix ? 'minúta' : (isFuture ? 'minútu' : 'minútou');\n case 'mm': // 9 minutes / in 9 minutes / 9 minutes ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'minúty' : 'minút');\n } else {\n return result + 'minútami';\n }\n break;\n case 'h': // an hour / in an hour / an hour ago\n return withoutSuffix ? 'hodina' : (isFuture ? 'hodinu' : 'hodinou');\n case 'hh': // 9 hours / in 9 hours / 9 hours ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'hodiny' : 'hodín');\n } else {\n return result + 'hodinami';\n }\n break;\n case 'd': // a day / in a day / a day ago\n return (withoutSuffix || isFuture) ? 'deň' : 'dňom';\n case 'dd': // 9 days / in 9 days / 9 days ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'dni' : 'dní');\n } else {\n return result + 'dňami';\n }\n break;\n case 'M': // a month / in a month / a month ago\n return (withoutSuffix || isFuture) ? 'mesiac' : 'mesiacom';\n case 'MM': // 9 months / in 9 months / 9 months ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'mesiace' : 'mesiacov');\n } else {\n return result + 'mesiacmi';\n }\n break;\n case 'y': // a year / in a year / a year ago\n return (withoutSuffix || isFuture) ? 'rok' : 'rokom';\n case 'yy': // 9 years / in 9 years / 9 years ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'roky' : 'rokov');\n } else {\n return result + 'rokmi';\n }\n break;\n }\n }\n\n var sk = moment.defineLocale('sk', {\n months : months,\n monthsShort : monthsShort,\n weekdays : 'nedeľa_pondelok_utorok_streda_štvrtok_piatok_sobota'.split('_'),\n weekdaysShort : 'ne_po_ut_st_št_pi_so'.split('_'),\n weekdaysMin : 'ne_po_ut_st_št_pi_so'.split('_'),\n longDateFormat : {\n LT: 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd D. MMMM YYYY H:mm'\n },\n calendar : {\n sameDay: '[dnes o] LT',\n nextDay: '[zajtra o] LT',\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[v nedeľu o] LT';\n case 1:\n case 2:\n return '[v] dddd [o] LT';\n case 3:\n return '[v stredu o] LT';\n case 4:\n return '[vo štvrtok o] LT';\n case 5:\n return '[v piatok o] LT';\n case 6:\n return '[v sobotu o] LT';\n }\n },\n lastDay: '[včera o] LT',\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n return '[minulú nedeľu o] LT';\n case 1:\n case 2:\n return '[minulý] dddd [o] LT';\n case 3:\n return '[minulú stredu o] LT';\n case 4:\n case 5:\n return '[minulý] dddd [o] LT';\n case 6:\n return '[minulú sobotu o] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'pred %s',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return sk;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var result = number + ' ';\n switch (key) {\n case 's':\n return withoutSuffix || isFuture ? 'nekaj sekund' : 'nekaj sekundami';\n case 'ss':\n if (number === 1) {\n result += withoutSuffix ? 'sekundo' : 'sekundi';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'sekundi' : 'sekundah';\n } else if (number < 5) {\n result += withoutSuffix || isFuture ? 'sekunde' : 'sekundah';\n } else {\n result += 'sekund';\n }\n return result;\n case 'm':\n return withoutSuffix ? 'ena minuta' : 'eno minuto';\n case 'mm':\n if (number === 1) {\n result += withoutSuffix ? 'minuta' : 'minuto';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'minuti' : 'minutama';\n } else if (number < 5) {\n result += withoutSuffix || isFuture ? 'minute' : 'minutami';\n } else {\n result += withoutSuffix || isFuture ? 'minut' : 'minutami';\n }\n return result;\n case 'h':\n return withoutSuffix ? 'ena ura' : 'eno uro';\n case 'hh':\n if (number === 1) {\n result += withoutSuffix ? 'ura' : 'uro';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'uri' : 'urama';\n } else if (number < 5) {\n result += withoutSuffix || isFuture ? 'ure' : 'urami';\n } else {\n result += withoutSuffix || isFuture ? 'ur' : 'urami';\n }\n return result;\n case 'd':\n return withoutSuffix || isFuture ? 'en dan' : 'enim dnem';\n case 'dd':\n if (number === 1) {\n result += withoutSuffix || isFuture ? 'dan' : 'dnem';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'dni' : 'dnevoma';\n } else {\n result += withoutSuffix || isFuture ? 'dni' : 'dnevi';\n }\n return result;\n case 'M':\n return withoutSuffix || isFuture ? 'en mesec' : 'enim mesecem';\n case 'MM':\n if (number === 1) {\n result += withoutSuffix || isFuture ? 'mesec' : 'mesecem';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'meseca' : 'mesecema';\n } else if (number < 5) {\n result += withoutSuffix || isFuture ? 'mesece' : 'meseci';\n } else {\n result += withoutSuffix || isFuture ? 'mesecev' : 'meseci';\n }\n return result;\n case 'y':\n return withoutSuffix || isFuture ? 'eno leto' : 'enim letom';\n case 'yy':\n if (number === 1) {\n result += withoutSuffix || isFuture ? 'leto' : 'letom';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'leti' : 'letoma';\n } else if (number < 5) {\n result += withoutSuffix || isFuture ? 'leta' : 'leti';\n } else {\n result += withoutSuffix || isFuture ? 'let' : 'leti';\n }\n return result;\n }\n }\n\n var sl = moment.defineLocale('sl', {\n months : 'januar_februar_marec_april_maj_junij_julij_avgust_september_oktober_november_december'.split('_'),\n monthsShort : 'jan._feb._mar._apr._maj._jun._jul._avg._sep._okt._nov._dec.'.split('_'),\n monthsParseExact: true,\n weekdays : 'nedelja_ponedeljek_torek_sreda_četrtek_petek_sobota'.split('_'),\n weekdaysShort : 'ned._pon._tor._sre._čet._pet._sob.'.split('_'),\n weekdaysMin : 'ne_po_to_sr_če_pe_so'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd, D. MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[danes ob] LT',\n nextDay : '[jutri ob] LT',\n\n nextWeek : function () {\n switch (this.day()) {\n case 0:\n return '[v] [nedeljo] [ob] LT';\n case 3:\n return '[v] [sredo] [ob] LT';\n case 6:\n return '[v] [soboto] [ob] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[v] dddd [ob] LT';\n }\n },\n lastDay : '[včeraj ob] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 0:\n return '[prejšnjo] [nedeljo] [ob] LT';\n case 3:\n return '[prejšnjo] [sredo] [ob] LT';\n case 6:\n return '[prejšnjo] [soboto] [ob] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[prejšnji] dddd [ob] LT';\n }\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'čez %s',\n past : 'pred %s',\n s : processRelativeTime,\n ss : processRelativeTime,\n m : processRelativeTime,\n mm : processRelativeTime,\n h : processRelativeTime,\n hh : processRelativeTime,\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return sl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var sq = moment.defineLocale('sq', {\n months : 'Janar_Shkurt_Mars_Prill_Maj_Qershor_Korrik_Gusht_Shtator_Tetor_Nëntor_Dhjetor'.split('_'),\n monthsShort : 'Jan_Shk_Mar_Pri_Maj_Qer_Kor_Gus_Sht_Tet_Nën_Dhj'.split('_'),\n weekdays : 'E Diel_E Hënë_E Martë_E Mërkurë_E Enjte_E Premte_E Shtunë'.split('_'),\n weekdaysShort : 'Die_Hën_Mar_Mër_Enj_Pre_Sht'.split('_'),\n weekdaysMin : 'D_H_Ma_Më_E_P_Sh'.split('_'),\n weekdaysParseExact : true,\n meridiemParse: /PD|MD/,\n isPM: function (input) {\n return input.charAt(0) === 'M';\n },\n meridiem : function (hours, minutes, isLower) {\n return hours < 12 ? 'PD' : 'MD';\n },\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Sot në] LT',\n nextDay : '[Nesër në] LT',\n nextWeek : 'dddd [në] LT',\n lastDay : '[Dje në] LT',\n lastWeek : 'dddd [e kaluar në] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'në %s',\n past : '%s më parë',\n s : 'disa sekonda',\n ss : '%d sekonda',\n m : 'një minutë',\n mm : '%d minuta',\n h : 'një orë',\n hh : '%d orë',\n d : 'një ditë',\n dd : '%d ditë',\n M : 'një muaj',\n MM : '%d muaj',\n y : 'një vit',\n yy : '%d vite'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return sq;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var translator = {\n words: { //Different grammatical cases\n ss: ['sekunda', 'sekunde', 'sekundi'],\n m: ['jedan minut', 'jedne minute'],\n mm: ['minut', 'minute', 'minuta'],\n h: ['jedan sat', 'jednog sata'],\n hh: ['sat', 'sata', 'sati'],\n dd: ['dan', 'dana', 'dana'],\n MM: ['mesec', 'meseca', 'meseci'],\n yy: ['godina', 'godine', 'godina']\n },\n correctGrammaticalCase: function (number, wordKey) {\n return number === 1 ? wordKey[0] : (number >= 2 && number <= 4 ? wordKey[1] : wordKey[2]);\n },\n translate: function (number, withoutSuffix, key) {\n var wordKey = translator.words[key];\n if (key.length === 1) {\n return withoutSuffix ? wordKey[0] : wordKey[1];\n } else {\n return number + ' ' + translator.correctGrammaticalCase(number, wordKey);\n }\n }\n };\n\n var sr = moment.defineLocale('sr', {\n months: 'januar_februar_mart_april_maj_jun_jul_avgust_septembar_oktobar_novembar_decembar'.split('_'),\n monthsShort: 'jan._feb._mar._apr._maj_jun_jul_avg._sep._okt._nov._dec.'.split('_'),\n monthsParseExact: true,\n weekdays: 'nedelja_ponedeljak_utorak_sreda_četvrtak_petak_subota'.split('_'),\n weekdaysShort: 'ned._pon._uto._sre._čet._pet._sub.'.split('_'),\n weekdaysMin: 'ne_po_ut_sr_če_pe_su'.split('_'),\n weekdaysParseExact : true,\n longDateFormat: {\n LT: 'H:mm',\n LTS : 'H:mm:ss',\n L: 'DD.MM.YYYY',\n LL: 'D. MMMM YYYY',\n LLL: 'D. MMMM YYYY H:mm',\n LLLL: 'dddd, D. MMMM YYYY H:mm'\n },\n calendar: {\n sameDay: '[danas u] LT',\n nextDay: '[sutra u] LT',\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[u] [nedelju] [u] LT';\n case 3:\n return '[u] [sredu] [u] LT';\n case 6:\n return '[u] [subotu] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[u] dddd [u] LT';\n }\n },\n lastDay : '[juče u] LT',\n lastWeek : function () {\n var lastWeekDays = [\n '[prošle] [nedelje] [u] LT',\n '[prošlog] [ponedeljka] [u] LT',\n '[prošlog] [utorka] [u] LT',\n '[prošle] [srede] [u] LT',\n '[prošlog] [četvrtka] [u] LT',\n '[prošlog] [petka] [u] LT',\n '[prošle] [subote] [u] LT'\n ];\n return lastWeekDays[this.day()];\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'pre %s',\n s : 'nekoliko sekundi',\n ss : translator.translate,\n m : translator.translate,\n mm : translator.translate,\n h : translator.translate,\n hh : translator.translate,\n d : 'dan',\n dd : translator.translate,\n M : 'mesec',\n MM : translator.translate,\n y : 'godinu',\n yy : translator.translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return sr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var translator = {\n words: { //Different grammatical cases\n ss: ['секунда', 'секунде', 'секунди'],\n m: ['један минут', 'једне минуте'],\n mm: ['минут', 'минуте', 'минута'],\n h: ['један сат', 'једног сата'],\n hh: ['сат', 'сата', 'сати'],\n dd: ['дан', 'дана', 'дана'],\n MM: ['месец', 'месеца', 'месеци'],\n yy: ['година', 'године', 'година']\n },\n correctGrammaticalCase: function (number, wordKey) {\n return number === 1 ? wordKey[0] : (number >= 2 && number <= 4 ? wordKey[1] : wordKey[2]);\n },\n translate: function (number, withoutSuffix, key) {\n var wordKey = translator.words[key];\n if (key.length === 1) {\n return withoutSuffix ? wordKey[0] : wordKey[1];\n } else {\n return number + ' ' + translator.correctGrammaticalCase(number, wordKey);\n }\n }\n };\n\n var srCyrl = moment.defineLocale('sr-cyrl', {\n months: 'јануар_фебруар_март_април_мај_јун_јул_август_септембар_октобар_новембар_децембар'.split('_'),\n monthsShort: 'јан._феб._мар._апр._мај_јун_јул_авг._сеп._окт._нов._дец.'.split('_'),\n monthsParseExact: true,\n weekdays: 'недеља_понедељак_уторак_среда_четвртак_петак_субота'.split('_'),\n weekdaysShort: 'нед._пон._уто._сре._чет._пет._суб.'.split('_'),\n weekdaysMin: 'не_по_ут_ср_че_пе_су'.split('_'),\n weekdaysParseExact : true,\n longDateFormat: {\n LT: 'H:mm',\n LTS : 'H:mm:ss',\n L: 'DD.MM.YYYY',\n LL: 'D. MMMM YYYY',\n LLL: 'D. MMMM YYYY H:mm',\n LLLL: 'dddd, D. MMMM YYYY H:mm'\n },\n calendar: {\n sameDay: '[данас у] LT',\n nextDay: '[сутра у] LT',\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[у] [недељу] [у] LT';\n case 3:\n return '[у] [среду] [у] LT';\n case 6:\n return '[у] [суботу] [у] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[у] dddd [у] LT';\n }\n },\n lastDay : '[јуче у] LT',\n lastWeek : function () {\n var lastWeekDays = [\n '[прошле] [недеље] [у] LT',\n '[прошлог] [понедељка] [у] LT',\n '[прошлог] [уторка] [у] LT',\n '[прошле] [среде] [у] LT',\n '[прошлог] [четвртка] [у] LT',\n '[прошлог] [петка] [у] LT',\n '[прошле] [суботе] [у] LT'\n ];\n return lastWeekDays[this.day()];\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'за %s',\n past : 'пре %s',\n s : 'неколико секунди',\n ss : translator.translate,\n m : translator.translate,\n mm : translator.translate,\n h : translator.translate,\n hh : translator.translate,\n d : 'дан',\n dd : translator.translate,\n M : 'месец',\n MM : translator.translate,\n y : 'годину',\n yy : translator.translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return srCyrl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ss = moment.defineLocale('ss', {\n months : \"Bhimbidvwane_Indlovana_Indlov'lenkhulu_Mabasa_Inkhwekhweti_Inhlaba_Kholwane_Ingci_Inyoni_Imphala_Lweti_Ingongoni\".split('_'),\n monthsShort : 'Bhi_Ina_Inu_Mab_Ink_Inh_Kho_Igc_Iny_Imp_Lwe_Igo'.split('_'),\n weekdays : 'Lisontfo_Umsombuluko_Lesibili_Lesitsatfu_Lesine_Lesihlanu_Umgcibelo'.split('_'),\n weekdaysShort : 'Lis_Umb_Lsb_Les_Lsi_Lsh_Umg'.split('_'),\n weekdaysMin : 'Li_Us_Lb_Lt_Ls_Lh_Ug'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendar : {\n sameDay : '[Namuhla nga] LT',\n nextDay : '[Kusasa nga] LT',\n nextWeek : 'dddd [nga] LT',\n lastDay : '[Itolo nga] LT',\n lastWeek : 'dddd [leliphelile] [nga] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'nga %s',\n past : 'wenteka nga %s',\n s : 'emizuzwana lomcane',\n ss : '%d mzuzwana',\n m : 'umzuzu',\n mm : '%d emizuzu',\n h : 'lihora',\n hh : '%d emahora',\n d : 'lilanga',\n dd : '%d emalanga',\n M : 'inyanga',\n MM : '%d tinyanga',\n y : 'umnyaka',\n yy : '%d iminyaka'\n },\n meridiemParse: /ekuseni|emini|entsambama|ebusuku/,\n meridiem : function (hours, minutes, isLower) {\n if (hours < 11) {\n return 'ekuseni';\n } else if (hours < 15) {\n return 'emini';\n } else if (hours < 19) {\n return 'entsambama';\n } else {\n return 'ebusuku';\n }\n },\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'ekuseni') {\n return hour;\n } else if (meridiem === 'emini') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'entsambama' || meridiem === 'ebusuku') {\n if (hour === 0) {\n return 0;\n }\n return hour + 12;\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}/,\n ordinal : '%d',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return ss;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var sv = moment.defineLocale('sv', {\n months : 'januari_februari_mars_april_maj_juni_juli_augusti_september_oktober_november_december'.split('_'),\n monthsShort : 'jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec'.split('_'),\n weekdays : 'söndag_måndag_tisdag_onsdag_torsdag_fredag_lördag'.split('_'),\n weekdaysShort : 'sön_mån_tis_ons_tor_fre_lör'.split('_'),\n weekdaysMin : 'sö_må_ti_on_to_fr_lö'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY [kl.] HH:mm',\n LLLL : 'dddd D MMMM YYYY [kl.] HH:mm',\n lll : 'D MMM YYYY HH:mm',\n llll : 'ddd D MMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Idag] LT',\n nextDay: '[Imorgon] LT',\n lastDay: '[Igår] LT',\n nextWeek: '[På] dddd LT',\n lastWeek: '[I] dddd[s] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'om %s',\n past : 'för %s sedan',\n s : 'några sekunder',\n ss : '%d sekunder',\n m : 'en minut',\n mm : '%d minuter',\n h : 'en timme',\n hh : '%d timmar',\n d : 'en dag',\n dd : '%d dagar',\n M : 'en månad',\n MM : '%d månader',\n y : 'ett år',\n yy : '%d år'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(e|a)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'e' :\n (b === 1) ? 'a' :\n (b === 2) ? 'a' :\n (b === 3) ? 'e' : 'e';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return sv;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var sw = moment.defineLocale('sw', {\n months : 'Januari_Februari_Machi_Aprili_Mei_Juni_Julai_Agosti_Septemba_Oktoba_Novemba_Desemba'.split('_'),\n monthsShort : 'Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ago_Sep_Okt_Nov_Des'.split('_'),\n weekdays : 'Jumapili_Jumatatu_Jumanne_Jumatano_Alhamisi_Ijumaa_Jumamosi'.split('_'),\n weekdaysShort : 'Jpl_Jtat_Jnne_Jtan_Alh_Ijm_Jmos'.split('_'),\n weekdaysMin : 'J2_J3_J4_J5_Al_Ij_J1'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[leo saa] LT',\n nextDay : '[kesho saa] LT',\n nextWeek : '[wiki ijayo] dddd [saat] LT',\n lastDay : '[jana] LT',\n lastWeek : '[wiki iliyopita] dddd [saat] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s baadaye',\n past : 'tokea %s',\n s : 'hivi punde',\n ss : 'sekunde %d',\n m : 'dakika moja',\n mm : 'dakika %d',\n h : 'saa limoja',\n hh : 'masaa %d',\n d : 'siku moja',\n dd : 'masiku %d',\n M : 'mwezi mmoja',\n MM : 'miezi %d',\n y : 'mwaka mmoja',\n yy : 'miaka %d'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return sw;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '௧',\n '2': '௨',\n '3': '௩',\n '4': '௪',\n '5': '௫',\n '6': '௬',\n '7': '௭',\n '8': '௮',\n '9': '௯',\n '0': '௦'\n }, numberMap = {\n '௧': '1',\n '௨': '2',\n '௩': '3',\n '௪': '4',\n '௫': '5',\n '௬': '6',\n '௭': '7',\n '௮': '8',\n '௯': '9',\n '௦': '0'\n };\n\n var ta = moment.defineLocale('ta', {\n months : 'ஜனவரி_பிப்ரவரி_மார்ச்_ஏப்ரல்_மே_ஜூன்_ஜூலை_ஆகஸ்ட்_செப்டெம்பர்_அக்டோபர்_நவம்பர்_டிசம்பர்'.split('_'),\n monthsShort : 'ஜனவரி_பிப்ரவரி_மார்ச்_ஏப்ரல்_மே_ஜூன்_ஜூலை_ஆகஸ்ட்_செப்டெம்பர்_அக்டோபர்_நவம்பர்_டிசம்பர்'.split('_'),\n weekdays : 'ஞாயிற்றுக்கிழமை_திங்கட்கிழமை_செவ்வாய்கிழமை_புதன்கிழமை_வியாழக்கிழமை_வெள்ளிக்கிழமை_சனிக்கிழமை'.split('_'),\n weekdaysShort : 'ஞாயிறு_திங்கள்_செவ்வாய்_புதன்_வியாழன்_வெள்ளி_சனி'.split('_'),\n weekdaysMin : 'ஞா_தி_செ_பு_வி_வெ_ச'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, HH:mm',\n LLLL : 'dddd, D MMMM YYYY, HH:mm'\n },\n calendar : {\n sameDay : '[இன்று] LT',\n nextDay : '[நாளை] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[நேற்று] LT',\n lastWeek : '[கடந்த வாரம்] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s இல்',\n past : '%s முன்',\n s : 'ஒரு சில விநாடிகள்',\n ss : '%d விநாடிகள்',\n m : 'ஒரு நிமிடம்',\n mm : '%d நிமிடங்கள்',\n h : 'ஒரு மணி நேரம்',\n hh : '%d மணி நேரம்',\n d : 'ஒரு நாள்',\n dd : '%d நாட்கள்',\n M : 'ஒரு மாதம்',\n MM : '%d மாதங்கள்',\n y : 'ஒரு வருடம்',\n yy : '%d ஆண்டுகள்'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}வது/,\n ordinal : function (number) {\n return number + 'வது';\n },\n preparse: function (string) {\n return string.replace(/[௧௨௩௪௫௬௭௮௯௦]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n // refer http://ta.wikipedia.org/s/1er1\n meridiemParse: /யாமம்|வைகறை|காலை|நண்பகல்|எற்பாடு|மாலை/,\n meridiem : function (hour, minute, isLower) {\n if (hour < 2) {\n return ' யாமம்';\n } else if (hour < 6) {\n return ' வைகறை'; // வைகறை\n } else if (hour < 10) {\n return ' காலை'; // காலை\n } else if (hour < 14) {\n return ' நண்பகல்'; // நண்பகல்\n } else if (hour < 18) {\n return ' எற்பாடு'; // எற்பாடு\n } else if (hour < 22) {\n return ' மாலை'; // மாலை\n } else {\n return ' யாமம்';\n }\n },\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'யாமம்') {\n return hour < 2 ? hour : hour + 12;\n } else if (meridiem === 'வைகறை' || meridiem === 'காலை') {\n return hour;\n } else if (meridiem === 'நண்பகல்') {\n return hour >= 10 ? hour : hour + 12;\n } else {\n return hour + 12;\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return ta;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var te = moment.defineLocale('te', {\n months : 'జనవరి_ఫిబ్రవరి_మార్చి_ఏప్రిల్_మే_జూన్_జులై_ఆగస్టు_సెప్టెంబర్_అక్టోబర్_నవంబర్_డిసెంబర్'.split('_'),\n monthsShort : 'జన._ఫిబ్ర._మార్చి_ఏప్రి._మే_జూన్_జులై_ఆగ._సెప్._అక్టో._నవ._డిసె.'.split('_'),\n monthsParseExact : true,\n weekdays : 'ఆదివారం_సోమవారం_మంగళవారం_బుధవారం_గురువారం_శుక్రవారం_శనివారం'.split('_'),\n weekdaysShort : 'ఆది_సోమ_మంగళ_బుధ_గురు_శుక్ర_శని'.split('_'),\n weekdaysMin : 'ఆ_సో_మం_బు_గు_శు_శ'.split('_'),\n longDateFormat : {\n LT : 'A h:mm',\n LTS : 'A h:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm',\n LLLL : 'dddd, D MMMM YYYY, A h:mm'\n },\n calendar : {\n sameDay : '[నేడు] LT',\n nextDay : '[రేపు] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[నిన్న] LT',\n lastWeek : '[గత] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s లో',\n past : '%s క్రితం',\n s : 'కొన్ని క్షణాలు',\n ss : '%d సెకన్లు',\n m : 'ఒక నిమిషం',\n mm : '%d నిమిషాలు',\n h : 'ఒక గంట',\n hh : '%d గంటలు',\n d : 'ఒక రోజు',\n dd : '%d రోజులు',\n M : 'ఒక నెల',\n MM : '%d నెలలు',\n y : 'ఒక సంవత్సరం',\n yy : '%d సంవత్సరాలు'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}వ/,\n ordinal : '%dవ',\n meridiemParse: /రాత్రి|ఉదయం|మధ్యాహ్నం|సాయంత్రం/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'రాత్రి') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'ఉదయం') {\n return hour;\n } else if (meridiem === 'మధ్యాహ్నం') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'సాయంత్రం') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'రాత్రి';\n } else if (hour < 10) {\n return 'ఉదయం';\n } else if (hour < 17) {\n return 'మధ్యాహ్నం';\n } else if (hour < 20) {\n return 'సాయంత్రం';\n } else {\n return 'రాత్రి';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return te;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var tet = moment.defineLocale('tet', {\n months : 'Janeiru_Fevereiru_Marsu_Abril_Maiu_Juñu_Jullu_Agustu_Setembru_Outubru_Novembru_Dezembru'.split('_'),\n monthsShort : 'Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez'.split('_'),\n weekdays : 'Domingu_Segunda_Tersa_Kuarta_Kinta_Sesta_Sabadu'.split('_'),\n weekdaysShort : 'Dom_Seg_Ters_Kua_Kint_Sest_Sab'.split('_'),\n weekdaysMin : 'Do_Seg_Te_Ku_Ki_Ses_Sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Ohin iha] LT',\n nextDay: '[Aban iha] LT',\n nextWeek: 'dddd [iha] LT',\n lastDay: '[Horiseik iha] LT',\n lastWeek: 'dddd [semana kotuk] [iha] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'iha %s',\n past : '%s liuba',\n s : 'minutu balun',\n ss : 'minutu %d',\n m : 'minutu ida',\n mm : 'minutu %d',\n h : 'oras ida',\n hh : 'oras %d',\n d : 'loron ida',\n dd : 'loron %d',\n M : 'fulan ida',\n MM : 'fulan %d',\n y : 'tinan ida',\n yy : 'tinan %d'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return tet;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var suffixes = {\n 0: '-ум',\n 1: '-ум',\n 2: '-юм',\n 3: '-юм',\n 4: '-ум',\n 5: '-ум',\n 6: '-ум',\n 7: '-ум',\n 8: '-ум',\n 9: '-ум',\n 10: '-ум',\n 12: '-ум',\n 13: '-ум',\n 20: '-ум',\n 30: '-юм',\n 40: '-ум',\n 50: '-ум',\n 60: '-ум',\n 70: '-ум',\n 80: '-ум',\n 90: '-ум',\n 100: '-ум'\n };\n\n var tg = moment.defineLocale('tg', {\n months : 'январ_феврал_март_апрел_май_июн_июл_август_сентябр_октябр_ноябр_декабр'.split('_'),\n monthsShort : 'янв_фев_мар_апр_май_июн_июл_авг_сен_окт_ноя_дек'.split('_'),\n weekdays : 'якшанбе_душанбе_сешанбе_чоршанбе_панҷшанбе_ҷумъа_шанбе'.split('_'),\n weekdaysShort : 'яшб_дшб_сшб_чшб_пшб_ҷум_шнб'.split('_'),\n weekdaysMin : 'яш_дш_сш_чш_пш_ҷм_шб'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Имрӯз соати] LT',\n nextDay : '[Пагоҳ соати] LT',\n lastDay : '[Дирӯз соати] LT',\n nextWeek : 'dddd[и] [ҳафтаи оянда соати] LT',\n lastWeek : 'dddd[и] [ҳафтаи гузашта соати] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'баъди %s',\n past : '%s пеш',\n s : 'якчанд сония',\n m : 'як дақиқа',\n mm : '%d дақиқа',\n h : 'як соат',\n hh : '%d соат',\n d : 'як рӯз',\n dd : '%d рӯз',\n M : 'як моҳ',\n MM : '%d моҳ',\n y : 'як сол',\n yy : '%d сол'\n },\n meridiemParse: /шаб|субҳ|рӯз|бегоҳ/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'шаб') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'субҳ') {\n return hour;\n } else if (meridiem === 'рӯз') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'бегоҳ') {\n return hour + 12;\n }\n },\n meridiem: function (hour, minute, isLower) {\n if (hour < 4) {\n return 'шаб';\n } else if (hour < 11) {\n return 'субҳ';\n } else if (hour < 16) {\n return 'рӯз';\n } else if (hour < 19) {\n return 'бегоҳ';\n } else {\n return 'шаб';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(ум|юм)/,\n ordinal: function (number) {\n var a = number % 10,\n b = number >= 100 ? 100 : null;\n return number + (suffixes[number] || suffixes[a] || suffixes[b]);\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 1th is the first week of the year.\n }\n });\n\n return tg;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var th = moment.defineLocale('th', {\n months : 'มกราคม_กุมภาพันธ์_มีนาคม_เมษายน_พฤษภาคม_มิถุนายน_กรกฎาคม_สิงหาคม_กันยายน_ตุลาคม_พฤศจิกายน_ธันวาคม'.split('_'),\n monthsShort : 'ม.ค._ก.พ._มี.ค._เม.ย._พ.ค._มิ.ย._ก.ค._ส.ค._ก.ย._ต.ค._พ.ย._ธ.ค.'.split('_'),\n monthsParseExact: true,\n weekdays : 'อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัสบดี_ศุกร์_เสาร์'.split('_'),\n weekdaysShort : 'อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัส_ศุกร์_เสาร์'.split('_'), // yes, three characters difference\n weekdaysMin : 'อา._จ._อ._พ._พฤ._ศ._ส.'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY เวลา H:mm',\n LLLL : 'วันddddที่ D MMMM YYYY เวลา H:mm'\n },\n meridiemParse: /ก่อนเที่ยง|หลังเที่ยง/,\n isPM: function (input) {\n return input === 'หลังเที่ยง';\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ก่อนเที่ยง';\n } else {\n return 'หลังเที่ยง';\n }\n },\n calendar : {\n sameDay : '[วันนี้ เวลา] LT',\n nextDay : '[พรุ่งนี้ เวลา] LT',\n nextWeek : 'dddd[หน้า เวลา] LT',\n lastDay : '[เมื่อวานนี้ เวลา] LT',\n lastWeek : '[วัน]dddd[ที่แล้ว เวลา] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'อีก %s',\n past : '%sที่แล้ว',\n s : 'ไม่กี่วินาที',\n ss : '%d วินาที',\n m : '1 นาที',\n mm : '%d นาที',\n h : '1 ชั่วโมง',\n hh : '%d ชั่วโมง',\n d : '1 วัน',\n dd : '%d วัน',\n M : '1 เดือน',\n MM : '%d เดือน',\n y : '1 ปี',\n yy : '%d ปี'\n }\n });\n\n return th;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var tlPh = moment.defineLocale('tl-ph', {\n months : 'Enero_Pebrero_Marso_Abril_Mayo_Hunyo_Hulyo_Agosto_Setyembre_Oktubre_Nobyembre_Disyembre'.split('_'),\n monthsShort : 'Ene_Peb_Mar_Abr_May_Hun_Hul_Ago_Set_Okt_Nob_Dis'.split('_'),\n weekdays : 'Linggo_Lunes_Martes_Miyerkules_Huwebes_Biyernes_Sabado'.split('_'),\n weekdaysShort : 'Lin_Lun_Mar_Miy_Huw_Biy_Sab'.split('_'),\n weekdaysMin : 'Li_Lu_Ma_Mi_Hu_Bi_Sab'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'MM/D/YYYY',\n LL : 'MMMM D, YYYY',\n LLL : 'MMMM D, YYYY HH:mm',\n LLLL : 'dddd, MMMM DD, YYYY HH:mm'\n },\n calendar : {\n sameDay: 'LT [ngayong araw]',\n nextDay: '[Bukas ng] LT',\n nextWeek: 'LT [sa susunod na] dddd',\n lastDay: 'LT [kahapon]',\n lastWeek: 'LT [noong nakaraang] dddd',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'sa loob ng %s',\n past : '%s ang nakalipas',\n s : 'ilang segundo',\n ss : '%d segundo',\n m : 'isang minuto',\n mm : '%d minuto',\n h : 'isang oras',\n hh : '%d oras',\n d : 'isang araw',\n dd : '%d araw',\n M : 'isang buwan',\n MM : '%d buwan',\n y : 'isang taon',\n yy : '%d taon'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}/,\n ordinal : function (number) {\n return number;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return tlPh;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var numbersNouns = 'pagh_wa’_cha’_wej_loS_vagh_jav_Soch_chorgh_Hut'.split('_');\n\n function translateFuture(output) {\n var time = output;\n time = (output.indexOf('jaj') !== -1) ?\n time.slice(0, -3) + 'leS' :\n (output.indexOf('jar') !== -1) ?\n time.slice(0, -3) + 'waQ' :\n (output.indexOf('DIS') !== -1) ?\n time.slice(0, -3) + 'nem' :\n time + ' pIq';\n return time;\n }\n\n function translatePast(output) {\n var time = output;\n time = (output.indexOf('jaj') !== -1) ?\n time.slice(0, -3) + 'Hu’' :\n (output.indexOf('jar') !== -1) ?\n time.slice(0, -3) + 'wen' :\n (output.indexOf('DIS') !== -1) ?\n time.slice(0, -3) + 'ben' :\n time + ' ret';\n return time;\n }\n\n function translate(number, withoutSuffix, string, isFuture) {\n var numberNoun = numberAsNoun(number);\n switch (string) {\n case 'ss':\n return numberNoun + ' lup';\n case 'mm':\n return numberNoun + ' tup';\n case 'hh':\n return numberNoun + ' rep';\n case 'dd':\n return numberNoun + ' jaj';\n case 'MM':\n return numberNoun + ' jar';\n case 'yy':\n return numberNoun + ' DIS';\n }\n }\n\n function numberAsNoun(number) {\n var hundred = Math.floor((number % 1000) / 100),\n ten = Math.floor((number % 100) / 10),\n one = number % 10,\n word = '';\n if (hundred > 0) {\n word += numbersNouns[hundred] + 'vatlh';\n }\n if (ten > 0) {\n word += ((word !== '') ? ' ' : '') + numbersNouns[ten] + 'maH';\n }\n if (one > 0) {\n word += ((word !== '') ? ' ' : '') + numbersNouns[one];\n }\n return (word === '') ? 'pagh' : word;\n }\n\n var tlh = moment.defineLocale('tlh', {\n months : 'tera’ jar wa’_tera’ jar cha’_tera’ jar wej_tera’ jar loS_tera’ jar vagh_tera’ jar jav_tera’ jar Soch_tera’ jar chorgh_tera’ jar Hut_tera’ jar wa’maH_tera’ jar wa’maH wa’_tera’ jar wa’maH cha’'.split('_'),\n monthsShort : 'jar wa’_jar cha’_jar wej_jar loS_jar vagh_jar jav_jar Soch_jar chorgh_jar Hut_jar wa’maH_jar wa’maH wa’_jar wa’maH cha’'.split('_'),\n monthsParseExact : true,\n weekdays : 'lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj'.split('_'),\n weekdaysShort : 'lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj'.split('_'),\n weekdaysMin : 'lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[DaHjaj] LT',\n nextDay: '[wa’leS] LT',\n nextWeek: 'LLL',\n lastDay: '[wa’Hu’] LT',\n lastWeek: 'LLL',\n sameElse: 'L'\n },\n relativeTime : {\n future : translateFuture,\n past : translatePast,\n s : 'puS lup',\n ss : translate,\n m : 'wa’ tup',\n mm : translate,\n h : 'wa’ rep',\n hh : translate,\n d : 'wa’ jaj',\n dd : translate,\n M : 'wa’ jar',\n MM : translate,\n y : 'wa’ DIS',\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return tlh;\n\n})));\n","\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n var suffixes = {\n 1: '\\'inci',\n 5: '\\'inci',\n 8: '\\'inci',\n 70: '\\'inci',\n 80: '\\'inci',\n 2: '\\'nci',\n 7: '\\'nci',\n 20: '\\'nci',\n 50: '\\'nci',\n 3: '\\'üncü',\n 4: '\\'üncü',\n 100: '\\'üncü',\n 6: '\\'ncı',\n 9: '\\'uncu',\n 10: '\\'uncu',\n 30: '\\'uncu',\n 60: '\\'ıncı',\n 90: '\\'ıncı'\n };\n\n var tr = moment.defineLocale('tr', {\n months : 'Ocak_Şubat_Mart_Nisan_Mayıs_Haziran_Temmuz_Ağustos_Eylül_Ekim_Kasım_Aralık'.split('_'),\n monthsShort : 'Oca_Şub_Mar_Nis_May_Haz_Tem_Ağu_Eyl_Eki_Kas_Ara'.split('_'),\n weekdays : 'Pazar_Pazartesi_Salı_Çarşamba_Perşembe_Cuma_Cumartesi'.split('_'),\n weekdaysShort : 'Paz_Pts_Sal_Çar_Per_Cum_Cts'.split('_'),\n weekdaysMin : 'Pz_Pt_Sa_Ça_Pe_Cu_Ct'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[bugün saat] LT',\n nextDay : '[yarın saat] LT',\n nextWeek : '[gelecek] dddd [saat] LT',\n lastDay : '[dün] LT',\n lastWeek : '[geçen] dddd [saat] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s sonra',\n past : '%s önce',\n s : 'birkaç saniye',\n ss : '%d saniye',\n m : 'bir dakika',\n mm : '%d dakika',\n h : 'bir saat',\n hh : '%d saat',\n d : 'bir gün',\n dd : '%d gün',\n M : 'bir ay',\n MM : '%d ay',\n y : 'bir yıl',\n yy : '%d yıl'\n },\n ordinal: function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'Do':\n case 'DD':\n return number;\n default:\n if (number === 0) { // special case for zero\n return number + '\\'ıncı';\n }\n var a = number % 10,\n b = number % 100 - a,\n c = number >= 100 ? 100 : null;\n return number + (suffixes[a] || suffixes[b] || suffixes[c]);\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return tr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n // After the year there should be a slash and the amount of years since December 26, 1979 in Roman numerals.\n // This is currently too difficult (maybe even impossible) to add.\n var tzl = moment.defineLocale('tzl', {\n months : 'Januar_Fevraglh_Març_Avrïu_Mai_Gün_Julia_Guscht_Setemvar_Listopäts_Noemvar_Zecemvar'.split('_'),\n monthsShort : 'Jan_Fev_Mar_Avr_Mai_Gün_Jul_Gus_Set_Lis_Noe_Zec'.split('_'),\n weekdays : 'Súladi_Lúneçi_Maitzi_Márcuri_Xhúadi_Viénerçi_Sáturi'.split('_'),\n weekdaysShort : 'Súl_Lún_Mai_Már_Xhú_Vié_Sát'.split('_'),\n weekdaysMin : 'Sú_Lú_Ma_Má_Xh_Vi_Sá'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM [dallas] YYYY',\n LLL : 'D. MMMM [dallas] YYYY HH.mm',\n LLLL : 'dddd, [li] D. MMMM [dallas] YYYY HH.mm'\n },\n meridiemParse: /d\\'o|d\\'a/i,\n isPM : function (input) {\n return 'd\\'o' === input.toLowerCase();\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours > 11) {\n return isLower ? 'd\\'o' : 'D\\'O';\n } else {\n return isLower ? 'd\\'a' : 'D\\'A';\n }\n },\n calendar : {\n sameDay : '[oxhi à] LT',\n nextDay : '[demà à] LT',\n nextWeek : 'dddd [à] LT',\n lastDay : '[ieiri à] LT',\n lastWeek : '[sür el] dddd [lasteu à] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'osprei %s',\n past : 'ja%s',\n s : processRelativeTime,\n ss : processRelativeTime,\n m : processRelativeTime,\n mm : processRelativeTime,\n h : processRelativeTime,\n hh : processRelativeTime,\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 's': ['viensas secunds', '\\'iensas secunds'],\n 'ss': [number + ' secunds', '' + number + ' secunds'],\n 'm': ['\\'n míut', '\\'iens míut'],\n 'mm': [number + ' míuts', '' + number + ' míuts'],\n 'h': ['\\'n þora', '\\'iensa þora'],\n 'hh': [number + ' þoras', '' + number + ' þoras'],\n 'd': ['\\'n ziua', '\\'iensa ziua'],\n 'dd': [number + ' ziuas', '' + number + ' ziuas'],\n 'M': ['\\'n mes', '\\'iens mes'],\n 'MM': [number + ' mesen', '' + number + ' mesen'],\n 'y': ['\\'n ar', '\\'iens ar'],\n 'yy': [number + ' ars', '' + number + ' ars']\n };\n return isFuture ? format[key][0] : (withoutSuffix ? format[key][0] : format[key][1]);\n }\n\n return tzl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var tzm = moment.defineLocale('tzm', {\n months : 'ⵉⵏⵏⴰⵢⵔ_ⴱⵕⴰⵢⵕ_ⵎⴰⵕⵚ_ⵉⴱⵔⵉⵔ_ⵎⴰⵢⵢⵓ_ⵢⵓⵏⵢⵓ_ⵢⵓⵍⵢⵓⵣ_ⵖⵓⵛⵜ_ⵛⵓⵜⴰⵏⴱⵉⵔ_ⴽⵟⵓⴱⵕ_ⵏⵓⵡⴰⵏⴱⵉⵔ_ⴷⵓⵊⵏⴱⵉⵔ'.split('_'),\n monthsShort : 'ⵉⵏⵏⴰⵢⵔ_ⴱⵕⴰⵢⵕ_ⵎⴰⵕⵚ_ⵉⴱⵔⵉⵔ_ⵎⴰⵢⵢⵓ_ⵢⵓⵏⵢⵓ_ⵢⵓⵍⵢⵓⵣ_ⵖⵓⵛⵜ_ⵛⵓⵜⴰⵏⴱⵉⵔ_ⴽⵟⵓⴱⵕ_ⵏⵓⵡⴰⵏⴱⵉⵔ_ⴷⵓⵊⵏⴱⵉⵔ'.split('_'),\n weekdays : 'ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ'.split('_'),\n weekdaysShort : 'ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ'.split('_'),\n weekdaysMin : 'ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS: 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[ⴰⵙⴷⵅ ⴴ] LT',\n nextDay: '[ⴰⵙⴽⴰ ⴴ] LT',\n nextWeek: 'dddd [ⴴ] LT',\n lastDay: '[ⴰⵚⴰⵏⵜ ⴴ] LT',\n lastWeek: 'dddd [ⴴ] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'ⴷⴰⴷⵅ ⵙ ⵢⴰⵏ %s',\n past : 'ⵢⴰⵏ %s',\n s : 'ⵉⵎⵉⴽ',\n ss : '%d ⵉⵎⵉⴽ',\n m : 'ⵎⵉⵏⵓⴺ',\n mm : '%d ⵎⵉⵏⵓⴺ',\n h : 'ⵙⴰⵄⴰ',\n hh : '%d ⵜⴰⵙⵙⴰⵄⵉⵏ',\n d : 'ⴰⵙⵙ',\n dd : '%d oⵙⵙⴰⵏ',\n M : 'ⴰⵢoⵓⵔ',\n MM : '%d ⵉⵢⵢⵉⵔⵏ',\n y : 'ⴰⵙⴳⴰⵙ',\n yy : '%d ⵉⵙⴳⴰⵙⵏ'\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return tzm;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var tzmLatn = moment.defineLocale('tzm-latn', {\n months : 'innayr_brˤayrˤ_marˤsˤ_ibrir_mayyw_ywnyw_ywlywz_ɣwšt_šwtanbir_ktˤwbrˤ_nwwanbir_dwjnbir'.split('_'),\n monthsShort : 'innayr_brˤayrˤ_marˤsˤ_ibrir_mayyw_ywnyw_ywlywz_ɣwšt_šwtanbir_ktˤwbrˤ_nwwanbir_dwjnbir'.split('_'),\n weekdays : 'asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas'.split('_'),\n weekdaysShort : 'asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas'.split('_'),\n weekdaysMin : 'asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[asdkh g] LT',\n nextDay: '[aska g] LT',\n nextWeek: 'dddd [g] LT',\n lastDay: '[assant g] LT',\n lastWeek: 'dddd [g] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'dadkh s yan %s',\n past : 'yan %s',\n s : 'imik',\n ss : '%d imik',\n m : 'minuḍ',\n mm : '%d minuḍ',\n h : 'saɛa',\n hh : '%d tassaɛin',\n d : 'ass',\n dd : '%d ossan',\n M : 'ayowr',\n MM : '%d iyyirn',\n y : 'asgas',\n yy : '%d isgasn'\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return tzmLatn;\n\n})));\n","//! moment.js language configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ugCn = moment.defineLocale('ug-cn', {\n months: 'يانۋار_فېۋرال_مارت_ئاپرېل_ماي_ئىيۇن_ئىيۇل_ئاۋغۇست_سېنتەبىر_ئۆكتەبىر_نويابىر_دېكابىر'.split(\n '_'\n ),\n monthsShort: 'يانۋار_فېۋرال_مارت_ئاپرېل_ماي_ئىيۇن_ئىيۇل_ئاۋغۇست_سېنتەبىر_ئۆكتەبىر_نويابىر_دېكابىر'.split(\n '_'\n ),\n weekdays: 'يەكشەنبە_دۈشەنبە_سەيشەنبە_چارشەنبە_پەيشەنبە_جۈمە_شەنبە'.split(\n '_'\n ),\n weekdaysShort: 'يە_دۈ_سە_چا_پە_جۈ_شە'.split('_'),\n weekdaysMin: 'يە_دۈ_سە_چا_پە_جۈ_شە'.split('_'),\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'YYYY-MM-DD',\n LL: 'YYYY-يىلىM-ئاينىڭD-كۈنى',\n LLL: 'YYYY-يىلىM-ئاينىڭD-كۈنى، HH:mm',\n LLLL: 'dddd، YYYY-يىلىM-ئاينىڭD-كۈنى، HH:mm'\n },\n meridiemParse: /يېرىم كېچە|سەھەر|چۈشتىن بۇرۇن|چۈش|چۈشتىن كېيىن|كەچ/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (\n meridiem === 'يېرىم كېچە' ||\n meridiem === 'سەھەر' ||\n meridiem === 'چۈشتىن بۇرۇن'\n ) {\n return hour;\n } else if (meridiem === 'چۈشتىن كېيىن' || meridiem === 'كەچ') {\n return hour + 12;\n } else {\n return hour >= 11 ? hour : hour + 12;\n }\n },\n meridiem: function (hour, minute, isLower) {\n var hm = hour * 100 + minute;\n if (hm < 600) {\n return 'يېرىم كېچە';\n } else if (hm < 900) {\n return 'سەھەر';\n } else if (hm < 1130) {\n return 'چۈشتىن بۇرۇن';\n } else if (hm < 1230) {\n return 'چۈش';\n } else if (hm < 1800) {\n return 'چۈشتىن كېيىن';\n } else {\n return 'كەچ';\n }\n },\n calendar: {\n sameDay: '[بۈگۈن سائەت] LT',\n nextDay: '[ئەتە سائەت] LT',\n nextWeek: '[كېلەركى] dddd [سائەت] LT',\n lastDay: '[تۆنۈگۈن] LT',\n lastWeek: '[ئالدىنقى] dddd [سائەت] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: '%s كېيىن',\n past: '%s بۇرۇن',\n s: 'نەچچە سېكونت',\n ss: '%d سېكونت',\n m: 'بىر مىنۇت',\n mm: '%d مىنۇت',\n h: 'بىر سائەت',\n hh: '%d سائەت',\n d: 'بىر كۈن',\n dd: '%d كۈن',\n M: 'بىر ئاي',\n MM: '%d ئاي',\n y: 'بىر يىل',\n yy: '%d يىل'\n },\n\n dayOfMonthOrdinalParse: /\\d{1,2}(-كۈنى|-ئاي|-ھەپتە)/,\n ordinal: function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'DDD':\n return number + '-كۈنى';\n case 'w':\n case 'W':\n return number + '-ھەپتە';\n default:\n return number;\n }\n },\n preparse: function (string) {\n return string.replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/,/g, '،');\n },\n week: {\n // GB/T 7408-1994《数据元和交换格式·信息交换·日期和时间表示法》与ISO 8601:1988等效\n dow: 1, // Monday is the first day of the week.\n doy: 7 // The week that contains Jan 1st is the first week of the year.\n }\n });\n\n return ugCn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function plural(word, num) {\n var forms = word.split('_');\n return num % 10 === 1 && num % 100 !== 11 ? forms[0] : (num % 10 >= 2 && num % 10 <= 4 && (num % 100 < 10 || num % 100 >= 20) ? forms[1] : forms[2]);\n }\n function relativeTimeWithPlural(number, withoutSuffix, key) {\n var format = {\n 'ss': withoutSuffix ? 'секунда_секунди_секунд' : 'секунду_секунди_секунд',\n 'mm': withoutSuffix ? 'хвилина_хвилини_хвилин' : 'хвилину_хвилини_хвилин',\n 'hh': withoutSuffix ? 'година_години_годин' : 'годину_години_годин',\n 'dd': 'день_дні_днів',\n 'MM': 'місяць_місяці_місяців',\n 'yy': 'рік_роки_років'\n };\n if (key === 'm') {\n return withoutSuffix ? 'хвилина' : 'хвилину';\n }\n else if (key === 'h') {\n return withoutSuffix ? 'година' : 'годину';\n }\n else {\n return number + ' ' + plural(format[key], +number);\n }\n }\n function weekdaysCaseReplace(m, format) {\n var weekdays = {\n 'nominative': 'неділя_понеділок_вівторок_середа_четвер_п’ятниця_субота'.split('_'),\n 'accusative': 'неділю_понеділок_вівторок_середу_четвер_п’ятницю_суботу'.split('_'),\n 'genitive': 'неділі_понеділка_вівторка_середи_четверга_п’ятниці_суботи'.split('_')\n };\n\n if (m === true) {\n return weekdays['nominative'].slice(1, 7).concat(weekdays['nominative'].slice(0, 1));\n }\n if (!m) {\n return weekdays['nominative'];\n }\n\n var nounCase = (/(\\[[ВвУу]\\]) ?dddd/).test(format) ?\n 'accusative' :\n ((/\\[?(?:минулої|наступної)? ?\\] ?dddd/).test(format) ?\n 'genitive' :\n 'nominative');\n return weekdays[nounCase][m.day()];\n }\n function processHoursFunction(str) {\n return function () {\n return str + 'о' + (this.hours() === 11 ? 'б' : '') + '] LT';\n };\n }\n\n var uk = moment.defineLocale('uk', {\n months : {\n 'format': 'січня_лютого_березня_квітня_травня_червня_липня_серпня_вересня_жовтня_листопада_грудня'.split('_'),\n 'standalone': 'січень_лютий_березень_квітень_травень_червень_липень_серпень_вересень_жовтень_листопад_грудень'.split('_')\n },\n monthsShort : 'січ_лют_бер_квіт_трав_черв_лип_серп_вер_жовт_лист_груд'.split('_'),\n weekdays : weekdaysCaseReplace,\n weekdaysShort : 'нд_пн_вт_ср_чт_пт_сб'.split('_'),\n weekdaysMin : 'нд_пн_вт_ср_чт_пт_сб'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY р.',\n LLL : 'D MMMM YYYY р., HH:mm',\n LLLL : 'dddd, D MMMM YYYY р., HH:mm'\n },\n calendar : {\n sameDay: processHoursFunction('[Сьогодні '),\n nextDay: processHoursFunction('[Завтра '),\n lastDay: processHoursFunction('[Вчора '),\n nextWeek: processHoursFunction('[У] dddd ['),\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n case 3:\n case 5:\n case 6:\n return processHoursFunction('[Минулої] dddd [').call(this);\n case 1:\n case 2:\n case 4:\n return processHoursFunction('[Минулого] dddd [').call(this);\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'за %s',\n past : '%s тому',\n s : 'декілька секунд',\n ss : relativeTimeWithPlural,\n m : relativeTimeWithPlural,\n mm : relativeTimeWithPlural,\n h : 'годину',\n hh : relativeTimeWithPlural,\n d : 'день',\n dd : relativeTimeWithPlural,\n M : 'місяць',\n MM : relativeTimeWithPlural,\n y : 'рік',\n yy : relativeTimeWithPlural\n },\n // M. E.: those two are virtually unused but a user might want to implement them for his/her website for some reason\n meridiemParse: /ночі|ранку|дня|вечора/,\n isPM: function (input) {\n return /^(дня|вечора)$/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'ночі';\n } else if (hour < 12) {\n return 'ранку';\n } else if (hour < 17) {\n return 'дня';\n } else {\n return 'вечора';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(й|го)/,\n ordinal: function (number, period) {\n switch (period) {\n case 'M':\n case 'd':\n case 'DDD':\n case 'w':\n case 'W':\n return number + '-й';\n case 'D':\n return number + '-го';\n default:\n return number;\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return uk;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = [\n 'جنوری',\n 'فروری',\n 'مارچ',\n 'اپریل',\n 'مئی',\n 'جون',\n 'جولائی',\n 'اگست',\n 'ستمبر',\n 'اکتوبر',\n 'نومبر',\n 'دسمبر'\n ];\n var days = [\n 'اتوار',\n 'پیر',\n 'منگل',\n 'بدھ',\n 'جمعرات',\n 'جمعہ',\n 'ہفتہ'\n ];\n\n var ur = moment.defineLocale('ur', {\n months : months,\n monthsShort : months,\n weekdays : days,\n weekdaysShort : days,\n weekdaysMin : days,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd، D MMMM YYYY HH:mm'\n },\n meridiemParse: /صبح|شام/,\n isPM : function (input) {\n return 'شام' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'صبح';\n }\n return 'شام';\n },\n calendar : {\n sameDay : '[آج بوقت] LT',\n nextDay : '[کل بوقت] LT',\n nextWeek : 'dddd [بوقت] LT',\n lastDay : '[گذشتہ روز بوقت] LT',\n lastWeek : '[گذشتہ] dddd [بوقت] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s بعد',\n past : '%s قبل',\n s : 'چند سیکنڈ',\n ss : '%d سیکنڈ',\n m : 'ایک منٹ',\n mm : '%d منٹ',\n h : 'ایک گھنٹہ',\n hh : '%d گھنٹے',\n d : 'ایک دن',\n dd : '%d دن',\n M : 'ایک ماہ',\n MM : '%d ماہ',\n y : 'ایک سال',\n yy : '%d سال'\n },\n preparse: function (string) {\n return string.replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/,/g, '،');\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return ur;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var uz = moment.defineLocale('uz', {\n months : 'январ_феврал_март_апрел_май_июн_июл_август_сентябр_октябр_ноябр_декабр'.split('_'),\n monthsShort : 'янв_фев_мар_апр_май_июн_июл_авг_сен_окт_ноя_дек'.split('_'),\n weekdays : 'Якшанба_Душанба_Сешанба_Чоршанба_Пайшанба_Жума_Шанба'.split('_'),\n weekdaysShort : 'Якш_Душ_Сеш_Чор_Пай_Жум_Шан'.split('_'),\n weekdaysMin : 'Як_Ду_Се_Чо_Па_Жу_Ша'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'D MMMM YYYY, dddd HH:mm'\n },\n calendar : {\n sameDay : '[Бугун соат] LT [да]',\n nextDay : '[Эртага] LT [да]',\n nextWeek : 'dddd [куни соат] LT [да]',\n lastDay : '[Кеча соат] LT [да]',\n lastWeek : '[Утган] dddd [куни соат] LT [да]',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'Якин %s ичида',\n past : 'Бир неча %s олдин',\n s : 'фурсат',\n ss : '%d фурсат',\n m : 'бир дакика',\n mm : '%d дакика',\n h : 'бир соат',\n hh : '%d соат',\n d : 'бир кун',\n dd : '%d кун',\n M : 'бир ой',\n MM : '%d ой',\n y : 'бир йил',\n yy : '%d йил'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return uz;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var uzLatn = moment.defineLocale('uz-latn', {\n months : 'Yanvar_Fevral_Mart_Aprel_May_Iyun_Iyul_Avgust_Sentabr_Oktabr_Noyabr_Dekabr'.split('_'),\n monthsShort : 'Yan_Fev_Mar_Apr_May_Iyun_Iyul_Avg_Sen_Okt_Noy_Dek'.split('_'),\n weekdays : 'Yakshanba_Dushanba_Seshanba_Chorshanba_Payshanba_Juma_Shanba'.split('_'),\n weekdaysShort : 'Yak_Dush_Sesh_Chor_Pay_Jum_Shan'.split('_'),\n weekdaysMin : 'Ya_Du_Se_Cho_Pa_Ju_Sha'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'D MMMM YYYY, dddd HH:mm'\n },\n calendar : {\n sameDay : '[Bugun soat] LT [da]',\n nextDay : '[Ertaga] LT [da]',\n nextWeek : 'dddd [kuni soat] LT [da]',\n lastDay : '[Kecha soat] LT [da]',\n lastWeek : '[O\\'tgan] dddd [kuni soat] LT [da]',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'Yaqin %s ichida',\n past : 'Bir necha %s oldin',\n s : 'soniya',\n ss : '%d soniya',\n m : 'bir daqiqa',\n mm : '%d daqiqa',\n h : 'bir soat',\n hh : '%d soat',\n d : 'bir kun',\n dd : '%d kun',\n M : 'bir oy',\n MM : '%d oy',\n y : 'bir yil',\n yy : '%d yil'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return uzLatn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var vi = moment.defineLocale('vi', {\n months : 'tháng 1_tháng 2_tháng 3_tháng 4_tháng 5_tháng 6_tháng 7_tháng 8_tháng 9_tháng 10_tháng 11_tháng 12'.split('_'),\n monthsShort : 'Th01_Th02_Th03_Th04_Th05_Th06_Th07_Th08_Th09_Th10_Th11_Th12'.split('_'),\n monthsParseExact : true,\n weekdays : 'chủ nhật_thứ hai_thứ ba_thứ tư_thứ năm_thứ sáu_thứ bảy'.split('_'),\n weekdaysShort : 'CN_T2_T3_T4_T5_T6_T7'.split('_'),\n weekdaysMin : 'CN_T2_T3_T4_T5_T6_T7'.split('_'),\n weekdaysParseExact : true,\n meridiemParse: /sa|ch/i,\n isPM : function (input) {\n return /^ch$/i.test(input);\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 12) {\n return isLower ? 'sa' : 'SA';\n } else {\n return isLower ? 'ch' : 'CH';\n }\n },\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM [năm] YYYY',\n LLL : 'D MMMM [năm] YYYY HH:mm',\n LLLL : 'dddd, D MMMM [năm] YYYY HH:mm',\n l : 'DD/M/YYYY',\n ll : 'D MMM YYYY',\n lll : 'D MMM YYYY HH:mm',\n llll : 'ddd, D MMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Hôm nay lúc] LT',\n nextDay: '[Ngày mai lúc] LT',\n nextWeek: 'dddd [tuần tới lúc] LT',\n lastDay: '[Hôm qua lúc] LT',\n lastWeek: 'dddd [tuần rồi lúc] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : '%s tới',\n past : '%s trước',\n s : 'vài giây',\n ss : '%d giây' ,\n m : 'một phút',\n mm : '%d phút',\n h : 'một giờ',\n hh : '%d giờ',\n d : 'một ngày',\n dd : '%d ngày',\n M : 'một tháng',\n MM : '%d tháng',\n y : 'một năm',\n yy : '%d năm'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}/,\n ordinal : function (number) {\n return number;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return vi;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var xPseudo = moment.defineLocale('x-pseudo', {\n months : 'J~áñúá~rý_F~ébrú~árý_~Márc~h_Áp~ríl_~Máý_~Júñé~_Júl~ý_Áú~gúst~_Sép~témb~ér_Ó~ctób~ér_Ñ~óvém~bér_~Décé~mbér'.split('_'),\n monthsShort : 'J~áñ_~Féb_~Már_~Ápr_~Máý_~Júñ_~Júl_~Áúg_~Sép_~Óct_~Ñóv_~Déc'.split('_'),\n monthsParseExact : true,\n weekdays : 'S~úñdá~ý_Mó~ñdáý~_Túé~sdáý~_Wéd~ñésd~áý_T~húrs~dáý_~Fríd~áý_S~átúr~dáý'.split('_'),\n weekdaysShort : 'S~úñ_~Móñ_~Túé_~Wéd_~Thú_~Frí_~Sát'.split('_'),\n weekdaysMin : 'S~ú_Mó~_Tú_~Wé_T~h_Fr~_Sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[T~ódá~ý át] LT',\n nextDay : '[T~ómó~rró~w át] LT',\n nextWeek : 'dddd [át] LT',\n lastDay : '[Ý~ést~érdá~ý át] LT',\n lastWeek : '[L~ást] dddd [át] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'í~ñ %s',\n past : '%s á~gó',\n s : 'á ~féw ~sécó~ñds',\n ss : '%d s~écóñ~ds',\n m : 'á ~míñ~úté',\n mm : '%d m~íñú~tés',\n h : 'á~ñ hó~úr',\n hh : '%d h~óúrs',\n d : 'á ~dáý',\n dd : '%d d~áýs',\n M : 'á ~móñ~th',\n MM : '%d m~óñt~hs',\n y : 'á ~ýéár',\n yy : '%d ý~éárs'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(th|st|nd|rd)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return xPseudo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var yo = moment.defineLocale('yo', {\n months : 'Sẹ́rẹ́_Èrèlè_Ẹrẹ̀nà_Ìgbé_Èbibi_Òkùdu_Agẹmo_Ògún_Owewe_Ọ̀wàrà_Bélú_Ọ̀pẹ̀̀'.split('_'),\n monthsShort : 'Sẹ́r_Èrl_Ẹrn_Ìgb_Èbi_Òkù_Agẹ_Ògú_Owe_Ọ̀wà_Bél_Ọ̀pẹ̀̀'.split('_'),\n weekdays : 'Àìkú_Ajé_Ìsẹ́gun_Ọjọ́rú_Ọjọ́bọ_Ẹtì_Àbámẹ́ta'.split('_'),\n weekdaysShort : 'Àìk_Ajé_Ìsẹ́_Ọjr_Ọjb_Ẹtì_Àbá'.split('_'),\n weekdaysMin : 'Àì_Aj_Ìs_Ọr_Ọb_Ẹt_Àb'.split('_'),\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendar : {\n sameDay : '[Ònì ni] LT',\n nextDay : '[Ọ̀la ni] LT',\n nextWeek : 'dddd [Ọsẹ̀ tón\\'bọ] [ni] LT',\n lastDay : '[Àna ni] LT',\n lastWeek : 'dddd [Ọsẹ̀ tólọ́] [ni] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'ní %s',\n past : '%s kọjá',\n s : 'ìsẹjú aayá die',\n ss :'aayá %d',\n m : 'ìsẹjú kan',\n mm : 'ìsẹjú %d',\n h : 'wákati kan',\n hh : 'wákati %d',\n d : 'ọjọ́ kan',\n dd : 'ọjọ́ %d',\n M : 'osù kan',\n MM : 'osù %d',\n y : 'ọdún kan',\n yy : 'ọdún %d'\n },\n dayOfMonthOrdinalParse : /ọjọ́\\s\\d{1,2}/,\n ordinal : 'ọjọ́ %d',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return yo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var zhCn = moment.defineLocale('zh-cn', {\n months : '一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月'.split('_'),\n monthsShort : '1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月'.split('_'),\n weekdays : '星期日_星期一_星期二_星期三_星期四_星期五_星期六'.split('_'),\n weekdaysShort : '周日_周一_周二_周三_周四_周五_周六'.split('_'),\n weekdaysMin : '日_一_二_三_四_五_六'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY/MM/DD',\n LL : 'YYYY年M月D日',\n LLL : 'YYYY年M月D日Ah点mm分',\n LLLL : 'YYYY年M月D日ddddAh点mm分',\n l : 'YYYY/M/D',\n ll : 'YYYY年M月D日',\n lll : 'YYYY年M月D日 HH:mm',\n llll : 'YYYY年M月D日dddd HH:mm'\n },\n meridiemParse: /凌晨|早上|上午|中午|下午|晚上/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === '凌晨' || meridiem === '早上' ||\n meridiem === '上午') {\n return hour;\n } else if (meridiem === '下午' || meridiem === '晚上') {\n return hour + 12;\n } else {\n // '中午'\n return hour >= 11 ? hour : hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n var hm = hour * 100 + minute;\n if (hm < 600) {\n return '凌晨';\n } else if (hm < 900) {\n return '早上';\n } else if (hm < 1130) {\n return '上午';\n } else if (hm < 1230) {\n return '中午';\n } else if (hm < 1800) {\n return '下午';\n } else {\n return '晚上';\n }\n },\n calendar : {\n sameDay : '[今天]LT',\n nextDay : '[明天]LT',\n nextWeek : '[下]ddddLT',\n lastDay : '[昨天]LT',\n lastWeek : '[上]ddddLT',\n sameElse : 'L'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(日|月|周)/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'DDD':\n return number + '日';\n case 'M':\n return number + '月';\n case 'w':\n case 'W':\n return number + '周';\n default:\n return number;\n }\n },\n relativeTime : {\n future : '%s内',\n past : '%s前',\n s : '几秒',\n ss : '%d 秒',\n m : '1 分钟',\n mm : '%d 分钟',\n h : '1 小时',\n hh : '%d 小时',\n d : '1 天',\n dd : '%d 天',\n M : '1 个月',\n MM : '%d 个月',\n y : '1 年',\n yy : '%d 年'\n },\n week : {\n // GB/T 7408-1994《数据元和交换格式·信息交换·日期和时间表示法》与ISO 8601:1988等效\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return zhCn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var zhHk = moment.defineLocale('zh-hk', {\n months : '一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月'.split('_'),\n monthsShort : '1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月'.split('_'),\n weekdays : '星期日_星期一_星期二_星期三_星期四_星期五_星期六'.split('_'),\n weekdaysShort : '週日_週一_週二_週三_週四_週五_週六'.split('_'),\n weekdaysMin : '日_一_二_三_四_五_六'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY/MM/DD',\n LL : 'YYYY年M月D日',\n LLL : 'YYYY年M月D日 HH:mm',\n LLLL : 'YYYY年M月D日dddd HH:mm',\n l : 'YYYY/M/D',\n ll : 'YYYY年M月D日',\n lll : 'YYYY年M月D日 HH:mm',\n llll : 'YYYY年M月D日dddd HH:mm'\n },\n meridiemParse: /凌晨|早上|上午|中午|下午|晚上/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === '凌晨' || meridiem === '早上' || meridiem === '上午') {\n return hour;\n } else if (meridiem === '中午') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === '下午' || meridiem === '晚上') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n var hm = hour * 100 + minute;\n if (hm < 600) {\n return '凌晨';\n } else if (hm < 900) {\n return '早上';\n } else if (hm < 1130) {\n return '上午';\n } else if (hm < 1230) {\n return '中午';\n } else if (hm < 1800) {\n return '下午';\n } else {\n return '晚上';\n }\n },\n calendar : {\n sameDay : '[今天]LT',\n nextDay : '[明天]LT',\n nextWeek : '[下]ddddLT',\n lastDay : '[昨天]LT',\n lastWeek : '[上]ddddLT',\n sameElse : 'L'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(日|月|週)/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd' :\n case 'D' :\n case 'DDD' :\n return number + '日';\n case 'M' :\n return number + '月';\n case 'w' :\n case 'W' :\n return number + '週';\n default :\n return number;\n }\n },\n relativeTime : {\n future : '%s內',\n past : '%s前',\n s : '幾秒',\n ss : '%d 秒',\n m : '1 分鐘',\n mm : '%d 分鐘',\n h : '1 小時',\n hh : '%d 小時',\n d : '1 天',\n dd : '%d 天',\n M : '1 個月',\n MM : '%d 個月',\n y : '1 年',\n yy : '%d 年'\n }\n });\n\n return zhHk;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var zhTw = moment.defineLocale('zh-tw', {\n months : '一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月'.split('_'),\n monthsShort : '1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月'.split('_'),\n weekdays : '星期日_星期一_星期二_星期三_星期四_星期五_星期六'.split('_'),\n weekdaysShort : '週日_週一_週二_週三_週四_週五_週六'.split('_'),\n weekdaysMin : '日_一_二_三_四_五_六'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY/MM/DD',\n LL : 'YYYY年M月D日',\n LLL : 'YYYY年M月D日 HH:mm',\n LLLL : 'YYYY年M月D日dddd HH:mm',\n l : 'YYYY/M/D',\n ll : 'YYYY年M月D日',\n lll : 'YYYY年M月D日 HH:mm',\n llll : 'YYYY年M月D日dddd HH:mm'\n },\n meridiemParse: /凌晨|早上|上午|中午|下午|晚上/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === '凌晨' || meridiem === '早上' || meridiem === '上午') {\n return hour;\n } else if (meridiem === '中午') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === '下午' || meridiem === '晚上') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n var hm = hour * 100 + minute;\n if (hm < 600) {\n return '凌晨';\n } else if (hm < 900) {\n return '早上';\n } else if (hm < 1130) {\n return '上午';\n } else if (hm < 1230) {\n return '中午';\n } else if (hm < 1800) {\n return '下午';\n } else {\n return '晚上';\n }\n },\n calendar : {\n sameDay : '[今天] LT',\n nextDay : '[明天] LT',\n nextWeek : '[下]dddd LT',\n lastDay : '[昨天] LT',\n lastWeek : '[上]dddd LT',\n sameElse : 'L'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(日|月|週)/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd' :\n case 'D' :\n case 'DDD' :\n return number + '日';\n case 'M' :\n return number + '月';\n case 'w' :\n case 'W' :\n return number + '週';\n default :\n return number;\n }\n },\n relativeTime : {\n future : '%s內',\n past : '%s前',\n s : '幾秒',\n ss : '%d 秒',\n m : '1 分鐘',\n mm : '%d 分鐘',\n h : '1 小時',\n hh : '%d 小時',\n d : '1 天',\n dd : '%d 天',\n M : '1 個月',\n MM : '%d 個月',\n y : '1 年',\n yy : '%d 年'\n }\n });\n\n return zhTw;\n\n})));\n","import { PluginKey, TextSelection, Selection, SelectionRange, NodeSelection, Plugin } from 'prosemirror-state';\nimport { Fragment, Slice } from 'prosemirror-model';\nimport { keydownHandler } from 'prosemirror-keymap';\nimport { Decoration, DecorationSet } from 'prosemirror-view';\nimport { Transform } from 'prosemirror-transform';\n\n// Because working with row and column-spanning cells is not quite\n// trivial, this code builds up a descriptive structure for a given\n// table node. The structures are cached with the (persistent) table\n// nodes as key, so that they only have to be recomputed when the\n// content of the table changes.\n//\n// This does mean that they have to store table-relative, not\n// document-relative positions. So code that uses them will typically\n// compute the start position of the table and offset positions passed\n// to or gotten from this structure by that amount.\n\nvar readFromCache, addToCache;\n// Prefer using a weak map to cache table maps. Fall back on a\n// fixed-size cache if that's not supported.\nif (typeof WeakMap != \"undefined\") {\n var cache = new WeakMap;\n readFromCache = function (key) { return cache.get(key); };\n addToCache = function (key, value) {\n cache.set(key, value);\n return value\n };\n} else {\n var cache$1 = [], cacheSize = 10, cachePos = 0;\n readFromCache = function (key) {\n for (var i = 0; i < cache$1.length; i += 2)\n { if (cache$1[i] == key) { return cache$1[i + 1] } }\n };\n addToCache = function (key, value) {\n if (cachePos == cacheSize) { cachePos = 0; }\n cache$1[cachePos++] = key;\n return cache$1[cachePos++] = value\n };\n}\n\nvar Rect = function Rect(left, top, right, bottom) {\n this.left = left; this.top = top; this.right = right; this.bottom = bottom;\n};\n\n// ::- A table map describes the structore of a given table. To avoid\n// recomputing them all the time, they are cached per table node. To\n// be able to do that, positions saved in the map are relative to the\n// start of the table, rather than the start of the document.\nvar TableMap = function TableMap(width, height, map, problems) {\n // :: number The width of the table\n this.width = width;\n // :: number The table's height\n this.height = height;\n // :: [number] A width * height array with the start position of\n // the cell covering that part of the table in each slot\n this.map = map;\n // An optional array of problems (cell overlap or non-rectangular\n // shape) for the table, used by the table normalizer.\n this.problems = problems;\n};\n\n// :: (number) → Rect\n// Find the dimensions of the cell at the given position.\nTableMap.prototype.findCell = function findCell (pos) {\n for (var i = 0; i < this.map.length; i++) {\n var curPos = this.map[i];\n if (curPos != pos) { continue }\n var left = i % this.width, top = (i / this.width) | 0;\n var right = left + 1, bottom = top + 1;\n for (var j = 1; right < this.width && this.map[i + j] == curPos; j++) { right++; }\n for (var j$1 = 1; bottom < this.height && this.map[i + (this.width * j$1)] == curPos; j$1++) { bottom++; }\n return new Rect(left, top, right, bottom)\n }\n throw new RangeError(\"No cell with offset \" + pos + \" found\")\n};\n\n// :: (number) → number\n// Find the left side of the cell at the given position.\nTableMap.prototype.colCount = function colCount (pos) {\n for (var i = 0; i < this.map.length; i++)\n { if (this.map[i] == pos) { return i % this.width } }\n throw new RangeError(\"No cell with offset \" + pos + \" found\")\n};\n\n// :: (number, string, number) → ?number\n// Find the next cell in the given direction, starting from the cell\n// at `pos`, if any.\nTableMap.prototype.nextCell = function nextCell (pos, axis, dir) {\n var ref = this.findCell(pos);\n var left = ref.left;\n var right = ref.right;\n var top = ref.top;\n var bottom = ref.bottom;\n if (axis == \"horiz\") {\n if (dir < 0 ? left == 0 : right == this.width) { return null }\n return this.map[top * this.width + (dir < 0 ? left - 1 : right)]\n } else {\n if (dir < 0 ? top == 0 : bottom == this.height) { return null }\n return this.map[left + this.width * (dir < 0 ? top - 1 : bottom)]\n }\n};\n\n// :: (number, number) → Rect\n// Get the rectangle spanning the two given cells.\nTableMap.prototype.rectBetween = function rectBetween (a, b) {\n var ref = this.findCell(a);\n var leftA = ref.left;\n var rightA = ref.right;\n var topA = ref.top;\n var bottomA = ref.bottom;\n var ref$1 = this.findCell(b);\n var leftB = ref$1.left;\n var rightB = ref$1.right;\n var topB = ref$1.top;\n var bottomB = ref$1.bottom;\n return new Rect(Math.min(leftA, leftB), Math.min(topA, topB),\n Math.max(rightA, rightB), Math.max(bottomA, bottomB))\n};\n\n// :: (Rect) → [number]\n// Return the position of all cells that have the top left corner in\n// the given rectangle.\nTableMap.prototype.cellsInRect = function cellsInRect (rect) {\n var result = [], seen = {};\n for (var row = rect.top; row < rect.bottom; row++) {\n for (var col = rect.left; col < rect.right; col++) {\n var index = row * this.width + col, pos = this.map[index];\n if (seen[pos]) { continue }\n seen[pos] = true;\n if ((col != rect.left || !col || this.map[index - 1] != pos) &&\n (row != rect.top || !row || this.map[index - this.width] != pos))\n { result.push(pos); }\n }\n }\n return result\n};\n\n// :: (number, number, Node) → number\n// Return the position at which the cell at the given row and column\n// starts, or would start, if a cell started there.\nTableMap.prototype.positionAt = function positionAt (row, col, table) {\n for (var i = 0, rowStart = 0;; i++) {\n var rowEnd = rowStart + table.child(i).nodeSize;\n if (i == row) {\n var index = col + row * this.width, rowEndIndex = (row + 1) * this.width;\n // Skip past cells from previous rows (via rowspan)\n while (index < rowEndIndex && this.map[index] < rowStart) { index++; }\n return index == rowEndIndex ? rowEnd - 1 : this.map[index]\n }\n rowStart = rowEnd;\n }\n};\n\n// :: (Node) → TableMap\n// Find the table map for the given table node.\nTableMap.get = function get (table) {\n return readFromCache(table) || addToCache(table, computeMap(table))\n};\n\n// Compute a table map.\nfunction computeMap(table) {\n if (table.type.spec.tableRole != \"table\") { throw new RangeError(\"Not a table node: \" + table.type.name) }\n var width = findWidth(table), height = table.childCount;\n var map = [], mapPos = 0, problems = null, colWidths = [];\n for (var i = 0, e = width * height; i < e; i++) { map[i] = 0; }\n\n for (var row = 0, pos = 0; row < height; row++) {\n var rowNode = table.child(row);\n pos++;\n for (var i$1 = 0;; i$1++) {\n while (mapPos < map.length && map[mapPos] != 0) { mapPos++; }\n if (i$1 == rowNode.childCount) { break }\n var cellNode = rowNode.child(i$1);\n var ref = cellNode.attrs;\n var colspan = ref.colspan;\n var rowspan = ref.rowspan;\n var colwidth = ref.colwidth;\n for (var h = 0; h < rowspan; h++) {\n if (h + row >= height) {\n (problems || (problems = [])).push({type: \"overlong_rowspan\", pos: pos, n: rowspan - h});\n break\n }\n var start = mapPos + (h * width);\n for (var w = 0; w < colspan; w++) {\n if (map[start + w] == 0)\n { map[start + w] = pos; }\n else\n { (problems || (problems = [])).push({type: \"collision\", row: row, pos: pos, n: colspan - w}); }\n var colW = colwidth && colwidth[w];\n if (colW) {\n var widthIndex = ((start + w) % width) * 2, prev = colWidths[widthIndex];\n if (prev == null || (prev != colW && colWidths[widthIndex + 1] == 1)) {\n colWidths[widthIndex] = colW;\n colWidths[widthIndex + 1] = 1;\n } else if (prev == colW) {\n colWidths[widthIndex + 1]++;\n }\n }\n }\n }\n mapPos += colspan;\n pos += cellNode.nodeSize;\n }\n var expectedPos = (row + 1) * width, missing = 0;\n while (mapPos < expectedPos) { if (map[mapPos++] == 0) { missing++; } }\n if (missing) { (problems || (problems = [])).push({type: \"missing\", row: row, n: missing}); }\n pos++;\n }\n\n var tableMap = new TableMap(width, height, map, problems), badWidths = false;\n\n // For columns that have defined widths, but whose widths disagree\n // between rows, fix up the cells whose width doesn't match the\n // computed one.\n for (var i$2 = 0; !badWidths && i$2 < colWidths.length; i$2 += 2)\n { if (colWidths[i$2] != null && colWidths[i$2 + 1] < height) { badWidths = true; } }\n if (badWidths) { findBadColWidths(tableMap, colWidths, table); }\n\n return tableMap\n}\n\nfunction findWidth(table) {\n var width = -1, hasRowSpan = false;\n for (var row = 0; row < table.childCount; row++) {\n var rowNode = table.child(row), rowWidth = 0;\n if (hasRowSpan) { for (var j = 0; j < row; j++) {\n var prevRow = table.child(j);\n for (var i = 0; i < prevRow.childCount; i++) {\n var cell = prevRow.child(i);\n if (j + cell.attrs.rowspan > row) { rowWidth += cell.attrs.colspan; }\n }\n } }\n for (var i$1 = 0; i$1 < rowNode.childCount; i$1++) {\n var cell$1 = rowNode.child(i$1);\n rowWidth += cell$1.attrs.colspan;\n if (cell$1.attrs.rowspan > 1) { hasRowSpan = true; }\n }\n if (width == -1)\n { width = rowWidth; }\n else if (width != rowWidth)\n { width = Math.max(width, rowWidth); }\n }\n return width\n}\n\nfunction findBadColWidths(map, colWidths, table) {\n if (!map.problems) { map.problems = []; }\n for (var i = 0, seen = {}; i < map.map.length; i++) {\n var pos = map.map[i];\n if (seen[pos]) { continue }\n seen[pos] = true;\n var node = table.nodeAt(pos), updated = null;\n for (var j = 0; j < node.attrs.colspan; j++) {\n var col = (i + j) % map.width, colWidth = colWidths[col * 2];\n if (colWidth != null && (!node.attrs.colwidth || node.attrs.colwidth[j] != colWidth))\n { (updated || (updated = freshColWidth(node.attrs)))[j] = colWidth; }\n }\n if (updated) { map.problems.unshift({type: \"colwidth mismatch\", pos: pos, colwidth: updated}); }\n }\n}\n\nfunction freshColWidth(attrs) {\n if (attrs.colwidth) { return attrs.colwidth.slice() }\n var result = [];\n for (var i = 0; i < attrs.colspan; i++) { result.push(0); }\n return result\n}\n\n// Various helper function for working with tables\n\nvar key = new PluginKey(\"selectingCells\");\n\nfunction cellAround($pos) {\n for (var d = $pos.depth - 1; d > 0; d--)\n { if ($pos.node(d).type.spec.tableRole == \"row\") { return $pos.node(0).resolve($pos.before(d + 1)) } }\n return null\n}\n\nfunction cellWrapping($pos) {\n for (var d = $pos.depth; d > 0; d--) { // Sometimes the cell can be in the same depth.\n var role = $pos.node(d).type.spec.tableRole;\n if (role === \"cell\" || role === 'header_cell') { return $pos.node(d) }\n }\n return null\n}\n\nfunction isInTable(state) {\n var $head = state.selection.$head;\n for (var d = $head.depth; d > 0; d--) { if ($head.node(d).type.spec.tableRole == \"row\") { return true } }\n return false\n}\n\nfunction selectionCell(state) {\n var sel = state.selection;\n if (sel.$anchorCell) {\n return sel.$anchorCell.pos > sel.$headCell.pos ? sel.$anchorCell : sel.$headCell;\n } else if (sel.node && sel.node.type.spec.tableRole == \"cell\") {\n return sel.$anchor\n }\n return cellAround(sel.$head) || cellNear(sel.$head)\n}\n\nfunction cellNear($pos) {\n for (var after = $pos.nodeAfter, pos = $pos.pos; after; after = after.firstChild, pos++) {\n var role = after.type.spec.tableRole;\n if (role == \"cell\" || role == \"header_cell\") { return $pos.doc.resolve(pos) }\n }\n for (var before = $pos.nodeBefore, pos$1 = $pos.pos; before; before = before.lastChild, pos$1--) {\n var role$1 = before.type.spec.tableRole;\n if (role$1 == \"cell\" || role$1 == \"header_cell\") { return $pos.doc.resolve(pos$1 - before.nodeSize) }\n }\n}\n\nfunction pointsAtCell($pos) {\n return $pos.parent.type.spec.tableRole == \"row\" && $pos.nodeAfter\n}\n\nfunction moveCellForward($pos) {\n return $pos.node(0).resolve($pos.pos + $pos.nodeAfter.nodeSize)\n}\n\nfunction inSameTable($a, $b) {\n return $a.depth == $b.depth && $a.pos >= $b.start(-1) && $a.pos <= $b.end(-1)\n}\n\nfunction findCell($pos) {\n return TableMap.get($pos.node(-1)).findCell($pos.pos - $pos.start(-1))\n}\n\nfunction colCount($pos) {\n return TableMap.get($pos.node(-1)).colCount($pos.pos - $pos.start(-1))\n}\n\nfunction nextCell($pos, axis, dir) {\n var start = $pos.start(-1), map = TableMap.get($pos.node(-1));\n var moved = map.nextCell($pos.pos - start, axis, dir);\n return moved == null ? null : $pos.node(0).resolve(start + moved)\n}\n\nfunction setAttr(attrs, name, value) {\n var result = {};\n for (var prop in attrs) { result[prop] = attrs[prop]; }\n result[name] = value;\n return result\n}\n\nfunction rmColSpan(attrs, pos, n) {\n if ( n === void 0 ) n=1;\n\n var result = setAttr(attrs, \"colspan\", attrs.colspan - n);\n if (result.colwidth) {\n result.colwidth = result.colwidth.slice();\n result.colwidth.splice(pos, n);\n if (!result.colwidth.some(function (w) { return w > 0; })) { result.colwidth = null; }\n }\n return result\n}\n\nfunction addColSpan(attrs, pos, n) {\n if ( n === void 0 ) n=1;\n\n var result = setAttr(attrs, \"colspan\", attrs.colspan + n);\n if (result.colwidth) {\n result.colwidth = result.colwidth.slice();\n for (var i = 0; i < n; i++) { result.colwidth.splice(pos, 0, 0); }\n }\n return result\n}\n\n// This file defines a ProseMirror selection subclass that models\n\n// ::- A [`Selection`](http://prosemirror.net/docs/ref/#state.Selection)\n// subclass that represents a cell selection spanning part of a table.\n// With the plugin enabled, these will be created when the user\n// selects across cells, and will be drawn by giving selected cells a\n// `selectedCell` CSS class.\nvar CellSelection = /*@__PURE__*/(function (Selection) {\n function CellSelection($anchorCell, $headCell) {\n if ( $headCell === void 0 ) $headCell = $anchorCell;\n\n var table = $anchorCell.node(-1), map = TableMap.get(table), start = $anchorCell.start(-1);\n var rect = map.rectBetween($anchorCell.pos - start, $headCell.pos - start);\n var doc = $anchorCell.node(0);\n var cells = map.cellsInRect(rect).filter(function (p) { return p != $headCell.pos - start; });\n // Make the head cell the first range, so that it counts as the\n // primary part of the selection\n cells.unshift($headCell.pos - start);\n var ranges = cells.map(function (pos) {\n var cell = table.nodeAt(pos), from = pos + start + 1;\n return new SelectionRange(doc.resolve(from), doc.resolve(from + cell.content.size))\n });\n Selection.call(this, ranges[0].$from, ranges[0].$to, ranges);\n // :: ResolvedPos\n // A resolved position pointing _in front of_ the anchor cell (the one\n // that doesn't move when extending the selection).\n this.$anchorCell = $anchorCell;\n // :: ResolvedPos\n // A resolved position pointing in front of the head cell (the one\n // moves when extending the selection).\n this.$headCell = $headCell;\n }\n\n if ( Selection ) CellSelection.__proto__ = Selection;\n CellSelection.prototype = Object.create( Selection && Selection.prototype );\n CellSelection.prototype.constructor = CellSelection;\n\n CellSelection.prototype.map = function map (doc, mapping) {\n var $anchorCell = doc.resolve(mapping.map(this.$anchorCell.pos));\n var $headCell = doc.resolve(mapping.map(this.$headCell.pos));\n if (pointsAtCell($anchorCell) && pointsAtCell($headCell) && inSameTable($anchorCell, $headCell)) {\n var tableChanged = this.$anchorCell.node(-1) != $anchorCell.node(-1);\n if (tableChanged && this.isRowSelection())\n { return CellSelection.rowSelection($anchorCell, $headCell) }\n else if (tableChanged && this.isColSelection())\n { return CellSelection.colSelection($anchorCell, $headCell) }\n else\n { return new CellSelection($anchorCell, $headCell) }\n }\n return TextSelection.between($anchorCell, $headCell)\n };\n\n // :: () → Slice\n // Returns a rectangular slice of table rows containing the selected\n // cells.\n CellSelection.prototype.content = function content () {\n var table = this.$anchorCell.node(-1), map = TableMap.get(table), start = this.$anchorCell.start(-1);\n var rect = map.rectBetween(this.$anchorCell.pos - start, this.$headCell.pos - start);\n var seen = {}, rows = [];\n for (var row = rect.top; row < rect.bottom; row++) {\n var rowContent = [];\n for (var index = row * map.width + rect.left, col = rect.left; col < rect.right; col++, index++) {\n var pos = map.map[index];\n if (!seen[pos]) {\n seen[pos] = true;\n var cellRect = map.findCell(pos), cell = table.nodeAt(pos);\n var extraLeft = rect.left - cellRect.left, extraRight = cellRect.right - rect.right;\n if (extraLeft > 0 || extraRight > 0) {\n var attrs = cell.attrs;\n if (extraLeft > 0) { attrs = rmColSpan(attrs, 0, extraLeft); }\n if (extraRight > 0) { attrs = rmColSpan(attrs, attrs.colspan - extraRight, extraRight); }\n if (cellRect.left < rect.left) { cell = cell.type.createAndFill(attrs); }\n else { cell = cell.type.create(attrs, cell.content); }\n }\n if (cellRect.top < rect.top || cellRect.bottom > rect.bottom) {\n var attrs$1 = setAttr(cell.attrs, \"rowspan\", Math.min(cellRect.bottom, rect.bottom) - Math.max(cellRect.top, rect.top));\n if (cellRect.top < rect.top) { cell = cell.type.createAndFill(attrs$1); }\n else { cell = cell.type.create(attrs$1, cell.content); }\n }\n rowContent.push(cell);\n }\n }\n rows.push(table.child(row).copy(Fragment.from(rowContent)));\n }\n\n var fragment = this.isColSelection() && this.isRowSelection() ? table : rows;\n return new Slice(Fragment.from(fragment), 1, 1)\n };\n\n CellSelection.prototype.replace = function replace (tr, content) {\n if ( content === void 0 ) content = Slice.empty;\n\n var mapFrom = tr.steps.length, ranges = this.ranges;\n for (var i = 0; i < ranges.length; i++) {\n var ref = ranges[i];\n var $from = ref.$from;\n var $to = ref.$to;\n var mapping = tr.mapping.slice(mapFrom);\n tr.replace(mapping.map($from.pos), mapping.map($to.pos), i ? Slice.empty : content);\n }\n var sel = Selection.findFrom(tr.doc.resolve(tr.mapping.slice(mapFrom).map(this.to)), -1);\n if (sel) { tr.setSelection(sel); }\n };\n\n CellSelection.prototype.replaceWith = function replaceWith (tr, node) {\n this.replace(tr, new Slice(Fragment.from(node), 0, 0));\n };\n\n CellSelection.prototype.forEachCell = function forEachCell (f) {\n var table = this.$anchorCell.node(-1), map = TableMap.get(table), start = this.$anchorCell.start(-1);\n var cells = map.cellsInRect(map.rectBetween(this.$anchorCell.pos - start, this.$headCell.pos - start));\n for (var i = 0; i < cells.length; i++)\n { f(table.nodeAt(cells[i]), start + cells[i]); }\n };\n\n // :: () → bool\n // True if this selection goes all the way from the top to the\n // bottom of the table.\n CellSelection.prototype.isColSelection = function isColSelection () {\n var anchorTop = this.$anchorCell.index(-1), headTop = this.$headCell.index(-1);\n if (Math.min(anchorTop, headTop) > 0) { return false }\n var anchorBot = anchorTop + this.$anchorCell.nodeAfter.attrs.rowspan,\n headBot = headTop + this.$headCell.nodeAfter.attrs.rowspan;\n return Math.max(anchorBot, headBot) == this.$headCell.node(-1).childCount\n };\n\n // :: (ResolvedPos, ?ResolvedPos) → CellSelection\n // Returns the smallest column selection that covers the given anchor\n // and head cell.\n CellSelection.colSelection = function colSelection ($anchorCell, $headCell) {\n if ( $headCell === void 0 ) $headCell = $anchorCell;\n\n var map = TableMap.get($anchorCell.node(-1)), start = $anchorCell.start(-1);\n var anchorRect = map.findCell($anchorCell.pos - start), headRect = map.findCell($headCell.pos - start);\n var doc = $anchorCell.node(0);\n if (anchorRect.top <= headRect.top) {\n if (anchorRect.top > 0)\n { $anchorCell = doc.resolve(start + map.map[anchorRect.left]); }\n if (headRect.bottom < map.height)\n { $headCell = doc.resolve(start + map.map[map.width * (map.height - 1) + headRect.right - 1]); }\n } else {\n if (headRect.top > 0)\n { $headCell = doc.resolve(start + map.map[headRect.left]); }\n if (anchorRect.bottom < map.height)\n { $anchorCell = doc.resolve(start + map.map[map.width * (map.height - 1) + anchorRect.right - 1]); }\n }\n return new CellSelection($anchorCell, $headCell)\n };\n\n // :: () → bool\n // True if this selection goes all the way from the left to the\n // right of the table.\n CellSelection.prototype.isRowSelection = function isRowSelection () {\n var map = TableMap.get(this.$anchorCell.node(-1)), start = this.$anchorCell.start(-1);\n var anchorLeft = map.colCount(this.$anchorCell.pos - start),\n headLeft = map.colCount(this.$headCell.pos - start);\n if (Math.min(anchorLeft, headLeft) > 0) { return false }\n var anchorRight = anchorLeft + this.$anchorCell.nodeAfter.attrs.colspan,\n headRight = headLeft + this.$headCell.nodeAfter.attrs.colspan;\n return Math.max(anchorRight, headRight) == map.width\n };\n\n CellSelection.prototype.eq = function eq (other) {\n return other instanceof CellSelection && other.$anchorCell.pos == this.$anchorCell.pos &&\n other.$headCell.pos == this.$headCell.pos\n };\n\n // :: (ResolvedPos, ?ResolvedPos) → CellSelection\n // Returns the smallest row selection that covers the given anchor\n // and head cell.\n CellSelection.rowSelection = function rowSelection ($anchorCell, $headCell) {\n if ( $headCell === void 0 ) $headCell = $anchorCell;\n\n var map = TableMap.get($anchorCell.node(-1)), start = $anchorCell.start(-1);\n var anchorRect = map.findCell($anchorCell.pos - start), headRect = map.findCell($headCell.pos - start);\n var doc = $anchorCell.node(0);\n if (anchorRect.left <= headRect.left) {\n if (anchorRect.left > 0)\n { $anchorCell = doc.resolve(start + map.map[anchorRect.top * map.width]); }\n if (headRect.right < map.width)\n { $headCell = doc.resolve(start + map.map[map.width * (headRect.top + 1) - 1]); }\n } else {\n if (headRect.left > 0)\n { $headCell = doc.resolve(start + map.map[headRect.top * map.width]); }\n if (anchorRect.right < map.width)\n { $anchorCell = doc.resolve(start + map.map[map.width * (anchorRect.top + 1) - 1]); }\n }\n return new CellSelection($anchorCell, $headCell)\n };\n\n CellSelection.prototype.toJSON = function toJSON () {\n return {type: \"cell\", anchor: this.$anchorCell.pos, head: this.$headCell.pos}\n };\n\n CellSelection.fromJSON = function fromJSON (doc, json) {\n return new CellSelection(doc.resolve(json.anchor), doc.resolve(json.head))\n };\n\n // :: (Node, number, ?number) → CellSelection\n CellSelection.create = function create (doc, anchorCell, headCell) {\n if ( headCell === void 0 ) headCell = anchorCell;\n\n return new CellSelection(doc.resolve(anchorCell), doc.resolve(headCell))\n };\n\n CellSelection.prototype.getBookmark = function getBookmark () { return new CellBookmark(this.$anchorCell.pos, this.$headCell.pos) };\n\n return CellSelection;\n}(Selection));\n\nCellSelection.prototype.visible = false;\n\nSelection.jsonID(\"cell\", CellSelection);\n\nvar CellBookmark = function CellBookmark(anchor, head) {\n this.anchor = anchor;\n this.head = head;\n};\nCellBookmark.prototype.map = function map (mapping) {\n return new CellBookmark(mapping.map(this.anchor), mapping.map(this.head))\n};\nCellBookmark.prototype.resolve = function resolve (doc) {\n var $anchorCell = doc.resolve(this.anchor), $headCell = doc.resolve(this.head);\n if ($anchorCell.parent.type.spec.tableRole == \"row\" &&\n $headCell.parent.type.spec.tableRole == \"row\" &&\n $anchorCell.index() < $anchorCell.parent.childCount &&\n $headCell.index() < $headCell.parent.childCount &&\n inSameTable($anchorCell, $headCell))\n { return new CellSelection($anchorCell, $headCell) }\n else\n { return Selection.near($headCell, 1) }\n};\n\nfunction drawCellSelection(state) {\n if (!(state.selection instanceof CellSelection)) { return null }\n var cells = [];\n state.selection.forEachCell(function (node, pos) {\n cells.push(Decoration.node(pos, pos + node.nodeSize, {class: \"selectedCell\"}));\n });\n return DecorationSet.create(state.doc, cells)\n}\n\nfunction isCellBoundarySelection(ref) {\n var $from = ref.$from;\n var $to = ref.$to;\n\n if ($from.pos == $to.pos || $from.pos < $from.pos - 6) { return false } // Cheap elimination\n var afterFrom = $from.pos, beforeTo = $to.pos, depth = $from.depth;\n for (; depth >= 0; depth--, afterFrom++)\n { if ($from.after(depth + 1) < $from.end(depth)) { break } }\n for (var d = $to.depth; d >= 0; d--, beforeTo--)\n { if ($to.before(d + 1) > $to.start(d)) { break } }\n return afterFrom == beforeTo && /row|table/.test($from.node(depth).type.spec.tableRole)\n}\n\nfunction isTextSelectionAcrossCells(ref) {\n var $from = ref.$from;\n var $to = ref.$to;\n\n var fromCellBoundaryNode;\n var toCellBoundaryNode;\n\n for (var i = $from.depth; i > 0; i--) {\n var node = $from.node(i);\n if (node.type.spec.tableRole === 'cell' || node.type.spec.tableRole === 'header_cell') {\n fromCellBoundaryNode = node;\n break;\n }\n }\n\n for (var i$1 = $to.depth; i$1 > 0; i$1--) {\n var node$1 = $to.node(i$1);\n if (node$1.type.spec.tableRole === 'cell' || node$1.type.spec.tableRole === 'header_cell') {\n toCellBoundaryNode = node$1;\n break;\n }\n }\n\n return fromCellBoundaryNode !== toCellBoundaryNode && $to.parentOffset === 0\n}\n\nfunction normalizeSelection(state, tr, allowTableNodeSelection) {\n var sel = (tr || state).selection, doc = (tr || state).doc, normalize, role;\n if (sel instanceof NodeSelection && (role = sel.node.type.spec.tableRole)) {\n if (role == \"cell\" || role == \"header_cell\") {\n normalize = CellSelection.create(doc, sel.from);\n } else if (role == \"row\") {\n var $cell = doc.resolve(sel.from + 1);\n normalize = CellSelection.rowSelection($cell, $cell);\n } else if (!allowTableNodeSelection) {\n var map = TableMap.get(sel.node), start = sel.from + 1;\n var lastCell = start + map.map[map.width * map.height - 1];\n normalize = CellSelection.create(doc, start + 1, lastCell);\n }\n } else if (sel instanceof TextSelection && isCellBoundarySelection(sel)) {\n normalize = TextSelection.create(doc, sel.from);\n } else if (sel instanceof TextSelection && isTextSelectionAcrossCells(sel)) {\n normalize = TextSelection.create(doc, sel.$from.start(), sel.$from.end());\n }\n if (normalize)\n { (tr || (tr = state.tr)).setSelection(normalize); }\n return tr\n}\n\n// Helper for creating a schema that supports tables.\n\nfunction getCellAttrs(dom, extraAttrs) {\n var widthAttr = dom.getAttribute(\"data-colwidth\");\n var widths = widthAttr && /^\\d+(,\\d+)*$/.test(widthAttr) ? widthAttr.split(\",\").map(function (s) { return Number(s); }) : null;\n var colspan = Number(dom.getAttribute(\"colspan\") || 1);\n var result = {\n colspan: colspan,\n rowspan: Number(dom.getAttribute(\"rowspan\") || 1),\n colwidth: widths && widths.length == colspan ? widths : null\n };\n for (var prop in extraAttrs) {\n var getter = extraAttrs[prop].getFromDOM;\n var value = getter && getter(dom);\n if (value != null) { result[prop] = value; }\n }\n return result\n}\n\nfunction setCellAttrs(node, extraAttrs) {\n var attrs = {};\n if (node.attrs.colspan != 1) { attrs.colspan = node.attrs.colspan; }\n if (node.attrs.rowspan != 1) { attrs.rowspan = node.attrs.rowspan; }\n if (node.attrs.colwidth)\n { attrs[\"data-colwidth\"] = node.attrs.colwidth.join(\",\"); }\n for (var prop in extraAttrs) {\n var setter = extraAttrs[prop].setDOMAttr;\n if (setter) { setter(node.attrs[prop], attrs); }\n }\n return attrs\n}\n\n// :: (Object) → Object\n//\n// This function creates a set of [node\n// specs](http://prosemirror.net/docs/ref/#model.SchemaSpec.nodes) for\n// `table`, `table_row`, and `table_cell` nodes types as used by this\n// module. The result can then be added to the set of nodes when\n// creating a a schema.\n//\n// options::- The following options are understood:\n//\n// tableGroup:: ?string\n// A group name (something like `\"block\"`) to add to the table\n// node type.\n//\n// cellContent:: string\n// The content expression for table cells.\n//\n// cellAttributes:: ?Object\n// Additional attributes to add to cells. Maps attribute names to\n// objects with the following properties:\n//\n// default:: any\n// The attribute's default value.\n//\n// getFromDOM:: ?(dom.Node) → any\n// A function to read the attribute's value from a DOM node.\n//\n// setDOMAttr:: ?(value: any, attrs: Object)\n// A function to add the attribute's value to an attribute\n// object that's used to render the cell's DOM.\nfunction tableNodes(options) {\n var extraAttrs = options.cellAttributes || {};\n var cellAttrs = {\n colspan: {default: 1},\n rowspan: {default: 1},\n colwidth: {default: null}\n };\n for (var prop in extraAttrs)\n { cellAttrs[prop] = {default: extraAttrs[prop].default}; }\n\n return {\n table: {\n content: \"table_row+\",\n tableRole: \"table\",\n isolating: true,\n group: options.tableGroup,\n parseDOM: [{tag: \"table\"}],\n toDOM: function toDOM() { return [\"table\", [\"tbody\", 0]] }\n },\n table_row: {\n content: \"(table_cell | table_header)*\",\n tableRole: \"row\",\n parseDOM: [{tag: \"tr\"}],\n toDOM: function toDOM() { return [\"tr\", 0] }\n },\n table_cell: {\n content: options.cellContent,\n attrs: cellAttrs,\n tableRole: \"cell\",\n isolating: true,\n parseDOM: [{tag: \"td\", getAttrs: function (dom) { return getCellAttrs(dom, extraAttrs); }}],\n toDOM: function toDOM(node) { return [\"td\", setCellAttrs(node, extraAttrs), 0] }\n },\n table_header: {\n content: options.cellContent,\n attrs: cellAttrs,\n tableRole: \"header_cell\",\n isolating: true,\n parseDOM: [{tag: \"th\", getAttrs: function (dom) { return getCellAttrs(dom, extraAttrs); }}],\n toDOM: function toDOM(node) { return [\"th\", setCellAttrs(node, extraAttrs), 0] }\n }\n }\n}\n\nfunction tableNodeTypes(schema) {\n var result = schema.cached.tableNodeTypes;\n if (!result) {\n result = schema.cached.tableNodeTypes = {};\n for (var name in schema.nodes) {\n var type = schema.nodes[name], role = type.spec.tableRole;\n if (role) { result[role] = type; }\n }\n }\n return result\n}\n\n// Utilities used for copy/paste handling.\n\n// Utilities to help with copying and pasting table cells\n\n// : (Slice) → ?{width: number, height: number, rows: [Fragment]}\n// Get a rectangular area of cells from a slice, or null if the outer\n// nodes of the slice aren't table cells or rows.\nfunction pastedCells(slice) {\n if (!slice.size) { return null }\n var content = slice.content;\n var openStart = slice.openStart;\n var openEnd = slice.openEnd;\n while (content.childCount == 1 && (openStart > 0 && openEnd > 0 || content.firstChild.type.spec.tableRole == \"table\")) {\n openStart--;\n openEnd--;\n content = content.firstChild.content;\n }\n var first = content.firstChild, role = first.type.spec.tableRole;\n var schema = first.type.schema, rows = [];\n if (role == \"row\") {\n for (var i = 0; i < content.childCount; i++) {\n var cells = content.child(i).content;\n var left = i ? 0 : Math.max(0, openStart - 1);\n var right = i < content.childCount - 1 ? 0 : Math.max(0, openEnd - 1);\n if (left || right) { cells = fitSlice(tableNodeTypes(schema).row, new Slice(cells, left, right)).content; }\n rows.push(cells);\n }\n } else if (role == \"cell\" || role == \"header_cell\") {\n rows.push(openStart || openEnd ? fitSlice(tableNodeTypes(schema).row, new Slice(content, openStart, openEnd)).content : content);\n } else {\n return null\n }\n return ensureRectangular(schema, rows)\n}\n\n// : (Schema, [Fragment]) → {width: number, height: number, rows: [Fragment]}\n// Compute the width and height of a set of cells, and make sure each\n// row has the same number of cells.\nfunction ensureRectangular(schema, rows) {\n var widths = [];\n for (var i = 0; i < rows.length; i++) {\n var row = rows[i];\n for (var j = row.childCount - 1; j >= 0; j--) {\n var ref = row.child(j).attrs;\n var rowspan = ref.rowspan;\n var colspan = ref.colspan;\n for (var r = i; r < i + rowspan; r++)\n { widths[r] = (widths[r] || 0) + colspan; }\n }\n }\n var width = 0;\n for (var r$1 = 0; r$1 < widths.length; r$1++) { width = Math.max(width, widths[r$1]); }\n for (var r$2 = 0; r$2 < widths.length; r$2++) {\n if (r$2 >= rows.length) { rows.push(Fragment.empty); }\n if (widths[r$2] < width) {\n var empty = tableNodeTypes(schema).cell.createAndFill(), cells = [];\n for (var i$1 = widths[r$2]; i$1 < width; i$1++) { cells.push(empty); }\n rows[r$2] = rows[r$2].append(Fragment.from(cells));\n }\n }\n return {height: rows.length, width: width, rows: rows}\n}\n\nfunction fitSlice(nodeType, slice) {\n var node = nodeType.createAndFill();\n var tr = new Transform(node).replace(0, node.content.size, slice);\n return tr.doc\n}\n\n// : ({width: number, height: number, rows: [Fragment]}, number, number) → {width: number, height: number, rows: [Fragment]}\n// Clip or extend (repeat) the given set of cells to cover the given\n// width and height. Will clip rowspan/colspan cells at the edges when\n// they stick out.\nfunction clipCells(ref, newWidth, newHeight) {\n var width = ref.width;\n var height = ref.height;\n var rows = ref.rows;\n\n if (width != newWidth) {\n var added = [], newRows = [];\n for (var row = 0; row < rows.length; row++) {\n var frag = rows[row], cells = [];\n for (var col = added[row] || 0, i = 0; col < newWidth; i++) {\n var cell = frag.child(i % frag.childCount);\n if (col + cell.attrs.colspan > newWidth)\n { cell = cell.type.create(rmColSpan(cell.attrs, cell.attrs.colspan, col + cell.attrs.colspan - newWidth), cell.content); }\n cells.push(cell);\n col += cell.attrs.colspan;\n for (var j = 1; j < cell.attrs.rowspan; j++)\n { added[row + j] = (added[row + j] || 0) + cell.attrs.colspan; }\n }\n newRows.push(Fragment.from(cells));\n }\n rows = newRows;\n width = newWidth;\n }\n\n if (height != newHeight) {\n var newRows$1 = [];\n for (var row$1 = 0, i$1 = 0; row$1 < newHeight; row$1++, i$1++) {\n var cells$1 = [], source = rows[i$1 % height];\n for (var j$1 = 0; j$1 < source.childCount; j$1++) {\n var cell$1 = source.child(j$1);\n if (row$1 + cell$1.attrs.rowspan > newHeight)\n { cell$1 = cell$1.type.create(setAttr(cell$1.attrs, \"rowspan\", newHeight - cell$1.attrs.rowspan), cell$1.content); }\n cells$1.push(cell$1);\n }\n newRows$1.push(Fragment.from(cells$1));\n }\n rows = newRows$1;\n height = newHeight;\n }\n\n return {width: width, height: height, rows: rows}\n}\n\n// Make sure a table has at least the given width and height. Return\n// true if something was changed.\nfunction growTable(tr, map, table, start, width, height, mapFrom) {\n var schema = tr.doc.type.schema, types = tableNodeTypes(schema), empty, emptyHead;\n if (width > map.width) {\n for (var row = 0, rowEnd = 0; row < map.height; row++) {\n var rowNode = table.child(row);\n rowEnd += rowNode.nodeSize;\n var cells = [], add = (void 0);\n if (rowNode.lastChild == null || rowNode.lastChild.type == types.cell)\n { add = empty || (empty = types.cell.createAndFill()); }\n else\n { add = emptyHead || (emptyHead = types.header_cell.createAndFill()); }\n for (var i = map.width; i < width; i++) { cells.push(add); }\n tr.insert(tr.mapping.slice(mapFrom).map(rowEnd - 1 + start), cells);\n }\n }\n if (height > map.height) {\n var cells$1 = [];\n for (var i$1 = 0, start$1 = (map.height - 1) * map.width; i$1 < Math.max(map.width, width); i$1++) {\n var header = i$1 >= map.width ? false :\n table.nodeAt(map.map[start$1 + i$1]).type == types.header_cell;\n cells$1.push(header\n ? (emptyHead || (emptyHead = types.header_cell.createAndFill()))\n : (empty || (empty = types.cell.createAndFill())));\n }\n\n var emptyRow = types.row.create(null, Fragment.from(cells$1)), rows = [];\n for (var i$2 = map.height; i$2 < height; i$2++) { rows.push(emptyRow); }\n tr.insert(tr.mapping.slice(mapFrom).map(start + table.nodeSize - 2), rows);\n }\n return !!(empty || emptyHead)\n}\n\n// Make sure the given line (left, top) to (right, top) doesn't cross\n// any rowspan cells by splitting cells that cross it. Return true if\n// something changed.\nfunction isolateHorizontal(tr, map, table, start, left, right, top, mapFrom) {\n if (top == 0 || top == map.height) { return false }\n var found = false;\n for (var col = left; col < right; col++) {\n var index = top * map.width + col, pos = map.map[index];\n if (map.map[index - map.width] == pos) {\n found = true;\n var cell = table.nodeAt(pos);\n var ref = map.findCell(pos);\n var cellTop = ref.top;\n var cellLeft = ref.left;\n tr.setNodeMarkup(tr.mapping.slice(mapFrom).map(pos + start), null, setAttr(cell.attrs, \"rowspan\", top - cellTop));\n tr.insert(tr.mapping.slice(mapFrom).map(map.positionAt(top, cellLeft, table)),\n cell.type.createAndFill(setAttr(cell.attrs, \"rowspan\", (cellTop + cell.attrs.rowspan) - top)));\n col += cell.attrs.colspan - 1;\n }\n }\n return found\n}\n\n// Make sure the given line (left, top) to (left, bottom) doesn't\n// cross any colspan cells by splitting cells that cross it. Return\n// true if something changed.\nfunction isolateVertical(tr, map, table, start, top, bottom, left, mapFrom) {\n if (left == 0 || left == map.width) { return false }\n var found = false;\n for (var row = top; row < bottom; row++) {\n var index = row * map.width + left, pos = map.map[index];\n if (map.map[index - 1] == pos) {\n found = true;\n var cell = table.nodeAt(pos), cellLeft = map.colCount(pos);\n var updatePos = tr.mapping.slice(mapFrom).map(pos + start);\n tr.setNodeMarkup(updatePos, null, rmColSpan(cell.attrs, left - cellLeft, cell.attrs.colspan - (left - cellLeft)));\n tr.insert(updatePos + cell.nodeSize, cell.type.createAndFill(rmColSpan(cell.attrs, 0, left - cellLeft)));\n row += cell.attrs.rowspan - 1;\n }\n }\n return found\n}\n\n// Insert the given set of cells (as returned by `pastedCells`) into a\n// table, at the position pointed at by rect.\nfunction insertCells(state, dispatch, tableStart, rect, cells) {\n var table = tableStart ? state.doc.nodeAt(tableStart - 1) : state.doc, map = TableMap.get(table);\n var top = rect.top;\n var left = rect.left;\n var right = left + cells.width, bottom = top + cells.height;\n var tr = state.tr, mapFrom = 0;\n function recomp() {\n table = tableStart ? tr.doc.nodeAt(tableStart - 1) : tr.doc;\n map = TableMap.get(table);\n mapFrom = tr.mapping.maps.length;\n }\n // Prepare the table to be large enough and not have any cells\n // crossing the boundaries of the rectangle that we want to\n // insert into. If anything about it changes, recompute the table\n // map so that subsequent operations can see the current shape.\n if (growTable(tr, map, table, tableStart, right, bottom, mapFrom)) { recomp(); }\n if (isolateHorizontal(tr, map, table, tableStart, left, right, top, mapFrom)) { recomp(); }\n if (isolateHorizontal(tr, map, table, tableStart, left, right, bottom, mapFrom)) { recomp(); }\n if (isolateVertical(tr, map, table, tableStart, top, bottom, left, mapFrom)) { recomp(); }\n if (isolateVertical(tr, map, table, tableStart, top, bottom, right, mapFrom)) { recomp(); }\n\n for (var row = top; row < bottom; row++) {\n var from = map.positionAt(row, left, table), to = map.positionAt(row, right, table);\n tr.replace(tr.mapping.slice(mapFrom).map(from + tableStart), tr.mapping.slice(mapFrom).map(to + tableStart),\n new Slice(cells.rows[row - top], 0, 0));\n }\n recomp();\n tr.setSelection(new CellSelection(tr.doc.resolve(tableStart + map.positionAt(top, left, table)),\n tr.doc.resolve(tableStart + map.positionAt(bottom - 1, right - 1, table))));\n dispatch(tr);\n}\n\n// This file defines a number of helpers for wiring up user input to\n\nvar handleKeyDown = keydownHandler({\n \"ArrowLeft\": arrow(\"horiz\", -1),\n \"ArrowRight\": arrow(\"horiz\", 1),\n \"ArrowUp\": arrow(\"vert\", -1),\n \"ArrowDown\": arrow(\"vert\", 1),\n\n \"Shift-ArrowLeft\": shiftArrow(\"horiz\", -1),\n \"Shift-ArrowRight\": shiftArrow(\"horiz\", 1),\n \"Shift-ArrowUp\": shiftArrow(\"vert\", -1),\n \"Shift-ArrowDown\": shiftArrow(\"vert\", 1),\n\n \"Backspace\": deleteCellSelection,\n \"Mod-Backspace\": deleteCellSelection,\n \"Delete\": deleteCellSelection,\n \"Mod-Delete\": deleteCellSelection\n});\n\nfunction maybeSetSelection(state, dispatch, selection) {\n if (selection.eq(state.selection)) { return false }\n if (dispatch) { dispatch(state.tr.setSelection(selection).scrollIntoView()); }\n return true\n}\n\nfunction arrow(axis, dir) {\n return function (state, dispatch, view) {\n var sel = state.selection;\n if (sel instanceof CellSelection) {\n return maybeSetSelection(state, dispatch, Selection.near(sel.$headCell, dir))\n }\n if (axis != \"horiz\" && !sel.empty) { return false }\n var end = atEndOfCell(view, axis, dir);\n if (end == null) { return false }\n if (axis == \"horiz\") {\n return maybeSetSelection(state, dispatch, Selection.near(state.doc.resolve(sel.head + dir), dir))\n } else {\n var $cell = state.doc.resolve(end), $next = nextCell($cell, axis, dir), newSel;\n if ($next) { newSel = Selection.near($next, 1); }\n else if (dir < 0) { newSel = Selection.near(state.doc.resolve($cell.before(-1)), -1); }\n else { newSel = Selection.near(state.doc.resolve($cell.after(-1)), 1); }\n return maybeSetSelection(state, dispatch, newSel)\n }\n }\n}\n\nfunction shiftArrow(axis, dir) {\n return function (state, dispatch, view) {\n var sel = state.selection;\n if (!(sel instanceof CellSelection)) {\n var end = atEndOfCell(view, axis, dir);\n if (end == null) { return false }\n sel = new CellSelection(state.doc.resolve(end));\n }\n var $head = nextCell(sel.$headCell, axis, dir);\n if (!$head) { return false }\n return maybeSetSelection(state, dispatch, new CellSelection(sel.$anchorCell, $head))\n }\n}\n\nfunction deleteCellSelection(state, dispatch) {\n var sel = state.selection;\n if (!(sel instanceof CellSelection)) { return false }\n if (dispatch) {\n var tr = state.tr, baseContent = tableNodeTypes(state.schema).cell.createAndFill().content;\n sel.forEachCell(function (cell, pos) {\n if (!cell.content.eq(baseContent))\n { tr.replace(tr.mapping.map(pos + 1), tr.mapping.map(pos + cell.nodeSize - 1),\n new Slice(baseContent, 0, 0)); }\n });\n if (tr.docChanged) { dispatch(tr); }\n }\n return true\n}\n\nfunction handleTripleClick(view, pos) {\n var doc = view.state.doc, $cell = cellAround(doc.resolve(pos));\n if (!$cell) { return false }\n view.dispatch(view.state.tr.setSelection(new CellSelection($cell)));\n return true\n}\n\nfunction handlePaste(view, _, slice) {\n if (!isInTable(view.state)) { return false }\n var cells = pastedCells(slice), sel = view.state.selection;\n if (sel instanceof CellSelection) {\n if (!cells) { cells = {width: 1, height: 1, rows: [Fragment.from(fitSlice(tableNodeTypes(view.state.schema).cell, slice))]}; }\n var table = sel.$anchorCell.node(-1), start = sel.$anchorCell.start(-1);\n var rect = TableMap.get(table).rectBetween(sel.$anchorCell.pos - start, sel.$headCell.pos - start);\n cells = clipCells(cells, rect.right - rect.left, rect.bottom - rect.top);\n insertCells(view.state, view.dispatch, start, rect, cells);\n return true\n } else if (cells) {\n var $cell = selectionCell(view.state), start$1 = $cell.start(-1);\n insertCells(view.state, view.dispatch, start$1, TableMap.get($cell.node(-1)).findCell($cell.pos - start$1), cells);\n return true\n } else {\n return false\n }\n}\n\nfunction handleMouseDown(view, startEvent) {\n if (startEvent.ctrlKey || startEvent.metaKey) { return }\n\n var startDOMCell = domInCell(view, startEvent.target), $anchor;\n if (startEvent.shiftKey && (view.state.selection instanceof CellSelection)) {\n // Adding to an existing cell selection\n setCellSelection(view.state.selection.$anchorCell, startEvent);\n startEvent.preventDefault();\n } else if (startEvent.shiftKey && startDOMCell &&\n ($anchor = cellAround(view.state.selection.$anchor)) != null &&\n cellUnderMouse(view, startEvent).pos != $anchor.pos) {\n // Adding to a selection that starts in another cell (causing a\n // cell selection to be created).\n setCellSelection($anchor, startEvent);\n startEvent.preventDefault();\n } else if (!startDOMCell) {\n // Not in a cell, let the default behavior happen.\n return\n }\n\n // Create and dispatch a cell selection between the given anchor and\n // the position under the mouse.\n function setCellSelection($anchor, event) {\n var $head = cellUnderMouse(view, event);\n var starting = key.getState(view.state) == null;\n if (!$head || !inSameTable($anchor, $head)) {\n if (starting) { $head = $anchor; }\n else { return }\n }\n var selection = new CellSelection($anchor, $head);\n if (starting || !view.state.selection.eq(selection)) {\n var tr = view.state.tr.setSelection(selection);\n if (starting) { tr.setMeta(key, $anchor.pos); }\n view.dispatch(tr);\n }\n }\n\n // Stop listening to mouse motion events.\n function stop() {\n view.root.removeEventListener(\"mouseup\", stop);\n view.root.removeEventListener(\"dragstart\", stop);\n view.root.removeEventListener(\"mousemove\", move);\n if (key.getState(view.state) != null) { view.dispatch(view.state.tr.setMeta(key, -1)); }\n }\n\n function move(event) {\n var anchor = key.getState(view.state), $anchor;\n if (anchor != null) {\n // Continuing an existing cross-cell selection\n $anchor = view.state.doc.resolve(anchor);\n } else if (domInCell(view, event.target) != startDOMCell) {\n // Moving out of the initial cell -- start a new cell selection\n $anchor = cellUnderMouse(view, startEvent);\n if (!$anchor) { return stop() }\n }\n if ($anchor) { setCellSelection($anchor, event); }\n }\n view.root.addEventListener(\"mouseup\", stop);\n view.root.addEventListener(\"dragstart\", stop);\n view.root.addEventListener(\"mousemove\", move);\n}\n\n// Check whether the cursor is at the end of a cell (so that further\n// motion would move out of the cell)\nfunction atEndOfCell(view, axis, dir) {\n if (!(view.state.selection instanceof TextSelection)) { return null }\n var ref = view.state.selection;\n var $head = ref.$head;\n for (var d = $head.depth - 1; d >= 0; d--) {\n var parent = $head.node(d), index = dir < 0 ? $head.index(d) : $head.indexAfter(d);\n if (index != (dir < 0 ? 0 : parent.childCount)) { return null }\n if (parent.type.spec.tableRole == \"cell\" || parent.type.spec.tableRole == \"header_cell\") {\n var cellPos = $head.before(d);\n var dirStr = axis == \"vert\" ? (dir > 0 ? \"down\" : \"up\") : (dir > 0 ? \"right\" : \"left\");\n return view.endOfTextblock(dirStr) ? cellPos : null\n }\n }\n return null\n}\n\nfunction domInCell(view, dom) {\n for (; dom && dom != view.dom; dom = dom.parentNode)\n { if (dom.nodeName == \"TD\" || dom.nodeName == \"TH\") { return dom } }\n}\n\nfunction cellUnderMouse(view, event) {\n var mousePos = view.posAtCoords({left: event.clientX, top: event.clientY});\n if (!mousePos) { return null }\n return mousePos ? cellAround(view.state.doc.resolve(mousePos.pos)) : null\n}\n\n// This file defines helpers for normalizing tables, making sure no\n\nvar fixTablesKey = new PluginKey(\"fix-tables\");\n\n// Helper for iterating through the nodes in a document that changed\n// compared to the given previous document. Useful for avoiding\n// duplicate work on each transaction.\nfunction changedDescendants(old, cur, offset, f) {\n var oldSize = old.childCount, curSize = cur.childCount;\n outer: for (var i = 0, j = 0; i < curSize; i++) {\n var child = cur.child(i);\n for (var scan = j, e = Math.min(oldSize, i + 3); scan < e; scan++) {\n if (old.child(scan) == child) {\n j = scan + 1;\n offset += child.nodeSize;\n continue outer\n }\n }\n f(child, offset);\n if (j < oldSize && old.child(j).sameMarkup(child))\n { changedDescendants(old.child(j), child, offset + 1, f); }\n else\n { child.nodesBetween(0, child.content.size, f, offset + 1); }\n offset += child.nodeSize;\n }\n}\n\n// :: (EditorState, ?EditorState) → ?Transaction\n// Inspect all tables in the given state's document and return a\n// transaction that fixes them, if necessary. If `oldState` was\n// provided, that is assumed to hold a previous, known-good state,\n// which will be used to avoid re-scanning unchanged parts of the\n// document.\nfunction fixTables(state, oldState) {\n var tr, check = function (node, pos) {\n if (node.type.spec.tableRole == \"table\") { tr = fixTable(state, node, pos, tr); }\n };\n if (!oldState) { state.doc.descendants(check); }\n else if (oldState.doc != state.doc) { changedDescendants(oldState.doc, state.doc, 0, check); }\n return tr\n}\n\n// : (EditorState, Node, number, ?Transaction) → ?Transaction\n// Fix the given table, if necessary. Will append to the transaction\n// it was given, if non-null, or create a new one if necessary.\nfunction fixTable(state, table, tablePos, tr) {\n var map = TableMap.get(table);\n if (!map.problems) { return tr }\n if (!tr) { tr = state.tr; }\n\n // Track which rows we must add cells to, so that we can adjust that\n // when fixing collisions.\n var mustAdd = [];\n for (var i = 0; i < map.height; i++) { mustAdd.push(0); }\n for (var i$1 = 0; i$1 < map.problems.length; i$1++) {\n var prob = map.problems[i$1];\n if (prob.type == \"collision\") {\n var cell = table.nodeAt(prob.pos);\n for (var j = 0; j < cell.attrs.rowspan; j++) { mustAdd[prob.row + j] += prob.n; }\n tr.setNodeMarkup(tr.mapping.map(tablePos + 1 + prob.pos), null, rmColSpan(cell.attrs, cell.attrs.colspan - prob.n, prob.n));\n } else if (prob.type == \"missing\") {\n mustAdd[prob.row] += prob.n;\n } else if (prob.type == \"overlong_rowspan\") {\n var cell$1 = table.nodeAt(prob.pos);\n tr.setNodeMarkup(tr.mapping.map(tablePos + 1 + prob.pos), null, setAttr(cell$1.attrs, \"rowspan\", cell$1.attrs.rowspan - prob.n));\n } else if (prob.type == \"colwidth mismatch\") {\n var cell$2 = table.nodeAt(prob.pos);\n tr.setNodeMarkup(tr.mapping.map(tablePos + 1 + prob.pos), null, setAttr(cell$2.attrs, \"colwidth\", prob.colwidth));\n }\n }\n var first, last;\n for (var i$2 = 0; i$2 < mustAdd.length; i$2++) { if (mustAdd[i$2]) {\n if (first == null) { first = i$2; }\n last = i$2;\n } }\n // Add the necessary cells, using a heuristic for whether to add the\n // cells at the start or end of the rows (if it looks like a 'bite'\n // was taken out of the table, add cells at the start of the row\n // after the bite. Otherwise add them at the end).\n for (var i$3 = 0, pos = tablePos + 1; i$3 < map.height; i$3++) {\n var row = table.child(i$3);\n var end = pos + row.nodeSize;\n var add = mustAdd[i$3];\n if (add > 0) {\n var tableNodeType = 'cell';\n if (row.firstChild) {\n tableNodeType = row.firstChild.type.spec.tableRole;\n }\n var nodes = [];\n for (var j$1 = 0; j$1 < add; j$1++)\n { nodes.push(tableNodeTypes(state.schema)[tableNodeType].createAndFill()); }\n var side = (i$3 == 0 || first == i$3 - 1) && last == i$3 ? pos + 1 : end - 1;\n tr.insert(tr.mapping.map(side), nodes);\n }\n pos = end;\n }\n return tr.setMeta(fixTablesKey, { fixTables: true })\n}\n\n// This file defines a number of table-related commands.\n\n// Helper to get the selected rectangle in a table, if any. Adds table\n// map, table node, and table start offset to the object for\n// convenience.\nfunction selectedRect(state) {\n var sel = state.selection, $pos = selectionCell(state);\n var table = $pos.node(-1), tableStart = $pos.start(-1), map = TableMap.get(table);\n var rect;\n if (sel instanceof CellSelection)\n { rect = map.rectBetween(sel.$anchorCell.pos - tableStart, sel.$headCell.pos - tableStart); }\n else\n { rect = map.findCell($pos.pos - tableStart); }\n rect.tableStart = tableStart;\n rect.map = map;\n rect.table = table;\n return rect\n}\n\nfunction columnIsHeader(map, table, col) {\n var headerCell = tableNodeTypes(table.type.schema).header_cell;\n for (var row = 0; row < map.height; row++)\n { if (table.nodeAt(map.map[col + row * map.width]).type != headerCell)\n { return false } }\n return true\n}\n\n// Add a column at the given position in a table.\nfunction addColumn(tr, ref, col) {\n var map = ref.map;\n var tableStart = ref.tableStart;\n var table = ref.table;\n\n var refColumn = col > 0 ? -1 : 0;\n if (columnIsHeader(map, table, col + refColumn))\n { refColumn = col == 0 || col == map.width ? null : 0; }\n\n for (var row = 0; row < map.height; row++) {\n var index = row * map.width + col;\n // If this position falls inside a col-spanning cell\n if (col > 0 && col < map.width && map.map[index - 1] == map.map[index]) {\n var pos = map.map[index], cell = table.nodeAt(pos);\n tr.setNodeMarkup(tr.mapping.map(tableStart + pos), null,\n addColSpan(cell.attrs, col - map.colCount(pos)));\n // Skip ahead if rowspan > 1\n row += cell.attrs.rowspan - 1;\n } else {\n var type = refColumn == null ? tableNodeTypes(table.type.schema).cell\n : table.nodeAt(map.map[index + refColumn]).type;\n var pos$1 = map.positionAt(row, col, table);\n tr.insert(tr.mapping.map(tableStart + pos$1), type.createAndFill());\n }\n }\n return tr\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Command to add a column before the column with the selection.\nfunction addColumnBefore(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state);\n dispatch(addColumn(state.tr, rect, rect.left));\n }\n return true\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Command to add a column after the column with the selection.\nfunction addColumnAfter(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state);\n dispatch(addColumn(state.tr, rect, rect.right));\n }\n return true\n}\n\nfunction removeColumn(tr, ref, col) {\n var map = ref.map;\n var table = ref.table;\n var tableStart = ref.tableStart;\n\n var mapStart = tr.mapping.maps.length;\n for (var row = 0; row < map.height;) {\n var index = row * map.width + col, pos = map.map[index], cell = table.nodeAt(pos);\n // If this is part of a col-spanning cell\n if ((col > 0 && map.map[index - 1] == pos) || (col < map.width - 1 && map.map[index + 1] == pos)) {\n tr.setNodeMarkup(tr.mapping.slice(mapStart).map(tableStart + pos), null,\n rmColSpan(cell.attrs, col - map.colCount(pos)));\n } else {\n var start = tr.mapping.slice(mapStart).map(tableStart + pos);\n tr.delete(start, start + cell.nodeSize);\n }\n row += cell.attrs.rowspan;\n }\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Command function that removes the selected columns from a table.\nfunction deleteColumn(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state), tr = state.tr;\n if (rect.left == 0 && rect.right == rect.map.width) { return false }\n for (var i = rect.right - 1;; i--) {\n removeColumn(tr, rect, i);\n if (i == rect.left) { break }\n rect.table = rect.tableStart ? tr.doc.nodeAt(rect.tableStart - 1) : tr.doc;\n rect.map = TableMap.get(rect.table);\n }\n dispatch(tr);\n }\n return true\n}\n\nfunction rowIsHeader(map, table, row) {\n var headerCell = tableNodeTypes(table.type.schema).header_cell;\n for (var col = 0; col < map.width; col++)\n { if (table.nodeAt(map.map[col + row * map.width]).type != headerCell)\n { return false } }\n return true\n}\n\nfunction addRow(tr, ref, row) {\n var map = ref.map;\n var tableStart = ref.tableStart;\n var table = ref.table;\n\n var rowPos = tableStart;\n for (var i = 0; i < row; i++) { rowPos += table.child(i).nodeSize; }\n var cells = [], refRow = row > 0 ? -1 : 0;\n if (rowIsHeader(map, table, row + refRow))\n { refRow = row == 0 || row == map.height ? null : 0; }\n for (var col = 0, index = map.width * row; col < map.width; col++, index++) {\n // Covered by a rowspan cell\n if (row > 0 && row < map.height && map.map[index] == map.map[index - map.width]) {\n var pos = map.map[index], attrs = table.nodeAt(pos).attrs;\n tr.setNodeMarkup(tableStart + pos, null, setAttr(attrs, \"rowspan\", attrs.rowspan + 1));\n col += attrs.colspan - 1;\n } else {\n var type = refRow == null ? tableNodeTypes(table.type.schema).cell\n : table.nodeAt(map.map[index + refRow * map.width]).type;\n cells.push(type.createAndFill());\n }\n }\n tr.insert(rowPos, tableNodeTypes(table.type.schema).row.create(null, cells));\n return tr\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Add a table row before the selection.\nfunction addRowBefore(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state);\n dispatch(addRow(state.tr, rect, rect.top));\n }\n return true\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Add a table row after the selection.\nfunction addRowAfter(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state);\n dispatch(addRow(state.tr, rect, rect.bottom));\n }\n return true\n}\n\nfunction removeRow(tr, ref, row) {\n var map = ref.map;\n var table = ref.table;\n var tableStart = ref.tableStart;\n\n var rowPos = 0;\n for (var i = 0; i < row; i++) { rowPos += table.child(i).nodeSize; }\n var nextRow = rowPos + table.child(row).nodeSize;\n\n var mapFrom = tr.mapping.maps.length;\n tr.delete(rowPos + tableStart, nextRow + tableStart);\n\n for (var col = 0, index = row * map.width; col < map.width; col++, index++) {\n var pos = map.map[index];\n if (row > 0 && pos == map.map[index - map.width]) {\n // If this cell starts in the row above, simply reduce its rowspan\n var attrs = table.nodeAt(pos).attrs;\n tr.setNodeMarkup(tr.mapping.slice(mapFrom).map(pos + tableStart), null, setAttr(attrs, \"rowspan\", attrs.rowspan - 1));\n col += attrs.colspan - 1;\n } else if (row < map.width && pos == map.map[index + map.width]) {\n // Else, if it continues in the row below, it has to be moved down\n var cell = table.nodeAt(pos);\n var copy = cell.type.create(setAttr(cell.attrs, \"rowspan\", cell.attrs.rowspan - 1), cell.content);\n var newPos = map.positionAt(row + 1, col, table);\n tr.insert(tr.mapping.slice(mapFrom).map(tableStart + newPos), copy);\n col += cell.attrs.colspan - 1;\n }\n }\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Remove the selected rows from a table.\nfunction deleteRow(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state), tr = state.tr;\n if (rect.top == 0 && rect.bottom == rect.map.height) { return false }\n for (var i = rect.bottom - 1;; i--) {\n removeRow(tr, rect, i);\n if (i == rect.top) { break }\n rect.table = rect.tableStart ? tr.doc.nodeAt(rect.tableStart - 1) : tr.doc;\n rect.map = TableMap.get(rect.table);\n }\n dispatch(tr);\n }\n return true\n}\n\nfunction isEmpty(cell) {\n var c = cell.content;\n return c.childCount == 1 && c.firstChild.isTextblock && c.firstChild.childCount == 0\n}\n\nfunction cellsOverlapRectangle(ref, rect) {\n var width = ref.width;\n var height = ref.height;\n var map = ref.map;\n\n var indexTop = rect.top * width + rect.left, indexLeft = indexTop;\n var indexBottom = (rect.bottom - 1) * width + rect.left, indexRight = indexTop + (rect.right - rect.left - 1);\n for (var i = rect.top; i < rect.bottom; i++) {\n if (rect.left > 0 && map[indexLeft] == map[indexLeft - 1] ||\n rect.right < width && map[indexRight] == map[indexRight + 1]) { return true }\n indexLeft += width; indexRight += width;\n }\n for (var i$1 = rect.left; i$1 < rect.right; i$1++) {\n if (rect.top > 0 && map[indexTop] == map[indexTop - width] ||\n rect.bottom < height && map[indexBottom] == map[indexBottom + width]) { return true }\n indexTop++; indexBottom++;\n }\n return false\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Merge the selected cells into a single cell. Only available when\n// the selected cells' outline forms a rectangle.\nfunction mergeCells(state, dispatch) {\n var sel = state.selection;\n if (!(sel instanceof CellSelection) || sel.$anchorCell.pos == sel.$headCell.pos) { return false }\n var rect = selectedRect(state);\n var map = rect.map;\n if (cellsOverlapRectangle(map, rect)) { return false }\n if (dispatch) {\n var tr = state.tr, seen = {}, content = Fragment.empty, mergedPos, mergedCell;\n for (var row = rect.top; row < rect.bottom; row++) {\n for (var col = rect.left; col < rect.right; col++) {\n var cellPos = map.map[row * map.width + col], cell = rect.table.nodeAt(cellPos);\n if (seen[cellPos]) { continue }\n seen[cellPos] = true;\n if (mergedPos == null) {\n mergedPos = cellPos;\n mergedCell = cell;\n } else {\n if (!isEmpty(cell)) { content = content.append(cell.content); }\n var mapped = tr.mapping.map(cellPos + rect.tableStart);\n tr.delete(mapped, mapped + cell.nodeSize);\n }\n }\n }\n tr.setNodeMarkup(mergedPos + rect.tableStart, null,\n setAttr(addColSpan(mergedCell.attrs, mergedCell.attrs.colspan, (rect.right - rect.left) - mergedCell.attrs.colspan),\n \"rowspan\", rect.bottom - rect.top));\n if (content.size) {\n var end = mergedPos + 1 + mergedCell.content.size;\n var start = isEmpty(mergedCell) ? mergedPos + 1 : end;\n tr.replaceWith(start + rect.tableStart, end + rect.tableStart, content);\n }\n tr.setSelection(new CellSelection(tr.doc.resolve(mergedPos + rect.tableStart)));\n dispatch(tr);\n }\n return true\n}\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Split a selected cell, whose rowpan or colspan is greater than one,\n// into smaller cells. Use the first cell type for the new cells.\nfunction splitCell(state, dispatch) {\n var nodeTypes = tableNodeTypes(state.schema);\n return splitCellWithType(function (ref) {\n var node = ref.node;\n\n return nodeTypes[node.type.spec.tableRole]\n })(state, dispatch)\n}\n\n// :: (getCellType: ({ row: number, col: number, node: Node}) → NodeType) → (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Split a selected cell, whose rowpan or colspan is greater than one,\n// into smaller cells with the cell type (th, td) returned by getType function.\nfunction splitCellWithType(getCellType) {\n return function (state, dispatch) {\n var sel = state.selection;\n var cellNode, cellPos;\n if (!(sel instanceof CellSelection)) {\n cellNode = cellWrapping(sel.$from);\n if (!cellNode) { return false }\n cellPos = cellAround(sel.$from).pos;\n } else {\n if (sel.$anchorCell.pos != sel.$headCell.pos) { return false }\n cellNode = sel.$anchorCell.nodeAfter;\n cellPos = sel.$anchorCell.pos;\n }\n if (cellNode.attrs.colspan == 1 && cellNode.attrs.rowspan == 1) {return false}\n if (dispatch) {\n var baseAttrs = cellNode.attrs, attrs = [], colwidth = baseAttrs.colwidth;\n if (baseAttrs.rowspan > 1) { baseAttrs = setAttr(baseAttrs, \"rowspan\", 1); }\n if (baseAttrs.colspan > 1) { baseAttrs = setAttr(baseAttrs, \"colspan\", 1); }\n var rect = selectedRect(state), tr = state.tr;\n for (var i = 0; i < rect.right - rect.left; i++)\n { attrs.push(colwidth ? setAttr(baseAttrs, \"colwidth\", colwidth && colwidth[i] ? [colwidth[i]] : null) : baseAttrs); }\n var lastCell;\n for (var row = rect.top; row < rect.bottom; row++) {\n var pos = rect.map.positionAt(row, rect.left, rect.table);\n if (row == rect.top) { pos += cellNode.nodeSize; }\n for (var col = rect.left, i$1 = 0; col < rect.right; col++, i$1++) {\n if (col == rect.left && row == rect.top) { continue }\n tr.insert(lastCell = tr.mapping.map(pos + rect.tableStart, 1), getCellType({ node: cellNode, row: row, col: col}).createAndFill(attrs[i$1]));\n }\n }\n tr.setNodeMarkup(cellPos, getCellType({ node: cellNode, row: rect.top, col: rect.left}), attrs[0]);\n if (sel instanceof CellSelection)\n { tr.setSelection(new CellSelection(tr.doc.resolve(sel.$anchorCell.pos),\n lastCell && tr.doc.resolve(lastCell))); }\n dispatch(tr);\n }\n return true\n }\n}\n\n// :: (string, any) → (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Returns a command that sets the given attribute to the given value,\n// and is only available when the currently selected cell doesn't\n// already have that attribute set to that value.\nfunction setCellAttr(name, value) {\n return function(state, dispatch) {\n if (!isInTable(state)) { return false }\n var $cell = selectionCell(state);\n if ($cell.nodeAfter.attrs[name] === value) { return false }\n if (dispatch) {\n var tr = state.tr;\n if (state.selection instanceof CellSelection)\n { state.selection.forEachCell(function (node, pos) {\n if (node.attrs[name] !== value)\n { tr.setNodeMarkup(pos, null, setAttr(node.attrs, name, value)); }\n }); }\n else\n { tr.setNodeMarkup($cell.pos, null, setAttr($cell.nodeAfter.attrs, name, value)); }\n dispatch(tr);\n }\n return true\n }\n}\n\nfunction deprecated_toggleHeader(type) {\n return function(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var types = tableNodeTypes(state.schema);\n var rect = selectedRect(state), tr = state.tr;\n var cells = rect.map.cellsInRect(type == \"column\" ? new Rect(rect.left, 0, rect.right, rect.map.height) :\n type == \"row\" ? new Rect(0, rect.top, rect.map.width, rect.bottom) : rect);\n var nodes = cells.map(function (pos) { return rect.table.nodeAt(pos); });\n for (var i = 0; i < cells.length; i++) // Remove headers, if any\n { if (nodes[i].type == types.header_cell)\n { tr.setNodeMarkup(rect.tableStart + cells[i], types.cell, nodes[i].attrs); } }\n if (tr.steps.length == 0) { for (var i$1 = 0; i$1 < cells.length; i$1++) // No headers removed, add instead\n { tr.setNodeMarkup(rect.tableStart + cells[i$1], types.header_cell, nodes[i$1].attrs); } }\n dispatch(tr);\n }\n return true\n }\n}\n\nfunction isHeaderEnabledByType(type, rect, types) {\n // Get cell positions for first row or first column\n var cellPositions = rect.map.cellsInRect({\n left: 0,\n top: 0,\n right: type == \"row\" ? rect.map.width : 1,\n bottom: type == \"column\" ? rect.map.height : 1,\n });\n\n for (var i = 0; i < cellPositions.length; i++) {\n var cell = rect.table.nodeAt(cellPositions[i]);\n if (cell && cell.type !== types.header_cell) {\n return false\n }\n }\n\n return true\n}\n\n// :: (string, ?{ useDeprecatedLogic: bool }) → (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Toggles between row/column header and normal cells (Only applies to first row/column).\n// For deprecated behavior pass `useDeprecatedLogic` in options with true.\nfunction toggleHeader(type, options) {\n options = options || { useDeprecatedLogic: false };\n\n if (options.useDeprecatedLogic)\n { return deprecated_toggleHeader(type) }\n\n return function(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var types = tableNodeTypes(state.schema);\n var rect = selectedRect(state), tr = state.tr;\n\n var isHeaderRowEnabled = isHeaderEnabledByType(\"row\", rect, types);\n var isHeaderColumnEnabled = isHeaderEnabledByType(\"column\", rect, types);\n\n var isHeaderEnabled = type === \"column\" ? isHeaderRowEnabled :\n type === \"row\" ? isHeaderColumnEnabled : false;\n\n var selectionStartsAt = isHeaderEnabled ? 1 : 0;\n\n var cellsRect = type == \"column\" ? new Rect(0, selectionStartsAt, 1, rect.map.height) :\n type == \"row\" ? new Rect(selectionStartsAt, 0, rect.map.width, 1) : rect;\n\n var newType = type == \"column\" ? isHeaderColumnEnabled ? types.cell : types.header_cell :\n type == \"row\" ? isHeaderRowEnabled ? types.cell : types.header_cell : types.cell;\n\n rect.map.cellsInRect(cellsRect).forEach(function (relativeCellPos) {\n var cellPos = relativeCellPos + rect.tableStart;\n var cell = tr.doc.nodeAt(cellPos);\n\n if (cell) {\n tr.setNodeMarkup(cellPos, newType, cell.attrs);\n }\n });\n\n dispatch(tr);\n }\n return true\n }\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Toggles whether the selected row contains header cells.\nvar toggleHeaderRow = toggleHeader(\"row\", { useDeprecatedLogic: true });\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Toggles whether the selected column contains header cells.\nvar toggleHeaderColumn = toggleHeader(\"column\", { useDeprecatedLogic: true });\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Toggles whether the selected cells are header cells.\nvar toggleHeaderCell = toggleHeader(\"cell\", { useDeprecatedLogic: true });\n\nfunction findNextCell($cell, dir) {\n if (dir < 0) {\n var before = $cell.nodeBefore;\n if (before) { return $cell.pos - before.nodeSize }\n for (var row = $cell.index(-1) - 1, rowEnd = $cell.before(); row >= 0; row--) {\n var rowNode = $cell.node(-1).child(row);\n if (rowNode.childCount) { return rowEnd - 1 - rowNode.lastChild.nodeSize }\n rowEnd -= rowNode.nodeSize;\n }\n } else {\n if ($cell.index() < $cell.parent.childCount - 1) { return $cell.pos + $cell.nodeAfter.nodeSize }\n var table = $cell.node(-1);\n for (var row$1 = $cell.indexAfter(-1), rowStart = $cell.after(); row$1 < table.childCount; row$1++) {\n var rowNode$1 = table.child(row$1);\n if (rowNode$1.childCount) { return rowStart + 1 }\n rowStart += rowNode$1.nodeSize;\n }\n }\n}\n\n// :: (number) → (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Returns a command for selecting the next (direction=1) or previous\n// (direction=-1) cell in a table.\nfunction goToNextCell(direction) {\n return function(state, dispatch) {\n if (!isInTable(state)) { return false }\n var cell = findNextCell(selectionCell(state), direction);\n if (cell == null) { return }\n if (dispatch) {\n var $cell = state.doc.resolve(cell);\n dispatch(state.tr.setSelection(TextSelection.between($cell, moveCellForward($cell))).scrollIntoView());\n }\n return true\n }\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Deletes the table around the selection, if any.\nfunction deleteTable(state, dispatch) {\n var $pos = state.selection.$anchor;\n for (var d = $pos.depth; d > 0; d--) {\n var node = $pos.node(d);\n if (node.type.spec.tableRole == \"table\") {\n if (dispatch) { dispatch(state.tr.delete($pos.before(d), $pos.after(d)).scrollIntoView()); }\n return true\n }\n }\n return false\n}\n\nvar TableView = function TableView(node, cellMinWidth) {\n this.node = node;\n this.cellMinWidth = cellMinWidth;\n this.dom = document.createElement(\"div\");\n this.dom.className = \"tableWrapper\";\n this.table = this.dom.appendChild(document.createElement(\"table\"));\n this.colgroup = this.table.appendChild(document.createElement(\"colgroup\"));\n updateColumns(node, this.colgroup, this.table, cellMinWidth);\n this.contentDOM = this.table.appendChild(document.createElement(\"tbody\"));\n};\n\nTableView.prototype.update = function update (node) {\n if (node.type != this.node.type) { return false }\n this.node = node;\n updateColumns(node, this.colgroup, this.table, this.cellMinWidth);\n return true\n};\n\nTableView.prototype.ignoreMutation = function ignoreMutation (record) {\n return record.type == \"attributes\" && (record.target == this.table || this.colgroup.contains(record.target))\n};\n\nfunction updateColumns(node, colgroup, table, cellMinWidth, overrideCol, overrideValue) {\n var totalWidth = 0, fixedWidth = true;\n var nextDOM = colgroup.firstChild, row = node.firstChild;\n for (var i = 0, col = 0; i < row.childCount; i++) {\n var ref = row.child(i).attrs;\n var colspan = ref.colspan;\n var colwidth = ref.colwidth;\n for (var j = 0; j < colspan; j++, col++) {\n var hasWidth = overrideCol == col ? overrideValue : colwidth && colwidth[j];\n var cssWidth = hasWidth ? hasWidth + \"px\" : \"\";\n totalWidth += hasWidth || cellMinWidth;\n if (!hasWidth) { fixedWidth = false; }\n if (!nextDOM) {\n colgroup.appendChild(document.createElement(\"col\")).style.width = cssWidth;\n } else {\n if (nextDOM.style.width != cssWidth) { nextDOM.style.width = cssWidth; }\n nextDOM = nextDOM.nextSibling;\n }\n }\n }\n\n while (nextDOM) {\n var after = nextDOM.nextSibling;\n nextDOM.parentNode.removeChild(nextDOM);\n nextDOM = after;\n }\n\n if (fixedWidth) {\n table.style.width = totalWidth + \"px\";\n table.style.minWidth = \"\";\n } else {\n table.style.width = \"\";\n table.style.minWidth = totalWidth + \"px\";\n }\n}\n\nvar key$1 = new PluginKey(\"tableColumnResizing\");\n\nfunction columnResizing(ref) {\n if ( ref === void 0 ) ref = {};\n var handleWidth = ref.handleWidth; if ( handleWidth === void 0 ) handleWidth = 5;\n var cellMinWidth = ref.cellMinWidth; if ( cellMinWidth === void 0 ) cellMinWidth = 25;\n var View = ref.View; if ( View === void 0 ) View = TableView;\n var lastColumnResizable = ref.lastColumnResizable; if ( lastColumnResizable === void 0 ) lastColumnResizable = true;\n\n var plugin = new Plugin({\n key: key$1,\n state: {\n init: function init(_, state) {\n this.spec.props.nodeViews[tableNodeTypes(state.schema).table.name] =\n function (node, view) { return new View(node, cellMinWidth, view); };\n return new ResizeState(-1, false)\n },\n apply: function apply(tr, prev) {\n return prev.apply(tr)\n }\n },\n props: {\n attributes: function attributes(state) {\n var pluginState = key$1.getState(state);\n return pluginState.activeHandle > -1 ? {class: \"resize-cursor\"} : null\n },\n\n handleDOMEvents: {\n mousemove: function mousemove(view, event) { handleMouseMove(view, event, handleWidth, cellMinWidth, lastColumnResizable); },\n mouseleave: function mouseleave(view) { handleMouseLeave(view); },\n mousedown: function mousedown(view, event) { handleMouseDown$1(view, event, cellMinWidth); }\n },\n\n decorations: function decorations(state) {\n var pluginState = key$1.getState(state);\n if (pluginState.activeHandle > -1) { return handleDecorations(state, pluginState.activeHandle) }\n },\n\n nodeViews: {}\n }\n });\n return plugin\n}\n\nvar ResizeState = function ResizeState(activeHandle, dragging) {\n this.activeHandle = activeHandle;\n this.dragging = dragging;\n};\n\nResizeState.prototype.apply = function apply (tr) {\n var state = this, action = tr.getMeta(key$1);\n if (action && action.setHandle != null)\n { return new ResizeState(action.setHandle, null) }\n if (action && action.setDragging !== undefined)\n { return new ResizeState(state.activeHandle, action.setDragging) }\n if (state.activeHandle > -1 && tr.docChanged) {\n var handle = tr.mapping.map(state.activeHandle, -1);\n if (!pointsAtCell(tr.doc.resolve(handle))) { handle = null; }\n state = new ResizeState(handle, state.dragging);\n }\n return state\n};\n\nfunction handleMouseMove(view, event, handleWidth, cellMinWidth, lastColumnResizable) {\n var pluginState = key$1.getState(view.state);\n\n if (!pluginState.dragging) {\n var target = domCellAround(event.target), cell = -1;\n if (target) {\n var ref = target.getBoundingClientRect();\n var left = ref.left;\n var right = ref.right;\n if (event.clientX - left <= handleWidth)\n { cell = edgeCell(view, event, \"left\"); }\n else if (right - event.clientX <= handleWidth)\n { cell = edgeCell(view, event, \"right\"); }\n }\n\n if (cell != pluginState.activeHandle) {\n if (!lastColumnResizable && cell !== -1) {\n var $cell = view.state.doc.resolve(cell);\n var table = $cell.node(-1), map = TableMap.get(table), start = $cell.start(-1);\n var col = map.colCount($cell.pos - start) + $cell.nodeAfter.attrs.colspan - 1;\n\n if (col == map.width - 1) {\n return\n }\n }\n\n updateHandle(view, cell);\n }\n }\n}\n\nfunction handleMouseLeave(view) {\n var pluginState = key$1.getState(view.state);\n if (pluginState.activeHandle > -1 && !pluginState.dragging) { updateHandle(view, -1); }\n}\n\nfunction handleMouseDown$1(view, event, cellMinWidth) {\n var pluginState = key$1.getState(view.state);\n if (pluginState.activeHandle == -1 || pluginState.dragging) { return false }\n\n var cell = view.state.doc.nodeAt(pluginState.activeHandle);\n var width = currentColWidth(view, pluginState.activeHandle, cell.attrs);\n view.dispatch(view.state.tr.setMeta(key$1, {setDragging: {startX: event.clientX, startWidth: width}}));\n\n function finish(event) {\n window.removeEventListener(\"mouseup\", finish);\n window.removeEventListener(\"mousemove\", move);\n var pluginState = key$1.getState(view.state);\n if (pluginState.dragging) {\n updateColumnWidth(view, pluginState.activeHandle, draggedWidth(pluginState.dragging, event, cellMinWidth));\n view.dispatch(view.state.tr.setMeta(key$1, {setDragging: null}));\n }\n }\n function move(event) {\n if (!event.which) { return finish(event) }\n var pluginState = key$1.getState(view.state);\n var dragged = draggedWidth(pluginState.dragging, event, cellMinWidth);\n displayColumnWidth(view, pluginState.activeHandle, dragged, cellMinWidth);\n }\n\n window.addEventListener(\"mouseup\", finish);\n window.addEventListener(\"mousemove\", move);\n event.preventDefault();\n return true\n}\n\nfunction currentColWidth(view, cellPos, ref) {\n var colspan = ref.colspan;\n var colwidth = ref.colwidth;\n\n var width = colwidth && colwidth[colwidth.length - 1];\n if (width) { return width }\n var dom = view.domAtPos(cellPos);\n var node = dom.node.childNodes[dom.offset];\n var domWidth = node.offsetWidth, parts = colspan;\n if (colwidth) { for (var i = 0; i < colspan; i++) { if (colwidth[i]) {\n domWidth -= colwidth[i];\n parts--;\n } } }\n return domWidth / parts\n}\n\nfunction domCellAround(target) {\n while (target && target.nodeName != \"TD\" && target.nodeName != \"TH\")\n { target = target.classList.contains(\"ProseMirror\") ? null : target.parentNode; }\n return target\n}\n\nfunction edgeCell(view, event, side) {\n var ref = view.posAtCoords({left: event.clientX, top: event.clientY});\n var pos = ref.pos;\n var $cell = cellAround(view.state.doc.resolve(pos));\n if (!$cell) { return -1 }\n if (side == \"right\") { return $cell.pos }\n var map = TableMap.get($cell.node(-1)), start = $cell.start(-1);\n var index = map.map.indexOf($cell.pos - start);\n return index % map.width == 0 ? -1 : start + map.map[index - 1]\n}\n\nfunction draggedWidth(dragging, event, cellMinWidth) {\n var offset = event.clientX - dragging.startX;\n return Math.max(cellMinWidth, dragging.startWidth + offset)\n}\n\nfunction updateHandle(view, value) {\n view.dispatch(view.state.tr.setMeta(key$1, {setHandle: value}));\n}\n\nfunction updateColumnWidth(view, cell, width) {\n var $cell = view.state.doc.resolve(cell);\n var table = $cell.node(-1), map = TableMap.get(table), start = $cell.start(-1);\n var col = map.colCount($cell.pos - start) + $cell.nodeAfter.attrs.colspan - 1;\n var tr = view.state.tr;\n for (var row = 0; row < map.height; row++) {\n var mapIndex = row * map.width + col;\n // Rowspanning cell that has already been handled\n if (row && map.map[mapIndex] == map.map[mapIndex - map.width]) { continue }\n var pos = map.map[mapIndex];\n var ref = table.nodeAt(pos);\n var attrs = ref.attrs;\n var index = attrs.colspan == 1 ? 0 : col - map.colCount(pos);\n if (attrs.colwidth && attrs.colwidth[index] == width) { continue }\n var colwidth = attrs.colwidth ? attrs.colwidth.slice() : zeroes(attrs.colspan);\n colwidth[index] = width;\n tr.setNodeMarkup(start + pos, null, setAttr(attrs, \"colwidth\", colwidth));\n }\n if (tr.docChanged) { view.dispatch(tr); }\n}\n\nfunction displayColumnWidth(view, cell, width, cellMinWidth) {\n var $cell = view.state.doc.resolve(cell);\n var table = $cell.node(-1), start = $cell.start(-1);\n var col = TableMap.get(table).colCount($cell.pos - start) + $cell.nodeAfter.attrs.colspan - 1;\n var dom = view.domAtPos($cell.start(-1)).node;\n while (dom.nodeName != \"TABLE\") { dom = dom.parentNode; }\n updateColumns(table, dom.firstChild, dom, cellMinWidth, col, width);\n}\n\nfunction zeroes(n) {\n var result = [];\n for (var i = 0; i < n; i++) { result.push(0); }\n return result\n}\n\nfunction handleDecorations(state, cell) {\n var decorations = [];\n var $cell = state.doc.resolve(cell);\n var table = $cell.node(-1), map = TableMap.get(table), start = $cell.start(-1);\n var col = map.colCount($cell.pos - start) + $cell.nodeAfter.attrs.colspan;\n for (var row = 0; row < map.height; row++) {\n var index = col + row * map.width - 1;\n // For positions that are have either a different cell or the end\n // of the table to their right, and either the top of the table or\n // a different cell above them, add a decoration\n if ((col == map.width || map.map[index] != map.map[index + 1]) &&\n (row == 0 || map.map[index - 1] != map.map[index - 1 - map.width])) {\n var cellPos = map.map[index];\n var pos = start + cellPos + table.nodeAt(cellPos).nodeSize - 1;\n var dom = document.createElement(\"div\");\n dom.className = \"column-resize-handle\";\n decorations.push(Decoration.widget(pos, dom));\n }\n }\n return DecorationSet.create(state.doc, decorations)\n}\n\n// This file defines a plugin that handles the drawing of cell\n\n// :: () → Plugin\n//\n// Creates a [plugin](http://prosemirror.net/docs/ref/#state.Plugin)\n// that, when added to an editor, enables cell-selection, handles\n// cell-based copy/paste, and makes sure tables stay well-formed (each\n// row has the same width, and cells don't overlap).\n//\n// You should probably put this plugin near the end of your array of\n// plugins, since it handles mouse and arrow key events in tables\n// rather broadly, and other plugins, like the gap cursor or the\n// column-width dragging plugin, might want to get a turn first to\n// perform more specific behavior.\nfunction tableEditing(ref) {\n if ( ref === void 0 ) ref = {};\n var allowTableNodeSelection = ref.allowTableNodeSelection; if ( allowTableNodeSelection === void 0 ) allowTableNodeSelection = false;\n\n return new Plugin({\n key: key,\n\n // This piece of state is used to remember when a mouse-drag\n // cell-selection is happening, so that it can continue even as\n // transactions (which might move its anchor cell) come in.\n state: {\n init: function init() { return null },\n apply: function apply(tr, cur) {\n var set = tr.getMeta(key);\n if (set != null) { return set == -1 ? null : set }\n if (cur == null || !tr.docChanged) { return cur }\n var ref = tr.mapping.mapResult(cur);\n var deleted = ref.deleted;\n var pos = ref.pos;\n return deleted ? null : pos\n }\n },\n\n props: {\n decorations: drawCellSelection,\n\n handleDOMEvents: {\n mousedown: handleMouseDown\n },\n\n createSelectionBetween: function createSelectionBetween(view) {\n if (key.getState(view.state) != null) { return view.state.selection }\n },\n\n handleTripleClick: handleTripleClick,\n\n handleKeyDown: handleKeyDown,\n\n handlePaste: handlePaste\n },\n\n appendTransaction: function appendTransaction(_, oldState, state) {\n return normalizeSelection(state, fixTables(state, oldState), allowTableNodeSelection)\n }\n })\n}\n\nexport { CellSelection, TableMap, clipCells as __clipCells, insertCells as __insertCells, pastedCells as __pastedCells, addColumn, addColumnAfter, addColumnBefore, addRow, addRowAfter, addRowBefore, cellAround, colCount, columnResizing, key$1 as columnResizingPluginKey, deleteColumn, deleteRow, deleteTable, findCell, fixTables, fixTablesKey, goToNextCell, handlePaste, inSameTable, isInTable, mergeCells, moveCellForward, nextCell, removeColumn, removeRow, rowIsHeader, selectedRect, selectionCell, setCellAttr, splitCell, splitCellWithType, tableEditing, key as tableEditingKey, tableNodes, toggleHeader, toggleHeaderCell, toggleHeaderColumn, toggleHeaderRow, updateColumns as updateColumnsOnResize };\n//# sourceMappingURL=index.es.js.map\n","'use strict';\n\n\nmodule.exports = require('./lib/');\n","// HTML5 entities map: { name -> utf16string }\n//\n'use strict';\n\n/*eslint quotes:0*/\nmodule.exports = require('entities/maps/entities.json');\n","'use strict';\n\n\nmodule.exports.encode = require('./encode');\nmodule.exports.decode = require('./decode');\nmodule.exports.format = require('./format');\nmodule.exports.parse = require('./parse');\n","module.exports=/[\\0-\\uD7FF\\uE000-\\uFFFF]|[\\uD800-\\uDBFF][\\uDC00-\\uDFFF]|[\\uD800-\\uDBFF](?![\\uDC00-\\uDFFF])|(?:[^\\uD800-\\uDBFF]|^)[\\uDC00-\\uDFFF]/","module.exports=/[\\0-\\x1F\\x7F-\\x9F]/","module.exports=/[ \\xA0\\u1680\\u2000-\\u200A\\u2028\\u2029\\u202F\\u205F\\u3000]/","// Regexps to match html elements\n\n'use strict';\n\nvar attr_name = '[a-zA-Z_:][a-zA-Z0-9:._-]*';\n\nvar unquoted = '[^\"\\'=<>`\\\\x00-\\\\x20]+';\nvar single_quoted = \"'[^']*'\";\nvar double_quoted = '\"[^\"]*\"';\n\nvar attr_value = '(?:' + unquoted + '|' + single_quoted + '|' + double_quoted + ')';\n\nvar attribute = '(?:\\\\s+' + attr_name + '(?:\\\\s*=\\\\s*' + attr_value + ')?)';\n\nvar open_tag = '<[A-Za-z][A-Za-z0-9\\\\-]*' + attribute + '*\\\\s*\\\\/?>';\n\nvar close_tag = '<\\\\/[A-Za-z][A-Za-z0-9\\\\-]*\\\\s*>';\nvar comment = '<!---->|<!--(?:-?[^>-])(?:-?[^-])*-->';\nvar processing = '<[?].*?[?]>';\nvar declaration = '<![A-Z]+\\\\s+[^>]*>';\nvar cdata = '<!\\\\[CDATA\\\\[[\\\\s\\\\S]*?\\\\]\\\\]>';\n\nvar HTML_TAG_RE = new RegExp('^(?:' + open_tag + '|' + close_tag + '|' + comment +\n '|' + processing + '|' + declaration + '|' + cdata + ')');\nvar HTML_OPEN_CLOSE_TAG_RE = new RegExp('^(?:' + open_tag + '|' + close_tag + ')');\n\nmodule.exports.HTML_TAG_RE = HTML_TAG_RE;\nmodule.exports.HTML_OPEN_CLOSE_TAG_RE = HTML_OPEN_CLOSE_TAG_RE;\n","// ~~strike through~~\n//\n'use strict';\n\n\n// Insert each marker as a separate text token, and add it to delimiter list\n//\nmodule.exports.tokenize = function strikethrough(state, silent) {\n var i, scanned, token, len, ch,\n start = state.pos,\n marker = state.src.charCodeAt(start);\n\n if (silent) { return false; }\n\n if (marker !== 0x7E/* ~ */) { return false; }\n\n scanned = state.scanDelims(state.pos, true);\n len = scanned.length;\n ch = String.fromCharCode(marker);\n\n if (len < 2) { return false; }\n\n if (len % 2) {\n token = state.push('text', '', 0);\n token.content = ch;\n len--;\n }\n\n for (i = 0; i < len; i += 2) {\n token = state.push('text', '', 0);\n token.content = ch + ch;\n\n state.delimiters.push({\n marker: marker,\n jump: i,\n token: state.tokens.length - 1,\n level: state.level,\n end: -1,\n open: scanned.can_open,\n close: scanned.can_close\n });\n }\n\n state.pos += scanned.length;\n\n return true;\n};\n\n\n// Walk through delimiter list and replace text tokens with tags\n//\nmodule.exports.postProcess = function strikethrough(state) {\n var i, j,\n startDelim,\n endDelim,\n token,\n loneMarkers = [],\n delimiters = state.delimiters,\n max = state.delimiters.length;\n\n for (i = 0; i < max; i++) {\n startDelim = delimiters[i];\n\n if (startDelim.marker !== 0x7E/* ~ */) {\n continue;\n }\n\n if (startDelim.end === -1) {\n continue;\n }\n\n endDelim = delimiters[startDelim.end];\n\n token = state.tokens[startDelim.token];\n token.type = 's_open';\n token.tag = 's';\n token.nesting = 1;\n token.markup = '~~';\n token.content = '';\n\n token = state.tokens[endDelim.token];\n token.type = 's_close';\n token.tag = 's';\n token.nesting = -1;\n token.markup = '~~';\n token.content = '';\n\n if (state.tokens[endDelim.token - 1].type === 'text' &&\n state.tokens[endDelim.token - 1].content === '~') {\n\n loneMarkers.push(endDelim.token - 1);\n }\n }\n\n // If a marker sequence has an odd number of characters, it's splitted\n // like this: `~~~~~` -> `~` + `~~` + `~~`, leaving one marker at the\n // start of the sequence.\n //\n // So, we have to move all those markers after subsequent s_close tags.\n //\n while (loneMarkers.length) {\n i = loneMarkers.pop();\n j = i + 1;\n\n while (j < state.tokens.length && state.tokens[j].type === 's_close') {\n j++;\n }\n\n j--;\n\n if (i !== j) {\n token = state.tokens[j];\n state.tokens[j] = state.tokens[i];\n state.tokens[i] = token;\n }\n }\n};\n","// Process *this* and _that_\n//\n'use strict';\n\n\n// Insert each marker as a separate text token, and add it to delimiter list\n//\nmodule.exports.tokenize = function emphasis(state, silent) {\n var i, scanned, token,\n start = state.pos,\n marker = state.src.charCodeAt(start);\n\n if (silent) { return false; }\n\n if (marker !== 0x5F /* _ */ && marker !== 0x2A /* * */) { return false; }\n\n scanned = state.scanDelims(state.pos, marker === 0x2A);\n\n for (i = 0; i < scanned.length; i++) {\n token = state.push('text', '', 0);\n token.content = String.fromCharCode(marker);\n\n state.delimiters.push({\n // Char code of the starting marker (number).\n //\n marker: marker,\n\n // Total length of these series of delimiters.\n //\n length: scanned.length,\n\n // An amount of characters before this one that's equivalent to\n // current one. In plain English: if this delimiter does not open\n // an emphasis, neither do previous `jump` characters.\n //\n // Used to skip sequences like \"*****\" in one step, for 1st asterisk\n // value will be 0, for 2nd it's 1 and so on.\n //\n jump: i,\n\n // A position of the token this delimiter corresponds to.\n //\n token: state.tokens.length - 1,\n\n // Token level.\n //\n level: state.level,\n\n // If this delimiter is matched as a valid opener, `end` will be\n // equal to its position, otherwise it's `-1`.\n //\n end: -1,\n\n // Boolean flags that determine if this delimiter could open or close\n // an emphasis.\n //\n open: scanned.can_open,\n close: scanned.can_close\n });\n }\n\n state.pos += scanned.length;\n\n return true;\n};\n\n\n// Walk through delimiter list and replace text tokens with tags\n//\nmodule.exports.postProcess = function emphasis(state) {\n var i,\n startDelim,\n endDelim,\n token,\n ch,\n isStrong,\n delimiters = state.delimiters,\n max = state.delimiters.length;\n\n for (i = max - 1; i >= 0; i--) {\n startDelim = delimiters[i];\n\n if (startDelim.marker !== 0x5F/* _ */ && startDelim.marker !== 0x2A/* * */) {\n continue;\n }\n\n // Process only opening markers\n if (startDelim.end === -1) {\n continue;\n }\n\n endDelim = delimiters[startDelim.end];\n\n // If the previous delimiter has the same marker and is adjacent to this one,\n // merge those into one strong delimiter.\n //\n // `<em><em>whatever</em></em>` -> `<strong>whatever</strong>`\n //\n isStrong = i > 0 &&\n delimiters[i - 1].end === startDelim.end + 1 &&\n delimiters[i - 1].token === startDelim.token - 1 &&\n delimiters[startDelim.end + 1].token === endDelim.token + 1 &&\n delimiters[i - 1].marker === startDelim.marker;\n\n ch = String.fromCharCode(startDelim.marker);\n\n token = state.tokens[startDelim.token];\n token.type = isStrong ? 'strong_open' : 'em_open';\n token.tag = isStrong ? 'strong' : 'em';\n token.nesting = 1;\n token.markup = isStrong ? ch + ch : ch;\n token.content = '';\n\n token = state.tokens[endDelim.token];\n token.type = isStrong ? 'strong_close' : 'em_close';\n token.tag = isStrong ? 'strong' : 'em';\n token.nesting = -1;\n token.markup = isStrong ? ch + ch : ch;\n token.content = '';\n\n if (isStrong) {\n state.tokens[delimiters[i - 1].token].content = '';\n state.tokens[delimiters[startDelim.end + 1].token].content = '';\n i--;\n }\n }\n};\n","!function(a,n){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=n():\"function\"==typeof define&&define.amd?define([],n):\"object\"==typeof exports?exports.NextcloudMoment=n():a.NextcloudMoment=n()}(window,(function(){return function(a){var n={};function e(s){if(n[s])return n[s].exports;var t=n[s]={i:s,l:!1,exports:{}};return a[s].call(t.exports,t,t.exports,e),t.l=!0,t.exports}return e.m=a,e.c=n,e.d=function(a,n,s){e.o(a,n)||Object.defineProperty(a,n,{enumerable:!0,get:s})},e.r=function(a){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(a,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(a,\"__esModule\",{value:!0})},e.t=function(a,n){if(1&n&&(a=e(a)),8&n)return a;if(4&n&&\"object\"==typeof a&&a&&a.__esModule)return a;var s=Object.create(null);if(e.r(s),Object.defineProperty(s,\"default\",{enumerable:!0,value:a}),2&n&&\"string\"!=typeof a)for(var t in a)e.d(s,t,function(n){return a[n]}.bind(null,t));return s},e.n=function(a){var n=a&&a.__esModule?function(){return a.default}:function(){return a};return e.d(n,\"a\",n),n},e.o=function(a,n){return Object.prototype.hasOwnProperty.call(a,n)},e.p=\"\",e(e.s=3)}([function(a,n){a.exports=require(\"moment\")},function(a,n){a.exports=require(\"node-gettext\")},function(a,n){a.exports=require(\"@nextcloud/l10n\")},function(a,n,e){\"use strict\";e.r(n);var s=e(0),t=e.n(s),r=e(1),l=e.n(r),o=e(2),m=new l.a,u=Object(o.getLocale)();[{locale:\"ast\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"enolp <enolp@softastur.org>, 2020\",\"Language-Team\":\"Asturian (https://www.transifex.com/nextcloud/teams/64236/ast/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ast\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nenolp <enolp@softastur.org>, 2020\\n\"},msgstr:[\"Last-Translator: enolp <enolp@softastur.org>, 2020\\nLanguage-Team: Asturian (https://www.transifex.com/nextcloud/teams/64236/ast/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ast\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundos\"]}}}}},{locale:\"cs_CZ\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Pavel Borecki <pavel.borecki@gmail.com>, 2020\",\"Language-Team\":\"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"cs_CZ\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nPavel Borecki <pavel.borecki@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: cs_CZ\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekund\"]}}}}},{locale:\"da\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Henrik Troels-Hansen <henrik@troels-hansen.dk>, 2020\",\"Language-Team\":\"Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"da\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nHenrik Troels-Hansen <henrik@troels-hansen.dk>, 2020\\n\"},msgstr:[\"Last-Translator: Henrik Troels-Hansen <henrik@troels-hansen.dk>, 2020\\nLanguage-Team: Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: da\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekunder\"]}}}}},{locale:\"de_DE\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Christoph Wurst <christoph@winzerhof-wurst.at>, 2020\",\"Language-Team\":\"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"de_DE\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nChristoph Wurst <christoph@winzerhof-wurst.at>, 2020\\n\"},msgstr:[\"Last-Translator: Christoph Wurst <christoph@winzerhof-wurst.at>, 2020\\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: de_DE\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"Sekunden\"]}}}}},{locale:\"el\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"GRMarksman <alexakos01@gmail.com>, 2020\",\"Language-Team\":\"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"el\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nGRMarksman <alexakos01@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: GRMarksman <alexakos01@gmail.com>, 2020\\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: el\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"δευτερόλεπτα\"]}}}}},{locale:\"en_GB\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\",\"Language-Team\":\"English (United Kingdom) (https://www.transifex.com/nextcloud/teams/64236/en_GB/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"en_GB\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\nLanguage-Team: English (United Kingdom) (https://www.transifex.com/nextcloud/teams/64236/en_GB/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: en_GB\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"seconds\"]}}}}},{locale:\"es\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Javier San Juan <javier.sj@trantor.es>, 2020\",\"Language-Team\":\"Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"es\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nJavier San Juan <javier.sj@trantor.es>, 2020\\n\"},msgstr:[\"Last-Translator: Javier San Juan <javier.sj@trantor.es>, 2020\\nLanguage-Team: Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: es\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundos\"]}}}}},{locale:\"eu\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\",\"Language-Team\":\"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"eu\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: eu\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundo\"]}}}}},{locale:\"fr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Yoplala <yoplala@pataraphe.net>, 2020\",\"Language-Team\":\"French (https://www.transifex.com/nextcloud/teams/64236/fr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"fr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYoplala <yoplala@pataraphe.net>, 2020\\n\"},msgstr:[\"Last-Translator: Yoplala <yoplala@pataraphe.net>, 2020\\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: fr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"secondes\"]}}}}},{locale:\"gl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\",\"Language-Team\":\"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"gl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: gl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundos\"]}}}}},{locale:\"he\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Yaron Shahrabani <sh.yaron@gmail.com>, 2020\",\"Language-Team\":\"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"he\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: he\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"שניות\"]}}}}},{locale:\"hu_HU\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Balázs Meskó <meskobalazs@gmail.com>, 2020\",\"Language-Team\":\"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"hu_HU\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nBalázs Meskó <meskobalazs@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Balázs Meskó <meskobalazs@gmail.com>, 2020\\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: hu_HU\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"másodperc\"]}}}}},{locale:\"is\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Sveinn í Felli <sv1@fellsnet.is>, 2020\",\"Language-Team\":\"Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"is\",\"Plural-Forms\":\"nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nSveinn í Felli <sv1@fellsnet.is>, 2020\\n\"},msgstr:[\"Last-Translator: Sveinn í Felli <sv1@fellsnet.is>, 2020\\nLanguage-Team: Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: is\\nPlural-Forms: nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekúndur\"]}}}}},{locale:\"it\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Random_R, 2020\",\"Language-Team\":\"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"it\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nRandom_R, 2020\\n\"},msgstr:[\"Last-Translator: Random_R, 2020\\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: it\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"secondi\"]}}}}},{locale:\"ja_JP\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"YANO Tetsu <tetuyano+transi@gmail.com>, 2020\",\"Language-Team\":\"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ja_JP\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ja_JP\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"秒\"]}}}}},{locale:\"lt_LT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Moo, 2020\",\"Language-Team\":\"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lt_LT\",\"Plural-Forms\":\"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMoo, 2020\\n\"},msgstr:[\"Last-Translator: Moo, 2020\\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lt_LT\\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sek.\"]}}}}},{locale:\"lv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"stendec <stendec@inbox.lv>, 2020\",\"Language-Team\":\"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lv\",\"Plural-Forms\":\"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nstendec <stendec@inbox.lv>, 2020\\n\"},msgstr:[\"Last-Translator: stendec <stendec@inbox.lv>, 2020\\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lv\\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekundes\"]}}}}},{locale:\"mk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Сашко Тодоров, 2020\",\"Language-Team\":\"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"mk\",\"Plural-Forms\":\"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nСашко Тодоров, 2020\\n\"},msgstr:[\"Last-Translator: Сашко Тодоров, 2020\\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: mk\\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"секунди\"]}}}}},{locale:\"nl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Roeland Jago Douma <roeland@famdouma.nl>, 2020\",\"Language-Team\":\"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"nl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\\n\"},msgstr:[\"Last-Translator: Roeland Jago Douma <roeland@famdouma.nl>, 2020\\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: nl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"seconden\"]}}}}},{locale:\"oc\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Quentin PAGÈS, 2020\",\"Language-Team\":\"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"oc\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nQuentin PAGÈS, 2020\\n\"},msgstr:[\"Last-Translator: Quentin PAGÈS, 2020\\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: oc\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segondas\"]}}}}},{locale:\"pl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Janusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020\",\"Language-Team\":\"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pl\",\"Plural-Forms\":\"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nJanusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020\\n\"},msgstr:[\"Last-Translator: Janusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020\\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pl\\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekundy\"]}}}}},{locale:\"pt_BR\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"André Marcelo Alvarenga <alvarenga@kde.org>, 2020\",\"Language-Team\":\"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_BR\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAndré Marcelo Alvarenga <alvarenga@kde.org>, 2020\\n\"},msgstr:[\"Last-Translator: André Marcelo Alvarenga <alvarenga@kde.org>, 2020\\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_BR\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundos\"]}}}}},{locale:\"pt_PT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"fpapoila <fpapoila@gmail.com>, 2020\",\"Language-Team\":\"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_PT\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nfpapoila <fpapoila@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: fpapoila <fpapoila@gmail.com>, 2020\\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_PT\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundos\"]}}}}},{locale:\"ru\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Игорь Бондаренко <garbond@yandex.ru>, 2020\",\"Language-Team\":\"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ru\",\"Plural-Forms\":\"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nИгорь Бондаренко <garbond@yandex.ru>, 2020\\n\"},msgstr:[\"Last-Translator: Игорь Бондаренко <garbond@yandex.ru>, 2020\\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ru\\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"секунды\"]}}}}},{locale:\"sq\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Greta, 2020\",\"Language-Team\":\"Albanian (https://www.transifex.com/nextcloud/teams/64236/sq/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sq\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nGreta, 2020\\n\"},msgstr:[\"Last-Translator: Greta, 2020\\nLanguage-Team: Albanian (https://www.transifex.com/nextcloud/teams/64236/sq/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sq\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekonda\"]}}}}},{locale:\"sr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Slobodan Simić <slsimic@gmail.com>, 2020\",\"Language-Team\":\"Serbian (https://www.transifex.com/nextcloud/teams/64236/sr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sr\",\"Plural-Forms\":\"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<10 || n%100>=20) ? 1 : 2);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nSlobodan Simić <slsimic@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Slobodan Simić <slsimic@gmail.com>, 2020\\nLanguage-Team: Serbian (https://www.transifex.com/nextcloud/teams/64236/sr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sr\\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<10 || n%100>=20) ? 1 : 2);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"секунде\"]}}}}},{locale:\"sv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Magnus Höglund, 2020\",\"Language-Team\":\"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sv\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMagnus Höglund, 2020\\n\"},msgstr:[\"Last-Translator: Magnus Höglund, 2020\\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sv\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekunder\"]}}}}},{locale:\"tr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\",\"Language-Team\":\"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"tr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nHüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\\n\"},msgstr:[\"Last-Translator: Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: tr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"saniye\"]}}}}},{locale:\"uk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\",\"Language-Team\":\"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"uk\",\"Plural-Forms\":\"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: uk\\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"секунди\"]}}}}},{locale:\"zh_CN\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Jay Guo <jayguo921@gmail.com>, 2020\",\"Language-Team\":\"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_CN\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nJay Guo <jayguo921@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Jay Guo <jayguo921@gmail.com>, 2020\\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_CN\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"秒\"]}}}}},{locale:\"zh_TW\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Jim Tsai <poormusic2001@gmail.com>, 2020\",\"Language-Team\":\"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_TW\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nJim Tsai <poormusic2001@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Jim Tsai <poormusic2001@gmail.com>, 2020\\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_TW\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"秒\"]}}}}}].map((function(a){m.addTranslations(a.locale,\"messages\",a.json)})),m.setLocale(u),t.a.locale(u),t.a.updateLocale(t.a.locale(),{parentLocale:t.a.locale(),relativeTime:Object.assign(t.a.localeData(t.a.locale())._relativeTime,{s:m.gettext(\"seconds\")})}),n.default=t.a}])}));\n//# sourceMappingURL=index.js.map","'use strict'\n\nvar high = require('highlight.js/lib/highlight.js')\nvar fault = require('fault')\n\n// The lowlight interface, which has to be compatible with highlight.js, as\n// this object is passed to highlight.js syntaxes.\n\nfunction High() {}\n\nHigh.prototype = high\n\n// Expose.\nvar low = new High() // Ha!\n\nmodule.exports = low\n\nlow.highlight = highlight\nlow.highlightAuto = autoHighlight\nlow.registerLanguage = registerLanguage\nlow.listLanguages = listLanguages\nlow.registerAlias = registerAlias\nlow.getLanguage = getLanguage\n\nvar inherit = high.inherit\nvar own = {}.hasOwnProperty\n\nvar defaultPrefix = 'hljs-'\nvar keyInsensitive = 'case_insensitive'\nvar keyCachedVariants = 'cached_variants'\nvar keyTerminatorEnd = 'terminator_end'\nvar space = ' '\nvar verticalBar = '|'\nvar parenOpen = '('\nvar parenClose = ')'\nvar backslash = '\\\\'\nvar commonKeywords = ['of', 'and', 'for', 'in', 'not', 'or', 'if', 'then']\n\n// Maps of syntaxes.\nvar languageNames = []\nvar languages = {}\nvar aliases = {}\n\n// Highlighting with language detection.\n// Accepts a string with the code to highlight.\n// Returns an object with the following properties:\n//\n// * `language` — Detected language\n// * `relevance` — Integer\n// * `value` — HAST tree with highlighting markup\n// * `secondBest` — Object with the same structure for second-best\n// heuristically detected language, may be absent.\nfunction autoHighlight(value, options) {\n var settings = options || {}\n var subset = settings.subset || languageNames\n var prefix = settings.prefix\n var length = subset.length\n var index = -1\n var result\n var secondBest\n var current\n var name\n\n if (prefix === null || prefix === undefined) {\n prefix = defaultPrefix\n }\n\n if (typeof value !== 'string') {\n throw fault('Expected `string` for value, got `%s`', value)\n }\n\n secondBest = normalize({})\n result = normalize({})\n\n while (++index < length) {\n name = subset[index]\n\n if (!getLanguage(name)) {\n continue\n }\n\n current = normalize(coreHighlight(name, value, false, prefix))\n\n current.language = name\n\n if (current.relevance > secondBest.relevance) {\n secondBest = current\n }\n\n if (current.relevance > result.relevance) {\n secondBest = result\n result = current\n }\n }\n\n if (secondBest.language) {\n result.secondBest = secondBest\n }\n\n return result\n}\n\n// Highlighting `value` in the language `language`.\nfunction highlight(language, value, options) {\n var settings = options || {}\n var prefix = settings.prefix\n\n if (prefix === null || prefix === undefined) {\n prefix = defaultPrefix\n }\n\n return normalize(coreHighlight(language, value, true, prefix))\n}\n\n// Register a language.\nfunction registerLanguage(name, syntax) {\n var lang = syntax(low)\n\n lang.rawDefinition = syntax.bind(null, low)\n\n languages[name] = lang\n\n languageNames.push(name)\n\n if (lang.aliases) {\n registerAlias(name, lang.aliases)\n }\n}\n\n// Get a list of all registered languages.\nfunction listLanguages() {\n return languageNames.concat()\n}\n\n// Register more aliases for an already registered language.\nfunction registerAlias(name, alias) {\n var map = name\n var key\n var list\n var length\n var index\n\n if (alias) {\n map = {}\n map[name] = alias\n }\n\n for (key in map) {\n list = map[key]\n list = typeof list === 'string' ? [list] : list\n length = list.length\n index = -1\n\n while (++index < length) {\n aliases[list[index]] = key\n }\n }\n}\n\n// Core highlighting function.\n// Accepts a language name, or an alias, and a string with the code to\n// highlight.\n// eslint-disable-next-line max-params\nfunction coreHighlight(name, value, ignore, prefix, continuation) {\n var lastMatch = {}\n var continuations = {}\n var stack = []\n var modeBuffer = ''\n var relevance = 0\n var language\n var top\n var current\n var currentChildren\n var offset\n var count\n var match\n var children\n\n if (typeof name !== 'string') {\n throw fault('Expected `string` for name, got `%s`', name)\n }\n\n if (typeof value !== 'string') {\n throw fault('Expected `string` for value, got `%s`', value)\n }\n\n language = getLanguage(name)\n top = continuation || language\n children = []\n\n current = top\n currentChildren = children\n\n if (!language) {\n throw fault('Unknown language: `%s` is not registered', name)\n }\n\n compileLanguage(language)\n\n try {\n top.terminators.lastIndex = 0\n offset = 0\n match = top.terminators.exec(value)\n\n while (match) {\n count = processLexeme(value.slice(offset, match.index), match)\n offset = match.index + count\n top.terminators.lastIndex = offset\n match = top.terminators.exec(value)\n }\n\n processLexeme(value.slice(offset))\n current = top\n\n while (current.parent) {\n if (current.className) {\n pop()\n }\n\n current = current.parent\n }\n\n return {\n relevance: relevance,\n value: currentChildren,\n illegal: false,\n language: name,\n top: top\n }\n } catch (error) {\n /* istanbul ignore if - Catch-all */\n if (error.message.indexOf('Illegal') === -1) {\n throw error\n }\n\n return {relevance: 0, illegal: true, value: addText(value, [])}\n }\n\n function escapeRe(value) {\n return new RegExp(value.replace(/[-/\\\\^$*+?.()|[\\]{}]/g, '\\\\$&'), 'm')\n }\n\n function doBeginMatch(match) {\n var lexeme = match[0]\n var newMode = match.rule\n\n if (newMode && newMode.endSameAsBegin) {\n newMode.endRe = escapeRe(lexeme)\n }\n\n if (newMode.skip) {\n modeBuffer += lexeme\n } else {\n if (newMode.excludeBegin) {\n modeBuffer += lexeme\n }\n\n addSiblings(processBuffer(), currentChildren)\n\n if (!newMode.returnBegin && !newMode.excludeBegin) {\n modeBuffer = lexeme\n }\n }\n\n startNewMode(newMode)\n\n return newMode.returnBegin ? 0 : lexeme.length\n }\n\n function doEndMatch(match) {\n var lexeme = match[0]\n var matchPlusRemainder = value.slice(match.index)\n var endMode = endOfMode(top, matchPlusRemainder)\n\n if (!endMode) {\n return\n }\n\n var origin = top\n\n if (origin.skip) {\n modeBuffer += lexeme\n } else {\n if (!(origin.returnEnd || origin.excludeEnd)) {\n modeBuffer += lexeme\n }\n\n addSiblings(processBuffer(), currentChildren)\n\n if (origin.excludeEnd) {\n modeBuffer = lexeme\n }\n }\n\n do {\n if (top.className) {\n pop()\n }\n\n if (!top.skip && !top.subLanguage) {\n relevance += top.relevance\n }\n\n top = top.parent\n } while (top !== endMode.parent)\n\n if (endMode.starts) {\n /* istanbul ignore if - hljs 9.16 added support for this but didn’t use it yet. */\n if (endMode.endSameAsBegin) {\n endMode.starts.endRe = endMode.endRe\n }\n\n startNewMode(endMode.starts)\n }\n\n return origin.returnEnd ? 0 : lexeme.length\n }\n\n function processLexeme(textBeforeMatch, match) {\n var lexeme = match && match[0]\n var processed\n\n // Add non-matched text to the current mode buffer\n modeBuffer += textBeforeMatch\n\n if (lexeme === undefined) {\n addSiblings(processBuffer(), currentChildren)\n return 0\n }\n\n // We've found a 0 width match and we're stuck, so we need to advance\n // this happens when we have badly behaved rules that have optional matchers to the degree that\n // sometimes they can end up matching nothing at all\n // Ref: https://github.com/highlightjs/highlight.js/issues/2140\n /* istanbul ignore if - Unknown what this fixes or which case fixes it */\n if (\n lastMatch.type === 'begin' &&\n match.type === 'end' &&\n lastMatch.index === match.index &&\n lexeme === ''\n ) {\n // Spit the “skipped” character that our regex choked on back into the output sequence\n modeBuffer += value.slice(match.index, match.index + 1)\n return 1\n }\n\n lastMatch = match\n\n if (match.type === 'begin') {\n return doBeginMatch(match)\n }\n\n if (match.type === 'end') {\n processed = doEndMatch(match)\n\n if (processed !== undefined) {\n return processed\n }\n }\n\n if (match.type === 'illegal' && !ignore) {\n // Illegal match, we do not continue processing\n throw fault(\n 'Illegal lexeme \"%s\" for mode \"%s\"',\n lexeme,\n top.className || '<unnamed>'\n )\n }\n\n // Why might be find ourselves here?\n // Only one occasion now.\n // An end match that was triggered but could not be completed.\n // When might this happen?\n // When an `endSameasBegin` rule sets the end rule to a specific match.\n // Since the overall mode termination rule that’s being used to scan the\n // text isn’t recompiled that means that any match that LOOKS like the end\n // (but is not, because it is not an exact match to the beginning) will end\n // up here.\n // A definite end match, but when `doEndMatch` tries to “reapply” the end\n // rule and fails to match, we wind up here, and just silently ignore the\n // end.\n // This causes no real harm other than stopping a few times too many.\n modeBuffer += lexeme\n\n return lexeme.length\n }\n\n // Start a new mode with a `lexeme` to process.\n function startNewMode(mode) {\n var node\n\n if (mode.className) {\n node = build(mode.className, [])\n }\n\n // Enter a new mode.\n if (node) {\n currentChildren.push(node)\n stack.push(currentChildren)\n currentChildren = node.children\n }\n\n top = Object.create(mode, {parent: {value: top}})\n }\n\n // Process the buffer.\n function processBuffer() {\n var result = top.subLanguage ? processSubLanguage() : processKeywords()\n modeBuffer = ''\n return result\n }\n\n // Process a sublanguage (returns a list of nodes).\n function processSubLanguage() {\n var explicit = typeof top.subLanguage === 'string'\n var subvalue\n\n /* istanbul ignore if - support non-loaded sublanguages */\n if (explicit && !languages[top.subLanguage]) {\n return addText(modeBuffer, [])\n }\n\n if (explicit) {\n subvalue = coreHighlight(\n top.subLanguage,\n modeBuffer,\n true,\n prefix,\n continuations[top.subLanguage]\n )\n } else {\n subvalue = autoHighlight(modeBuffer, {\n subset: top.subLanguage.length === 0 ? undefined : top.subLanguage,\n prefix: prefix\n })\n }\n\n // If we couldn’t highlight, for example because the requests subset isn’t\n // loaded, return a text node.\n if (!subvalue.language) {\n return [buildText(modeBuffer)]\n }\n\n // Counting embedded language score towards the host language may be\n // disabled with zeroing the containing mode relevance.\n // Usecase in point is Markdown that allows XML everywhere and makes every\n // XML snippet to have a much larger Markdown score.\n if (top.relevance > 0) {\n relevance += subvalue.relevance\n }\n\n if (explicit) {\n continuations[top.subLanguage] = subvalue.top\n }\n\n return [build(subvalue.language, subvalue.value, true)]\n }\n\n // Process keywords. Returns nodes.\n function processKeywords() {\n var nodes = []\n var lastIndex\n var keyword\n var node\n var submatch\n\n if (!top.keywords) {\n return addText(modeBuffer, nodes)\n }\n\n lastIndex = 0\n\n top.lexemesRe.lastIndex = 0\n\n keyword = top.lexemesRe.exec(modeBuffer)\n\n while (keyword) {\n addText(modeBuffer.slice(lastIndex, keyword.index), nodes)\n\n submatch = keywordMatch(top, keyword)\n\n if (submatch) {\n relevance += submatch[1]\n\n node = build(submatch[0], [])\n\n nodes.push(node)\n\n addText(keyword[0], node.children)\n } else {\n addText(keyword[0], nodes)\n }\n\n lastIndex = top.lexemesRe.lastIndex\n keyword = top.lexemesRe.exec(modeBuffer)\n }\n\n addText(modeBuffer.slice(lastIndex), nodes)\n\n return nodes\n }\n\n // Add siblings.\n function addSiblings(siblings, nodes) {\n var length = siblings.length\n var index = -1\n var sibling\n\n while (++index < length) {\n sibling = siblings[index]\n\n if (sibling.type === 'text') {\n addText(sibling.value, nodes)\n } else {\n nodes.push(sibling)\n }\n }\n }\n\n // Add a text.\n function addText(value, nodes) {\n var tail\n\n if (value) {\n tail = nodes[nodes.length - 1]\n\n if (tail && tail.type === 'text') {\n tail.value += value\n } else {\n nodes.push(buildText(value))\n }\n }\n\n return nodes\n }\n\n // Build a text.\n function buildText(value) {\n return {type: 'text', value: value}\n }\n\n // Build a span.\n function build(name, contents, noPrefix) {\n return {\n type: 'element',\n tagName: 'span',\n properties: {\n className: [(noPrefix ? '' : prefix) + name]\n },\n children: contents\n }\n }\n\n // Check if the first word in `keywords` is a keyword.\n function keywordMatch(mode, keywords) {\n var keyword = keywords[0]\n\n if (language[keyInsensitive]) {\n keyword = keyword.toLowerCase()\n }\n\n return own.call(mode.keywords, keyword) && mode.keywords[keyword]\n }\n\n // Check if `lexeme` ends `mode`.\n function endOfMode(mode, lexeme) {\n if (test(mode.endRe, lexeme)) {\n while (mode.endsParent && mode.parent) {\n mode = mode.parent\n }\n\n return mode\n }\n\n if (mode.endsWithParent) {\n return endOfMode(mode.parent, lexeme)\n }\n }\n\n // Exit the current context.\n function pop() {\n /* istanbul ignore next - removed in hljs 9.3 */\n currentChildren = stack.pop() || children\n }\n}\n\n// Compile a language.\nfunction compileLanguage(language) {\n compileMode(language)\n\n // Compile a language mode, optionally with a parent.\n function compileMode(mode, parent) {\n if (mode.compiled) {\n return\n }\n\n mode.compiled = true\n\n mode.keywords = mode.keywords || mode.beginKeywords\n\n if (mode.keywords) {\n mode.keywords = compileKeywords(mode.keywords, language[keyInsensitive])\n }\n\n mode.lexemesRe = langRe(mode.lexemes || /\\w+/, true)\n\n if (parent) {\n if (mode.beginKeywords) {\n mode.begin =\n '\\\\b(' + mode.beginKeywords.split(space).join(verticalBar) + ')\\\\b'\n }\n\n if (!mode.begin) {\n mode.begin = /\\B|\\b/\n }\n\n mode.beginRe = langRe(mode.begin)\n\n if (mode.endSameAsBegin) {\n mode.end = mode.begin\n }\n\n if (!mode.end && !mode.endsWithParent) {\n mode.end = /\\B|\\b/\n }\n\n if (mode.end) {\n mode.endRe = langRe(mode.end)\n }\n\n mode[keyTerminatorEnd] = source(mode.end) || ''\n\n if (mode.endsWithParent && parent[keyTerminatorEnd]) {\n mode[keyTerminatorEnd] +=\n (mode.end ? verticalBar : '') + parent[keyTerminatorEnd]\n }\n }\n\n if (mode.illegal) {\n mode.illegalRe = langRe(mode.illegal)\n }\n\n if (mode.relevance === undefined) {\n mode.relevance = 1\n }\n\n mode.contains = compileContains(mode.contains || [], mode)\n\n if (mode.starts) {\n compileMode(mode.starts, parent)\n }\n\n mode.terminators = buildModeRegex(mode)\n }\n\n function compileContains(contains, mode) {\n var result = []\n var length = contains.length\n var index = -1\n var contained\n\n while (++index < length) {\n contained = contains[index]\n result = result.concat(\n expandOrCloneMode(contained === 'self' ? mode : contained)\n )\n }\n\n length = result.length\n index = -1\n\n while (++index < length) {\n compileMode(result[index], mode)\n }\n\n return result\n }\n\n function buildModeRegex(mode) {\n var indices = {}\n var expression\n var regexes = []\n var matcher = {}\n var matchAt = 1\n var term\n var values = mode.contains\n var length = values.length\n var index = -1\n var terminators = []\n\n while (++index < length) {\n term = values[index]\n\n addRule(\n term,\n term.beginKeywords ? '\\\\.?(?:' + term.begin + ')\\\\.?' : term.begin\n )\n }\n\n if (mode[keyTerminatorEnd]) {\n addRule('end', mode[keyTerminatorEnd])\n }\n\n if (mode.illegal) {\n addRule('illegal', mode.illegal)\n }\n\n length = regexes.length\n index = -1\n\n while (++index < length) {\n terminators[index] = regexes[index][1]\n }\n\n expression = langRe(joinRe(terminators, verticalBar), true)\n\n matcher = {lastIndex: 0, exec: exec}\n\n return matcher\n\n function exec(value) {\n var length\n var index\n var rule\n var match\n var submatch\n\n if (regexes.length === 0) return null\n\n expression.lastIndex = matcher.lastIndex\n match = expression.exec(value)\n\n if (!match) {\n return null\n }\n\n length = match.length\n index = -1\n\n while (++index < length) {\n submatch = match[index]\n\n if (submatch !== undefined && indices[index] !== undefined) {\n rule = indices[index]\n break\n }\n }\n\n // Illegal or end match\n if (typeof rule === 'string') {\n match.type = rule\n match.extra = [mode.illegal, mode.terminator_end]\n } else {\n match.type = 'begin'\n match.rule = rule\n }\n\n return match\n }\n\n function addRule(rule, regex) {\n indices[matchAt] = rule\n regexes.push([rule, regex])\n matchAt += new RegExp(regex.toString() + verticalBar).exec('').length\n }\n }\n\n function joinRe(regexes, separator) {\n var backreferenceRe = /\\[(?:[^\\\\\\]]|\\\\.)*\\]|\\(\\??|\\\\([1-9]\\d*)|\\\\./\n var captures = 0\n var result = ''\n var length = regexes.length\n var index = -1\n var regex\n var offset\n var expression\n var match\n\n while (++index < length) {\n regex = regexes[index]\n expression = source(regex)\n captures += 1\n offset = captures\n\n if (index !== 0) {\n result += separator\n }\n\n result += parenOpen\n\n while (expression.length > 0) {\n match = backreferenceRe.exec(expression)\n\n if (match === null) {\n result += expression\n break\n }\n\n result += expression.slice(0, match.index)\n expression = expression.slice(match.index + match[0].length)\n\n if (match[0][0] === backslash && match[1]) {\n // Adjust the backreference.\n result += backslash + String(Number(match[1]) + offset)\n } else {\n result += match[0]\n\n if (match[0] === parenOpen) {\n captures++\n }\n }\n }\n\n result += parenClose\n }\n\n return result\n }\n\n // Create a regex for `value`.\n function langRe(value, global) {\n return new RegExp(\n source(value),\n 'm' + (language[keyInsensitive] ? 'i' : '') + (global ? 'g' : '')\n )\n }\n\n // Get the source of an expression or string.\n function source(re) {\n return (re && re.source) || re\n }\n}\n\nfunction compileKeywords(values, caseInsensitive) {\n var compiled = {}\n var key\n\n if (typeof values === 'string') {\n flatten('keyword', values)\n } else {\n for (key in values) {\n flatten(key, values[key])\n }\n }\n\n return compiled\n\n function flatten(key, value) {\n var val = caseInsensitive ? value.toLowerCase() : value\n all(key, val.split(space))\n }\n\n function all(key, values) {\n var length = values.length\n var index = -1\n var pair\n\n while (++index < length) {\n pair = values[index].split(verticalBar)\n compiled[pair[0]] = [key, Number(pair[1]) || common(pair[0]) ? 0 : 1]\n }\n }\n}\n\nfunction common(value) {\n return commonKeywords.indexOf(value.toLowerCase()) !== -1\n}\n\nfunction expandOrCloneMode(mode) {\n var length\n var index\n var variants\n var result\n\n if (mode.variants && !mode[keyCachedVariants]) {\n variants = mode.variants\n length = variants.length\n index = -1\n result = []\n\n while (++index < length) {\n result[index] = inherit(mode, {variants: null}, variants[index])\n }\n\n mode[keyCachedVariants] = result\n }\n\n // Expand.\n if (mode.cached_variants) return mode.cached_variants\n\n // Clone.\n if (dependencyOnParent(mode))\n return [inherit(mode, {starts: mode.starts ? inherit(mode.starts) : null})]\n\n return [mode]\n}\n\nfunction dependencyOnParent(mode) {\n return mode ? mode.endsWithParent || dependencyOnParent(mode.starts) : false\n}\n\n// Normalize a syntax result.\nfunction normalize(result) {\n return {\n relevance: result.relevance || 0,\n language: result.language || null,\n value: result.value || []\n }\n}\n\n// Check if `expression` matches `lexeme`.\nfunction test(expression, lexeme) {\n var match = expression && expression.exec(lexeme)\n return match && match.index === 0\n}\n\n// Get a language by `name`.\nfunction getLanguage(name) {\n name = name.toLowerCase()\n\n return languages[name] || languages[aliases[name]]\n}\n","/*\nSyntax highlighting with language autodetection.\nhttps://highlightjs.org/\n*/\n\n(function(factory) {\n\n // Find the global object for export to both the browser and web workers.\n var globalObject = typeof window === 'object' && window ||\n typeof self === 'object' && self;\n\n // Setup highlight.js for different environments. First is Node.js or\n // CommonJS.\n // `nodeType` is checked to ensure that `exports` is not a HTML element.\n if(typeof exports !== 'undefined' && !exports.nodeType) {\n factory(exports);\n } else if(globalObject) {\n // Export hljs globally even when using AMD for cases when this script\n // is loaded with others that may still expect a global hljs.\n globalObject.hljs = factory({});\n\n // Finally register the global hljs with AMD.\n if(typeof define === 'function' && define.amd) {\n define([], function() {\n return globalObject.hljs;\n });\n }\n }\n\n}(function(hljs) {\n // Convenience variables for build-in objects\n var ArrayProto = [],\n objectKeys = Object.keys;\n\n // Global internal variables used within the highlight.js library.\n var languages = {},\n aliases = {};\n\n // Regular expressions used throughout the highlight.js library.\n var noHighlightRe = /^(no-?highlight|plain|text)$/i,\n languagePrefixRe = /\\blang(?:uage)?-([\\w-]+)\\b/i,\n fixMarkupRe = /((^(<[^>]+>|\\t|)+|(?:\\n)))/gm;\n\n // The object will be assigned by the build tool. It used to synchronize API\n // of external language files with minified version of the highlight.js library.\n var API_REPLACES;\n\n var spanEndTag = '</span>';\n\n // Global options used when within external APIs. This is modified when\n // calling the `hljs.configure` function.\n var options = {\n classPrefix: 'hljs-',\n tabReplace: null,\n useBR: false,\n languages: undefined\n };\n\n // keywords that should have no default relevance value\n var COMMON_KEYWORDS = 'of and for in not or if then'.split(' ')\n\n\n /* Utility functions */\n\n function escape(value) {\n return value.replace(/&/g, '&amp;').replace(/</g, '&lt;').replace(/>/g, '&gt;');\n }\n\n function tag(node) {\n return node.nodeName.toLowerCase();\n }\n\n function testRe(re, lexeme) {\n var match = re && re.exec(lexeme);\n return match && match.index === 0;\n }\n\n function isNotHighlighted(language) {\n return noHighlightRe.test(language);\n }\n\n function blockLanguage(block) {\n var i, match, length, _class;\n var classes = block.className + ' ';\n\n classes += block.parentNode ? block.parentNode.className : '';\n\n // language-* takes precedence over non-prefixed class names.\n match = languagePrefixRe.exec(classes);\n if (match) {\n return getLanguage(match[1]) ? match[1] : 'no-highlight';\n }\n\n classes = classes.split(/\\s+/);\n\n for (i = 0, length = classes.length; i < length; i++) {\n _class = classes[i];\n\n if (isNotHighlighted(_class) || getLanguage(_class)) {\n return _class;\n }\n }\n }\n\n function inherit(parent) { // inherit(parent, override_obj, override_obj, ...)\n var key;\n var result = {};\n var objects = Array.prototype.slice.call(arguments, 1);\n\n for (key in parent)\n result[key] = parent[key];\n objects.forEach(function(obj) {\n for (key in obj)\n result[key] = obj[key];\n });\n return result;\n }\n\n /* Stream merging */\n\n function nodeStream(node) {\n var result = [];\n (function _nodeStream(node, offset) {\n for (var child = node.firstChild; child; child = child.nextSibling) {\n if (child.nodeType === 3)\n offset += child.nodeValue.length;\n else if (child.nodeType === 1) {\n result.push({\n event: 'start',\n offset: offset,\n node: child\n });\n offset = _nodeStream(child, offset);\n // Prevent void elements from having an end tag that would actually\n // double them in the output. There are more void elements in HTML\n // but we list only those realistically expected in code display.\n if (!tag(child).match(/br|hr|img|input/)) {\n result.push({\n event: 'stop',\n offset: offset,\n node: child\n });\n }\n }\n }\n return offset;\n })(node, 0);\n return result;\n }\n\n function mergeStreams(original, highlighted, value) {\n var processed = 0;\n var result = '';\n var nodeStack = [];\n\n function selectStream() {\n if (!original.length || !highlighted.length) {\n return original.length ? original : highlighted;\n }\n if (original[0].offset !== highlighted[0].offset) {\n return (original[0].offset < highlighted[0].offset) ? original : highlighted;\n }\n\n /*\n To avoid starting the stream just before it should stop the order is\n ensured that original always starts first and closes last:\n\n if (event1 == 'start' && event2 == 'start')\n return original;\n if (event1 == 'start' && event2 == 'stop')\n return highlighted;\n if (event1 == 'stop' && event2 == 'start')\n return original;\n if (event1 == 'stop' && event2 == 'stop')\n return highlighted;\n\n ... which is collapsed to:\n */\n return highlighted[0].event === 'start' ? original : highlighted;\n }\n\n function open(node) {\n function attr_str(a) {return ' ' + a.nodeName + '=\"' + escape(a.value).replace('\"', '&quot;') + '\"';}\n result += '<' + tag(node) + ArrayProto.map.call(node.attributes, attr_str).join('') + '>';\n }\n\n function close(node) {\n result += '</' + tag(node) + '>';\n }\n\n function render(event) {\n (event.event === 'start' ? open : close)(event.node);\n }\n\n while (original.length || highlighted.length) {\n var stream = selectStream();\n result += escape(value.substring(processed, stream[0].offset));\n processed = stream[0].offset;\n if (stream === original) {\n /*\n On any opening or closing tag of the original markup we first close\n the entire highlighted node stack, then render the original tag along\n with all the following original tags at the same offset and then\n reopen all the tags on the highlighted stack.\n */\n nodeStack.reverse().forEach(close);\n do {\n render(stream.splice(0, 1)[0]);\n stream = selectStream();\n } while (stream === original && stream.length && stream[0].offset === processed);\n nodeStack.reverse().forEach(open);\n } else {\n if (stream[0].event === 'start') {\n nodeStack.push(stream[0].node);\n } else {\n nodeStack.pop();\n }\n render(stream.splice(0, 1)[0]);\n }\n }\n return result + escape(value.substr(processed));\n }\n\n /* Initialization */\n\n function dependencyOnParent(mode) {\n if (!mode) return false;\n\n return mode.endsWithParent || dependencyOnParent(mode.starts)\n }\n\n function expand_or_clone_mode(mode) {\n if (mode.variants && !mode.cached_variants) {\n mode.cached_variants = mode.variants.map(function(variant) {\n return inherit(mode, {variants: null}, variant);\n });\n }\n\n // EXPAND\n // if we have variants then essentually \"replace\" the mode with the variants\n // this happens in compileMode, where this function is called from\n if (mode.cached_variants)\n return mode.cached_variants;\n\n // CLONE\n // if we have dependencies on parents then we need a unique\n // instance of ourselves, so we can be reused with many\n // different parents without issue\n if (dependencyOnParent(mode))\n return [inherit(mode, { starts: mode.starts ? inherit(mode.starts) : null })]\n\n // no special dependency issues, just return ourselves\n return [mode]\n }\n\n function restoreLanguageApi(obj) {\n if(API_REPLACES && !obj.langApiRestored) {\n obj.langApiRestored = true;\n for(var key in API_REPLACES)\n obj[key] && (obj[API_REPLACES[key]] = obj[key]);\n (obj.contains || []).concat(obj.variants || []).forEach(restoreLanguageApi);\n }\n }\n\n function compileKeywords(rawKeywords, case_insensitive) {\n var compiled_keywords = {};\n\n if (typeof rawKeywords === 'string') { // string\n splitAndCompile('keyword', rawKeywords);\n } else {\n objectKeys(rawKeywords).forEach(function (className) {\n splitAndCompile(className, rawKeywords[className]);\n });\n }\n return compiled_keywords;\n\n // ---\n\n function splitAndCompile(className, str) {\n if (case_insensitive) {\n str = str.toLowerCase();\n }\n str.split(' ').forEach(function(keyword) {\n var pair = keyword.split('|');\n compiled_keywords[pair[0]] = [className, scoreForKeyword(pair[0], pair[1])];\n });\n };\n }\n\n function scoreForKeyword(keyword, providedScore) {\n // manual scores always win over common keywords\n // so you can force a score of 1 if you really insist\n if (providedScore)\n return Number(providedScore)\n\n return commonKeyword(keyword) ? 0 : 1;\n }\n\n function commonKeyword(word) {\n return COMMON_KEYWORDS.indexOf(word.toLowerCase()) != -1\n }\n\n function compileLanguage(language) {\n\n function reStr(re) {\n return (re && re.source) || re;\n }\n\n function langRe(value, global) {\n return new RegExp(\n reStr(value),\n 'm' + (language.case_insensitive ? 'i' : '') + (global ? 'g' : '')\n );\n }\n\n function reCountMatchGroups(re) {\n return (new RegExp(re.toString() + '|')).exec('').length - 1;\n }\n\n // joinRe logically computes regexps.join(separator), but fixes the\n // backreferences so they continue to match.\n // it also places each individual regular expression into it's own\n // match group, keeping track of the sequencing of those match groups\n // is currently an exercise for the caller. :-)\n function joinRe(regexps, separator) {\n // backreferenceRe matches an open parenthesis or backreference. To avoid\n // an incorrect parse, it additionally matches the following:\n // - [...] elements, where the meaning of parentheses and escapes change\n // - other escape sequences, so we do not misparse escape sequences as\n // interesting elements\n // - non-matching or lookahead parentheses, which do not capture. These\n // follow the '(' with a '?'.\n var backreferenceRe = /\\[(?:[^\\\\\\]]|\\\\.)*\\]|\\(\\??|\\\\([1-9][0-9]*)|\\\\./;\n var numCaptures = 0;\n var ret = '';\n for (var i = 0; i < regexps.length; i++) {\n numCaptures += 1;\n var offset = numCaptures;\n var re = reStr(regexps[i]);\n if (i > 0) {\n ret += separator;\n }\n ret += \"(\";\n while (re.length > 0) {\n var match = backreferenceRe.exec(re);\n if (match == null) {\n ret += re;\n break;\n }\n ret += re.substring(0, match.index);\n re = re.substring(match.index + match[0].length);\n if (match[0][0] == '\\\\' && match[1]) {\n // Adjust the backreference.\n ret += '\\\\' + String(Number(match[1]) + offset);\n } else {\n ret += match[0];\n if (match[0] == '(') {\n numCaptures++;\n }\n }\n }\n ret += \")\";\n }\n return ret;\n }\n\n function buildModeRegex(mode) {\n\n var matchIndexes = {};\n var matcherRe;\n var regexes = [];\n var matcher = {};\n var matchAt = 1;\n\n function addRule(rule, regex) {\n matchIndexes[matchAt] = rule;\n regexes.push([rule, regex]);\n matchAt += reCountMatchGroups(regex) + 1;\n }\n\n var term;\n for (var i=0; i < mode.contains.length; i++) {\n var re;\n term = mode.contains[i];\n if (term.beginKeywords) {\n re = '\\\\.?(?:' + term.begin + ')\\\\.?';\n } else {\n re = term.begin;\n }\n addRule(term, re);\n }\n if (mode.terminator_end)\n addRule(\"end\", mode.terminator_end);\n if (mode.illegal)\n addRule(\"illegal\", mode.illegal);\n\n var terminators = regexes.map(function(el) { return el[1] });\n matcherRe = langRe(joinRe(terminators, '|'), true);\n\n matcher.lastIndex = 0;\n matcher.exec = function(s) {\n var rule;\n\n if( regexes.length === 0) return null;\n\n matcherRe.lastIndex = matcher.lastIndex;\n var match = matcherRe.exec(s);\n if (!match) { return null; }\n\n for(var i = 0; i<match.length; i++) {\n if (match[i] != undefined && matchIndexes[\"\" +i] != undefined ) {\n rule = matchIndexes[\"\"+i];\n break;\n }\n }\n\n // illegal or end match\n if (typeof rule === \"string\") {\n match.type = rule;\n match.extra = [mode.illegal, mode.terminator_end];\n } else {\n match.type = \"begin\";\n match.rule = rule;\n }\n return match;\n }\n\n return matcher;\n }\n\n function compileMode(mode, parent) {\n if (mode.compiled)\n return;\n mode.compiled = true;\n\n mode.keywords = mode.keywords || mode.beginKeywords;\n if (mode.keywords)\n mode.keywords = compileKeywords(mode.keywords, language.case_insensitive)\n\n mode.lexemesRe = langRe(mode.lexemes || /\\w+/, true);\n\n if (parent) {\n if (mode.beginKeywords) {\n mode.begin = '\\\\b(' + mode.beginKeywords.split(' ').join('|') + ')\\\\b';\n }\n if (!mode.begin)\n mode.begin = /\\B|\\b/;\n mode.beginRe = langRe(mode.begin);\n if (mode.endSameAsBegin)\n mode.end = mode.begin;\n if (!mode.end && !mode.endsWithParent)\n mode.end = /\\B|\\b/;\n if (mode.end)\n mode.endRe = langRe(mode.end);\n mode.terminator_end = reStr(mode.end) || '';\n if (mode.endsWithParent && parent.terminator_end)\n mode.terminator_end += (mode.end ? '|' : '') + parent.terminator_end;\n }\n if (mode.illegal)\n mode.illegalRe = langRe(mode.illegal);\n if (mode.relevance == null)\n mode.relevance = 1;\n if (!mode.contains) {\n mode.contains = [];\n }\n mode.contains = Array.prototype.concat.apply([], mode.contains.map(function(c) {\n return expand_or_clone_mode(c === 'self' ? mode : c);\n }));\n mode.contains.forEach(function(c) {compileMode(c, mode);});\n\n if (mode.starts) {\n compileMode(mode.starts, parent);\n }\n\n mode.terminators = buildModeRegex(mode);\n }\n\n compileMode(language);\n }\n\n /*\n Core highlighting function. Accepts a language name, or an alias, and a\n string with the code to highlight. Returns an object with the following\n properties:\n\n - relevance (int)\n - value (an HTML string with highlighting markup)\n\n */\n function highlight(name, value, ignore_illegals, continuation) {\n\n function escapeRe(value) {\n return new RegExp(value.replace(/[-\\/\\\\^$*+?.()|[\\]{}]/g, '\\\\$&'), 'm');\n }\n\n function endOfMode(mode, lexeme) {\n if (testRe(mode.endRe, lexeme)) {\n while (mode.endsParent && mode.parent) {\n mode = mode.parent;\n }\n return mode;\n }\n if (mode.endsWithParent) {\n return endOfMode(mode.parent, lexeme);\n }\n }\n\n function keywordMatch(mode, match) {\n var match_str = language.case_insensitive ? match[0].toLowerCase() : match[0];\n return mode.keywords.hasOwnProperty(match_str) && mode.keywords[match_str];\n }\n\n function buildSpan(classname, insideSpan, leaveOpen, noPrefix) {\n if (!leaveOpen && insideSpan === '') return '';\n if (!classname) return insideSpan;\n\n var classPrefix = noPrefix ? '' : options.classPrefix,\n openSpan = '<span class=\"' + classPrefix,\n closeSpan = leaveOpen ? '' : spanEndTag;\n\n openSpan += classname + '\">';\n\n return openSpan + insideSpan + closeSpan;\n }\n\n function processKeywords() {\n var keyword_match, last_index, match, result;\n\n if (!top.keywords)\n return escape(mode_buffer);\n\n result = '';\n last_index = 0;\n top.lexemesRe.lastIndex = 0;\n match = top.lexemesRe.exec(mode_buffer);\n\n while (match) {\n result += escape(mode_buffer.substring(last_index, match.index));\n keyword_match = keywordMatch(top, match);\n if (keyword_match) {\n relevance += keyword_match[1];\n result += buildSpan(keyword_match[0], escape(match[0]));\n } else {\n result += escape(match[0]);\n }\n last_index = top.lexemesRe.lastIndex;\n match = top.lexemesRe.exec(mode_buffer);\n }\n return result + escape(mode_buffer.substr(last_index));\n }\n\n function processSubLanguage() {\n var explicit = typeof top.subLanguage === 'string';\n if (explicit && !languages[top.subLanguage]) {\n return escape(mode_buffer);\n }\n\n var result = explicit ?\n highlight(top.subLanguage, mode_buffer, true, continuations[top.subLanguage]) :\n highlightAuto(mode_buffer, top.subLanguage.length ? top.subLanguage : undefined);\n\n // Counting embedded language score towards the host language may be disabled\n // with zeroing the containing mode relevance. Usecase in point is Markdown that\n // allows XML everywhere and makes every XML snippet to have a much larger Markdown\n // score.\n if (top.relevance > 0) {\n relevance += result.relevance;\n }\n if (explicit) {\n continuations[top.subLanguage] = result.top;\n }\n return buildSpan(result.language, result.value, false, true);\n }\n\n function processBuffer() {\n result += (top.subLanguage != null ? processSubLanguage() : processKeywords());\n mode_buffer = '';\n }\n\n function startNewMode(mode) {\n result += mode.className? buildSpan(mode.className, '', true): '';\n top = Object.create(mode, {parent: {value: top}});\n }\n\n\n function doBeginMatch(match) {\n var lexeme = match[0];\n var new_mode = match.rule;\n\n if (new_mode && new_mode.endSameAsBegin) {\n new_mode.endRe = escapeRe( lexeme );\n }\n\n if (new_mode.skip) {\n mode_buffer += lexeme;\n } else {\n if (new_mode.excludeBegin) {\n mode_buffer += lexeme;\n }\n processBuffer();\n if (!new_mode.returnBegin && !new_mode.excludeBegin) {\n mode_buffer = lexeme;\n }\n }\n startNewMode(new_mode, lexeme);\n return new_mode.returnBegin ? 0 : lexeme.length;\n }\n\n function doEndMatch(match) {\n var lexeme = match[0];\n var end_mode = endOfMode(top, lexeme);\n if (!end_mode) { return; }\n\n var origin = top;\n if (origin.skip) {\n mode_buffer += lexeme;\n } else {\n if (!(origin.returnEnd || origin.excludeEnd)) {\n mode_buffer += lexeme;\n }\n processBuffer();\n if (origin.excludeEnd) {\n mode_buffer = lexeme;\n }\n }\n do {\n if (top.className) {\n result += spanEndTag;\n }\n if (!top.skip && !top.subLanguage) {\n relevance += top.relevance;\n }\n top = top.parent;\n } while (top !== end_mode.parent);\n if (end_mode.starts) {\n if (end_mode.endSameAsBegin) {\n end_mode.starts.endRe = end_mode.endRe;\n }\n startNewMode(end_mode.starts, '');\n }\n return origin.returnEnd ? 0 : lexeme.length;\n }\n\n var lastMatch = {};\n function processLexeme(text_before_match, match) {\n\n var lexeme = match && match[0];\n\n // add non-matched text to the current mode buffer\n mode_buffer += text_before_match;\n\n if (lexeme == null) {\n processBuffer();\n return 0;\n }\n\n // we've found a 0 width match and we're stuck, so we need to advance\n // this happens when we have badly behaved rules that have optional matchers to the degree that\n // sometimes they can end up matching nothing at all\n // Ref: https://github.com/highlightjs/highlight.js/issues/2140\n if (lastMatch.type==\"begin\" && match.type==\"end\" && lastMatch.index == match.index && lexeme === \"\") {\n // spit the \"skipped\" character that our regex choked on back into the output sequence\n mode_buffer += value.slice(match.index, match.index + 1)\n return 1;\n }\n lastMatch = match;\n\n if (match.type===\"begin\") {\n return doBeginMatch(match);\n } else if (match.type===\"illegal\" && !ignore_illegals) {\n // illegal match, we do not continue processing\n throw new Error('Illegal lexeme \"' + lexeme + '\" for mode \"' + (top.className || '<unnamed>') + '\"');\n } else if (match.type===\"end\") {\n var processed = doEndMatch(match);\n if (processed != undefined)\n return processed;\n }\n\n /*\n Why might be find ourselves here? Only one occasion now. An end match that was\n triggered but could not be completed. When might this happen? When an `endSameasBegin`\n rule sets the end rule to a specific match. Since the overall mode termination rule that's\n being used to scan the text isn't recompiled that means that any match that LOOKS like\n the end (but is not, because it is not an exact match to the beginning) will\n end up here. A definite end match, but when `doEndMatch` tries to \"reapply\"\n the end rule and fails to match, we wind up here, and just silently ignore the end.\n\n This causes no real harm other than stopping a few times too many.\n */\n\n mode_buffer += lexeme;\n return lexeme.length;\n }\n\n var language = getLanguage(name);\n if (!language) {\n throw new Error('Unknown language: \"' + name + '\"');\n }\n\n compileLanguage(language);\n var top = continuation || language;\n var continuations = {}; // keep continuations for sub-languages\n var result = '', current;\n for(current = top; current !== language; current = current.parent) {\n if (current.className) {\n result = buildSpan(current.className, '', true) + result;\n }\n }\n var mode_buffer = '';\n var relevance = 0;\n try {\n var match, count, index = 0;\n while (true) {\n top.terminators.lastIndex = index;\n match = top.terminators.exec(value);\n if (!match)\n break;\n count = processLexeme(value.substring(index, match.index), match);\n index = match.index + count;\n }\n processLexeme(value.substr(index));\n for(current = top; current.parent; current = current.parent) { // close dangling modes\n if (current.className) {\n result += spanEndTag;\n }\n }\n return {\n relevance: relevance,\n value: result,\n illegal:false,\n language: name,\n top: top\n };\n } catch (e) {\n if (e.message && e.message.indexOf('Illegal') !== -1) {\n return {\n illegal: true,\n relevance: 0,\n value: escape(value)\n };\n } else {\n throw e;\n }\n }\n }\n\n /*\n Highlighting with language detection. Accepts a string with the code to\n highlight. Returns an object with the following properties:\n\n - language (detected language)\n - relevance (int)\n - value (an HTML string with highlighting markup)\n - second_best (object with the same structure for second-best heuristically\n detected language, may be absent)\n\n */\n function highlightAuto(text, languageSubset) {\n languageSubset = languageSubset || options.languages || objectKeys(languages);\n var result = {\n relevance: 0,\n value: escape(text)\n };\n var second_best = result;\n languageSubset.filter(getLanguage).filter(autoDetection).forEach(function(name) {\n var current = highlight(name, text, false);\n current.language = name;\n if (current.relevance > second_best.relevance) {\n second_best = current;\n }\n if (current.relevance > result.relevance) {\n second_best = result;\n result = current;\n }\n });\n if (second_best.language) {\n result.second_best = second_best;\n }\n return result;\n }\n\n /*\n Post-processing of the highlighted markup:\n\n - replace TABs with something more useful\n - replace real line-breaks with '<br>' for non-pre containers\n\n */\n function fixMarkup(value) {\n return !(options.tabReplace || options.useBR)\n ? value\n : value.replace(fixMarkupRe, function(match, p1) {\n if (options.useBR && match === '\\n') {\n return '<br>';\n } else if (options.tabReplace) {\n return p1.replace(/\\t/g, options.tabReplace);\n }\n return '';\n });\n }\n\n function buildClassName(prevClassName, currentLang, resultLang) {\n var language = currentLang ? aliases[currentLang] : resultLang,\n result = [prevClassName.trim()];\n\n if (!prevClassName.match(/\\bhljs\\b/)) {\n result.push('hljs');\n }\n\n if (prevClassName.indexOf(language) === -1) {\n result.push(language);\n }\n\n return result.join(' ').trim();\n }\n\n /*\n Applies highlighting to a DOM node containing code. Accepts a DOM node and\n two optional parameters for fixMarkup.\n */\n function highlightBlock(block) {\n var node, originalStream, result, resultNode, text;\n var language = blockLanguage(block);\n\n if (isNotHighlighted(language))\n return;\n\n if (options.useBR) {\n node = document.createElementNS('http://www.w3.org/1999/xhtml', 'div');\n node.innerHTML = block.innerHTML.replace(/\\n/g, '').replace(/<br[ \\/]*>/g, '\\n');\n } else {\n node = block;\n }\n text = node.textContent;\n result = language ? highlight(language, text, true) : highlightAuto(text);\n\n originalStream = nodeStream(node);\n if (originalStream.length) {\n resultNode = document.createElementNS('http://www.w3.org/1999/xhtml', 'div');\n resultNode.innerHTML = result.value;\n result.value = mergeStreams(originalStream, nodeStream(resultNode), text);\n }\n result.value = fixMarkup(result.value);\n\n block.innerHTML = result.value;\n block.className = buildClassName(block.className, language, result.language);\n block.result = {\n language: result.language,\n re: result.relevance\n };\n if (result.second_best) {\n block.second_best = {\n language: result.second_best.language,\n re: result.second_best.relevance\n };\n }\n }\n\n /*\n Updates highlight.js global options with values passed in the form of an object.\n */\n function configure(user_options) {\n options = inherit(options, user_options);\n }\n\n /*\n Applies highlighting to all <pre><code>..</code></pre> blocks on a page.\n */\n function initHighlighting() {\n if (initHighlighting.called)\n return;\n initHighlighting.called = true;\n\n var blocks = document.querySelectorAll('pre code');\n ArrayProto.forEach.call(blocks, highlightBlock);\n }\n\n /*\n Attaches highlighting to the page load event.\n */\n function initHighlightingOnLoad() {\n addEventListener('DOMContentLoaded', initHighlighting, false);\n addEventListener('load', initHighlighting, false);\n }\n\n function registerLanguage(name, language) {\n var lang = languages[name] = language(hljs);\n restoreLanguageApi(lang);\n lang.rawDefinition = language.bind(null,hljs);\n\n if (lang.aliases) {\n lang.aliases.forEach(function(alias) {aliases[alias] = name;});\n }\n }\n\n function listLanguages() {\n return objectKeys(languages);\n }\n\n function getLanguage(name) {\n name = (name || '').toLowerCase();\n return languages[name] || languages[aliases[name]];\n }\n\n function autoDetection(name) {\n var lang = getLanguage(name);\n return lang && !lang.disableAutodetect;\n }\n\n /* Interface definition */\n\n hljs.highlight = highlight;\n hljs.highlightAuto = highlightAuto;\n hljs.fixMarkup = fixMarkup;\n hljs.highlightBlock = highlightBlock;\n hljs.configure = configure;\n hljs.initHighlighting = initHighlighting;\n hljs.initHighlightingOnLoad = initHighlightingOnLoad;\n hljs.registerLanguage = registerLanguage;\n hljs.listLanguages = listLanguages;\n hljs.getLanguage = getLanguage;\n hljs.autoDetection = autoDetection;\n hljs.inherit = inherit;\n\n // Common regexps\n hljs.IDENT_RE = '[a-zA-Z]\\\\w*';\n hljs.UNDERSCORE_IDENT_RE = '[a-zA-Z_]\\\\w*';\n hljs.NUMBER_RE = '\\\\b\\\\d+(\\\\.\\\\d+)?';\n hljs.C_NUMBER_RE = '(-?)(\\\\b0[xX][a-fA-F0-9]+|(\\\\b\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)([eE][-+]?\\\\d+)?)'; // 0x..., 0..., decimal, float\n hljs.BINARY_NUMBER_RE = '\\\\b(0b[01]+)'; // 0b...\n hljs.RE_STARTERS_RE = '!|!=|!==|%|%=|&|&&|&=|\\\\*|\\\\*=|\\\\+|\\\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\\\?|\\\\[|\\\\{|\\\\(|\\\\^|\\\\^=|\\\\||\\\\|=|\\\\|\\\\||~';\n\n // Common modes\n hljs.BACKSLASH_ESCAPE = {\n begin: '\\\\\\\\[\\\\s\\\\S]', relevance: 0\n };\n hljs.APOS_STRING_MODE = {\n className: 'string',\n begin: '\\'', end: '\\'',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE]\n };\n hljs.QUOTE_STRING_MODE = {\n className: 'string',\n begin: '\"', end: '\"',\n illegal: '\\\\n',\n contains: [hljs.BACKSLASH_ESCAPE]\n };\n hljs.PHRASAL_WORDS_MODE = {\n begin: /\\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\\b/\n };\n hljs.COMMENT = function (begin, end, inherits) {\n var mode = hljs.inherit(\n {\n className: 'comment',\n begin: begin, end: end,\n contains: []\n },\n inherits || {}\n );\n mode.contains.push(hljs.PHRASAL_WORDS_MODE);\n mode.contains.push({\n className: 'doctag',\n begin: '(?:TODO|FIXME|NOTE|BUG|XXX):',\n relevance: 0\n });\n return mode;\n };\n hljs.C_LINE_COMMENT_MODE = hljs.COMMENT('//', '$');\n hljs.C_BLOCK_COMMENT_MODE = hljs.COMMENT('/\\\\*', '\\\\*/');\n hljs.HASH_COMMENT_MODE = hljs.COMMENT('#', '$');\n hljs.NUMBER_MODE = {\n className: 'number',\n begin: hljs.NUMBER_RE,\n relevance: 0\n };\n hljs.C_NUMBER_MODE = {\n className: 'number',\n begin: hljs.C_NUMBER_RE,\n relevance: 0\n };\n hljs.BINARY_NUMBER_MODE = {\n className: 'number',\n begin: hljs.BINARY_NUMBER_RE,\n relevance: 0\n };\n hljs.CSS_NUMBER_MODE = {\n className: 'number',\n begin: hljs.NUMBER_RE + '(' +\n '%|em|ex|ch|rem' +\n '|vw|vh|vmin|vmax' +\n '|cm|mm|in|pt|pc|px' +\n '|deg|grad|rad|turn' +\n '|s|ms' +\n '|Hz|kHz' +\n '|dpi|dpcm|dppx' +\n ')?',\n relevance: 0\n };\n hljs.REGEXP_MODE = {\n className: 'regexp',\n begin: /\\//, end: /\\/[gimuy]*/,\n illegal: /\\n/,\n contains: [\n hljs.BACKSLASH_ESCAPE,\n {\n begin: /\\[/, end: /\\]/,\n relevance: 0,\n contains: [hljs.BACKSLASH_ESCAPE]\n }\n ]\n };\n hljs.TITLE_MODE = {\n className: 'title',\n begin: hljs.IDENT_RE,\n relevance: 0\n };\n hljs.UNDERSCORE_TITLE_MODE = {\n className: 'title',\n begin: hljs.UNDERSCORE_IDENT_RE,\n relevance: 0\n };\n hljs.METHOD_GUARD = {\n // excludes method names from keyword processing\n begin: '\\\\.\\\\s*' + hljs.UNDERSCORE_IDENT_RE,\n relevance: 0\n };\n\n return hljs;\n}));\n","'use strict'\n\nvar formatter = require('format')\n\nvar fault = create(Error)\n\nmodule.exports = fault\n\nfault.eval = create(EvalError)\nfault.range = create(RangeError)\nfault.reference = create(ReferenceError)\nfault.syntax = create(SyntaxError)\nfault.type = create(TypeError)\nfault.uri = create(URIError)\n\nfault.create = create\n\n// Create a new `EConstructor`, with the formatted `format` as a first argument.\nfunction create(EConstructor) {\n FormattedError.displayName = EConstructor.displayName || EConstructor.name\n\n return FormattedError\n\n function FormattedError(format) {\n if (format) {\n format = formatter.apply(null, arguments)\n }\n\n return new EConstructor(format)\n }\n}\n","//\n// format - printf-like string formatting for JavaScript\n// github.com/samsonjs/format\n// @_sjs\n//\n// Copyright 2010 - 2013 Sami Samhuri <sami@samhuri.net>\n//\n// MIT License\n// http://sjs.mit-license.org\n//\n\n;(function() {\n\n //// Export the API\n var namespace;\n\n // CommonJS / Node module\n if (typeof module !== 'undefined') {\n namespace = module.exports = format;\n }\n\n // Browsers and other environments\n else {\n // Get the global object. Works in ES3, ES5, and ES5 strict mode.\n namespace = (function(){ return this || (1,eval)('this') }());\n }\n\n namespace.format = format;\n namespace.vsprintf = vsprintf;\n\n if (typeof console !== 'undefined' && typeof console.log === 'function') {\n namespace.printf = printf;\n }\n\n function printf(/* ... */) {\n console.log(format.apply(null, arguments));\n }\n\n function vsprintf(fmt, replacements) {\n return format.apply(null, [fmt].concat(replacements));\n }\n\n function format(fmt) {\n var argIndex = 1 // skip initial format argument\n , args = [].slice.call(arguments)\n , i = 0\n , n = fmt.length\n , result = ''\n , c\n , escaped = false\n , arg\n , tmp\n , leadingZero = false\n , precision\n , nextArg = function() { return args[argIndex++]; }\n , slurpNumber = function() {\n var digits = '';\n while (/\\d/.test(fmt[i])) {\n digits += fmt[i++];\n c = fmt[i];\n }\n return digits.length > 0 ? parseInt(digits) : null;\n }\n ;\n for (; i < n; ++i) {\n c = fmt[i];\n if (escaped) {\n escaped = false;\n if (c == '.') {\n leadingZero = false;\n c = fmt[++i];\n }\n else if (c == '0' && fmt[i + 1] == '.') {\n leadingZero = true;\n i += 2;\n c = fmt[i];\n }\n else {\n leadingZero = true;\n }\n precision = slurpNumber();\n switch (c) {\n case 'b': // number in binary\n result += parseInt(nextArg(), 10).toString(2);\n break;\n case 'c': // character\n arg = nextArg();\n if (typeof arg === 'string' || arg instanceof String)\n result += arg;\n else\n result += String.fromCharCode(parseInt(arg, 10));\n break;\n case 'd': // number in decimal\n result += parseInt(nextArg(), 10);\n break;\n case 'f': // floating point number\n tmp = String(parseFloat(nextArg()).toFixed(precision || 6));\n result += leadingZero ? tmp : tmp.replace(/^0/, '');\n break;\n case 'j': // JSON\n result += JSON.stringify(nextArg());\n break;\n case 'o': // number in octal\n result += '0' + parseInt(nextArg(), 10).toString(8);\n break;\n case 's': // string\n result += nextArg();\n break;\n case 'x': // lowercase hexadecimal\n result += '0x' + parseInt(nextArg(), 10).toString(16);\n break;\n case 'X': // uppercase hexadecimal\n result += '0x' + parseInt(nextArg(), 10).toString(16).toUpperCase();\n break;\n default:\n result += c;\n break;\n }\n } else if (c === '%') {\n escaped = true;\n } else {\n result += c;\n }\n }\n return result;\n }\n\n}());\n","// .dirname, .basename, and .extname methods are extracted from Node.js v8.11.1,\n// backported and transplited with Babel, with backwards-compat fixes\n\n// Copyright Joyent, Inc. and other Node contributors.\n//\n// Permission is hereby granted, free of charge, to any person obtaining a\n// copy of this software and associated documentation files (the\n// \"Software\"), to deal in the Software without restriction, including\n// without limitation the rights to use, copy, modify, merge, publish,\n// distribute, sublicense, and/or sell copies of the Software, and to permit\n// persons to whom the Software is furnished to do so, subject to the\n// following conditions:\n//\n// The above copyright notice and this permission notice shall be included\n// in all copies or substantial portions of the Software.\n//\n// THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS\n// OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF\n// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN\n// NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM,\n// DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR\n// OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE\n// USE OR OTHER DEALINGS IN THE SOFTWARE.\n\n// resolves . and .. elements in a path array with directory names there\n// must be no slashes, empty elements, or device names (c:\\) in the array\n// (so also no leading and trailing slashes - it does not distinguish\n// relative and absolute paths)\nfunction normalizeArray(parts, allowAboveRoot) {\n // if the path tries to go above the root, `up` ends up > 0\n var up = 0;\n for (var i = parts.length - 1; i >= 0; i--) {\n var last = parts[i];\n if (last === '.') {\n parts.splice(i, 1);\n } else if (last === '..') {\n parts.splice(i, 1);\n up++;\n } else if (up) {\n parts.splice(i, 1);\n up--;\n }\n }\n\n // if the path is allowed to go above the root, restore leading ..s\n if (allowAboveRoot) {\n for (; up--; up) {\n parts.unshift('..');\n }\n }\n\n return parts;\n}\n\n// path.resolve([from ...], to)\n// posix version\nexports.resolve = function() {\n var resolvedPath = '',\n resolvedAbsolute = false;\n\n for (var i = arguments.length - 1; i >= -1 && !resolvedAbsolute; i--) {\n var path = (i >= 0) ? arguments[i] : process.cwd();\n\n // Skip empty and invalid entries\n if (typeof path !== 'string') {\n throw new TypeError('Arguments to path.resolve must be strings');\n } else if (!path) {\n continue;\n }\n\n resolvedPath = path + '/' + resolvedPath;\n resolvedAbsolute = path.charAt(0) === '/';\n }\n\n // At this point the path should be resolved to a full absolute path, but\n // handle relative paths to be safe (might happen when process.cwd() fails)\n\n // Normalize the path\n resolvedPath = normalizeArray(filter(resolvedPath.split('/'), function(p) {\n return !!p;\n }), !resolvedAbsolute).join('/');\n\n return ((resolvedAbsolute ? '/' : '') + resolvedPath) || '.';\n};\n\n// path.normalize(path)\n// posix version\nexports.normalize = function(path) {\n var isAbsolute = exports.isAbsolute(path),\n trailingSlash = substr(path, -1) === '/';\n\n // Normalize the path\n path = normalizeArray(filter(path.split('/'), function(p) {\n return !!p;\n }), !isAbsolute).join('/');\n\n if (!path && !isAbsolute) {\n path = '.';\n }\n if (path && trailingSlash) {\n path += '/';\n }\n\n return (isAbsolute ? '/' : '') + path;\n};\n\n// posix version\nexports.isAbsolute = function(path) {\n return path.charAt(0) === '/';\n};\n\n// posix version\nexports.join = function() {\n var paths = Array.prototype.slice.call(arguments, 0);\n return exports.normalize(filter(paths, function(p, index) {\n if (typeof p !== 'string') {\n throw new TypeError('Arguments to path.join must be strings');\n }\n return p;\n }).join('/'));\n};\n\n\n// path.relative(from, to)\n// posix version\nexports.relative = function(from, to) {\n from = exports.resolve(from).substr(1);\n to = exports.resolve(to).substr(1);\n\n function trim(arr) {\n var start = 0;\n for (; start < arr.length; start++) {\n if (arr[start] !== '') break;\n }\n\n var end = arr.length - 1;\n for (; end >= 0; end--) {\n if (arr[end] !== '') break;\n }\n\n if (start > end) return [];\n return arr.slice(start, end - start + 1);\n }\n\n var fromParts = trim(from.split('/'));\n var toParts = trim(to.split('/'));\n\n var length = Math.min(fromParts.length, toParts.length);\n var samePartsLength = length;\n for (var i = 0; i < length; i++) {\n if (fromParts[i] !== toParts[i]) {\n samePartsLength = i;\n break;\n }\n }\n\n var outputParts = [];\n for (var i = samePartsLength; i < fromParts.length; i++) {\n outputParts.push('..');\n }\n\n outputParts = outputParts.concat(toParts.slice(samePartsLength));\n\n return outputParts.join('/');\n};\n\nexports.sep = '/';\nexports.delimiter = ':';\n\nexports.dirname = function (path) {\n if (typeof path !== 'string') path = path + '';\n if (path.length === 0) return '.';\n var code = path.charCodeAt(0);\n var hasRoot = code === 47 /*/*/;\n var end = -1;\n var matchedSlash = true;\n for (var i = path.length - 1; i >= 1; --i) {\n code = path.charCodeAt(i);\n if (code === 47 /*/*/) {\n if (!matchedSlash) {\n end = i;\n break;\n }\n } else {\n // We saw the first non-path separator\n matchedSlash = false;\n }\n }\n\n if (end === -1) return hasRoot ? '/' : '.';\n if (hasRoot && end === 1) {\n // return '//';\n // Backwards-compat fix:\n return '/';\n }\n return path.slice(0, end);\n};\n\nfunction basename(path) {\n if (typeof path !== 'string') path = path + '';\n\n var start = 0;\n var end = -1;\n var matchedSlash = true;\n var i;\n\n for (i = path.length - 1; i >= 0; --i) {\n if (path.charCodeAt(i) === 47 /*/*/) {\n // If we reached a path separator that was not part of a set of path\n // separators at the end of the string, stop now\n if (!matchedSlash) {\n start = i + 1;\n break;\n }\n } else if (end === -1) {\n // We saw the first non-path separator, mark this as the end of our\n // path component\n matchedSlash = false;\n end = i + 1;\n }\n }\n\n if (end === -1) return '';\n return path.slice(start, end);\n}\n\n// Uses a mixed approach for backwards-compatibility, as ext behavior changed\n// in new Node.js versions, so only basename() above is backported here\nexports.basename = function (path, ext) {\n var f = basename(path);\n if (ext && f.substr(-1 * ext.length) === ext) {\n f = f.substr(0, f.length - ext.length);\n }\n return f;\n};\n\nexports.extname = function (path) {\n if (typeof path !== 'string') path = path + '';\n var startDot = -1;\n var startPart = 0;\n var end = -1;\n var matchedSlash = true;\n // Track the state of characters (if any) we see before our first dot and\n // after any path separator we find\n var preDotState = 0;\n for (var i = path.length - 1; i >= 0; --i) {\n var code = path.charCodeAt(i);\n if (code === 47 /*/*/) {\n // If we reached a path separator that was not part of a set of path\n // separators at the end of the string, stop now\n if (!matchedSlash) {\n startPart = i + 1;\n break;\n }\n continue;\n }\n if (end === -1) {\n // We saw the first non-path separator, mark this as the end of our\n // extension\n matchedSlash = false;\n end = i + 1;\n }\n if (code === 46 /*.*/) {\n // If this is our first dot, mark it as the start of our extension\n if (startDot === -1)\n startDot = i;\n else if (preDotState !== 1)\n preDotState = 1;\n } else if (startDot !== -1) {\n // We saw a non-dot and non-path separator before our dot, so we should\n // have a good chance at having a non-empty extension\n preDotState = -1;\n }\n }\n\n if (startDot === -1 || end === -1 ||\n // We saw a non-dot character immediately before the dot\n preDotState === 0 ||\n // The (right-most) trimmed path component is exactly '..'\n preDotState === 1 && startDot === end - 1 && startDot === startPart + 1) {\n return '';\n }\n return path.slice(startDot, end);\n};\n\nfunction filter (xs, f) {\n if (xs.filter) return xs.filter(f);\n var res = [];\n for (var i = 0; i < xs.length; i++) {\n if (f(xs[i], i, xs)) res.push(xs[i]);\n }\n return res;\n}\n\n// String.prototype.substr - negative index don't work in IE8\nvar substr = 'ab'.substr(-1) === 'b'\n ? function (str, start, len) { return str.substr(start, len) }\n : function (str, start, len) {\n if (start < 0) start = str.length + start;\n return str.substr(start, len);\n }\n;\n","// Main parser class\n\n'use strict';\n\n\nvar utils = require('./common/utils');\nvar helpers = require('./helpers');\nvar Renderer = require('./renderer');\nvar ParserCore = require('./parser_core');\nvar ParserBlock = require('./parser_block');\nvar ParserInline = require('./parser_inline');\nvar LinkifyIt = require('linkify-it');\nvar mdurl = require('mdurl');\nvar punycode = require('punycode');\n\n\nvar config = {\n 'default': require('./presets/default'),\n zero: require('./presets/zero'),\n commonmark: require('./presets/commonmark')\n};\n\n////////////////////////////////////////////////////////////////////////////////\n//\n// This validator can prohibit more than really needed to prevent XSS. It's a\n// tradeoff to keep code simple and to be secure by default.\n//\n// If you need different setup - override validator method as you wish. Or\n// replace it with dummy function and use external sanitizer.\n//\n\nvar BAD_PROTO_RE = /^(vbscript|javascript|file|data):/;\nvar GOOD_DATA_RE = /^data:image\\/(gif|png|jpeg|webp);/;\n\nfunction validateLink(url) {\n // url should be normalized at this point, and existing entities are decoded\n var str = url.trim().toLowerCase();\n\n return BAD_PROTO_RE.test(str) ? (GOOD_DATA_RE.test(str) ? true : false) : true;\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\n\nvar RECODE_HOSTNAME_FOR = [ 'http:', 'https:', 'mailto:' ];\n\nfunction normalizeLink(url) {\n var parsed = mdurl.parse(url, true);\n\n if (parsed.hostname) {\n // Encode hostnames in urls like:\n // `http://host/`, `https://host/`, `mailto:user@host`, `//host/`\n //\n // We don't encode unknown schemas, because it's likely that we encode\n // something we shouldn't (e.g. `skype:name` treated as `skype:host`)\n //\n if (!parsed.protocol || RECODE_HOSTNAME_FOR.indexOf(parsed.protocol) >= 0) {\n try {\n parsed.hostname = punycode.toASCII(parsed.hostname);\n } catch (er) { /**/ }\n }\n }\n\n return mdurl.encode(mdurl.format(parsed));\n}\n\nfunction normalizeLinkText(url) {\n var parsed = mdurl.parse(url, true);\n\n if (parsed.hostname) {\n // Encode hostnames in urls like:\n // `http://host/`, `https://host/`, `mailto:user@host`, `//host/`\n //\n // We don't encode unknown schemas, because it's likely that we encode\n // something we shouldn't (e.g. `skype:name` treated as `skype:host`)\n //\n if (!parsed.protocol || RECODE_HOSTNAME_FOR.indexOf(parsed.protocol) >= 0) {\n try {\n parsed.hostname = punycode.toUnicode(parsed.hostname);\n } catch (er) { /**/ }\n }\n }\n\n return mdurl.decode(mdurl.format(parsed));\n}\n\n\n/**\n * class MarkdownIt\n *\n * Main parser/renderer class.\n *\n * ##### Usage\n *\n * ```javascript\n * // node.js, \"classic\" way:\n * var MarkdownIt = require('markdown-it'),\n * md = new MarkdownIt();\n * var result = md.render('# markdown-it rulezz!');\n *\n * // node.js, the same, but with sugar:\n * var md = require('markdown-it')();\n * var result = md.render('# markdown-it rulezz!');\n *\n * // browser without AMD, added to \"window\" on script load\n * // Note, there are no dash.\n * var md = window.markdownit();\n * var result = md.render('# markdown-it rulezz!');\n * ```\n *\n * Single line rendering, without paragraph wrap:\n *\n * ```javascript\n * var md = require('markdown-it')();\n * var result = md.renderInline('__markdown-it__ rulezz!');\n * ```\n **/\n\n/**\n * new MarkdownIt([presetName, options])\n * - presetName (String): optional, `commonmark` / `zero`\n * - options (Object)\n *\n * Creates parser instanse with given config. Can be called without `new`.\n *\n * ##### presetName\n *\n * MarkdownIt provides named presets as a convenience to quickly\n * enable/disable active syntax rules and options for common use cases.\n *\n * - [\"commonmark\"](https://github.com/markdown-it/markdown-it/blob/master/lib/presets/commonmark.js) -\n * configures parser to strict [CommonMark](http://commonmark.org/) mode.\n * - [default](https://github.com/markdown-it/markdown-it/blob/master/lib/presets/default.js) -\n * similar to GFM, used when no preset name given. Enables all available rules,\n * but still without html, typographer & autolinker.\n * - [\"zero\"](https://github.com/markdown-it/markdown-it/blob/master/lib/presets/zero.js) -\n * all rules disabled. Useful to quickly setup your config via `.enable()`.\n * For example, when you need only `bold` and `italic` markup and nothing else.\n *\n * ##### options:\n *\n * - __html__ - `false`. Set `true` to enable HTML tags in source. Be careful!\n * That's not safe! You may need external sanitizer to protect output from XSS.\n * It's better to extend features via plugins, instead of enabling HTML.\n * - __xhtmlOut__ - `false`. Set `true` to add '/' when closing single tags\n * (`<br />`). This is needed only for full CommonMark compatibility. In real\n * world you will need HTML output.\n * - __breaks__ - `false`. Set `true` to convert `\\n` in paragraphs into `<br>`.\n * - __langPrefix__ - `language-`. CSS language class prefix for fenced blocks.\n * Can be useful for external highlighters.\n * - __linkify__ - `false`. Set `true` to autoconvert URL-like text to links.\n * - __typographer__ - `false`. Set `true` to enable [some language-neutral\n * replacement](https://github.com/markdown-it/markdown-it/blob/master/lib/rules_core/replacements.js) +\n * quotes beautification (smartquotes).\n * - __quotes__ - `“”‘’`, String or Array. Double + single quotes replacement\n * pairs, when typographer enabled and smartquotes on. For example, you can\n * use `'«»„“'` for Russian, `'„“‚‘'` for German, and\n * `['«\\xA0', '\\xA0»', '‹\\xA0', '\\xA0›']` for French (including nbsp).\n * - __highlight__ - `null`. Highlighter function for fenced code blocks.\n * Highlighter `function (str, lang)` should return escaped HTML. It can also\n * return empty string if the source was not changed and should be escaped\n * externaly. If result starts with <pre... internal wrapper is skipped.\n *\n * ##### Example\n *\n * ```javascript\n * // commonmark mode\n * var md = require('markdown-it')('commonmark');\n *\n * // default mode\n * var md = require('markdown-it')();\n *\n * // enable everything\n * var md = require('markdown-it')({\n * html: true,\n * linkify: true,\n * typographer: true\n * });\n * ```\n *\n * ##### Syntax highlighting\n *\n * ```js\n * var hljs = require('highlight.js') // https://highlightjs.org/\n *\n * var md = require('markdown-it')({\n * highlight: function (str, lang) {\n * if (lang && hljs.getLanguage(lang)) {\n * try {\n * return hljs.highlight(lang, str, true).value;\n * } catch (__) {}\n * }\n *\n * return ''; // use external default escaping\n * }\n * });\n * ```\n *\n * Or with full wrapper override (if you need assign class to `<pre>`):\n *\n * ```javascript\n * var hljs = require('highlight.js') // https://highlightjs.org/\n *\n * // Actual default values\n * var md = require('markdown-it')({\n * highlight: function (str, lang) {\n * if (lang && hljs.getLanguage(lang)) {\n * try {\n * return '<pre class=\"hljs\"><code>' +\n * hljs.highlight(lang, str, true).value +\n * '</code></pre>';\n * } catch (__) {}\n * }\n *\n * return '<pre class=\"hljs\"><code>' + md.utils.escapeHtml(str) + '</code></pre>';\n * }\n * });\n * ```\n *\n **/\nfunction MarkdownIt(presetName, options) {\n if (!(this instanceof MarkdownIt)) {\n return new MarkdownIt(presetName, options);\n }\n\n if (!options) {\n if (!utils.isString(presetName)) {\n options = presetName || {};\n presetName = 'default';\n }\n }\n\n /**\n * MarkdownIt#inline -> ParserInline\n *\n * Instance of [[ParserInline]]. You may need it to add new rules when\n * writing plugins. For simple rules control use [[MarkdownIt.disable]] and\n * [[MarkdownIt.enable]].\n **/\n this.inline = new ParserInline();\n\n /**\n * MarkdownIt#block -> ParserBlock\n *\n * Instance of [[ParserBlock]]. You may need it to add new rules when\n * writing plugins. For simple rules control use [[MarkdownIt.disable]] and\n * [[MarkdownIt.enable]].\n **/\n this.block = new ParserBlock();\n\n /**\n * MarkdownIt#core -> Core\n *\n * Instance of [[Core]] chain executor. You may need it to add new rules when\n * writing plugins. For simple rules control use [[MarkdownIt.disable]] and\n * [[MarkdownIt.enable]].\n **/\n this.core = new ParserCore();\n\n /**\n * MarkdownIt#renderer -> Renderer\n *\n * Instance of [[Renderer]]. Use it to modify output look. Or to add rendering\n * rules for new token types, generated by plugins.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * function myToken(tokens, idx, options, env, self) {\n * //...\n * return result;\n * };\n *\n * md.renderer.rules['my_token'] = myToken\n * ```\n *\n * See [[Renderer]] docs and [source code](https://github.com/markdown-it/markdown-it/blob/master/lib/renderer.js).\n **/\n this.renderer = new Renderer();\n\n /**\n * MarkdownIt#linkify -> LinkifyIt\n *\n * [linkify-it](https://github.com/markdown-it/linkify-it) instance.\n * Used by [linkify](https://github.com/markdown-it/markdown-it/blob/master/lib/rules_core/linkify.js)\n * rule.\n **/\n this.linkify = new LinkifyIt();\n\n /**\n * MarkdownIt#validateLink(url) -> Boolean\n *\n * Link validation function. CommonMark allows too much in links. By default\n * we disable `javascript:`, `vbscript:`, `file:` schemas, and almost all `data:...` schemas\n * except some embedded image types.\n *\n * You can change this behaviour:\n *\n * ```javascript\n * var md = require('markdown-it')();\n * // enable everything\n * md.validateLink = function () { return true; }\n * ```\n **/\n this.validateLink = validateLink;\n\n /**\n * MarkdownIt#normalizeLink(url) -> String\n *\n * Function used to encode link url to a machine-readable format,\n * which includes url-encoding, punycode, etc.\n **/\n this.normalizeLink = normalizeLink;\n\n /**\n * MarkdownIt#normalizeLinkText(url) -> String\n *\n * Function used to decode link url to a human-readable format`\n **/\n this.normalizeLinkText = normalizeLinkText;\n\n\n // Expose utils & helpers for easy acces from plugins\n\n /**\n * MarkdownIt#utils -> utils\n *\n * Assorted utility functions, useful to write plugins. See details\n * [here](https://github.com/markdown-it/markdown-it/blob/master/lib/common/utils.js).\n **/\n this.utils = utils;\n\n /**\n * MarkdownIt#helpers -> helpers\n *\n * Link components parser functions, useful to write plugins. See details\n * [here](https://github.com/markdown-it/markdown-it/blob/master/lib/helpers).\n **/\n this.helpers = utils.assign({}, helpers);\n\n\n this.options = {};\n this.configure(presetName);\n\n if (options) { this.set(options); }\n}\n\n\n/** chainable\n * MarkdownIt.set(options)\n *\n * Set parser options (in the same format as in constructor). Probably, you\n * will never need it, but you can change options after constructor call.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')()\n * .set({ html: true, breaks: true })\n * .set({ typographer, true });\n * ```\n *\n * __Note:__ To achieve the best possible performance, don't modify a\n * `markdown-it` instance options on the fly. If you need multiple configurations\n * it's best to create multiple instances and initialize each with separate\n * config.\n **/\nMarkdownIt.prototype.set = function (options) {\n utils.assign(this.options, options);\n return this;\n};\n\n\n/** chainable, internal\n * MarkdownIt.configure(presets)\n *\n * Batch load of all options and compenent settings. This is internal method,\n * and you probably will not need it. But if you with - see available presets\n * and data structure [here](https://github.com/markdown-it/markdown-it/tree/master/lib/presets)\n *\n * We strongly recommend to use presets instead of direct config loads. That\n * will give better compatibility with next versions.\n **/\nMarkdownIt.prototype.configure = function (presets) {\n var self = this, presetName;\n\n if (utils.isString(presets)) {\n presetName = presets;\n presets = config[presetName];\n if (!presets) { throw new Error('Wrong `markdown-it` preset \"' + presetName + '\", check name'); }\n }\n\n if (!presets) { throw new Error('Wrong `markdown-it` preset, can\\'t be empty'); }\n\n if (presets.options) { self.set(presets.options); }\n\n if (presets.components) {\n Object.keys(presets.components).forEach(function (name) {\n if (presets.components[name].rules) {\n self[name].ruler.enableOnly(presets.components[name].rules);\n }\n if (presets.components[name].rules2) {\n self[name].ruler2.enableOnly(presets.components[name].rules2);\n }\n });\n }\n return this;\n};\n\n\n/** chainable\n * MarkdownIt.enable(list, ignoreInvalid)\n * - list (String|Array): rule name or list of rule names to enable\n * - ignoreInvalid (Boolean): set `true` to ignore errors when rule not found.\n *\n * Enable list or rules. It will automatically find appropriate components,\n * containing rules with given names. If rule not found, and `ignoreInvalid`\n * not set - throws exception.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')()\n * .enable(['sub', 'sup'])\n * .disable('smartquotes');\n * ```\n **/\nMarkdownIt.prototype.enable = function (list, ignoreInvalid) {\n var result = [];\n\n if (!Array.isArray(list)) { list = [ list ]; }\n\n [ 'core', 'block', 'inline' ].forEach(function (chain) {\n result = result.concat(this[chain].ruler.enable(list, true));\n }, this);\n\n result = result.concat(this.inline.ruler2.enable(list, true));\n\n var missed = list.filter(function (name) { return result.indexOf(name) < 0; });\n\n if (missed.length && !ignoreInvalid) {\n throw new Error('MarkdownIt. Failed to enable unknown rule(s): ' + missed);\n }\n\n return this;\n};\n\n\n/** chainable\n * MarkdownIt.disable(list, ignoreInvalid)\n * - list (String|Array): rule name or list of rule names to disable.\n * - ignoreInvalid (Boolean): set `true` to ignore errors when rule not found.\n *\n * The same as [[MarkdownIt.enable]], but turn specified rules off.\n **/\nMarkdownIt.prototype.disable = function (list, ignoreInvalid) {\n var result = [];\n\n if (!Array.isArray(list)) { list = [ list ]; }\n\n [ 'core', 'block', 'inline' ].forEach(function (chain) {\n result = result.concat(this[chain].ruler.disable(list, true));\n }, this);\n\n result = result.concat(this.inline.ruler2.disable(list, true));\n\n var missed = list.filter(function (name) { return result.indexOf(name) < 0; });\n\n if (missed.length && !ignoreInvalid) {\n throw new Error('MarkdownIt. Failed to disable unknown rule(s): ' + missed);\n }\n return this;\n};\n\n\n/** chainable\n * MarkdownIt.use(plugin, params)\n *\n * Load specified plugin with given params into current parser instance.\n * It's just a sugar to call `plugin(md, params)` with curring.\n *\n * ##### Example\n *\n * ```javascript\n * var iterator = require('markdown-it-for-inline');\n * var md = require('markdown-it')()\n * .use(iterator, 'foo_replace', 'text', function (tokens, idx) {\n * tokens[idx].content = tokens[idx].content.replace(/foo/g, 'bar');\n * });\n * ```\n **/\nMarkdownIt.prototype.use = function (plugin /*, params, ... */) {\n var args = [ this ].concat(Array.prototype.slice.call(arguments, 1));\n plugin.apply(plugin, args);\n return this;\n};\n\n\n/** internal\n * MarkdownIt.parse(src, env) -> Array\n * - src (String): source string\n * - env (Object): environment sandbox\n *\n * Parse input string and returns list of block tokens (special token type\n * \"inline\" will contain list of inline tokens). You should not call this\n * method directly, until you write custom renderer (for example, to produce\n * AST).\n *\n * `env` is used to pass data between \"distributed\" rules and return additional\n * metadata like reference info, needed for the renderer. It also can be used to\n * inject data in specific cases. Usually, you will be ok to pass `{}`,\n * and then pass updated object to renderer.\n **/\nMarkdownIt.prototype.parse = function (src, env) {\n if (typeof src !== 'string') {\n throw new Error('Input data should be a String');\n }\n\n var state = new this.core.State(src, this, env);\n\n this.core.process(state);\n\n return state.tokens;\n};\n\n\n/**\n * MarkdownIt.render(src [, env]) -> String\n * - src (String): source string\n * - env (Object): environment sandbox\n *\n * Render markdown string into html. It does all magic for you :).\n *\n * `env` can be used to inject additional metadata (`{}` by default).\n * But you will not need it with high probability. See also comment\n * in [[MarkdownIt.parse]].\n **/\nMarkdownIt.prototype.render = function (src, env) {\n env = env || {};\n\n return this.renderer.render(this.parse(src, env), this.options, env);\n};\n\n\n/** internal\n * MarkdownIt.parseInline(src, env) -> Array\n * - src (String): source string\n * - env (Object): environment sandbox\n *\n * The same as [[MarkdownIt.parse]] but skip all block rules. It returns the\n * block tokens list with the single `inline` element, containing parsed inline\n * tokens in `children` property. Also updates `env` object.\n **/\nMarkdownIt.prototype.parseInline = function (src, env) {\n var state = new this.core.State(src, this, env);\n\n state.inlineMode = true;\n this.core.process(state);\n\n return state.tokens;\n};\n\n\n/**\n * MarkdownIt.renderInline(src [, env]) -> String\n * - src (String): source string\n * - env (Object): environment sandbox\n *\n * Similar to [[MarkdownIt.render]] but for single paragraph content. Result\n * will NOT be wrapped into `<p>` tags.\n **/\nMarkdownIt.prototype.renderInline = function (src, env) {\n env = env || {};\n\n return this.renderer.render(this.parseInline(src, env), this.options, env);\n};\n\n\nmodule.exports = MarkdownIt;\n","\n'use strict';\n\n\nvar encodeCache = {};\n\n\n// Create a lookup array where anything but characters in `chars` string\n// and alphanumeric chars is percent-encoded.\n//\nfunction getEncodeCache(exclude) {\n var i, ch, cache = encodeCache[exclude];\n if (cache) { return cache; }\n\n cache = encodeCache[exclude] = [];\n\n for (i = 0; i < 128; i++) {\n ch = String.fromCharCode(i);\n\n if (/^[0-9a-z]$/i.test(ch)) {\n // always allow unencoded alphanumeric characters\n cache.push(ch);\n } else {\n cache.push('%' + ('0' + i.toString(16).toUpperCase()).slice(-2));\n }\n }\n\n for (i = 0; i < exclude.length; i++) {\n cache[exclude.charCodeAt(i)] = exclude[i];\n }\n\n return cache;\n}\n\n\n// Encode unsafe characters with percent-encoding, skipping already\n// encoded sequences.\n//\n// - string - string to encode\n// - exclude - list of characters to ignore (in addition to a-zA-Z0-9)\n// - keepEscaped - don't encode '%' in a correct escape sequence (default: true)\n//\nfunction encode(string, exclude, keepEscaped) {\n var i, l, code, nextCode, cache,\n result = '';\n\n if (typeof exclude !== 'string') {\n // encode(string, keepEscaped)\n keepEscaped = exclude;\n exclude = encode.defaultChars;\n }\n\n if (typeof keepEscaped === 'undefined') {\n keepEscaped = true;\n }\n\n cache = getEncodeCache(exclude);\n\n for (i = 0, l = string.length; i < l; i++) {\n code = string.charCodeAt(i);\n\n if (keepEscaped && code === 0x25 /* % */ && i + 2 < l) {\n if (/^[0-9a-f]{2}$/i.test(string.slice(i + 1, i + 3))) {\n result += string.slice(i, i + 3);\n i += 2;\n continue;\n }\n }\n\n if (code < 128) {\n result += cache[code];\n continue;\n }\n\n if (code >= 0xD800 && code <= 0xDFFF) {\n if (code >= 0xD800 && code <= 0xDBFF && i + 1 < l) {\n nextCode = string.charCodeAt(i + 1);\n if (nextCode >= 0xDC00 && nextCode <= 0xDFFF) {\n result += encodeURIComponent(string[i] + string[i + 1]);\n i++;\n continue;\n }\n }\n result += '%EF%BF%BD';\n continue;\n }\n\n result += encodeURIComponent(string[i]);\n }\n\n return result;\n}\n\nencode.defaultChars = \";/?:@&=+$,-_.!~*'()#\";\nencode.componentChars = \"-_.!~*'()\";\n\n\nmodule.exports = encode;\n","\n'use strict';\n\n\n/* eslint-disable no-bitwise */\n\nvar decodeCache = {};\n\nfunction getDecodeCache(exclude) {\n var i, ch, cache = decodeCache[exclude];\n if (cache) { return cache; }\n\n cache = decodeCache[exclude] = [];\n\n for (i = 0; i < 128; i++) {\n ch = String.fromCharCode(i);\n cache.push(ch);\n }\n\n for (i = 0; i < exclude.length; i++) {\n ch = exclude.charCodeAt(i);\n cache[ch] = '%' + ('0' + ch.toString(16).toUpperCase()).slice(-2);\n }\n\n return cache;\n}\n\n\n// Decode percent-encoded string.\n//\nfunction decode(string, exclude) {\n var cache;\n\n if (typeof exclude !== 'string') {\n exclude = decode.defaultChars;\n }\n\n cache = getDecodeCache(exclude);\n\n return string.replace(/(%[a-f0-9]{2})+/gi, function(seq) {\n var i, l, b1, b2, b3, b4, chr,\n result = '';\n\n for (i = 0, l = seq.length; i < l; i += 3) {\n b1 = parseInt(seq.slice(i + 1, i + 3), 16);\n\n if (b1 < 0x80) {\n result += cache[b1];\n continue;\n }\n\n if ((b1 & 0xE0) === 0xC0 && (i + 3 < l)) {\n // 110xxxxx 10xxxxxx\n b2 = parseInt(seq.slice(i + 4, i + 6), 16);\n\n if ((b2 & 0xC0) === 0x80) {\n chr = ((b1 << 6) & 0x7C0) | (b2 & 0x3F);\n\n if (chr < 0x80) {\n result += '\\ufffd\\ufffd';\n } else {\n result += String.fromCharCode(chr);\n }\n\n i += 3;\n continue;\n }\n }\n\n if ((b1 & 0xF0) === 0xE0 && (i + 6 < l)) {\n // 1110xxxx 10xxxxxx 10xxxxxx\n b2 = parseInt(seq.slice(i + 4, i + 6), 16);\n b3 = parseInt(seq.slice(i + 7, i + 9), 16);\n\n if ((b2 & 0xC0) === 0x80 && (b3 & 0xC0) === 0x80) {\n chr = ((b1 << 12) & 0xF000) | ((b2 << 6) & 0xFC0) | (b3 & 0x3F);\n\n if (chr < 0x800 || (chr >= 0xD800 && chr <= 0xDFFF)) {\n result += '\\ufffd\\ufffd\\ufffd';\n } else {\n result += String.fromCharCode(chr);\n }\n\n i += 6;\n continue;\n }\n }\n\n if ((b1 & 0xF8) === 0xF0 && (i + 9 < l)) {\n // 111110xx 10xxxxxx 10xxxxxx 10xxxxxx\n b2 = parseInt(seq.slice(i + 4, i + 6), 16);\n b3 = parseInt(seq.slice(i + 7, i + 9), 16);\n b4 = parseInt(seq.slice(i + 10, i + 12), 16);\n\n if ((b2 & 0xC0) === 0x80 && (b3 & 0xC0) === 0x80 && (b4 & 0xC0) === 0x80) {\n chr = ((b1 << 18) & 0x1C0000) | ((b2 << 12) & 0x3F000) | ((b3 << 6) & 0xFC0) | (b4 & 0x3F);\n\n if (chr < 0x10000 || chr > 0x10FFFF) {\n result += '\\ufffd\\ufffd\\ufffd\\ufffd';\n } else {\n chr -= 0x10000;\n result += String.fromCharCode(0xD800 + (chr >> 10), 0xDC00 + (chr & 0x3FF));\n }\n\n i += 9;\n continue;\n }\n }\n\n result += '\\ufffd';\n }\n\n return result;\n });\n}\n\n\ndecode.defaultChars = ';/?:@&=+$,#';\ndecode.componentChars = '';\n\n\nmodule.exports = decode;\n","\n'use strict';\n\n\nmodule.exports = function format(url) {\n var result = '';\n\n result += url.protocol || '';\n result += url.slashes ? '//' : '';\n result += url.auth ? url.auth + '@' : '';\n\n if (url.hostname && url.hostname.indexOf(':') !== -1) {\n // ipv6 address\n result += '[' + url.hostname + ']';\n } else {\n result += url.hostname || '';\n }\n\n result += url.port ? ':' + url.port : '';\n result += url.pathname || '';\n result += url.search || '';\n result += url.hash || '';\n\n return result;\n};\n","// Copyright Joyent, Inc. and other Node contributors.\n//\n// Permission is hereby granted, free of charge, to any person obtaining a\n// copy of this software and associated documentation files (the\n// \"Software\"), to deal in the Software without restriction, including\n// without limitation the rights to use, copy, modify, merge, publish,\n// distribute, sublicense, and/or sell copies of the Software, and to permit\n// persons to whom the Software is furnished to do so, subject to the\n// following conditions:\n//\n// The above copyright notice and this permission notice shall be included\n// in all copies or substantial portions of the Software.\n//\n// THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS\n// OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF\n// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN\n// NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM,\n// DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR\n// OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE\n// USE OR OTHER DEALINGS IN THE SOFTWARE.\n\n'use strict';\n\n//\n// Changes from joyent/node:\n//\n// 1. No leading slash in paths,\n// e.g. in `url.parse('http://foo?bar')` pathname is ``, not `/`\n//\n// 2. Backslashes are not replaced with slashes,\n// so `http:\\\\example.org\\` is treated like a relative path\n//\n// 3. Trailing colon is treated like a part of the path,\n// i.e. in `http://example.org:foo` pathname is `:foo`\n//\n// 4. Nothing is URL-encoded in the resulting object,\n// (in joyent/node some chars in auth and paths are encoded)\n//\n// 5. `url.parse()` does not have `parseQueryString` argument\n//\n// 6. Removed extraneous result properties: `host`, `path`, `query`, etc.,\n// which can be constructed using other parts of the url.\n//\n\n\nfunction Url() {\n this.protocol = null;\n this.slashes = null;\n this.auth = null;\n this.port = null;\n this.hostname = null;\n this.hash = null;\n this.search = null;\n this.pathname = null;\n}\n\n// Reference: RFC 3986, RFC 1808, RFC 2396\n\n// define these here so at least they only have to be\n// compiled once on the first module load.\nvar protocolPattern = /^([a-z0-9.+-]+:)/i,\n portPattern = /:[0-9]*$/,\n\n // Special case for a simple path URL\n simplePathPattern = /^(\\/\\/?(?!\\/)[^\\?\\s]*)(\\?[^\\s]*)?$/,\n\n // RFC 2396: characters reserved for delimiting URLs.\n // We actually just auto-escape these.\n delims = [ '<', '>', '\"', '`', ' ', '\\r', '\\n', '\\t' ],\n\n // RFC 2396: characters not allowed for various reasons.\n unwise = [ '{', '}', '|', '\\\\', '^', '`' ].concat(delims),\n\n // Allowed by RFCs, but cause of XSS attacks. Always escape these.\n autoEscape = [ '\\'' ].concat(unwise),\n // Characters that are never ever allowed in a hostname.\n // Note that any invalid chars are also handled, but these\n // are the ones that are *expected* to be seen, so we fast-path\n // them.\n nonHostChars = [ '%', '/', '?', ';', '#' ].concat(autoEscape),\n hostEndingChars = [ '/', '?', '#' ],\n hostnameMaxLen = 255,\n hostnamePartPattern = /^[+a-z0-9A-Z_-]{0,63}$/,\n hostnamePartStart = /^([+a-z0-9A-Z_-]{0,63})(.*)$/,\n // protocols that can allow \"unsafe\" and \"unwise\" chars.\n /* eslint-disable no-script-url */\n // protocols that never have a hostname.\n hostlessProtocol = {\n 'javascript': true,\n 'javascript:': true\n },\n // protocols that always contain a // bit.\n slashedProtocol = {\n 'http': true,\n 'https': true,\n 'ftp': true,\n 'gopher': true,\n 'file': true,\n 'http:': true,\n 'https:': true,\n 'ftp:': true,\n 'gopher:': true,\n 'file:': true\n };\n /* eslint-enable no-script-url */\n\nfunction urlParse(url, slashesDenoteHost) {\n if (url && url instanceof Url) { return url; }\n\n var u = new Url();\n u.parse(url, slashesDenoteHost);\n return u;\n}\n\nUrl.prototype.parse = function(url, slashesDenoteHost) {\n var i, l, lowerProto, hec, slashes,\n rest = url;\n\n // trim before proceeding.\n // This is to support parse stuff like \" http://foo.com \\n\"\n rest = rest.trim();\n\n if (!slashesDenoteHost && url.split('#').length === 1) {\n // Try fast path regexp\n var simplePath = simplePathPattern.exec(rest);\n if (simplePath) {\n this.pathname = simplePath[1];\n if (simplePath[2]) {\n this.search = simplePath[2];\n }\n return this;\n }\n }\n\n var proto = protocolPattern.exec(rest);\n if (proto) {\n proto = proto[0];\n lowerProto = proto.toLowerCase();\n this.protocol = proto;\n rest = rest.substr(proto.length);\n }\n\n // figure out if it's got a host\n // user@server is *always* interpreted as a hostname, and url\n // resolution will treat //foo/bar as host=foo,path=bar because that's\n // how the browser resolves relative URLs.\n if (slashesDenoteHost || proto || rest.match(/^\\/\\/[^@\\/]+@[^@\\/]+/)) {\n slashes = rest.substr(0, 2) === '//';\n if (slashes && !(proto && hostlessProtocol[proto])) {\n rest = rest.substr(2);\n this.slashes = true;\n }\n }\n\n if (!hostlessProtocol[proto] &&\n (slashes || (proto && !slashedProtocol[proto]))) {\n\n // there's a hostname.\n // the first instance of /, ?, ;, or # ends the host.\n //\n // If there is an @ in the hostname, then non-host chars *are* allowed\n // to the left of the last @ sign, unless some host-ending character\n // comes *before* the @-sign.\n // URLs are obnoxious.\n //\n // ex:\n // http://a@b@c/ => user:a@b host:c\n // http://a@b?@c => user:a host:c path:/?@c\n\n // v0.12 TODO(isaacs): This is not quite how Chrome does things.\n // Review our test case against browsers more comprehensively.\n\n // find the first instance of any hostEndingChars\n var hostEnd = -1;\n for (i = 0; i < hostEndingChars.length; i++) {\n hec = rest.indexOf(hostEndingChars[i]);\n if (hec !== -1 && (hostEnd === -1 || hec < hostEnd)) {\n hostEnd = hec;\n }\n }\n\n // at this point, either we have an explicit point where the\n // auth portion cannot go past, or the last @ char is the decider.\n var auth, atSign;\n if (hostEnd === -1) {\n // atSign can be anywhere.\n atSign = rest.lastIndexOf('@');\n } else {\n // atSign must be in auth portion.\n // http://a@b/c@d => host:b auth:a path:/c@d\n atSign = rest.lastIndexOf('@', hostEnd);\n }\n\n // Now we have a portion which is definitely the auth.\n // Pull that off.\n if (atSign !== -1) {\n auth = rest.slice(0, atSign);\n rest = rest.slice(atSign + 1);\n this.auth = auth;\n }\n\n // the host is the remaining to the left of the first non-host char\n hostEnd = -1;\n for (i = 0; i < nonHostChars.length; i++) {\n hec = rest.indexOf(nonHostChars[i]);\n if (hec !== -1 && (hostEnd === -1 || hec < hostEnd)) {\n hostEnd = hec;\n }\n }\n // if we still have not hit it, then the entire thing is a host.\n if (hostEnd === -1) {\n hostEnd = rest.length;\n }\n\n if (rest[hostEnd - 1] === ':') { hostEnd--; }\n var host = rest.slice(0, hostEnd);\n rest = rest.slice(hostEnd);\n\n // pull out port.\n this.parseHost(host);\n\n // we've indicated that there is a hostname,\n // so even if it's empty, it has to be present.\n this.hostname = this.hostname || '';\n\n // if hostname begins with [ and ends with ]\n // assume that it's an IPv6 address.\n var ipv6Hostname = this.hostname[0] === '[' &&\n this.hostname[this.hostname.length - 1] === ']';\n\n // validate a little.\n if (!ipv6Hostname) {\n var hostparts = this.hostname.split(/\\./);\n for (i = 0, l = hostparts.length; i < l; i++) {\n var part = hostparts[i];\n if (!part) { continue; }\n if (!part.match(hostnamePartPattern)) {\n var newpart = '';\n for (var j = 0, k = part.length; j < k; j++) {\n if (part.charCodeAt(j) > 127) {\n // we replace non-ASCII char with a temporary placeholder\n // we need this to make sure size of hostname is not\n // broken by replacing non-ASCII by nothing\n newpart += 'x';\n } else {\n newpart += part[j];\n }\n }\n // we test again with ASCII char only\n if (!newpart.match(hostnamePartPattern)) {\n var validParts = hostparts.slice(0, i);\n var notHost = hostparts.slice(i + 1);\n var bit = part.match(hostnamePartStart);\n if (bit) {\n validParts.push(bit[1]);\n notHost.unshift(bit[2]);\n }\n if (notHost.length) {\n rest = notHost.join('.') + rest;\n }\n this.hostname = validParts.join('.');\n break;\n }\n }\n }\n }\n\n if (this.hostname.length > hostnameMaxLen) {\n this.hostname = '';\n }\n\n // strip [ and ] from the hostname\n // the host field still retains them, though\n if (ipv6Hostname) {\n this.hostname = this.hostname.substr(1, this.hostname.length - 2);\n }\n }\n\n // chop off from the tail first.\n var hash = rest.indexOf('#');\n if (hash !== -1) {\n // got a fragment string.\n this.hash = rest.substr(hash);\n rest = rest.slice(0, hash);\n }\n var qm = rest.indexOf('?');\n if (qm !== -1) {\n this.search = rest.substr(qm);\n rest = rest.slice(0, qm);\n }\n if (rest) { this.pathname = rest; }\n if (slashedProtocol[lowerProto] &&\n this.hostname && !this.pathname) {\n this.pathname = '';\n }\n\n return this;\n};\n\nUrl.prototype.parseHost = function(host) {\n var port = portPattern.exec(host);\n if (port) {\n port = port[0];\n if (port !== ':') {\n this.port = port.substr(1);\n }\n host = host.substr(0, host.length - port.length);\n }\n if (host) { this.hostname = host; }\n};\n\nmodule.exports = urlParse;\n","'use strict';\n\nexports.Any = require('./properties/Any/regex');\nexports.Cc = require('./categories/Cc/regex');\nexports.Cf = require('./categories/Cf/regex');\nexports.P = require('./categories/P/regex');\nexports.Z = require('./categories/Z/regex');\n","module.exports=/[\\xAD\\u0600-\\u0605\\u061C\\u06DD\\u070F\\u08E2\\u180E\\u200B-\\u200F\\u202A-\\u202E\\u2060-\\u2064\\u2066-\\u206F\\uFEFF\\uFFF9-\\uFFFB]|\\uD804[\\uDCBD\\uDCCD]|\\uD82F[\\uDCA0-\\uDCA3]|\\uD834[\\uDD73-\\uDD7A]|\\uDB40[\\uDC01\\uDC20-\\uDC7F]/","// Just a shortcut for bulk export\n'use strict';\n\n\nexports.parseLinkLabel = require('./parse_link_label');\nexports.parseLinkDestination = require('./parse_link_destination');\nexports.parseLinkTitle = require('./parse_link_title');\n","// Parse link label\n//\n// this function assumes that first character (\"[\") already matches;\n// returns the end of the label\n//\n'use strict';\n\nmodule.exports = function parseLinkLabel(state, start, disableNested) {\n var level, found, marker, prevPos,\n labelEnd = -1,\n max = state.posMax,\n oldPos = state.pos;\n\n state.pos = start + 1;\n level = 1;\n\n while (state.pos < max) {\n marker = state.src.charCodeAt(state.pos);\n if (marker === 0x5D /* ] */) {\n level--;\n if (level === 0) {\n found = true;\n break;\n }\n }\n\n prevPos = state.pos;\n state.md.inline.skipToken(state);\n if (marker === 0x5B /* [ */) {\n if (prevPos === state.pos - 1) {\n // increase level if we find text `[`, which is not a part of any token\n level++;\n } else if (disableNested) {\n state.pos = oldPos;\n return -1;\n }\n }\n }\n\n if (found) {\n labelEnd = state.pos;\n }\n\n // restore old state\n state.pos = oldPos;\n\n return labelEnd;\n};\n","// Parse link destination\n//\n'use strict';\n\n\nvar isSpace = require('../common/utils').isSpace;\nvar unescapeAll = require('../common/utils').unescapeAll;\n\n\nmodule.exports = function parseLinkDestination(str, pos, max) {\n var code, level,\n lines = 0,\n start = pos,\n result = {\n ok: false,\n pos: 0,\n lines: 0,\n str: ''\n };\n\n if (str.charCodeAt(pos) === 0x3C /* < */) {\n pos++;\n while (pos < max) {\n code = str.charCodeAt(pos);\n if (code === 0x0A /* \\n */ || isSpace(code)) { return result; }\n if (code === 0x3E /* > */) {\n result.pos = pos + 1;\n result.str = unescapeAll(str.slice(start + 1, pos));\n result.ok = true;\n return result;\n }\n if (code === 0x5C /* \\ */ && pos + 1 < max) {\n pos += 2;\n continue;\n }\n\n pos++;\n }\n\n // no closing '>'\n return result;\n }\n\n // this should be ... } else { ... branch\n\n level = 0;\n while (pos < max) {\n code = str.charCodeAt(pos);\n\n if (code === 0x20) { break; }\n\n // ascii control characters\n if (code < 0x20 || code === 0x7F) { break; }\n\n if (code === 0x5C /* \\ */ && pos + 1 < max) {\n pos += 2;\n continue;\n }\n\n if (code === 0x28 /* ( */) {\n level++;\n }\n\n if (code === 0x29 /* ) */) {\n if (level === 0) { break; }\n level--;\n }\n\n pos++;\n }\n\n if (start === pos) { return result; }\n if (level !== 0) { return result; }\n\n result.str = unescapeAll(str.slice(start, pos));\n result.lines = lines;\n result.pos = pos;\n result.ok = true;\n return result;\n};\n","// Parse link title\n//\n'use strict';\n\n\nvar unescapeAll = require('../common/utils').unescapeAll;\n\n\nmodule.exports = function parseLinkTitle(str, pos, max) {\n var code,\n marker,\n lines = 0,\n start = pos,\n result = {\n ok: false,\n pos: 0,\n lines: 0,\n str: ''\n };\n\n if (pos >= max) { return result; }\n\n marker = str.charCodeAt(pos);\n\n if (marker !== 0x22 /* \" */ && marker !== 0x27 /* ' */ && marker !== 0x28 /* ( */) { return result; }\n\n pos++;\n\n // if opening marker is \"(\", switch it to closing marker \")\"\n if (marker === 0x28) { marker = 0x29; }\n\n while (pos < max) {\n code = str.charCodeAt(pos);\n if (code === marker) {\n result.pos = pos + 1;\n result.lines = lines;\n result.str = unescapeAll(str.slice(start + 1, pos));\n result.ok = true;\n return result;\n } else if (code === 0x0A) {\n lines++;\n } else if (code === 0x5C /* \\ */ && pos + 1 < max) {\n pos++;\n if (str.charCodeAt(pos) === 0x0A) {\n lines++;\n }\n }\n\n pos++;\n }\n\n return result;\n};\n","/**\n * class Renderer\n *\n * Generates HTML from parsed token stream. Each instance has independent\n * copy of rules. Those can be rewritten with ease. Also, you can add new\n * rules if you create plugin and adds new token types.\n **/\n'use strict';\n\n\nvar assign = require('./common/utils').assign;\nvar unescapeAll = require('./common/utils').unescapeAll;\nvar escapeHtml = require('./common/utils').escapeHtml;\n\n\n////////////////////////////////////////////////////////////////////////////////\n\nvar default_rules = {};\n\n\ndefault_rules.code_inline = function (tokens, idx, options, env, slf) {\n var token = tokens[idx];\n\n return '<code' + slf.renderAttrs(token) + '>' +\n escapeHtml(tokens[idx].content) +\n '</code>';\n};\n\n\ndefault_rules.code_block = function (tokens, idx, options, env, slf) {\n var token = tokens[idx];\n\n return '<pre' + slf.renderAttrs(token) + '><code>' +\n escapeHtml(tokens[idx].content) +\n '</code></pre>\\n';\n};\n\n\ndefault_rules.fence = function (tokens, idx, options, env, slf) {\n var token = tokens[idx],\n info = token.info ? unescapeAll(token.info).trim() : '',\n langName = '',\n highlighted, i, tmpAttrs, tmpToken;\n\n if (info) {\n langName = info.split(/\\s+/g)[0];\n }\n\n if (options.highlight) {\n highlighted = options.highlight(token.content, langName) || escapeHtml(token.content);\n } else {\n highlighted = escapeHtml(token.content);\n }\n\n if (highlighted.indexOf('<pre') === 0) {\n return highlighted + '\\n';\n }\n\n // If language exists, inject class gently, without modifying original token.\n // May be, one day we will add .clone() for token and simplify this part, but\n // now we prefer to keep things local.\n if (info) {\n i = token.attrIndex('class');\n tmpAttrs = token.attrs ? token.attrs.slice() : [];\n\n if (i < 0) {\n tmpAttrs.push([ 'class', options.langPrefix + langName ]);\n } else {\n tmpAttrs[i][1] += ' ' + options.langPrefix + langName;\n }\n\n // Fake token just to render attributes\n tmpToken = {\n attrs: tmpAttrs\n };\n\n return '<pre><code' + slf.renderAttrs(tmpToken) + '>'\n + highlighted\n + '</code></pre>\\n';\n }\n\n\n return '<pre><code' + slf.renderAttrs(token) + '>'\n + highlighted\n + '</code></pre>\\n';\n};\n\n\ndefault_rules.image = function (tokens, idx, options, env, slf) {\n var token = tokens[idx];\n\n // \"alt\" attr MUST be set, even if empty. Because it's mandatory and\n // should be placed on proper position for tests.\n //\n // Replace content with actual value\n\n token.attrs[token.attrIndex('alt')][1] =\n slf.renderInlineAsText(token.children, options, env);\n\n return slf.renderToken(tokens, idx, options);\n};\n\n\ndefault_rules.hardbreak = function (tokens, idx, options /*, env */) {\n return options.xhtmlOut ? '<br />\\n' : '<br>\\n';\n};\ndefault_rules.softbreak = function (tokens, idx, options /*, env */) {\n return options.breaks ? (options.xhtmlOut ? '<br />\\n' : '<br>\\n') : '\\n';\n};\n\n\ndefault_rules.text = function (tokens, idx /*, options, env */) {\n return escapeHtml(tokens[idx].content);\n};\n\n\ndefault_rules.html_block = function (tokens, idx /*, options, env */) {\n return tokens[idx].content;\n};\ndefault_rules.html_inline = function (tokens, idx /*, options, env */) {\n return tokens[idx].content;\n};\n\n\n/**\n * new Renderer()\n *\n * Creates new [[Renderer]] instance and fill [[Renderer#rules]] with defaults.\n **/\nfunction Renderer() {\n\n /**\n * Renderer#rules -> Object\n *\n * Contains render rules for tokens. Can be updated and extended.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * md.renderer.rules.strong_open = function () { return '<b>'; };\n * md.renderer.rules.strong_close = function () { return '</b>'; };\n *\n * var result = md.renderInline(...);\n * ```\n *\n * Each rule is called as independent static function with fixed signature:\n *\n * ```javascript\n * function my_token_render(tokens, idx, options, env, renderer) {\n * // ...\n * return renderedHTML;\n * }\n * ```\n *\n * See [source code](https://github.com/markdown-it/markdown-it/blob/master/lib/renderer.js)\n * for more details and examples.\n **/\n this.rules = assign({}, default_rules);\n}\n\n\n/**\n * Renderer.renderAttrs(token) -> String\n *\n * Render token attributes to string.\n **/\nRenderer.prototype.renderAttrs = function renderAttrs(token) {\n var i, l, result;\n\n if (!token.attrs) { return ''; }\n\n result = '';\n\n for (i = 0, l = token.attrs.length; i < l; i++) {\n result += ' ' + escapeHtml(token.attrs[i][0]) + '=\"' + escapeHtml(token.attrs[i][1]) + '\"';\n }\n\n return result;\n};\n\n\n/**\n * Renderer.renderToken(tokens, idx, options) -> String\n * - tokens (Array): list of tokens\n * - idx (Numbed): token index to render\n * - options (Object): params of parser instance\n *\n * Default token renderer. Can be overriden by custom function\n * in [[Renderer#rules]].\n **/\nRenderer.prototype.renderToken = function renderToken(tokens, idx, options) {\n var nextToken,\n result = '',\n needLf = false,\n token = tokens[idx];\n\n // Tight list paragraphs\n if (token.hidden) {\n return '';\n }\n\n // Insert a newline between hidden paragraph and subsequent opening\n // block-level tag.\n //\n // For example, here we should insert a newline before blockquote:\n // - a\n // >\n //\n if (token.block && token.nesting !== -1 && idx && tokens[idx - 1].hidden) {\n result += '\\n';\n }\n\n // Add token name, e.g. `<img`\n result += (token.nesting === -1 ? '</' : '<') + token.tag;\n\n // Encode attributes, e.g. `<img src=\"foo\"`\n result += this.renderAttrs(token);\n\n // Add a slash for self-closing tags, e.g. `<img src=\"foo\" /`\n if (token.nesting === 0 && options.xhtmlOut) {\n result += ' /';\n }\n\n // Check if we need to add a newline after this tag\n if (token.block) {\n needLf = true;\n\n if (token.nesting === 1) {\n if (idx + 1 < tokens.length) {\n nextToken = tokens[idx + 1];\n\n if (nextToken.type === 'inline' || nextToken.hidden) {\n // Block-level tag containing an inline tag.\n //\n needLf = false;\n\n } else if (nextToken.nesting === -1 && nextToken.tag === token.tag) {\n // Opening tag + closing tag of the same type. E.g. `<li></li>`.\n //\n needLf = false;\n }\n }\n }\n }\n\n result += needLf ? '>\\n' : '>';\n\n return result;\n};\n\n\n/**\n * Renderer.renderInline(tokens, options, env) -> String\n * - tokens (Array): list on block tokens to renter\n * - options (Object): params of parser instance\n * - env (Object): additional data from parsed input (references, for example)\n *\n * The same as [[Renderer.render]], but for single token of `inline` type.\n **/\nRenderer.prototype.renderInline = function (tokens, options, env) {\n var type,\n result = '',\n rules = this.rules;\n\n for (var i = 0, len = tokens.length; i < len; i++) {\n type = tokens[i].type;\n\n if (typeof rules[type] !== 'undefined') {\n result += rules[type](tokens, i, options, env, this);\n } else {\n result += this.renderToken(tokens, i, options);\n }\n }\n\n return result;\n};\n\n\n/** internal\n * Renderer.renderInlineAsText(tokens, options, env) -> String\n * - tokens (Array): list on block tokens to renter\n * - options (Object): params of parser instance\n * - env (Object): additional data from parsed input (references, for example)\n *\n * Special kludge for image `alt` attributes to conform CommonMark spec.\n * Don't try to use it! Spec requires to show `alt` content with stripped markup,\n * instead of simple escaping.\n **/\nRenderer.prototype.renderInlineAsText = function (tokens, options, env) {\n var result = '';\n\n for (var i = 0, len = tokens.length; i < len; i++) {\n if (tokens[i].type === 'text') {\n result += tokens[i].content;\n } else if (tokens[i].type === 'image') {\n result += this.renderInlineAsText(tokens[i].children, options, env);\n }\n }\n\n return result;\n};\n\n\n/**\n * Renderer.render(tokens, options, env) -> String\n * - tokens (Array): list on block tokens to renter\n * - options (Object): params of parser instance\n * - env (Object): additional data from parsed input (references, for example)\n *\n * Takes token stream and generates HTML. Probably, you will never need to call\n * this method directly.\n **/\nRenderer.prototype.render = function (tokens, options, env) {\n var i, len, type,\n result = '',\n rules = this.rules;\n\n for (i = 0, len = tokens.length; i < len; i++) {\n type = tokens[i].type;\n\n if (type === 'inline') {\n result += this.renderInline(tokens[i].children, options, env);\n } else if (typeof rules[type] !== 'undefined') {\n result += rules[tokens[i].type](tokens, i, options, env, this);\n } else {\n result += this.renderToken(tokens, i, options, env);\n }\n }\n\n return result;\n};\n\nmodule.exports = Renderer;\n","/** internal\n * class Core\n *\n * Top-level rules executor. Glues block/inline parsers and does intermediate\n * transformations.\n **/\n'use strict';\n\n\nvar Ruler = require('./ruler');\n\n\nvar _rules = [\n [ 'normalize', require('./rules_core/normalize') ],\n [ 'block', require('./rules_core/block') ],\n [ 'inline', require('./rules_core/inline') ],\n [ 'linkify', require('./rules_core/linkify') ],\n [ 'replacements', require('./rules_core/replacements') ],\n [ 'smartquotes', require('./rules_core/smartquotes') ]\n];\n\n\n/**\n * new Core()\n **/\nfunction Core() {\n /**\n * Core#ruler -> Ruler\n *\n * [[Ruler]] instance. Keep configuration of core rules.\n **/\n this.ruler = new Ruler();\n\n for (var i = 0; i < _rules.length; i++) {\n this.ruler.push(_rules[i][0], _rules[i][1]);\n }\n}\n\n\n/**\n * Core.process(state)\n *\n * Executes core chain rules.\n **/\nCore.prototype.process = function (state) {\n var i, l, rules;\n\n rules = this.ruler.getRules('');\n\n for (i = 0, l = rules.length; i < l; i++) {\n rules[i](state);\n }\n};\n\nCore.prototype.State = require('./rules_core/state_core');\n\n\nmodule.exports = Core;\n","// Normalize input string\n\n'use strict';\n\n\nvar NEWLINES_RE = /\\r[\\n\\u0085]?|[\\u2424\\u2028\\u0085]/g;\nvar NULL_RE = /\\u0000/g;\n\n\nmodule.exports = function inline(state) {\n var str;\n\n // Normalize newlines\n str = state.src.replace(NEWLINES_RE, '\\n');\n\n // Replace NULL characters\n str = str.replace(NULL_RE, '\\uFFFD');\n\n state.src = str;\n};\n","'use strict';\n\n\nmodule.exports = function block(state) {\n var token;\n\n if (state.inlineMode) {\n token = new state.Token('inline', '', 0);\n token.content = state.src;\n token.map = [ 0, 1 ];\n token.children = [];\n state.tokens.push(token);\n } else {\n state.md.block.parse(state.src, state.md, state.env, state.tokens);\n }\n};\n","'use strict';\n\nmodule.exports = function inline(state) {\n var tokens = state.tokens, tok, i, l;\n\n // Parse inlines\n for (i = 0, l = tokens.length; i < l; i++) {\n tok = tokens[i];\n if (tok.type === 'inline') {\n state.md.inline.parse(tok.content, state.md, state.env, tok.children);\n }\n }\n};\n","// Replace link-like texts with link nodes.\n//\n// Currently restricted by `md.validateLink()` to http/https/ftp\n//\n'use strict';\n\n\nvar arrayReplaceAt = require('../common/utils').arrayReplaceAt;\n\n\nfunction isLinkOpen(str) {\n return /^<a[>\\s]/i.test(str);\n}\nfunction isLinkClose(str) {\n return /^<\\/a\\s*>/i.test(str);\n}\n\n\nmodule.exports = function linkify(state) {\n var i, j, l, tokens, token, currentToken, nodes, ln, text, pos, lastPos,\n level, htmlLinkLevel, url, fullUrl, urlText,\n blockTokens = state.tokens,\n links;\n\n if (!state.md.options.linkify) { return; }\n\n for (j = 0, l = blockTokens.length; j < l; j++) {\n if (blockTokens[j].type !== 'inline' ||\n !state.md.linkify.pretest(blockTokens[j].content)) {\n continue;\n }\n\n tokens = blockTokens[j].children;\n\n htmlLinkLevel = 0;\n\n // We scan from the end, to keep position when new tags added.\n // Use reversed logic in links start/end match\n for (i = tokens.length - 1; i >= 0; i--) {\n currentToken = tokens[i];\n\n // Skip content of markdown links\n if (currentToken.type === 'link_close') {\n i--;\n while (tokens[i].level !== currentToken.level && tokens[i].type !== 'link_open') {\n i--;\n }\n continue;\n }\n\n // Skip content of html tag links\n if (currentToken.type === 'html_inline') {\n if (isLinkOpen(currentToken.content) && htmlLinkLevel > 0) {\n htmlLinkLevel--;\n }\n if (isLinkClose(currentToken.content)) {\n htmlLinkLevel++;\n }\n }\n if (htmlLinkLevel > 0) { continue; }\n\n if (currentToken.type === 'text' && state.md.linkify.test(currentToken.content)) {\n\n text = currentToken.content;\n links = state.md.linkify.match(text);\n\n // Now split string to nodes\n nodes = [];\n level = currentToken.level;\n lastPos = 0;\n\n for (ln = 0; ln < links.length; ln++) {\n\n url = links[ln].url;\n fullUrl = state.md.normalizeLink(url);\n if (!state.md.validateLink(fullUrl)) { continue; }\n\n urlText = links[ln].text;\n\n // Linkifier might send raw hostnames like \"example.com\", where url\n // starts with domain name. So we prepend http:// in those cases,\n // and remove it afterwards.\n //\n if (!links[ln].schema) {\n urlText = state.md.normalizeLinkText('http://' + urlText).replace(/^http:\\/\\//, '');\n } else if (links[ln].schema === 'mailto:' && !/^mailto:/i.test(urlText)) {\n urlText = state.md.normalizeLinkText('mailto:' + urlText).replace(/^mailto:/, '');\n } else {\n urlText = state.md.normalizeLinkText(urlText);\n }\n\n pos = links[ln].index;\n\n if (pos > lastPos) {\n token = new state.Token('text', '', 0);\n token.content = text.slice(lastPos, pos);\n token.level = level;\n nodes.push(token);\n }\n\n token = new state.Token('link_open', 'a', 1);\n token.attrs = [ [ 'href', fullUrl ] ];\n token.level = level++;\n token.markup = 'linkify';\n token.info = 'auto';\n nodes.push(token);\n\n token = new state.Token('text', '', 0);\n token.content = urlText;\n token.level = level;\n nodes.push(token);\n\n token = new state.Token('link_close', 'a', -1);\n token.level = --level;\n token.markup = 'linkify';\n token.info = 'auto';\n nodes.push(token);\n\n lastPos = links[ln].lastIndex;\n }\n if (lastPos < text.length) {\n token = new state.Token('text', '', 0);\n token.content = text.slice(lastPos);\n token.level = level;\n nodes.push(token);\n }\n\n // replace current node\n blockTokens[j].children = tokens = arrayReplaceAt(tokens, i, nodes);\n }\n }\n }\n};\n","// Simple typographyc replacements\n//\n// (c) (C) → ©\n// (tm) (TM) → ™\n// (r) (R) → ®\n// +- → ±\n// (p) (P) -> §\n// ... → … (also ?.... → ?.., !.... → !..)\n// ???????? → ???, !!!!! → !!!, `,,` → `,`\n// -- → &ndash;, --- → &mdash;\n//\n'use strict';\n\n// TODO:\n// - fractionals 1/2, 1/4, 3/4 -> ½, ¼, ¾\n// - miltiplication 2 x 4 -> 2 × 4\n\nvar RARE_RE = /\\+-|\\.\\.|\\?\\?\\?\\?|!!!!|,,|--/;\n\n// Workaround for phantomjs - need regex without /g flag,\n// or root check will fail every second time\nvar SCOPED_ABBR_TEST_RE = /\\((c|tm|r|p)\\)/i;\n\nvar SCOPED_ABBR_RE = /\\((c|tm|r|p)\\)/ig;\nvar SCOPED_ABBR = {\n c: '©',\n r: '®',\n p: '§',\n tm: '™'\n};\n\nfunction replaceFn(match, name) {\n return SCOPED_ABBR[name.toLowerCase()];\n}\n\nfunction replace_scoped(inlineTokens) {\n var i, token, inside_autolink = 0;\n\n for (i = inlineTokens.length - 1; i >= 0; i--) {\n token = inlineTokens[i];\n\n if (token.type === 'text' && !inside_autolink) {\n token.content = token.content.replace(SCOPED_ABBR_RE, replaceFn);\n }\n\n if (token.type === 'link_open' && token.info === 'auto') {\n inside_autolink--;\n }\n\n if (token.type === 'link_close' && token.info === 'auto') {\n inside_autolink++;\n }\n }\n}\n\nfunction replace_rare(inlineTokens) {\n var i, token, inside_autolink = 0;\n\n for (i = inlineTokens.length - 1; i >= 0; i--) {\n token = inlineTokens[i];\n\n if (token.type === 'text' && !inside_autolink) {\n if (RARE_RE.test(token.content)) {\n token.content = token.content\n .replace(/\\+-/g, '±')\n // .., ..., ....... -> …\n // but ?..... & !..... -> ?.. & !..\n .replace(/\\.{2,}/g, '…').replace(/([?!])…/g, '$1..')\n .replace(/([?!]){4,}/g, '$1$1$1').replace(/,{2,}/g, ',')\n // em-dash\n .replace(/(^|[^-])---([^-]|$)/mg, '$1\\u2014$2')\n // en-dash\n .replace(/(^|\\s)--(\\s|$)/mg, '$1\\u2013$2')\n .replace(/(^|[^-\\s])--([^-\\s]|$)/mg, '$1\\u2013$2');\n }\n }\n\n if (token.type === 'link_open' && token.info === 'auto') {\n inside_autolink--;\n }\n\n if (token.type === 'link_close' && token.info === 'auto') {\n inside_autolink++;\n }\n }\n}\n\n\nmodule.exports = function replace(state) {\n var blkIdx;\n\n if (!state.md.options.typographer) { return; }\n\n for (blkIdx = state.tokens.length - 1; blkIdx >= 0; blkIdx--) {\n\n if (state.tokens[blkIdx].type !== 'inline') { continue; }\n\n if (SCOPED_ABBR_TEST_RE.test(state.tokens[blkIdx].content)) {\n replace_scoped(state.tokens[blkIdx].children);\n }\n\n if (RARE_RE.test(state.tokens[blkIdx].content)) {\n replace_rare(state.tokens[blkIdx].children);\n }\n\n }\n};\n","// Convert straight quotation marks to typographic ones\n//\n'use strict';\n\n\nvar isWhiteSpace = require('../common/utils').isWhiteSpace;\nvar isPunctChar = require('../common/utils').isPunctChar;\nvar isMdAsciiPunct = require('../common/utils').isMdAsciiPunct;\n\nvar QUOTE_TEST_RE = /['\"]/;\nvar QUOTE_RE = /['\"]/g;\nvar APOSTROPHE = '\\u2019'; /* ’ */\n\n\nfunction replaceAt(str, index, ch) {\n return str.substr(0, index) + ch + str.substr(index + 1);\n}\n\nfunction process_inlines(tokens, state) {\n var i, token, text, t, pos, max, thisLevel, item, lastChar, nextChar,\n isLastPunctChar, isNextPunctChar, isLastWhiteSpace, isNextWhiteSpace,\n canOpen, canClose, j, isSingle, stack, openQuote, closeQuote;\n\n stack = [];\n\n for (i = 0; i < tokens.length; i++) {\n token = tokens[i];\n\n thisLevel = tokens[i].level;\n\n for (j = stack.length - 1; j >= 0; j--) {\n if (stack[j].level <= thisLevel) { break; }\n }\n stack.length = j + 1;\n\n if (token.type !== 'text') { continue; }\n\n text = token.content;\n pos = 0;\n max = text.length;\n\n /*eslint no-labels:0,block-scoped-var:0*/\n OUTER:\n while (pos < max) {\n QUOTE_RE.lastIndex = pos;\n t = QUOTE_RE.exec(text);\n if (!t) { break; }\n\n canOpen = canClose = true;\n pos = t.index + 1;\n isSingle = (t[0] === \"'\");\n\n // Find previous character,\n // default to space if it's the beginning of the line\n //\n lastChar = 0x20;\n\n if (t.index - 1 >= 0) {\n lastChar = text.charCodeAt(t.index - 1);\n } else {\n for (j = i - 1; j >= 0; j--) {\n if (tokens[j].type === 'softbreak' || tokens[j].type === 'hardbreak') break; // lastChar defaults to 0x20\n if (tokens[j].type !== 'text') continue;\n\n lastChar = tokens[j].content.charCodeAt(tokens[j].content.length - 1);\n break;\n }\n }\n\n // Find next character,\n // default to space if it's the end of the line\n //\n nextChar = 0x20;\n\n if (pos < max) {\n nextChar = text.charCodeAt(pos);\n } else {\n for (j = i + 1; j < tokens.length; j++) {\n if (tokens[j].type === 'softbreak' || tokens[j].type === 'hardbreak') break; // nextChar defaults to 0x20\n if (tokens[j].type !== 'text') continue;\n\n nextChar = tokens[j].content.charCodeAt(0);\n break;\n }\n }\n\n isLastPunctChar = isMdAsciiPunct(lastChar) || isPunctChar(String.fromCharCode(lastChar));\n isNextPunctChar = isMdAsciiPunct(nextChar) || isPunctChar(String.fromCharCode(nextChar));\n\n isLastWhiteSpace = isWhiteSpace(lastChar);\n isNextWhiteSpace = isWhiteSpace(nextChar);\n\n if (isNextWhiteSpace) {\n canOpen = false;\n } else if (isNextPunctChar) {\n if (!(isLastWhiteSpace || isLastPunctChar)) {\n canOpen = false;\n }\n }\n\n if (isLastWhiteSpace) {\n canClose = false;\n } else if (isLastPunctChar) {\n if (!(isNextWhiteSpace || isNextPunctChar)) {\n canClose = false;\n }\n }\n\n if (nextChar === 0x22 /* \" */ && t[0] === '\"') {\n if (lastChar >= 0x30 /* 0 */ && lastChar <= 0x39 /* 9 */) {\n // special case: 1\"\" - count first quote as an inch\n canClose = canOpen = false;\n }\n }\n\n if (canOpen && canClose) {\n // treat this as the middle of the word\n canOpen = false;\n canClose = isNextPunctChar;\n }\n\n if (!canOpen && !canClose) {\n // middle of word\n if (isSingle) {\n token.content = replaceAt(token.content, t.index, APOSTROPHE);\n }\n continue;\n }\n\n if (canClose) {\n // this could be a closing quote, rewind the stack to get a match\n for (j = stack.length - 1; j >= 0; j--) {\n item = stack[j];\n if (stack[j].level < thisLevel) { break; }\n if (item.single === isSingle && stack[j].level === thisLevel) {\n item = stack[j];\n\n if (isSingle) {\n openQuote = state.md.options.quotes[2];\n closeQuote = state.md.options.quotes[3];\n } else {\n openQuote = state.md.options.quotes[0];\n closeQuote = state.md.options.quotes[1];\n }\n\n // replace token.content *before* tokens[item.token].content,\n // because, if they are pointing at the same token, replaceAt\n // could mess up indices when quote length != 1\n token.content = replaceAt(token.content, t.index, closeQuote);\n tokens[item.token].content = replaceAt(\n tokens[item.token].content, item.pos, openQuote);\n\n pos += closeQuote.length - 1;\n if (item.token === i) { pos += openQuote.length - 1; }\n\n text = token.content;\n max = text.length;\n\n stack.length = j;\n continue OUTER;\n }\n }\n }\n\n if (canOpen) {\n stack.push({\n token: i,\n pos: t.index,\n single: isSingle,\n level: thisLevel\n });\n } else if (canClose && isSingle) {\n token.content = replaceAt(token.content, t.index, APOSTROPHE);\n }\n }\n }\n}\n\n\nmodule.exports = function smartquotes(state) {\n /*eslint max-depth:0*/\n var blkIdx;\n\n if (!state.md.options.typographer) { return; }\n\n for (blkIdx = state.tokens.length - 1; blkIdx >= 0; blkIdx--) {\n\n if (state.tokens[blkIdx].type !== 'inline' ||\n !QUOTE_TEST_RE.test(state.tokens[blkIdx].content)) {\n continue;\n }\n\n process_inlines(state.tokens[blkIdx].children, state);\n }\n};\n","// Core state object\n//\n'use strict';\n\nvar Token = require('../token');\n\n\nfunction StateCore(src, md, env) {\n this.src = src;\n this.env = env;\n this.tokens = [];\n this.inlineMode = false;\n this.md = md; // link to parser instance\n}\n\n// re-export Token class to use in core rules\nStateCore.prototype.Token = Token;\n\n\nmodule.exports = StateCore;\n","/** internal\n * class ParserBlock\n *\n * Block-level tokenizer.\n **/\n'use strict';\n\n\nvar Ruler = require('./ruler');\n\n\nvar _rules = [\n // First 2 params - rule name & source. Secondary array - list of rules,\n // which can be terminated by this one.\n [ 'table', require('./rules_block/table'), [ 'paragraph', 'reference' ] ],\n [ 'code', require('./rules_block/code') ],\n [ 'fence', require('./rules_block/fence'), [ 'paragraph', 'reference', 'blockquote', 'list' ] ],\n [ 'blockquote', require('./rules_block/blockquote'), [ 'paragraph', 'reference', 'blockquote', 'list' ] ],\n [ 'hr', require('./rules_block/hr'), [ 'paragraph', 'reference', 'blockquote', 'list' ] ],\n [ 'list', require('./rules_block/list'), [ 'paragraph', 'reference', 'blockquote' ] ],\n [ 'reference', require('./rules_block/reference') ],\n [ 'heading', require('./rules_block/heading'), [ 'paragraph', 'reference', 'blockquote' ] ],\n [ 'lheading', require('./rules_block/lheading') ],\n [ 'html_block', require('./rules_block/html_block'), [ 'paragraph', 'reference', 'blockquote' ] ],\n [ 'paragraph', require('./rules_block/paragraph') ]\n];\n\n\n/**\n * new ParserBlock()\n **/\nfunction ParserBlock() {\n /**\n * ParserBlock#ruler -> Ruler\n *\n * [[Ruler]] instance. Keep configuration of block rules.\n **/\n this.ruler = new Ruler();\n\n for (var i = 0; i < _rules.length; i++) {\n this.ruler.push(_rules[i][0], _rules[i][1], { alt: (_rules[i][2] || []).slice() });\n }\n}\n\n\n// Generate tokens for input range\n//\nParserBlock.prototype.tokenize = function (state, startLine, endLine) {\n var ok, i,\n rules = this.ruler.getRules(''),\n len = rules.length,\n line = startLine,\n hasEmptyLines = false,\n maxNesting = state.md.options.maxNesting;\n\n while (line < endLine) {\n state.line = line = state.skipEmptyLines(line);\n if (line >= endLine) { break; }\n\n // Termination condition for nested calls.\n // Nested calls currently used for blockquotes & lists\n if (state.sCount[line] < state.blkIndent) { break; }\n\n // If nesting level exceeded - skip tail to the end. That's not ordinary\n // situation and we should not care about content.\n if (state.level >= maxNesting) {\n state.line = endLine;\n break;\n }\n\n // Try all possible rules.\n // On success, rule should:\n //\n // - update `state.line`\n // - update `state.tokens`\n // - return true\n\n for (i = 0; i < len; i++) {\n ok = rules[i](state, line, endLine, false);\n if (ok) { break; }\n }\n\n // set state.tight if we had an empty line before current tag\n // i.e. latest empty line should not count\n state.tight = !hasEmptyLines;\n\n // paragraph might \"eat\" one newline after it in nested lists\n if (state.isEmpty(state.line - 1)) {\n hasEmptyLines = true;\n }\n\n line = state.line;\n\n if (line < endLine && state.isEmpty(line)) {\n hasEmptyLines = true;\n line++;\n state.line = line;\n }\n }\n};\n\n\n/**\n * ParserBlock.parse(str, md, env, outTokens)\n *\n * Process input string and push block tokens into `outTokens`\n **/\nParserBlock.prototype.parse = function (src, md, env, outTokens) {\n var state;\n\n if (!src) { return; }\n\n state = new this.State(src, md, env, outTokens);\n\n this.tokenize(state, state.line, state.lineMax);\n};\n\n\nParserBlock.prototype.State = require('./rules_block/state_block');\n\n\nmodule.exports = ParserBlock;\n","// GFM table, non-standard\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\nfunction getLine(state, line) {\n var pos = state.bMarks[line] + state.blkIndent,\n max = state.eMarks[line];\n\n return state.src.substr(pos, max - pos);\n}\n\nfunction escapedSplit(str) {\n var result = [],\n pos = 0,\n max = str.length,\n ch,\n escapes = 0,\n lastPos = 0,\n backTicked = false,\n lastBackTick = 0;\n\n ch = str.charCodeAt(pos);\n\n while (pos < max) {\n if (ch === 0x60/* ` */) {\n if (backTicked) {\n // make \\` close code sequence, but not open it;\n // the reason is: `\\` is correct code block\n backTicked = false;\n lastBackTick = pos;\n } else if (escapes % 2 === 0) {\n backTicked = true;\n lastBackTick = pos;\n }\n } else if (ch === 0x7c/* | */ && (escapes % 2 === 0) && !backTicked) {\n result.push(str.substring(lastPos, pos));\n lastPos = pos + 1;\n }\n\n if (ch === 0x5c/* \\ */) {\n escapes++;\n } else {\n escapes = 0;\n }\n\n pos++;\n\n // If there was an un-closed backtick, go back to just after\n // the last backtick, but as if it was a normal character\n if (pos === max && backTicked) {\n backTicked = false;\n pos = lastBackTick + 1;\n }\n\n ch = str.charCodeAt(pos);\n }\n\n result.push(str.substring(lastPos));\n\n return result;\n}\n\n\nmodule.exports = function table(state, startLine, endLine, silent) {\n var ch, lineText, pos, i, nextLine, columns, columnCount, token,\n aligns, t, tableLines, tbodyLines;\n\n // should have at least two lines\n if (startLine + 2 > endLine) { return false; }\n\n nextLine = startLine + 1;\n\n if (state.sCount[nextLine] < state.blkIndent) { return false; }\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[nextLine] - state.blkIndent >= 4) { return false; }\n\n // first character of the second line should be '|', '-', ':',\n // and no other characters are allowed but spaces;\n // basically, this is the equivalent of /^[-:|][-:|\\s]*$/ regexp\n\n pos = state.bMarks[nextLine] + state.tShift[nextLine];\n if (pos >= state.eMarks[nextLine]) { return false; }\n\n ch = state.src.charCodeAt(pos++);\n if (ch !== 0x7C/* | */ && ch !== 0x2D/* - */ && ch !== 0x3A/* : */) { return false; }\n\n while (pos < state.eMarks[nextLine]) {\n ch = state.src.charCodeAt(pos);\n\n if (ch !== 0x7C/* | */ && ch !== 0x2D/* - */ && ch !== 0x3A/* : */ && !isSpace(ch)) { return false; }\n\n pos++;\n }\n\n lineText = getLine(state, startLine + 1);\n\n columns = lineText.split('|');\n aligns = [];\n for (i = 0; i < columns.length; i++) {\n t = columns[i].trim();\n if (!t) {\n // allow empty columns before and after table, but not in between columns;\n // e.g. allow ` |---| `, disallow ` ---||--- `\n if (i === 0 || i === columns.length - 1) {\n continue;\n } else {\n return false;\n }\n }\n\n if (!/^:?-+:?$/.test(t)) { return false; }\n if (t.charCodeAt(t.length - 1) === 0x3A/* : */) {\n aligns.push(t.charCodeAt(0) === 0x3A/* : */ ? 'center' : 'right');\n } else if (t.charCodeAt(0) === 0x3A/* : */) {\n aligns.push('left');\n } else {\n aligns.push('');\n }\n }\n\n lineText = getLine(state, startLine).trim();\n if (lineText.indexOf('|') === -1) { return false; }\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n columns = escapedSplit(lineText.replace(/^\\||\\|$/g, ''));\n\n // header row will define an amount of columns in the entire table,\n // and align row shouldn't be smaller than that (the rest of the rows can)\n columnCount = columns.length;\n if (columnCount > aligns.length) { return false; }\n\n if (silent) { return true; }\n\n token = state.push('table_open', 'table', 1);\n token.map = tableLines = [ startLine, 0 ];\n\n token = state.push('thead_open', 'thead', 1);\n token.map = [ startLine, startLine + 1 ];\n\n token = state.push('tr_open', 'tr', 1);\n token.map = [ startLine, startLine + 1 ];\n\n for (i = 0; i < columns.length; i++) {\n token = state.push('th_open', 'th', 1);\n token.map = [ startLine, startLine + 1 ];\n if (aligns[i]) {\n token.attrs = [ [ 'style', 'text-align:' + aligns[i] ] ];\n }\n\n token = state.push('inline', '', 0);\n token.content = columns[i].trim();\n token.map = [ startLine, startLine + 1 ];\n token.children = [];\n\n token = state.push('th_close', 'th', -1);\n }\n\n token = state.push('tr_close', 'tr', -1);\n token = state.push('thead_close', 'thead', -1);\n\n token = state.push('tbody_open', 'tbody', 1);\n token.map = tbodyLines = [ startLine + 2, 0 ];\n\n for (nextLine = startLine + 2; nextLine < endLine; nextLine++) {\n if (state.sCount[nextLine] < state.blkIndent) { break; }\n\n lineText = getLine(state, nextLine).trim();\n if (lineText.indexOf('|') === -1) { break; }\n if (state.sCount[nextLine] - state.blkIndent >= 4) { break; }\n columns = escapedSplit(lineText.replace(/^\\||\\|$/g, ''));\n\n token = state.push('tr_open', 'tr', 1);\n for (i = 0; i < columnCount; i++) {\n token = state.push('td_open', 'td', 1);\n if (aligns[i]) {\n token.attrs = [ [ 'style', 'text-align:' + aligns[i] ] ];\n }\n\n token = state.push('inline', '', 0);\n token.content = columns[i] ? columns[i].trim() : '';\n token.children = [];\n\n token = state.push('td_close', 'td', -1);\n }\n token = state.push('tr_close', 'tr', -1);\n }\n token = state.push('tbody_close', 'tbody', -1);\n token = state.push('table_close', 'table', -1);\n\n tableLines[1] = tbodyLines[1] = nextLine;\n state.line = nextLine;\n return true;\n};\n","// Code block (4 spaces padded)\n\n'use strict';\n\n\nmodule.exports = function code(state, startLine, endLine/*, silent*/) {\n var nextLine, last, token;\n\n if (state.sCount[startLine] - state.blkIndent < 4) { return false; }\n\n last = nextLine = startLine + 1;\n\n while (nextLine < endLine) {\n if (state.isEmpty(nextLine)) {\n nextLine++;\n continue;\n }\n\n if (state.sCount[nextLine] - state.blkIndent >= 4) {\n nextLine++;\n last = nextLine;\n continue;\n }\n break;\n }\n\n state.line = last;\n\n token = state.push('code_block', 'code', 0);\n token.content = state.getLines(startLine, last, 4 + state.blkIndent, true);\n token.map = [ startLine, state.line ];\n\n return true;\n};\n","// fences (``` lang, ~~~ lang)\n\n'use strict';\n\n\nmodule.exports = function fence(state, startLine, endLine, silent) {\n var marker, len, params, nextLine, mem, token, markup,\n haveEndMarker = false,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine];\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n if (pos + 3 > max) { return false; }\n\n marker = state.src.charCodeAt(pos);\n\n if (marker !== 0x7E/* ~ */ && marker !== 0x60 /* ` */) {\n return false;\n }\n\n // scan marker length\n mem = pos;\n pos = state.skipChars(pos, marker);\n\n len = pos - mem;\n\n if (len < 3) { return false; }\n\n markup = state.src.slice(mem, pos);\n params = state.src.slice(pos, max);\n\n if (params.indexOf(String.fromCharCode(marker)) >= 0) { return false; }\n\n // Since start is found, we can report success here in validation mode\n if (silent) { return true; }\n\n // search end of block\n nextLine = startLine;\n\n for (;;) {\n nextLine++;\n if (nextLine >= endLine) {\n // unclosed block should be autoclosed by end of document.\n // also block seems to be autoclosed by end of parent\n break;\n }\n\n pos = mem = state.bMarks[nextLine] + state.tShift[nextLine];\n max = state.eMarks[nextLine];\n\n if (pos < max && state.sCount[nextLine] < state.blkIndent) {\n // non-empty line with negative indent should stop the list:\n // - ```\n // test\n break;\n }\n\n if (state.src.charCodeAt(pos) !== marker) { continue; }\n\n if (state.sCount[nextLine] - state.blkIndent >= 4) {\n // closing fence should be indented less than 4 spaces\n continue;\n }\n\n pos = state.skipChars(pos, marker);\n\n // closing code fence must be at least as long as the opening one\n if (pos - mem < len) { continue; }\n\n // make sure tail has spaces only\n pos = state.skipSpaces(pos);\n\n if (pos < max) { continue; }\n\n haveEndMarker = true;\n // found!\n break;\n }\n\n // If a fence has heading spaces, they should be removed from its inner block\n len = state.sCount[startLine];\n\n state.line = nextLine + (haveEndMarker ? 1 : 0);\n\n token = state.push('fence', 'code', 0);\n token.info = params;\n token.content = state.getLines(startLine + 1, nextLine, len, true);\n token.markup = markup;\n token.map = [ startLine, state.line ];\n\n return true;\n};\n","// Block quotes\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function blockquote(state, startLine, endLine, silent) {\n var adjustTab,\n ch,\n i,\n initial,\n l,\n lastLineEmpty,\n lines,\n nextLine,\n offset,\n oldBMarks,\n oldBSCount,\n oldIndent,\n oldParentType,\n oldSCount,\n oldTShift,\n spaceAfterMarker,\n terminate,\n terminatorRules,\n token,\n wasOutdented,\n oldLineMax = state.lineMax,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine];\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n // check the block quote marker\n if (state.src.charCodeAt(pos++) !== 0x3E/* > */) { return false; }\n\n // we know that it's going to be a valid blockquote,\n // so no point trying to find the end of it in silent mode\n if (silent) { return true; }\n\n // skip spaces after \">\" and re-calculate offset\n initial = offset = state.sCount[startLine] + pos - (state.bMarks[startLine] + state.tShift[startLine]);\n\n // skip one optional space after '>'\n if (state.src.charCodeAt(pos) === 0x20 /* space */) {\n // ' > test '\n // ^ -- position start of line here:\n pos++;\n initial++;\n offset++;\n adjustTab = false;\n spaceAfterMarker = true;\n } else if (state.src.charCodeAt(pos) === 0x09 /* tab */) {\n spaceAfterMarker = true;\n\n if ((state.bsCount[startLine] + offset) % 4 === 3) {\n // ' >\\t test '\n // ^ -- position start of line here (tab has width===1)\n pos++;\n initial++;\n offset++;\n adjustTab = false;\n } else {\n // ' >\\t test '\n // ^ -- position start of line here + shift bsCount slightly\n // to make extra space appear\n adjustTab = true;\n }\n } else {\n spaceAfterMarker = false;\n }\n\n oldBMarks = [ state.bMarks[startLine] ];\n state.bMarks[startLine] = pos;\n\n while (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (isSpace(ch)) {\n if (ch === 0x09) {\n offset += 4 - (offset + state.bsCount[startLine] + (adjustTab ? 1 : 0)) % 4;\n } else {\n offset++;\n }\n } else {\n break;\n }\n\n pos++;\n }\n\n oldBSCount = [ state.bsCount[startLine] ];\n state.bsCount[startLine] = state.sCount[startLine] + 1 + (spaceAfterMarker ? 1 : 0);\n\n lastLineEmpty = pos >= max;\n\n oldSCount = [ state.sCount[startLine] ];\n state.sCount[startLine] = offset - initial;\n\n oldTShift = [ state.tShift[startLine] ];\n state.tShift[startLine] = pos - state.bMarks[startLine];\n\n terminatorRules = state.md.block.ruler.getRules('blockquote');\n\n oldParentType = state.parentType;\n state.parentType = 'blockquote';\n wasOutdented = false;\n\n // Search the end of the block\n //\n // Block ends with either:\n // 1. an empty line outside:\n // ```\n // > test\n //\n // ```\n // 2. an empty line inside:\n // ```\n // >\n // test\n // ```\n // 3. another tag:\n // ```\n // > test\n // - - -\n // ```\n for (nextLine = startLine + 1; nextLine < endLine; nextLine++) {\n // check if it's outdented, i.e. it's inside list item and indented\n // less than said list item:\n //\n // ```\n // 1. anything\n // > current blockquote\n // 2. checking this line\n // ```\n if (state.sCount[nextLine] < state.blkIndent) wasOutdented = true;\n\n pos = state.bMarks[nextLine] + state.tShift[nextLine];\n max = state.eMarks[nextLine];\n\n if (pos >= max) {\n // Case 1: line is not inside the blockquote, and this line is empty.\n break;\n }\n\n if (state.src.charCodeAt(pos++) === 0x3E/* > */ && !wasOutdented) {\n // This line is inside the blockquote.\n\n // skip spaces after \">\" and re-calculate offset\n initial = offset = state.sCount[nextLine] + pos - (state.bMarks[nextLine] + state.tShift[nextLine]);\n\n // skip one optional space after '>'\n if (state.src.charCodeAt(pos) === 0x20 /* space */) {\n // ' > test '\n // ^ -- position start of line here:\n pos++;\n initial++;\n offset++;\n adjustTab = false;\n spaceAfterMarker = true;\n } else if (state.src.charCodeAt(pos) === 0x09 /* tab */) {\n spaceAfterMarker = true;\n\n if ((state.bsCount[nextLine] + offset) % 4 === 3) {\n // ' >\\t test '\n // ^ -- position start of line here (tab has width===1)\n pos++;\n initial++;\n offset++;\n adjustTab = false;\n } else {\n // ' >\\t test '\n // ^ -- position start of line here + shift bsCount slightly\n // to make extra space appear\n adjustTab = true;\n }\n } else {\n spaceAfterMarker = false;\n }\n\n oldBMarks.push(state.bMarks[nextLine]);\n state.bMarks[nextLine] = pos;\n\n while (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (isSpace(ch)) {\n if (ch === 0x09) {\n offset += 4 - (offset + state.bsCount[nextLine] + (adjustTab ? 1 : 0)) % 4;\n } else {\n offset++;\n }\n } else {\n break;\n }\n\n pos++;\n }\n\n lastLineEmpty = pos >= max;\n\n oldBSCount.push(state.bsCount[nextLine]);\n state.bsCount[nextLine] = state.sCount[nextLine] + 1 + (spaceAfterMarker ? 1 : 0);\n\n oldSCount.push(state.sCount[nextLine]);\n state.sCount[nextLine] = offset - initial;\n\n oldTShift.push(state.tShift[nextLine]);\n state.tShift[nextLine] = pos - state.bMarks[nextLine];\n continue;\n }\n\n // Case 2: line is not inside the blockquote, and the last line was empty.\n if (lastLineEmpty) { break; }\n\n // Case 3: another tag found.\n terminate = false;\n for (i = 0, l = terminatorRules.length; i < l; i++) {\n if (terminatorRules[i](state, nextLine, endLine, true)) {\n terminate = true;\n break;\n }\n }\n\n if (terminate) {\n // Quirk to enforce \"hard termination mode\" for paragraphs;\n // normally if you call `tokenize(state, startLine, nextLine)`,\n // paragraphs will look below nextLine for paragraph continuation,\n // but if blockquote is terminated by another tag, they shouldn't\n state.lineMax = nextLine;\n\n if (state.blkIndent !== 0) {\n // state.blkIndent was non-zero, we now set it to zero,\n // so we need to re-calculate all offsets to appear as\n // if indent wasn't changed\n oldBMarks.push(state.bMarks[nextLine]);\n oldBSCount.push(state.bsCount[nextLine]);\n oldTShift.push(state.tShift[nextLine]);\n oldSCount.push(state.sCount[nextLine]);\n state.sCount[nextLine] -= state.blkIndent;\n }\n\n break;\n }\n\n oldBMarks.push(state.bMarks[nextLine]);\n oldBSCount.push(state.bsCount[nextLine]);\n oldTShift.push(state.tShift[nextLine]);\n oldSCount.push(state.sCount[nextLine]);\n\n // A negative indentation means that this is a paragraph continuation\n //\n state.sCount[nextLine] = -1;\n }\n\n oldIndent = state.blkIndent;\n state.blkIndent = 0;\n\n token = state.push('blockquote_open', 'blockquote', 1);\n token.markup = '>';\n token.map = lines = [ startLine, 0 ];\n\n state.md.block.tokenize(state, startLine, nextLine);\n\n token = state.push('blockquote_close', 'blockquote', -1);\n token.markup = '>';\n\n state.lineMax = oldLineMax;\n state.parentType = oldParentType;\n lines[1] = state.line;\n\n // Restore original tShift; this might not be necessary since the parser\n // has already been here, but just to make sure we can do that.\n for (i = 0; i < oldTShift.length; i++) {\n state.bMarks[i + startLine] = oldBMarks[i];\n state.tShift[i + startLine] = oldTShift[i];\n state.sCount[i + startLine] = oldSCount[i];\n state.bsCount[i + startLine] = oldBSCount[i];\n }\n state.blkIndent = oldIndent;\n\n return true;\n};\n","// Horizontal rule\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function hr(state, startLine, endLine, silent) {\n var marker, cnt, ch, token,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine];\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n marker = state.src.charCodeAt(pos++);\n\n // Check hr marker\n if (marker !== 0x2A/* * */ &&\n marker !== 0x2D/* - */ &&\n marker !== 0x5F/* _ */) {\n return false;\n }\n\n // markers can be mixed with spaces, but there should be at least 3 of them\n\n cnt = 1;\n while (pos < max) {\n ch = state.src.charCodeAt(pos++);\n if (ch !== marker && !isSpace(ch)) { return false; }\n if (ch === marker) { cnt++; }\n }\n\n if (cnt < 3) { return false; }\n\n if (silent) { return true; }\n\n state.line = startLine + 1;\n\n token = state.push('hr', 'hr', 0);\n token.map = [ startLine, state.line ];\n token.markup = Array(cnt + 1).join(String.fromCharCode(marker));\n\n return true;\n};\n","// Lists\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\n// Search `[-+*][\\n ]`, returns next pos after marker on success\n// or -1 on fail.\nfunction skipBulletListMarker(state, startLine) {\n var marker, pos, max, ch;\n\n pos = state.bMarks[startLine] + state.tShift[startLine];\n max = state.eMarks[startLine];\n\n marker = state.src.charCodeAt(pos++);\n // Check bullet\n if (marker !== 0x2A/* * */ &&\n marker !== 0x2D/* - */ &&\n marker !== 0x2B/* + */) {\n return -1;\n }\n\n if (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (!isSpace(ch)) {\n // \" -test \" - is not a list item\n return -1;\n }\n }\n\n return pos;\n}\n\n// Search `\\d+[.)][\\n ]`, returns next pos after marker on success\n// or -1 on fail.\nfunction skipOrderedListMarker(state, startLine) {\n var ch,\n start = state.bMarks[startLine] + state.tShift[startLine],\n pos = start,\n max = state.eMarks[startLine];\n\n // List marker should have at least 2 chars (digit + dot)\n if (pos + 1 >= max) { return -1; }\n\n ch = state.src.charCodeAt(pos++);\n\n if (ch < 0x30/* 0 */ || ch > 0x39/* 9 */) { return -1; }\n\n for (;;) {\n // EOL -> fail\n if (pos >= max) { return -1; }\n\n ch = state.src.charCodeAt(pos++);\n\n if (ch >= 0x30/* 0 */ && ch <= 0x39/* 9 */) {\n\n // List marker should have no more than 9 digits\n // (prevents integer overflow in browsers)\n if (pos - start >= 10) { return -1; }\n\n continue;\n }\n\n // found valid marker\n if (ch === 0x29/* ) */ || ch === 0x2e/* . */) {\n break;\n }\n\n return -1;\n }\n\n\n if (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (!isSpace(ch)) {\n // \" 1.test \" - is not a list item\n return -1;\n }\n }\n return pos;\n}\n\nfunction markTightParagraphs(state, idx) {\n var i, l,\n level = state.level + 2;\n\n for (i = idx + 2, l = state.tokens.length - 2; i < l; i++) {\n if (state.tokens[i].level === level && state.tokens[i].type === 'paragraph_open') {\n state.tokens[i + 2].hidden = true;\n state.tokens[i].hidden = true;\n i += 2;\n }\n }\n}\n\n\nmodule.exports = function list(state, startLine, endLine, silent) {\n var ch,\n contentStart,\n i,\n indent,\n indentAfterMarker,\n initial,\n isOrdered,\n itemLines,\n l,\n listLines,\n listTokIdx,\n markerCharCode,\n markerValue,\n max,\n nextLine,\n offset,\n oldIndent,\n oldLIndent,\n oldParentType,\n oldTShift,\n oldTight,\n pos,\n posAfterMarker,\n prevEmptyEnd,\n start,\n terminate,\n terminatorRules,\n token,\n isTerminatingParagraph = false,\n tight = true;\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n // limit conditions when list can interrupt\n // a paragraph (validation mode only)\n if (silent && state.parentType === 'paragraph') {\n // Next list item should still terminate previous list item;\n //\n // This code can fail if plugins use blkIndent as well as lists,\n // but I hope the spec gets fixed long before that happens.\n //\n if (state.tShift[startLine] >= state.blkIndent) {\n isTerminatingParagraph = true;\n }\n }\n\n // Detect list type and position after marker\n if ((posAfterMarker = skipOrderedListMarker(state, startLine)) >= 0) {\n isOrdered = true;\n start = state.bMarks[startLine] + state.tShift[startLine];\n markerValue = Number(state.src.substr(start, posAfterMarker - start - 1));\n\n // If we're starting a new ordered list right after\n // a paragraph, it should start with 1.\n if (isTerminatingParagraph && markerValue !== 1) return false;\n\n } else if ((posAfterMarker = skipBulletListMarker(state, startLine)) >= 0) {\n isOrdered = false;\n\n } else {\n return false;\n }\n\n // If we're starting a new unordered list right after\n // a paragraph, first line should not be empty.\n if (isTerminatingParagraph) {\n if (state.skipSpaces(posAfterMarker) >= state.eMarks[startLine]) return false;\n }\n\n // We should terminate list on style change. Remember first one to compare.\n markerCharCode = state.src.charCodeAt(posAfterMarker - 1);\n\n // For validation mode we can terminate immediately\n if (silent) { return true; }\n\n // Start list\n listTokIdx = state.tokens.length;\n\n if (isOrdered) {\n token = state.push('ordered_list_open', 'ol', 1);\n if (markerValue !== 1) {\n token.attrs = [ [ 'start', markerValue ] ];\n }\n\n } else {\n token = state.push('bullet_list_open', 'ul', 1);\n }\n\n token.map = listLines = [ startLine, 0 ];\n token.markup = String.fromCharCode(markerCharCode);\n\n //\n // Iterate list items\n //\n\n nextLine = startLine;\n prevEmptyEnd = false;\n terminatorRules = state.md.block.ruler.getRules('list');\n\n oldParentType = state.parentType;\n state.parentType = 'list';\n\n while (nextLine < endLine) {\n pos = posAfterMarker;\n max = state.eMarks[nextLine];\n\n initial = offset = state.sCount[nextLine] + posAfterMarker - (state.bMarks[startLine] + state.tShift[startLine]);\n\n while (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (ch === 0x09) {\n offset += 4 - (offset + state.bsCount[nextLine]) % 4;\n } else if (ch === 0x20) {\n offset++;\n } else {\n break;\n }\n\n pos++;\n }\n\n contentStart = pos;\n\n if (contentStart >= max) {\n // trimming space in \"- \\n 3\" case, indent is 1 here\n indentAfterMarker = 1;\n } else {\n indentAfterMarker = offset - initial;\n }\n\n // If we have more than 4 spaces, the indent is 1\n // (the rest is just indented code block)\n if (indentAfterMarker > 4) { indentAfterMarker = 1; }\n\n // \" - test\"\n // ^^^^^ - calculating total length of this thing\n indent = initial + indentAfterMarker;\n\n // Run subparser & write tokens\n token = state.push('list_item_open', 'li', 1);\n token.markup = String.fromCharCode(markerCharCode);\n token.map = itemLines = [ startLine, 0 ];\n\n oldIndent = state.blkIndent;\n oldTight = state.tight;\n oldTShift = state.tShift[startLine];\n oldLIndent = state.sCount[startLine];\n state.blkIndent = indent;\n state.tight = true;\n state.tShift[startLine] = contentStart - state.bMarks[startLine];\n state.sCount[startLine] = offset;\n\n if (contentStart >= max && state.isEmpty(startLine + 1)) {\n // workaround for this case\n // (list item is empty, list terminates before \"foo\"):\n // ~~~~~~~~\n // -\n //\n // foo\n // ~~~~~~~~\n state.line = Math.min(state.line + 2, endLine);\n } else {\n state.md.block.tokenize(state, startLine, endLine, true);\n }\n\n // If any of list item is tight, mark list as tight\n if (!state.tight || prevEmptyEnd) {\n tight = false;\n }\n // Item become loose if finish with empty line,\n // but we should filter last element, because it means list finish\n prevEmptyEnd = (state.line - startLine) > 1 && state.isEmpty(state.line - 1);\n\n state.blkIndent = oldIndent;\n state.tShift[startLine] = oldTShift;\n state.sCount[startLine] = oldLIndent;\n state.tight = oldTight;\n\n token = state.push('list_item_close', 'li', -1);\n token.markup = String.fromCharCode(markerCharCode);\n\n nextLine = startLine = state.line;\n itemLines[1] = nextLine;\n contentStart = state.bMarks[startLine];\n\n if (nextLine >= endLine) { break; }\n\n //\n // Try to check if list is terminated or continued.\n //\n if (state.sCount[nextLine] < state.blkIndent) { break; }\n\n // fail if terminating block found\n terminate = false;\n for (i = 0, l = terminatorRules.length; i < l; i++) {\n if (terminatorRules[i](state, nextLine, endLine, true)) {\n terminate = true;\n break;\n }\n }\n if (terminate) { break; }\n\n // fail if list has another type\n if (isOrdered) {\n posAfterMarker = skipOrderedListMarker(state, nextLine);\n if (posAfterMarker < 0) { break; }\n } else {\n posAfterMarker = skipBulletListMarker(state, nextLine);\n if (posAfterMarker < 0) { break; }\n }\n\n if (markerCharCode !== state.src.charCodeAt(posAfterMarker - 1)) { break; }\n }\n\n // Finalize list\n if (isOrdered) {\n token = state.push('ordered_list_close', 'ol', -1);\n } else {\n token = state.push('bullet_list_close', 'ul', -1);\n }\n token.markup = String.fromCharCode(markerCharCode);\n\n listLines[1] = nextLine;\n state.line = nextLine;\n\n state.parentType = oldParentType;\n\n // mark paragraphs tight if needed\n if (tight) {\n markTightParagraphs(state, listTokIdx);\n }\n\n return true;\n};\n","'use strict';\n\n\nvar normalizeReference = require('../common/utils').normalizeReference;\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function reference(state, startLine, _endLine, silent) {\n var ch,\n destEndPos,\n destEndLineNo,\n endLine,\n href,\n i,\n l,\n label,\n labelEnd,\n oldParentType,\n res,\n start,\n str,\n terminate,\n terminatorRules,\n title,\n lines = 0,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine],\n nextLine = startLine + 1;\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n if (state.src.charCodeAt(pos) !== 0x5B/* [ */) { return false; }\n\n // Simple check to quickly interrupt scan on [link](url) at the start of line.\n // Can be useful on practice: https://github.com/markdown-it/markdown-it/issues/54\n while (++pos < max) {\n if (state.src.charCodeAt(pos) === 0x5D /* ] */ &&\n state.src.charCodeAt(pos - 1) !== 0x5C/* \\ */) {\n if (pos + 1 === max) { return false; }\n if (state.src.charCodeAt(pos + 1) !== 0x3A/* : */) { return false; }\n break;\n }\n }\n\n endLine = state.lineMax;\n\n // jump line-by-line until empty one or EOF\n terminatorRules = state.md.block.ruler.getRules('reference');\n\n oldParentType = state.parentType;\n state.parentType = 'reference';\n\n for (; nextLine < endLine && !state.isEmpty(nextLine); nextLine++) {\n // this would be a code block normally, but after paragraph\n // it's considered a lazy continuation regardless of what's there\n if (state.sCount[nextLine] - state.blkIndent > 3) { continue; }\n\n // quirk for blockquotes, this line should already be checked by that rule\n if (state.sCount[nextLine] < 0) { continue; }\n\n // Some tags can terminate paragraph without empty line.\n terminate = false;\n for (i = 0, l = terminatorRules.length; i < l; i++) {\n if (terminatorRules[i](state, nextLine, endLine, true)) {\n terminate = true;\n break;\n }\n }\n if (terminate) { break; }\n }\n\n str = state.getLines(startLine, nextLine, state.blkIndent, false).trim();\n max = str.length;\n\n for (pos = 1; pos < max; pos++) {\n ch = str.charCodeAt(pos);\n if (ch === 0x5B /* [ */) {\n return false;\n } else if (ch === 0x5D /* ] */) {\n labelEnd = pos;\n break;\n } else if (ch === 0x0A /* \\n */) {\n lines++;\n } else if (ch === 0x5C /* \\ */) {\n pos++;\n if (pos < max && str.charCodeAt(pos) === 0x0A) {\n lines++;\n }\n }\n }\n\n if (labelEnd < 0 || str.charCodeAt(labelEnd + 1) !== 0x3A/* : */) { return false; }\n\n // [label]: destination 'title'\n // ^^^ skip optional whitespace here\n for (pos = labelEnd + 2; pos < max; pos++) {\n ch = str.charCodeAt(pos);\n if (ch === 0x0A) {\n lines++;\n } else if (isSpace(ch)) {\n /*eslint no-empty:0*/\n } else {\n break;\n }\n }\n\n // [label]: destination 'title'\n // ^^^^^^^^^^^ parse this\n res = state.md.helpers.parseLinkDestination(str, pos, max);\n if (!res.ok) { return false; }\n\n href = state.md.normalizeLink(res.str);\n if (!state.md.validateLink(href)) { return false; }\n\n pos = res.pos;\n lines += res.lines;\n\n // save cursor state, we could require to rollback later\n destEndPos = pos;\n destEndLineNo = lines;\n\n // [label]: destination 'title'\n // ^^^ skipping those spaces\n start = pos;\n for (; pos < max; pos++) {\n ch = str.charCodeAt(pos);\n if (ch === 0x0A) {\n lines++;\n } else if (isSpace(ch)) {\n /*eslint no-empty:0*/\n } else {\n break;\n }\n }\n\n // [label]: destination 'title'\n // ^^^^^^^ parse this\n res = state.md.helpers.parseLinkTitle(str, pos, max);\n if (pos < max && start !== pos && res.ok) {\n title = res.str;\n pos = res.pos;\n lines += res.lines;\n } else {\n title = '';\n pos = destEndPos;\n lines = destEndLineNo;\n }\n\n // skip trailing spaces until the rest of the line\n while (pos < max) {\n ch = str.charCodeAt(pos);\n if (!isSpace(ch)) { break; }\n pos++;\n }\n\n if (pos < max && str.charCodeAt(pos) !== 0x0A) {\n if (title) {\n // garbage at the end of the line after title,\n // but it could still be a valid reference if we roll back\n title = '';\n pos = destEndPos;\n lines = destEndLineNo;\n while (pos < max) {\n ch = str.charCodeAt(pos);\n if (!isSpace(ch)) { break; }\n pos++;\n }\n }\n }\n\n if (pos < max && str.charCodeAt(pos) !== 0x0A) {\n // garbage at the end of the line\n return false;\n }\n\n label = normalizeReference(str.slice(1, labelEnd));\n if (!label) {\n // CommonMark 0.20 disallows empty labels\n return false;\n }\n\n // Reference can not terminate anything. This check is for safety only.\n /*istanbul ignore if*/\n if (silent) { return true; }\n\n if (typeof state.env.references === 'undefined') {\n state.env.references = {};\n }\n if (typeof state.env.references[label] === 'undefined') {\n state.env.references[label] = { title: title, href: href };\n }\n\n state.parentType = oldParentType;\n\n state.line = startLine + lines + 1;\n return true;\n};\n","// heading (#, ##, ...)\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function heading(state, startLine, endLine, silent) {\n var ch, level, tmp, token,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine];\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n ch = state.src.charCodeAt(pos);\n\n if (ch !== 0x23/* # */ || pos >= max) { return false; }\n\n // count heading level\n level = 1;\n ch = state.src.charCodeAt(++pos);\n while (ch === 0x23/* # */ && pos < max && level <= 6) {\n level++;\n ch = state.src.charCodeAt(++pos);\n }\n\n if (level > 6 || (pos < max && !isSpace(ch))) { return false; }\n\n if (silent) { return true; }\n\n // Let's cut tails like ' ### ' from the end of string\n\n max = state.skipSpacesBack(max, pos);\n tmp = state.skipCharsBack(max, 0x23, pos); // #\n if (tmp > pos && isSpace(state.src.charCodeAt(tmp - 1))) {\n max = tmp;\n }\n\n state.line = startLine + 1;\n\n token = state.push('heading_open', 'h' + String(level), 1);\n token.markup = '########'.slice(0, level);\n token.map = [ startLine, state.line ];\n\n token = state.push('inline', '', 0);\n token.content = state.src.slice(pos, max).trim();\n token.map = [ startLine, state.line ];\n token.children = [];\n\n token = state.push('heading_close', 'h' + String(level), -1);\n token.markup = '########'.slice(0, level);\n\n return true;\n};\n","// lheading (---, ===)\n\n'use strict';\n\n\nmodule.exports = function lheading(state, startLine, endLine/*, silent*/) {\n var content, terminate, i, l, token, pos, max, level, marker,\n nextLine = startLine + 1, oldParentType,\n terminatorRules = state.md.block.ruler.getRules('paragraph');\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n oldParentType = state.parentType;\n state.parentType = 'paragraph'; // use paragraph to match terminatorRules\n\n // jump line-by-line until empty one or EOF\n for (; nextLine < endLine && !state.isEmpty(nextLine); nextLine++) {\n // this would be a code block normally, but after paragraph\n // it's considered a lazy continuation regardless of what's there\n if (state.sCount[nextLine] - state.blkIndent > 3) { continue; }\n\n //\n // Check for underline in setext header\n //\n if (state.sCount[nextLine] >= state.blkIndent) {\n pos = state.bMarks[nextLine] + state.tShift[nextLine];\n max = state.eMarks[nextLine];\n\n if (pos < max) {\n marker = state.src.charCodeAt(pos);\n\n if (marker === 0x2D/* - */ || marker === 0x3D/* = */) {\n pos = state.skipChars(pos, marker);\n pos = state.skipSpaces(pos);\n\n if (pos >= max) {\n level = (marker === 0x3D/* = */ ? 1 : 2);\n break;\n }\n }\n }\n }\n\n // quirk for blockquotes, this line should already be checked by that rule\n if (state.sCount[nextLine] < 0) { continue; }\n\n // Some tags can terminate paragraph without empty line.\n terminate = false;\n for (i = 0, l = terminatorRules.length; i < l; i++) {\n if (terminatorRules[i](state, nextLine, endLine, true)) {\n terminate = true;\n break;\n }\n }\n if (terminate) { break; }\n }\n\n if (!level) {\n // Didn't find valid underline\n return false;\n }\n\n content = state.getLines(startLine, nextLine, state.blkIndent, false).trim();\n\n state.line = nextLine + 1;\n\n token = state.push('heading_open', 'h' + String(level), 1);\n token.markup = String.fromCharCode(marker);\n token.map = [ startLine, state.line ];\n\n token = state.push('inline', '', 0);\n token.content = content;\n token.map = [ startLine, state.line - 1 ];\n token.children = [];\n\n token = state.push('heading_close', 'h' + String(level), -1);\n token.markup = String.fromCharCode(marker);\n\n state.parentType = oldParentType;\n\n return true;\n};\n","// HTML block\n\n'use strict';\n\n\nvar block_names = require('../common/html_blocks');\nvar HTML_OPEN_CLOSE_TAG_RE = require('../common/html_re').HTML_OPEN_CLOSE_TAG_RE;\n\n// An array of opening and corresponding closing sequences for html tags,\n// last argument defines whether it can terminate a paragraph or not\n//\nvar HTML_SEQUENCES = [\n [ /^<(script|pre|style)(?=(\\s|>|$))/i, /<\\/(script|pre|style)>/i, true ],\n [ /^<!--/, /-->/, true ],\n [ /^<\\?/, /\\?>/, true ],\n [ /^<![A-Z]/, />/, true ],\n [ /^<!\\[CDATA\\[/, /\\]\\]>/, true ],\n [ new RegExp('^</?(' + block_names.join('|') + ')(?=(\\\\s|/?>|$))', 'i'), /^$/, true ],\n [ new RegExp(HTML_OPEN_CLOSE_TAG_RE.source + '\\\\s*$'), /^$/, false ]\n];\n\n\nmodule.exports = function html_block(state, startLine, endLine, silent) {\n var i, nextLine, token, lineText,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine];\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n if (!state.md.options.html) { return false; }\n\n if (state.src.charCodeAt(pos) !== 0x3C/* < */) { return false; }\n\n lineText = state.src.slice(pos, max);\n\n for (i = 0; i < HTML_SEQUENCES.length; i++) {\n if (HTML_SEQUENCES[i][0].test(lineText)) { break; }\n }\n\n if (i === HTML_SEQUENCES.length) { return false; }\n\n if (silent) {\n // true if this sequence can be a terminator, false otherwise\n return HTML_SEQUENCES[i][2];\n }\n\n nextLine = startLine + 1;\n\n // If we are here - we detected HTML block.\n // Let's roll down till block end.\n if (!HTML_SEQUENCES[i][1].test(lineText)) {\n for (; nextLine < endLine; nextLine++) {\n if (state.sCount[nextLine] < state.blkIndent) { break; }\n\n pos = state.bMarks[nextLine] + state.tShift[nextLine];\n max = state.eMarks[nextLine];\n lineText = state.src.slice(pos, max);\n\n if (HTML_SEQUENCES[i][1].test(lineText)) {\n if (lineText.length !== 0) { nextLine++; }\n break;\n }\n }\n }\n\n state.line = nextLine;\n\n token = state.push('html_block', '', 0);\n token.map = [ startLine, nextLine ];\n token.content = state.getLines(startLine, nextLine, state.blkIndent, true);\n\n return true;\n};\n","// List of valid html blocks names, accorting to commonmark spec\n// http://jgm.github.io/CommonMark/spec.html#html-blocks\n\n'use strict';\n\n\nmodule.exports = [\n 'address',\n 'article',\n 'aside',\n 'base',\n 'basefont',\n 'blockquote',\n 'body',\n 'caption',\n 'center',\n 'col',\n 'colgroup',\n 'dd',\n 'details',\n 'dialog',\n 'dir',\n 'div',\n 'dl',\n 'dt',\n 'fieldset',\n 'figcaption',\n 'figure',\n 'footer',\n 'form',\n 'frame',\n 'frameset',\n 'h1',\n 'h2',\n 'h3',\n 'h4',\n 'h5',\n 'h6',\n 'head',\n 'header',\n 'hr',\n 'html',\n 'iframe',\n 'legend',\n 'li',\n 'link',\n 'main',\n 'menu',\n 'menuitem',\n 'meta',\n 'nav',\n 'noframes',\n 'ol',\n 'optgroup',\n 'option',\n 'p',\n 'param',\n 'section',\n 'source',\n 'summary',\n 'table',\n 'tbody',\n 'td',\n 'tfoot',\n 'th',\n 'thead',\n 'title',\n 'tr',\n 'track',\n 'ul'\n];\n","// Paragraph\n\n'use strict';\n\n\nmodule.exports = function paragraph(state, startLine/*, endLine*/) {\n var content, terminate, i, l, token, oldParentType,\n nextLine = startLine + 1,\n terminatorRules = state.md.block.ruler.getRules('paragraph'),\n endLine = state.lineMax;\n\n oldParentType = state.parentType;\n state.parentType = 'paragraph';\n\n // jump line-by-line until empty one or EOF\n for (; nextLine < endLine && !state.isEmpty(nextLine); nextLine++) {\n // this would be a code block normally, but after paragraph\n // it's considered a lazy continuation regardless of what's there\n if (state.sCount[nextLine] - state.blkIndent > 3) { continue; }\n\n // quirk for blockquotes, this line should already be checked by that rule\n if (state.sCount[nextLine] < 0) { continue; }\n\n // Some tags can terminate paragraph without empty line.\n terminate = false;\n for (i = 0, l = terminatorRules.length; i < l; i++) {\n if (terminatorRules[i](state, nextLine, endLine, true)) {\n terminate = true;\n break;\n }\n }\n if (terminate) { break; }\n }\n\n content = state.getLines(startLine, nextLine, state.blkIndent, false).trim();\n\n state.line = nextLine;\n\n token = state.push('paragraph_open', 'p', 1);\n token.map = [ startLine, state.line ];\n\n token = state.push('inline', '', 0);\n token.content = content;\n token.map = [ startLine, state.line ];\n token.children = [];\n\n token = state.push('paragraph_close', 'p', -1);\n\n state.parentType = oldParentType;\n\n return true;\n};\n","// Parser state class\n\n'use strict';\n\nvar Token = require('../token');\nvar isSpace = require('../common/utils').isSpace;\n\n\nfunction StateBlock(src, md, env, tokens) {\n var ch, s, start, pos, len, indent, offset, indent_found;\n\n this.src = src;\n\n // link to parser instance\n this.md = md;\n\n this.env = env;\n\n //\n // Internal state vartiables\n //\n\n this.tokens = tokens;\n\n this.bMarks = []; // line begin offsets for fast jumps\n this.eMarks = []; // line end offsets for fast jumps\n this.tShift = []; // offsets of the first non-space characters (tabs not expanded)\n this.sCount = []; // indents for each line (tabs expanded)\n\n // An amount of virtual spaces (tabs expanded) between beginning\n // of each line (bMarks) and real beginning of that line.\n //\n // It exists only as a hack because blockquotes override bMarks\n // losing information in the process.\n //\n // It's used only when expanding tabs, you can think about it as\n // an initial tab length, e.g. bsCount=21 applied to string `\\t123`\n // means first tab should be expanded to 4-21%4 === 3 spaces.\n //\n this.bsCount = [];\n\n // block parser variables\n this.blkIndent = 0; // required block content indent\n // (for example, if we are in list)\n this.line = 0; // line index in src\n this.lineMax = 0; // lines count\n this.tight = false; // loose/tight mode for lists\n this.ddIndent = -1; // indent of the current dd block (-1 if there isn't any)\n\n // can be 'blockquote', 'list', 'root', 'paragraph' or 'reference'\n // used in lists to determine if they interrupt a paragraph\n this.parentType = 'root';\n\n this.level = 0;\n\n // renderer\n this.result = '';\n\n // Create caches\n // Generate markers.\n s = this.src;\n indent_found = false;\n\n for (start = pos = indent = offset = 0, len = s.length; pos < len; pos++) {\n ch = s.charCodeAt(pos);\n\n if (!indent_found) {\n if (isSpace(ch)) {\n indent++;\n\n if (ch === 0x09) {\n offset += 4 - offset % 4;\n } else {\n offset++;\n }\n continue;\n } else {\n indent_found = true;\n }\n }\n\n if (ch === 0x0A || pos === len - 1) {\n if (ch !== 0x0A) { pos++; }\n this.bMarks.push(start);\n this.eMarks.push(pos);\n this.tShift.push(indent);\n this.sCount.push(offset);\n this.bsCount.push(0);\n\n indent_found = false;\n indent = 0;\n offset = 0;\n start = pos + 1;\n }\n }\n\n // Push fake entry to simplify cache bounds checks\n this.bMarks.push(s.length);\n this.eMarks.push(s.length);\n this.tShift.push(0);\n this.sCount.push(0);\n this.bsCount.push(0);\n\n this.lineMax = this.bMarks.length - 1; // don't count last fake line\n}\n\n// Push new token to \"stream\".\n//\nStateBlock.prototype.push = function (type, tag, nesting) {\n var token = new Token(type, tag, nesting);\n token.block = true;\n\n if (nesting < 0) { this.level--; }\n token.level = this.level;\n if (nesting > 0) { this.level++; }\n\n this.tokens.push(token);\n return token;\n};\n\nStateBlock.prototype.isEmpty = function isEmpty(line) {\n return this.bMarks[line] + this.tShift[line] >= this.eMarks[line];\n};\n\nStateBlock.prototype.skipEmptyLines = function skipEmptyLines(from) {\n for (var max = this.lineMax; from < max; from++) {\n if (this.bMarks[from] + this.tShift[from] < this.eMarks[from]) {\n break;\n }\n }\n return from;\n};\n\n// Skip spaces from given position.\nStateBlock.prototype.skipSpaces = function skipSpaces(pos) {\n var ch;\n\n for (var max = this.src.length; pos < max; pos++) {\n ch = this.src.charCodeAt(pos);\n if (!isSpace(ch)) { break; }\n }\n return pos;\n};\n\n// Skip spaces from given position in reverse.\nStateBlock.prototype.skipSpacesBack = function skipSpacesBack(pos, min) {\n if (pos <= min) { return pos; }\n\n while (pos > min) {\n if (!isSpace(this.src.charCodeAt(--pos))) { return pos + 1; }\n }\n return pos;\n};\n\n// Skip char codes from given position\nStateBlock.prototype.skipChars = function skipChars(pos, code) {\n for (var max = this.src.length; pos < max; pos++) {\n if (this.src.charCodeAt(pos) !== code) { break; }\n }\n return pos;\n};\n\n// Skip char codes reverse from given position - 1\nStateBlock.prototype.skipCharsBack = function skipCharsBack(pos, code, min) {\n if (pos <= min) { return pos; }\n\n while (pos > min) {\n if (code !== this.src.charCodeAt(--pos)) { return pos + 1; }\n }\n return pos;\n};\n\n// cut lines range from source.\nStateBlock.prototype.getLines = function getLines(begin, end, indent, keepLastLF) {\n var i, lineIndent, ch, first, last, queue, lineStart,\n line = begin;\n\n if (begin >= end) {\n return '';\n }\n\n queue = new Array(end - begin);\n\n for (i = 0; line < end; line++, i++) {\n lineIndent = 0;\n lineStart = first = this.bMarks[line];\n\n if (line + 1 < end || keepLastLF) {\n // No need for bounds check because we have fake entry on tail.\n last = this.eMarks[line] + 1;\n } else {\n last = this.eMarks[line];\n }\n\n while (first < last && lineIndent < indent) {\n ch = this.src.charCodeAt(first);\n\n if (isSpace(ch)) {\n if (ch === 0x09) {\n lineIndent += 4 - (lineIndent + this.bsCount[line]) % 4;\n } else {\n lineIndent++;\n }\n } else if (first - lineStart < this.tShift[line]) {\n // patched tShift masked characters to look like spaces (blockquotes, list markers)\n lineIndent++;\n } else {\n break;\n }\n\n first++;\n }\n\n if (lineIndent > indent) {\n // partially expanding tabs in code blocks, e.g '\\t\\tfoobar'\n // with indent=2 becomes ' \\tfoobar'\n queue[i] = new Array(lineIndent - indent + 1).join(' ') + this.src.slice(first, last);\n } else {\n queue[i] = this.src.slice(first, last);\n }\n }\n\n return queue.join('');\n};\n\n// re-export Token class to use in block rules\nStateBlock.prototype.Token = Token;\n\n\nmodule.exports = StateBlock;\n","/** internal\n * class ParserInline\n *\n * Tokenizes paragraph content.\n **/\n'use strict';\n\n\nvar Ruler = require('./ruler');\n\n\n////////////////////////////////////////////////////////////////////////////////\n// Parser rules\n\nvar _rules = [\n [ 'text', require('./rules_inline/text') ],\n [ 'newline', require('./rules_inline/newline') ],\n [ 'escape', require('./rules_inline/escape') ],\n [ 'backticks', require('./rules_inline/backticks') ],\n [ 'strikethrough', require('./rules_inline/strikethrough').tokenize ],\n [ 'emphasis', require('./rules_inline/emphasis').tokenize ],\n [ 'link', require('./rules_inline/link') ],\n [ 'image', require('./rules_inline/image') ],\n [ 'autolink', require('./rules_inline/autolink') ],\n [ 'html_inline', require('./rules_inline/html_inline') ],\n [ 'entity', require('./rules_inline/entity') ]\n];\n\nvar _rules2 = [\n [ 'balance_pairs', require('./rules_inline/balance_pairs') ],\n [ 'strikethrough', require('./rules_inline/strikethrough').postProcess ],\n [ 'emphasis', require('./rules_inline/emphasis').postProcess ],\n [ 'text_collapse', require('./rules_inline/text_collapse') ]\n];\n\n\n/**\n * new ParserInline()\n **/\nfunction ParserInline() {\n var i;\n\n /**\n * ParserInline#ruler -> Ruler\n *\n * [[Ruler]] instance. Keep configuration of inline rules.\n **/\n this.ruler = new Ruler();\n\n for (i = 0; i < _rules.length; i++) {\n this.ruler.push(_rules[i][0], _rules[i][1]);\n }\n\n /**\n * ParserInline#ruler2 -> Ruler\n *\n * [[Ruler]] instance. Second ruler used for post-processing\n * (e.g. in emphasis-like rules).\n **/\n this.ruler2 = new Ruler();\n\n for (i = 0; i < _rules2.length; i++) {\n this.ruler2.push(_rules2[i][0], _rules2[i][1]);\n }\n}\n\n\n// Skip single token by running all rules in validation mode;\n// returns `true` if any rule reported success\n//\nParserInline.prototype.skipToken = function (state) {\n var ok, i, pos = state.pos,\n rules = this.ruler.getRules(''),\n len = rules.length,\n maxNesting = state.md.options.maxNesting,\n cache = state.cache;\n\n\n if (typeof cache[pos] !== 'undefined') {\n state.pos = cache[pos];\n return;\n }\n\n if (state.level < maxNesting) {\n for (i = 0; i < len; i++) {\n // Increment state.level and decrement it later to limit recursion.\n // It's harmless to do here, because no tokens are created. But ideally,\n // we'd need a separate private state variable for this purpose.\n //\n state.level++;\n ok = rules[i](state, true);\n state.level--;\n\n if (ok) { break; }\n }\n } else {\n // Too much nesting, just skip until the end of the paragraph.\n //\n // NOTE: this will cause links to behave incorrectly in the following case,\n // when an amount of `[` is exactly equal to `maxNesting + 1`:\n //\n // [[[[[[[[[[[[[[[[[[[[[foo]()\n //\n // TODO: remove this workaround when CM standard will allow nested links\n // (we can replace it by preventing links from being parsed in\n // validation mode)\n //\n state.pos = state.posMax;\n }\n\n if (!ok) { state.pos++; }\n cache[pos] = state.pos;\n};\n\n\n// Generate tokens for input range\n//\nParserInline.prototype.tokenize = function (state) {\n var ok, i,\n rules = this.ruler.getRules(''),\n len = rules.length,\n end = state.posMax,\n maxNesting = state.md.options.maxNesting;\n\n while (state.pos < end) {\n // Try all possible rules.\n // On success, rule should:\n //\n // - update `state.pos`\n // - update `state.tokens`\n // - return true\n\n if (state.level < maxNesting) {\n for (i = 0; i < len; i++) {\n ok = rules[i](state, false);\n if (ok) { break; }\n }\n }\n\n if (ok) {\n if (state.pos >= end) { break; }\n continue;\n }\n\n state.pending += state.src[state.pos++];\n }\n\n if (state.pending) {\n state.pushPending();\n }\n};\n\n\n/**\n * ParserInline.parse(str, md, env, outTokens)\n *\n * Process input string and push inline tokens into `outTokens`\n **/\nParserInline.prototype.parse = function (str, md, env, outTokens) {\n var i, rules, len;\n var state = new this.State(str, md, env, outTokens);\n\n this.tokenize(state);\n\n rules = this.ruler2.getRules('');\n len = rules.length;\n\n for (i = 0; i < len; i++) {\n rules[i](state);\n }\n};\n\n\nParserInline.prototype.State = require('./rules_inline/state_inline');\n\n\nmodule.exports = ParserInline;\n","// Skip text characters for text token, place those to pending buffer\n// and increment current pos\n\n'use strict';\n\n\n// Rule to skip pure text\n// '{}$%@~+=:' reserved for extentions\n\n// !, \", #, $, %, &, ', (, ), *, +, ,, -, ., /, :, ;, <, =, >, ?, @, [, \\, ], ^, _, `, {, |, }, or ~\n\n// !!!! Don't confuse with \"Markdown ASCII Punctuation\" chars\n// http://spec.commonmark.org/0.15/#ascii-punctuation-character\nfunction isTerminatorChar(ch) {\n switch (ch) {\n case 0x0A/* \\n */:\n case 0x21/* ! */:\n case 0x23/* # */:\n case 0x24/* $ */:\n case 0x25/* % */:\n case 0x26/* & */:\n case 0x2A/* * */:\n case 0x2B/* + */:\n case 0x2D/* - */:\n case 0x3A/* : */:\n case 0x3C/* < */:\n case 0x3D/* = */:\n case 0x3E/* > */:\n case 0x40/* @ */:\n case 0x5B/* [ */:\n case 0x5C/* \\ */:\n case 0x5D/* ] */:\n case 0x5E/* ^ */:\n case 0x5F/* _ */:\n case 0x60/* ` */:\n case 0x7B/* { */:\n case 0x7D/* } */:\n case 0x7E/* ~ */:\n return true;\n default:\n return false;\n }\n}\n\nmodule.exports = function text(state, silent) {\n var pos = state.pos;\n\n while (pos < state.posMax && !isTerminatorChar(state.src.charCodeAt(pos))) {\n pos++;\n }\n\n if (pos === state.pos) { return false; }\n\n if (!silent) { state.pending += state.src.slice(state.pos, pos); }\n\n state.pos = pos;\n\n return true;\n};\n\n// Alternative implementation, for memory.\n//\n// It costs 10% of performance, but allows extend terminators list, if place it\n// to `ParcerInline` property. Probably, will switch to it sometime, such\n// flexibility required.\n\n/*\nvar TERMINATOR_RE = /[\\n!#$%&*+\\-:<=>@[\\\\\\]^_`{}~]/;\n\nmodule.exports = function text(state, silent) {\n var pos = state.pos,\n idx = state.src.slice(pos).search(TERMINATOR_RE);\n\n // first char is terminator -> empty text\n if (idx === 0) { return false; }\n\n // no terminator -> text till end of string\n if (idx < 0) {\n if (!silent) { state.pending += state.src.slice(pos); }\n state.pos = state.src.length;\n return true;\n }\n\n if (!silent) { state.pending += state.src.slice(pos, pos + idx); }\n\n state.pos += idx;\n\n return true;\n};*/\n","// Proceess '\\n'\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function newline(state, silent) {\n var pmax, max, pos = state.pos;\n\n if (state.src.charCodeAt(pos) !== 0x0A/* \\n */) { return false; }\n\n pmax = state.pending.length - 1;\n max = state.posMax;\n\n // ' \\n' -> hardbreak\n // Lookup in pending chars is bad practice! Don't copy to other rules!\n // Pending string is stored in concat mode, indexed lookups will cause\n // convertion to flat mode.\n if (!silent) {\n if (pmax >= 0 && state.pending.charCodeAt(pmax) === 0x20) {\n if (pmax >= 1 && state.pending.charCodeAt(pmax - 1) === 0x20) {\n state.pending = state.pending.replace(/ +$/, '');\n state.push('hardbreak', 'br', 0);\n } else {\n state.pending = state.pending.slice(0, -1);\n state.push('softbreak', 'br', 0);\n }\n\n } else {\n state.push('softbreak', 'br', 0);\n }\n }\n\n pos++;\n\n // skip heading spaces for next line\n while (pos < max && isSpace(state.src.charCodeAt(pos))) { pos++; }\n\n state.pos = pos;\n return true;\n};\n","// Process escaped chars and hardbreaks\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\nvar ESCAPED = [];\n\nfor (var i = 0; i < 256; i++) { ESCAPED.push(0); }\n\n'\\\\!\"#$%&\\'()*+,./:;<=>?@[]^_`{|}~-'\n .split('').forEach(function (ch) { ESCAPED[ch.charCodeAt(0)] = 1; });\n\n\nmodule.exports = function escape(state, silent) {\n var ch, pos = state.pos, max = state.posMax;\n\n if (state.src.charCodeAt(pos) !== 0x5C/* \\ */) { return false; }\n\n pos++;\n\n if (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (ch < 256 && ESCAPED[ch] !== 0) {\n if (!silent) { state.pending += state.src[pos]; }\n state.pos += 2;\n return true;\n }\n\n if (ch === 0x0A) {\n if (!silent) {\n state.push('hardbreak', 'br', 0);\n }\n\n pos++;\n // skip leading whitespaces from next line\n while (pos < max) {\n ch = state.src.charCodeAt(pos);\n if (!isSpace(ch)) { break; }\n pos++;\n }\n\n state.pos = pos;\n return true;\n }\n }\n\n if (!silent) { state.pending += '\\\\'; }\n state.pos++;\n return true;\n};\n","// Parse backticks\n\n'use strict';\n\nmodule.exports = function backtick(state, silent) {\n var start, max, marker, matchStart, matchEnd, token,\n pos = state.pos,\n ch = state.src.charCodeAt(pos);\n\n if (ch !== 0x60/* ` */) { return false; }\n\n start = pos;\n pos++;\n max = state.posMax;\n\n while (pos < max && state.src.charCodeAt(pos) === 0x60/* ` */) { pos++; }\n\n marker = state.src.slice(start, pos);\n\n matchStart = matchEnd = pos;\n\n while ((matchStart = state.src.indexOf('`', matchEnd)) !== -1) {\n matchEnd = matchStart + 1;\n\n while (matchEnd < max && state.src.charCodeAt(matchEnd) === 0x60/* ` */) { matchEnd++; }\n\n if (matchEnd - matchStart === marker.length) {\n if (!silent) {\n token = state.push('code_inline', 'code', 0);\n token.markup = marker;\n token.content = state.src.slice(pos, matchStart)\n .replace(/[ \\n]+/g, ' ')\n .trim();\n }\n state.pos = matchEnd;\n return true;\n }\n }\n\n if (!silent) { state.pending += marker; }\n state.pos += marker.length;\n return true;\n};\n","// Process [link](<to> \"stuff\")\n\n'use strict';\n\nvar normalizeReference = require('../common/utils').normalizeReference;\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function link(state, silent) {\n var attrs,\n code,\n label,\n labelEnd,\n labelStart,\n pos,\n res,\n ref,\n title,\n token,\n href = '',\n oldPos = state.pos,\n max = state.posMax,\n start = state.pos,\n parseReference = true;\n\n if (state.src.charCodeAt(state.pos) !== 0x5B/* [ */) { return false; }\n\n labelStart = state.pos + 1;\n labelEnd = state.md.helpers.parseLinkLabel(state, state.pos, true);\n\n // parser failed to find ']', so it's not a valid link\n if (labelEnd < 0) { return false; }\n\n pos = labelEnd + 1;\n if (pos < max && state.src.charCodeAt(pos) === 0x28/* ( */) {\n //\n // Inline link\n //\n\n // might have found a valid shortcut link, disable reference parsing\n parseReference = false;\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n pos++;\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n if (pos >= max) { return false; }\n\n // [link]( <href> \"title\" )\n // ^^^^^^ parsing link destination\n start = pos;\n res = state.md.helpers.parseLinkDestination(state.src, pos, state.posMax);\n if (res.ok) {\n href = state.md.normalizeLink(res.str);\n if (state.md.validateLink(href)) {\n pos = res.pos;\n } else {\n href = '';\n }\n }\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n start = pos;\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n\n // [link]( <href> \"title\" )\n // ^^^^^^^ parsing link title\n res = state.md.helpers.parseLinkTitle(state.src, pos, state.posMax);\n if (pos < max && start !== pos && res.ok) {\n title = res.str;\n pos = res.pos;\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n } else {\n title = '';\n }\n\n if (pos >= max || state.src.charCodeAt(pos) !== 0x29/* ) */) {\n // parsing a valid shortcut link failed, fallback to reference\n parseReference = true;\n }\n pos++;\n }\n\n if (parseReference) {\n //\n // Link reference\n //\n if (typeof state.env.references === 'undefined') { return false; }\n\n if (pos < max && state.src.charCodeAt(pos) === 0x5B/* [ */) {\n start = pos + 1;\n pos = state.md.helpers.parseLinkLabel(state, pos);\n if (pos >= 0) {\n label = state.src.slice(start, pos++);\n } else {\n pos = labelEnd + 1;\n }\n } else {\n pos = labelEnd + 1;\n }\n\n // covers label === '' and label === undefined\n // (collapsed reference link and shortcut reference link respectively)\n if (!label) { label = state.src.slice(labelStart, labelEnd); }\n\n ref = state.env.references[normalizeReference(label)];\n if (!ref) {\n state.pos = oldPos;\n return false;\n }\n href = ref.href;\n title = ref.title;\n }\n\n //\n // We found the end of the link, and know for a fact it's a valid link;\n // so all that's left to do is to call tokenizer.\n //\n if (!silent) {\n state.pos = labelStart;\n state.posMax = labelEnd;\n\n token = state.push('link_open', 'a', 1);\n token.attrs = attrs = [ [ 'href', href ] ];\n if (title) {\n attrs.push([ 'title', title ]);\n }\n\n state.md.inline.tokenize(state);\n\n token = state.push('link_close', 'a', -1);\n }\n\n state.pos = pos;\n state.posMax = max;\n return true;\n};\n","// Process ![image](<src> \"title\")\n\n'use strict';\n\nvar normalizeReference = require('../common/utils').normalizeReference;\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function image(state, silent) {\n var attrs,\n code,\n content,\n label,\n labelEnd,\n labelStart,\n pos,\n ref,\n res,\n title,\n token,\n tokens,\n start,\n href = '',\n oldPos = state.pos,\n max = state.posMax;\n\n if (state.src.charCodeAt(state.pos) !== 0x21/* ! */) { return false; }\n if (state.src.charCodeAt(state.pos + 1) !== 0x5B/* [ */) { return false; }\n\n labelStart = state.pos + 2;\n labelEnd = state.md.helpers.parseLinkLabel(state, state.pos + 1, false);\n\n // parser failed to find ']', so it's not a valid link\n if (labelEnd < 0) { return false; }\n\n pos = labelEnd + 1;\n if (pos < max && state.src.charCodeAt(pos) === 0x28/* ( */) {\n //\n // Inline link\n //\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n pos++;\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n if (pos >= max) { return false; }\n\n // [link]( <href> \"title\" )\n // ^^^^^^ parsing link destination\n start = pos;\n res = state.md.helpers.parseLinkDestination(state.src, pos, state.posMax);\n if (res.ok) {\n href = state.md.normalizeLink(res.str);\n if (state.md.validateLink(href)) {\n pos = res.pos;\n } else {\n href = '';\n }\n }\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n start = pos;\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n\n // [link]( <href> \"title\" )\n // ^^^^^^^ parsing link title\n res = state.md.helpers.parseLinkTitle(state.src, pos, state.posMax);\n if (pos < max && start !== pos && res.ok) {\n title = res.str;\n pos = res.pos;\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n } else {\n title = '';\n }\n\n if (pos >= max || state.src.charCodeAt(pos) !== 0x29/* ) */) {\n state.pos = oldPos;\n return false;\n }\n pos++;\n } else {\n //\n // Link reference\n //\n if (typeof state.env.references === 'undefined') { return false; }\n\n if (pos < max && state.src.charCodeAt(pos) === 0x5B/* [ */) {\n start = pos + 1;\n pos = state.md.helpers.parseLinkLabel(state, pos);\n if (pos >= 0) {\n label = state.src.slice(start, pos++);\n } else {\n pos = labelEnd + 1;\n }\n } else {\n pos = labelEnd + 1;\n }\n\n // covers label === '' and label === undefined\n // (collapsed reference link and shortcut reference link respectively)\n if (!label) { label = state.src.slice(labelStart, labelEnd); }\n\n ref = state.env.references[normalizeReference(label)];\n if (!ref) {\n state.pos = oldPos;\n return false;\n }\n href = ref.href;\n title = ref.title;\n }\n\n //\n // We found the end of the link, and know for a fact it's a valid link;\n // so all that's left to do is to call tokenizer.\n //\n if (!silent) {\n content = state.src.slice(labelStart, labelEnd);\n\n state.md.inline.parse(\n content,\n state.md,\n state.env,\n tokens = []\n );\n\n token = state.push('image', 'img', 0);\n token.attrs = attrs = [ [ 'src', href ], [ 'alt', '' ] ];\n token.children = tokens;\n token.content = content;\n\n if (title) {\n attrs.push([ 'title', title ]);\n }\n }\n\n state.pos = pos;\n state.posMax = max;\n return true;\n};\n","// Process autolinks '<protocol:...>'\n\n'use strict';\n\n\n/*eslint max-len:0*/\nvar EMAIL_RE = /^<([a-zA-Z0-9.!#$%&'*+\\/=?^_`{|}~-]+@[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)*)>/;\nvar AUTOLINK_RE = /^<([a-zA-Z][a-zA-Z0-9+.\\-]{1,31}):([^<>\\x00-\\x20]*)>/;\n\n\nmodule.exports = function autolink(state, silent) {\n var tail, linkMatch, emailMatch, url, fullUrl, token,\n pos = state.pos;\n\n if (state.src.charCodeAt(pos) !== 0x3C/* < */) { return false; }\n\n tail = state.src.slice(pos);\n\n if (tail.indexOf('>') < 0) { return false; }\n\n if (AUTOLINK_RE.test(tail)) {\n linkMatch = tail.match(AUTOLINK_RE);\n\n url = linkMatch[0].slice(1, -1);\n fullUrl = state.md.normalizeLink(url);\n if (!state.md.validateLink(fullUrl)) { return false; }\n\n if (!silent) {\n token = state.push('link_open', 'a', 1);\n token.attrs = [ [ 'href', fullUrl ] ];\n token.markup = 'autolink';\n token.info = 'auto';\n\n token = state.push('text', '', 0);\n token.content = state.md.normalizeLinkText(url);\n\n token = state.push('link_close', 'a', -1);\n token.markup = 'autolink';\n token.info = 'auto';\n }\n\n state.pos += linkMatch[0].length;\n return true;\n }\n\n if (EMAIL_RE.test(tail)) {\n emailMatch = tail.match(EMAIL_RE);\n\n url = emailMatch[0].slice(1, -1);\n fullUrl = state.md.normalizeLink('mailto:' + url);\n if (!state.md.validateLink(fullUrl)) { return false; }\n\n if (!silent) {\n token = state.push('link_open', 'a', 1);\n token.attrs = [ [ 'href', fullUrl ] ];\n token.markup = 'autolink';\n token.info = 'auto';\n\n token = state.push('text', '', 0);\n token.content = state.md.normalizeLinkText(url);\n\n token = state.push('link_close', 'a', -1);\n token.markup = 'autolink';\n token.info = 'auto';\n }\n\n state.pos += emailMatch[0].length;\n return true;\n }\n\n return false;\n};\n","// Process html tags\n\n'use strict';\n\n\nvar HTML_TAG_RE = require('../common/html_re').HTML_TAG_RE;\n\n\nfunction isLetter(ch) {\n /*eslint no-bitwise:0*/\n var lc = ch | 0x20; // to lower case\n return (lc >= 0x61/* a */) && (lc <= 0x7a/* z */);\n}\n\n\nmodule.exports = function html_inline(state, silent) {\n var ch, match, max, token,\n pos = state.pos;\n\n if (!state.md.options.html) { return false; }\n\n // Check start\n max = state.posMax;\n if (state.src.charCodeAt(pos) !== 0x3C/* < */ ||\n pos + 2 >= max) {\n return false;\n }\n\n // Quick fail on second char\n ch = state.src.charCodeAt(pos + 1);\n if (ch !== 0x21/* ! */ &&\n ch !== 0x3F/* ? */ &&\n ch !== 0x2F/* / */ &&\n !isLetter(ch)) {\n return false;\n }\n\n match = state.src.slice(pos).match(HTML_TAG_RE);\n if (!match) { return false; }\n\n if (!silent) {\n token = state.push('html_inline', '', 0);\n token.content = state.src.slice(pos, pos + match[0].length);\n }\n state.pos += match[0].length;\n return true;\n};\n","// Process html entity - &#123;, &#xAF;, &quot;, ...\n\n'use strict';\n\nvar entities = require('../common/entities');\nvar has = require('../common/utils').has;\nvar isValidEntityCode = require('../common/utils').isValidEntityCode;\nvar fromCodePoint = require('../common/utils').fromCodePoint;\n\n\nvar DIGITAL_RE = /^&#((?:x[a-f0-9]{1,8}|[0-9]{1,8}));/i;\nvar NAMED_RE = /^&([a-z][a-z0-9]{1,31});/i;\n\n\nmodule.exports = function entity(state, silent) {\n var ch, code, match, pos = state.pos, max = state.posMax;\n\n if (state.src.charCodeAt(pos) !== 0x26/* & */) { return false; }\n\n if (pos + 1 < max) {\n ch = state.src.charCodeAt(pos + 1);\n\n if (ch === 0x23 /* # */) {\n match = state.src.slice(pos).match(DIGITAL_RE);\n if (match) {\n if (!silent) {\n code = match[1][0].toLowerCase() === 'x' ? parseInt(match[1].slice(1), 16) : parseInt(match[1], 10);\n state.pending += isValidEntityCode(code) ? fromCodePoint(code) : fromCodePoint(0xFFFD);\n }\n state.pos += match[0].length;\n return true;\n }\n } else {\n match = state.src.slice(pos).match(NAMED_RE);\n if (match) {\n if (has(entities, match[1])) {\n if (!silent) { state.pending += entities[match[1]]; }\n state.pos += match[0].length;\n return true;\n }\n }\n }\n }\n\n if (!silent) { state.pending += '&'; }\n state.pos++;\n return true;\n};\n","// For each opening emphasis-like marker find a matching closing one\n//\n'use strict';\n\n\nmodule.exports = function link_pairs(state) {\n var i, j, lastDelim, currDelim,\n delimiters = state.delimiters,\n max = state.delimiters.length;\n\n for (i = 0; i < max; i++) {\n lastDelim = delimiters[i];\n\n if (!lastDelim.close) { continue; }\n\n j = i - lastDelim.jump - 1;\n\n while (j >= 0) {\n currDelim = delimiters[j];\n\n if (currDelim.open &&\n currDelim.marker === lastDelim.marker &&\n currDelim.end < 0 &&\n currDelim.level === lastDelim.level) {\n\n // typeofs are for backward compatibility with plugins\n var odd_match = (currDelim.close || lastDelim.open) &&\n typeof currDelim.length !== 'undefined' &&\n typeof lastDelim.length !== 'undefined' &&\n (currDelim.length + lastDelim.length) % 3 === 0;\n\n if (!odd_match) {\n lastDelim.jump = i - j;\n lastDelim.open = false;\n currDelim.end = i;\n currDelim.jump = 0;\n break;\n }\n }\n\n j -= currDelim.jump + 1;\n }\n }\n};\n","// Merge adjacent text nodes into one, and re-calculate all token levels\n//\n'use strict';\n\n\nmodule.exports = function text_collapse(state) {\n var curr, last,\n level = 0,\n tokens = state.tokens,\n max = state.tokens.length;\n\n for (curr = last = 0; curr < max; curr++) {\n // re-calculate levels\n level += tokens[curr].nesting;\n tokens[curr].level = level;\n\n if (tokens[curr].type === 'text' &&\n curr + 1 < max &&\n tokens[curr + 1].type === 'text') {\n\n // collapse two adjacent text nodes\n tokens[curr + 1].content = tokens[curr].content + tokens[curr + 1].content;\n } else {\n if (curr !== last) { tokens[last] = tokens[curr]; }\n\n last++;\n }\n }\n\n if (curr !== last) {\n tokens.length = last;\n }\n};\n","// Inline parser state\n\n'use strict';\n\n\nvar Token = require('../token');\nvar isWhiteSpace = require('../common/utils').isWhiteSpace;\nvar isPunctChar = require('../common/utils').isPunctChar;\nvar isMdAsciiPunct = require('../common/utils').isMdAsciiPunct;\n\n\nfunction StateInline(src, md, env, outTokens) {\n this.src = src;\n this.env = env;\n this.md = md;\n this.tokens = outTokens;\n\n this.pos = 0;\n this.posMax = this.src.length;\n this.level = 0;\n this.pending = '';\n this.pendingLevel = 0;\n\n this.cache = {}; // Stores { start: end } pairs. Useful for backtrack\n // optimization of pairs parse (emphasis, strikes).\n\n this.delimiters = []; // Emphasis-like delimiters\n}\n\n\n// Flush pending text\n//\nStateInline.prototype.pushPending = function () {\n var token = new Token('text', '', 0);\n token.content = this.pending;\n token.level = this.pendingLevel;\n this.tokens.push(token);\n this.pending = '';\n return token;\n};\n\n\n// Push new token to \"stream\".\n// If pending text exists - flush it as text token\n//\nStateInline.prototype.push = function (type, tag, nesting) {\n if (this.pending) {\n this.pushPending();\n }\n\n var token = new Token(type, tag, nesting);\n\n if (nesting < 0) { this.level--; }\n token.level = this.level;\n if (nesting > 0) { this.level++; }\n\n this.pendingLevel = this.level;\n this.tokens.push(token);\n return token;\n};\n\n\n// Scan a sequence of emphasis-like markers, and determine whether\n// it can start an emphasis sequence or end an emphasis sequence.\n//\n// - start - position to scan from (it should point at a valid marker);\n// - canSplitWord - determine if these markers can be found inside a word\n//\nStateInline.prototype.scanDelims = function (start, canSplitWord) {\n var pos = start, lastChar, nextChar, count, can_open, can_close,\n isLastWhiteSpace, isLastPunctChar,\n isNextWhiteSpace, isNextPunctChar,\n left_flanking = true,\n right_flanking = true,\n max = this.posMax,\n marker = this.src.charCodeAt(start);\n\n // treat beginning of the line as a whitespace\n lastChar = start > 0 ? this.src.charCodeAt(start - 1) : 0x20;\n\n while (pos < max && this.src.charCodeAt(pos) === marker) { pos++; }\n\n count = pos - start;\n\n // treat end of the line as a whitespace\n nextChar = pos < max ? this.src.charCodeAt(pos) : 0x20;\n\n isLastPunctChar = isMdAsciiPunct(lastChar) || isPunctChar(String.fromCharCode(lastChar));\n isNextPunctChar = isMdAsciiPunct(nextChar) || isPunctChar(String.fromCharCode(nextChar));\n\n isLastWhiteSpace = isWhiteSpace(lastChar);\n isNextWhiteSpace = isWhiteSpace(nextChar);\n\n if (isNextWhiteSpace) {\n left_flanking = false;\n } else if (isNextPunctChar) {\n if (!(isLastWhiteSpace || isLastPunctChar)) {\n left_flanking = false;\n }\n }\n\n if (isLastWhiteSpace) {\n right_flanking = false;\n } else if (isLastPunctChar) {\n if (!(isNextWhiteSpace || isNextPunctChar)) {\n right_flanking = false;\n }\n }\n\n if (!canSplitWord) {\n can_open = left_flanking && (!right_flanking || isLastPunctChar);\n can_close = right_flanking && (!left_flanking || isNextPunctChar);\n } else {\n can_open = left_flanking;\n can_close = right_flanking;\n }\n\n return {\n can_open: can_open,\n can_close: can_close,\n length: count\n };\n};\n\n\n// re-export Token class to use in block rules\nStateInline.prototype.Token = Token;\n\n\nmodule.exports = StateInline;\n","'use strict';\n\n\n////////////////////////////////////////////////////////////////////////////////\n// Helpers\n\n// Merge objects\n//\nfunction assign(obj /*from1, from2, from3, ...*/) {\n var sources = Array.prototype.slice.call(arguments, 1);\n\n sources.forEach(function (source) {\n if (!source) { return; }\n\n Object.keys(source).forEach(function (key) {\n obj[key] = source[key];\n });\n });\n\n return obj;\n}\n\nfunction _class(obj) { return Object.prototype.toString.call(obj); }\nfunction isString(obj) { return _class(obj) === '[object String]'; }\nfunction isObject(obj) { return _class(obj) === '[object Object]'; }\nfunction isRegExp(obj) { return _class(obj) === '[object RegExp]'; }\nfunction isFunction(obj) { return _class(obj) === '[object Function]'; }\n\n\nfunction escapeRE(str) { return str.replace(/[.?*+^$[\\]\\\\(){}|-]/g, '\\\\$&'); }\n\n////////////////////////////////////////////////////////////////////////////////\n\n\nvar defaultOptions = {\n fuzzyLink: true,\n fuzzyEmail: true,\n fuzzyIP: false\n};\n\n\nfunction isOptionsObj(obj) {\n return Object.keys(obj || {}).reduce(function (acc, k) {\n return acc || defaultOptions.hasOwnProperty(k);\n }, false);\n}\n\n\nvar defaultSchemas = {\n 'http:': {\n validate: function (text, pos, self) {\n var tail = text.slice(pos);\n\n if (!self.re.http) {\n // compile lazily, because \"host\"-containing variables can change on tlds update.\n self.re.http = new RegExp(\n '^\\\\/\\\\/' + self.re.src_auth + self.re.src_host_port_strict + self.re.src_path, 'i'\n );\n }\n if (self.re.http.test(tail)) {\n return tail.match(self.re.http)[0].length;\n }\n return 0;\n }\n },\n 'https:': 'http:',\n 'ftp:': 'http:',\n '//': {\n validate: function (text, pos, self) {\n var tail = text.slice(pos);\n\n if (!self.re.no_http) {\n // compile lazily, because \"host\"-containing variables can change on tlds update.\n self.re.no_http = new RegExp(\n '^' +\n self.re.src_auth +\n // Don't allow single-level domains, because of false positives like '//test'\n // with code comments\n '(?:localhost|(?:(?:' + self.re.src_domain + ')\\\\.)+' + self.re.src_domain_root + ')' +\n self.re.src_port +\n self.re.src_host_terminator +\n self.re.src_path,\n\n 'i'\n );\n }\n\n if (self.re.no_http.test(tail)) {\n // should not be `://` & `///`, that protects from errors in protocol name\n if (pos >= 3 && text[pos - 3] === ':') { return 0; }\n if (pos >= 3 && text[pos - 3] === '/') { return 0; }\n return tail.match(self.re.no_http)[0].length;\n }\n return 0;\n }\n },\n 'mailto:': {\n validate: function (text, pos, self) {\n var tail = text.slice(pos);\n\n if (!self.re.mailto) {\n self.re.mailto = new RegExp(\n '^' + self.re.src_email_name + '@' + self.re.src_host_strict, 'i'\n );\n }\n if (self.re.mailto.test(tail)) {\n return tail.match(self.re.mailto)[0].length;\n }\n return 0;\n }\n }\n};\n\n/*eslint-disable max-len*/\n\n// RE pattern for 2-character tlds (autogenerated by ./support/tlds_2char_gen.js)\nvar tlds_2ch_src_re = 'a[cdefgilmnoqrstuwxz]|b[abdefghijmnorstvwyz]|c[acdfghiklmnoruvwxyz]|d[ejkmoz]|e[cegrstu]|f[ijkmor]|g[abdefghilmnpqrstuwy]|h[kmnrtu]|i[delmnoqrst]|j[emop]|k[eghimnprwyz]|l[abcikrstuvy]|m[acdeghklmnopqrstuvwxyz]|n[acefgilopruz]|om|p[aefghklmnrstwy]|qa|r[eosuw]|s[abcdeghijklmnortuvxyz]|t[cdfghjklmnortvwz]|u[agksyz]|v[aceginu]|w[fs]|y[et]|z[amw]';\n\n// DON'T try to make PRs with changes. Extend TLDs with LinkifyIt.tlds() instead\nvar tlds_default = 'biz|com|edu|gov|net|org|pro|web|xxx|aero|asia|coop|info|museum|name|shop|рф'.split('|');\n\n/*eslint-enable max-len*/\n\n////////////////////////////////////////////////////////////////////////////////\n\nfunction resetScanCache(self) {\n self.__index__ = -1;\n self.__text_cache__ = '';\n}\n\nfunction createValidator(re) {\n return function (text, pos) {\n var tail = text.slice(pos);\n\n if (re.test(tail)) {\n return tail.match(re)[0].length;\n }\n return 0;\n };\n}\n\nfunction createNormalizer() {\n return function (match, self) {\n self.normalize(match);\n };\n}\n\n// Schemas compiler. Build regexps.\n//\nfunction compile(self) {\n\n // Load & clone RE patterns.\n var re = self.re = require('./lib/re')(self.__opts__);\n\n // Define dynamic patterns\n var tlds = self.__tlds__.slice();\n\n self.onCompile();\n\n if (!self.__tlds_replaced__) {\n tlds.push(tlds_2ch_src_re);\n }\n tlds.push(re.src_xn);\n\n re.src_tlds = tlds.join('|');\n\n function untpl(tpl) { return tpl.replace('%TLDS%', re.src_tlds); }\n\n re.email_fuzzy = RegExp(untpl(re.tpl_email_fuzzy), 'i');\n re.link_fuzzy = RegExp(untpl(re.tpl_link_fuzzy), 'i');\n re.link_no_ip_fuzzy = RegExp(untpl(re.tpl_link_no_ip_fuzzy), 'i');\n re.host_fuzzy_test = RegExp(untpl(re.tpl_host_fuzzy_test), 'i');\n\n //\n // Compile each schema\n //\n\n var aliases = [];\n\n self.__compiled__ = {}; // Reset compiled data\n\n function schemaError(name, val) {\n throw new Error('(LinkifyIt) Invalid schema \"' + name + '\": ' + val);\n }\n\n Object.keys(self.__schemas__).forEach(function (name) {\n var val = self.__schemas__[name];\n\n // skip disabled methods\n if (val === null) { return; }\n\n var compiled = { validate: null, link: null };\n\n self.__compiled__[name] = compiled;\n\n if (isObject(val)) {\n if (isRegExp(val.validate)) {\n compiled.validate = createValidator(val.validate);\n } else if (isFunction(val.validate)) {\n compiled.validate = val.validate;\n } else {\n schemaError(name, val);\n }\n\n if (isFunction(val.normalize)) {\n compiled.normalize = val.normalize;\n } else if (!val.normalize) {\n compiled.normalize = createNormalizer();\n } else {\n schemaError(name, val);\n }\n\n return;\n }\n\n if (isString(val)) {\n aliases.push(name);\n return;\n }\n\n schemaError(name, val);\n });\n\n //\n // Compile postponed aliases\n //\n\n aliases.forEach(function (alias) {\n if (!self.__compiled__[self.__schemas__[alias]]) {\n // Silently fail on missed schemas to avoid errons on disable.\n // schemaError(alias, self.__schemas__[alias]);\n return;\n }\n\n self.__compiled__[alias].validate =\n self.__compiled__[self.__schemas__[alias]].validate;\n self.__compiled__[alias].normalize =\n self.__compiled__[self.__schemas__[alias]].normalize;\n });\n\n //\n // Fake record for guessed links\n //\n self.__compiled__[''] = { validate: null, normalize: createNormalizer() };\n\n //\n // Build schema condition\n //\n var slist = Object.keys(self.__compiled__)\n .filter(function (name) {\n // Filter disabled & fake schemas\n return name.length > 0 && self.__compiled__[name];\n })\n .map(escapeRE)\n .join('|');\n // (?!_) cause 1.5x slowdown\n self.re.schema_test = RegExp('(^|(?!_)(?:[><\\uff5c]|' + re.src_ZPCc + '))(' + slist + ')', 'i');\n self.re.schema_search = RegExp('(^|(?!_)(?:[><\\uff5c]|' + re.src_ZPCc + '))(' + slist + ')', 'ig');\n\n self.re.pretest = RegExp(\n '(' + self.re.schema_test.source + ')|(' + self.re.host_fuzzy_test.source + ')|@',\n 'i'\n );\n\n //\n // Cleanup\n //\n\n resetScanCache(self);\n}\n\n/**\n * class Match\n *\n * Match result. Single element of array, returned by [[LinkifyIt#match]]\n **/\nfunction Match(self, shift) {\n var start = self.__index__,\n end = self.__last_index__,\n text = self.__text_cache__.slice(start, end);\n\n /**\n * Match#schema -> String\n *\n * Prefix (protocol) for matched string.\n **/\n this.schema = self.__schema__.toLowerCase();\n /**\n * Match#index -> Number\n *\n * First position of matched string.\n **/\n this.index = start + shift;\n /**\n * Match#lastIndex -> Number\n *\n * Next position after matched string.\n **/\n this.lastIndex = end + shift;\n /**\n * Match#raw -> String\n *\n * Matched string.\n **/\n this.raw = text;\n /**\n * Match#text -> String\n *\n * Notmalized text of matched string.\n **/\n this.text = text;\n /**\n * Match#url -> String\n *\n * Normalized url of matched string.\n **/\n this.url = text;\n}\n\nfunction createMatch(self, shift) {\n var match = new Match(self, shift);\n\n self.__compiled__[match.schema].normalize(match, self);\n\n return match;\n}\n\n\n/**\n * class LinkifyIt\n **/\n\n/**\n * new LinkifyIt(schemas, options)\n * - schemas (Object): Optional. Additional schemas to validate (prefix/validator)\n * - options (Object): { fuzzyLink|fuzzyEmail|fuzzyIP: true|false }\n *\n * Creates new linkifier instance with optional additional schemas.\n * Can be called without `new` keyword for convenience.\n *\n * By default understands:\n *\n * - `http(s)://...` , `ftp://...`, `mailto:...` & `//...` links\n * - \"fuzzy\" links and emails (example.com, foo@bar.com).\n *\n * `schemas` is an object, where each key/value describes protocol/rule:\n *\n * - __key__ - link prefix (usually, protocol name with `:` at the end, `skype:`\n * for example). `linkify-it` makes shure that prefix is not preceeded with\n * alphanumeric char and symbols. Only whitespaces and punctuation allowed.\n * - __value__ - rule to check tail after link prefix\n * - _String_ - just alias to existing rule\n * - _Object_\n * - _validate_ - validator function (should return matched length on success),\n * or `RegExp`.\n * - _normalize_ - optional function to normalize text & url of matched result\n * (for example, for @twitter mentions).\n *\n * `options`:\n *\n * - __fuzzyLink__ - recognige URL-s without `http(s):` prefix. Default `true`.\n * - __fuzzyIP__ - allow IPs in fuzzy links above. Can conflict with some texts\n * like version numbers. Default `false`.\n * - __fuzzyEmail__ - recognize emails without `mailto:` prefix.\n *\n **/\nfunction LinkifyIt(schemas, options) {\n if (!(this instanceof LinkifyIt)) {\n return new LinkifyIt(schemas, options);\n }\n\n if (!options) {\n if (isOptionsObj(schemas)) {\n options = schemas;\n schemas = {};\n }\n }\n\n this.__opts__ = assign({}, defaultOptions, options);\n\n // Cache last tested result. Used to skip repeating steps on next `match` call.\n this.__index__ = -1;\n this.__last_index__ = -1; // Next scan position\n this.__schema__ = '';\n this.__text_cache__ = '';\n\n this.__schemas__ = assign({}, defaultSchemas, schemas);\n this.__compiled__ = {};\n\n this.__tlds__ = tlds_default;\n this.__tlds_replaced__ = false;\n\n this.re = {};\n\n compile(this);\n}\n\n\n/** chainable\n * LinkifyIt#add(schema, definition)\n * - schema (String): rule name (fixed pattern prefix)\n * - definition (String|RegExp|Object): schema definition\n *\n * Add new rule definition. See constructor description for details.\n **/\nLinkifyIt.prototype.add = function add(schema, definition) {\n this.__schemas__[schema] = definition;\n compile(this);\n return this;\n};\n\n\n/** chainable\n * LinkifyIt#set(options)\n * - options (Object): { fuzzyLink|fuzzyEmail|fuzzyIP: true|false }\n *\n * Set recognition options for links without schema.\n **/\nLinkifyIt.prototype.set = function set(options) {\n this.__opts__ = assign(this.__opts__, options);\n return this;\n};\n\n\n/**\n * LinkifyIt#test(text) -> Boolean\n *\n * Searches linkifiable pattern and returns `true` on success or `false` on fail.\n **/\nLinkifyIt.prototype.test = function test(text) {\n // Reset scan cache\n this.__text_cache__ = text;\n this.__index__ = -1;\n\n if (!text.length) { return false; }\n\n var m, ml, me, len, shift, next, re, tld_pos, at_pos;\n\n // try to scan for link with schema - that's the most simple rule\n if (this.re.schema_test.test(text)) {\n re = this.re.schema_search;\n re.lastIndex = 0;\n while ((m = re.exec(text)) !== null) {\n len = this.testSchemaAt(text, m[2], re.lastIndex);\n if (len) {\n this.__schema__ = m[2];\n this.__index__ = m.index + m[1].length;\n this.__last_index__ = m.index + m[0].length + len;\n break;\n }\n }\n }\n\n if (this.__opts__.fuzzyLink && this.__compiled__['http:']) {\n // guess schemaless links\n tld_pos = text.search(this.re.host_fuzzy_test);\n if (tld_pos >= 0) {\n // if tld is located after found link - no need to check fuzzy pattern\n if (this.__index__ < 0 || tld_pos < this.__index__) {\n if ((ml = text.match(this.__opts__.fuzzyIP ? this.re.link_fuzzy : this.re.link_no_ip_fuzzy)) !== null) {\n\n shift = ml.index + ml[1].length;\n\n if (this.__index__ < 0 || shift < this.__index__) {\n this.__schema__ = '';\n this.__index__ = shift;\n this.__last_index__ = ml.index + ml[0].length;\n }\n }\n }\n }\n }\n\n if (this.__opts__.fuzzyEmail && this.__compiled__['mailto:']) {\n // guess schemaless emails\n at_pos = text.indexOf('@');\n if (at_pos >= 0) {\n // We can't skip this check, because this cases are possible:\n // 192.168.1.1@gmail.com, my.in@example.com\n if ((me = text.match(this.re.email_fuzzy)) !== null) {\n\n shift = me.index + me[1].length;\n next = me.index + me[0].length;\n\n if (this.__index__ < 0 || shift < this.__index__ ||\n (shift === this.__index__ && next > this.__last_index__)) {\n this.__schema__ = 'mailto:';\n this.__index__ = shift;\n this.__last_index__ = next;\n }\n }\n }\n }\n\n return this.__index__ >= 0;\n};\n\n\n/**\n * LinkifyIt#pretest(text) -> Boolean\n *\n * Very quick check, that can give false positives. Returns true if link MAY BE\n * can exists. Can be used for speed optimization, when you need to check that\n * link NOT exists.\n **/\nLinkifyIt.prototype.pretest = function pretest(text) {\n return this.re.pretest.test(text);\n};\n\n\n/**\n * LinkifyIt#testSchemaAt(text, name, position) -> Number\n * - text (String): text to scan\n * - name (String): rule (schema) name\n * - position (Number): text offset to check from\n *\n * Similar to [[LinkifyIt#test]] but checks only specific protocol tail exactly\n * at given position. Returns length of found pattern (0 on fail).\n **/\nLinkifyIt.prototype.testSchemaAt = function testSchemaAt(text, schema, pos) {\n // If not supported schema check requested - terminate\n if (!this.__compiled__[schema.toLowerCase()]) {\n return 0;\n }\n return this.__compiled__[schema.toLowerCase()].validate(text, pos, this);\n};\n\n\n/**\n * LinkifyIt#match(text) -> Array|null\n *\n * Returns array of found link descriptions or `null` on fail. We strongly\n * recommend to use [[LinkifyIt#test]] first, for best speed.\n *\n * ##### Result match description\n *\n * - __schema__ - link schema, can be empty for fuzzy links, or `//` for\n * protocol-neutral links.\n * - __index__ - offset of matched text\n * - __lastIndex__ - index of next char after mathch end\n * - __raw__ - matched text\n * - __text__ - normalized text\n * - __url__ - link, generated from matched text\n **/\nLinkifyIt.prototype.match = function match(text) {\n var shift = 0, result = [];\n\n // Try to take previous element from cache, if .test() called before\n if (this.__index__ >= 0 && this.__text_cache__ === text) {\n result.push(createMatch(this, shift));\n shift = this.__last_index__;\n }\n\n // Cut head if cache was used\n var tail = shift ? text.slice(shift) : text;\n\n // Scan string until end reached\n while (this.test(tail)) {\n result.push(createMatch(this, shift));\n\n tail = tail.slice(this.__last_index__);\n shift += this.__last_index__;\n }\n\n if (result.length) {\n return result;\n }\n\n return null;\n};\n\n\n/** chainable\n * LinkifyIt#tlds(list [, keepOld]) -> this\n * - list (Array): list of tlds\n * - keepOld (Boolean): merge with current list if `true` (`false` by default)\n *\n * Load (or merge) new tlds list. Those are user for fuzzy links (without prefix)\n * to avoid false positives. By default this algorythm used:\n *\n * - hostname with any 2-letter root zones are ok.\n * - biz|com|edu|gov|net|org|pro|web|xxx|aero|asia|coop|info|museum|name|shop|рф\n * are ok.\n * - encoded (`xn--...`) root zones are ok.\n *\n * If list is replaced, then exact match for 2-chars root zones will be checked.\n **/\nLinkifyIt.prototype.tlds = function tlds(list, keepOld) {\n list = Array.isArray(list) ? list : [ list ];\n\n if (!keepOld) {\n this.__tlds__ = list.slice();\n this.__tlds_replaced__ = true;\n compile(this);\n return this;\n }\n\n this.__tlds__ = this.__tlds__.concat(list)\n .sort()\n .filter(function (el, idx, arr) {\n return el !== arr[idx - 1];\n })\n .reverse();\n\n compile(this);\n return this;\n};\n\n/**\n * LinkifyIt#normalize(match)\n *\n * Default normalizer (if schema does not define it's own).\n **/\nLinkifyIt.prototype.normalize = function normalize(match) {\n\n // Do minimal possible changes by default. Need to collect feedback prior\n // to move forward https://github.com/markdown-it/linkify-it/issues/1\n\n if (!match.schema) { match.url = 'http://' + match.url; }\n\n if (match.schema === 'mailto:' && !/^mailto:/i.test(match.url)) {\n match.url = 'mailto:' + match.url;\n }\n};\n\n\n/**\n * LinkifyIt#onCompile()\n *\n * Override to modify basic RegExp-s.\n **/\nLinkifyIt.prototype.onCompile = function onCompile() {\n};\n\n\nmodule.exports = LinkifyIt;\n","'use strict';\n\n\nmodule.exports = function (opts) {\n var re = {};\n\n // Use direct extract instead of `regenerate` to reduse browserified size\n re.src_Any = require('uc.micro/properties/Any/regex').source;\n re.src_Cc = require('uc.micro/categories/Cc/regex').source;\n re.src_Z = require('uc.micro/categories/Z/regex').source;\n re.src_P = require('uc.micro/categories/P/regex').source;\n\n // \\p{\\Z\\P\\Cc\\CF} (white spaces + control + format + punctuation)\n re.src_ZPCc = [ re.src_Z, re.src_P, re.src_Cc ].join('|');\n\n // \\p{\\Z\\Cc} (white spaces + control)\n re.src_ZCc = [ re.src_Z, re.src_Cc ].join('|');\n\n // Experimental. List of chars, completely prohibited in links\n // because can separate it from other part of text\n var text_separators = '[><\\uff5c]';\n\n // All possible word characters (everything without punctuation, spaces & controls)\n // Defined via punctuation & spaces to save space\n // Should be something like \\p{\\L\\N\\S\\M} (\\w but without `_`)\n re.src_pseudo_letter = '(?:(?!' + text_separators + '|' + re.src_ZPCc + ')' + re.src_Any + ')';\n // The same as abothe but without [0-9]\n // var src_pseudo_letter_non_d = '(?:(?![0-9]|' + src_ZPCc + ')' + src_Any + ')';\n\n ////////////////////////////////////////////////////////////////////////////////\n\n re.src_ip4 =\n\n '(?:(25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\\\\.){3}(25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)';\n\n // Prohibit any of \"@/[]()\" in user/pass to avoid wrong domain fetch.\n re.src_auth = '(?:(?:(?!' + re.src_ZCc + '|[@/\\\\[\\\\]()]).)+@)?';\n\n re.src_port =\n\n '(?::(?:6(?:[0-4]\\\\d{3}|5(?:[0-4]\\\\d{2}|5(?:[0-2]\\\\d|3[0-5])))|[1-5]?\\\\d{1,4}))?';\n\n re.src_host_terminator =\n\n '(?=$|' + text_separators + '|' + re.src_ZPCc + ')(?!-|_|:\\\\d|\\\\.-|\\\\.(?!$|' + re.src_ZPCc + '))';\n\n re.src_path =\n\n '(?:' +\n '[/?#]' +\n '(?:' +\n '(?!' + re.src_ZCc + '|' + text_separators + '|[()[\\\\]{}.,\"\\'?!\\\\-]).|' +\n '\\\\[(?:(?!' + re.src_ZCc + '|\\\\]).)*\\\\]|' +\n '\\\\((?:(?!' + re.src_ZCc + '|[)]).)*\\\\)|' +\n '\\\\{(?:(?!' + re.src_ZCc + '|[}]).)*\\\\}|' +\n '\\\\\"(?:(?!' + re.src_ZCc + '|[\"]).)+\\\\\"|' +\n \"\\\\'(?:(?!\" + re.src_ZCc + \"|[']).)+\\\\'|\" +\n \"\\\\'(?=\" + re.src_pseudo_letter + '|[-]).|' + // allow `I'm_king` if no pair found\n '\\\\.{2,4}[a-zA-Z0-9%/]|' + // github has ... in commit range links,\n // google has .... in links (issue #66)\n // Restrict to\n // - english\n // - percent-encoded\n // - parts of file path\n // until more examples found.\n '\\\\.(?!' + re.src_ZCc + '|[.]).|' +\n (opts && opts['---'] ?\n '\\\\-(?!--(?:[^-]|$))(?:-*)|' // `---` => long dash, terminate\n :\n '\\\\-+|'\n ) +\n '\\\\,(?!' + re.src_ZCc + ').|' + // allow `,,,` in paths\n '\\\\!(?!' + re.src_ZCc + '|[!]).|' +\n '\\\\?(?!' + re.src_ZCc + '|[?]).' +\n ')+' +\n '|\\\\/' +\n ')?';\n\n // Allow anything in markdown spec, forbid quote (\") at the first position\n // because emails enclosed in quotes are far more common\n re.src_email_name =\n\n '[\\\\-;:&=\\\\+\\\\$,\\\\.a-zA-Z0-9_][\\\\-;:&=\\\\+\\\\$,\\\\\"\\\\.a-zA-Z0-9_]*';\n\n re.src_xn =\n\n 'xn--[a-z0-9\\\\-]{1,59}';\n\n // More to read about domain names\n // http://serverfault.com/questions/638260/\n\n re.src_domain_root =\n\n // Allow letters & digits (http://test1)\n '(?:' +\n re.src_xn +\n '|' +\n re.src_pseudo_letter + '{1,63}' +\n ')';\n\n re.src_domain =\n\n '(?:' +\n re.src_xn +\n '|' +\n '(?:' + re.src_pseudo_letter + ')' +\n '|' +\n '(?:' + re.src_pseudo_letter + '(?:-|' + re.src_pseudo_letter + '){0,61}' + re.src_pseudo_letter + ')' +\n ')';\n\n re.src_host =\n\n '(?:' +\n // Don't need IP check, because digits are already allowed in normal domain names\n // src_ip4 +\n // '|' +\n '(?:(?:(?:' + re.src_domain + ')\\\\.)*' + re.src_domain/*_root*/ + ')' +\n ')';\n\n re.tpl_host_fuzzy =\n\n '(?:' +\n re.src_ip4 +\n '|' +\n '(?:(?:(?:' + re.src_domain + ')\\\\.)+(?:%TLDS%))' +\n ')';\n\n re.tpl_host_no_ip_fuzzy =\n\n '(?:(?:(?:' + re.src_domain + ')\\\\.)+(?:%TLDS%))';\n\n re.src_host_strict =\n\n re.src_host + re.src_host_terminator;\n\n re.tpl_host_fuzzy_strict =\n\n re.tpl_host_fuzzy + re.src_host_terminator;\n\n re.src_host_port_strict =\n\n re.src_host + re.src_port + re.src_host_terminator;\n\n re.tpl_host_port_fuzzy_strict =\n\n re.tpl_host_fuzzy + re.src_port + re.src_host_terminator;\n\n re.tpl_host_port_no_ip_fuzzy_strict =\n\n re.tpl_host_no_ip_fuzzy + re.src_port + re.src_host_terminator;\n\n\n ////////////////////////////////////////////////////////////////////////////////\n // Main rules\n\n // Rude test fuzzy links by host, for quick deny\n re.tpl_host_fuzzy_test =\n\n 'localhost|www\\\\.|\\\\.\\\\d{1,3}\\\\.|(?:\\\\.(?:%TLDS%)(?:' + re.src_ZPCc + '|>|$))';\n\n re.tpl_email_fuzzy =\n\n '(^|' + text_separators + '|\"|\\\\(|' + re.src_ZCc + ')' +\n '(' + re.src_email_name + '@' + re.tpl_host_fuzzy_strict + ')';\n\n re.tpl_link_fuzzy =\n // Fuzzy link can't be prepended with .:/\\- and non punctuation.\n // but can start with > (markdown blockquote)\n '(^|(?![.:/\\\\-_@])(?:[$+<=>^`|\\uff5c]|' + re.src_ZPCc + '))' +\n '((?![$+<=>^`|\\uff5c])' + re.tpl_host_port_fuzzy_strict + re.src_path + ')';\n\n re.tpl_link_no_ip_fuzzy =\n // Fuzzy link can't be prepended with .:/\\- and non punctuation.\n // but can start with > (markdown blockquote)\n '(^|(?![.:/\\\\-_@])(?:[$+<=>^`|\\uff5c]|' + re.src_ZPCc + '))' +\n '((?![$+<=>^`|\\uff5c])' + re.tpl_host_port_no_ip_fuzzy_strict + re.src_path + ')';\n\n return re;\n};\n","/*! https://mths.be/punycode v1.4.1 by @mathias */\n;(function(root) {\n\n\t/** Detect free variables */\n\tvar freeExports = typeof exports == 'object' && exports &&\n\t\t!exports.nodeType && exports;\n\tvar freeModule = typeof module == 'object' && module &&\n\t\t!module.nodeType && module;\n\tvar freeGlobal = typeof global == 'object' && global;\n\tif (\n\t\tfreeGlobal.global === freeGlobal ||\n\t\tfreeGlobal.window === freeGlobal ||\n\t\tfreeGlobal.self === freeGlobal\n\t) {\n\t\troot = freeGlobal;\n\t}\n\n\t/**\n\t * The `punycode` object.\n\t * @name punycode\n\t * @type Object\n\t */\n\tvar punycode,\n\n\t/** Highest positive signed 32-bit float value */\n\tmaxInt = 2147483647, // aka. 0x7FFFFFFF or 2^31-1\n\n\t/** Bootstring parameters */\n\tbase = 36,\n\ttMin = 1,\n\ttMax = 26,\n\tskew = 38,\n\tdamp = 700,\n\tinitialBias = 72,\n\tinitialN = 128, // 0x80\n\tdelimiter = '-', // '\\x2D'\n\n\t/** Regular expressions */\n\tregexPunycode = /^xn--/,\n\tregexNonASCII = /[^\\x20-\\x7E]/, // unprintable ASCII chars + non-ASCII chars\n\tregexSeparators = /[\\x2E\\u3002\\uFF0E\\uFF61]/g, // RFC 3490 separators\n\n\t/** Error messages */\n\terrors = {\n\t\t'overflow': 'Overflow: input needs wider integers to process',\n\t\t'not-basic': 'Illegal input >= 0x80 (not a basic code point)',\n\t\t'invalid-input': 'Invalid input'\n\t},\n\n\t/** Convenience shortcuts */\n\tbaseMinusTMin = base - tMin,\n\tfloor = Math.floor,\n\tstringFromCharCode = String.fromCharCode,\n\n\t/** Temporary variable */\n\tkey;\n\n\t/*--------------------------------------------------------------------------*/\n\n\t/**\n\t * A generic error utility function.\n\t * @private\n\t * @param {String} type The error type.\n\t * @returns {Error} Throws a `RangeError` with the applicable error message.\n\t */\n\tfunction error(type) {\n\t\tthrow new RangeError(errors[type]);\n\t}\n\n\t/**\n\t * A generic `Array#map` utility function.\n\t * @private\n\t * @param {Array} array The array to iterate over.\n\t * @param {Function} callback The function that gets called for every array\n\t * item.\n\t * @returns {Array} A new array of values returned by the callback function.\n\t */\n\tfunction map(array, fn) {\n\t\tvar length = array.length;\n\t\tvar result = [];\n\t\twhile (length--) {\n\t\t\tresult[length] = fn(array[length]);\n\t\t}\n\t\treturn result;\n\t}\n\n\t/**\n\t * A simple `Array#map`-like wrapper to work with domain name strings or email\n\t * addresses.\n\t * @private\n\t * @param {String} domain The domain name or email address.\n\t * @param {Function} callback The function that gets called for every\n\t * character.\n\t * @returns {Array} A new string of characters returned by the callback\n\t * function.\n\t */\n\tfunction mapDomain(string, fn) {\n\t\tvar parts = string.split('@');\n\t\tvar result = '';\n\t\tif (parts.length > 1) {\n\t\t\t// In email addresses, only the domain name should be punycoded. Leave\n\t\t\t// the local part (i.e. everything up to `@`) intact.\n\t\t\tresult = parts[0] + '@';\n\t\t\tstring = parts[1];\n\t\t}\n\t\t// Avoid `split(regex)` for IE8 compatibility. See #17.\n\t\tstring = string.replace(regexSeparators, '\\x2E');\n\t\tvar labels = string.split('.');\n\t\tvar encoded = map(labels, fn).join('.');\n\t\treturn result + encoded;\n\t}\n\n\t/**\n\t * Creates an array containing the numeric code points of each Unicode\n\t * character in the string. While JavaScript uses UCS-2 internally,\n\t * this function will convert a pair of surrogate halves (each of which\n\t * UCS-2 exposes as separate characters) into a single code point,\n\t * matching UTF-16.\n\t * @see `punycode.ucs2.encode`\n\t * @see <https://mathiasbynens.be/notes/javascript-encoding>\n\t * @memberOf punycode.ucs2\n\t * @name decode\n\t * @param {String} string The Unicode input string (UCS-2).\n\t * @returns {Array} The new array of code points.\n\t */\n\tfunction ucs2decode(string) {\n\t\tvar output = [],\n\t\t counter = 0,\n\t\t length = string.length,\n\t\t value,\n\t\t extra;\n\t\twhile (counter < length) {\n\t\t\tvalue = string.charCodeAt(counter++);\n\t\t\tif (value >= 0xD800 && value <= 0xDBFF && counter < length) {\n\t\t\t\t// high surrogate, and there is a next character\n\t\t\t\textra = string.charCodeAt(counter++);\n\t\t\t\tif ((extra & 0xFC00) == 0xDC00) { // low surrogate\n\t\t\t\t\toutput.push(((value & 0x3FF) << 10) + (extra & 0x3FF) + 0x10000);\n\t\t\t\t} else {\n\t\t\t\t\t// unmatched surrogate; only append this code unit, in case the next\n\t\t\t\t\t// code unit is the high surrogate of a surrogate pair\n\t\t\t\t\toutput.push(value);\n\t\t\t\t\tcounter--;\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\toutput.push(value);\n\t\t\t}\n\t\t}\n\t\treturn output;\n\t}\n\n\t/**\n\t * Creates a string based on an array of numeric code points.\n\t * @see `punycode.ucs2.decode`\n\t * @memberOf punycode.ucs2\n\t * @name encode\n\t * @param {Array} codePoints The array of numeric code points.\n\t * @returns {String} The new Unicode string (UCS-2).\n\t */\n\tfunction ucs2encode(array) {\n\t\treturn map(array, function(value) {\n\t\t\tvar output = '';\n\t\t\tif (value > 0xFFFF) {\n\t\t\t\tvalue -= 0x10000;\n\t\t\t\toutput += stringFromCharCode(value >>> 10 & 0x3FF | 0xD800);\n\t\t\t\tvalue = 0xDC00 | value & 0x3FF;\n\t\t\t}\n\t\t\toutput += stringFromCharCode(value);\n\t\t\treturn output;\n\t\t}).join('');\n\t}\n\n\t/**\n\t * Converts a basic code point into a digit/integer.\n\t * @see `digitToBasic()`\n\t * @private\n\t * @param {Number} codePoint The basic numeric code point value.\n\t * @returns {Number} The numeric value of a basic code point (for use in\n\t * representing integers) in the range `0` to `base - 1`, or `base` if\n\t * the code point does not represent a value.\n\t */\n\tfunction basicToDigit(codePoint) {\n\t\tif (codePoint - 48 < 10) {\n\t\t\treturn codePoint - 22;\n\t\t}\n\t\tif (codePoint - 65 < 26) {\n\t\t\treturn codePoint - 65;\n\t\t}\n\t\tif (codePoint - 97 < 26) {\n\t\t\treturn codePoint - 97;\n\t\t}\n\t\treturn base;\n\t}\n\n\t/**\n\t * Converts a digit/integer into a basic code point.\n\t * @see `basicToDigit()`\n\t * @private\n\t * @param {Number} digit The numeric value of a basic code point.\n\t * @returns {Number} The basic code point whose value (when used for\n\t * representing integers) is `digit`, which needs to be in the range\n\t * `0` to `base - 1`. If `flag` is non-zero, the uppercase form is\n\t * used; else, the lowercase form is used. The behavior is undefined\n\t * if `flag` is non-zero and `digit` has no uppercase form.\n\t */\n\tfunction digitToBasic(digit, flag) {\n\t\t// 0..25 map to ASCII a..z or A..Z\n\t\t// 26..35 map to ASCII 0..9\n\t\treturn digit + 22 + 75 * (digit < 26) - ((flag != 0) << 5);\n\t}\n\n\t/**\n\t * Bias adaptation function as per section 3.4 of RFC 3492.\n\t * https://tools.ietf.org/html/rfc3492#section-3.4\n\t * @private\n\t */\n\tfunction adapt(delta, numPoints, firstTime) {\n\t\tvar k = 0;\n\t\tdelta = firstTime ? floor(delta / damp) : delta >> 1;\n\t\tdelta += floor(delta / numPoints);\n\t\tfor (/* no initialization */; delta > baseMinusTMin * tMax >> 1; k += base) {\n\t\t\tdelta = floor(delta / baseMinusTMin);\n\t\t}\n\t\treturn floor(k + (baseMinusTMin + 1) * delta / (delta + skew));\n\t}\n\n\t/**\n\t * Converts a Punycode string of ASCII-only symbols to a string of Unicode\n\t * symbols.\n\t * @memberOf punycode\n\t * @param {String} input The Punycode string of ASCII-only symbols.\n\t * @returns {String} The resulting string of Unicode symbols.\n\t */\n\tfunction decode(input) {\n\t\t// Don't use UCS-2\n\t\tvar output = [],\n\t\t inputLength = input.length,\n\t\t out,\n\t\t i = 0,\n\t\t n = initialN,\n\t\t bias = initialBias,\n\t\t basic,\n\t\t j,\n\t\t index,\n\t\t oldi,\n\t\t w,\n\t\t k,\n\t\t digit,\n\t\t t,\n\t\t /** Cached calculation results */\n\t\t baseMinusT;\n\n\t\t// Handle the basic code points: let `basic` be the number of input code\n\t\t// points before the last delimiter, or `0` if there is none, then copy\n\t\t// the first basic code points to the output.\n\n\t\tbasic = input.lastIndexOf(delimiter);\n\t\tif (basic < 0) {\n\t\t\tbasic = 0;\n\t\t}\n\n\t\tfor (j = 0; j < basic; ++j) {\n\t\t\t// if it's not a basic code point\n\t\t\tif (input.charCodeAt(j) >= 0x80) {\n\t\t\t\terror('not-basic');\n\t\t\t}\n\t\t\toutput.push(input.charCodeAt(j));\n\t\t}\n\n\t\t// Main decoding loop: start just after the last delimiter if any basic code\n\t\t// points were copied; start at the beginning otherwise.\n\n\t\tfor (index = basic > 0 ? basic + 1 : 0; index < inputLength; /* no final expression */) {\n\n\t\t\t// `index` is the index of the next character to be consumed.\n\t\t\t// Decode a generalized variable-length integer into `delta`,\n\t\t\t// which gets added to `i`. The overflow checking is easier\n\t\t\t// if we increase `i` as we go, then subtract off its starting\n\t\t\t// value at the end to obtain `delta`.\n\t\t\tfor (oldi = i, w = 1, k = base; /* no condition */; k += base) {\n\n\t\t\t\tif (index >= inputLength) {\n\t\t\t\t\terror('invalid-input');\n\t\t\t\t}\n\n\t\t\t\tdigit = basicToDigit(input.charCodeAt(index++));\n\n\t\t\t\tif (digit >= base || digit > floor((maxInt - i) / w)) {\n\t\t\t\t\terror('overflow');\n\t\t\t\t}\n\n\t\t\t\ti += digit * w;\n\t\t\t\tt = k <= bias ? tMin : (k >= bias + tMax ? tMax : k - bias);\n\n\t\t\t\tif (digit < t) {\n\t\t\t\t\tbreak;\n\t\t\t\t}\n\n\t\t\t\tbaseMinusT = base - t;\n\t\t\t\tif (w > floor(maxInt / baseMinusT)) {\n\t\t\t\t\terror('overflow');\n\t\t\t\t}\n\n\t\t\t\tw *= baseMinusT;\n\n\t\t\t}\n\n\t\t\tout = output.length + 1;\n\t\t\tbias = adapt(i - oldi, out, oldi == 0);\n\n\t\t\t// `i` was supposed to wrap around from `out` to `0`,\n\t\t\t// incrementing `n` each time, so we'll fix that now:\n\t\t\tif (floor(i / out) > maxInt - n) {\n\t\t\t\terror('overflow');\n\t\t\t}\n\n\t\t\tn += floor(i / out);\n\t\t\ti %= out;\n\n\t\t\t// Insert `n` at position `i` of the output\n\t\t\toutput.splice(i++, 0, n);\n\n\t\t}\n\n\t\treturn ucs2encode(output);\n\t}\n\n\t/**\n\t * Converts a string of Unicode symbols (e.g. a domain name label) to a\n\t * Punycode string of ASCII-only symbols.\n\t * @memberOf punycode\n\t * @param {String} input The string of Unicode symbols.\n\t * @returns {String} The resulting Punycode string of ASCII-only symbols.\n\t */\n\tfunction encode(input) {\n\t\tvar n,\n\t\t delta,\n\t\t handledCPCount,\n\t\t basicLength,\n\t\t bias,\n\t\t j,\n\t\t m,\n\t\t q,\n\t\t k,\n\t\t t,\n\t\t currentValue,\n\t\t output = [],\n\t\t /** `inputLength` will hold the number of code points in `input`. */\n\t\t inputLength,\n\t\t /** Cached calculation results */\n\t\t handledCPCountPlusOne,\n\t\t baseMinusT,\n\t\t qMinusT;\n\n\t\t// Convert the input in UCS-2 to Unicode\n\t\tinput = ucs2decode(input);\n\n\t\t// Cache the length\n\t\tinputLength = input.length;\n\n\t\t// Initialize the state\n\t\tn = initialN;\n\t\tdelta = 0;\n\t\tbias = initialBias;\n\n\t\t// Handle the basic code points\n\t\tfor (j = 0; j < inputLength; ++j) {\n\t\t\tcurrentValue = input[j];\n\t\t\tif (currentValue < 0x80) {\n\t\t\t\toutput.push(stringFromCharCode(currentValue));\n\t\t\t}\n\t\t}\n\n\t\thandledCPCount = basicLength = output.length;\n\n\t\t// `handledCPCount` is the number of code points that have been handled;\n\t\t// `basicLength` is the number of basic code points.\n\n\t\t// Finish the basic string - if it is not empty - with a delimiter\n\t\tif (basicLength) {\n\t\t\toutput.push(delimiter);\n\t\t}\n\n\t\t// Main encoding loop:\n\t\twhile (handledCPCount < inputLength) {\n\n\t\t\t// All non-basic code points < n have been handled already. Find the next\n\t\t\t// larger one:\n\t\t\tfor (m = maxInt, j = 0; j < inputLength; ++j) {\n\t\t\t\tcurrentValue = input[j];\n\t\t\t\tif (currentValue >= n && currentValue < m) {\n\t\t\t\t\tm = currentValue;\n\t\t\t\t}\n\t\t\t}\n\n\t\t\t// Increase `delta` enough to advance the decoder's <n,i> state to <m,0>,\n\t\t\t// but guard against overflow\n\t\t\thandledCPCountPlusOne = handledCPCount + 1;\n\t\t\tif (m - n > floor((maxInt - delta) / handledCPCountPlusOne)) {\n\t\t\t\terror('overflow');\n\t\t\t}\n\n\t\t\tdelta += (m - n) * handledCPCountPlusOne;\n\t\t\tn = m;\n\n\t\t\tfor (j = 0; j < inputLength; ++j) {\n\t\t\t\tcurrentValue = input[j];\n\n\t\t\t\tif (currentValue < n && ++delta > maxInt) {\n\t\t\t\t\terror('overflow');\n\t\t\t\t}\n\n\t\t\t\tif (currentValue == n) {\n\t\t\t\t\t// Represent delta as a generalized variable-length integer\n\t\t\t\t\tfor (q = delta, k = base; /* no condition */; k += base) {\n\t\t\t\t\t\tt = k <= bias ? tMin : (k >= bias + tMax ? tMax : k - bias);\n\t\t\t\t\t\tif (q < t) {\n\t\t\t\t\t\t\tbreak;\n\t\t\t\t\t\t}\n\t\t\t\t\t\tqMinusT = q - t;\n\t\t\t\t\t\tbaseMinusT = base - t;\n\t\t\t\t\t\toutput.push(\n\t\t\t\t\t\t\tstringFromCharCode(digitToBasic(t + qMinusT % baseMinusT, 0))\n\t\t\t\t\t\t);\n\t\t\t\t\t\tq = floor(qMinusT / baseMinusT);\n\t\t\t\t\t}\n\n\t\t\t\t\toutput.push(stringFromCharCode(digitToBasic(q, 0)));\n\t\t\t\t\tbias = adapt(delta, handledCPCountPlusOne, handledCPCount == basicLength);\n\t\t\t\t\tdelta = 0;\n\t\t\t\t\t++handledCPCount;\n\t\t\t\t}\n\t\t\t}\n\n\t\t\t++delta;\n\t\t\t++n;\n\n\t\t}\n\t\treturn output.join('');\n\t}\n\n\t/**\n\t * Converts a Punycode string representing a domain name or an email address\n\t * to Unicode. Only the Punycoded parts of the input will be converted, i.e.\n\t * it doesn't matter if you call it on a string that has already been\n\t * converted to Unicode.\n\t * @memberOf punycode\n\t * @param {String} input The Punycoded domain name or email address to\n\t * convert to Unicode.\n\t * @returns {String} The Unicode representation of the given Punycode\n\t * string.\n\t */\n\tfunction toUnicode(input) {\n\t\treturn mapDomain(input, function(string) {\n\t\t\treturn regexPunycode.test(string)\n\t\t\t\t? decode(string.slice(4).toLowerCase())\n\t\t\t\t: string;\n\t\t});\n\t}\n\n\t/**\n\t * Converts a Unicode string representing a domain name or an email address to\n\t * Punycode. Only the non-ASCII parts of the domain name will be converted,\n\t * i.e. it doesn't matter if you call it with a domain that's already in\n\t * ASCII.\n\t * @memberOf punycode\n\t * @param {String} input The domain name or email address to convert, as a\n\t * Unicode string.\n\t * @returns {String} The Punycode representation of the given domain name or\n\t * email address.\n\t */\n\tfunction toASCII(input) {\n\t\treturn mapDomain(input, function(string) {\n\t\t\treturn regexNonASCII.test(string)\n\t\t\t\t? 'xn--' + encode(string)\n\t\t\t\t: string;\n\t\t});\n\t}\n\n\t/*--------------------------------------------------------------------------*/\n\n\t/** Define the public API */\n\tpunycode = {\n\t\t/**\n\t\t * A string representing the current Punycode.js version number.\n\t\t * @memberOf punycode\n\t\t * @type String\n\t\t */\n\t\t'version': '1.4.1',\n\t\t/**\n\t\t * An object of methods to convert from JavaScript's internal character\n\t\t * representation (UCS-2) to Unicode code points, and back.\n\t\t * @see <https://mathiasbynens.be/notes/javascript-encoding>\n\t\t * @memberOf punycode\n\t\t * @type Object\n\t\t */\n\t\t'ucs2': {\n\t\t\t'decode': ucs2decode,\n\t\t\t'encode': ucs2encode\n\t\t},\n\t\t'decode': decode,\n\t\t'encode': encode,\n\t\t'toASCII': toASCII,\n\t\t'toUnicode': toUnicode\n\t};\n\n\t/** Expose `punycode` */\n\t// Some AMD build optimizers, like r.js, check for specific condition patterns\n\t// like the following:\n\tif (\n\t\ttypeof define == 'function' &&\n\t\ttypeof define.amd == 'object' &&\n\t\tdefine.amd\n\t) {\n\t\tdefine('punycode', function() {\n\t\t\treturn punycode;\n\t\t});\n\t} else if (freeExports && freeModule) {\n\t\tif (module.exports == freeExports) {\n\t\t\t// in Node.js, io.js, or RingoJS v0.8.0+\n\t\t\tfreeModule.exports = punycode;\n\t\t} else {\n\t\t\t// in Narwhal or RingoJS v0.7.0-\n\t\t\tfor (key in punycode) {\n\t\t\t\tpunycode.hasOwnProperty(key) && (freeExports[key] = punycode[key]);\n\t\t\t}\n\t\t}\n\t} else {\n\t\t// in Rhino or a web browser\n\t\troot.punycode = punycode;\n\t}\n\n}(this));\n","// markdown-it default options\n\n'use strict';\n\n\nmodule.exports = {\n options: {\n html: false, // Enable HTML tags in source\n xhtmlOut: false, // Use '/' to close single tags (<br />)\n breaks: false, // Convert '\\n' in paragraphs into <br>\n langPrefix: 'language-', // CSS language prefix for fenced blocks\n linkify: false, // autoconvert URL-like texts to links\n\n // Enable some language-neutral replacements + quotes beautification\n typographer: false,\n\n // Double + single quotes replacement pairs, when typographer enabled,\n // and smartquotes on. Could be either a String or an Array.\n //\n // For example, you can use '«»„“' for Russian, '„“‚‘' for German,\n // and ['«\\xA0', '\\xA0»', '‹\\xA0', '\\xA0›'] for French (including nbsp).\n quotes: '\\u201c\\u201d\\u2018\\u2019', /* “”‘’ */\n\n // Highlighter function. Should return escaped HTML,\n // or '' if the source string is not changed and should be escaped externaly.\n // If result starts with <pre... internal wrapper is skipped.\n //\n // function (/*str, lang*/) { return ''; }\n //\n highlight: null,\n\n maxNesting: 100 // Internal protection, recursion limit\n },\n\n components: {\n\n core: {},\n block: {},\n inline: {}\n }\n};\n","// \"Zero\" preset, with nothing enabled. Useful for manual configuring of simple\n// modes. For example, to parse bold/italic only.\n\n'use strict';\n\n\nmodule.exports = {\n options: {\n html: false, // Enable HTML tags in source\n xhtmlOut: false, // Use '/' to close single tags (<br />)\n breaks: false, // Convert '\\n' in paragraphs into <br>\n langPrefix: 'language-', // CSS language prefix for fenced blocks\n linkify: false, // autoconvert URL-like texts to links\n\n // Enable some language-neutral replacements + quotes beautification\n typographer: false,\n\n // Double + single quotes replacement pairs, when typographer enabled,\n // and smartquotes on. Could be either a String or an Array.\n //\n // For example, you can use '«»„“' for Russian, '„“‚‘' for German,\n // and ['«\\xA0', '\\xA0»', '‹\\xA0', '\\xA0›'] for French (including nbsp).\n quotes: '\\u201c\\u201d\\u2018\\u2019', /* “”‘’ */\n\n // Highlighter function. Should return escaped HTML,\n // or '' if the source string is not changed and should be escaped externaly.\n // If result starts with <pre... internal wrapper is skipped.\n //\n // function (/*str, lang*/) { return ''; }\n //\n highlight: null,\n\n maxNesting: 20 // Internal protection, recursion limit\n },\n\n components: {\n\n core: {\n rules: [\n 'normalize',\n 'block',\n 'inline'\n ]\n },\n\n block: {\n rules: [\n 'paragraph'\n ]\n },\n\n inline: {\n rules: [\n 'text'\n ],\n rules2: [\n 'balance_pairs',\n 'text_collapse'\n ]\n }\n }\n};\n","// Commonmark default options\n\n'use strict';\n\n\nmodule.exports = {\n options: {\n html: true, // Enable HTML tags in source\n xhtmlOut: true, // Use '/' to close single tags (<br />)\n breaks: false, // Convert '\\n' in paragraphs into <br>\n langPrefix: 'language-', // CSS language prefix for fenced blocks\n linkify: false, // autoconvert URL-like texts to links\n\n // Enable some language-neutral replacements + quotes beautification\n typographer: false,\n\n // Double + single quotes replacement pairs, when typographer enabled,\n // and smartquotes on. Could be either a String or an Array.\n //\n // For example, you can use '«»„“' for Russian, '„“‚‘' for German,\n // and ['«\\xA0', '\\xA0»', '‹\\xA0', '\\xA0›'] for French (including nbsp).\n quotes: '\\u201c\\u201d\\u2018\\u2019', /* “”‘’ */\n\n // Highlighter function. Should return escaped HTML,\n // or '' if the source string is not changed and should be escaped externaly.\n // If result starts with <pre... internal wrapper is skipped.\n //\n // function (/*str, lang*/) { return ''; }\n //\n highlight: null,\n\n maxNesting: 20 // Internal protection, recursion limit\n },\n\n components: {\n\n core: {\n rules: [\n 'normalize',\n 'block',\n 'inline'\n ]\n },\n\n block: {\n rules: [\n 'blockquote',\n 'code',\n 'fence',\n 'heading',\n 'hr',\n 'html_block',\n 'lheading',\n 'list',\n 'reference',\n 'paragraph'\n ]\n },\n\n inline: {\n rules: [\n 'autolink',\n 'backticks',\n 'emphasis',\n 'entity',\n 'escape',\n 'html_inline',\n 'image',\n 'link',\n 'newline',\n 'text'\n ],\n rules2: [\n 'balance_pairs',\n 'emphasis',\n 'text_collapse'\n ]\n }\n }\n};\n","// Markdown-it plugin to render GitHub-style task lists; see\n//\n// https://github.com/blog/1375-task-lists-in-gfm-issues-pulls-comments\n// https://github.com/blog/1825-task-lists-in-all-markdown-documents\n\nvar disableCheckboxes = true;\nvar useLabelWrapper = false;\nvar useLabelAfter = false;\n\nmodule.exports = function(md, options) {\n\tif (options) {\n\t\tdisableCheckboxes = !options.enabled;\n\t\tuseLabelWrapper = !!options.label;\n\t\tuseLabelAfter = !!options.labelAfter;\n\t}\n\n\tmd.core.ruler.after('inline', 'github-task-lists', function(state) {\n\t\tvar tokens = state.tokens;\n\t\tfor (var i = 2; i < tokens.length; i++) {\n\t\t\tif (isTodoItem(tokens, i)) {\n\t\t\t\ttodoify(tokens[i], state.Token);\n\t\t\t\tattrSet(tokens[i-2], 'class', 'task-list-item' + (!disableCheckboxes ? ' enabled' : ''));\n\t\t\t\tattrSet(tokens[parentToken(tokens, i-2)], 'class', 'contains-task-list');\n\t\t\t}\n\t\t}\n\t});\n};\n\nfunction attrSet(token, name, value) {\n\tvar index = token.attrIndex(name);\n\tvar attr = [name, value];\n\n\tif (index < 0) {\n\t\ttoken.attrPush(attr);\n\t} else {\n\t\ttoken.attrs[index] = attr;\n\t}\n}\n\nfunction parentToken(tokens, index) {\n\tvar targetLevel = tokens[index].level - 1;\n\tfor (var i = index - 1; i >= 0; i--) {\n\t\tif (tokens[i].level === targetLevel) {\n\t\t\treturn i;\n\t\t}\n\t}\n\treturn -1;\n}\n\nfunction isTodoItem(tokens, index) {\n\treturn isInline(tokens[index]) &&\n\t isParagraph(tokens[index - 1]) &&\n\t isListItem(tokens[index - 2]) &&\n\t startsWithTodoMarkdown(tokens[index]);\n}\n\nfunction todoify(token, TokenConstructor) {\n\ttoken.children.unshift(makeCheckbox(token, TokenConstructor));\n\ttoken.children[1].content = token.children[1].content.slice(3);\n\ttoken.content = token.content.slice(3);\n\n\tif (useLabelWrapper) {\n\t\tif (useLabelAfter) {\n\t\t\ttoken.children.pop();\n\n\t\t\t// Use large random number as id property of the checkbox.\n\t\t\tvar id = 'task-item-' + Math.ceil(Math.random() * (10000 * 1000) - 1000);\n\t\t\ttoken.children[0].content = token.children[0].content.slice(0, -1) + ' id=\"' + id + '\">';\n\t\t\ttoken.children.push(afterLabel(token.content, id, TokenConstructor));\n\t\t} else {\n\t\t\ttoken.children.unshift(beginLabel(TokenConstructor));\n\t\t\ttoken.children.push(endLabel(TokenConstructor));\n\t\t}\n\t}\n}\n\nfunction makeCheckbox(token, TokenConstructor) {\n\tvar checkbox = new TokenConstructor('html_inline', '', 0);\n\tvar disabledAttr = disableCheckboxes ? ' disabled=\"\" ' : '';\n\tif (token.content.indexOf('[ ] ') === 0) {\n\t\tcheckbox.content = '<input class=\"task-list-item-checkbox\"' + disabledAttr + 'type=\"checkbox\">';\n\t} else if (token.content.indexOf('[x] ') === 0 || token.content.indexOf('[X] ') === 0) {\n\t\tcheckbox.content = '<input class=\"task-list-item-checkbox\" checked=\"\"' + disabledAttr + 'type=\"checkbox\">';\n\t}\n\treturn checkbox;\n}\n\n// these next two functions are kind of hacky; probably should really be a\n// true block-level token with .tag=='label'\nfunction beginLabel(TokenConstructor) {\n\tvar token = new TokenConstructor('html_inline', '', 0);\n\ttoken.content = '<label>';\n\treturn token;\n}\n\nfunction endLabel(TokenConstructor) {\n\tvar token = new TokenConstructor('html_inline', '', 0);\n\ttoken.content = '</label>';\n\treturn token;\n}\n\nfunction afterLabel(content, id, TokenConstructor) {\n\tvar token = new TokenConstructor('html_inline', '', 0);\n\ttoken.content = '<label class=\"task-list-item-label\" for=\"' + id + '\">' + content + '</label>';\n\ttoken.attrs = [{for: id}];\n\treturn token;\n}\n\nfunction isInline(token) { return token.type === 'inline'; }\nfunction isParagraph(token) { return token.type === 'paragraph_open'; }\nfunction isListItem(token) { return token.type === 'list_item_open'; }\n\nfunction startsWithTodoMarkdown(token) {\n\t// leading whitespace in a list item is already trimmed off by markdown-it\n\treturn token.content.indexOf('[ ] ') === 0 || token.content.indexOf('[x] ') === 0 || token.content.indexOf('[X] ') === 0;\n}\n","/*\n * Copyright 2018 Google Inc. All rights reserved.\n *\n * Licensed under the Apache License, Version 2.0 (the \"License\"); you may not\n * use this file except in compliance with the License. You may obtain a copy of\n * the License at\n *\n * http://www.apache.org/licenses/LICENSE-2.0\n *\n * Unless required by applicable law or agreed to in writing, software\n * distributed under the License is distributed on an \"AS IS\" BASIS, WITHOUT\n * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the\n * License for the specific language governing permissions and limitations under\n * the License.\n */\n\n'use strict';\n\n(function(scope) {\n if (scope['Proxy']) {\n return;\n }\n scope.Proxy = require('./proxy.js')();\n scope.Proxy['revocable'] = scope.Proxy.revocable;\n})(\n ('undefined' !== typeof process &&\n '[object process]' === {}.toString.call(process)) ||\n ('undefined' !== typeof navigator && navigator.product === 'ReactNative')\n ? global\n : self\n);\n\n","/*\n * Copyright 2016 Google Inc. All rights reserved.\n *\n * Licensed under the Apache License, Version 2.0 (the \"License\"); you may not\n * use this file except in compliance with the License. You may obtain a copy of\n * the License at\n *\n * http://www.apache.org/licenses/LICENSE-2.0\n *\n * Unless required by applicable law or agreed to in writing, software\n * distributed under the License is distributed on an \"AS IS\" BASIS, WITHOUT\n * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the\n * License for the specific language governing permissions and limitations under\n * the License.\n */\n\nmodule.exports = function proxyPolyfill() {\n let lastRevokeFn = null;\n let ProxyPolyfill;\n\n /**\n * @param {*} o\n * @return {boolean} whether this is probably a (non-null) Object\n */\n function isObject(o) {\n return o ? (typeof o === 'object' || typeof o === 'function') : false;\n }\n\n /**\n * @constructor\n * @param {!Object} target\n * @param {{apply, construct, get, set}} handler\n */\n ProxyPolyfill = function(target, handler) {\n if (!isObject(target) || !isObject(handler)) {\n throw new TypeError('Cannot create proxy with a non-object as target or handler');\n }\n\n // Construct revoke function, and set lastRevokeFn so that Proxy.revocable can steal it.\n // The caller might get the wrong revoke function if a user replaces or wraps scope.Proxy\n // to call itself, but that seems unlikely especially when using the polyfill.\n let throwRevoked = function() {};\n lastRevokeFn = function() {\n /** @suppress {checkTypes} */\n target = null; // clear ref\n throwRevoked = function(trap) {\n throw new TypeError(`Cannot perform '${trap}' on a proxy that has been revoked`);\n };\n };\n setTimeout(function() {\n lastRevokeFn = null;\n }, 0);\n\n // Fail on unsupported traps: Chrome doesn't do this, but ensure that users of the polyfill\n // are a bit more careful. Copy the internal parts of handler to prevent user changes.\n const unsafeHandler = handler;\n handler = { 'get': null, 'set': null, 'apply': null, 'construct': null };\n for (let k in unsafeHandler) {\n if (!(k in handler)) {\n throw new TypeError(`Proxy polyfill does not support trap '${k}'`);\n }\n handler[k] = unsafeHandler[k];\n }\n if (typeof unsafeHandler === 'function') {\n // Allow handler to be a function (which has an 'apply' method). This matches what is\n // probably a bug in native versions. It treats the apply call as a trap to be configured.\n handler.apply = unsafeHandler.apply.bind(unsafeHandler);\n }\n\n // Define proxy as this, or a Function (if either it's callable, or apply is set).\n // TODO(samthor): Closure compiler doesn't know about 'construct', attempts to rename it.\n let proxy = this;\n let isMethod = false;\n let isArray = false;\n if (typeof target === 'function') {\n proxy = function ProxyPolyfill() {\n const usingNew = (this && this.constructor === proxy);\n const args = Array.prototype.slice.call(arguments);\n throwRevoked(usingNew ? 'construct' : 'apply');\n\n if (usingNew && handler['construct']) {\n return handler['construct'].call(this, target, args);\n } else if (!usingNew && handler.apply) {\n return handler['apply'](target, this, args);\n }\n\n // since the target was a function, fallback to calling it directly.\n if (usingNew) {\n // inspired by answers to https://stackoverflow.com/q/1606797\n args.unshift(target); // pass class as first arg to constructor, although irrelevant\n // nb. cast to convince Closure compiler that this is a constructor\n const f = /** @type {!Function} */ (target.bind.apply(target, args));\n return new f();\n }\n return target.apply(this, args);\n };\n isMethod = true;\n } else if (target instanceof Array) {\n proxy = [];\n isArray = true;\n }\n\n // Create default getters/setters. Create different code paths as handler.get/handler.set can't\n // change after creation.\n const getter = handler.get ? function(prop) {\n throwRevoked('get');\n return handler.get(this, prop, proxy);\n } : function(prop) {\n throwRevoked('get');\n return this[prop];\n };\n const setter = handler.set ? function(prop, value) {\n throwRevoked('set');\n const status = handler.set(this, prop, value, proxy);\n // TODO(samthor): If the calling code is in strict mode, throw TypeError.\n // if (!status) {\n // It's (sometimes) possible to work this out, if this code isn't strict- try to load the\n // callee, and if it's available, that code is non-strict. However, this isn't exhaustive.\n // }\n } : function(prop, value) {\n throwRevoked('set');\n this[prop] = value;\n };\n\n // Clone direct properties (i.e., not part of a prototype).\n const propertyNames = Object.getOwnPropertyNames(target);\n const propertyMap = {};\n propertyNames.forEach(function(prop) {\n if ((isMethod || isArray) && prop in proxy) {\n return; // ignore properties already here, e.g. 'bind', 'prototype' etc\n }\n const real = Object.getOwnPropertyDescriptor(target, prop);\n const desc = {\n enumerable: !!real.enumerable,\n get: getter.bind(target, prop),\n set: setter.bind(target, prop),\n };\n Object.defineProperty(proxy, prop, desc);\n propertyMap[prop] = true;\n });\n\n // Set the prototype, or clone all prototype methods (always required if a getter is provided).\n // TODO(samthor): We don't allow prototype methods to be set. It's (even more) awkward.\n // An alternative here would be to _just_ clone methods to keep behavior consistent.\n let prototypeOk = true;\n if (Object.setPrototypeOf) {\n Object.setPrototypeOf(proxy, Object.getPrototypeOf(target));\n } else if (proxy.__proto__) {\n proxy.__proto__ = target.__proto__;\n } else {\n prototypeOk = false;\n }\n if (handler.get || !prototypeOk) {\n for (let k in target) {\n if (propertyMap[k]) {\n continue;\n }\n Object.defineProperty(proxy, k, { get: getter.bind(target, k) });\n }\n }\n\n // The Proxy polyfill cannot handle adding new properties. Seal the target and proxy.\n Object.seal(target);\n Object.seal(proxy);\n\n return proxy; // nb. if isMethod is true, proxy != this\n };\n\n ProxyPolyfill.revocable = function(target, handler) {\n const p = new ProxyPolyfill(target, handler);\n return { 'proxy': p, 'revoke': lastRevokeFn };\n };\n\n return ProxyPolyfill;\n}","import { Schema, Mark } from 'prosemirror-model';\nimport markdownit from 'markdown-it';\n\n// ::Schema Document schema for the data model used by CommonMark.\nvar schema = new Schema({\n nodes: {\n doc: {\n content: \"block+\"\n },\n\n paragraph: {\n content: \"inline*\",\n group: \"block\",\n parseDOM: [{tag: \"p\"}],\n toDOM: function toDOM() { return [\"p\", 0] }\n },\n\n blockquote: {\n content: \"block+\",\n group: \"block\",\n parseDOM: [{tag: \"blockquote\"}],\n toDOM: function toDOM() { return [\"blockquote\", 0] }\n },\n\n horizontal_rule: {\n group: \"block\",\n parseDOM: [{tag: \"hr\"}],\n toDOM: function toDOM() { return [\"div\", [\"hr\"]] }\n },\n\n heading: {\n attrs: {level: {default: 1}},\n content: \"(text | image)*\",\n group: \"block\",\n defining: true,\n parseDOM: [{tag: \"h1\", attrs: {level: 1}},\n {tag: \"h2\", attrs: {level: 2}},\n {tag: \"h3\", attrs: {level: 3}},\n {tag: \"h4\", attrs: {level: 4}},\n {tag: \"h5\", attrs: {level: 5}},\n {tag: \"h6\", attrs: {level: 6}}],\n toDOM: function toDOM(node) { return [\"h\" + node.attrs.level, 0] }\n },\n\n code_block: {\n content: \"text*\",\n group: \"block\",\n code: true,\n defining: true,\n marks: \"\",\n attrs: {params: {default: \"\"}},\n parseDOM: [{tag: \"pre\", preserveWhitespace: \"full\", getAttrs: function (node) { return (\n {params: node.getAttribute(\"data-params\") || \"\"}\n ); }}],\n toDOM: function toDOM(node) { return [\"pre\", node.attrs.params ? {\"data-params\": node.attrs.params} : {}, [\"code\", 0]] }\n },\n\n ordered_list: {\n content: \"list_item+\",\n group: \"block\",\n attrs: {order: {default: 1}, tight: {default: false}},\n parseDOM: [{tag: \"ol\", getAttrs: function getAttrs(dom) {\n return {order: dom.hasAttribute(\"start\") ? +dom.getAttribute(\"start\") : 1,\n tight: dom.hasAttribute(\"data-tight\")}\n }}],\n toDOM: function toDOM(node) {\n return [\"ol\", {start: node.attrs.order == 1 ? null : node.attrs.order,\n \"data-tight\": node.attrs.tight ? \"true\" : null}, 0]\n }\n },\n\n bullet_list: {\n content: \"list_item+\",\n group: \"block\",\n attrs: {tight: {default: false}},\n parseDOM: [{tag: \"ul\", getAttrs: function (dom) { return ({tight: dom.hasAttribute(\"data-tight\")}); }}],\n toDOM: function toDOM(node) { return [\"ul\", {\"data-tight\": node.attrs.tight ? \"true\" : null}, 0] }\n },\n\n list_item: {\n content: \"paragraph block*\",\n defining: true,\n parseDOM: [{tag: \"li\"}],\n toDOM: function toDOM() { return [\"li\", 0] }\n },\n\n text: {\n group: \"inline\"\n },\n\n image: {\n inline: true,\n attrs: {\n src: {},\n alt: {default: null},\n title: {default: null}\n },\n group: \"inline\",\n draggable: true,\n parseDOM: [{tag: \"img[src]\", getAttrs: function getAttrs(dom) {\n return {\n src: dom.getAttribute(\"src\"),\n title: dom.getAttribute(\"title\"),\n alt: dom.getAttribute(\"alt\")\n }\n }}],\n toDOM: function toDOM(node) { return [\"img\", node.attrs] }\n },\n\n hard_break: {\n inline: true,\n group: \"inline\",\n selectable: false,\n parseDOM: [{tag: \"br\"}],\n toDOM: function toDOM() { return [\"br\"] }\n }\n },\n\n marks: {\n em: {\n parseDOM: [{tag: \"i\"}, {tag: \"em\"},\n {style: \"font-style\", getAttrs: function (value) { return value == \"italic\" && null; }}],\n toDOM: function toDOM() { return [\"em\"] }\n },\n\n strong: {\n parseDOM: [{tag: \"b\"}, {tag: \"strong\"},\n {style: \"font-weight\", getAttrs: function (value) { return /^(bold(er)?|[5-9]\\d{2,})$/.test(value) && null; }}],\n toDOM: function toDOM() { return [\"strong\"] }\n },\n\n link: {\n attrs: {\n href: {},\n title: {default: null}\n },\n inclusive: false,\n parseDOM: [{tag: \"a[href]\", getAttrs: function getAttrs(dom) {\n return {href: dom.getAttribute(\"href\"), title: dom.getAttribute(\"title\")}\n }}],\n toDOM: function toDOM(node) { return [\"a\", node.attrs] }\n },\n\n code: {\n parseDOM: [{tag: \"code\"}],\n toDOM: function toDOM() { return [\"code\"] }\n }\n }\n});\n\nfunction maybeMerge(a, b) {\n if (a.isText && b.isText && Mark.sameSet(a.marks, b.marks))\n { return a.withText(a.text + b.text) }\n}\n\n// Object used to track the context of a running parse.\nvar MarkdownParseState = function MarkdownParseState(schema, tokenHandlers) {\n this.schema = schema;\n this.stack = [{type: schema.topNodeType, content: []}];\n this.marks = Mark.none;\n this.tokenHandlers = tokenHandlers;\n};\n\nMarkdownParseState.prototype.top = function top () {\n return this.stack[this.stack.length - 1]\n};\n\nMarkdownParseState.prototype.push = function push (elt) {\n if (this.stack.length) { this.top().content.push(elt); }\n};\n\n// : (string)\n// Adds the given text to the current position in the document,\n// using the current marks as styling.\nMarkdownParseState.prototype.addText = function addText (text) {\n if (!text) { return }\n var nodes = this.top().content, last = nodes[nodes.length - 1];\n var node = this.schema.text(text, this.marks), merged;\n if (last && (merged = maybeMerge(last, node))) { nodes[nodes.length - 1] = merged; }\n else { nodes.push(node); }\n};\n\n// : (Mark)\n// Adds the given mark to the set of active marks.\nMarkdownParseState.prototype.openMark = function openMark (mark) {\n this.marks = mark.addToSet(this.marks);\n};\n\n// : (Mark)\n// Removes the given mark from the set of active marks.\nMarkdownParseState.prototype.closeMark = function closeMark (mark) {\n this.marks = mark.removeFromSet(this.marks);\n};\n\nMarkdownParseState.prototype.parseTokens = function parseTokens (toks) {\n for (var i = 0; i < toks.length; i++) {\n var tok = toks[i];\n var handler = this.tokenHandlers[tok.type];\n if (!handler)\n { throw new Error(\"Token type `\" + tok.type + \"` not supported by Markdown parser\") }\n handler(this, tok);\n }\n};\n\n// : (NodeType, ?Object, ?[Node]) → ?Node\n// Add a node at the current position.\nMarkdownParseState.prototype.addNode = function addNode (type, attrs, content) {\n var node = type.createAndFill(attrs, content, this.marks);\n if (!node) { return null }\n this.push(node);\n return node\n};\n\n// : (NodeType, ?Object)\n// Wrap subsequent content in a node of the given type.\nMarkdownParseState.prototype.openNode = function openNode (type, attrs) {\n this.stack.push({type: type, attrs: attrs, content: []});\n};\n\n// : () → ?Node\n// Close and return the node that is currently on top of the stack.\nMarkdownParseState.prototype.closeNode = function closeNode () {\n if (this.marks.length) { this.marks = Mark.none; }\n var info = this.stack.pop();\n return this.addNode(info.type, info.attrs, info.content)\n};\n\nfunction attrs(spec, token) {\n if (spec.getAttrs) { return spec.getAttrs(token) }\n // For backwards compatibility when `attrs` is a Function\n else if (spec.attrs instanceof Function) { return spec.attrs(token) }\n else { return spec.attrs }\n}\n\n// Code content is represented as a single token with a `content`\n// property in Markdown-it.\nfunction noOpenClose(type) {\n return type == \"code_inline\" || type == \"code_block\" || type == \"fence\"\n}\n\nfunction withoutTrailingNewline(str) {\n return str[str.length - 1] == \"\\n\" ? str.slice(0, str.length - 1) : str\n}\n\nfunction noOp() {}\n\nfunction tokenHandlers(schema, tokens) {\n var handlers = Object.create(null);\n var loop = function ( type ) {\n var spec = tokens[type];\n if (spec.block) {\n var nodeType = schema.nodeType(spec.block);\n if (noOpenClose(type)) {\n handlers[type] = function (state, tok) {\n state.openNode(nodeType, attrs(spec, tok));\n state.addText(withoutTrailingNewline(tok.content));\n state.closeNode();\n };\n } else {\n handlers[type + \"_open\"] = function (state, tok) { return state.openNode(nodeType, attrs(spec, tok)); };\n handlers[type + \"_close\"] = function (state) { return state.closeNode(); };\n }\n } else if (spec.node) {\n var nodeType$1 = schema.nodeType(spec.node);\n handlers[type] = function (state, tok) { return state.addNode(nodeType$1, attrs(spec, tok)); };\n } else if (spec.mark) {\n var markType = schema.marks[spec.mark];\n if (noOpenClose(type)) {\n handlers[type] = function (state, tok) {\n state.openMark(markType.create(attrs(spec, tok)));\n state.addText(withoutTrailingNewline(tok.content));\n state.closeMark(markType);\n };\n } else {\n handlers[type + \"_open\"] = function (state, tok) { return state.openMark(markType.create(attrs(spec, tok))); };\n handlers[type + \"_close\"] = function (state) { return state.closeMark(markType); };\n }\n } else if (spec.ignore) {\n if (noOpenClose(type)) {\n handlers[type] = noOp;\n } else {\n handlers[type + '_open'] = noOp;\n handlers[type + '_close'] = noOp;\n }\n } else {\n throw new RangeError(\"Unrecognized parsing spec \" + JSON.stringify(spec))\n }\n };\n\n for (var type in tokens) loop( type );\n\n handlers.text = function (state, tok) { return state.addText(tok.content); };\n handlers.inline = function (state, tok) { return state.parseTokens(tok.children); };\n handlers.softbreak = handlers.softbreak || (function (state) { return state.addText(\"\\n\"); });\n\n return handlers\n}\n\n// ::- A configuration of a Markdown parser. Such a parser uses\n// [markdown-it](https://github.com/markdown-it/markdown-it) to\n// tokenize a file, and then runs the custom rules it is given over\n// the tokens to create a ProseMirror document tree.\nvar MarkdownParser = function MarkdownParser(schema, tokenizer, tokens) {\n // :: Object The value of the `tokens` object used to construct\n // this parser. Can be useful to copy and modify to base other\n // parsers on.\n this.tokens = tokens;\n this.schema = schema;\n this.tokenizer = tokenizer;\n this.tokenHandlers = tokenHandlers(schema, tokens);\n};\n\n// :: (string) → Node\n// Parse a string as [CommonMark](http://commonmark.org/) markup,\n// and create a ProseMirror document as prescribed by this parser's\n// rules.\nMarkdownParser.prototype.parse = function parse (text) {\n var state = new MarkdownParseState(this.schema, this.tokenHandlers), doc;\n state.parseTokens(this.tokenizer.parse(text, {}));\n do { doc = state.closeNode(); } while (state.stack.length)\n return doc\n};\n\n// :: MarkdownParser\n// A parser parsing unextended [CommonMark](http://commonmark.org/),\n// without inline HTML, and producing a document in the basic schema.\nvar defaultMarkdownParser = new MarkdownParser(schema, markdownit(\"commonmark\", {html: false}), {\n blockquote: {block: \"blockquote\"},\n paragraph: {block: \"paragraph\"},\n list_item: {block: \"list_item\"},\n bullet_list: {block: \"bullet_list\"},\n ordered_list: {block: \"ordered_list\", getAttrs: function (tok) { return ({order: +tok.attrGet(\"start\") || 1}); }},\n heading: {block: \"heading\", getAttrs: function (tok) { return ({level: +tok.tag.slice(1)}); }},\n code_block: {block: \"code_block\"},\n fence: {block: \"code_block\", getAttrs: function (tok) { return ({params: tok.info || \"\"}); }},\n hr: {node: \"horizontal_rule\"},\n image: {node: \"image\", getAttrs: function (tok) { return ({\n src: tok.attrGet(\"src\"),\n title: tok.attrGet(\"title\") || null,\n alt: tok.children[0] && tok.children[0].content || null\n }); }},\n hardbreak: {node: \"hard_break\"},\n\n em: {mark: \"em\"},\n strong: {mark: \"strong\"},\n link: {mark: \"link\", getAttrs: function (tok) { return ({\n href: tok.attrGet(\"href\"),\n title: tok.attrGet(\"title\") || null\n }); }},\n code_inline: {mark: \"code\"}\n});\n\n// ::- A specification for serializing a ProseMirror document as\n// Markdown/CommonMark text.\nvar MarkdownSerializer = function MarkdownSerializer(nodes, marks) {\n // :: Object<(MarkdownSerializerState, Node)> The node serializer\n // functions for this serializer.\n this.nodes = nodes;\n // :: Object The mark serializer info.\n this.marks = marks;\n};\n\n// :: (Node, ?Object) → string\n// Serialize the content of the given node to\n// [CommonMark](http://commonmark.org/).\nMarkdownSerializer.prototype.serialize = function serialize (content, options) {\n var state = new MarkdownSerializerState(this.nodes, this.marks, options);\n state.renderContent(content);\n return state.out\n};\n\n// :: MarkdownSerializer\n// A serializer for the [basic schema](#schema).\nvar defaultMarkdownSerializer = new MarkdownSerializer({\n blockquote: function blockquote(state, node) {\n state.wrapBlock(\"> \", null, node, function () { return state.renderContent(node); });\n },\n code_block: function code_block(state, node) {\n state.write(\"```\" + (node.attrs.params || \"\") + \"\\n\");\n state.text(node.textContent, false);\n state.ensureNewLine();\n state.write(\"```\");\n state.closeBlock(node);\n },\n heading: function heading(state, node) {\n state.write(state.repeat(\"#\", node.attrs.level) + \" \");\n state.renderInline(node);\n state.closeBlock(node);\n },\n horizontal_rule: function horizontal_rule(state, node) {\n state.write(node.attrs.markup || \"---\");\n state.closeBlock(node);\n },\n bullet_list: function bullet_list(state, node) {\n state.renderList(node, \" \", function () { return (node.attrs.bullet || \"*\") + \" \"; });\n },\n ordered_list: function ordered_list(state, node) {\n var start = node.attrs.order || 1;\n var maxW = String(start + node.childCount - 1).length;\n var space = state.repeat(\" \", maxW + 2);\n state.renderList(node, space, function (i) {\n var nStr = String(start + i);\n return state.repeat(\" \", maxW - nStr.length) + nStr + \". \"\n });\n },\n list_item: function list_item(state, node) {\n state.renderContent(node);\n },\n paragraph: function paragraph(state, node) {\n state.renderInline(node);\n state.closeBlock(node);\n },\n\n image: function image(state, node) {\n state.write(\"![\" + state.esc(node.attrs.alt || \"\") + \"](\" + state.esc(node.attrs.src) +\n (node.attrs.title ? \" \" + state.quote(node.attrs.title) : \"\") + \")\");\n },\n hard_break: function hard_break(state, node, parent, index) {\n for (var i = index + 1; i < parent.childCount; i++)\n { if (parent.child(i).type != node.type) {\n state.write(\"\\\\\\n\");\n return\n } }\n },\n text: function text(state, node) {\n state.text(node.text);\n }\n}, {\n em: {open: \"*\", close: \"*\", mixable: true, expelEnclosingWhitespace: true},\n strong: {open: \"**\", close: \"**\", mixable: true, expelEnclosingWhitespace: true},\n link: {\n open: function open(_state, mark, parent, index) {\n return isPlainURL(mark, parent, index, 1) ? \"<\" : \"[\"\n },\n close: function close(state, mark, parent, index) {\n return isPlainURL(mark, parent, index, -1) ? \">\"\n : \"](\" + state.esc(mark.attrs.href) + (mark.attrs.title ? \" \" + state.quote(mark.attrs.title) : \"\") + \")\"\n }\n },\n code: {open: function open(_state, _mark, parent, index) { return backticksFor(parent.child(index), -1) },\n close: function close(_state, _mark, parent, index) { return backticksFor(parent.child(index - 1), 1) },\n escape: false}\n});\n\nfunction backticksFor(node, side) {\n var ticks = /`+/g, m, len = 0;\n if (node.isText) { while (m = ticks.exec(node.text)) { len = Math.max(len, m[0].length); } }\n var result = len > 0 && side > 0 ? \" `\" : \"`\";\n for (var i = 0; i < len; i++) { result += \"`\"; }\n if (len > 0 && side < 0) { result += \" \"; }\n return result\n}\n\nfunction isPlainURL(link, parent, index, side) {\n if (link.attrs.title || !/^\\w+:/.test(link.attrs.href)) { return false }\n var content = parent.child(index + (side < 0 ? -1 : 0));\n if (!content.isText || content.text != link.attrs.href || content.marks[content.marks.length - 1] != link) { return false }\n if (index == (side < 0 ? 1 : parent.childCount - 1)) { return true }\n var next = parent.child(index + (side < 0 ? -2 : 1));\n return !link.isInSet(next.marks)\n}\n\n// ::- This is an object used to track state and expose\n// methods related to markdown serialization. Instances are passed to\n// node and mark serialization methods (see `toMarkdown`).\nvar MarkdownSerializerState = function MarkdownSerializerState(nodes, marks, options) {\n this.nodes = nodes;\n this.marks = marks;\n this.delim = this.out = \"\";\n this.closed = false;\n this.inTightList = false;\n // :: Object\n // The options passed to the serializer.\n // tightLists:: ?bool\n // Whether to render lists in a tight style. This can be overridden\n // on a node level by specifying a tight attribute on the node.\n // Defaults to false.\n this.options = options || {};\n if (typeof this.options.tightLists == \"undefined\")\n { this.options.tightLists = false; }\n};\n\nMarkdownSerializerState.prototype.flushClose = function flushClose (size) {\n if (this.closed) {\n if (!this.atBlank()) { this.out += \"\\n\"; }\n if (size == null) { size = 2; }\n if (size > 1) {\n var delimMin = this.delim;\n var trim = /\\s+$/.exec(delimMin);\n if (trim) { delimMin = delimMin.slice(0, delimMin.length - trim[0].length); }\n for (var i = 1; i < size; i++)\n { this.out += delimMin + \"\\n\"; }\n }\n this.closed = false;\n }\n};\n\n// :: (string, ?string, Node, ())\n// Render a block, prefixing each line with `delim`, and the first\n// line in `firstDelim`. `node` should be the node that is closed at\n// the end of the block, and `f` is a function that renders the\n// content of the block.\nMarkdownSerializerState.prototype.wrapBlock = function wrapBlock (delim, firstDelim, node, f) {\n var old = this.delim;\n this.write(firstDelim || delim);\n this.delim += delim;\n f();\n this.delim = old;\n this.closeBlock(node);\n};\n\nMarkdownSerializerState.prototype.atBlank = function atBlank () {\n return /(^|\\n)$/.test(this.out)\n};\n\n// :: ()\n// Ensure the current content ends with a newline.\nMarkdownSerializerState.prototype.ensureNewLine = function ensureNewLine () {\n if (!this.atBlank()) { this.out += \"\\n\"; }\n};\n\n// :: (?string)\n// Prepare the state for writing output (closing closed paragraphs,\n// adding delimiters, and so on), and then optionally add content\n// (unescaped) to the output.\nMarkdownSerializerState.prototype.write = function write (content) {\n this.flushClose();\n if (this.delim && this.atBlank())\n { this.out += this.delim; }\n if (content) { this.out += content; }\n};\n\n// :: (Node)\n// Close the block for the given node.\nMarkdownSerializerState.prototype.closeBlock = function closeBlock (node) {\n this.closed = node;\n};\n\n// :: (string, ?bool)\n// Add the given text to the document. When escape is not `false`,\n// it will be escaped.\nMarkdownSerializerState.prototype.text = function text (text$1, escape) {\n var lines = text$1.split(\"\\n\");\n for (var i = 0; i < lines.length; i++) {\n var startOfLine = this.atBlank() || this.closed;\n this.write();\n this.out += escape !== false ? this.esc(lines[i], startOfLine) : lines[i];\n if (i != lines.length - 1) { this.out += \"\\n\"; }\n }\n};\n\n// :: (Node)\n// Render the given node as a block.\nMarkdownSerializerState.prototype.render = function render (node, parent, index) {\n if (typeof parent == \"number\") { throw new Error(\"!\") }\n this.nodes[node.type.name](this, node, parent, index);\n};\n\n// :: (Node)\n// Render the contents of `parent` as block nodes.\nMarkdownSerializerState.prototype.renderContent = function renderContent (parent) {\n var this$1 = this;\n\n parent.forEach(function (node, _, i) { return this$1.render(node, parent, i); });\n};\n\n// :: (Node)\n// Render the contents of `parent` as inline content.\nMarkdownSerializerState.prototype.renderInline = function renderInline (parent) {\n var this$1 = this;\n\n var active = [], trailing = \"\";\n var progress = function (node, _, index) {\n var marks = node ? node.marks : [];\n\n // Remove marks from `hard_break` that are the last node inside\n // that mark to prevent parser edge cases with new lines just\n // before closing marks.\n // (FIXME it'd be nice if we had a schema-agnostic way to\n // identify nodes that serialize as hard breaks)\n if (node && node.type.name === \"hard_break\")\n { marks = marks.filter(function (m) {\n if (index + 1 == parent.childCount) { return false }\n var next = parent.child(index + 1);\n return m.isInSet(next.marks) && (!next.isText || /\\S/.test(next.text))\n }); }\n\n var leading = trailing;\n trailing = \"\";\n // If whitespace has to be expelled from the node, adjust\n // leading and trailing accordingly.\n if (node && node.isText && marks.some(function (mark) {\n var info = this$1.marks[mark.type.name];\n return info && info.expelEnclosingWhitespace\n })) {\n var ref = /^(\\s*)(.*?)(\\s*)$/m.exec(node.text);\n var _$1 = ref[0];\n var lead = ref[1];\n var inner$1 = ref[2];\n var trail = ref[3];\n leading += lead;\n trailing = trail;\n if (lead || trail) {\n node = inner$1 ? node.withText(inner$1) : null;\n if (!node) { marks = active; }\n }\n }\n\n var inner = marks.length && marks[marks.length - 1], noEsc = inner && this$1.marks[inner.type.name].escape === false;\n var len = marks.length - (noEsc ? 1 : 0);\n\n // Try to reorder 'mixable' marks, such as em and strong, which\n // in Markdown may be opened and closed in different order, so\n // that order of the marks for the token matches the order in\n // active.\n outer: for (var i = 0; i < len; i++) {\n var mark = marks[i];\n if (!this$1.marks[mark.type.name].mixable) { break }\n for (var j = 0; j < active.length; j++) {\n var other = active[j];\n if (!this$1.marks[other.type.name].mixable) { break }\n if (mark.eq(other)) {\n if (i > j)\n { marks = marks.slice(0, j).concat(mark).concat(marks.slice(j, i)).concat(marks.slice(i + 1, len)); }\n else if (j > i)\n { marks = marks.slice(0, i).concat(marks.slice(i + 1, j)).concat(mark).concat(marks.slice(j, len)); }\n continue outer\n }\n }\n }\n\n // Find the prefix of the mark set that didn't change\n var keep = 0;\n while (keep < Math.min(active.length, len) && marks[keep].eq(active[keep])) { ++keep; }\n\n // Close the marks that need to be closed\n while (keep < active.length)\n { this$1.text(this$1.markString(active.pop(), false, parent, index), false); }\n\n // Output any previously expelled trailing whitespace outside the marks\n if (leading) { this$1.text(leading); }\n\n // Open the marks that need to be opened\n if (node) {\n while (active.length < len) {\n var add = marks[active.length];\n active.push(add);\n this$1.text(this$1.markString(add, true, parent, index), false);\n }\n\n // Render the node. Special case code marks, since their content\n // may not be escaped.\n if (noEsc && node.isText)\n { this$1.text(this$1.markString(inner, true, parent, index) + node.text +\n this$1.markString(inner, false, parent, index + 1), false); }\n else\n { this$1.render(node, parent, index); }\n }\n };\n parent.forEach(progress);\n progress(null, null, parent.childCount);\n};\n\n// :: (Node, string, (number) → string)\n// Render a node's content as a list. `delim` should be the extra\n// indentation added to all lines except the first in an item,\n// `firstDelim` is a function going from an item index to a\n// delimiter for the first line of the item.\nMarkdownSerializerState.prototype.renderList = function renderList (node, delim, firstDelim) {\n var this$1 = this;\n\n if (this.closed && this.closed.type == node.type)\n { this.flushClose(3); }\n else if (this.inTightList)\n { this.flushClose(1); }\n\n var isTight = typeof node.attrs.tight != \"undefined\" ? node.attrs.tight : this.options.tightLists;\n var prevTight = this.inTightList;\n this.inTightList = isTight;\n node.forEach(function (child, _, i) {\n if (i && isTight) { this$1.flushClose(1); }\n this$1.wrapBlock(delim, firstDelim(i), node, function () { return this$1.render(child, node, i); });\n });\n this.inTightList = prevTight;\n};\n\n// :: (string, ?bool) → string\n// Escape the given string so that it can safely appear in Markdown\n// content. If `startOfLine` is true, also escape characters that\n// has special meaning only at the start of the line.\nMarkdownSerializerState.prototype.esc = function esc (str, startOfLine) {\n str = str.replace(/[`*\\\\~\\[\\]]/g, \"\\\\$&\");\n if (startOfLine) { str = str.replace(/^[:#\\-*+]/, \"\\\\$&\").replace(/^(\\d+)\\./, \"$1\\\\.\"); }\n return str\n};\n\nMarkdownSerializerState.prototype.quote = function quote (str) {\n var wrap = str.indexOf('\"') == -1 ? '\"\"' : str.indexOf(\"'\") == -1 ? \"''\" : \"()\";\n return wrap[0] + str + wrap[1]\n};\n\n// :: (string, number) → string\n// Repeat the given string `n` times.\nMarkdownSerializerState.prototype.repeat = function repeat (str, n) {\n var out = \"\";\n for (var i = 0; i < n; i++) { out += str; }\n return out\n};\n\n// : (Mark, bool, string?) → string\n// Get the markdown string for a given opening or closing mark.\nMarkdownSerializerState.prototype.markString = function markString (mark, open, parent, index) {\n var info = this.marks[mark.type.name];\n var value = open ? info.open : info.close;\n return typeof value == \"string\" ? value : value(this, mark, parent, index)\n};\n\n// :: (string) → { leading: ?string, trailing: ?string }\n// Get leading and trailing whitespace from a string. Values of\n// leading or trailing property of the return object will be undefined\n// if there is no match.\nMarkdownSerializerState.prototype.getEnclosingWhitespace = function getEnclosingWhitespace (text) {\n return {\n leading: (text.match(/^(\\s+)/) || [])[0],\n trailing: (text.match(/(\\s+)$/) || [])[0]\n }\n};\n\nexport { MarkdownParser, MarkdownSerializer, MarkdownSerializerState, defaultMarkdownParser, defaultMarkdownSerializer, schema };\n//# sourceMappingURL=index.es.js.map\n"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/core-js/internals/fails.js","webpack:///./node_modules/core-js/internals/well-known-symbol.js","webpack:///./node_modules/core-js/internals/global.js","webpack:///./node_modules/axios/lib/utils.js","webpack:///./node_modules/core-js/internals/has.js","webpack:///./node_modules/core-js/internals/an-object.js","webpack:///./node_modules/core-js/internals/is-object.js","webpack:///./node_modules/core-js/internals/descriptors.js","webpack:///./node_modules/core-js/internals/object-define-property.js","webpack:///./node_modules/core-js/internals/create-non-enumerable-property.js","webpack:///./node_modules/core-js/internals/export.js","webpack:///./node_modules/@nextcloud/router/dist/index.js","webpack:///./node_modules/core-js/internals/redefine.js","webpack:///./node_modules/@nextcloud/event-bus/dist/index.js","webpack:///./node_modules/core-js/internals/to-length.js","webpack:///./node_modules/core-js/internals/to-object.js","webpack:///./node_modules/core-js/internals/iterators.js","webpack:///./node_modules/vue-loader/lib/runtime/componentNormalizer.js","webpack:///./node_modules/core-js/internals/classof-raw.js","webpack:///./node_modules/core-js/internals/internal-state.js","webpack:///./node_modules/core-js/internals/require-object-coercible.js","webpack:///./node_modules/core-js/internals/get-built-in.js","webpack:///./node_modules/core-js/internals/to-integer.js","webpack:///./node_modules/core-js/internals/create-property-descriptor.js","webpack:///./node_modules/core-js/internals/to-indexed-object.js","webpack:///./node_modules/core-js/internals/hidden-keys.js","webpack:///./node_modules/@nextcloud/axios/dist/index.js","webpack:///./node_modules/core-js/internals/function-bind-context.js","webpack:///./node_modules/core-js/internals/array-method-uses-to-length.js","webpack:///./node_modules/core-js/internals/object-create.js","webpack:///./node_modules/core-js/internals/set-to-string-tag.js","webpack:///./node_modules/core-js/internals/to-primitive.js","webpack:///./node_modules/core-js/internals/is-pure.js","webpack:///./node_modules/core-js/internals/indexed-object.js","webpack:///./node_modules/core-js/internals/set-global.js","webpack:///./node_modules/core-js/internals/shared-key.js","webpack:///./node_modules/core-js/internals/uid.js","webpack:///./node_modules/core-js/internals/enum-bug-keys.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/constants.js","webpack:///./node_modules/core-js/internals/define-iterator.js","webpack:///./node_modules/core-js/internals/to-string-tag-support.js","webpack:///./node_modules/core-js/internals/regexp-exec.js","webpack:///(webpack)/buildin/global.js","webpack:///./node_modules/process/browser.js","webpack:///./node_modules/css-loader/dist/runtime/api.js","webpack:///./node_modules/vue-style-loader/lib/listToStyles.js","webpack:///./node_modules/vue-style-loader/lib/addStylesClient.js","webpack:///./node_modules/core-js/modules/es.object.to-string.js","webpack:///./node_modules/core-js/modules/es.array.iterator.js","webpack:///./node_modules/core-js/modules/es.regexp.exec.js","webpack:///./node_modules/core-js/internals/object-get-own-property-descriptor.js","webpack:///./node_modules/core-js/internals/is-forced.js","webpack:///./node_modules/core-js/internals/array-method-has-species-support.js","webpack:///./node_modules/core-js/internals/an-instance.js","webpack:///./node_modules/core-js/modules/es.object.assign.js","webpack:///./node_modules/core-js/internals/array-species-create.js","webpack:///./node_modules/core-js/modules/es.array.concat.js","webpack:///./node_modules/core-js/internals/document-create-element.js","webpack:///./node_modules/core-js/internals/inspect-source.js","webpack:///./node_modules/core-js/internals/array-iteration.js","webpack:///./node_modules/core-js/internals/array-method-is-strict.js","webpack:///./node_modules/core-js/internals/engine-v8-version.js","webpack:///./node_modules/core-js/internals/iterate.js","webpack:///./node_modules/core-js/internals/classof.js","webpack:///./node_modules/core-js/internals/string-multibyte.js","webpack:///./node_modules/core-js/internals/object-property-is-enumerable.js","webpack:///./node_modules/core-js/internals/ie8-dom-define.js","webpack:///./node_modules/core-js/internals/shared-store.js","webpack:///./node_modules/core-js/internals/shared.js","webpack:///./node_modules/core-js/internals/object-keys-internal.js","webpack:///./node_modules/core-js/internals/array-includes.js","webpack:///./node_modules/core-js/internals/object-get-own-property-symbols.js","webpack:///./node_modules/core-js/internals/object-keys.js","webpack:///./node_modules/axios/lib/helpers/bind.js","webpack:///./node_modules/axios/lib/helpers/buildURL.js","webpack:///./node_modules/axios/lib/cancel/isCancel.js","webpack:///./node_modules/axios/lib/defaults.js","webpack:///./node_modules/axios/lib/adapters/xhr.js","webpack:///./node_modules/axios/lib/core/createError.js","webpack:///./node_modules/axios/lib/core/mergeConfig.js","webpack:///./node_modules/axios/lib/cancel/Cancel.js","webpack:///./node_modules/core-js/modules/es.array.for-each.js","webpack:///./node_modules/core-js/internals/array-for-each.js","webpack:///./node_modules/core-js/internals/is-array.js","webpack:///./node_modules/core-js/internals/native-symbol.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/re.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/debug.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/classes/semver.js","webpack:///./node_modules/core-js/internals/iterators-core.js","webpack:///./node_modules/core-js/internals/object-get-prototype-of.js","webpack:///./node_modules/core-js/internals/object-set-prototype-of.js","webpack:///./node_modules/core-js/internals/internal-metadata.js","webpack:///./node_modules/core-js/internals/dom-iterables.js","webpack:///./node_modules/core-js/internals/regexp-flags.js","webpack:///./node_modules/core-js/modules/es.regexp.to-string.js","webpack:///./node_modules/core-js/modules/es.string.iterator.js","webpack:///./node_modules/core-js/modules/es.string.replace.js","webpack:///./node_modules/core-js/modules/web.dom-collections.iterator.js","webpack:///./node_modules/core-js/modules/es.array.index-of.js","webpack:///./node_modules/core-js/internals/a-function.js","webpack:///./node_modules/core-js/internals/create-property.js","webpack:///./node_modules/core-js/internals/get-iterator-method.js","webpack:///./node_modules/core-js/internals/object-get-own-property-names.js","webpack:///./node_modules/core-js/internals/to-absolute-index.js","webpack:///./node_modules/@nextcloud/auth/dist/index.js","webpack:///./node_modules/core-js/modules/es.array.filter.js","webpack:///./node_modules/core-js/internals/inherit-if-required.js","webpack:///./node_modules/core-js/internals/redefine-all.js","webpack:///./node_modules/core-js/internals/object-assign.js","webpack:///./node_modules/core-js/internals/engine-user-agent.js","webpack:///./node_modules/core-js/internals/object-define-properties.js","webpack:///./node_modules/core-js/internals/html.js","webpack:///./node_modules/core-js/internals/create-iterator-constructor.js","webpack:///./node_modules/core-js/internals/is-array-iterator-method.js","webpack:///./node_modules/core-js/internals/call-with-safe-iteration-closing.js","webpack:///./node_modules/core-js/internals/check-correctness-of-iteration.js","webpack:///./node_modules/core-js/internals/set-species.js","webpack:///./node_modules/core-js/internals/fix-regexp-well-known-symbol-logic.js","webpack:///./node_modules/core-js/internals/advance-string-index.js","webpack:///./node_modules/core-js/internals/regexp-exec-abstract.js","webpack:///./node_modules/core-js/internals/native-weak-map.js","webpack:///./node_modules/core-js/internals/copy-constructor-properties.js","webpack:///./node_modules/core-js/internals/own-keys.js","webpack:///./node_modules/core-js/internals/path.js","webpack:///./node_modules/axios/index.js","webpack:///./node_modules/axios/lib/axios.js","webpack:///./node_modules/axios/lib/core/Axios.js","webpack:///./node_modules/axios/lib/core/InterceptorManager.js","webpack:///./node_modules/axios/lib/core/dispatchRequest.js","webpack:///./node_modules/axios/lib/core/transformData.js","webpack:///./node_modules/axios/lib/helpers/normalizeHeaderName.js","webpack:///./node_modules/axios/lib/core/settle.js","webpack:///./node_modules/axios/lib/core/enhanceError.js","webpack:///./node_modules/axios/lib/core/buildFullPath.js","webpack:///./node_modules/axios/lib/helpers/isAbsoluteURL.js","webpack:///./node_modules/axios/lib/helpers/combineURLs.js","webpack:///./node_modules/axios/lib/helpers/parseHeaders.js","webpack:///./node_modules/axios/lib/helpers/isURLSameOrigin.js","webpack:///./node_modules/axios/lib/helpers/cookies.js","webpack:///./node_modules/axios/lib/cancel/CancelToken.js","webpack:///./node_modules/axios/lib/helpers/spread.js","webpack:///./node_modules/@nextcloud/auth/dist/requesttoken.js","webpack:///./node_modules/core-js/internals/use-symbol-as-uid.js","webpack:///./node_modules/@nextcloud/event-bus/dist/ProxyBus.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/valid.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/parse.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/internal/identifiers.js","webpack:///./node_modules/@nextcloud/event-bus/node_modules/semver/functions/major.js","webpack:///./node_modules/@nextcloud/event-bus/dist/SimpleBus.js","webpack:///./node_modules/core-js/internals/add-to-unscopables.js","webpack:///./node_modules/core-js/internals/correct-prototype-getter.js","webpack:///./node_modules/core-js/internals/a-possible-prototype.js","webpack:///./node_modules/core-js/modules/es.map.js","webpack:///./node_modules/core-js/internals/collection.js","webpack:///./node_modules/core-js/internals/freezing.js","webpack:///./node_modules/core-js/internals/collection-strong.js","webpack:///./node_modules/core-js/internals/object-to-string.js","webpack:///./node_modules/core-js/modules/web.dom-collections.for-each.js","webpack:///./node_modules/@nextcloud/auth/dist/user.js","webpack:///./node_modules/core-js/internals/regexp-sticky-helpers.js","webpack:///./node_modules/moment/moment.js","webpack:///./node_modules/markdown-it/lib/common/utils.js","webpack:///./node_modules/prosemirror-commands/dist/index.es.js","webpack:///./node_modules/prosemirror-state/dist/index.es.js","webpack:///./node_modules/orderedmap/index.es.js","webpack:///./node_modules/prosemirror-model/dist/index.es.js","webpack:///./node_modules/prosemirror-dropcursor/dist/index.es.js","webpack:///./node_modules/prosemirror-gapcursor/dist/index.es.js","webpack:///./node_modules/tiptap/dist/tiptap.esm.js","webpack:///./node_modules/prosemirror-transform/dist/index.es.js","webpack:///./node_modules/prosemirror-inputrules/dist/index.es.js","webpack:///./node_modules/@nextcloud/vue/dist/Directives/Tooltip.js","webpack:///./node_modules/rope-sequence/dist/index.es.js","webpack:///./node_modules/prosemirror-history/dist/index.es.js","webpack:///./node_modules/tiptap-extensions/dist/extensions.esm.js","webpack:///./node_modules/prosemirror-view/dist/index.es.js","webpack:///./node_modules/tiptap-utils/dist/utils.esm.js","webpack:///./node_modules/prosemirror-utils/dist/index.js","webpack:///./node_modules/prosemirror-schema-list/dist/index.es.js","webpack:///./node_modules/tiptap-commands/dist/commands.esm.js","webpack:///./node_modules/prosemirror-collab/dist/index.es.js","webpack:///./node_modules/uc.micro/categories/P/regex.js","webpack:///./node_modules/markdown-it/lib/ruler.js","webpack:///./node_modules/markdown-it/lib/token.js","webpack:///./node_modules/w3c-keyname/index.es.js","webpack:///./node_modules/prosemirror-keymap/dist/index.es.js","webpack:///./node_modules/escape-html/index.js","webpack:///(webpack)/buildin/module.js","webpack:///./node_modules/moment/locale/af.js","webpack:///./node_modules/moment/locale/ar.js","webpack:///./node_modules/moment/locale/ar-dz.js","webpack:///./node_modules/moment/locale/ar-kw.js","webpack:///./node_modules/moment/locale/ar-ly.js","webpack:///./node_modules/moment/locale/ar-ma.js","webpack:///./node_modules/moment/locale/ar-sa.js","webpack:///./node_modules/moment/locale/ar-tn.js","webpack:///./node_modules/moment/locale/az.js","webpack:///./node_modules/moment/locale/be.js","webpack:///./node_modules/moment/locale/bg.js","webpack:///./node_modules/moment/locale/bm.js","webpack:///./node_modules/moment/locale/bn.js","webpack:///./node_modules/moment/locale/bo.js","webpack:///./node_modules/moment/locale/br.js","webpack:///./node_modules/moment/locale/bs.js","webpack:///./node_modules/moment/locale/ca.js","webpack:///./node_modules/moment/locale/cs.js","webpack:///./node_modules/moment/locale/cv.js","webpack:///./node_modules/moment/locale/cy.js","webpack:///./node_modules/moment/locale/da.js","webpack:///./node_modules/moment/locale/de.js","webpack:///./node_modules/moment/locale/de-at.js","webpack:///./node_modules/moment/locale/de-ch.js","webpack:///./node_modules/moment/locale/dv.js","webpack:///./node_modules/moment/locale/el.js","webpack:///./node_modules/moment/locale/en-SG.js","webpack:///./node_modules/moment/locale/en-au.js","webpack:///./node_modules/moment/locale/en-ca.js","webpack:///./node_modules/moment/locale/en-gb.js","webpack:///./node_modules/moment/locale/en-ie.js","webpack:///./node_modules/moment/locale/en-il.js","webpack:///./node_modules/moment/locale/en-nz.js","webpack:///./node_modules/moment/locale/eo.js","webpack:///./node_modules/moment/locale/es.js","webpack:///./node_modules/moment/locale/es-do.js","webpack:///./node_modules/moment/locale/es-us.js","webpack:///./node_modules/moment/locale/et.js","webpack:///./node_modules/moment/locale/eu.js","webpack:///./node_modules/moment/locale/fa.js","webpack:///./node_modules/moment/locale/fi.js","webpack:///./node_modules/moment/locale/fo.js","webpack:///./node_modules/moment/locale/fr.js","webpack:///./node_modules/moment/locale/fr-ca.js","webpack:///./node_modules/moment/locale/fr-ch.js","webpack:///./node_modules/moment/locale/fy.js","webpack:///./node_modules/moment/locale/ga.js","webpack:///./node_modules/moment/locale/gd.js","webpack:///./node_modules/moment/locale/gl.js","webpack:///./node_modules/moment/locale/gom-latn.js","webpack:///./node_modules/moment/locale/gu.js","webpack:///./node_modules/moment/locale/he.js","webpack:///./node_modules/moment/locale/hi.js","webpack:///./node_modules/moment/locale/hr.js","webpack:///./node_modules/moment/locale/hu.js","webpack:///./node_modules/moment/locale/hy-am.js","webpack:///./node_modules/moment/locale/id.js","webpack:///./node_modules/moment/locale/is.js","webpack:///./node_modules/moment/locale/it.js","webpack:///./node_modules/moment/locale/it-ch.js","webpack:///./node_modules/moment/locale/ja.js","webpack:///./node_modules/moment/locale/jv.js","webpack:///./node_modules/moment/locale/ka.js","webpack:///./node_modules/moment/locale/kk.js","webpack:///./node_modules/moment/locale/km.js","webpack:///./node_modules/moment/locale/kn.js","webpack:///./node_modules/moment/locale/ko.js","webpack:///./node_modules/moment/locale/ku.js","webpack:///./node_modules/moment/locale/ky.js","webpack:///./node_modules/moment/locale/lb.js","webpack:///./node_modules/moment/locale/lo.js","webpack:///./node_modules/moment/locale/lt.js","webpack:///./node_modules/moment/locale/lv.js","webpack:///./node_modules/moment/locale/me.js","webpack:///./node_modules/moment/locale/mi.js","webpack:///./node_modules/moment/locale/mk.js","webpack:///./node_modules/moment/locale/ml.js","webpack:///./node_modules/moment/locale/mn.js","webpack:///./node_modules/moment/locale/mr.js","webpack:///./node_modules/moment/locale/ms.js","webpack:///./node_modules/moment/locale/ms-my.js","webpack:///./node_modules/moment/locale/mt.js","webpack:///./node_modules/moment/locale/my.js","webpack:///./node_modules/moment/locale/nb.js","webpack:///./node_modules/moment/locale/ne.js","webpack:///./node_modules/moment/locale/nl.js","webpack:///./node_modules/moment/locale/nl-be.js","webpack:///./node_modules/moment/locale/nn.js","webpack:///./node_modules/moment/locale/pa-in.js","webpack:///./node_modules/moment/locale/pl.js","webpack:///./node_modules/moment/locale/pt.js","webpack:///./node_modules/moment/locale/pt-br.js","webpack:///./node_modules/moment/locale/ro.js","webpack:///./node_modules/moment/locale/ru.js","webpack:///./node_modules/moment/locale/sd.js","webpack:///./node_modules/moment/locale/se.js","webpack:///./node_modules/moment/locale/si.js","webpack:///./node_modules/moment/locale/sk.js","webpack:///./node_modules/moment/locale/sl.js","webpack:///./node_modules/moment/locale/sq.js","webpack:///./node_modules/moment/locale/sr.js","webpack:///./node_modules/moment/locale/sr-cyrl.js","webpack:///./node_modules/moment/locale/ss.js","webpack:///./node_modules/moment/locale/sv.js","webpack:///./node_modules/moment/locale/sw.js","webpack:///./node_modules/moment/locale/ta.js","webpack:///./node_modules/moment/locale/te.js","webpack:///./node_modules/moment/locale/tet.js","webpack:///./node_modules/moment/locale/tg.js","webpack:///./node_modules/moment/locale/th.js","webpack:///./node_modules/moment/locale/tl-ph.js","webpack:///./node_modules/moment/locale/tlh.js","webpack:///./node_modules/moment/locale/tr.js","webpack:///./node_modules/moment/locale/tzl.js","webpack:///./node_modules/moment/locale/tzm.js","webpack:///./node_modules/moment/locale/tzm-latn.js","webpack:///./node_modules/moment/locale/ug-cn.js","webpack:///./node_modules/moment/locale/uk.js","webpack:///./node_modules/moment/locale/ur.js","webpack:///./node_modules/moment/locale/uz.js","webpack:///./node_modules/moment/locale/uz-latn.js","webpack:///./node_modules/moment/locale/vi.js","webpack:///./node_modules/moment/locale/x-pseudo.js","webpack:///./node_modules/moment/locale/yo.js","webpack:///./node_modules/moment/locale/zh-cn.js","webpack:///./node_modules/moment/locale/zh-hk.js","webpack:///./node_modules/moment/locale/zh-tw.js","webpack:///./node_modules/prosemirror-tables/dist/index.es.js","webpack:///./node_modules/regenerator-runtime/runtime.js","webpack:///./node_modules/markdown-it/index.js","webpack:///./node_modules/markdown-it/lib/common/entities.js","webpack:///./node_modules/mdurl/index.js","webpack:///./node_modules/uc.micro/properties/Any/regex.js","webpack:///./node_modules/uc.micro/categories/Cc/regex.js","webpack:///./node_modules/uc.micro/categories/Z/regex.js","webpack:///./node_modules/markdown-it/lib/common/html_re.js","webpack:///./node_modules/markdown-it/lib/rules_inline/strikethrough.js","webpack:///./node_modules/markdown-it/lib/rules_inline/emphasis.js","webpack:///./node_modules/@nextcloud/moment/dist/index.js","webpack:///./node_modules/lowlight/lib/core.js","webpack:///./node_modules/lowlight/node_modules/highlight.js/lib/core.js","webpack:///./node_modules/fault/index.js","webpack:///./node_modules/format/format.js","webpack:///./node_modules/path-browserify/index.js","webpack:///./node_modules/markdown-it/lib/index.js","webpack:///./node_modules/mdurl/encode.js","webpack:///./node_modules/mdurl/decode.js","webpack:///./node_modules/mdurl/format.js","webpack:///./node_modules/mdurl/parse.js","webpack:///./node_modules/uc.micro/index.js","webpack:///./node_modules/uc.micro/categories/Cf/regex.js","webpack:///./node_modules/markdown-it/lib/helpers/index.js","webpack:///./node_modules/markdown-it/lib/helpers/parse_link_label.js","webpack:///./node_modules/markdown-it/lib/helpers/parse_link_destination.js","webpack:///./node_modules/markdown-it/lib/helpers/parse_link_title.js","webpack:///./node_modules/markdown-it/lib/renderer.js","webpack:///./node_modules/markdown-it/lib/parser_core.js","webpack:///./node_modules/markdown-it/lib/rules_core/normalize.js","webpack:///./node_modules/markdown-it/lib/rules_core/block.js","webpack:///./node_modules/markdown-it/lib/rules_core/inline.js","webpack:///./node_modules/markdown-it/lib/rules_core/linkify.js","webpack:///./node_modules/markdown-it/lib/rules_core/replacements.js","webpack:///./node_modules/markdown-it/lib/rules_core/smartquotes.js","webpack:///./node_modules/markdown-it/lib/rules_core/state_core.js","webpack:///./node_modules/markdown-it/lib/parser_block.js","webpack:///./node_modules/markdown-it/lib/rules_block/table.js","webpack:///./node_modules/markdown-it/lib/rules_block/code.js","webpack:///./node_modules/markdown-it/lib/rules_block/fence.js","webpack:///./node_modules/markdown-it/lib/rules_block/blockquote.js","webpack:///./node_modules/markdown-it/lib/rules_block/hr.js","webpack:///./node_modules/markdown-it/lib/rules_block/list.js","webpack:///./node_modules/markdown-it/lib/rules_block/reference.js","webpack:///./node_modules/markdown-it/lib/rules_block/heading.js","webpack:///./node_modules/markdown-it/lib/rules_block/lheading.js","webpack:///./node_modules/markdown-it/lib/rules_block/html_block.js","webpack:///./node_modules/markdown-it/lib/common/html_blocks.js","webpack:///./node_modules/markdown-it/lib/rules_block/paragraph.js","webpack:///./node_modules/markdown-it/lib/rules_block/state_block.js","webpack:///./node_modules/markdown-it/lib/parser_inline.js","webpack:///./node_modules/markdown-it/lib/rules_inline/text.js","webpack:///./node_modules/markdown-it/lib/rules_inline/newline.js","webpack:///./node_modules/markdown-it/lib/rules_inline/escape.js","webpack:///./node_modules/markdown-it/lib/rules_inline/backticks.js","webpack:///./node_modules/markdown-it/lib/rules_inline/link.js","webpack:///./node_modules/markdown-it/lib/rules_inline/image.js","webpack:///./node_modules/markdown-it/lib/rules_inline/autolink.js","webpack:///./node_modules/markdown-it/lib/rules_inline/html_inline.js","webpack:///./node_modules/markdown-it/lib/rules_inline/entity.js","webpack:///./node_modules/markdown-it/lib/rules_inline/balance_pairs.js","webpack:///./node_modules/markdown-it/lib/rules_inline/text_collapse.js","webpack:///./node_modules/markdown-it/lib/rules_inline/state_inline.js","webpack:///./node_modules/linkify-it/index.js","webpack:///./node_modules/linkify-it/lib/re.js","webpack:///./node_modules/punycode/punycode.js","webpack:///./node_modules/markdown-it/lib/presets/default.js","webpack:///./node_modules/markdown-it/lib/presets/zero.js","webpack:///./node_modules/markdown-it/lib/presets/commonmark.js","webpack:///./node_modules/markdown-it-task-lists/index.js","webpack:///./node_modules/proxy-polyfill/src/index.js","webpack:///./node_modules/proxy-polyfill/src/proxy.js","webpack:///./node_modules/prosemirror-markdown/dist/index.es.js"],"names":["module","exports","exec","error","global","shared","has","uid","NATIVE_SYMBOL","USE_SYMBOL_AS_UID","WellKnownSymbolsStore","Symbol","createWellKnownSymbol","withoutSetter","name","it","Math","check","globalThis","window","self","Function","bind","toString","Object","prototype","isArray","val","call","isUndefined","isObject","isFunction","forEach","obj","fn","i","l","length","key","hasOwnProperty","isArrayBuffer","isBuffer","constructor","isFormData","FormData","isArrayBufferView","ArrayBuffer","isView","buffer","isString","isNumber","isDate","isFile","isBlob","isStream","pipe","isURLSearchParams","URLSearchParams","isStandardBrowserEnv","navigator","product","document","merge","result","assignValue","arguments","deepMerge","extend","a","b","thisArg","trim","str","replace","TypeError","String","fails","defineProperty","get","DESCRIPTORS","IE8_DOM_DEFINE","anObject","toPrimitive","nativeDefineProperty","f","O","P","Attributes","value","definePropertyModule","createPropertyDescriptor","object","getOwnPropertyDescriptor","createNonEnumerableProperty","redefine","setGlobal","copyConstructorProperties","isForced","options","source","target","targetProperty","sourceProperty","descriptor","TARGET","GLOBAL","STATIC","stat","noTargetGet","forced","undefined","sham","getRootUrl","generateFilePath","imagePath","generateUrl","generateOcsUrl","generateRemoteUrl","linkTo","app","file","service","location","protocol","host","linkToRemoteBase","version","url","params","allOptions","assign","escape","noRewrite","_build","text","vars","r","encodeURIComponent","charAt","OC","config","modRewriteWorking","indexOf","type","isCore","coreApps","link","substring","appswebroots","encodeURI","webroot","inspectSource","InternalStateModule","getInternalState","enforceInternalState","enforce","TEMPLATE","split","unsafe","simple","enumerable","join","this","subscribe","handler","bus","unsubscribe","emit","event","_ProxyBus","_SimpleBus","_eventBus","_nc_event_bus","console","warn","ProxyBus","SimpleBus","toInteger","min","argument","requireObjectCoercible","normalizeComponent","scriptExports","render","staticRenderFns","functionalTemplate","injectStyles","scopeId","moduleIdentifier","shadowMode","hook","_compiled","functional","_scopeId","context","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","originalRender","h","existing","beforeCreate","concat","slice","set","NATIVE_WEAK_MAP","objectHas","sharedKey","hiddenKeys","WeakMap","store","wmget","wmhas","wmset","metadata","STATE","getterFor","TYPE","state","path","aFunction","variable","namespace","method","ceil","floor","isNaN","bitmap","configurable","writable","IndexedObject","default","_axios","__esModule","_auth","client","create","headers","requesttoken","getRequestToken","cancelableClient","CancelToken","isCancel","onRequestTokenUpdate","token","defaults","_default","that","c","apply","cache","thrower","METHOD_NAME","ACCESSORS","argument0","argument1","activeXDocument","defineProperties","enumBugKeys","html","documentCreateElement","IE_PROTO","EmptyConstructor","scriptTag","content","LT","NullProtoObject","domain","ActiveXObject","iframeDocument","iframe","write","close","temp","parentWindow","NullProtoObjectViaActiveX","style","display","appendChild","src","contentWindow","open","F","Properties","TO_STRING_TAG","wellKnownSymbol","TAG","input","PREFERRED_STRING","valueOf","classof","propertyIsEnumerable","keys","id","postfix","random","MAX_SAFE_INTEGER","Number","SEMVER_SPEC_VERSION","MAX_LENGTH","MAX_SAFE_COMPONENT_LENGTH","$","createIteratorConstructor","getPrototypeOf","setPrototypeOf","setToStringTag","IS_PURE","Iterators","IteratorsCore","IteratorPrototype","BUGGY_SAFARI_ITERATORS","ITERATOR","returnThis","Iterable","NAME","IteratorConstructor","next","DEFAULT","IS_SET","FORCED","CurrentIteratorPrototype","methods","KEY","getIterationMethod","KIND","defaultIterator","IterablePrototype","INCORRECT_VALUES_NAME","nativeIterator","anyNativeIterator","entries","values","proto","test","re1","re2","regexpFlags","stickyHelpers","nativeExec","RegExp","nativeReplace","patchedExec","UPDATES_LAST_INDEX_WRONG","lastIndex","UNSUPPORTED_Y","BROKEN_CARET","NPCG_INCLUDED","reCopy","match","re","sticky","flags","charsAdded","strCopy","multiline","index","g","e","cachedSetTimeout","cachedClearTimeout","process","defaultSetTimout","Error","defaultClearTimeout","runTimeout","fun","setTimeout","clearTimeout","currentQueue","queue","draining","queueIndex","cleanUpNextTick","drainQueue","timeout","len","run","marker","runClearTimeout","Item","array","noop","nextTick","args","Array","push","title","browser","env","argv","versions","on","addListener","once","off","removeListener","removeAllListeners","prependListener","prependOnceListener","listeners","binding","cwd","chdir","dir","umask","useSourceMap","list","map","item","cssMapping","btoa","sourceMapping","sourceMap","base64","unescape","JSON","stringify","data","sourceURLs","sources","sourceRoot","cssWithMappingToString","modules","mediaQuery","dedupe","alreadyImportedModules","_i","listToStyles","parentId","styles","newStyles","part","css","media","parts","hasDocument","DEBUG","stylesInDom","head","getElementsByTagName","singletonElement","singletonCounter","isProduction","isOldIE","userAgent","toLowerCase","addStylesClient","_isProduction","_options","addStylesToDom","newList","mayRemove","domStyle","refs","j","addStyle","createStyleElement","styleElement","createElement","update","remove","querySelector","parentNode","removeChild","styleIndex","applyToSingletonTag","applyToTag","newObj","textStore","replaceText","replacement","filter","Boolean","styleSheet","cssText","cssNode","createTextNode","childNodes","insertBefore","setAttribute","ssrId","firstChild","TO_STRING_TAG_SUPPORT","toIndexedObject","addToUnscopables","defineIterator","setInternalState","iterated","kind","done","Arguments","propertyIsEnumerableModule","nativeGetOwnPropertyDescriptor","feature","detection","normalize","POLYFILL","NATIVE","string","V8_VERSION","SPECIES","foo","Constructor","originalArray","C","toObject","toLength","createProperty","arraySpeciesCreate","arrayMethodHasSpeciesSupport","IS_CONCAT_SPREADABLE","IS_CONCAT_SPREADABLE_SUPPORT","SPECIES_SUPPORT","isConcatSpreadable","spreadable","arg","k","E","A","n","EXISTS","functionToString","createMethod","IS_MAP","IS_FILTER","IS_SOME","IS_EVERY","IS_FIND_INDEX","NO_HOLES","$this","callbackfn","specificCreate","boundFunction","some","every","find","findIndex","v8","isArrayIteratorMethod","getIteratorMethod","callWithSafeIterationClosing","Result","stopped","iterable","AS_ENTRIES","IS_ITERATOR","iterator","iterFn","step","stop","classofRaw","CORRECT_ARGUMENTS","tag","tryGet","callee","CONVERT_TO_STRING","pos","first","second","S","position","size","charCodeAt","codeAt","nativePropertyIsEnumerable","NASHORN_BUG","1","V","mode","copyright","names","toAbsoluteIndex","IS_INCLUDES","el","fromIndex","includes","getOwnPropertySymbols","internalObjectKeys","utils","encode","paramsSerializer","serializedParams","v","toISOString","hashmarkIndex","__CANCEL__","normalizeHeaderName","DEFAULT_CONTENT_TYPE","setContentTypeIfUnset","adapter","XMLHttpRequest","transformRequest","transformResponse","parse","xsrfCookieName","xsrfHeaderName","maxContentLength","validateStatus","status","common","settle","buildURL","buildFullPath","parseHeaders","isURLSameOrigin","createError","Promise","resolve","reject","requestData","requestHeaders","request","auth","username","password","Authorization","fullPath","baseURL","toUpperCase","onreadystatechange","readyState","responseURL","responseHeaders","getAllResponseHeaders","response","responseType","responseText","statusText","onabort","onerror","ontimeout","timeoutErrorMessage","cookies","xsrfValue","withCredentials","read","setRequestHeader","onDownloadProgress","addEventListener","onUploadProgress","upload","cancelToken","promise","then","cancel","abort","send","enhanceError","message","code","config1","config2","valueFromConfig2Keys","mergeDeepPropertiesKeys","defaultToConfig2Keys","prop","axiosKeys","otherKeys","Cancel","$forEach","arrayMethodIsStrict","arrayMethodUsesToLength","STRICT_METHOD","USES_TO_LENGTH","debug","t","R","createToken","isGlobal","NUMERICIDENTIFIER","NUMERICIDENTIFIERLOOSE","NONNUMERICIDENTIFIER","PRERELEASEIDENTIFIER","PRERELEASEIDENTIFIERLOOSE","BUILDIDENTIFIER","MAINVERSION","PRERELEASE","BUILD","FULLPLAIN","MAINVERSIONLOOSE","PRERELEASELOOSE","LOOSEPLAIN","XRANGEIDENTIFIER","XRANGEIDENTIFIERLOOSE","GTLT","XRANGEPLAIN","XRANGEPLAINLOOSE","COERCE","LONETILDE","tildeTrimReplace","LONECARET","caretTrimReplace","comparatorTrimReplace","NODE_DEBUG","compareIdentifiers","SemVer","loose","includePrerelease","m","LOOSE","FULL","raw","major","minor","patch","prerelease","num","build","format","other","compareMain","comparePre","release","identifier","inc","PrototypeOfArrayIteratorPrototype","arrayIterator","CORRECT_PROTOTYPE_GETTER","ObjectPrototype","aPossiblePrototype","setter","CORRECT_SETTER","__proto__","FREEZING","METADATA","isExtensible","setMetadata","objectID","weakData","meta","REQUIRED","fastKey","getWeakData","onFreeze","CSSRuleList","CSSStyleDeclaration","CSSValueList","ClientRectList","DOMRectList","DOMStringList","DOMTokenList","DataTransferItemList","FileList","HTMLAllCollection","HTMLCollection","HTMLFormElement","HTMLSelectElement","MediaList","MimeTypeArray","NamedNodeMap","NodeList","PaintRequestList","Plugin","PluginArray","SVGLengthList","SVGNumberList","SVGPathSegList","SVGPointList","SVGStringList","SVGTransformList","SourceBufferList","StyleSheetList","TextTrackCueList","TextTrackList","TouchList","ignoreCase","dotAll","unicode","RegExpPrototype","nativeToString","NOT_GENERIC","INCORRECT_NAME","p","rf","point","fixRegExpWellKnownSymbolLogic","advanceStringIndex","regExpExec","max","SUBSTITUTION_SYMBOLS","SUBSTITUTION_SYMBOLS_NO_NAMED","REPLACE","maybeCallNative","reason","REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE","REPLACE_KEEPS_$0","UNSAFE_SUBSTITUTE","searchValue","replaceValue","replacer","regexp","res","rx","functionalReplace","fullUnicode","results","accumulatedResult","nextSourcePosition","matched","captures","namedCaptures","groups","replacerArgs","getSubstitution","tailPos","symbols","ch","capture","DOMIterables","ArrayIteratorMethods","ArrayValues","COLLECTION_NAME","Collection","CollectionPrototype","$indexOf","nativeIndexOf","NEGATIVE_ZERO","searchElement","propertyKey","getOwnPropertyNames","integer","_requesttoken","_user","getCurrentUser","$filter","HAS_SPECIES_SUPPORT","dummy","Wrapper","NewTarget","NewTargetPrototype","objectKeys","getOwnPropertySymbolsModule","nativeAssign","B","symbol","chr","T","argumentsLength","getBuiltIn","ArrayPrototype","ENTRIES","returnMethod","SAFE_CLOSING","called","iteratorWithReturn","from","SKIP_CLOSING","ITERATION_SUPPORT","CONSTRUCTOR_NAME","regexpExec","REPLACE_SUPPORTS_NAMED_GROUPS","SPLIT_WORKS_WITH_OVERWRITTEN_EXEC","originalExec","SYMBOL","DELEGATES_TO_SYMBOL","DELEGATES_TO_EXEC","execCalled","nativeRegExpMethod","nativeMethod","arg2","forceStringMethod","stringMethod","regexMethod","ownKeys","getOwnPropertyDescriptorModule","getOwnPropertyNamesModule","Axios","mergeConfig","createInstance","defaultConfig","instance","axios","instanceConfig","all","promises","spread","InterceptorManager","dispatchRequest","interceptors","chain","interceptor","unshift","fulfilled","rejected","shift","getUri","handlers","use","eject","transformData","throwIfCancellationRequested","throwIfRequested","fns","normalizedName","isAxiosError","toJSON","description","number","fileName","lineNumber","columnNumber","stack","isAbsoluteURL","combineURLs","requestedURL","relativeURL","ignoreDuplicateOf","parsed","line","substr","originURL","msie","urlParsingNode","resolveURL","href","search","hash","hostname","port","pathname","requestURL","expires","secure","cookie","Date","toGMTString","decodeURIComponent","now","executor","resolvePromise","callback","arr","observer","observers","tokenElement","getAttribute","_valid","_interopRequireDefault","_major","_defineProperties","props","packageJson","_classCallCheck","getVersion","protoProps","staticProps","er","numeric","anum","bnum","rcompareIdentifiers","Map","UNSCOPABLES","collection","collectionStrong","init","InternalMetadataModule","iterate","anInstance","checkCorrectnessOfIteration","inheritIfRequired","wrapper","IS_WEAK","ADDER","NativeConstructor","NativePrototype","exported","fixMethod","getConstructor","HASNT_CHAINING","THROWS_ON_PRIMITIVES","ACCEPT_ITERABLES","BUGGY_ZERO","$instance","clear","setStrong","preventExtensions","redefineAll","setSpecies","internalStateGetterFor","last","define","previous","entry","getEntry","removed","prev","ITERATOR_NAME","getInternalCollectionState","getInternalIteratorState","displayName","isAdmin","uidElement","displayNameElement","isUserAdmin","RE","s","hookCallback","hooks","hasOwnProp","createUTC","locale","strict","createLocalOrUTC","utc","getParsingFlags","_pf","empty","unusedTokens","unusedInput","overflow","charsLeftOver","nullInput","invalidMonth","invalidFormat","userInvalidated","iso","parsedDateParts","meridiem","rfc2822","weekdayMismatch","isValid","_isValid","parsedParts","isNowValid","_d","getTime","invalidWeekday","_strict","bigHour","isFrozen","createInvalid","NaN","momentProperties","copyConfig","to","_isAMomentObject","_f","_l","_tzm","_isUTC","_offset","_locale","updateInProgress","Moment","updateOffset","isMoment","absFloor","toInt","argumentForCoercion","coercedNumber","isFinite","compareArrays","array1","array2","dontConvert","lengthDiff","abs","diffs","msg","suppressDeprecationWarnings","deprecate","firstTime","deprecationHandler","deprecations","deprecateSimple","mergeConfigs","parentConfig","childConfig","Locale","aliases","addUnitAlias","unit","shorthand","lowerCase","normalizeUnits","units","normalizeObjectUnits","inputObject","normalizedProp","normalizedInput","priorities","addUnitPriority","priority","zeroFill","targetLength","forceSign","absNumber","zerosToFill","pow","formattingTokens","localFormattingTokens","formatFunctions","formatTokenFunctions","addFormatToken","padded","ordinal","func","localeData","formatMoment","expandFormat","mom","output","makeFormatFunction","invalidDate","replaceLongDateFormatTokens","longDateFormat","match1","match2","match3","match4","match6","match1to2","match3to4","match5to6","match1to3","match1to4","match1to6","matchUnsigned","matchSigned","matchOffset","matchShortOffset","matchWord","regexes","addRegexToken","regex","strictRegex","isStrict","getParseRegexForToken","regexEscape","p1","p2","p3","p4","tokens","addParseToken","addWeekParseToken","_w","addTimeToArrayFromToken","_a","daysInYear","year","isLeapYear","y","parseTwoDigitYear","parseInt","getSetYear","makeGetSet","keepTime","set$1","month","date","daysInMonth","x","modMonth","o","monthsShort","months","monthsShortRegex","monthsRegex","monthsParse","MONTHS_IN_FORMAT","defaultLocaleMonths","defaultLocaleMonthsShort","handleStrictParse","monthName","ii","llc","toLocaleLowerCase","_monthsParse","_longMonthsParse","_shortMonthsParse","setMonth","dayOfMonth","getSetMonth","defaultMonthsShortRegex","defaultMonthsRegex","computeMonthsParse","cmpLenRev","shortPieces","longPieces","mixedPieces","sort","_monthsRegex","_monthsShortRegex","_monthsStrictRegex","_monthsShortStrictRegex","createDate","d","M","ms","getFullYear","setFullYear","createUTCDate","UTC","getUTCFullYear","setUTCFullYear","firstWeekOffset","dow","doy","fwd","getUTCDay","dayOfYearFromWeeks","week","weekday","resYear","resDayOfYear","dayOfYear","weekOfYear","resWeek","weekOffset","weeksInYear","weekOffsetNext","shiftWeekdays","ws","weekdaysMin","weekdaysShort","weekdays","weekdaysMinRegex","weekdaysShortRegex","weekdaysRegex","weekdaysParse","defaultLocaleWeekdays","defaultLocaleWeekdaysShort","defaultLocaleWeekdaysMin","handleStrictParse$1","weekdayName","_weekdaysParse","_shortWeekdaysParse","_minWeekdaysParse","day","defaultWeekdaysRegex","defaultWeekdaysShortRegex","defaultWeekdaysMinRegex","computeWeekdaysParse","minp","shortp","longp","minPieces","_weekdaysRegex","_weekdaysShortRegex","_weekdaysMinRegex","_weekdaysStrictRegex","_weekdaysShortStrictRegex","_weekdaysMinStrictRegex","hFormat","hours","lowercase","minutes","matchMeridiem","_meridiemParse","seconds","kInput","_isPm","isPM","_meridiem","pos1","pos2","globalLocale","getSetHour","baseConfig","calendar","sameDay","nextDay","nextWeek","lastDay","lastWeek","sameElse","LTS","L","LL","LLL","LLLL","dayOfMonthOrdinalParse","relativeTime","future","past","ss","mm","hh","dd","MM","yy","meridiemParse","locales","localeFamilies","normalizeLocale","loadLocale","oldLocale","_abbr","getSetGlobalLocale","getLocale","defineLocale","abbr","_config","parentLocale","chooseLocale","checkOverflow","_overflowDayOfYear","_overflowWeeks","_overflowWeekday","configFromArray","currentDate","expectedWeekday","yearToUse","nowValue","_useUTC","getUTCMonth","getUTCDate","getMonth","getDate","currentDateArray","w","weekYear","weekdayOverflow","GG","W","createLocal","_week","curWeek","gg","_dayOfYear","dayOfYearFromWeekInfo","_nextDay","getDay","setUTCMinutes","getUTCMinutes","extendedIsoRegex","basicIsoRegex","tzRegex","isoDates","isoTimes","aspNetJsonRegex","configFromISO","allowTime","dateFormat","timeFormat","tzFormat","configFromStringAndFormat","untruncateYear","yearStr","obsOffsets","UT","GMT","EDT","EST","CDT","CST","MDT","MST","PDT","PST","configFromRFC2822","monthStr","dayStr","hourStr","minuteStr","secondStr","parsedArray","weekdayStr","parsedInput","checkWeekday","obsOffset","militaryOffset","numOffset","hm","calculateOffset","ISO_8601","RFC_2822","skipped","stringLength","totalParsedInputLength","hour","isPm","meridiemHour","meridiemFixWrap","prepareConfig","preparse","tempConfig","bestMoment","scoreToBeat","currentScore","score","configFromStringAndArray","createFromInputFallback","configFromString","minute","millisecond","configFromObject","configFromInput","isUTC","isObjectEmpty","prototypeMin","prototypeMax","pickBy","moments","ordering","Duration","duration","years","quarters","quarter","weeks","isoWeek","days","milliseconds","unitHasDecimal","parseFloat","isDurationValid","_milliseconds","_days","_months","_data","_bubble","isDuration","absRound","round","offset","separator","utcOffset","sign","offsetFromString","chunkOffset","matcher","matches","cloneWithOffset","model","diff","clone","setTime","local","getDateOffset","getTimezoneOffset","isUtc","aspNetRegex","isoRegex","createDuration","ret","diffRes","base","parseIso","isBefore","positiveMomentsDifference","inp","isAfter","createAdder","direction","period","tmp","addSubtract","isAdding","invalid","subtract","monthDiff","wholeMonthDiff","anchor","newLocaleData","defaultFormat","defaultFormatUtc","lang","mod$1","dividend","divisor","localStartOfDate","utcStartOfDate","addWeekYearFormatToken","getter","getSetWeekYearHelper","weeksTarget","setWeekAll","dayOfYearData","isoWeekYear","_dayOfMonthOrdinalParse","_ordinalParse","_dayOfMonthOrdinalParseLenient","getSetDayOfMonth","getSetMinute","getSetSecond","parseMs","getSetMillisecond","preParsePostFormat","time","formats","sod","startOf","calendarFormat","asFloat","zoneDelta","endOf","startOfDate","isoWeekday","inputString","postformat","withoutSuffix","humanize","fromNow","toNow","invalidAt","localInput","isBetween","inclusivity","localFrom","localTo","isSame","inputMs","isSameOrAfter","isSameOrBefore","parsingFlags","prioritized","unitsObj","u","getPrioritizedUnits","toArray","toDate","keepOffset","inspect","zone","isLocal","prefix","suffix","unix","creationData","isoWeeks","weekInfo","isoWeeksInYear","parseWeekday","parseIsoWeekday","keepLocalTime","keepMinutes","localAdjust","_changeInProgress","parseZone","tZone","hasAlignedHourOffset","isDST","isUtcOffset","zoneAbbr","zoneName","dates","isDSTShifted","_isDSTShifted","proto$1","get$1","field","listMonthsImpl","out","listWeekdaysImpl","localeSorted","_calendar","_longDateFormat","formatUpper","_invalidDate","_ordinal","isFuture","_relativeTime","pastFuture","isFormat","_monthsShort","_monthsParseExact","firstDayOfYear","firstDayOfWeek","_weekdays","_weekdaysMin","_weekdaysShort","_weekdaysParseExact","_fullWeekdaysParse","isLower","langData","mathAbs","addSubtract$1","absCeil","daysToMonths","monthsToDays","makeAs","alias","as","asMilliseconds","asSeconds","asMinutes","asHours","asDays","asWeeks","asMonths","asQuarters","asYears","makeGetter","thresholds","substituteTimeAgo","abs$1","toISOString$1","Y","D","toFixed","total","totalSign","ymSign","daysSign","hmsSign","proto$2","monthsFromDays","withSuffix","posNegDuration","relativeTime$1","toIsoString","updateLocale","tmpLocale","relativeTimeRounding","roundingFunction","relativeTimeThreshold","threshold","limit","myMoment","HTML5_FMT","DATETIME_LOCAL","DATETIME_LOCAL_SECONDS","DATETIME_LOCAL_MS","DATE","TIME","TIME_SECONDS","TIME_MS","WEEK","MONTH","factory","_hasOwnProperty","isValidEntityCode","fromCodePoint","surrogate1","surrogate2","fromCharCode","UNESCAPE_MD_RE","UNESCAPE_ALL_RE","DIGITAL_ENTITY_TEST_RE","entities","HTML_ESCAPE_TEST_RE","HTML_ESCAPE_REPLACE_RE","HTML_REPLACEMENTS","replaceUnsafeChar","REGEXP_ESCAPE_RE","UNICODE_PUNCT_RE","lib","mdurl","ucmicro","_class","unescapeMd","unescapeAll","escaped","entity","replaceEntityPattern","escapeHtml","arrayReplaceAt","newElements","isSpace","isWhiteSpace","isMdAsciiPunct","isPunctChar","escapeRE","normalizeReference","deleteSelection","dispatch","selection","tr","scrollIntoView","textblockAt","node","side","lastChild","isTextblock","findCutBefore","$pos","spec","isolating","depth","doc","before","findCutAfter","childCount","after","lift","ref","$from","$to","range","blockRange","defaultBlockAt","edgeCount","edge","hasRequiredAttrs","exitCode","$head","$anchor","sameParent","above","indexAfter","contentMatchAt","canReplaceWith","replaceWith","createAndFill","setSelection","near","splitBlock","isBlock","parentOffset","atEnd","deflt","types","can","mapping","canReplace","setNodeMarkup","deleteBarrier","$cut","conn","nodeBefore","nodeAfter","compatibleContent","delete","nodeSize","clearIncompatible","joinMaybeClear","findWrapping","matchType","validEnd","end","wrap","copy","joinAt","selAfter","findFrom","wrapIn","nodeType","attrs","wrapping","setBlockType","applicable","nodesBetween","hasMarkup","toggleMark","markType","$cursor","ranges","loop","allowsMarkType","inlineContent","returned","markApplies","isInSet","storedMarks","marks","removeStoredMark","addStoredMark","ref$1","rangeHasMark","i$1","ref$2","$from$1","$to$1","removeMark","addMark","chainCommands","commands","view","backspace","endOfTextblock","isSelectable","deleteRange","isAtom","del","pcBaseKeymap","insertText","insert","macBaseKeymap","baseKeymap","platform","os","classesById","Selection","SelectionRange","prototypeAccessors","lastNode","lastParent","openEnd","mapFrom","steps","replaceRange","selectionToInsertionEnd","isInline","replaceRangeWith","textOnly","inner","TextSelection","findSelectionIn","found","bias","AllSelection","atStart","fromJSON","json","RangeError","cls","jsonID","selectionClass","getBookmark","between","visible","prototypeAccessors$1","marksAcross","ensureMarks","eq","TextBookmark","dPos","NodeSelection","$end","mapResult","deleted","NodeBookmark","isText","selectable","sel","AllBookmark","child","startLen","maps","_from","_to","_newFrom","newTo","Transaction","Transform","curSelection","curSelectionFor","updated","selectionSet","storedMarksSet","isGeneric","scrolledIntoView","setStoredMarks","sameSet","mark","addToSet","removeFromSet","addStep","replaceSelection","replaceSelectionWith","inheritMarks","none","schema","setMeta","getMeta","_","FieldDesc","desc","baseFields","topNodeType","_marks","_old","Configuration","plugins","this$1","fields","pluginsByKey","plugin","EditorState","applyTransaction","filterTransaction","ignore","rootTr","transactions","trs","newState","applyInner","seen","haveNew","appendTransaction","oldState","newInstance","applyListeners","$config","reconfigure","pluginFields","markFromJSON","addApplyListener","removeApplyListener","splice","bindProps","createKey","getState","PluginKey","OrderedMap","newKey","addToStart","addToEnd","addBefore","place","without","prepend","append","Fragment","nodeStart","start","descendants","textBetween","blockSeparator","leafText","separated","isLeaf","sameMarkup","withText","cut","cutByIndex","replaceChild","current","maybeChild","findDiffStart","childA","childB","findDiffEnd","otherPos","posA","posB","iA","iB","same","minSize","retIndex","curPos","toStringInner","nodeFromJSON","fromArray","joined","nodes","compareDeep","p$1","Mark","ReplaceError","err","placed","excludes","rank","setFrom","Slice","openStart","replaceOuter","$along","extra","resolveNoCache","prepareSliceForReplace","replaceThreeWay","$start","joinable","addRange","checkJoin","addNode","replaceTwoWay","main","sub","$before","$after","startIndex","endIndex","textOffset","validContent","insertAt","fragment","insertInto","dist","removeBetween","removeRange","indexTo","offsetTo","maxOpen","openIsolating","n$1","ResolvedPos","prototypeAccessors$2","resolveDepth","dOff","posAtIndex","inclusive","sharedDepth","pred","NodeRange","rem","resolveCached","resolveCache","cached","resolveCachePos","resolveCacheSize","prototypeAccessors$1$1","emptyAttrs","Node","prototypeAccessors$3","textContent","startPos","defaultAttrs","includeParents","nodeAt","childAfter","childBefore","toDebugString","wrapMarks","contentMatch","matchFragment","one","two","allowsMarks","canAppend","TextNode","ContentMatch","wrapCache","prototypeAccessors$4","defaultType","nodeTypes","stream","TokenStream","expr","parseExpr","nfa","labeled","explore","states","term","known","nullFrom","states$1","cmp","dfa","connect","compile","exprs","reduce","loop$1","cur","next$1","i$2","next$2","edges","work","dead","checkForDeadEnds","frag","compatible","fillBefore","toEnd","finished","tp","computed","computeWrapping","active","via","reverse","scan","inline","pop","prototypeAccessors$1$2","parseExprSeq","eat","parseExprSubscript","typeName","type$1","resolveName","parseExprAtom","parseExprRange","parseNum","attrName","attr","hasDefault","computeAttrs","built","given","initAttrs","Attribute","tok","SyntaxError","NodeType","group","markSet","prototypeAccessors$5","atom","isRequired","createChecked","allowedMarks","topType","topNode","prototypeAccessors$1$3","MarkType","excluded","contentExprCache","prop$1","contentExpr","markExpr","gatherMarks","prop$2","excl","wrappings","ok","mark$1","text$1","DOMParser","rules","tags","rule","normalizeLists","dom","ParseContext","addAll","finish","parseSlice","matchTag","namespaceURI","matchesContext","getAttrs","matchStyle","schemaRules","parseDOM","rules$1","name$1","fromSchema","domParser","blockTags","address","article","aside","blockquote","canvas","div","dl","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","hr","li","noscript","ol","pre","section","table","tfoot","ul","ignoreTags","script","listTags","wsOptionsFor","preserveWhitespace","NodeContext","pendingMarks","solid","activeMarks","fill","applyPending","nextType","pending","markMayApply","parser","isOpen","topContext","topOptions","topMatch","findPositions","needsBlock","prototypeAccessors$6","top","currentPos","selector","msMatchesSelector","webkitMatchesSelector","mozMatchesSelector","addDOM","addTextNode","readStyles","parseStyles","addPendingMark","addElement","removePendingMark","nodeValue","domNodeBefore","previousSibling","nodeName","insertNode","findInText","findInside","prevItem","nextSibling","normalizeList","ruleFromNode","skip","closeParent","sync","oldNeedsBlock","leafFallback","addElementByRule","ownerDocument","enter","startIn","getContent","contentDOM","contentElement","findAround","findAtPoint","findPlace","route","cx","enterInner","block","textblockFromContext","closeExtra","preserveWS","topOpen","contains","compareDocumentPosition","textNode","option","useRoot","minDepth","$context","upto","level","lastIndexOf","DOMSerializer","gatherToDOM","toDOM","serializeFragment","createDocumentFragment","keep","rendered","spanning","markDOM","serializeMark","serializeNode","renderSpec","onContent","serializeNodeAndMarks","structure","xmlNS","tagName","space","createElementNS","space$1","setAttributeNS","innerContent","domSerializer","nodesFromSchema","marksFromSchema","DropCursorView","editorView","width","color","class","cursorPos","element","destroy","removeEventListener","prevState","updateOverlay","setCursor","rect","nodeRect","nodeDOM","getBoundingClientRect","bottom","left","right","coords","coordsAtPos","offsetParent","className","parentRect","body","getComputedStyle","pageXOffset","pageYOffset","height","scheduleRemoval","dragover","editable","posAtCoords","clientX","clientY","dragging","dragend","drop","dragleave","relatedTarget","GapCursor","valid","GapBookmark","closedBefore","closedAfter","override","allowGapCursor","mustMove","$cur","inside","$cur$1","handleKeyDown","arrow","axis","dirStr","$found","handleClick","drawGapCursor","widget","_typeof","_createClass","_defineProperty","enumerableOnly","sym","_objectSpread2","getOwnPropertyDescriptors","_inherits","subClass","superClass","_setPrototypeOf","_getPrototypeOf","_possibleConstructorReturn","ReferenceError","_assertThisInitialized","_createSuper","Derived","hasNativeReflectConstruct","Reflect","construct","Proxy","_isNativeReflectConstruct","Super","_slicedToArray","_arrayWithHoles","_arr","_n","_e","_s","_iterableToArrayLimit","_unsupportedIterableToArray","_nonIterableRest","_toConsumableArray","_arrayLikeToArray","_arrayWithoutHoles","iter","_iterableToArray","_nonIterableSpread","minLen","arr2","camelCase","word","ComponentView","component","_ref","editor","extension","decorations","getPos","isNode","isMark","getMarkPos","captureEvents","createDOM","vm","$refs","_this","Component","selected","updateAttrs","propsData","$mount","$el","updateComponentProps","_this2","_props","originalSilent","silent","_ref2","_ref3","newAttrs","transaction","mutation","_this3","stopEvent","draggable","dragHandle","closest","isCopy","isPaste","isCut","isDrag","startsWith","posAtDOM","resolvedPos","$destroy","Emitter","_callbacks","_len","_key","callbacks","cb","Extension","defaultOptions","ExtensionManager","extensions","bindEditor","extensionKeymaps","nodeMarkKeymaps","excludedExtensions","allowedExtensions","extensionInputRules","inputRules","nodeMarkInputRules","allInputRules","extensionPasteRules","pasteRules","nodeMarkPasteRules","allPasteRules","_ref4","allCommands","focus","handle","_name","_value","_ref5","_ref6","commandName","commandValue","_ref7","changed","updateState","_ref8","allPlugins","_ref9","injectCSS","_Extension","_super","minMax","Doc","_Node","Paragraph","Text","_Emitter","Editor","editorProps","autoFocus","emptyDocument","useBuiltInExtensions","disableInputRules","disablePasteRules","dropCursor","parseOptions","onInit","onTransaction","onUpdate","onFocus","onBlur","onPaste","onDrop","events","setOptions","focused","createExtensions","createNodes","createMarks","createSchema","createPlugins","keymaps","createKeymaps","createInputRules","createPasteRules","createView","createCommands","setActiveNodesAndMarks","builtInExtensions","createDocument","Backspace","createSelectionBetween","_view","attributes","tabindex","handleDOMEvents","blur","htmlString","parseFromString","_this4","createState","handlePaste","handleDrop","_len2","_key2","dispatchTransaction","setProps","nodeViews","initNodeViews","_this5","getHTML","getJSON","docChanged","emitUpdate","_this6","_this$resolveSelectio","resolveSelection","_this$state","resolvedFrom","resolvedEnd","innerHTML","_this$state2","setContent","_this7","activeMarkAttrs","activeNodes","handlePlugins","docView","EditorContent","watch","immediate","$nextTick","setParentComponent","beforeDestroy","Menu","preventHide","mousedownHandler","blurHandler","EditorMenuBar","registerPlugin","$scopedSlots","isActive","getMarkAttrs","getNodeAttrs","textRange","createRange","setEnd","setStart","singleRect","rects","getClientRects","_view$docView$domFrom","domFromPos","_child","Menu$1","keepInBounds","focusHandler","hide","lastState","composing","_state$selection","box","sendUpdate","EditorMenuBubble","menu","$emit","unregisterPlugin","factor16","recoverIndex","MapResult","recover","StepMap","inverted","recoverOffset","assoc","_map","oldIndex","newIndex","oldSize","newSize","touches","oldStart","newStart","invert","Mapping","mirror","TransformError","appendMap","mirrors","setMirror","appendMapping","startSize","mirr","getMirror","appendMappingInverted","totalSize","inverse","corr","docs","mustOverride","maybeStep","failed","getMap","stepsByID","Step","_doc","_mapping","_other","stepType","stepClass","StepResult","fail","fromReplace","ReplaceStep","contentBetween","slice$1","ReplaceAroundStep","gapFrom","gapTo","gap","inserted","canCut","liftTarget","innerRange","around","outer","findWrappingOutside","innerMatch","findWrappingInside","withAttrs","canSplit","typesAfter","innerType","index$1","rest","baseType","canJoin","joinPoint","dropPoint","pass","insertPos","mapFragment","mapped","gapStart","gapEnd","splitting","d$1","splitting$1","wrappers","canChangeType","startM","endM","newNode","typeAfter","AddMarkStep","oldSlice","RemoveMarkStep","fitsTrivially","added","removing","adding","newSet","toRemove","found$1","parentType","delSteps","allowed","Fitter","fit","replaceStep","unplaced","frontier","dropFromFragment","count","addToFragment","contentAt","closeNodeStart","contentAfterFits","invalidMarks","closeFragment","oldOpen","newOpen","coveredDepths","findFittable","placeNodes","openMore","dropNode","moveInline","mustMoveInline","placedSize","sliceDepth","frontierDepth","inject","openAtEnd","closeFrontierNode","openFrontierNode","taken","openEndCount","findCloseLevel","dropInner","match$1","move","targetDepths","preferredTarget","defining","preferredTargetIndex","leftNodes","preferredDepth","openDepth","targetDepth","expand","startSteps","insertPoint","covered","InputRule","cutOff","stored","handleTextInput","compositionend","isInputRules","textBefore","transform","undoInputRule","undoable","toUndo","wrappingInputRule","joinPredicate","textblockTypeInputRule","toStringTag","13","VTooltip","defaultTemplate","defaultHtml","2","4","43","locals","44","RopeSequence","leafAppend","leafPrepend","appendInner","Append","sliceInner","getInner","forEachInner","forEachInvertedInner","elt","Leaf","flatten","leftLen","Branch","items","eventCount","popEvent","preserveItems","remap","remapping","remaining","addAfter","addTransform","histOptions","newItems","oldItems","lastItem","merged","cutPoint","DEPTH_OVERFLOW","mirrorPos","mirrorOffset","addMaps","rebased","rebasedTransform","rebasedCount","rebasedItems","newUntil","iRebased","newMaps","branch","emptyItemCount","compress","newItem","HistoryState","undone","prevRanges","prevTime","rangesFor","mapRanges","histTransaction","history","redo","mustPreserveItems","historyKey","newHist","historyState","cachedPreserveItems","cachedPreserveItemsPlugins","historyPreserveItems","closeHistoryKey","newGroupDelay","hist","historyTr","appended","newGroup","adjacent","isAdjacentTo","undo","undoDepth","redoDepth","asyncGeneratorStep","gen","_next","_throw","info","Blockquote","paragraph","BulletList","list_item","CodeBlock","getDecorations","blocks","parseNodes","classes","properties","children","highlightAuto","decoration","CodeBlockHighlight","languages","registerLanguage","decorationSet","oldNodeName","newNodeName","oldNodes","newNodes","HardBreak","command","Heading","levels","HorizontalRule","IMAGE_INPUT_REGEX","Image","_match","alt","dataTransfer","files","images","preventDefault","coordinates","image","reader","FileReader","onload","readerEvent","readAsDataURL","ListItem","Enter","Tab","SuggestionsPlugin","_ref2$matcher","char","allowSpaces","startOfLine","_ref2$appendText","appendText","_ref2$suggestionClass","suggestionClass","_ref2$command","_command","_ref2$items","_ref2$onEnter","onEnter","_ref2$onChange","onChange","_ref2$onExit","onExit","_ref2$onKeyDown","onKeyDown","_ref2$onFilter","onFilter","searchItems","query","_update","regeneratorRuntime","_callee","moved","started","handleStart","handleChange","handleExit","decorationNode","virtualNode","_context","abrupt","decorationId","clientWidth","clientHeight","t0","t1","t2","t3","t4","t5","t7","t8","sent","t9","t10","t6","t11","t12","_x","_x2","_ref$char","_ref$allowSpaces","_ref$startOfLine","$position","escapedChar","textFrom","textTo","matchPrefix","_this$getState","editorState","_this$getState2","OrderedList","order","hasAttribute","TableNodes","tableGroup","cellContent","cellAttributes","background","getFromDOM","backgroundColor","setDOMAttr","_Mark","Bold","fontWeight","Code","Italic","Link","openOnClick","rel","HTMLAnchorElement","stopPropagation","Strike","Collaboration","getSendableSteps","debounce","sendable","onSendable","clientID","delay","_this2$editor","History","Placeholder","emptyEditorClass","emptyNodeClass","emptyNodeText","showOnlyWhenEditable","showOnlyCurrent","isEditorEmpty","hasAnchor","isNodeEmpty","ie_edge","ie_upto10","ie_11up","mac","ie","ie_version","documentMode","gecko","gecko_version","chrome","chrome_version","ios","android","webkit","documentElement","safari","vendor","webkit_version","domIndex","isEquivalentPosition","targetNode","targetOff","scanFor","atomElements","hasBlockDesc","contentEditable","pmViewDesc","selectionCollapsed","domSel","collapsed","isCollapsed","rangeCount","getRangeAt","keyEvent","keyCode","createEvent","initEvent","windowRect","getSide","clientRect","scrollRectIntoView","startDOM","scrollThreshold","someProp","scrollMargin","atTop","bounding","moveX","moveY","defaultView","scrollBy","startX","scrollLeft","startY","scrollTop","dX","dY","scrollStack","restoreScrollStack","dTop","preventScrollSupported","findOffsetInNode","coordsClosest","dxClosest","rowBot","rowTop","childIndex","dx","inRect","findOffsetInText","assign$1","root","caretPositionFromPoint","pos$1","offsetNode","caretRangeFromPoint","startContainer","startOffset","elementFromPoint","startI","targetKludge","box$1","outside","nearestDesc","posBefore","posAfter","posFromDOM","posFromCaret","posFromElement","posAtStart","border","rectBefore","rectAfter","flattenV","rect$1","flattenH","rect$2","target$1","rect$3","withFlushedState","viewState","activeElement","maybeRTL","cachedState","cachedDir","cachedResult","nearest","boxes","endOfTextblockVertical","getSelection","modify","oldRange","oldNode","focusNode","oldOff","focusOffset","oldBidiLevel","caretBidiLevel","domAfterPos","removeAllRanges","endOfTextblockHorizontal","ViewDesc","dirty","beforePosition","posAtEnd","contentLost","matchesWidget","matchesMark","matchesNode","matchesHack","parseRule","posBeforeChild","localPosFromDOM","domBefore","domAfter","desc$1","search$1","onlyNodes","getDesc","descAt","parseRange","fromOffset","toOffset","childBase","emptyChildAt","j$1","force","anchorDOM","headDOM","anchorNode","anchorOffset","domSelExtended","collapse","DOMException","ignoreMutation","markDirty","startInside","endInside","markParentsDirty","nothing","WidgetViewDesc","classList","ignoreSelection","CompositionViewDesc","textDOM","mut","oldValue","MarkViewDesc","custom","replaceNodes","NodeViewDesc","outerDeco","innerDeco","updateChildren","descObj","applyOuterDeco","CustomNodeViewDesc","TextViewDesc","reparseInView","sameOuterDeco","composition","localCompositionNode","updater","ViewTreeUpdater","deco","onWidget","onNode","forChild","decoIndex","restNode","parentIndex","widgets","compareSide","child$1","cutAt","i$3","iterDeco","insideNode","syncToMarks","placeWidget","findNodeMatch","updateNextNode","addTextblockHacks","destroyRest","protectLocalComposition","renderDescs","parentDOM","descs","written","childDOM","rm","trackWrites","oldCSS","listStyle","iosHacks","nearbyTextNode","textPos","childStart","findTextInFragment","compositionNodes","updateInner","updateOuterDeco","needsWrap","oldDOM","patchOuterDeco","computeOuterDeco","selectNode","deselectNode","removeAttribute","docViewDesc","pmIsDeco","inParent","BRHackViewDesc","OuterDecoLevel","noDeco","outerDOM","prevComputed","curComputed","curDOM","patchAttributes","prevList","curList","removeProperty","lockedNode","lock","preMatch","preMatched","preMatchOffset","moveSelectionBlock","$side","selectHorizontally","mods","nodePos","nodeLen","isIgnorable","skipIgnoredNodesLeft","moveNode","moveOffset","isBlockNode","setSelFocus","skipIgnoredNodesRight","domObserver","setCurSelection","selectVertically","beyond","stopNativeHorizontalDelete","nextNode","switchEditable","captureKeyDown","ctrlKey","metaKey","altKey","shiftKey","getMods","chromeDownArrowBug","selectionFromDOM","origin","inWidget","isOnEdge","selectionBetween","selectionToDOM","syncNodeSelection","hasFocus","hasSelection","disconnectSelection","cursorWrapper","img","disabled","selectCursorWrapper","resetEditableFrom","resetEditableTo","brokenSelectBetweenUneditable","temporarilyEditableNear","resetEditable","hideSelectionGuard","removeClassOnSelectionChange","connectSelection","getPreMatch","destroyBetween","maxKeep","markDesc","nextDOM","setEditable","wasDraggable","lastSelectedViewDesc","clearNodeSelection","readDOMChange","typeOver","addedNodes","lastSelectionTime","lastSelectionOrigin","newSel","tr$1","preferredPos","preferredSide","from_","to_","lastKeyCode","startDoc","editableContent","anchor$1","parseBetween","compare","lastKeyCodeTime","change","endA","endB","adjust","findDiff","sel$1","domChangeCount","nextSel","inlineChange","lastIOSEnter","old","$newStart","$newEnd","skipClosingAndOpening","$next","looksLikeJoin","suppressSelectionUpdates","markChange","$from1","chFrom","chTo","curMarks","prevMarks","isMarkChange","sel$2","parsedSel","fromEnd","mayOpen","serializeForClipboard","serializer","detachedDoc","wrapMap","parseFromClipboard","plainText","inCode","asText","metas","firstTag","readHTML","contextNode","sliceData","addContext","closeRange","closeSlice","lastWrap","inLast","addToSibling","sibling","withWrappers","closeRight","wrapped","normalizeSiblings","thead","tbody","caption","colgroup","col","td","th","_detachedDoc","implementation","createHTMLDocument","observeOptions","childList","characterData","characterDataOldValue","attributeOldValue","subtree","useCharData","SelectionState","DOMObserver","handleDOMChange","flushingSoon","MutationObserver","mutations","removedNodes","flushSoon","flush","currentSelection","onCharData","prevValue","onSelectionChange","suppressingSelectionUpdates","forceFlush","observe","take","takeRecords","disconnect","ignoreSelectionChange","container","commonAncestorContainer","result$1","registerMutation","brs","cssChecked","whiteSpace","checkCSS","attributeName","editHandlers","setSelectionOrigin","ensureListeners","currentHandlers","eventHandlers","runCustomHandler","defaultPrevented","eventCoords","runHandlerOnContext","propName","updateSelection","handleSingleClick","selectedNode","selectAt","selectClickedNode","selectClickedLeaf","handleDoubleClick","handleTripleClick","defaultTripleClick","forceDOMFlush","endComposition","keydown","inOrNearComposition","lastIOSEnterFallbackTimeout","keyup","keypress","charCode","selectNodeModifier","mousedown","flushed","lastClick","click","dy","isNear","mouseDown","MouseDown","targetPos","allowDefault","mightDrag","targetDesc","addAttr","setUneditable","up","timeStamp","compositionEndedAt","touchdown","contextmenu","timeoutComposition","scheduleComposeEnd","composingTimeout","forceUpdate","compositionstart","compositionupdate","markCursor","brokenClipboardAPI","doPaste","singleNode","sliceSingleNode","clipboardData","clearData","setData","selectNodeContents","captureCopy","paste","getData","capturePaste","Dragging","dragCopyModifier","dragstart","dragenter","eventPos","$mouse","beforeInsert","beforeinput","inputType","compareObjs","WidgetType","noSpec","span","oldOffset","Decoration","InlineType","inclusiveStart","inclusiveEnd","is","DecorationSet","buildTree","predicate","findInner","childOff","mapInner","newLocal","onRemove","oldChildren","oldEnd","newEnd","dSize","mustRebuild","fromLocal","toLocal","childOffset","childNode","gather","mapAndGatherRemainingDecorations","from$1","byPos","mapChildren","addInner","baseOffset","takeSpansForNode","moveSpans","withoutNulls","removeInner","span$1","dec","localSet","DecorationGroup","removeOverlap","localsInner","members","spans","hasNulls","localStart","working","insertAhead","viewDecorations","sorted","EditorView","_root","mount","mounted","getEditable","updateCursorWrapper","buildNodeViews","computeDocDeco","bubbles","eventBelongsToView","initInput","pluginViews","updatePluginViews","contenteditable","updateStateInner","reconfigured","redraw","nA","nB","changedNodeViews","sel1","sel2","refDOM","refTop","newRefTop","scroll","scrollToSelection","updateDoc","updateSel","oldScrollPos","overflowAnchor","innerHeight","localRect","storeScrollPos","forceSelUpdate","chromeKludge","destroyPluginViews","pluginView","setActive","preventScroll","focusPreventScroll","domAtPos","destroyInput","dispatchEvent","markItem","nodeItem","getMarkRange","endPos","markIsActive","nodeEqualsType","nodeIsActive","prosemirrorState","prosemirrorModel","prosemirrorTables","replaceParentNodeOfType","findParentNodeOfType","newTr","replaceNodeAtPos","replaceSelectedNode","isNodeSelection","_tr$selection","cloneTr","setTextSelection","nextSelection","isSelectableNode","shouldSelectNode","safeInsert","tryToReplace","hasPosition","$insertPos","isEmptyParagraph","canInsert","_pos","_pos2","equalNodeType","removeNodeAtPos","tableNodeTypes","roles","tableRole","createCell","cellType","isRectSelected","TableMap","$anchorCell","cells","cellsInRect","selectedCells","rectBetween","$headCell","transpose","column","convertTableNodeToArrayOfRows","tableNode","rows","rowIndex","rowCells","colIndex","cellPos","cell","findCell","convertArrayOfRowsToTableNode","arrayOfNodes","rowsPM","row","newCell","moveRowInArrayOfRows","indexesOrigin","indexesTarget","directionOverride","rowsExtracted","positionOffset","checkInvalidMovements","originIndex","targetIndex","targets","errorMessage","findParentNode","findParentNodeClosestToPos","findParentDomRef","findDomRefAtPos","hasParentNode","findPositionOfNodeBefore","maybeSelection","TEXT_NODE","descend","findChildren","findChildrenByType","findTable","isCellSelection","CellSelection","isTableSelected","getCellsInColumn","columnIndex","acc","getCellsInRow","select","isRowSelection","findCellClosestToPos","selRect","cellsInFirstRow","cellsInLastRow","selectColumn","selectRow","cloneRowAt","tableNodes","rowPos","cloneRow","rowWidth","rowspan","colspan","rowSpanCells","_loop","foundCells","filterCellsInRow","setCellAttrs","removeColumnAt","removeTable","removeColumn","tableStart","removeRowAt","removeRow","findCellRectClosestToPos","findTableClosestToPos","getSelectionRangeInColumn","_loop2","maybeEndIndex","_loop3","indexes","maybeCells","firstSelectedColumnCells","firstRowCells","headCell","_i3","columnCells","getSelectionRangeInRow","_loop4","_loop5","firstSelectedRowCells","firstColumnCells","_i4","findParentNodeOfTypeClosestToPos","hasParentNodeOfType","findParentDomRefOfType","findSelectedNodeOfType","findTextNodes","findInlineNodes","findBlockNodes","findChildrenByAttr","findChildrenByMark","getSelectionRect","isColumnSelected","isRowSelected","getCellsInTable","selectTable","emptyCell","addColumnAt","addColumn","moveRow","originRowIndex","targetRowIndex","opts","tryToFit","indexesOriginRow","indexesTargetRow","newTable","moveTableRow","moveColumn","originColumnIndex","targetColumnIndex","indexesOriginColumn","indexesTargetColumn","moveTableColumn","addRowAt","clonePreviousRow","cloneRowIndex","addRow","removeSelectedColumns","pmTableRect","removeSelectedRows","removeColumnClosestToPos","removeRowClosestToPos","forEachCellInColumn","cellTransform","setCursorToLastCell","forEachCellInRow","createTable","rowsCount","colsCount","withHeaderRow","_tableNodeTypes","tableCell","tableHeader","header_cell","tableRow","headerCells","_i2","removeParentNodeOfType","removeSelectedNode","setParentNodeMarkup","selectParentNodeOfType","removeNodeBefore","wrapInList","listType","doJoin","outerRange","$insert","joinBefore","splitDepth","splitPos","doWrapInList","splitListItem","itemType","grandParent","keepItem","liftListItem","endOfList","liftToOuterList","indexBefore","liftOutOfList","sinkListItem","nestedBefore","markInputRule","markEnd","markStart","matchStart","matchEnd","textStart","textEnd","getMarksBetween","nodeInputRule","pasteRule","transformPasted","markPasteRule","isLink","splitToDefaultListItem","_tr","_index","toggleBlockType","toggletype","isList","bullet_list","ordered_list","todo_list","toggleList","parentList","toggleWrap","updateMark","Rebaseable","CollabState","unconfirmed","collabKey","collab","unconfirmedFrom","receiveTransaction","clientIDs","collabState","ourID","ours","nUnconfirmed","over","rebaseSteps","newCollabState","mapSelectionBackward","sendableSteps","_origins","Ruler","__rules__","__cache__","__find__","__compile__","chains","enabled","altName","at","opt","beforeName","ruleName","afterName","enable","ignoreInvalid","idx","enableOnly","disable","getRules","chainName","Token","nesting","markup","hidden","attrIndex","attrPush","attrData","attrSet","attrGet","attrJoin","8","9","10","12","16","17","18","20","27","32","33","34","35","36","37","38","39","40","45","46","59","61","91","92","106","107","108","109","110","111","144","145","160","161","162","163","164","165","173","186","187","188","189","190","191","192","219","220","221","222","229","48","49","50","51","52","53","54","55","56","57","brokenModifierNames","normalizeKeyName","ctrl","mod","modifiers","keymap","bindings","keydownHandler","baseName","keyName","isChar","direct","fromCode","withShift","matchHtmlRegExp","webpackPolyfill","paths","moment","symbolMap","numberMap","pluralForm","plurals","pluralize","weekdaysParseExact","suffixes","5","70","80","7","3","100","6","30","60","90","relativeTimeWithPlural","forms","standalone","lastDigit","last2Digits","relativeTimeWithMutation","mutationTable","softMutation","lastNumber","translate","monthsParseExact","ll","lll","llll","plural","monthsStrictRegex","monthsShortStrictRegex","longMonthsParse","shortMonthsParse","processRelativeTime","monthsNominativeEl","monthsGenitiveEl","momentToFormat","_monthsGenitiveEl","_monthsNominativeEl","calendarEl","_calendarEl","monthsShortDot","numbersPast","numbersFuture","verbalNumber","monthsShortWithDots","monthsShortWithoutDots","weekEndings","0","isUpper","eifelerRegelAppliesToNumber","translateSingular","special","relativeTimeWithSingular","translator","words","correctGrammaticalCase","wordKey","relativeTimeMr","monthsNominative","monthsSubjective","numbersNouns","numberNoun","hundred","ten","numberAsNoun","processHoursFunction","readFromCache","addToCache","cache$1","cachePos","Rect","problems","freshColWidth","colwidth","getCellAttrs","extraAttrs","widthAttr","widths","cellAttrs","table_row","table_cell","table_header","role","colCount","nextCell","leftA","rightA","topA","bottomA","leftB","rightB","topB","bottomB","positionAt","rowStart","rowEnd","rowEndIndex","hasRowSpan","rowNode","prevRow","cell$1","findWidth","mapPos","colWidths","cellNode","colW","widthIndex","expectedPos","missing","tableMap","badWidths","colWidth","findBadColWidths","computeMap","cellAround","isInTable","selectionCell","role$1","cellNear","pointsAtCell","moveCellForward","inSameTable","$a","$b","setAttr","removeColSpan","addColSpan","columnIsHeader","headerCell","tableChanged","rowSelection","isColSelection","colSelection","rowContent","cellRect","extraLeft","extraRight","attrs$1","forEachCell","anchorTop","headTop","anchorBot","headBot","anchorRect","headRect","anchorLeft","headLeft","anchorRight","headRight","anchorCell","CellBookmark","drawCellSelection","pastedCells","fitSlice","r$1","r$2","ensureRectangular","clipCells","newWidth","newHeight","newRows","newRows$1","row$1","cells$1","isolateHorizontal","cellTop","cellLeft","isolateVertical","updatePos","insertCells","recomp","emptyHead","start$1","emptyRow","growTable","shiftArrow","deleteCellSelection","maybeSetSelection","atEndOfCell","$cell","baseContent","handleMouseDown","startEvent","startDOMCell","domInCell","setCellSelection","cellUnderMouse","starting","mousePos","fixTablesKey","fixTables","tablePos","mustAdd","prob","cell$2","tableNodeType","fixTable","changedDescendants","curSize","selectedRect","refColumn","addColumnBefore","addColumnAfter","mapStart","deleteColumn","rowIsHeader","refRow","addRowBefore","addRowAfter","nextRow","newPos","deleteRow","isEmpty","mergeCells","indexTop","indexLeft","indexBottom","indexRight","cellsOverlapRectangle","mergedPos","mergedCell","splitCell","splitCellWithType","getCellType","cellWrapping","baseAttrs","lastCell","setCellAttr","isHeaderEnabledByType","cellPositions","toggleHeader","useDeprecatedLogic","deprecated_toggleHeader","isHeaderRowEnabled","isHeaderColumnEnabled","selectionStartsAt","cellsRect","newType","relativeCellPos","toggleHeaderRow","toggleHeaderColumn","toggleHeaderCell","goToNextCell","rowNode$1","findNextCell","deleteTable","TableView","cellMinWidth","updateColumns","overrideCol","overrideValue","totalWidth","fixedWidth","hasWidth","cssWidth","minWidth","record","key$1","columnResizing","handleWidth","View","lastColumnResizable","ResizeState","activeHandle","mousemove","pluginState","domCellAround","edgeCell","updateHandle","handleMouseMove","mouseleave","handleMouseLeave","domWidth","offsetWidth","currentColWidth","mapIndex","zeroes","updateColumnWidth","draggedWidth","setDragging","which","dragged","displayColumnWidth","startWidth","handleMouseDown$1","handleDecorations","setHandle","tableEditing","allowTableNodeSelection","afterFrom","beforeTo","isCellBoundarySelection","fromCellBoundaryNode","toCellBoundaryNode","node$1","isTextSelectionAcrossCells","normalizeSelection","action","runtime","Op","hasOwn","$Symbol","iteratorSymbol","asyncIteratorSymbol","asyncIterator","toStringTagSymbol","innerFn","outerFn","tryLocsList","protoGenerator","Generator","generator","Context","_invoke","doneResult","delegate","delegateResult","maybeInvokeDelegate","ContinueSentinel","_sent","dispatchException","tryCatch","makeInvokeMethod","GeneratorFunction","GeneratorFunctionPrototype","getProto","NativeIteratorPrototype","Gp","defineIteratorMethods","AsyncIterator","PromiseImpl","previousPromise","callInvokeWithMethodAndArg","invoke","__await","unwrapped","resultName","nextLoc","pushTryEntry","locs","tryLoc","catchLoc","finallyLoc","afterLoc","tryEntries","resetTryEntry","completion","reset","iteratorMethod","isGeneratorFunction","genFun","ctor","awrap","async","skipTempReset","rootRecord","rval","exception","loc","caught","hasCatch","hasFinally","finallyEntry","complete","thrown","delegateYield","accidentalStrictMode","decode","open_tag","close_tag","HTML_TAG_RE","HTML_OPEN_CLOSE_TAG_RE","postProcess","delimiters","startDelim","endDelim","loneMarkers","tokenize","scanned","scanDelims","jump","can_open","can_close","curr","tokens_meta","isStrong","charset","Language","translations","msgid","comments","msgstr","reference","addTranslations","setLocale","gettext","high","fault","highlight","secondBest","settings","subset","listLanguages","relevance","language","getLanguage","syntax","registerAlias","registerAliases","languageName","addText","tail","addKeyword","openNode","closeNode","addSublanguage","rootNode","classPrefix","closeAllNodes","finalize","toHTML","configure","__emitter","errorRaised","emitter","deepFreeze","freeze","objIsFunction","Response","escapeHTML","inherit","original","objects","nodeStream","_nodeStream","mergeStreams","highlighted","processed","nodeStack","selectStream","emitsWrappingTags","HTMLRenderer","parseTree","walk","sublanguage","TokenTree","builder","_walk","_collapse","TokenTreeEmitter","super","C_NUMBER_RE","BACKSLASH_ESCAPE","begin","APOS_STRING_MODE","illegal","QUOTE_STRING_MODE","PHRASAL_WORDS_MODE","COMMENT","modeOptions","C_LINE_COMMENT_MODE","C_BLOCK_COMMENT_MODE","HASH_COMMENT_MODE","NUMBER_MODE","C_NUMBER_MODE","BINARY_NUMBER_MODE","CSS_NUMBER_MODE","NUMBER_RE","REGEXP_MODE","TITLE_MODE","UNDERSCORE_TITLE_MODE","METHOD_GUARD","MODES","IDENT_RE","UNDERSCORE_IDENT_RE","BINARY_NUMBER_RE","RE_STARTERS_RE","SHEBANG","beginShebang","binary","resp","ignoreMatch","END_SAME_AS_BEGIN","_beginMatch","COMMON_KEYWORDS","compileLanguage","langRe","case_insensitive","MultiRegex","matchIndexes","matchAt","countMatchGroups","terminators","matcherRe","regexps","backreferenceRe","numCaptures","matchData","ResumableMultiRegex","multiRegexes","regexIndex","addRule","getMatcher","skipIfhasPrecedingOrTrailingDot","compileMode","cmode","compiled","__beforeBegin","keywords","beginKeywords","kw_pattern","$pattern","rawKeywords","compiled_keywords","splitAndCompile","keywordList","keyword","pair","scoreForKeyword","compileKeywords","lexemes","keywordPatternRe","beginRe","endSameAsBegin","endsWithParent","endRe","terminator_end","illegalRe","variants","cached_variants","variant","dependencyOnParent","starts","expand_or_clone_mode","buildModeRegex","providedScore","commonKeyword","escape$1","inherit$1","nodeStream$1","mergeStreams$1","NO_MATCH","hljs","ArrayProto","SAFE_MODE","fixMarkupRe","LANGUAGE_NOT_FOUND","PLAINTEXT_LANGUAGE","disableAutodetect","noHighlightRe","languageDetectRe","tabReplace","useBR","shouldNotHighlight","ignoreIllegals","continuation","fire","_highlight","codeToHighlight","keywordData","matchText","processBuffer","subLanguage","mode_buffer","continuations","processSubLanguage","last_index","buf","keywordRelevance","processKeywords","startNewMode","doIgnore","lexeme","continueScanAtSamePosition","doBeginMatch","new_mode","beforeCallbacks","excludeBegin","returnBegin","doEndMatch","matchPlusRemainder","end_mode","endOfMode","endsParent","returnEnd","excludeEnd","lastMatch","processLexeme","textBeforeMatch","badRule","iterations","md","processContinuations","considerAll","processedCount","illegalBy","sofar","languageSubset","justTextHighlightResult","autoDetection","second_best","fixMarkup","highlightBlock","blockLanguage","originalStream","resultNode","prevClassName","currentLang","resultLang","buildClassName","relavance","initHighlighting","querySelectorAll","aliasList","userOptions","initHighlightingOnLoad","languageDefinition","rawDefinition","requireLanguage","addPlugin","debugMode","safeMode","versionString","HLJS","formatter","EConstructor","FormattedError","eval","EvalError","uri","URIError","fmt","precision","argIndex","leadingZero","nextArg","slurpNumber","digits","vsprintf","replacements","log","printf","normalizeArray","allowAboveRoot","xs","resolvedPath","resolvedAbsolute","isAbsolute","trailingSlash","relative","fromParts","toParts","samePartsLength","outputParts","sep","delimiter","dirname","hasRoot","matchedSlash","basename","ext","extname","startDot","startPart","preDotState","helpers","Renderer","ParserCore","ParserBlock","ParserInline","LinkifyIt","punycode","zero","commonmark","BAD_PROTO_RE","GOOD_DATA_RE","validateLink","RECODE_HOSTNAME_FOR","normalizeLink","toASCII","normalizeLinkText","toUnicode","MarkdownIt","presetName","core","renderer","linkify","presets","components","ruler","rules2","ruler2","missed","State","parseInline","inlineMode","renderInline","encodeCache","exclude","keepEscaped","nextCode","defaultChars","getEncodeCache","componentChars","decodeCache","getDecodeCache","seq","b1","b2","b3","b4","slashes","Url","protocolPattern","portPattern","simplePathPattern","unwise","autoEscape","nonHostChars","hostEndingChars","hostnamePartPattern","hostnamePartStart","hostlessProtocol","slashedProtocol","slashesDenoteHost","lowerProto","hec","simplePath","atSign","hostEnd","parseHost","ipv6Hostname","hostparts","newpart","validParts","notHost","bit","qm","Any","Cc","Cf","Z","parseLinkLabel","parseLinkDestination","parseLinkTitle","disableNested","prevPos","labelEnd","posMax","oldPos","skipToken","lines","default_rules","code_inline","slf","renderAttrs","code_block","fence","tmpAttrs","tmpToken","langName","langPrefix","renderInlineAsText","renderToken","hardbreak","xhtmlOut","softbreak","breaks","html_block","html_inline","nextToken","needLf","_rules","Core","NEWLINES_RE","NULL_RE","isLinkClose","currentToken","ln","lastPos","htmlLinkLevel","fullUrl","urlText","links","blockTokens","pretest","RARE_RE","SCOPED_ABBR_TEST_RE","SCOPED_ABBR_RE","SCOPED_ABBR","tm","replaceFn","replace_scoped","inlineTokens","inside_autolink","replace_rare","blkIdx","typographer","QUOTE_TEST_RE","QUOTE_RE","replaceAt","process_inlines","thisLevel","lastChar","nextChar","isLastPunctChar","isNextPunctChar","isLastWhiteSpace","isNextWhiteSpace","canOpen","canClose","isSingle","openQuote","closeQuote","OUTER","single","quotes","StateCore","startLine","endLine","hasEmptyLines","maxNesting","skipEmptyLines","sCount","blkIndent","tight","outTokens","lineMax","getLine","bMarks","eMarks","escapedSplit","escapes","backTicked","lastBackTick","lineText","nextLine","columns","columnCount","aligns","tableLines","tbodyLines","tShift","getLines","mem","haveEndMarker","skipChars","skipSpaces","adjustTab","initial","lastLineEmpty","oldBMarks","oldBSCount","oldIndent","oldParentType","oldSCount","oldTShift","spaceAfterMarker","terminate","terminatorRules","wasOutdented","oldLineMax","bsCount","cnt","skipBulletListMarker","skipOrderedListMarker","contentStart","indent","indentAfterMarker","isOrdered","itemLines","listLines","listTokIdx","markerCharCode","markerValue","oldListIndent","oldTight","posAfterMarker","prevEmptyEnd","isTerminatingParagraph","listIndent","markTightParagraphs","_endLine","destEndPos","destEndLineNo","label","references","skipSpacesBack","skipCharsBack","block_names","HTML_SEQUENCES","StateBlock","indent_found","ddIndent","keepLastLF","lineIndent","lineStart","_rules2","pushPending","isTerminatorChar","pmax","ESCAPED","labelStart","parseReference","EMAIL_RE","AUTOLINK_RE","linkMatch","emailMatch","lc","isLetter","DIGITAL_RE","NAMED_RE","processDelimiters","closerIdx","openerIdx","closer","opener","minOpenerIdx","newMinOpenerIdx","isOddMatch","lastJump","openersBottom","StateInline","pendingLevel","_prev_delimiters","token_meta","canSplitWord","left_flanking","right_flanking","fuzzyLink","fuzzyEmail","fuzzyIP","defaultSchemas","validate","http","src_auth","src_host_port_strict","src_path","no_http","src_domain","src_domain_root","src_port","src_host_terminator","mailto","src_email_name","src_host_strict","tlds_default","__opts__","tlds","__tlds__","untpl","tpl","src_tlds","onCompile","__tlds_replaced__","src_xn","email_fuzzy","tpl_email_fuzzy","link_fuzzy","tpl_link_fuzzy","link_no_ip_fuzzy","tpl_link_no_ip_fuzzy","host_fuzzy_test","tpl_host_fuzzy_test","schemaError","__compiled__","__schemas__","isRegExp","createValidator","slist","schema_test","src_ZPCc","schema_search","__index__","__text_cache__","resetScanCache","Match","__last_index__","__schema__","createMatch","schemas","definition","ml","me","tld_pos","testSchemaAt","keepOld","src_Any","src_Cc","src_Z","src_P","src_ZCc","src_pseudo_letter","src_ip4","src_host","tpl_host_fuzzy","tpl_host_no_ip_fuzzy","tpl_host_fuzzy_strict","tpl_host_port_fuzzy_strict","tpl_host_port_no_ip_fuzzy_strict","freeGlobal","maxInt","regexPunycode","regexNonASCII","regexSeparators","errors","stringFromCharCode","mapDomain","ucs2decode","counter","ucs2encode","digitToBasic","digit","flag","adapt","delta","numPoints","baseMinusTMin","basic","oldi","baseMinusT","codePoint","inputLength","handledCPCount","basicLength","q","currentValue","handledCPCountPlusOne","qMinusT","disableCheckboxes","useLabelWrapper","useLabelAfter","parentToken","targetLevel","isTodoItem","isParagraph","isListItem","startsWithTodoMarkdown","todoify","TokenConstructor","checkbox","disabledAttr","makeCheckbox","for","afterLabel","beginLabel","endLabel","labelAfter","scope","require","revocable","ProxyPolyfill","lastRevokeFn","validateProto","$Object","canCreateNullProtoObjects","objectCreate","throwRevoked","trap","unsafeHandler","proxy","isMethod","usingNew","propertyNames","propertyMap","real","prototypeOk","setProto","seal","horizontal_rule","heading","hard_break","em","strong","MarkdownParseState","tokenHandlers","noCloseToken","withoutTrailingNewline","noOp","maybeMerge","openMark","closeMark","parseTokens","toks","MarkdownParser","tokenizer","nodeType$1","MarkdownSerializer","serialize","MarkdownSerializerState","renderContent","defaultMarkdownSerializer","wrapBlock","ensureNewLine","closeBlock","repeat","renderList","bullet","maxW","nStr","esc","quote","mixable","expelEnclosingWhitespace","_state","isPlainURL","_mark","backticksFor","ticks","delim","closed","inTightList","tightLists","flushClose","atBlank","delimMin","firstDelim","trailing","progress","leading","lead","inner$1","trail","noEsc","markString","isTight","prevTight","getEnclosingWhitespace"],"mappings":"iFAAAA,EAAOC,QAAU,SAAUC,GACzB,IACE,QAASA,IACT,MAAOC,GACP,OAAO,K,gBCJX,IAAIC,EAAS,EAAQ,GACjBC,EAAS,EAAQ,IACjBC,EAAM,EAAQ,GACdC,EAAM,EAAQ,IACdC,EAAgB,EAAQ,IACxBC,EAAoB,EAAQ,KAE5BC,EAAwBL,EAAO,OAC/BM,EAASP,EAAOO,OAChBC,EAAwBH,EAAoBE,EAASA,GAAUA,EAAOE,eAAiBN,EAE3FP,EAAOC,QAAU,SAAUa,GAIvB,OAHGR,EAAII,EAAuBI,KAC1BN,GAAiBF,EAAIK,EAAQG,GAAOJ,EAAsBI,GAAQH,EAAOG,GACxEJ,EAAsBI,GAAQF,EAAsB,UAAYE,IAC9DJ,EAAsBI,K,iBCfjC,8BACE,OAAOC,GAAMA,EAAGC,MAAQA,MAAQD,GAIlCf,EAAOC,QAELgB,EAA2B,iBAAdC,YAA0BA,aACvCD,EAAuB,iBAAVE,QAAsBA,SACnCF,EAAqB,iBAARG,MAAoBA,OACjCH,EAAuB,iBAAVb,GAAsBA,IAEnCiB,SAAS,cAATA,K,+CCVF,IAAIC,EAAO,EAAQ,IAMfC,EAAWC,OAAOC,UAAUF,SAQhC,SAASG,EAAQC,GACf,MAA8B,mBAAvBJ,EAASK,KAAKD,GASvB,SAASE,EAAYF,GACnB,YAAsB,IAARA,EA4EhB,SAASG,EAASH,GAChB,OAAe,OAARA,GAA+B,iBAARA,EAuChC,SAASI,EAAWJ,GAClB,MAA8B,sBAAvBJ,EAASK,KAAKD,GAwEvB,SAASK,EAAQC,EAAKC,GAEpB,GAAID,QAUJ,GALmB,iBAARA,IAETA,EAAM,CAACA,IAGLP,EAAQO,GAEV,IAAK,IAAIE,EAAI,EAAGC,EAAIH,EAAII,OAAQF,EAAIC,EAAGD,IACrCD,EAAGN,KAAK,KAAMK,EAAIE,GAAIA,EAAGF,QAI3B,IAAK,IAAIK,KAAOL,EACVT,OAAOC,UAAUc,eAAeX,KAAKK,EAAKK,IAC5CJ,EAAGN,KAAK,KAAMK,EAAIK,GAAMA,EAAKL,GAoFrCjC,EAAOC,QAAU,CACfyB,QAASA,EACTc,cApRF,SAAuBb,GACrB,MAA8B,yBAAvBJ,EAASK,KAAKD,IAoRrBc,SAhSF,SAAkBd,GAChB,OAAe,OAARA,IAAiBE,EAAYF,IAA4B,OAApBA,EAAIe,cAAyBb,EAAYF,EAAIe,cAChD,mBAA7Bf,EAAIe,YAAYD,UAA2Bd,EAAIe,YAAYD,SAASd,IA+RhFgB,WA5QF,SAAoBhB,GAClB,MAA4B,oBAAbiB,UAA8BjB,aAAeiB,UA4Q5DC,kBAnQF,SAA2BlB,GAOzB,MAL4B,oBAAhBmB,aAAiCA,YAAkB,OACpDA,YAAYC,OAAOpB,GAEnB,GAAUA,EAAU,QAAMA,EAAIqB,kBAAkBF,aA+P3DG,SApPF,SAAkBtB,GAChB,MAAsB,iBAARA,GAoPduB,SA3OF,SAAkBvB,GAChB,MAAsB,iBAARA,GA2OdG,SAAUA,EACVD,YAAaA,EACbsB,OA1NF,SAAgBxB,GACd,MAA8B,kBAAvBJ,EAASK,KAAKD,IA0NrByB,OAjNF,SAAgBzB,GACd,MAA8B,kBAAvBJ,EAASK,KAAKD,IAiNrB0B,OAxMF,SAAgB1B,GACd,MAA8B,kBAAvBJ,EAASK,KAAKD,IAwMrBI,WAAYA,EACZuB,SAtLF,SAAkB3B,GAChB,OAAOG,EAASH,IAAQI,EAAWJ,EAAI4B,OAsLvCC,kBA7KF,SAA2B7B,GACzB,MAAkC,oBAApB8B,iBAAmC9B,aAAe8B,iBA6KhEC,qBAjJF,WACE,OAAyB,oBAAdC,WAAoD,gBAAtBA,UAAUC,SACY,iBAAtBD,UAAUC,SACY,OAAtBD,UAAUC,WAI/B,oBAAXzC,QACa,oBAAb0C,WA0IT7B,QAASA,EACT8B,MA/EF,SAASA,IACP,IAAIC,EAAS,GACb,SAASC,EAAYrC,EAAKW,GACG,iBAAhByB,EAAOzB,IAAoC,iBAARX,EAC5CoC,EAAOzB,GAAOwB,EAAMC,EAAOzB,GAAMX,GAEjCoC,EAAOzB,GAAOX,EAIlB,IAAK,IAAIQ,EAAI,EAAGC,EAAI6B,UAAU5B,OAAQF,EAAIC,EAAGD,IAC3CH,EAAQiC,UAAU9B,GAAI6B,GAExB,OAAOD,GAmEPG,UAxDF,SAASA,IACP,IAAIH,EAAS,GACb,SAASC,EAAYrC,EAAKW,GACG,iBAAhByB,EAAOzB,IAAoC,iBAARX,EAC5CoC,EAAOzB,GAAO4B,EAAUH,EAAOzB,GAAMX,GAErCoC,EAAOzB,GADiB,iBAARX,EACFuC,EAAU,GAAIvC,GAEdA,EAIlB,IAAK,IAAIQ,EAAI,EAAGC,EAAI6B,UAAU5B,OAAQF,EAAIC,EAAGD,IAC3CH,EAAQiC,UAAU9B,GAAI6B,GAExB,OAAOD,GA0CPI,OA/BF,SAAgBC,EAAGC,EAAGC,GAQpB,OAPAtC,EAAQqC,GAAG,SAAqB1C,EAAKW,GAEjC8B,EAAE9B,GADAgC,GAA0B,mBAAR3C,EACXL,EAAKK,EAAK2C,GAEV3C,KAGNyC,GAwBPG,KAzKF,SAAcC,GACZ,OAAOA,EAAIC,QAAQ,OAAQ,IAAIA,QAAQ,OAAQ,O,cC9KjD,IAAIlC,EAAiB,GAAGA,eAExBvC,EAAOC,QAAU,SAAUc,EAAIuB,GAC7B,OAAOC,EAAeX,KAAKb,EAAIuB,K,gBCHjC,IAAIR,EAAW,EAAQ,GAEvB9B,EAAOC,QAAU,SAAUc,GACzB,IAAKe,EAASf,GACZ,MAAM2D,UAAUC,OAAO5D,GAAM,qBAC7B,OAAOA,I,cCLXf,EAAOC,QAAU,SAAUc,GACzB,MAAqB,iBAAPA,EAAyB,OAAPA,EAA4B,mBAAPA,I,gBCDvD,IAAI6D,EAAQ,EAAQ,GAGpB5E,EAAOC,SAAW2E,GAAM,WACtB,OAA8E,GAAvEpD,OAAOqD,eAAe,GAAI,EAAG,CAAEC,IAAK,WAAc,OAAO,KAAQ,O,gBCJ1E,IAAIC,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,IACzBC,EAAW,EAAQ,GACnBC,EAAc,EAAQ,IAEtBC,EAAuB3D,OAAOqD,eAIlC5E,EAAQmF,EAAIL,EAAcI,EAAuB,SAAwBE,EAAGC,EAAGC,GAI7E,GAHAN,EAASI,GACTC,EAAIJ,EAAYI,GAAG,GACnBL,EAASM,GACLP,EAAgB,IAClB,OAAOG,EAAqBE,EAAGC,EAAGC,GAClC,MAAOpF,IACT,GAAI,QAASoF,GAAc,QAASA,EAAY,MAAMb,UAAU,2BAEhE,MADI,UAAWa,IAAYF,EAAEC,GAAKC,EAAWC,OACtCH,I,gBClBT,IAAIN,EAAc,EAAQ,GACtBU,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC1F,EAAOC,QAAU8E,EAAc,SAAUY,EAAQrD,EAAKkD,GACpD,OAAOC,EAAqBL,EAAEO,EAAQrD,EAAKoD,EAAyB,EAAGF,KACrE,SAAUG,EAAQrD,EAAKkD,GAEzB,OADAG,EAAOrD,GAAOkD,EACPG,I,gBCRT,IAAIvF,EAAS,EAAQ,GACjBwF,EAA2B,EAAQ,IAAmDR,EACtFS,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBC,EAAY,EAAQ,IACpBC,EAA4B,EAAQ,KACpCC,EAAW,EAAQ,IAgBvBjG,EAAOC,QAAU,SAAUiG,EAASC,GAClC,IAGYC,EAAQ9D,EAAK+D,EAAgBC,EAAgBC,EAHrDC,EAASN,EAAQE,OACjBK,EAASP,EAAQ9F,OACjBsG,EAASR,EAAQS,KASrB,GANEP,EADEK,EACOrG,EACAsG,EACAtG,EAAOoG,IAAWT,EAAUS,EAAQ,KAEnCpG,EAAOoG,IAAW,IAAI/E,UAEtB,IAAKa,KAAO6D,EAAQ,CAQ9B,GAPAG,EAAiBH,EAAO7D,GAGtB+D,EAFEH,EAAQU,aACVL,EAAaX,EAAyBQ,EAAQ9D,KACfiE,EAAWf,MACpBY,EAAO9D,IACtB2D,EAASQ,EAASnE,EAAMkE,GAAUE,EAAS,IAAM,KAAOpE,EAAK4D,EAAQW,cAE5CC,IAAnBT,EAA8B,CAC3C,UAAWC,UAA0BD,EAAgB,SACrDL,EAA0BM,EAAgBD,IAGxCH,EAAQa,MAASV,GAAkBA,EAAeU,OACpDlB,EAA4BS,EAAgB,QAAQ,GAGtDR,EAASM,EAAQ9D,EAAKgE,EAAgBJ,M,6BCjD1C,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAER1E,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQ+G,WAAa/G,EAAQgH,iBAAmBhH,EAAQiH,UAAYjH,EAAQkH,YAAclH,EAAQmH,eAAiBnH,EAAQoH,kBAAoBpH,EAAQqH,YAAS,EAsBhKrH,EAAQqH,OAXK,SAAgBC,EAAKC,GAChC,OAAOP,EAAiBM,EAAK,GAAIC,IAkCnCvH,EAAQoH,kBAZgB,SAA2BI,GACjD,OAAOtG,OAAOuG,SAASC,SAAW,KAAOxG,OAAOuG,SAASE,KAXpC,SAA0BH,GAC/C,OAAOT,IAAe,eAAiBS,EAUyBI,CAAiBJ,IAkBnFxH,EAAQmH,eALa,SAAwBK,EAASK,GAEpD,OADAA,EAAsB,IAAZA,EAAgB,EAAI,EACvB3G,OAAOuG,SAASC,SAAW,KAAOxG,OAAOuG,SAASE,KAAOZ,IAAe,SAAWc,EAAU,QAAUL,EAAU,KAoD1HxH,EAAQkH,YAxCU,SAAqBY,EAAKC,EAAQ9B,GAClD,IAAI+B,EAAazG,OAAO0G,OAAO,CAC7BC,QAAQ,EACRC,WAAW,GACVlC,GAAW,IAEVmC,EAAS,SAAgBC,EAAMC,GAEjC,OADAA,EAAOA,GAAQ,GACRD,EAAK7D,QAAQ,eAAe,SAAUL,EAAGC,GAC9C,IAAImE,EAAID,EAAKlE,GAEb,OAAI4D,EAAWE,OACO,iBAANK,GAA+B,iBAANA,EAAiBC,mBAAmBD,EAAEjH,YAAckH,mBAAmBrE,GAE1F,iBAANoE,GAA+B,iBAANA,EAAiBA,EAAEjH,WAAa6C,MAS7E,MAJsB,MAAlB2D,EAAIW,OAAO,KACbX,EAAM,IAAMA,IAGsB,IAAhCY,GAAGC,OAAOC,mBAA+BZ,EAAWG,UAIjDpB,IAAe,aAAeqB,EAAON,EAAKC,GAAU,IAHlDhB,IAAeqB,EAAON,EAAKC,GAAU,KAoChD/H,EAAQiH,UAlBQ,SAAmBK,EAAKC,GACtC,OAA2B,IAAvBA,EAAKsB,QAAQ,KAER7B,EAAiBM,EAAK,MAAOC,EAAO,QAGtCP,EAAiBM,EAAK,MAAOC,IActC,IAAIP,EAAmB,SAA0BM,EAAKwB,EAAMvB,GAC1D,IAAIwB,GAAuC,IAA9BL,GAAGM,SAASH,QAAQvB,GAC7B2B,EAAOlC,IAiDX,MA/CwC,QAApCQ,EAAK2B,UAAU3B,EAAKnF,OAAS,IAAiB2G,EAYH,QAApCxB,EAAK2B,UAAU3B,EAAKnF,OAAS,IAAiB2G,GAgBrDE,GAHW,aAAR3B,GAA8B,SAARA,GAA0B,WAARA,GAA8B,SAATwB,EAGxD,IAFA,cAKLC,IACHE,GAAQ,SAGE,KAAR3B,IAEF2B,GADA3B,GAAO,KAILwB,IACFG,GAAQH,EAAO,KAGjBG,GAAQ1B,IA/BR0B,EAAOP,GAAGS,aAAa7B,GAEnBwB,IACFG,GAAQ,IAAMH,EAAO,KAGiB,MAApCG,EAAKC,UAAUD,EAAK7G,OAAS,KAC/B6G,GAAQ,KAGVA,GAAQ1B,IAtBR0B,GAAQ,mBAAqB3B,EAEhB,cAATC,IACF0B,GAAQ,IAEJH,IACFG,GAAQG,UAAUN,EAAO,MAG3BG,GAAQ1B,IAqCL0B,GAWTjJ,EAAQgH,iBAAmBA,EAE3B,IAAID,EAAa,WACf,OAAO2B,GAAGW,SAGZrJ,EAAQ+G,WAAaA,G,gBCjNrB,IAAI5G,EAAS,EAAQ,GACjByF,EAA8B,EAAQ,GACtCvF,EAAM,EAAQ,GACdyF,EAAY,EAAQ,IACpBwD,EAAgB,EAAQ,IACxBC,EAAsB,EAAQ,IAE9BC,EAAmBD,EAAoB1E,IACvC4E,EAAuBF,EAAoBG,QAC3CC,EAAWjF,OAAOA,QAAQkF,MAAM,WAEnC7J,EAAOC,QAAU,SAAUoF,EAAG/C,EAAKkD,EAAOU,GACzC,IAAI4D,IAAS5D,KAAYA,EAAQ4D,OAC7BC,IAAS7D,KAAYA,EAAQ8D,WAC7BpD,IAAcV,KAAYA,EAAQU,YAClB,mBAATpB,IACS,iBAAPlD,GAAoBhC,EAAIkF,EAAO,SAASK,EAA4BL,EAAO,OAAQlD,GAC9FoH,EAAqBlE,GAAOW,OAASyD,EAASK,KAAmB,iBAAP3H,EAAkBA,EAAM,KAEhF+C,IAAMjF,GAIE0J,GAEAlD,GAAevB,EAAE/C,KAC3ByH,GAAS,UAFF1E,EAAE/C,GAIPyH,EAAQ1E,EAAE/C,GAAOkD,EAChBK,EAA4BR,EAAG/C,EAAKkD,IATnCuE,EAAQ1E,EAAE/C,GAAOkD,EAChBO,EAAUzD,EAAKkD,KAUrBnE,SAASI,UAAW,YAAY,WACjC,MAAsB,mBAARyI,MAAsBT,EAAiBS,MAAM/D,QAAUoD,EAAcW,U,8BC9BrF1I,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQkK,UA8BR,SAAmBrJ,EAAMsJ,GACvBC,EAAIF,UAAUrJ,EAAMsJ,IA9BtBnK,EAAQqK,YA0CR,SAAqBxJ,EAAMsJ,GACzBC,EAAIC,YAAYxJ,EAAMsJ,IA1CxBnK,EAAQsK,KAoDR,SAAczJ,EAAM0J,GAClBH,EAAIE,KAAKzJ,EAAM0J,IAnDjB,IAAIC,EAAY,EAAQ,KAEpBC,EAAa,EAAQ,KAgBzB,IAAIL,QAbuB,IAAdlJ,OAAOwH,IAAsBxH,OAAOwH,GAAGgC,gBAA6C,IAAzBxJ,OAAOyJ,gBAC3EC,QAAQC,KAAK,sEACb3J,OAAOyJ,cAAgBzJ,OAAOwH,GAAGgC,gBAIC,IAAzBxJ,OAAOyJ,cACT,IAAIH,EAAUM,SAAS5J,OAAOyJ,eAE9BzJ,OAAOyJ,cAAgB,IAAIF,EAAWM,Y,gBCvBjD,IAAIC,EAAY,EAAQ,IAEpBC,EAAMlK,KAAKkK,IAIflL,EAAOC,QAAU,SAAUkL,GACzB,OAAOA,EAAW,EAAID,EAAID,EAAUE,GAAW,kBAAoB,I,gBCPrE,IAAIC,EAAyB,EAAQ,IAIrCpL,EAAOC,QAAU,SAAUkL,GACzB,OAAO3J,OAAO4J,EAAuBD,M,cCLvCnL,EAAOC,QAAU,I,6BCMF,SAASoL,EACtBC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,GAGA,IAqBIC,EArBA5F,EAAmC,mBAAlBoF,EACjBA,EAAcpF,QACdoF,EAsDJ,GAnDIC,IACFrF,EAAQqF,OAASA,EACjBrF,EAAQsF,gBAAkBA,EAC1BtF,EAAQ6F,WAAY,GAIlBN,IACFvF,EAAQ8F,YAAa,GAInBL,IACFzF,EAAQ+F,SAAW,UAAYN,GAI7BC,GACFE,EAAO,SAAUI,IAEfA,EACEA,GACChC,KAAKiC,QAAUjC,KAAKiC,OAAOC,YAC3BlC,KAAKmC,QAAUnC,KAAKmC,OAAOF,QAAUjC,KAAKmC,OAAOF,OAAOC,aAEZ,oBAAxBE,sBACrBJ,EAAUI,qBAGRZ,GACFA,EAAa9J,KAAKsI,KAAMgC,GAGtBA,GAAWA,EAAQK,uBACrBL,EAAQK,sBAAsBC,IAAIZ,IAKtC1F,EAAQuG,aAAeX,GACdJ,IACTI,EAAOD,EACH,WACAH,EAAa9J,KACXsI,MACChE,EAAQ8F,WAAa9B,KAAKmC,OAASnC,MAAMwC,MAAMC,SAASC,aAG3DlB,GAGFI,EACF,GAAI5F,EAAQ8F,WAAY,CAGtB9F,EAAQ2G,cAAgBf,EAExB,IAAIgB,EAAiB5G,EAAQqF,OAC7BrF,EAAQqF,OAAS,SAAmCwB,EAAGb,GAErD,OADAJ,EAAKlK,KAAKsK,GACHY,EAAeC,EAAGb,QAEtB,CAEL,IAAIc,EAAW9G,EAAQ+G,aACvB/G,EAAQ+G,aAAeD,EACnB,GAAGE,OAAOF,EAAUlB,GACpB,CAACA,GAIT,MAAO,CACL7L,QAASqL,EACTpF,QAASA,GA/Fb,mC,cCAA,IAAI3E,EAAW,GAAGA,SAElBvB,EAAOC,QAAU,SAAUc,GACzB,OAAOQ,EAASK,KAAKb,GAAIoM,MAAM,GAAI,K,gBCHrC,IASIC,EAAKtI,EAAKxE,EATV+M,EAAkB,EAAQ,KAC1BjN,EAAS,EAAQ,GACjB0B,EAAW,EAAQ,GACnB+D,EAA8B,EAAQ,GACtCyH,EAAY,EAAQ,GACpBC,EAAY,EAAQ,IACpBC,EAAa,EAAQ,IAErBC,EAAUrN,EAAOqN,QAgBrB,GAAIJ,EAAiB,CACnB,IAAIK,EAAQ,IAAID,EACZE,EAAQD,EAAM5I,IACd8I,EAAQF,EAAMpN,IACduN,EAAQH,EAAMN,IAClBA,EAAM,SAAUrM,EAAI+M,GAElB,OADAD,EAAMjM,KAAK8L,EAAO3M,EAAI+M,GACfA,GAEThJ,EAAM,SAAU/D,GACd,OAAO4M,EAAM/L,KAAK8L,EAAO3M,IAAO,IAElCT,EAAM,SAAUS,GACd,OAAO6M,EAAMhM,KAAK8L,EAAO3M,QAEtB,CACL,IAAIgN,EAAQR,EAAU,SACtBC,EAAWO,IAAS,EACpBX,EAAM,SAAUrM,EAAI+M,GAElB,OADAjI,EAA4B9E,EAAIgN,EAAOD,GAChCA,GAEThJ,EAAM,SAAU/D,GACd,OAAOuM,EAAUvM,EAAIgN,GAAShN,EAAGgN,GAAS,IAE5CzN,EAAM,SAAUS,GACd,OAAOuM,EAAUvM,EAAIgN,IAIzB/N,EAAOC,QAAU,CACfmN,IAAKA,EACLtI,IAAKA,EACLxE,IAAKA,EACLqJ,QA/CY,SAAU5I,GACtB,OAAOT,EAAIS,GAAM+D,EAAI/D,GAAMqM,EAAIrM,EAAI,KA+CnCiN,UA5Cc,SAAUC,GACxB,OAAO,SAAUlN,GACf,IAAImN,EACJ,IAAKpM,EAASf,KAAQmN,EAAQpJ,EAAI/D,IAAKgI,OAASkF,EAC9C,MAAMvJ,UAAU,0BAA4BuJ,EAAO,aACnD,OAAOC,M,cClBblO,EAAOC,QAAU,SAAUc,GACzB,GAAU+F,MAAN/F,EAAiB,MAAM2D,UAAU,wBAA0B3D,GAC/D,OAAOA,I,gBCJT,IAAIoN,EAAO,EAAQ,KACf/N,EAAS,EAAQ,GAEjBgO,EAAY,SAAUC,GACxB,MAA0B,mBAAZA,EAAyBA,OAAWvH,GAGpD9G,EAAOC,QAAU,SAAUqO,EAAWC,GACpC,OAAOtK,UAAU5B,OAAS,EAAI+L,EAAUD,EAAKG,KAAeF,EAAUhO,EAAOkO,IACzEH,EAAKG,IAAcH,EAAKG,GAAWC,IAAWnO,EAAOkO,IAAclO,EAAOkO,GAAWC,K,cCT3F,IAAIC,EAAOxN,KAAKwN,KACZC,EAAQzN,KAAKyN,MAIjBzO,EAAOC,QAAU,SAAUkL,GACzB,OAAOuD,MAAMvD,GAAYA,GAAY,GAAKA,EAAW,EAAIsD,EAAQD,GAAMrD,K,cCNzEnL,EAAOC,QAAU,SAAU0O,EAAQnJ,GACjC,MAAO,CACLwE,aAAuB,EAAT2E,GACdC,eAAyB,EAATD,GAChBE,WAAqB,EAATF,GACZnJ,MAAOA,K,gBCJX,IAAIsJ,EAAgB,EAAQ,IACxB1D,EAAyB,EAAQ,IAErCpL,EAAOC,QAAU,SAAUc,GACzB,OAAO+N,EAAc1D,EAAuBrK,M,cCL9Cf,EAAOC,QAAU,I,+BCEjB,EAAQ,IAERuB,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQ8O,aAAU,EAElB,IAIgC9M,EAJ5B+M,GAI4B/M,EAJI,EAAQ,OAISA,EAAIgN,WAAahN,EAAM,CAAE8M,QAAS9M,GAFnFiN,EAAQ,EAAQ,KAIpB,IAAIC,EAASH,EAAOD,QAAQK,OAAO,CACjCC,QAAS,CACPC,cAAc,EAAIJ,EAAMK,sBAIxBC,EAAmBhO,OAAO0G,OAAOiH,EAAQ,CAC3CM,YAAaT,EAAOD,QAAQU,YAC5BC,SAAUV,EAAOD,QAAQW,YAE3B,EAAIR,EAAMS,uBAAsB,SAAUC,GACxC,OAAOT,EAAOU,SAASR,QAAQC,aAAeM,KAEhD,IAAIE,EAAWN,EACfvP,EAAQ8O,QAAUe,G,gBC7BlB,IAAI1B,EAAY,EAAQ,KAGxBpO,EAAOC,QAAU,SAAUiC,EAAI6N,EAAM1N,GAEnC,GADA+L,EAAUlM,QACG4E,IAATiJ,EAAoB,OAAO7N,EAC/B,OAAQG,GACN,KAAK,EAAG,OAAO,WACb,OAAOH,EAAGN,KAAKmO,IAEjB,KAAK,EAAG,OAAO,SAAU3L,GACvB,OAAOlC,EAAGN,KAAKmO,EAAM3L,IAEvB,KAAK,EAAG,OAAO,SAAUA,EAAGC,GAC1B,OAAOnC,EAAGN,KAAKmO,EAAM3L,EAAGC,IAE1B,KAAK,EAAG,OAAO,SAAUD,EAAGC,EAAG2L,GAC7B,OAAO9N,EAAGN,KAAKmO,EAAM3L,EAAGC,EAAG2L,IAG/B,OAAO,WACL,OAAO9N,EAAG+N,MAAMF,EAAM9L,c,gBCrB1B,IAAIc,EAAc,EAAQ,GACtBH,EAAQ,EAAQ,GAChBtE,EAAM,EAAQ,GAEduE,EAAiBrD,OAAOqD,eACxBqL,EAAQ,GAERC,EAAU,SAAUpP,GAAM,MAAMA,GAEpCf,EAAOC,QAAU,SAAUmQ,EAAalK,GACtC,GAAI5F,EAAI4P,EAAOE,GAAc,OAAOF,EAAME,GACrClK,IAASA,EAAU,IACxB,IAAIqI,EAAS,GAAG6B,GACZC,IAAY/P,EAAI4F,EAAS,cAAeA,EAAQmK,UAChDC,EAAYhQ,EAAI4F,EAAS,GAAKA,EAAQ,GAAKiK,EAC3CI,EAAYjQ,EAAI4F,EAAS,GAAKA,EAAQ,QAAKY,EAE/C,OAAOoJ,EAAME,KAAiB7B,IAAW3J,GAAM,WAC7C,GAAIyL,IAActL,EAAa,OAAO,EACtC,IAAIM,EAAI,CAAEhD,QAAS,GAEfgO,EAAWxL,EAAeQ,EAAG,EAAG,CAAE2E,YAAY,EAAMlF,IAAKqL,IACxD9K,EAAE,GAAK,EAEZkJ,EAAO3M,KAAKyD,EAAGiL,EAAWC,Q,gBCxB9B,IAmDIC,EAnDAvL,EAAW,EAAQ,GACnBwL,EAAmB,EAAQ,KAC3BC,EAAc,EAAQ,IACtBlD,EAAa,EAAQ,IACrBmD,EAAO,EAAQ,KACfC,EAAwB,EAAQ,IAChCrD,EAAY,EAAQ,IAMpBsD,EAAWtD,EAAU,YAErBuD,EAAmB,aAEnBC,EAAY,SAAUC,GACxB,MAAOC,WAAmBD,EAAnBC,cAmCLC,EAAkB,WACpB,IAEEV,EAAkB3M,SAASsN,QAAU,IAAIC,cAAc,YACvD,MAAOjR,IA1BoB,IAIzBkR,EAFAC,EAyBJJ,EAAkBV,EApCY,SAAUA,GACxCA,EAAgBe,MAAMR,EAAU,KAChCP,EAAgBgB,QAChB,IAAIC,EAAOjB,EAAgBkB,aAAalQ,OAExC,OADAgP,EAAkB,KACXiB,EA+B6BE,CAA0BnB,KAzB1Dc,EAASV,EAAsB,WAG5BgB,MAAMC,QAAU,OACvBlB,EAAKmB,YAAYR,GAEjBA,EAAOS,IAAMpN,OALJ,gBAMT0M,EAAiBC,EAAOU,cAAcnO,UACvBoO,OACfZ,EAAeE,MAAMR,EAAU,sBAC/BM,EAAeG,QACRH,EAAea,GAgBtB,IADA,IAAI7P,EAASqO,EAAYrO,OAClBA,YAAiB6O,EAAyB,UAAER,EAAYrO,IAC/D,OAAO6O,KAGT1D,EAAWqD,IAAY,EAIvB7Q,EAAOC,QAAUuB,OAAO4N,QAAU,SAAgB/J,EAAG8M,GACnD,IAAIpO,EAQJ,OAPU,OAANsB,GACFyL,EAA0B,UAAI7L,EAASI,GACvCtB,EAAS,IAAI+M,EACbA,EAA0B,UAAI,KAE9B/M,EAAO8M,GAAYxL,GACdtB,EAASmN,SACMpK,IAAfqL,EAA2BpO,EAAS0M,EAAiB1M,EAAQoO,K,gBC5EtE,IAAItN,EAAiB,EAAQ,GAAuCO,EAChE9E,EAAM,EAAQ,GAGd8R,EAFkB,EAAQ,EAEVC,CAAgB,eAEpCrS,EAAOC,QAAU,SAAUc,EAAIuR,EAAK5L,GAC9B3F,IAAOT,EAAIS,EAAK2F,EAAS3F,EAAKA,EAAGU,UAAW2Q,IAC9CvN,EAAe9D,EAAIqR,EAAe,CAAExD,cAAc,EAAMpJ,MAAO8M,M,gBCRnE,IAAIxQ,EAAW,EAAQ,GAMvB9B,EAAOC,QAAU,SAAUsS,EAAOC,GAChC,IAAK1Q,EAASyQ,GAAQ,OAAOA,EAC7B,IAAIrQ,EAAIP,EACR,GAAI6Q,GAAoD,mBAAxBtQ,EAAKqQ,EAAMhR,YAA4BO,EAASH,EAAMO,EAAGN,KAAK2Q,IAAS,OAAO5Q,EAC9G,GAAmC,mBAAvBO,EAAKqQ,EAAME,WAA2B3Q,EAASH,EAAMO,EAAGN,KAAK2Q,IAAS,OAAO5Q,EACzF,IAAK6Q,GAAoD,mBAAxBtQ,EAAKqQ,EAAMhR,YAA4BO,EAASH,EAAMO,EAAGN,KAAK2Q,IAAS,OAAO5Q,EAC/G,MAAM+C,UAAU,6C,cCZlB1E,EAAOC,SAAU,G,gBCAjB,IAAI2E,EAAQ,EAAQ,GAChB8N,EAAU,EAAQ,IAElB7I,EAAQ,GAAGA,MAGf7J,EAAOC,QAAU2E,GAAM,WAGrB,OAAQpD,OAAO,KAAKmR,qBAAqB,MACtC,SAAU5R,GACb,MAAsB,UAAf2R,EAAQ3R,GAAkB8I,EAAMjI,KAAKb,EAAI,IAAMS,OAAOT,IAC3DS,Q,gBCZJ,IAAIpB,EAAS,EAAQ,GACjByF,EAA8B,EAAQ,GAE1C7F,EAAOC,QAAU,SAAUqC,EAAKkD,GAC9B,IACEK,EAA4BzF,EAAQkC,EAAKkD,GACzC,MAAOrF,GACPC,EAAOkC,GAAOkD,EACd,OAAOA,I,gBCRX,IAAInF,EAAS,EAAQ,IACjBE,EAAM,EAAQ,IAEdqS,EAAOvS,EAAO,QAElBL,EAAOC,QAAU,SAAUqC,GACzB,OAAOsQ,EAAKtQ,KAASsQ,EAAKtQ,GAAO/B,EAAI+B,M,cCNvC,IAAIuQ,EAAK,EACLC,EAAU9R,KAAK+R,SAEnB/S,EAAOC,QAAU,SAAUqC,GACzB,MAAO,UAAYqC,YAAemC,IAARxE,EAAoB,GAAKA,GAAO,QAAUuQ,EAAKC,GAASvR,SAAS,M,cCH7FvB,EAAOC,QAAU,CACf,cACA,iBACA,gBACA,uBACA,iBACA,WACA,Y,cCNF,MAGM+S,EAAmBC,OAAOD,kBACH,iBAK7BhT,EAAOC,QAAU,CACfiT,oBAV0B,QAW1BC,WATiB,IAUjBH,mBACAI,0BANgC,K,6BCRlC,IAAIC,EAAI,EAAQ,IACZC,EAA4B,EAAQ,KACpCC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzBC,EAAiB,EAAQ,IACzB5N,EAA8B,EAAQ,GACtCC,EAAW,EAAQ,IACnBuM,EAAkB,EAAQ,GAC1BqB,EAAU,EAAQ,IAClBC,EAAY,EAAQ,IACpBC,EAAgB,EAAQ,IAExBC,EAAoBD,EAAcC,kBAClCC,EAAyBF,EAAcE,uBACvCC,EAAW1B,EAAgB,YAK3B2B,EAAa,WAAc,OAAO9J,MAEtClK,EAAOC,QAAU,SAAUgU,EAAUC,EAAMC,EAAqBC,EAAMC,EAASC,EAAQC,GACrFjB,EAA0Ba,EAAqBD,EAAME,GAErD,IAkBII,EAA0BC,EAASC,EAlBnCC,EAAqB,SAAUC,GACjC,GAAIA,IAASP,GAAWQ,EAAiB,OAAOA,EAChD,IAAKf,GAA0Bc,KAAQE,EAAmB,OAAOA,EAAkBF,GACnF,OAAQA,GACN,IAbK,OAcL,IAbO,SAcP,IAbQ,UAaM,OAAO,WAAqB,OAAO,IAAIT,EAAoBjK,KAAM0K,IAC/E,OAAO,WAAc,OAAO,IAAIT,EAAoBjK,QAGpDkI,EAAgB8B,EAAO,YACvBa,GAAwB,EACxBD,EAAoBb,EAASxS,UAC7BuT,EAAiBF,EAAkBf,IAClCe,EAAkB,eAClBT,GAAWS,EAAkBT,GAC9BQ,GAAmBf,GAA0BkB,GAAkBL,EAAmBN,GAClFY,EAA4B,SAARf,GAAkBY,EAAkBI,SAA4BF,EAiCxF,GA7BIC,IACFT,EAA2BjB,EAAe0B,EAAkBrT,KAAK,IAAIqS,IACjEJ,IAAsBrS,OAAOC,WAAa+S,EAAyBJ,OAChEV,GAAWH,EAAeiB,KAA8BX,IACvDL,EACFA,EAAegB,EAA0BX,GACa,mBAAtCW,EAAyBT,IACzClO,EAA4B2O,EAA0BT,EAAUC,IAIpEP,EAAee,EAA0BpC,GAAe,GAAM,GAC1DsB,IAASC,EAAUvB,GAAiB4B,KAzCjC,UA8CPK,GAAqBW,GA9Cd,WA8CgCA,EAAelU,OACxDiU,GAAwB,EACxBF,EAAkB,WAAoB,OAAOG,EAAepT,KAAKsI,QAI7DwJ,IAAWa,GAAWO,EAAkBf,KAAcc,GAC1DhP,EAA4BiP,EAAmBf,EAAUc,GAE3DlB,EAAUO,GAAQW,EAGdR,EAMF,GALAI,EAAU,CACRU,OAAQR,EA5DD,UA6DP/B,KAAM0B,EAASO,EAAkBF,EA9D5B,QA+DLO,QAASP,EA7DD,YA+DNJ,EAAQ,IAAKG,KAAOD,GAClBX,GAA0BiB,KAA2BL,KAAOI,KAC9DhP,EAASgP,EAAmBJ,EAAKD,EAAQC,SAEtCrB,EAAE,CAAEjN,OAAQ8N,EAAMkB,OAAO,EAAMvO,OAAQiN,GAA0BiB,GAAyBN,GAGnG,OAAOA,I,gBCxFT,IAGIY,EAAO,GAEXA,EALsB,EAAQ,EAEVhD,CAAgB,gBAGd,IAEtBrS,EAAOC,QAA2B,eAAjB0E,OAAO0Q,I,6BCNxB,IAYMC,EACAC,EAbFC,EAAc,EAAQ,IACtBC,EAAgB,EAAQ,KAExBC,EAAaC,OAAOlU,UAAUvB,KAI9B0V,EAAgBjR,OAAOlD,UAAUgD,QAEjCoR,EAAcH,EAEdI,GACER,EAAM,IACNC,EAAM,MACVG,EAAW9T,KAAK0T,EAAK,KACrBI,EAAW9T,KAAK2T,EAAK,KACI,IAAlBD,EAAIS,WAAqC,IAAlBR,EAAIQ,WAGhCC,EAAgBP,EAAcO,eAAiBP,EAAcQ,aAG7DC,OAAuCpP,IAAvB,OAAO5G,KAAK,IAAI,IAExB4V,GAA4BI,GAAiBF,KAGvDH,EAAc,SAAcrR,GAC1B,IACIuR,EAAWI,EAAQC,EAAOjU,EAD1BkU,EAAKnM,KAELoM,EAASN,GAAiBK,EAAGC,OAC7BC,EAAQf,EAAY5T,KAAKyU,GACzBlQ,EAASkQ,EAAGlQ,OACZqQ,EAAa,EACbC,EAAUjS,EA+Cd,OA7CI8R,KAE0B,KAD5BC,EAAQA,EAAM9R,QAAQ,IAAK,KACjBqE,QAAQ,OAChByN,GAAS,KAGXE,EAAU9R,OAAOH,GAAK2I,MAAMkJ,EAAGN,WAE3BM,EAAGN,UAAY,KAAOM,EAAGK,WAAaL,EAAGK,WAAuC,OAA1BlS,EAAI6R,EAAGN,UAAY,MAC3E5P,EAAS,OAASA,EAAS,IAC3BsQ,EAAU,IAAMA,EAChBD,KAIFL,EAAS,IAAIR,OAAO,OAASxP,EAAS,IAAKoQ,IAGzCL,IACFC,EAAS,IAAIR,OAAO,IAAMxP,EAAS,WAAYoQ,IAE7CT,IAA0BC,EAAYM,EAAGN,WAE7CK,EAAQV,EAAW9T,KAAK0U,EAASH,EAASE,EAAII,GAE1CH,EACEF,GACFA,EAAM7D,MAAQ6D,EAAM7D,MAAMpF,MAAMqJ,GAChCJ,EAAM,GAAKA,EAAM,GAAGjJ,MAAMqJ,GAC1BJ,EAAMO,MAAQN,EAAGN,UACjBM,EAAGN,WAAaK,EAAM,GAAG/T,QACpBgU,EAAGN,UAAY,EACbD,GAA4BM,IACrCC,EAAGN,UAAYM,EAAGjW,OAASgW,EAAMO,MAAQP,EAAM,GAAG/T,OAAS0T,GAEzDG,GAAiBE,GAASA,EAAM/T,OAAS,GAG3CuT,EAAchU,KAAKwU,EAAM,GAAID,GAAQ,WACnC,IAAKhU,EAAI,EAAGA,EAAI8B,UAAU5B,OAAS,EAAGF,SACf2E,IAAjB7C,UAAU9B,KAAkBiU,EAAMjU,QAAK2E,MAK1CsP,IAIXpW,EAAOC,QAAU4V,G,eCtFjB,IAAIe,EAGJA,EAAI,WACH,OAAO1M,KADJ,GAIJ,IAEC0M,EAAIA,GAAK,IAAIvV,SAAS,cAAb,GACR,MAAOwV,GAEc,iBAAX1V,SAAqByV,EAAIzV,QAOrCnB,EAAOC,QAAU2W,G,cClBjB,IAOIE,EACAC,EARAC,EAAUhX,EAAOC,QAAU,GAU/B,SAASgX,IACL,MAAM,IAAIC,MAAM,mCAEpB,SAASC,IACL,MAAM,IAAID,MAAM,qCAsBpB,SAASE,EAAWC,GAChB,GAAIP,IAAqBQ,WAErB,OAAOA,WAAWD,EAAK,GAG3B,IAAKP,IAAqBG,IAAqBH,IAAqBQ,WAEhE,OADAR,EAAmBQ,WACZA,WAAWD,EAAK,GAE3B,IAEI,OAAOP,EAAiBO,EAAK,GAC/B,MAAMR,GACJ,IAEI,OAAOC,EAAiBlV,KAAK,KAAMyV,EAAK,GAC1C,MAAMR,GAEJ,OAAOC,EAAiBlV,KAAKsI,KAAMmN,EAAK,MAvCnD,WACG,IAEQP,EADsB,mBAAfQ,WACYA,WAEAL,EAEzB,MAAOJ,GACLC,EAAmBG,EAEvB,IAEQF,EADwB,mBAAjBQ,aACcA,aAEAJ,EAE3B,MAAON,GACLE,EAAqBI,GAjB7B,GAwEA,IAEIK,EAFAC,EAAQ,GACRC,GAAW,EAEXC,GAAc,EAElB,SAASC,IACAF,GAAaF,IAGlBE,GAAW,EACPF,EAAanV,OACboV,EAAQD,EAAatK,OAAOuK,GAE5BE,GAAc,EAEdF,EAAMpV,QACNwV,KAIR,SAASA,IACL,IAAIH,EAAJ,CAGA,IAAII,EAAUV,EAAWQ,GACzBF,GAAW,EAGX,IADA,IAAIK,EAAMN,EAAMpV,OACV0V,GAAK,CAGP,IAFAP,EAAeC,EACfA,EAAQ,KACCE,EAAaI,GACdP,GACAA,EAAaG,GAAYK,MAGjCL,GAAc,EACdI,EAAMN,EAAMpV,OAEhBmV,EAAe,KACfE,GAAW,EAnEf,SAAyBO,GACrB,GAAIlB,IAAuBQ,aAEvB,OAAOA,aAAaU,GAGxB,IAAKlB,IAAuBI,IAAwBJ,IAAuBQ,aAEvE,OADAR,EAAqBQ,aACdA,aAAaU,GAExB,IAEWlB,EAAmBkB,GAC5B,MAAOpB,GACL,IAEI,OAAOE,EAAmBnV,KAAK,KAAMqW,GACvC,MAAOpB,GAGL,OAAOE,EAAmBnV,KAAKsI,KAAM+N,KAgD7CC,CAAgBJ,IAiBpB,SAASK,EAAKd,EAAKe,GACflO,KAAKmN,IAAMA,EACXnN,KAAKkO,MAAQA,EAYjB,SAASC,KA5BTrB,EAAQsB,SAAW,SAAUjB,GACzB,IAAIkB,EAAO,IAAIC,MAAMvU,UAAU5B,OAAS,GACxC,GAAI4B,UAAU5B,OAAS,EACnB,IAAK,IAAIF,EAAI,EAAGA,EAAI8B,UAAU5B,OAAQF,IAClCoW,EAAKpW,EAAI,GAAK8B,UAAU9B,GAGhCsV,EAAMgB,KAAK,IAAIN,EAAKd,EAAKkB,IACJ,IAAjBd,EAAMpV,QAAiBqV,GACvBN,EAAWS,IASnBM,EAAK1W,UAAUuW,IAAM,WACjB9N,KAAKmN,IAAIpH,MAAM,KAAM/F,KAAKkO,QAE9BpB,EAAQ0B,MAAQ,UAChB1B,EAAQ2B,SAAU,EAClB3B,EAAQ4B,IAAM,GACd5B,EAAQ6B,KAAO,GACf7B,EAAQlP,QAAU,GAClBkP,EAAQ8B,SAAW,GAInB9B,EAAQ+B,GAAKV,EACbrB,EAAQgC,YAAcX,EACtBrB,EAAQiC,KAAOZ,EACfrB,EAAQkC,IAAMb,EACdrB,EAAQmC,eAAiBd,EACzBrB,EAAQoC,mBAAqBf,EAC7BrB,EAAQzM,KAAO8N,EACfrB,EAAQqC,gBAAkBhB,EAC1BrB,EAAQsC,oBAAsBjB,EAE9BrB,EAAQuC,UAAY,SAAUzY,GAAQ,MAAO,IAE7CkW,EAAQwC,QAAU,SAAU1Y,GACxB,MAAM,IAAIoW,MAAM,qCAGpBF,EAAQyC,IAAM,WAAc,MAAO,KACnCzC,EAAQ0C,MAAQ,SAAUC,GACtB,MAAM,IAAIzC,MAAM,mCAEpBF,EAAQ4C,MAAQ,WAAa,OAAO,I,8BC/KpC5Z,EAAOC,QAAU,SAAU4Z,GACzB,IAAIC,EAAO,GAuDX,OArDAA,EAAKvY,SAAW,WACd,OAAO2I,KAAK6P,KAAI,SAAUC,GACxB,IAAIhJ,EAsDV,SAAgCgJ,EAAMH,GACpC,IAAI7I,EAAUgJ,EAAK,IAAM,GAErBC,EAAaD,EAAK,GAEtB,IAAKC,EACH,OAAOjJ,EAGT,GAAI6I,GAAgC,mBAATK,KAAqB,CAC9C,IAAIC,GAWWC,EAXeH,EAa5BI,EAASH,KAAKI,SAAS7R,mBAAmB8R,KAAKC,UAAUJ,MACzDK,EAAO,+DAA+DvN,OAAOmN,GAC1E,OAAOnN,OAAOuN,EAAM,QAdrBC,EAAaT,EAAWU,QAAQZ,KAAI,SAAU5T,GAChD,MAAO,iBAAiB+G,OAAO+M,EAAWW,YAAc,IAAI1N,OAAO/G,EAAQ,UAE7E,MAAO,CAAC6K,GAAS9D,OAAOwN,GAAYxN,OAAO,CAACiN,IAAgBlQ,KAAK,MAOrE,IAAmBmQ,EAEbC,EACAI,EAPJ,MAAO,CAACzJ,GAAS/G,KAAK,MAvEJ4Q,CAAuBb,EAAMH,GAE3C,OAAIG,EAAK,GACA,UAAU9M,OAAO8M,EAAK,GAAI,MAAM9M,OAAO8D,EAAS,KAGlDA,KACN/G,KAAK,KAKV6P,EAAK3X,EAAI,SAAU2Y,EAASC,EAAYC,GACf,iBAAZF,IAETA,EAAU,CAAC,CAAC,KAAMA,EAAS,MAG7B,IAAIG,EAAyB,GAE7B,GAAID,EACF,IAAK,IAAI7Y,EAAI,EAAGA,EAAI+H,KAAK7H,OAAQF,IAAK,CAEpC,IAAI0Q,EAAK3I,KAAK/H,GAAG,GAEP,MAAN0Q,IACFoI,EAAuBpI,IAAM,GAKnC,IAAK,IAAIqI,EAAK,EAAGA,EAAKJ,EAAQzY,OAAQ6Y,IAAM,CAC1C,IAAIlB,EAAO,GAAG9M,OAAO4N,EAAQI,IAEzBF,GAAUC,EAAuBjB,EAAK,MAKtCe,IACGf,EAAK,GAGRA,EAAK,GAAK,GAAG9M,OAAO6N,EAAY,SAAS7N,OAAO8M,EAAK,IAFrDA,EAAK,GAAKe,GAMdjB,EAAKrB,KAAKuB,MAIPF,I,6BC5DM,SAASqB,EAAcC,EAAUtB,GAG9C,IAFA,IAAIuB,EAAS,GACTC,EAAY,GACPnZ,EAAI,EAAGA,EAAI2X,EAAKzX,OAAQF,IAAK,CACpC,IAAI6X,EAAOF,EAAK3X,GACZ0Q,EAAKmH,EAAK,GAIVuB,EAAO,CACT1I,GAAIuI,EAAW,IAAMjZ,EACrBqZ,IALQxB,EAAK,GAMbyB,MALUzB,EAAK,GAMfI,UALcJ,EAAK,IAOhBsB,EAAUzI,GAGbyI,EAAUzI,GAAI6I,MAAMjD,KAAK8C,GAFzBF,EAAO5C,KAAK6C,EAAUzI,GAAM,CAAEA,GAAIA,EAAI6I,MAAO,CAACH,KAKlD,OAAOF,E,+CCjBT,IAAIM,EAAkC,oBAAb9X,SAEzB,GAAqB,oBAAV+X,OAAyBA,QAC7BD,EACH,MAAM,IAAIzE,MACV,2JAkBJ,IAAI2E,EAAc,GAQdC,EAAOH,IAAgB9X,SAASiY,MAAQjY,SAASkY,qBAAqB,QAAQ,IAC9EC,EAAmB,KACnBC,EAAmB,EACnBC,GAAe,EACf7D,EAAO,aACPnS,EAAU,KAKViW,EAA+B,oBAAdxY,WAA6B,eAAe0R,KAAK1R,UAAUyY,UAAUC,eAE3E,SAASC,EAAiBlB,EAAUtB,EAAMyC,EAAeC,GACtEN,EAAeK,EAEfrW,EAAUsW,GAAY,GAEtB,IAAInB,EAASF,EAAaC,EAAUtB,GAGpC,OAFA2C,EAAepB,GAER,SAAiBqB,GAEtB,IADA,IAAIC,EAAY,GACPxa,EAAI,EAAGA,EAAIkZ,EAAOhZ,OAAQF,IAAK,CACtC,IAAI6X,EAAOqB,EAAOlZ,IACdya,EAAWf,EAAY7B,EAAKnH,KACvBgK,OACTF,EAAUlE,KAAKmE,GAEbF,EAEFD,EADApB,EAASF,EAAaC,EAAUsB,IAGhCrB,EAAS,GAEX,IAASlZ,EAAI,EAAGA,EAAIwa,EAAUta,OAAQF,IAAK,CACzC,IAAIya,EACJ,GAAsB,KADlBA,EAAWD,EAAUxa,IACZ0a,KAAY,CACvB,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASlB,MAAMrZ,OAAQya,IACzCF,EAASlB,MAAMoB,YAEVjB,EAAYe,EAAS/J,OAMpC,SAAS4J,EAAgBpB,GACvB,IAAK,IAAIlZ,EAAI,EAAGA,EAAIkZ,EAAOhZ,OAAQF,IAAK,CACtC,IAAI6X,EAAOqB,EAAOlZ,GACdya,EAAWf,EAAY7B,EAAKnH,IAChC,GAAI+J,EAAU,CACZA,EAASC,OACT,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASlB,MAAMrZ,OAAQya,IACzCF,EAASlB,MAAMoB,GAAG9C,EAAK0B,MAAMoB,IAE/B,KAAOA,EAAI9C,EAAK0B,MAAMrZ,OAAQya,IAC5BF,EAASlB,MAAMjD,KAAKsE,EAAS/C,EAAK0B,MAAMoB,KAEtCF,EAASlB,MAAMrZ,OAAS2X,EAAK0B,MAAMrZ,SACrCua,EAASlB,MAAMrZ,OAAS2X,EAAK0B,MAAMrZ,YAEhC,CACL,IAAIqZ,EAAQ,GACZ,IAASoB,EAAI,EAAGA,EAAI9C,EAAK0B,MAAMrZ,OAAQya,IACrCpB,EAAMjD,KAAKsE,EAAS/C,EAAK0B,MAAMoB,KAEjCjB,EAAY7B,EAAKnH,IAAM,CAAEA,GAAImH,EAAKnH,GAAIgK,KAAM,EAAGnB,MAAOA,KAK5D,SAASsB,IACP,IAAIC,EAAepZ,SAASqZ,cAAc,SAG1C,OAFAD,EAAalU,KAAO,WACpB+S,EAAKhK,YAAYmL,GACVA,EAGT,SAASF,EAAU9a,GACjB,IAAIkb,EAAQC,EACRH,EAAepZ,SAASwZ,cAAc,2BAA8Bpb,EAAI4Q,GAAK,MAEjF,GAAIoK,EAAc,CAChB,GAAIf,EAGF,OAAO7D,EAOP4E,EAAaK,WAAWC,YAAYN,GAIxC,GAAId,EAAS,CAEX,IAAIqB,EAAavB,IACjBgB,EAAejB,IAAqBA,EAAmBgB,KACvDG,EAASM,EAAoBnc,KAAK,KAAM2b,EAAcO,GAAY,GAClEJ,EAASK,EAAoBnc,KAAK,KAAM2b,EAAcO,GAAY,QAGlEP,EAAeD,IACfG,EAASO,EAAWpc,KAAK,KAAM2b,GAC/BG,EAAS,WACPH,EAAaK,WAAWC,YAAYN,IAMxC,OAFAE,EAAOlb,GAEA,SAAsB0b,GAC3B,GAAIA,EAAQ,CACV,GAAIA,EAAOnC,MAAQvZ,EAAIuZ,KACnBmC,EAAOlC,QAAUxZ,EAAIwZ,OACrBkC,EAAOvD,YAAcnY,EAAImY,UAC3B,OAEF+C,EAAOlb,EAAM0b,QAEbP,KAKN,IACMQ,EADFC,GACED,EAAY,GAET,SAAUjH,EAAOmH,GAEtB,OADAF,EAAUjH,GAASmH,EACZF,EAAUG,OAAOC,SAAS/T,KAAK,QAI1C,SAASwT,EAAqBR,EAActG,EAAOyG,EAAQnb,GACzD,IAAIuZ,EAAM4B,EAAS,GAAKnb,EAAIuZ,IAE5B,GAAIyB,EAAagB,WACfhB,EAAagB,WAAWC,QAAUL,EAAYlH,EAAO6E,OAChD,CACL,IAAI2C,EAAUta,SAASua,eAAe5C,GAClC6C,EAAapB,EAAaoB,WAC1BA,EAAW1H,IAAQsG,EAAaM,YAAYc,EAAW1H,IACvD0H,EAAWhc,OACb4a,EAAaqB,aAAaH,EAASE,EAAW1H,IAE9CsG,EAAanL,YAAYqM,IAK/B,SAAST,EAAYT,EAAchb,GACjC,IAAIuZ,EAAMvZ,EAAIuZ,IACVC,EAAQxZ,EAAIwZ,MACZrB,EAAYnY,EAAImY,UAiBpB,GAfIqB,GACFwB,EAAasB,aAAa,QAAS9C,GAEjCvV,EAAQsY,OACVvB,EAAasB,aA7JF,kBA6JyBtc,EAAI4Q,IAGtCuH,IAGFoB,GAAO,mBAAqBpB,EAAUO,QAAQ,GAAK,MAEnDa,GAAO,uDAAyDtB,KAAKI,SAAS7R,mBAAmB8R,KAAKC,UAAUJ,MAAgB,OAG9H6C,EAAagB,WACfhB,EAAagB,WAAWC,QAAU1C,MAC7B,CACL,KAAOyB,EAAawB,YAClBxB,EAAaM,YAAYN,EAAawB,YAExCxB,EAAanL,YAAYjO,SAASua,eAAe5C,O,gBC3NrD,IAAIkD,EAAwB,EAAQ,IAChC5Y,EAAW,EAAQ,IACnBvE,EAAW,EAAQ,KAIlBmd,GACH5Y,EAAStE,OAAOC,UAAW,WAAYF,EAAU,CAAEuI,QAAQ,K,6BCN7D,IAAI6U,EAAkB,EAAQ,IAC1BC,EAAmB,EAAQ,KAC3BjL,EAAY,EAAQ,IACpBnK,EAAsB,EAAQ,IAC9BqV,EAAiB,EAAQ,IAGzBC,EAAmBtV,EAAoB4D,IACvC3D,EAAmBD,EAAoBwE,UAFtB,kBAcrBhO,EAAOC,QAAU4e,EAAerG,MAAO,SAAS,SAAUuG,EAAUC,GAClEF,EAAiB5U,KAAM,CACrBnB,KAhBiB,iBAiBjB3C,OAAQuY,EAAgBI,GACxBpI,MAAO,EACPqI,KAAMA,OAIP,WACD,IAAI9Q,EAAQzE,EAAiBS,MACzB9D,EAAS8H,EAAM9H,OACf4Y,EAAO9Q,EAAM8Q,KACbrI,EAAQzI,EAAMyI,QAClB,OAAKvQ,GAAUuQ,GAASvQ,EAAO/D,QAC7B6L,EAAM9H,YAASU,EACR,CAAEtB,WAAOsB,EAAWmY,MAAM,IAEvB,QAARD,EAAuB,CAAExZ,MAAOmR,EAAOsI,MAAM,GACrC,UAARD,EAAyB,CAAExZ,MAAOY,EAAOuQ,GAAQsI,MAAM,GACpD,CAAEzZ,MAAO,CAACmR,EAAOvQ,EAAOuQ,IAASsI,MAAM,KAC7C,UAKHtL,EAAUuL,UAAYvL,EAAU6E,MAGhCoG,EAAiB,QACjBA,EAAiB,UACjBA,EAAiB,Y,6BCnDjB,IAAIvL,EAAI,EAAQ,IACZnT,EAAO,EAAQ,IAEnBmT,EAAE,CAAEjN,OAAQ,SAAUgP,OAAO,EAAMvO,OAAQ,IAAI3G,OAASA,GAAQ,CAC9DA,KAAMA,K,gBCLR,IAAI6E,EAAc,EAAQ,GACtBoa,EAA6B,EAAQ,IACrCzZ,EAA2B,EAAQ,IACnCiZ,EAAkB,EAAQ,IAC1BzZ,EAAc,EAAQ,IACtB5E,EAAM,EAAQ,GACd0E,EAAiB,EAAQ,IAEzBoa,EAAiC5d,OAAOoE,yBAI5C3F,EAAQmF,EAAIL,EAAcqa,EAAiC,SAAkC/Z,EAAGC,GAG9F,GAFAD,EAAIsZ,EAAgBtZ,GACpBC,EAAIJ,EAAYI,GAAG,GACfN,EAAgB,IAClB,OAAOoa,EAA+B/Z,EAAGC,GACzC,MAAOnF,IACT,GAAIG,EAAI+E,EAAGC,GAAI,OAAOI,GAA0ByZ,EAA2B/Z,EAAExD,KAAKyD,EAAGC,GAAID,EAAEC,M,gBClB7F,IAAIV,EAAQ,EAAQ,GAEhBkZ,EAAc,kBAEd7X,EAAW,SAAUoZ,EAASC,GAChC,IAAI9Z,EAAQiV,EAAK8E,EAAUF,IAC3B,OAAO7Z,GAASga,GACZha,GAASia,IACW,mBAAbH,EAA0B1a,EAAM0a,KACrCA,IAGJC,EAAYtZ,EAASsZ,UAAY,SAAUG,GAC7C,OAAO/a,OAAO+a,GAAQjb,QAAQqZ,EAAa,KAAKzB,eAG9C5B,EAAOxU,EAASwU,KAAO,GACvBgF,EAASxZ,EAASwZ,OAAS,IAC3BD,EAAWvZ,EAASuZ,SAAW,IAEnCxf,EAAOC,QAAUgG,G,gBCpBjB,IAAIrB,EAAQ,EAAQ,GAChByN,EAAkB,EAAQ,GAC1BsN,EAAa,EAAQ,IAErBC,EAAUvN,EAAgB,WAE9BrS,EAAOC,QAAU,SAAUmQ,GAIzB,OAAOuP,GAAc,KAAO/a,GAAM,WAChC,IAAIwT,EAAQ,GAKZ,OAJkBA,EAAM1V,YAAc,IAC1Bkd,GAAW,WACrB,MAAO,CAAEC,IAAK,IAE2B,IAApCzH,EAAMhI,GAAa4N,SAAS6B,S,cChBvC7f,EAAOC,QAAU,SAAUc,EAAI+e,EAAahf,GAC1C,KAAMC,aAAc+e,GAClB,MAAMpb,UAAU,cAAgB5D,EAAOA,EAAO,IAAM,IAAM,cAC1D,OAAOC,I,gBCHX,IAAIsS,EAAI,EAAQ,IACZnL,EAAS,EAAQ,KAIrBmL,EAAE,CAAEjN,OAAQ,SAAUO,MAAM,EAAME,OAAQrF,OAAO0G,SAAWA,GAAU,CACpEA,OAAQA,K,gBCNV,IAAIpG,EAAW,EAAQ,GACnBJ,EAAU,EAAQ,IAGlBke,EAFkB,EAAQ,EAEhBvN,CAAgB,WAI9BrS,EAAOC,QAAU,SAAU8f,EAAe1d,GACxC,IAAI2d,EASF,OAREte,EAAQqe,KAGM,mBAFhBC,EAAID,EAAcrd,cAEasd,IAAMxH,QAAS9W,EAAQse,EAAEve,WAC/CK,EAASke,IAEN,QADVA,EAAIA,EAAEJ,MACUI,OAAIlZ,GAH+CkZ,OAAIlZ,GAKlE,SAAWA,IAANkZ,EAAkBxH,MAAQwH,GAAc,IAAX3d,EAAe,EAAIA,K,6BCjBhE,IAAIgR,EAAI,EAAQ,IACZzO,EAAQ,EAAQ,GAChBlD,EAAU,EAAQ,IAClBI,EAAW,EAAQ,GACnBme,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAiB,EAAQ,KACzBC,EAAqB,EAAQ,IAC7BC,EAA+B,EAAQ,IACvChO,EAAkB,EAAQ,GAC1BsN,EAAa,EAAQ,IAErBW,EAAuBjO,EAAgB,sBAOvCkO,EAA+BZ,GAAc,KAAO/a,GAAM,WAC5D,IAAIwT,EAAQ,GAEZ,OADAA,EAAMkI,IAAwB,EACvBlI,EAAMlL,SAAS,KAAOkL,KAG3BoI,EAAkBH,EAA6B,UAE/CI,EAAqB,SAAUpb,GACjC,IAAKvD,EAASuD,GAAI,OAAO,EACzB,IAAIqb,EAAarb,EAAEib,GACnB,YAAsBxZ,IAAf4Z,IAA6BA,EAAahf,EAAQ2D,IAQ3DgO,EAAE,CAAEjN,OAAQ,QAASgP,OAAO,EAAMvO,QALpB0Z,IAAiCC,GAKK,CAClDtT,OAAQ,SAAgByT,GACtB,IAGIxe,EAAGye,EAAGve,EAAQ0V,EAAK8I,EAHnBxb,EAAI4a,EAAS/V,MACb4W,EAAIV,EAAmB/a,EAAG,GAC1B0b,EAAI,EAER,IAAK5e,GAAK,EAAGE,EAAS4B,UAAU5B,OAAQF,EAAIE,EAAQF,IAElD,GAAIse,EADJI,GAAW,IAAP1e,EAAWkD,EAAIpB,UAAU9B,IACF,CAEzB,GAAI4e,GADJhJ,EAAMmI,EAASW,EAAExe,SAlCF,iBAmCiB,MAAMqC,UAlCT,kCAmC7B,IAAKkc,EAAI,EAAGA,EAAI7I,EAAK6I,IAAKG,IAASH,KAAKC,GAAGV,EAAeW,EAAGC,EAAGF,EAAED,QAC7D,CACL,GAAIG,GAtCW,iBAsCY,MAAMrc,UArCJ,kCAsC7Byb,EAAeW,EAAGC,IAAKF,GAI3B,OADAC,EAAEze,OAAS0e,EACJD,M,gBCzDX,IAAI1gB,EAAS,EAAQ,GACjB0B,EAAW,EAAQ,GAEnB+B,EAAWzD,EAAOyD,SAElBmd,EAASlf,EAAS+B,IAAa/B,EAAS+B,EAASqZ,eAErDld,EAAOC,QAAU,SAAUc,GACzB,OAAOigB,EAASnd,EAASqZ,cAAcnc,GAAM,K,gBCR/C,IAAI2M,EAAQ,EAAQ,IAEhBuT,EAAmB5f,SAASE,SAGE,mBAAvBmM,EAAMnE,gBACfmE,EAAMnE,cAAgB,SAAUxI,GAC9B,OAAOkgB,EAAiBrf,KAAKb,KAIjCf,EAAOC,QAAUyN,EAAMnE,e,gBCXvB,IAAIjI,EAAO,EAAQ,IACfwN,EAAgB,EAAQ,IACxBmR,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBE,EAAqB,EAAQ,IAE7B3H,EAAO,GAAGA,KAGVyI,EAAe,SAAUjT,GAC3B,IAAIkT,EAAiB,GAARlT,EACTmT,EAAoB,GAARnT,EACZoT,EAAkB,GAARpT,EACVqT,EAAmB,GAARrT,EACXsT,EAAwB,GAARtT,EAChBuT,EAAmB,GAARvT,GAAasT,EAC5B,OAAO,SAAUE,EAAOC,EAAY3R,EAAM4R,GASxC,IARA,IAOInc,EAAOzB,EAPPsB,EAAI4a,EAASwB,GACbrgB,EAAO0N,EAAczJ,GACrBuc,EAAgBtgB,EAAKogB,EAAY3R,EAAM,GACvC1N,EAAS6d,EAAS9e,EAAKiB,QACvBsU,EAAQ,EACRvH,EAASuS,GAAkBvB,EAC3Bha,EAAS+a,EAAS/R,EAAOqS,EAAOpf,GAAU+e,EAAYhS,EAAOqS,EAAO,QAAK3a,EAEvEzE,EAASsU,EAAOA,IAAS,IAAI6K,GAAY7K,KAASvV,KAEtD2C,EAAS6d,EADTpc,EAAQpE,EAAKuV,GACiBA,EAAOtR,GACjC4I,GACF,GAAIkT,EAAQ/a,EAAOuQ,GAAS5S,OACvB,GAAIA,EAAQ,OAAQkK,GACvB,KAAK,EAAG,OAAO,EACf,KAAK,EAAG,OAAOzI,EACf,KAAK,EAAG,OAAOmR,EACf,KAAK,EAAG8B,EAAK7W,KAAKwE,EAAQZ,QACrB,GAAI8b,EAAU,OAAO,EAGhC,OAAOC,GAAiB,EAAIF,GAAWC,EAAWA,EAAWlb,IAIjEpG,EAAOC,QAAU,CAGf+B,QAASkf,EAAa,GAGtBnH,IAAKmH,EAAa,GAGlBnD,OAAQmD,EAAa,GAGrBW,KAAMX,EAAa,GAGnBY,MAAOZ,EAAa,GAGpBa,KAAMb,EAAa,GAGnBc,UAAWd,EAAa,K,6BC9D1B,IAAItc,EAAQ,EAAQ,GAEpB5E,EAAOC,QAAU,SAAUmQ,EAAajF,GACtC,IAAIoD,EAAS,GAAG6B,GAChB,QAAS7B,GAAU3J,GAAM,WAEvB2J,EAAO3M,KAAK,KAAMuJ,GAAY,WAAc,MAAM,GAAM,Q,gBCP5D,IAMIiL,EAAOtO,EANP1H,EAAS,EAAQ,GACjBgc,EAAY,EAAQ,KAEpBpF,EAAU5W,EAAO4W,QACjB8B,EAAW9B,GAAWA,EAAQ8B,SAC9BmJ,EAAKnJ,GAAYA,EAASmJ,GAG1BA,EAEFna,GADAsO,EAAQ6L,EAAGpY,MAAM,MACD,GAAKuM,EAAM,GAClBgG,MACThG,EAAQgG,EAAUhG,MAAM,iBACVA,EAAM,IAAM,MACxBA,EAAQgG,EAAUhG,MAAM,oBACbtO,EAAUsO,EAAM,IAI/BpW,EAAOC,QAAU6H,IAAYA,G,gBCnB7B,IAAI7C,EAAW,EAAQ,GACnBid,EAAwB,EAAQ,KAChChC,EAAW,EAAQ,IACnB5e,EAAO,EAAQ,IACf6gB,EAAoB,EAAQ,KAC5BC,EAA+B,EAAQ,KAEvCC,EAAS,SAAUC,EAASve,GAC9BmG,KAAKoY,QAAUA,EACfpY,KAAKnG,OAASA,IAGF/D,EAAOC,QAAU,SAAUsiB,EAAUrgB,EAAI6N,EAAMyS,EAAYC,GACvE,IACIC,EAAUC,EAAQhM,EAAOtU,EAAQ0B,EAAQqQ,EAAMwO,EAD/ChB,EAAgBtgB,EAAKY,EAAI6N,EAAMyS,EAAa,EAAI,GAGpD,GAAIC,EACFC,EAAWH,MACN,CAEL,GAAqB,mBADrBI,EAASR,EAAkBI,IACM,MAAM7d,UAAU,0BAEjD,GAAIwd,EAAsBS,GAAS,CACjC,IAAKhM,EAAQ,EAAGtU,EAAS6d,EAASqC,EAASlgB,QAASA,EAASsU,EAAOA,IAIlE,IAHA5S,EAASye,EACLZ,EAAc3c,EAAS2d,EAAOL,EAAS5L,IAAQ,GAAIiM,EAAK,IACxDhB,EAAcW,EAAS5L,MACb5S,aAAkBse,EAAQ,OAAOte,EAC/C,OAAO,IAAIse,GAAO,GAEtBK,EAAWC,EAAO/gB,KAAK2gB,GAIzB,IADAnO,EAAOsO,EAAStO,OACPwO,EAAOxO,EAAKxS,KAAK8gB,IAAWzD,MAEnC,GAAqB,iBADrBlb,EAASqe,EAA6BM,EAAUd,EAAegB,EAAKpd,MAAOgd,KAC1Cze,GAAUA,aAAkBse,EAAQ,OAAOte,EAC5E,OAAO,IAAIse,GAAO,KAGdQ,KAAO,SAAU9e,GACvB,OAAO,IAAIse,GAAO,EAAMte,K,gBCzC1B,IAAI2a,EAAwB,EAAQ,IAChCoE,EAAa,EAAQ,IAGrB1Q,EAFkB,EAAQ,EAEVC,CAAgB,eAEhC0Q,EAAuE,aAAnDD,EAAW,WAAc,OAAO7e,UAArB,IAUnCjE,EAAOC,QAAUye,EAAwBoE,EAAa,SAAU/hB,GAC9D,IAAIsE,EAAG2d,EAAKjf,EACZ,YAAc+C,IAAP/F,EAAmB,YAAqB,OAAPA,EAAc,OAEM,iBAAhDiiB,EAXD,SAAUjiB,EAAIuB,GACzB,IACE,OAAOvB,EAAGuB,GACV,MAAOnC,KAQS8iB,CAAO5d,EAAI7D,OAAOT,GAAKqR,IAA8B4Q,EAEnED,EAAoBD,EAAWzd,GAEH,WAA3BtB,EAAS+e,EAAWzd,KAAsC,mBAAZA,EAAE6d,OAAuB,YAAcnf,I,gBCxB5F,IAAIkH,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IAGjC8V,EAAe,SAAUiC,GAC3B,OAAO,SAAU1B,EAAO2B,GACtB,IAGIC,EAAOC,EAHPC,EAAI5e,OAAOyG,EAAuBqW,IAClC+B,EAAWvY,EAAUmY,GACrBK,EAAOF,EAAElhB,OAEb,OAAImhB,EAAW,GAAKA,GAAYC,EAAaN,EAAoB,QAAKrc,GACtEuc,EAAQE,EAAEG,WAAWF,IACN,OAAUH,EAAQ,OAAUG,EAAW,IAAMC,IACtDH,EAASC,EAAEG,WAAWF,EAAW,IAAM,OAAUF,EAAS,MAC1DH,EAAoBI,EAAE7a,OAAO8a,GAAYH,EACzCF,EAAoBI,EAAEpW,MAAMqW,EAAUA,EAAW,GAA+BF,EAAS,OAAlCD,EAAQ,OAAU,IAA0B,QAI7GrjB,EAAOC,QAAU,CAGf0jB,OAAQzC,GAAa,GAGrBxY,OAAQwY,GAAa,K,6BCxBvB,IAAI0C,EAA6B,GAAGjR,qBAChC/M,EAA2BpE,OAAOoE,yBAGlCie,EAAcje,IAA6Bge,EAA2BhiB,KAAK,CAAEkiB,EAAG,GAAK,GAIzF7jB,EAAQmF,EAAIye,EAAc,SAA8BE,GACtD,IAAIxd,EAAaX,EAAyBsE,KAAM6Z,GAChD,QAASxd,GAAcA,EAAWyD,YAChC4Z,G,gBCZJ,IAAI7e,EAAc,EAAQ,GACtBH,EAAQ,EAAQ,GAChBsY,EAAgB,EAAQ,IAG5Bld,EAAOC,SAAW8E,IAAgBH,GAAM,WACtC,OAEQ,GAFDpD,OAAOqD,eAAeqY,EAAc,OAAQ,IAAK,CACtDpY,IAAK,WAAc,OAAO,KACzBV,M,gBCRL,IAAIhE,EAAS,EAAQ,GACjB2F,EAAY,EAAQ,IAGpB2H,EAAQtN,EADC,uBACiB2F,EADjB,qBACmC,IAEhD/F,EAAOC,QAAUyN,G,gBCNjB,IAAIgG,EAAU,EAAQ,IAClBhG,EAAQ,EAAQ,KAEnB1N,EAAOC,QAAU,SAAUqC,EAAKkD,GAC/B,OAAOkI,EAAMpL,KAASoL,EAAMpL,QAAiBwE,IAAVtB,EAAsBA,EAAQ,MAChE,WAAY,IAAIiT,KAAK,CACtB3Q,QAAS,QACTkc,KAAMtQ,EAAU,OAAS,SACzBuQ,UAAW,0C,gBCRb,IAAI3jB,EAAM,EAAQ,GACdqe,EAAkB,EAAQ,IAC1B7V,EAAU,EAAQ,IAA+BA,QACjD0E,EAAa,EAAQ,IAEzBxN,EAAOC,QAAU,SAAU0F,EAAQue,GACjC,IAGI5hB,EAHA+C,EAAIsZ,EAAgBhZ,GACpBxD,EAAI,EACJ4B,EAAS,GAEb,IAAKzB,KAAO+C,GAAI/E,EAAIkN,EAAYlL,IAAQhC,EAAI+E,EAAG/C,IAAQyB,EAAO0U,KAAKnW,GAEnE,KAAO4hB,EAAM7hB,OAASF,GAAO7B,EAAI+E,EAAG/C,EAAM4hB,EAAM/hB,SAC7C2G,EAAQ/E,EAAQzB,IAAQyB,EAAO0U,KAAKnW,IAEvC,OAAOyB,I,gBCfT,IAAI4a,EAAkB,EAAQ,IAC1BuB,EAAW,EAAQ,IACnBiE,EAAkB,EAAQ,KAG1BjD,EAAe,SAAUkD,GAC3B,OAAO,SAAU3C,EAAO4C,EAAIC,GAC1B,IAGI9e,EAHAH,EAAIsZ,EAAgB8C,GACpBpf,EAAS6d,EAAS7a,EAAEhD,QACpBsU,EAAQwN,EAAgBG,EAAWjiB,GAIvC,GAAI+hB,GAAeC,GAAMA,GAAI,KAAOhiB,EAASsU,GAG3C,IAFAnR,EAAQH,EAAEsR,OAEGnR,EAAO,OAAO,OAEtB,KAAMnD,EAASsU,EAAOA,IAC3B,IAAKyN,GAAezN,KAAStR,IAAMA,EAAEsR,KAAW0N,EAAI,OAAOD,GAAezN,GAAS,EACnF,OAAQyN,IAAgB,IAI9BpkB,EAAOC,QAAU,CAGfskB,SAAUrD,GAAa,GAGvBpY,QAASoY,GAAa,K,cC9BxBjhB,EAAQmF,EAAI5D,OAAOgjB,uB,gBCAnB,IAAIC,EAAqB,EAAQ,IAC7B/T,EAAc,EAAQ,IAI1B1Q,EAAOC,QAAUuB,OAAOoR,MAAQ,SAAcvN,GAC5C,OAAOof,EAAmBpf,EAAGqL,K,6BCJ/B1Q,EAAOC,QAAU,SAAciC,EAAIoC,GACjC,OAAO,WAEL,IADA,IAAIiU,EAAO,IAAIC,MAAMvU,UAAU5B,QACtBF,EAAI,EAAGA,EAAIoW,EAAKlW,OAAQF,IAC/BoW,EAAKpW,GAAK8B,UAAU9B,GAEtB,OAAOD,EAAG+N,MAAM3L,EAASiU,M,6BCN7B,IAAImM,EAAQ,EAAQ,GAEpB,SAASC,EAAOhjB,GACd,OAAO8G,mBAAmB9G,GACxB8C,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,OAAQ,KAChBA,QAAQ,QAAS,KACjBA,QAAQ,QAAS,KAUrBzE,EAAOC,QAAU,SAAkB8H,EAAKC,EAAQ4c,GAE9C,IAAK5c,EACH,OAAOD,EAGT,IAAI8c,EACJ,GAAID,EACFC,EAAmBD,EAAiB5c,QAC/B,GAAI0c,EAAMlhB,kBAAkBwE,GACjC6c,EAAmB7c,EAAOzG,eACrB,CACL,IAAIma,EAAQ,GAEZgJ,EAAM1iB,QAAQgG,GAAQ,SAAmBrG,EAAKW,GACxCX,UAIA+iB,EAAMhjB,QAAQC,GAChBW,GAAY,KAEZX,EAAM,CAACA,GAGT+iB,EAAM1iB,QAAQL,GAAK,SAAoBmjB,GACjCJ,EAAMvhB,OAAO2hB,GACfA,EAAIA,EAAEC,cACGL,EAAM5iB,SAASgjB,KACxBA,EAAIvK,KAAKC,UAAUsK,IAErBpJ,EAAMjD,KAAKkM,EAAOriB,GAAO,IAAMqiB,EAAOG,WAI1CD,EAAmBnJ,EAAMzR,KAAK,KAGhC,GAAI4a,EAAkB,CACpB,IAAIG,EAAgBjd,EAAIe,QAAQ,MACT,IAAnBkc,IACFjd,EAAMA,EAAIoF,MAAM,EAAG6X,IAGrBjd,KAA8B,IAAtBA,EAAIe,QAAQ,KAAc,IAAM,KAAO+b,EAGjD,OAAO9c,I,6BCnET/H,EAAOC,QAAU,SAAkBuF,GACjC,SAAUA,IAASA,EAAMyf,c,8BCH3B,YAEA,IAAIP,EAAQ,EAAQ,GAChBQ,EAAsB,EAAQ,KAE9BC,EAAuB,CACzB,eAAgB,qCAGlB,SAASC,EAAsB/V,EAAS7J,IACjCkf,EAAM7iB,YAAYwN,IAAYqV,EAAM7iB,YAAYwN,EAAQ,mBAC3DA,EAAQ,gBAAkB7J,GAgB9B,IAXM6f,EAWFxV,EAAW,CACbwV,UAX8B,oBAAnBC,qBAGmB,IAAZtO,GAAuE,qBAA5CxV,OAAOC,UAAUF,SAASK,KAAKoV,MAD1EqO,EAAU,EAAQ,KAKbA,GAMPE,iBAAkB,CAAC,SAA0B9K,EAAMpL,GAGjD,OAFA6V,EAAoB7V,EAAS,UAC7B6V,EAAoB7V,EAAS,gBACzBqV,EAAM/hB,WAAW8X,IACnBiK,EAAMliB,cAAciY,IACpBiK,EAAMjiB,SAASgY,IACfiK,EAAMphB,SAASmX,IACfiK,EAAMthB,OAAOqX,IACbiK,EAAMrhB,OAAOoX,GAENA,EAELiK,EAAM7hB,kBAAkB4X,GACnBA,EAAKzX,OAEV0hB,EAAMlhB,kBAAkBiX,IAC1B2K,EAAsB/V,EAAS,mDACxBoL,EAAKlZ,YAEVmjB,EAAM5iB,SAAS2Y,IACjB2K,EAAsB/V,EAAS,kCACxBkL,KAAKC,UAAUC,IAEjBA,IAGT+K,kBAAmB,CAAC,SAA2B/K,GAE7C,GAAoB,iBAATA,EACT,IACEA,EAAOF,KAAKkL,MAAMhL,GAClB,MAAO5D,IAEX,OAAO4D,IAOT3C,QAAS,EAET4N,eAAgB,aAChBC,eAAgB,eAEhBC,kBAAmB,EAEnBC,eAAgB,SAAwBC,GACtC,OAAOA,GAAU,KAAOA,EAAS,MAIrCjW,EAASR,QAAU,CACjB0W,OAAQ,CACN,OAAU,sCAIdrB,EAAM1iB,QAAQ,CAAC,SAAU,MAAO,SAAS,SAA6BuM,GACpEsB,EAASR,QAAQd,GAAU,MAG7BmW,EAAM1iB,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BuM,GACrEsB,EAASR,QAAQd,GAAUmW,EAAM5gB,MAAMqhB,MAGzCnlB,EAAOC,QAAU4P,I,+CC9FjB,IAAI6U,EAAQ,EAAQ,GAChBsB,EAAS,EAAQ,KACjBC,EAAW,EAAQ,IACnBC,EAAgB,EAAQ,KACxBC,EAAe,EAAQ,KACvBC,EAAkB,EAAQ,KAC1BC,EAAc,EAAQ,IAE1BrmB,EAAOC,QAAU,SAAoB2I,GACnC,OAAO,IAAI0d,SAAQ,SAA4BC,EAASC,GACtD,IAAIC,EAAc7d,EAAO6R,KACrBiM,EAAiB9d,EAAOyG,QAExBqV,EAAM/hB,WAAW8jB,WACZC,EAAe,gBAGxB,IAAIC,EAAU,IAAIrB,eAGlB,GAAI1c,EAAOge,KAAM,CACf,IAAIC,EAAWje,EAAOge,KAAKC,UAAY,GACnCC,EAAWle,EAAOge,KAAKE,UAAY,GACvCJ,EAAeK,cAAgB,SAAW7M,KAAK2M,EAAW,IAAMC,GAGlE,IAAIE,EAAWd,EAActd,EAAOqe,QAASre,EAAOb,KA4EpD,GA3EA4e,EAAQ1U,KAAKrJ,EAAO2F,OAAO2Y,cAAejB,EAASe,EAAUpe,EAAOZ,OAAQY,EAAOgc,mBAAmB,GAGtG+B,EAAQ7O,QAAUlP,EAAOkP,QAGzB6O,EAAQQ,mBAAqB,WAC3B,GAAKR,GAAkC,IAAvBA,EAAQS,aAQD,IAAnBT,EAAQb,QAAkBa,EAAQU,aAAwD,IAAzCV,EAAQU,YAAYve,QAAQ,UAAjF,CAKA,IAAIwe,EAAkB,0BAA2BX,EAAUR,EAAaQ,EAAQY,yBAA2B,KAEvGC,EAAW,CACb/M,KAFkB7R,EAAO6e,cAAwC,SAAxB7e,EAAO6e,aAAiDd,EAAQa,SAA/Bb,EAAQe,aAGlF5B,OAAQa,EAAQb,OAChB6B,WAAYhB,EAAQgB,WACpBtY,QAASiY,EACT1e,OAAQA,EACR+d,QAASA,GAGXX,EAAOO,EAASC,EAAQgB,GAGxBb,EAAU,OAIZA,EAAQiB,QAAU,WACXjB,IAILH,EAAOH,EAAY,kBAAmBzd,EAAQ,eAAgB+d,IAG9DA,EAAU,OAIZA,EAAQkB,QAAU,WAGhBrB,EAAOH,EAAY,gBAAiBzd,EAAQ,KAAM+d,IAGlDA,EAAU,MAIZA,EAAQmB,UAAY,WAClB,IAAIC,EAAsB,cAAgBnf,EAAOkP,QAAU,cACvDlP,EAAOmf,sBACTA,EAAsBnf,EAAOmf,qBAE/BvB,EAAOH,EAAY0B,EAAqBnf,EAAQ,eAC9C+d,IAGFA,EAAU,MAMRjC,EAAMhhB,uBAAwB,CAChC,IAAIskB,EAAU,EAAQ,KAGlBC,GAAarf,EAAOsf,iBAAmB9B,EAAgBY,KAAcpe,EAAO8c,eAC9EsC,EAAQG,KAAKvf,EAAO8c,qBACpB5e,EAEEmhB,IACFvB,EAAe9d,EAAO+c,gBAAkBsC,GAuB5C,GAlBI,qBAAsBtB,GACxBjC,EAAM1iB,QAAQ0kB,GAAgB,SAA0B/kB,EAAKW,QAChC,IAAhBmkB,GAAqD,iBAAtBnkB,EAAI+Z,qBAErCqK,EAAepkB,GAGtBqkB,EAAQyB,iBAAiB9lB,EAAKX,MAM/B+iB,EAAM7iB,YAAY+G,EAAOsf,mBAC5BvB,EAAQuB,kBAAoBtf,EAAOsf,iBAIjCtf,EAAO6e,aACT,IACEd,EAAQc,aAAe7e,EAAO6e,aAC9B,MAAO5Q,GAGP,GAA4B,SAAxBjO,EAAO6e,aACT,MAAM5Q,EAM6B,mBAA9BjO,EAAOyf,oBAChB1B,EAAQ2B,iBAAiB,WAAY1f,EAAOyf,oBAIP,mBAA5Bzf,EAAO2f,kBAAmC5B,EAAQ6B,QAC3D7B,EAAQ6B,OAAOF,iBAAiB,WAAY1f,EAAO2f,kBAGjD3f,EAAO6f,aAET7f,EAAO6f,YAAYC,QAAQC,MAAK,SAAoBC,GAC7CjC,IAILA,EAAQkC,QACRrC,EAAOoC,GAEPjC,EAAU,cAIM7f,IAAhB2f,IACFA,EAAc,MAIhBE,EAAQmC,KAAKrC,Q,6BC/KjB,IAAIsC,EAAe,EAAQ,KAY3B/oB,EAAOC,QAAU,SAAqB+oB,EAASpgB,EAAQqgB,EAAMtC,EAASa,GACpE,IAAIrnB,EAAQ,IAAI+W,MAAM8R,GACtB,OAAOD,EAAa5oB,EAAOyI,EAAQqgB,EAAMtC,EAASa,K,6BCdpD,IAAI9C,EAAQ,EAAQ,GAUpB1kB,EAAOC,QAAU,SAAqBipB,EAASC,GAE7CA,EAAUA,GAAW,GACrB,IAAIvgB,EAAS,GAETwgB,EAAuB,CAAC,MAAO,SAAU,SAAU,QACnDC,EAA0B,CAAC,UAAW,OAAQ,SAC9CC,EAAuB,CACzB,UAAW,MAAO,mBAAoB,oBAAqB,mBAC3D,UAAW,kBAAmB,UAAW,eAAgB,iBACzD,iBAAkB,mBAAoB,qBACtC,mBAAoB,iBAAkB,eAAgB,YACtD,aAAc,cAAe,cAG/B5E,EAAM1iB,QAAQonB,GAAsB,SAA0BG,QAC/B,IAAlBJ,EAAQI,KACjB3gB,EAAO2gB,GAAQJ,EAAQI,OAI3B7E,EAAM1iB,QAAQqnB,GAAyB,SAA6BE,GAC9D7E,EAAM5iB,SAASqnB,EAAQI,IACzB3gB,EAAO2gB,GAAQ7E,EAAMxgB,UAAUglB,EAAQK,GAAOJ,EAAQI,SACpB,IAAlBJ,EAAQI,GACxB3gB,EAAO2gB,GAAQJ,EAAQI,GACd7E,EAAM5iB,SAASonB,EAAQK,IAChC3gB,EAAO2gB,GAAQ7E,EAAMxgB,UAAUglB,EAAQK,SACL,IAAlBL,EAAQK,KACxB3gB,EAAO2gB,GAAQL,EAAQK,OAI3B7E,EAAM1iB,QAAQsnB,GAAsB,SAA0BC,QAC/B,IAAlBJ,EAAQI,GACjB3gB,EAAO2gB,GAAQJ,EAAQI,QACW,IAAlBL,EAAQK,KACxB3gB,EAAO2gB,GAAQL,EAAQK,OAI3B,IAAIC,EAAYJ,EACblc,OAAOmc,GACPnc,OAAOoc,GAENG,EAAYjoB,OACboR,KAAKuW,GACLpL,QAAO,SAAyBzb,GAC/B,OAAmC,IAA5BknB,EAAU1gB,QAAQxG,MAW7B,OARAoiB,EAAM1iB,QAAQynB,GAAW,SAAmCF,QAC7B,IAAlBJ,EAAQI,GACjB3gB,EAAO2gB,GAAQJ,EAAQI,QACW,IAAlBL,EAAQK,KACxB3gB,EAAO2gB,GAAQL,EAAQK,OAIpB3gB,I,6BC/DT,SAAS8gB,EAAOV,GACd9e,KAAK8e,QAAUA,EAGjBU,EAAOjoB,UAAUF,SAAW,WAC1B,MAAO,UAAY2I,KAAK8e,QAAU,KAAO9e,KAAK8e,QAAU,KAG1DU,EAAOjoB,UAAUwjB,YAAa,EAE9BjlB,EAAOC,QAAUypB,G,6BCjBjB,IAAIrW,EAAI,EAAQ,IACZrR,EAAU,EAAQ,IAItBqR,EAAE,CAAEjN,OAAQ,QAASgP,OAAO,EAAMvO,OAAQ,GAAG7E,SAAWA,GAAW,CACjEA,QAASA,K,6BCNX,IAAI2nB,EAAW,EAAQ,IAAgC3nB,QACnD4nB,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElCC,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,WAI7C7pB,EAAOC,QAAY6pB,GAAkBC,EAEjC,GAAG/nB,QAFgD,SAAiB0f,GACtE,OAAOiI,EAASzf,KAAMwX,EAAYzd,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,K,gBCX1E,IAAI4L,EAAU,EAAQ,IAItB1S,EAAOC,QAAUuY,MAAM9W,SAAW,SAAiBif,GACjD,MAAuB,SAAhBjO,EAAQiO,K,gBCLjB,IAAI/b,EAAQ,EAAQ,GAEpB5E,EAAOC,UAAYuB,OAAOgjB,wBAA0B5f,GAAM,WAGxD,OAAQD,OAAOhE,c,gBCLjB,MAAM,0BAAEyS,GAA8B,EAAQ,IACxC4W,EAAQ,EAAQ,IAIhB3T,GAHNpW,EAAUD,EAAOC,QAAU,IAGRoW,GAAK,GAClBtE,EAAM9R,EAAQ8R,IAAM,GACpBkY,EAAIhqB,EAAQgqB,EAAI,GACtB,IAAIC,EAAI,EAER,MAAMC,EAAc,CAACrpB,EAAM0E,EAAO4kB,KAChC,MAAMzT,EAAQuT,IACdF,EAAMrT,EAAOnR,GACbykB,EAAEnpB,GAAQ6V,EACV5E,EAAI4E,GAASnR,EACb6Q,EAAGM,GAAS,IAAIhB,OAAOnQ,EAAO4kB,EAAW,SAAMtjB,IASjDqjB,EAAY,oBAAqB,eACjCA,EAAY,yBAA0B,UAMtCA,EAAY,uBAAwB,8BAKpCA,EAAY,cAAe,IAAIpY,EAAIkY,EAAEI,0BACdtY,EAAIkY,EAAEI,0BACNtY,EAAIkY,EAAEI,uBAE7BF,EAAY,mBAAoB,IAAIpY,EAAIkY,EAAEK,+BACdvY,EAAIkY,EAAEK,+BACNvY,EAAIkY,EAAEK,4BAKlCH,EAAY,uBAAwB,MAAMpY,EAAIkY,EAAEI,sBAC5CtY,EAAIkY,EAAEM,0BAEVJ,EAAY,4BAA6B,MAAMpY,EAAIkY,EAAEK,2BACjDvY,EAAIkY,EAAEM,0BAMVJ,EAAY,aAAc,QAAQpY,EAAIkY,EAAEO,8BAC/BzY,EAAIkY,EAAEO,6BAEfL,EAAY,kBAAmB,SAASpY,EAAIkY,EAAEQ,mCACrC1Y,EAAIkY,EAAEQ,kCAKfN,EAAY,kBAAmB,iBAM/BA,EAAY,QAAS,UAAUpY,EAAIkY,EAAES,yBAC5B3Y,EAAIkY,EAAES,wBAWfP,EAAY,YAAa,KAAKpY,EAAIkY,EAAEU,eACjC5Y,EAAIkY,EAAEW,eACP7Y,EAAIkY,EAAEY,WAERV,EAAY,OAAQ,IAAIpY,EAAIkY,EAAEa,eAK9BX,EAAY,aAAc,WAAWpY,EAAIkY,EAAEc,oBACxChZ,EAAIkY,EAAEe,oBACPjZ,EAAIkY,EAAEY,WAERV,EAAY,QAAS,IAAIpY,EAAIkY,EAAEgB,gBAE/Bd,EAAY,OAAQ,gBAKpBA,EAAY,wBAA4BpY,EAAIkY,EAAEK,wBAAT,YACrCH,EAAY,mBAAuBpY,EAAIkY,EAAEI,mBAAT,YAEhCF,EAAY,cAAe,YAAYpY,EAAIkY,EAAEiB,4BAChBnZ,EAAIkY,EAAEiB,4BACNnZ,EAAIkY,EAAEiB,wBACVnZ,EAAIkY,EAAEW,gBACV7Y,EAAIkY,EAAEY,eAG3BV,EAAY,mBAAoB,YAAYpY,EAAIkY,EAAEkB,iCAChBpZ,EAAIkY,EAAEkB,iCACNpZ,EAAIkY,EAAEkB,6BACVpZ,EAAIkY,EAAEe,qBACVjZ,EAAIkY,EAAEY,eAGhCV,EAAY,SAAU,IAAIpY,EAAIkY,EAAEmB,YAAYrZ,EAAIkY,EAAEoB,iBAClDlB,EAAY,cAAe,IAAIpY,EAAIkY,EAAEmB,YAAYrZ,EAAIkY,EAAEqB,sBAIvDnB,EAAY,SAAU,oBACI/W,mBACIA,qBACAA,qBAE9B+W,EAAY,YAAapY,EAAIkY,EAAEsB,SAAS,GAIxCpB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAASpY,EAAIkY,EAAEuB,kBAAkB,GAC1DvrB,EAAQwrB,iBAAmB,MAE3BtB,EAAY,QAAS,IAAIpY,EAAIkY,EAAEuB,aAAazZ,EAAIkY,EAAEoB,iBAClDlB,EAAY,aAAc,IAAIpY,EAAIkY,EAAEuB,aAAazZ,EAAIkY,EAAEqB,sBAIvDnB,EAAY,YAAa,WAEzBA,EAAY,YAAa,SAASpY,EAAIkY,EAAEyB,kBAAkB,GAC1DzrB,EAAQ0rB,iBAAmB,MAE3BxB,EAAY,QAAS,IAAIpY,EAAIkY,EAAEyB,aAAa3Z,EAAIkY,EAAEoB,iBAClDlB,EAAY,aAAc,IAAIpY,EAAIkY,EAAEyB,aAAa3Z,EAAIkY,EAAEqB,sBAGvDnB,EAAY,kBAAmB,IAAIpY,EAAIkY,EAAEmB,aAAarZ,EAAIkY,EAAEgB,oBAC5Dd,EAAY,aAAc,IAAIpY,EAAIkY,EAAEmB,aAAarZ,EAAIkY,EAAEa,mBAIvDX,EAAY,iBAAkB,SAASpY,EAAIkY,EAAEmB,aACrCrZ,EAAIkY,EAAEgB,eAAelZ,EAAIkY,EAAEoB,iBAAiB,GACpDprB,EAAQ2rB,sBAAwB,SAMhCzB,EAAY,cAAe,SAASpY,EAAIkY,EAAEoB,0BAEnBtZ,EAAIkY,EAAEoB,sBAG7BlB,EAAY,mBAAoB,SAASpY,EAAIkY,EAAEqB,+BAEnBvZ,EAAIkY,EAAEqB,2BAIlCnB,EAAY,OAAQ,mBAEpBA,EAAY,OAAQ,yBACpBA,EAAY,UAAW,4B,iBCrLvB,oBACqB,iBAAZnT,GACPA,EAAQ4B,KACR5B,EAAQ4B,IAAIiT,YACZ,cAAcxW,KAAK2B,EAAQ4B,IAAIiT,YAC7B,IAAItT,IAAS1N,QAAQ1K,MAAM,YAAaoY,GACxC,OAEJvY,EAAOC,QAAU+pB,I,kCCRjB,MAAMA,EAAQ,EAAQ,KAChB,WAAE7W,EAAU,iBAAEH,GAAqB,EAAQ,KAC3C,GAAEqD,EAAE,EAAE4T,GAAM,EAAQ,KAEpB,mBAAE6B,GAAuB,EAAQ,KACvC,MAAMC,EACJ,YAAajkB,EAAS5B,GAOpB,GANKA,GAA8B,iBAAZA,IACrBA,EAAU,CACR8lB,QAAS9lB,EACT+lB,mBAAmB,IAGnBnkB,aAAmBikB,EAAQ,CAC7B,GAAIjkB,EAAQkkB,UAAY9lB,EAAQ8lB,OAC5BlkB,EAAQmkB,sBAAwB/lB,EAAQ+lB,kBAC1C,OAAOnkB,EAEPA,EAAUA,EAAQA,aAEf,GAAuB,iBAAZA,EAChB,MAAM,IAAIpD,UAAU,oBAAoBoD,GAG1C,GAAIA,EAAQzF,OAAS8Q,EACnB,MAAM,IAAIzO,UACR,0BAA0ByO,gBAI9B6W,EAAM,SAAUliB,EAAS5B,GACzBgE,KAAKhE,QAAUA,EACfgE,KAAK8hB,QAAU9lB,EAAQ8lB,MAGvB9hB,KAAK+hB,oBAAsB/lB,EAAQ+lB,kBAEnC,MAAMC,EAAIpkB,EAAQvD,OAAO6R,MAAMlQ,EAAQ8lB,MAAQ3V,EAAG4T,EAAEkC,OAAS9V,EAAG4T,EAAEmC,OAElE,IAAKF,EACH,MAAM,IAAIxnB,UAAU,oBAAoBoD,GAU1C,GAPAoC,KAAKmiB,IAAMvkB,EAGXoC,KAAKoiB,OAASJ,EAAE,GAChBhiB,KAAKqiB,OAASL,EAAE,GAChBhiB,KAAKsiB,OAASN,EAAE,GAEZhiB,KAAKoiB,MAAQtZ,GAAoB9I,KAAKoiB,MAAQ,EAChD,MAAM,IAAI5nB,UAAU,yBAGtB,GAAIwF,KAAKqiB,MAAQvZ,GAAoB9I,KAAKqiB,MAAQ,EAChD,MAAM,IAAI7nB,UAAU,yBAGtB,GAAIwF,KAAKsiB,MAAQxZ,GAAoB9I,KAAKsiB,MAAQ,EAChD,MAAM,IAAI9nB,UAAU,yBAIjBwnB,EAAE,GAGLhiB,KAAKuiB,WAAaP,EAAE,GAAGriB,MAAM,KAAKkQ,IAAKlH,IACrC,GAAI,WAAWwC,KAAKxC,GAAK,CACvB,MAAM6Z,GAAO7Z,EACb,GAAI6Z,GAAO,GAAKA,EAAM1Z,EACpB,OAAO0Z,EAGX,OAAO7Z,IATT3I,KAAKuiB,WAAa,GAapBviB,KAAKyiB,MAAQT,EAAE,GAAKA,EAAE,GAAGriB,MAAM,KAAO,GACtCK,KAAK0iB,SAGP,SAKE,OAJA1iB,KAAKpC,QAAU,GAAGoC,KAAKoiB,SAASpiB,KAAKqiB,SAASriB,KAAKsiB,QAC/CtiB,KAAKuiB,WAAWpqB,SAClB6H,KAAKpC,SAAW,IAAIoC,KAAKuiB,WAAWxiB,KAAK,MAEpCC,KAAKpC,QAGd,WACE,OAAOoC,KAAKpC,QAGd,QAAS+kB,GAEP,GADA7C,EAAM,iBAAkB9f,KAAKpC,QAASoC,KAAKhE,QAAS2mB,KAC9CA,aAAiBd,GAAS,CAC9B,GAAqB,iBAAVc,GAAsBA,IAAU3iB,KAAKpC,QAC9C,OAAO,EAET+kB,EAAQ,IAAId,EAAOc,EAAO3iB,KAAKhE,SAGjC,OAAI2mB,EAAM/kB,UAAYoC,KAAKpC,QAClB,EAGFoC,KAAK4iB,YAAYD,IAAU3iB,KAAK6iB,WAAWF,GAGpD,YAAaA,GAKX,OAJMA,aAAiBd,IACrBc,EAAQ,IAAId,EAAOc,EAAO3iB,KAAKhE,UAI/B4lB,EAAmB5hB,KAAKoiB,MAAOO,EAAMP,QACrCR,EAAmB5hB,KAAKqiB,MAAOM,EAAMN,QACrCT,EAAmB5hB,KAAKsiB,MAAOK,EAAML,OAIzC,WAAYK,GAMV,GALMA,aAAiBd,IACrBc,EAAQ,IAAId,EAAOc,EAAO3iB,KAAKhE,UAI7BgE,KAAKuiB,WAAWpqB,SAAWwqB,EAAMJ,WAAWpqB,OAC9C,OAAQ,EACH,IAAK6H,KAAKuiB,WAAWpqB,QAAUwqB,EAAMJ,WAAWpqB,OACrD,OAAO,EACF,IAAK6H,KAAKuiB,WAAWpqB,SAAWwqB,EAAMJ,WAAWpqB,OACtD,OAAO,EAGT,IAAIF,EAAI,EACR,EAAG,CACD,MAAMiC,EAAI8F,KAAKuiB,WAAWtqB,GACpBkC,EAAIwoB,EAAMJ,WAAWtqB,GAE3B,GADA6nB,EAAM,qBAAsB7nB,EAAGiC,EAAGC,QACxByC,IAAN1C,QAAyB0C,IAANzC,EACrB,OAAO,EACF,QAAUyC,IAANzC,EACT,OAAO,EACF,QAAUyC,IAAN1C,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAOynB,EAAmB1nB,EAAGC,WAEtBlC,GAGb,aAAc0qB,GACNA,aAAiBd,IACrBc,EAAQ,IAAId,EAAOc,EAAO3iB,KAAKhE,UAGjC,IAAI/D,EAAI,EACR,EAAG,CACD,MAAMiC,EAAI8F,KAAKyiB,MAAMxqB,GACfkC,EAAIwoB,EAAMF,MAAMxqB,GAEtB,GADA6nB,EAAM,qBAAsB7nB,EAAGiC,EAAGC,QACxByC,IAAN1C,QAAyB0C,IAANzC,EACrB,OAAO,EACF,QAAUyC,IAANzC,EACT,OAAO,EACF,QAAUyC,IAAN1C,EACT,OAAQ,EACH,GAAIA,IAAMC,EAGf,OAAOynB,EAAmB1nB,EAAGC,WAEtBlC,GAKb,IAAK6qB,EAASC,GACZ,OAAQD,GACN,IAAK,WACH9iB,KAAKuiB,WAAWpqB,OAAS,EACzB6H,KAAKsiB,MAAQ,EACbtiB,KAAKqiB,MAAQ,EACbriB,KAAKoiB,QACLpiB,KAAKgjB,IAAI,MAAOD,GAChB,MACF,IAAK,WACH/iB,KAAKuiB,WAAWpqB,OAAS,EACzB6H,KAAKsiB,MAAQ,EACbtiB,KAAKqiB,QACLriB,KAAKgjB,IAAI,MAAOD,GAChB,MACF,IAAK,WAIH/iB,KAAKuiB,WAAWpqB,OAAS,EACzB6H,KAAKgjB,IAAI,QAASD,GAClB/iB,KAAKgjB,IAAI,MAAOD,GAChB,MAGF,IAAK,aAC4B,IAA3B/iB,KAAKuiB,WAAWpqB,QAClB6H,KAAKgjB,IAAI,QAASD,GAEpB/iB,KAAKgjB,IAAI,MAAOD,GAChB,MAEF,IAAK,QAMc,IAAf/iB,KAAKqiB,OACU,IAAfriB,KAAKsiB,OACsB,IAA3BtiB,KAAKuiB,WAAWpqB,QAEhB6H,KAAKoiB,QAEPpiB,KAAKqiB,MAAQ,EACbriB,KAAKsiB,MAAQ,EACbtiB,KAAKuiB,WAAa,GAClB,MACF,IAAK,QAKgB,IAAfviB,KAAKsiB,OAA0C,IAA3BtiB,KAAKuiB,WAAWpqB,QACtC6H,KAAKqiB,QAEPriB,KAAKsiB,MAAQ,EACbtiB,KAAKuiB,WAAa,GAClB,MACF,IAAK,QAK4B,IAA3BviB,KAAKuiB,WAAWpqB,QAClB6H,KAAKsiB,QAEPtiB,KAAKuiB,WAAa,GAClB,MAGF,IAAK,MACH,GAA+B,IAA3BviB,KAAKuiB,WAAWpqB,OAClB6H,KAAKuiB,WAAa,CAAC,OACd,CACL,IAAItqB,EAAI+H,KAAKuiB,WAAWpqB,OACxB,OAASF,GAAK,GACsB,iBAAvB+H,KAAKuiB,WAAWtqB,KACzB+H,KAAKuiB,WAAWtqB,KAChBA,GAAK,IAGE,IAAPA,GAEF+H,KAAKuiB,WAAWhU,KAAK,GAGrBwU,IAGE/iB,KAAKuiB,WAAW,KAAOQ,EACrBve,MAAMxE,KAAKuiB,WAAW,MACxBviB,KAAKuiB,WAAa,CAACQ,EAAY,IAGjC/iB,KAAKuiB,WAAa,CAACQ,EAAY,IAGnC,MAEF,QACE,MAAM,IAAI/V,MAAM,+BAA+B8V,GAInD,OAFA9iB,KAAK0iB,SACL1iB,KAAKmiB,IAAMniB,KAAKpC,QACToC,MAIXlK,EAAOC,QAAU8rB,G,6BChSjB,IAaIlY,EAAmBsZ,EAAmCC,EAbtD7Z,EAAiB,EAAQ,IACzB1N,EAA8B,EAAQ,GACtCvF,EAAM,EAAQ,GACd+R,EAAkB,EAAQ,GAC1BqB,EAAU,EAAQ,IAElBK,EAAW1B,EAAgB,YAC3ByB,GAAyB,EAQzB,GAAGlB,OAGC,SAFNwa,EAAgB,GAAGxa,SAIjBua,EAAoC5Z,EAAeA,EAAe6Z,OACxB5rB,OAAOC,YAAWoS,EAAoBsZ,GAHlDrZ,GAAyB,GAOlChN,MAArB+M,IAAgCA,EAAoB,IAGnDH,GAAYpT,EAAIuT,EAAmBE,IACtClO,EAA4BgO,EAAmBE,GApBhC,WAAc,OAAO7J,QAuBtClK,EAAOC,QAAU,CACf4T,kBAAmBA,EACnBC,uBAAwBA,I,gBCnC1B,IAAIxT,EAAM,EAAQ,GACd2f,EAAW,EAAQ,IACnB1S,EAAY,EAAQ,IACpB8f,EAA2B,EAAQ,KAEnCxc,EAAWtD,EAAU,YACrB+f,EAAkB9rB,OAAOC,UAI7BzB,EAAOC,QAAUotB,EAA2B7rB,OAAO+R,eAAiB,SAAUlO,GAE5E,OADAA,EAAI4a,EAAS5a,GACT/E,EAAI+E,EAAGwL,GAAkBxL,EAAEwL,GACH,mBAAjBxL,EAAE3C,aAA6B2C,aAAaA,EAAE3C,YAChD2C,EAAE3C,YAAYjB,UACd4D,aAAa7D,OAAS8rB,EAAkB,O,gBCfnD,IAAIroB,EAAW,EAAQ,GACnBsoB,EAAqB,EAAQ,KAMjCvtB,EAAOC,QAAUuB,OAAOgS,iBAAmB,aAAe,GAAK,WAC7D,IAEIga,EAFAC,GAAiB,EACjBpY,EAAO,GAEX,KACEmY,EAAShsB,OAAOoE,yBAAyBpE,OAAOC,UAAW,aAAa2L,KACjExL,KAAKyT,EAAM,IAClBoY,EAAiBpY,aAAgBmD,MACjC,MAAOrY,IACT,OAAO,SAAwBkF,EAAG+P,GAKhC,OAJAnQ,EAASI,GACTkoB,EAAmBnY,GACfqY,EAAgBD,EAAO5rB,KAAKyD,EAAG+P,GAC9B/P,EAAEqoB,UAAYtY,EACZ/P,GAdoD,QAgBzDyB,I,gBCvBN,IAAI0G,EAAa,EAAQ,IACrB1L,EAAW,EAAQ,GACnBxB,EAAM,EAAQ,GACduE,EAAiB,EAAQ,GAAuCO,EAChE7E,EAAM,EAAQ,IACdotB,EAAW,EAAQ,KAEnBC,EAAWrtB,EAAI,QACfsS,EAAK,EAELgb,EAAersB,OAAOqsB,cAAgB,WACxC,OAAO,GAGLC,EAAc,SAAU/sB,GAC1B8D,EAAe9D,EAAI6sB,EAAU,CAAEpoB,MAAO,CACpCuoB,SAAU,OAAQlb,EAClBmb,SAAU,OAoCVC,EAAOjuB,EAAOC,QAAU,CAC1BiuB,UAAU,EACVC,QAlCY,SAAUptB,EAAIqO,GAE1B,IAAKtN,EAASf,GAAK,MAAoB,iBAANA,EAAiBA,GAAmB,iBAANA,EAAiB,IAAM,KAAOA,EAC7F,IAAKT,EAAIS,EAAI6sB,GAAW,CAEtB,IAAKC,EAAa9sB,GAAK,MAAO,IAE9B,IAAKqO,EAAQ,MAAO,IAEpB0e,EAAY/sB,GAEZ,OAAOA,EAAG6sB,GAAUG,UAwBtBK,YArBgB,SAAUrtB,EAAIqO,GAC9B,IAAK9O,EAAIS,EAAI6sB,GAAW,CAEtB,IAAKC,EAAa9sB,GAAK,OAAO,EAE9B,IAAKqO,EAAQ,OAAO,EAEpB0e,EAAY/sB,GAEZ,OAAOA,EAAG6sB,GAAUI,UAatBK,SATa,SAAUttB,GAEvB,OADI4sB,GAAYM,EAAKC,UAAYL,EAAa9sB,KAAQT,EAAIS,EAAI6sB,IAAWE,EAAY/sB,GAC9EA,IAUTyM,EAAWogB,IAAY,G,cC1DvB5tB,EAAOC,QAAU,CACfquB,YAAa,EACbC,oBAAqB,EACrBC,aAAc,EACdC,eAAgB,EAChBC,YAAa,EACbC,cAAe,EACfC,aAAc,EACdC,qBAAsB,EACtBC,SAAU,EACVC,kBAAmB,EACnBC,eAAgB,EAChBC,gBAAiB,EACjBC,kBAAmB,EACnBC,UAAW,EACXC,cAAe,EACfC,aAAc,EACdC,SAAU,EACVC,iBAAkB,EAClBC,OAAQ,EACRC,YAAa,EACbC,cAAe,EACfC,cAAe,EACfC,eAAgB,EAChBC,aAAc,EACdC,cAAe,EACfC,iBAAkB,EAClBC,iBAAkB,EAClBC,eAAgB,EAChBC,iBAAkB,EAClBC,cAAe,EACfC,UAAW,I,6BChCb,IAAInrB,EAAW,EAAQ,GAIvBjF,EAAOC,QAAU,WACf,IAAI8P,EAAO9K,EAASiF,MAChBnG,EAAS,GAOb,OANIgM,EAAK3P,SAAQ2D,GAAU,KACvBgM,EAAKsgB,aAAYtsB,GAAU,KAC3BgM,EAAK2G,YAAW3S,GAAU,KAC1BgM,EAAKugB,SAAQvsB,GAAU,KACvBgM,EAAKwgB,UAASxsB,GAAU,KACxBgM,EAAKuG,SAAQvS,GAAU,KACpBA,I,8BCbT,IAAI+B,EAAW,EAAQ,IACnBb,EAAW,EAAQ,GACnBL,EAAQ,EAAQ,GAChB2R,EAAQ,EAAQ,IAGhBia,EAAkB7a,OAAOlU,UACzBgvB,EAAiBD,EAAyB,SAE1CE,EAAc9rB,GAAM,WAAc,MAA2D,QAApD6rB,EAAe7uB,KAAK,CAAEuE,OAAQ,IAAKoQ,MAAO,SAEnFoa,EANY,YAMKF,EAAe3vB,MAIhC4vB,GAAeC,IACjB7qB,EAAS6P,OAAOlU,UAXF,YAWwB,WACpC,IAAIyoB,EAAIjlB,EAASiF,MACb0mB,EAAIjsB,OAAOulB,EAAE/jB,QACb0qB,EAAK3G,EAAE3T,MAEX,MAAO,IAAMqa,EAAI,IADTjsB,YAAcmC,IAAP+pB,GAAoB3G,aAAavU,UAAY,UAAW6a,GAAmBja,EAAM3U,KAAKsoB,GAAK2G,KAEzG,CAAE/mB,QAAQ,K,6BCtBf,IAAIpB,EAAS,EAAQ,IAAiCA,OAClDc,EAAsB,EAAQ,IAC9BqV,EAAiB,EAAQ,IAGzBC,EAAmBtV,EAAoB4D,IACvC3D,EAAmBD,EAAoBwE,UAFrB,mBAMtB6Q,EAAela,OAAQ,UAAU,SAAUoa,GACzCD,EAAiB5U,KAAM,CACrBnB,KARkB,kBASlB2W,OAAQ/a,OAAOoa,GACfpI,MAAO,OAIR,WACD,IAGIma,EAHA5iB,EAAQzE,EAAiBS,MACzBwV,EAASxR,EAAMwR,OACf/I,EAAQzI,EAAMyI,MAElB,OAAIA,GAAS+I,EAAOrd,OAAe,CAAEmD,WAAOsB,EAAWmY,MAAM,IAC7D6R,EAAQpoB,EAAOgX,EAAQ/I,GACvBzI,EAAMyI,OAASma,EAAMzuB,OACd,CAAEmD,MAAOsrB,EAAO7R,MAAM,Q,6BC1B/B,IAAI8R,EAAgC,EAAQ,KACxC9rB,EAAW,EAAQ,GACnBgb,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBjV,EAAY,EAAQ,IACpBG,EAAyB,EAAQ,IACjC4lB,EAAqB,EAAQ,KAC7BC,EAAa,EAAQ,KAErBC,EAAMlwB,KAAKkwB,IACXhmB,EAAMlK,KAAKkK,IACXuD,EAAQzN,KAAKyN,MACb0iB,EAAuB,4BACvBC,EAAgC,oBAOpCL,EAA8B,UAAW,GAAG,SAAUM,EAASzb,EAAe0b,EAAiBC,GAC7F,IAAIC,EAA+CD,EAAOC,6CACtDC,EAAmBF,EAAOE,iBAC1BC,EAAoBF,EAA+C,IAAM,KAE7E,MAAO,CAGL,SAAiBG,EAAaC,GAC5B,IAAIvsB,EAAI+F,EAAuBlB,MAC3B2nB,EAA0B/qB,MAAf6qB,OAA2B7qB,EAAY6qB,EAAYN,GAClE,YAAoBvqB,IAAb+qB,EACHA,EAASjwB,KAAK+vB,EAAatsB,EAAGusB,GAC9Bhc,EAAchU,KAAK+C,OAAOU,GAAIssB,EAAaC,IAIjD,SAAUE,EAAQF,GAChB,IACIJ,GAAgDC,GACzB,iBAAjBG,IAA0E,IAA7CA,EAAa9oB,QAAQ4oB,GAC1D,CACA,IAAIK,EAAMT,EAAgB1b,EAAekc,EAAQ5nB,KAAM0nB,GACvD,GAAIG,EAAI9S,KAAM,OAAO8S,EAAIvsB,MAG3B,IAAIwsB,EAAK/sB,EAAS6sB,GACdvO,EAAI5e,OAAOuF,MAEX+nB,EAA4C,mBAAjBL,EAC1BK,IAAmBL,EAAejtB,OAAOitB,IAE9C,IAAIxxB,EAAS4xB,EAAG5xB,OAChB,GAAIA,EAAQ,CACV,IAAI8xB,EAAcF,EAAGzB,QACrByB,EAAGjc,UAAY,EAGjB,IADA,IAAIoc,EAAU,KACD,CACX,IAAIpuB,EAASktB,EAAWe,EAAIzO,GAC5B,GAAe,OAAXxf,EAAiB,MAGrB,GADAouB,EAAQ1Z,KAAK1U,IACR3D,EAAQ,MAGI,KADFuE,OAAOZ,EAAO,MACRiuB,EAAGjc,UAAYib,EAAmBzN,EAAGrD,EAAS8R,EAAGjc,WAAYmc,IAKpF,IAFA,IAtDwBnxB,EAsDpBqxB,EAAoB,GACpBC,EAAqB,EAChBlwB,EAAI,EAAGA,EAAIgwB,EAAQ9vB,OAAQF,IAAK,CACvC4B,EAASouB,EAAQhwB,GAUjB,IARA,IAAImwB,EAAU3tB,OAAOZ,EAAO,IACxByf,EAAW0N,EAAIhmB,EAAID,EAAUlH,EAAO4S,OAAQ4M,EAAElhB,QAAS,GACvDkwB,EAAW,GAMNzV,EAAI,EAAGA,EAAI/Y,EAAO1B,OAAQya,IAAKyV,EAAS9Z,UAlEzC3R,KADc/F,EAmE8CgD,EAAO+Y,IAlEvD/b,EAAK4D,OAAO5D,IAmEhC,IAAIyxB,EAAgBzuB,EAAO0uB,OAC3B,GAAIR,EAAmB,CACrB,IAAIS,EAAe,CAACJ,GAASplB,OAAOqlB,EAAU/O,EAAUD,QAClCzc,IAAlB0rB,GAA6BE,EAAaja,KAAK+Z,GACnD,IAAI1U,EAAcnZ,OAAOitB,EAAa3hB,WAAMnJ,EAAW4rB,SAEvD5U,EAAc6U,EAAgBL,EAAS/O,EAAGC,EAAU+O,EAAUC,EAAeZ,GAE3EpO,GAAY6O,IACdD,GAAqB7O,EAAEpW,MAAMklB,EAAoB7O,GAAY1F,EAC7DuU,EAAqB7O,EAAW8O,EAAQjwB,QAG5C,OAAO+vB,EAAoB7O,EAAEpW,MAAMklB,KAKvC,SAASM,EAAgBL,EAAS9tB,EAAKgf,EAAU+O,EAAUC,EAAe1U,GACxE,IAAI8U,EAAUpP,EAAW8O,EAAQjwB,OAC7B6pB,EAAIqG,EAASlwB,OACbwwB,EAAUzB,EAKd,YAJsBtqB,IAAlB0rB,IACFA,EAAgBvS,EAASuS,GACzBK,EAAU1B,GAELvb,EAAchU,KAAKkc,EAAa+U,GAAS,SAAUzc,EAAO0c,GAC/D,IAAIC,EACJ,OAAQD,EAAGpqB,OAAO,IAChB,IAAK,IAAK,MAAO,IACjB,IAAK,IAAK,OAAO4pB,EACjB,IAAK,IAAK,OAAO9tB,EAAI2I,MAAM,EAAGqW,GAC9B,IAAK,IAAK,OAAOhf,EAAI2I,MAAMylB,GAC3B,IAAK,IACHG,EAAUP,EAAcM,EAAG3lB,MAAM,GAAI,IACrC,MACF,QACE,IAAI4T,GAAK+R,EACT,GAAU,IAAN/R,EAAS,OAAO3K,EACpB,GAAI2K,EAAImL,EAAG,CACT,IAAI9mB,EAAIqJ,EAAMsS,EAAI,IAClB,OAAU,IAAN3b,EAAgBgR,EAChBhR,GAAK8mB,OAA8BplB,IAApByrB,EAASntB,EAAI,GAAmB0tB,EAAGpqB,OAAO,GAAK6pB,EAASntB,EAAI,GAAK0tB,EAAGpqB,OAAO,GACvF0N,EAET2c,EAAUR,EAASxR,EAAI,GAE3B,YAAmBja,IAAZisB,EAAwB,GAAKA,U,gBCnI1C,IAAI3yB,EAAS,EAAQ,GACjB4yB,EAAe,EAAQ,IACvBC,EAAuB,EAAQ,IAC/BptB,EAA8B,EAAQ,GACtCwM,EAAkB,EAAQ,GAE1B0B,EAAW1B,EAAgB,YAC3BD,EAAgBC,EAAgB,eAChC6gB,EAAcD,EAAqB9d,OAEvC,IAAK,IAAIge,KAAmBH,EAAc,CACxC,IAAII,EAAahzB,EAAO+yB,GACpBE,EAAsBD,GAAcA,EAAW3xB,UACnD,GAAI4xB,EAAqB,CAEvB,GAAIA,EAAoBtf,KAAcmf,EAAa,IACjDrtB,EAA4BwtB,EAAqBtf,EAAUmf,GAC3D,MAAO/yB,GACPkzB,EAAoBtf,GAAYmf,EAKlC,GAHKG,EAAoBjhB,IACvBvM,EAA4BwtB,EAAqBjhB,EAAe+gB,GAE9DH,EAAaG,GAAkB,IAAK,IAAI/iB,KAAe6iB,EAEzD,GAAII,EAAoBjjB,KAAiB6iB,EAAqB7iB,GAAc,IAC1EvK,EAA4BwtB,EAAqBjjB,EAAa6iB,EAAqB7iB,IACnF,MAAOjQ,GACPkzB,EAAoBjjB,GAAe6iB,EAAqB7iB,O,6BC3BhE,IAAIiD,EAAI,EAAQ,IACZigB,EAAW,EAAQ,IAA+BxqB,QAClD8gB,EAAsB,EAAQ,IAC9BC,EAA0B,EAAQ,IAElC0J,EAAgB,GAAGzqB,QAEnB0qB,IAAkBD,GAAiB,EAAI,CAAC,GAAGzqB,QAAQ,GAAI,GAAK,EAC5DghB,EAAgBF,EAAoB,WACpCG,EAAiBF,EAAwB,UAAW,CAAExZ,WAAW,EAAMyT,EAAG,IAI9EzQ,EAAE,CAAEjN,OAAQ,QAASgP,OAAO,EAAMvO,OAAQ2sB,IAAkB1J,IAAkBC,GAAkB,CAC9FjhB,QAAS,SAAiB2qB,GACxB,OAAOD,EAEHD,EAActjB,MAAM/F,KAAMjG,YAAc,EACxCqvB,EAASppB,KAAMupB,EAAexvB,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,O,cCnB5E9G,EAAOC,QAAU,SAAUc,GACzB,GAAiB,mBAANA,EACT,MAAM2D,UAAUC,OAAO5D,GAAM,sBAC7B,OAAOA,I,6BCFX,IAAImE,EAAc,EAAQ,IACtBO,EAAuB,EAAQ,GAC/BC,EAA2B,EAAQ,IAEvC1F,EAAOC,QAAU,SAAU0F,EAAQrD,EAAKkD,GACtC,IAAIkuB,EAAcxuB,EAAY5C,GAC1BoxB,KAAe/tB,EAAQF,EAAqBL,EAAEO,EAAQ+tB,EAAahuB,EAAyB,EAAGF,IAC9FG,EAAO+tB,GAAeluB,I,gBCR7B,IAAIkN,EAAU,EAAQ,IAClBiB,EAAY,EAAQ,IAGpBI,EAFkB,EAAQ,EAEf1B,CAAgB,YAE/BrS,EAAOC,QAAU,SAAUc,GACzB,GAAU+F,MAAN/F,EAAiB,OAAOA,EAAGgT,IAC1BhT,EAAG,eACH4S,EAAUjB,EAAQ3R,M,gBCTzB,IAAI0jB,EAAqB,EAAQ,IAG7BjX,EAFc,EAAQ,IAEGN,OAAO,SAAU,aAI9CjN,EAAQmF,EAAI5D,OAAOmyB,qBAAuB,SAA6BtuB,GACrE,OAAOof,EAAmBpf,EAAGmI,K,gBCR/B,IAAIvC,EAAY,EAAQ,IAEpBimB,EAAMlwB,KAAKkwB,IACXhmB,EAAMlK,KAAKkK,IAKflL,EAAOC,QAAU,SAAU0W,EAAOtU,GAChC,IAAIuxB,EAAU3oB,EAAU0L,GACxB,OAAOid,EAAU,EAAI1C,EAAI0C,EAAUvxB,EAAQ,GAAK6I,EAAI0oB,EAASvxB,K,6BCR/Db,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAEThE,OAAOqD,eAAe5E,EAAS,kBAAmB,CAChD+J,YAAY,EACZlF,IAAK,WACH,OAAO+uB,EAActkB,mBAGzB/N,OAAOqD,eAAe5E,EAAS,uBAAwB,CACrD+J,YAAY,EACZlF,IAAK,WACH,OAAO+uB,EAAclkB,wBAGzBnO,OAAOqD,eAAe5E,EAAS,iBAAkB,CAC/C+J,YAAY,EACZlF,IAAK,WACH,OAAOgvB,EAAMC,kBAIjB,IAAIF,EAAgB,EAAQ,KAExBC,EAAQ,EAAQ,M,6BCzBpB,IAAIzgB,EAAI,EAAQ,IACZ2gB,EAAU,EAAQ,IAAgCjW,OAClDsC,EAA+B,EAAQ,IACvCwJ,EAA0B,EAAQ,IAElCoK,EAAsB5T,EAA6B,UAEnD0J,EAAiBF,EAAwB,UAK7CxW,EAAE,CAAEjN,OAAQ,QAASgP,OAAO,EAAMvO,QAASotB,IAAwBlK,GAAkB,CACnFhM,OAAQ,SAAgB2D,GACtB,OAAOsS,EAAQ9pB,KAAMwX,EAAYzd,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,O,gBCf3E,IAAIhF,EAAW,EAAQ,GACnB0R,EAAiB,EAAQ,IAG7BxT,EAAOC,QAAU,SAAUwhB,EAAOyS,EAAOC,GACvC,IAAIC,EAAWC,EAUf,OAPE7gB,GAE0C,mBAAlC4gB,EAAYF,EAAMxxB,cAC1B0xB,IAAcD,GACdryB,EAASuyB,EAAqBD,EAAU3yB,YACxC4yB,IAAuBF,EAAQ1yB,WAC/B+R,EAAeiO,EAAO4S,GACjB5S,I,gBCfT,IAAI3b,EAAW,EAAQ,IAEvB9F,EAAOC,QAAU,SAAUmG,EAAQ2L,EAAK7L,GACtC,IAAK,IAAI5D,KAAOyP,EAAKjM,EAASM,EAAQ9D,EAAKyP,EAAIzP,GAAM4D,GACrD,OAAOE,I,6BCHT,IAAIrB,EAAc,EAAQ,GACtBH,EAAQ,EAAQ,GAChB0vB,EAAa,EAAQ,IACrBC,EAA8B,EAAQ,IACtCpV,EAA6B,EAAQ,IACrCc,EAAW,EAAQ,IACnBnR,EAAgB,EAAQ,IAExB0lB,EAAehzB,OAAO0G,OACtBrD,EAAiBrD,OAAOqD,eAI5B7E,EAAOC,SAAWu0B,GAAgB5vB,GAAM,WAEtC,GAAIG,GAQiB,IARFyvB,EAAa,CAAEnwB,EAAG,GAAKmwB,EAAa3vB,EAAe,GAAI,IAAK,CAC7EmF,YAAY,EACZlF,IAAK,WACHD,EAAeqF,KAAM,IAAK,CACxB1E,MAAO,EACPwE,YAAY,OAGd,CAAE3F,EAAG,KAAMA,EAAS,OAAO,EAE/B,IAAIyc,EAAI,GACJ2T,EAAI,GAEJC,EAAS/zB,SAIb,OAFAmgB,EAAE4T,GAAU,EADG,uBAEN7qB,MAAM,IAAI7H,SAAQ,SAAU2yB,GAAOF,EAAEE,GAAOA,KACf,GAA/BH,EAAa,GAAI1T,GAAG4T,IAHZ,wBAG4BJ,EAAWE,EAAa,GAAIC,IAAIxqB,KAAK,OAC7E,SAAgB7D,EAAQD,GAM3B,IALA,IAAIyuB,EAAI3U,EAAS7Z,GACbyuB,EAAkB5wB,UAAU5B,OAC5BsU,EAAQ,EACR6N,EAAwB+P,EAA4BnvB,EACpDuN,EAAuBwM,EAA2B/Z,EAC/CyvB,EAAkBle,GAMvB,IALA,IAIIrU,EAJAihB,EAAIzU,EAAc7K,UAAU0S,MAC5B/D,EAAO4R,EAAwB8P,EAAW/Q,GAAGrW,OAAOsX,EAAsBjB,IAAM+Q,EAAW/Q,GAC3FlhB,EAASuQ,EAAKvQ,OACdya,EAAI,EAEDza,EAASya,GACdxa,EAAMsQ,EAAKkK,KACN/X,IAAe4N,EAAqB/Q,KAAK2hB,EAAGjhB,KAAMsyB,EAAEtyB,GAAOihB,EAAEjhB,IAEpE,OAAOsyB,GACPJ,G,gBCnDJ,IAAIM,EAAa,EAAQ,IAEzB90B,EAAOC,QAAU60B,EAAW,YAAa,cAAgB,I,gBCFzD,IAAI/vB,EAAc,EAAQ,GACtBU,EAAuB,EAAQ,GAC/BR,EAAW,EAAQ,GACnBqvB,EAAa,EAAQ,IAIzBt0B,EAAOC,QAAU8E,EAAcvD,OAAOiP,iBAAmB,SAA0BpL,EAAG8M,GACpFlN,EAASI,GAKT,IAJA,IAGI/C,EAHAsQ,EAAO0hB,EAAWniB,GAClB9P,EAASuQ,EAAKvQ,OACdsU,EAAQ,EAELtU,EAASsU,GAAOlR,EAAqBL,EAAEC,EAAG/C,EAAMsQ,EAAK+D,KAAUxE,EAAW7P,IACjF,OAAO+C,I,gBCdT,IAAIyvB,EAAa,EAAQ,IAEzB90B,EAAOC,QAAU60B,EAAW,WAAY,oB,6BCDxC,IAAIjhB,EAAoB,EAAQ,IAA+BA,kBAC3DzE,EAAS,EAAQ,IACjB1J,EAA2B,EAAQ,IACnC+N,EAAiB,EAAQ,IACzBE,EAAY,EAAQ,IAEpBK,EAAa,WAAc,OAAO9J,MAEtClK,EAAOC,QAAU,SAAUkU,EAAqBD,EAAME,GACpD,IAAIhC,EAAgB8B,EAAO,YAI3B,OAHAC,EAAoB1S,UAAY2N,EAAOyE,EAAmB,CAAEO,KAAM1O,EAAyB,EAAG0O,KAC9FX,EAAeU,EAAqB/B,GAAe,GAAO,GAC1DuB,EAAUvB,GAAiB4B,EACpBG,I,gBCdT,IAAI9B,EAAkB,EAAQ,GAC1BsB,EAAY,EAAQ,IAEpBI,EAAW1B,EAAgB,YAC3B0iB,EAAiBvc,MAAM/W,UAG3BzB,EAAOC,QAAU,SAAUc,GACzB,YAAc+F,IAAP/F,IAAqB4S,EAAU6E,QAAUzX,GAAMg0B,EAAehhB,KAAchT,K,gBCRrF,IAAIkE,EAAW,EAAQ,GAGvBjF,EAAOC,QAAU,SAAUyiB,EAAUxgB,EAAIsD,EAAOwvB,GAC9C,IACE,OAAOA,EAAU9yB,EAAG+C,EAASO,GAAO,GAAIA,EAAM,IAAMtD,EAAGsD,GAEvD,MAAOrF,GACP,IAAI80B,EAAevS,EAAiB,OAEpC,WADqB5b,IAAjBmuB,GAA4BhwB,EAASgwB,EAAarzB,KAAK8gB,IACrDviB,K,gBCVV,IAEI4T,EAFkB,EAAQ,EAEf1B,CAAgB,YAC3B6iB,GAAe,EAEnB,IACE,IAAIC,EAAS,EACTC,EAAqB,CACvBhhB,KAAM,WACJ,MAAO,CAAE6K,OAAQkW,MAEnB,OAAU,WACRD,GAAe,IAGnBE,EAAmBrhB,GAAY,WAC7B,OAAO7J,MAGTsO,MAAM6c,KAAKD,GAAoB,WAAc,MAAM,KACnD,MAAOj1B,IAETH,EAAOC,QAAU,SAAUC,EAAMo1B,GAC/B,IAAKA,IAAiBJ,EAAc,OAAO,EAC3C,IAAIK,GAAoB,EACxB,IACE,IAAI5vB,EAAS,GACbA,EAAOoO,GAAY,WACjB,MAAO,CACLK,KAAM,WACJ,MAAO,CAAE6K,KAAMsW,GAAoB,MAIzCr1B,EAAKyF,GACL,MAAOxF,IACT,OAAOo1B,I,6BCnCT,IAAIT,EAAa,EAAQ,IACrBrvB,EAAuB,EAAQ,GAC/B4M,EAAkB,EAAQ,GAC1BtN,EAAc,EAAQ,GAEtB6a,EAAUvN,EAAgB,WAE9BrS,EAAOC,QAAU,SAAUu1B,GACzB,IAAI1V,EAAcgV,EAAWU,GACzB3wB,EAAiBY,EAAqBL,EAEtCL,GAAe+a,IAAgBA,EAAYF,IAC7C/a,EAAeib,EAAaF,EAAS,CACnChR,cAAc,EACd9J,IAAK,WAAc,OAAOoF,U,6BCbhC,EAAQ,IACR,IAAIpE,EAAW,EAAQ,IACnBlB,EAAQ,EAAQ,GAChByN,EAAkB,EAAQ,GAC1BojB,EAAa,EAAQ,IACrB5vB,EAA8B,EAAQ,GAEtC+Z,EAAUvN,EAAgB,WAE1BqjB,GAAiC9wB,GAAM,WAIzC,IAAIyR,EAAK,IAMT,OALAA,EAAGnW,KAAO,WACR,IAAI6D,EAAS,GAEb,OADAA,EAAO0uB,OAAS,CAAEruB,EAAG,KACdL,GAEyB,MAA3B,GAAGU,QAAQ4R,EAAI,WAKpBob,EACgC,OAA3B,IAAIhtB,QAAQ,IAAK,MAGtB4sB,EAAUhf,EAAgB,WAE1Bmf,IACE,IAAIH,IAC6B,KAA5B,IAAIA,GAAS,IAAK,MAOzBsE,GAAqC/wB,GAAM,WAC7C,IAAIyR,EAAK,OACLuf,EAAevf,EAAGnW,KACtBmW,EAAGnW,KAAO,WAAc,OAAO01B,EAAa3lB,MAAM/F,KAAMjG,YACxD,IAAIF,EAAS,KAAK8F,MAAMwM,GACxB,OAAyB,IAAlBtS,EAAO1B,QAA8B,MAAd0B,EAAO,IAA4B,MAAdA,EAAO,MAG5D/D,EAAOC,QAAU,SAAUyU,EAAKrS,EAAQnC,EAAM6G,GAC5C,IAAI8uB,EAASxjB,EAAgBqC,GAEzBohB,GAAuBlxB,GAAM,WAE/B,IAAIS,EAAI,GAER,OADAA,EAAEwwB,GAAU,WAAc,OAAO,GACZ,GAAd,GAAGnhB,GAAKrP,MAGb0wB,EAAoBD,IAAwBlxB,GAAM,WAEpD,IAAIoxB,GAAa,EACb3f,EAAK,IAkBT,MAhBY,UAAR3B,KAIF2B,EAAK,IAGF3T,YAAc,GACjB2T,EAAG3T,YAAYkd,GAAW,WAAc,OAAOvJ,GAC/CA,EAAGE,MAAQ,GACXF,EAAGwf,GAAU,IAAIA,IAGnBxf,EAAGnW,KAAO,WAAiC,OAAnB81B,GAAa,EAAa,MAElD3f,EAAGwf,GAAQ,KACHG,KAGV,IACGF,IACAC,GACQ,YAARrhB,KACCghB,IACAjE,GACCD,IAEM,UAAR9c,IAAoBihB,EACrB,CACA,IAAIM,EAAqB,IAAIJ,GACzBphB,EAAUvU,EAAK21B,EAAQ,GAAGnhB,IAAM,SAAUwhB,EAAcpE,EAAQttB,EAAK2xB,EAAMC,GAC7E,OAAItE,EAAO5xB,OAASu1B,EACdK,IAAwBM,EAInB,CAAEnX,MAAM,EAAMzZ,MAAOywB,EAAmBr0B,KAAKkwB,EAAQttB,EAAK2xB,IAE5D,CAAElX,MAAM,EAAMzZ,MAAO0wB,EAAat0B,KAAK4C,EAAKstB,EAAQqE,IAEtD,CAAElX,MAAM,KACd,CACDwS,iBAAkBA,EAClBD,6CAA8CA,IAE5C6E,EAAe5hB,EAAQ,GACvB6hB,EAAc7hB,EAAQ,GAE1B3O,EAASnB,OAAOlD,UAAWiT,EAAK2hB,GAChCvwB,EAAS6P,OAAOlU,UAAWo0B,EAAkB,GAAVxzB,EAG/B,SAAUqd,EAAQiB,GAAO,OAAO2V,EAAY10B,KAAK8d,EAAQxV,KAAMyW,IAG/D,SAAUjB,GAAU,OAAO4W,EAAY10B,KAAK8d,EAAQxV,QAItDnD,GAAMlB,EAA4B8P,OAAOlU,UAAUo0B,GAAS,QAAQ,K,6BC1H1E,IAAIntB,EAAS,EAAQ,IAAiCA,OAItD1I,EAAOC,QAAU,SAAUsjB,EAAG5M,EAAO4Z,GACnC,OAAO5Z,GAAS4Z,EAAU7nB,EAAO6a,EAAG5M,GAAOtU,OAAS,K,gBCNtD,IAAIqQ,EAAU,EAAQ,IAClB+iB,EAAa,EAAQ,IAIzBz1B,EAAOC,QAAU,SAAUiqB,EAAG3G,GAC5B,IAAIrjB,EAAOgqB,EAAEhqB,KACb,GAAoB,mBAATA,EAAqB,CAC9B,IAAI6D,EAAS7D,EAAK0B,KAAKsoB,EAAG3G,GAC1B,GAAsB,iBAAXxf,EACT,MAAMW,UAAU,sEAElB,OAAOX,EAGT,GAAmB,WAAf2O,EAAQwX,GACV,MAAMxlB,UAAU,+CAGlB,OAAO+wB,EAAW7zB,KAAKsoB,EAAG3G,K,gBCnB5B,IAAInjB,EAAS,EAAQ,GACjBmJ,EAAgB,EAAQ,IAExBkE,EAAUrN,EAAOqN,QAErBzN,EAAOC,QAA6B,mBAAZwN,GAA0B,cAAc4H,KAAK9L,EAAckE,K,gBCLnF,IAAInN,EAAM,EAAQ,GACdi2B,EAAU,EAAQ,KAClBC,EAAiC,EAAQ,IACzC/wB,EAAuB,EAAQ,GAEnCzF,EAAOC,QAAU,SAAUmG,EAAQD,GAIjC,IAHA,IAAIyM,EAAO2jB,EAAQpwB,GACftB,EAAiBY,EAAqBL,EACtCQ,EAA2B4wB,EAA+BpxB,EACrDjD,EAAI,EAAGA,EAAIyQ,EAAKvQ,OAAQF,IAAK,CACpC,IAAIG,EAAMsQ,EAAKzQ,GACV7B,EAAI8F,EAAQ9D,IAAMuC,EAAeuB,EAAQ9D,EAAKsD,EAAyBO,EAAQ7D,O,gBCXxF,IAAIwyB,EAAa,EAAQ,IACrB2B,EAA4B,EAAQ,KACpClC,EAA8B,EAAQ,IACtCtvB,EAAW,EAAQ,GAGvBjF,EAAOC,QAAU60B,EAAW,UAAW,YAAc,SAAiB/zB,GACpE,IAAI6R,EAAO6jB,EAA0BrxB,EAAEH,EAASlE,IAC5CyjB,EAAwB+P,EAA4BnvB,EACxD,OAAOof,EAAwB5R,EAAK1F,OAAOsX,EAAsBzjB,IAAO6R,I,gBCT1E,IAAIxS,EAAS,EAAQ,GAErBJ,EAAOC,QAAUG,G,gBCFjBJ,EAAOC,QAAU,EAAQ,M,6BCEzB,IAAIykB,EAAQ,EAAQ,GAChBpjB,EAAO,EAAQ,IACfo1B,EAAQ,EAAQ,KAChBC,EAAc,EAAQ,IAS1B,SAASC,EAAeC,GACtB,IAAI3qB,EAAU,IAAIwqB,EAAMG,GACpBC,EAAWx1B,EAAKo1B,EAAMj1B,UAAUklB,QAASza,GAQ7C,OALAwY,EAAMvgB,OAAO2yB,EAAUJ,EAAMj1B,UAAWyK,GAGxCwY,EAAMvgB,OAAO2yB,EAAU5qB,GAEhB4qB,EAIT,IAAIC,EAAQH,EAtBG,EAAQ,KAyBvBG,EAAML,MAAQA,EAGdK,EAAM3nB,OAAS,SAAgB4nB,GAC7B,OAAOJ,EAAeD,EAAYI,EAAMlnB,SAAUmnB,KAIpDD,EAAMrN,OAAS,EAAQ,IACvBqN,EAAMtnB,YAAc,EAAQ,KAC5BsnB,EAAMrnB,SAAW,EAAQ,IAGzBqnB,EAAME,IAAM,SAAaC,GACvB,OAAO5Q,QAAQ2Q,IAAIC,IAErBH,EAAMI,OAAS,EAAQ,KAEvBn3B,EAAOC,QAAU82B,EAGjB/2B,EAAOC,QAAQ8O,QAAUgoB,G,6BClDzB,IAAIrS,EAAQ,EAAQ,GAChBuB,EAAW,EAAQ,IACnBmR,EAAqB,EAAQ,KAC7BC,EAAkB,EAAQ,KAC1BV,EAAc,EAAQ,IAO1B,SAASD,EAAMM,GACb9sB,KAAK2F,SAAWmnB,EAChB9sB,KAAKotB,aAAe,CAClB3Q,QAAS,IAAIyQ,EACb5P,SAAU,IAAI4P,GASlBV,EAAMj1B,UAAUklB,QAAU,SAAiB/d,GAGnB,iBAAXA,GACTA,EAAS3E,UAAU,IAAM,IAClB8D,IAAM9D,UAAU,GAEvB2E,EAASA,GAAU,IAGrBA,EAAS+tB,EAAYzsB,KAAK2F,SAAUjH,IAGzB2F,OACT3F,EAAO2F,OAAS3F,EAAO2F,OAAO8N,cACrBnS,KAAK2F,SAAStB,OACvB3F,EAAO2F,OAASrE,KAAK2F,SAAStB,OAAO8N,cAErCzT,EAAO2F,OAAS,MAIlB,IAAIgpB,EAAQ,CAACF,OAAiBvwB,GAC1B4hB,EAAUpC,QAAQC,QAAQ3d,GAU9B,IARAsB,KAAKotB,aAAa3Q,QAAQ3kB,SAAQ,SAAoCw1B,GACpED,EAAME,QAAQD,EAAYE,UAAWF,EAAYG,aAGnDztB,KAAKotB,aAAa9P,SAASxlB,SAAQ,SAAkCw1B,GACnED,EAAM9e,KAAK+e,EAAYE,UAAWF,EAAYG,aAGzCJ,EAAMl1B,QACXqmB,EAAUA,EAAQC,KAAK4O,EAAMK,QAASL,EAAMK,SAG9C,OAAOlP,GAGTgO,EAAMj1B,UAAUo2B,OAAS,SAAgBjvB,GAEvC,OADAA,EAAS+tB,EAAYzsB,KAAK2F,SAAUjH,GAC7Bqd,EAASrd,EAAOb,IAAKa,EAAOZ,OAAQY,EAAOgc,kBAAkBngB,QAAQ,MAAO,KAIrFigB,EAAM1iB,QAAQ,CAAC,SAAU,MAAO,OAAQ,YAAY,SAA6BuM,GAE/EmoB,EAAMj1B,UAAU8M,GAAU,SAASxG,EAAKa,GACtC,OAAOsB,KAAKyc,QAAQjC,EAAM5gB,MAAM8E,GAAU,GAAI,CAC5C2F,OAAQA,EACRxG,IAAKA,SAKX2c,EAAM1iB,QAAQ,CAAC,OAAQ,MAAO,UAAU,SAA+BuM,GAErEmoB,EAAMj1B,UAAU8M,GAAU,SAASxG,EAAK0S,EAAM7R,GAC5C,OAAOsB,KAAKyc,QAAQjC,EAAM5gB,MAAM8E,GAAU,GAAI,CAC5C2F,OAAQA,EACRxG,IAAKA,EACL0S,KAAMA,SAKZza,EAAOC,QAAUy2B,G,6BC3FjB,IAAIhS,EAAQ,EAAQ,GAEpB,SAAS0S,IACPltB,KAAK4tB,SAAW,GAWlBV,EAAmB31B,UAAUs2B,IAAM,SAAaL,EAAWC,GAKzD,OAJAztB,KAAK4tB,SAASrf,KAAK,CACjBif,UAAWA,EACXC,SAAUA,IAELztB,KAAK4tB,SAASz1B,OAAS,GAQhC+0B,EAAmB31B,UAAUu2B,MAAQ,SAAenlB,GAC9C3I,KAAK4tB,SAASjlB,KAChB3I,KAAK4tB,SAASjlB,GAAM,OAYxBukB,EAAmB31B,UAAUO,QAAU,SAAiBE,GACtDwiB,EAAM1iB,QAAQkI,KAAK4tB,UAAU,SAAwB/qB,GACzC,OAANA,GACF7K,EAAG6K,OAKT/M,EAAOC,QAAUm3B,G,6BCjDjB,IAAI1S,EAAQ,EAAQ,GAChBuT,EAAgB,EAAQ,KACxBvoB,EAAW,EAAQ,IACnBG,EAAW,EAAQ,IAKvB,SAASqoB,EAA6BtvB,GAChCA,EAAO6f,aACT7f,EAAO6f,YAAY0P,mBAUvBn4B,EAAOC,QAAU,SAAyB2I,GA6BxC,OA5BAsvB,EAA6BtvB,GAG7BA,EAAOyG,QAAUzG,EAAOyG,SAAW,GAGnCzG,EAAO6R,KAAOwd,EACZrvB,EAAO6R,KACP7R,EAAOyG,QACPzG,EAAO2c,kBAIT3c,EAAOyG,QAAUqV,EAAM5gB,MACrB8E,EAAOyG,QAAQ0W,QAAU,GACzBnd,EAAOyG,QAAQzG,EAAO2F,SAAW,GACjC3F,EAAOyG,SAGTqV,EAAM1iB,QACJ,CAAC,SAAU,MAAO,OAAQ,OAAQ,MAAO,QAAS,WAClD,SAA2BuM,UAClB3F,EAAOyG,QAAQd,OAIZ3F,EAAOyc,SAAWxV,EAASwV,SAE1Bzc,GAAQ+f,MAAK,SAA6BnB,GAUvD,OATA0Q,EAA6BtvB,GAG7B4e,EAAS/M,KAAOwd,EACdzQ,EAAS/M,KACT+M,EAASnY,QACTzG,EAAO4c,mBAGFgC,KACN,SAA4B+J,GAc7B,OAbK7hB,EAAS6hB,KACZ2G,EAA6BtvB,GAGzB2oB,GAAUA,EAAO/J,WACnB+J,EAAO/J,SAAS/M,KAAOwd,EACrB1G,EAAO/J,SAAS/M,KAChB8W,EAAO/J,SAASnY,QAChBzG,EAAO4c,qBAKNc,QAAQE,OAAO+K,Q,6BC1E1B,IAAI7M,EAAQ,EAAQ,GAUpB1kB,EAAOC,QAAU,SAAuBwa,EAAMpL,EAAS+oB,GAMrD,OAJA1T,EAAM1iB,QAAQo2B,GAAK,SAAmBl2B,GACpCuY,EAAOvY,EAAGuY,EAAMpL,MAGXoL,I,6BChBT,IAAIiK,EAAQ,EAAQ,GAEpB1kB,EAAOC,QAAU,SAA6BoP,EAASgpB,GACrD3T,EAAM1iB,QAAQqN,GAAS,SAAuB7J,EAAO1E,GAC/CA,IAASu3B,GAAkBv3B,EAAKomB,gBAAkBmR,EAAenR,gBACnE7X,EAAQgpB,GAAkB7yB,SACnB6J,EAAQvO,S,6BCNrB,IAAIulB,EAAc,EAAQ,IAS1BrmB,EAAOC,QAAU,SAAgBsmB,EAASC,EAAQgB,GAChD,IAAI3B,EAAiB2B,EAAS5e,OAAOid,gBAChCA,GAAkBA,EAAe2B,EAAS1B,QAC7CS,EAAQiB,GAERhB,EAAOH,EACL,mCAAqCmB,EAAS1B,OAC9C0B,EAAS5e,OACT,KACA4e,EAASb,QACTa,M,6BCTNxnB,EAAOC,QAAU,SAAsBE,EAAOyI,EAAQqgB,EAAMtC,EAASa,GA4BnE,OA3BArnB,EAAMyI,OAASA,EACXqgB,IACF9oB,EAAM8oB,KAAOA,GAGf9oB,EAAMwmB,QAAUA,EAChBxmB,EAAMqnB,SAAWA,EACjBrnB,EAAMm4B,cAAe,EAErBn4B,EAAMo4B,OAAS,WACb,MAAO,CAELvP,QAAS9e,KAAK8e,QACdloB,KAAMoJ,KAAKpJ,KAEX03B,YAAatuB,KAAKsuB,YAClBC,OAAQvuB,KAAKuuB,OAEbC,SAAUxuB,KAAKwuB,SACfC,WAAYzuB,KAAKyuB,WACjBC,aAAc1uB,KAAK0uB,aACnBC,MAAO3uB,KAAK2uB,MAEZjwB,OAAQsB,KAAKtB,OACbqgB,KAAM/e,KAAK+e,OAGR9oB,I,6BCtCT,IAAI24B,EAAgB,EAAQ,KACxBC,EAAc,EAAQ,KAW1B/4B,EAAOC,QAAU,SAAuBgnB,EAAS+R,GAC/C,OAAI/R,IAAY6R,EAAcE,GACrBD,EAAY9R,EAAS+R,GAEvBA,I,6BCVTh5B,EAAOC,QAAU,SAAuB8H,GAItC,MAAO,gCAAgCsN,KAAKtN,K,6BCH9C/H,EAAOC,QAAU,SAAqBgnB,EAASgS,GAC7C,OAAOA,EACHhS,EAAQxiB,QAAQ,OAAQ,IAAM,IAAMw0B,EAAYx0B,QAAQ,OAAQ,IAChEwiB,I,6BCVN,IAAIvC,EAAQ,EAAQ,GAIhBwU,EAAoB,CACtB,MAAO,gBAAiB,iBAAkB,eAAgB,OAC1D,UAAW,OAAQ,OAAQ,oBAAqB,sBAChD,gBAAiB,WAAY,eAAgB,sBAC7C,UAAW,cAAe,cAgB5Bl5B,EAAOC,QAAU,SAAsBoP,GACrC,IACI/M,EACAX,EACAQ,EAHAg3B,EAAS,GAKb,OAAK9pB,GAELqV,EAAM1iB,QAAQqN,EAAQxF,MAAM,OAAO,SAAgBuvB,GAKjD,GAJAj3B,EAAIi3B,EAAKtwB,QAAQ,KACjBxG,EAAMoiB,EAAMngB,KAAK60B,EAAKC,OAAO,EAAGl3B,IAAIka,cACpC1a,EAAM+iB,EAAMngB,KAAK60B,EAAKC,OAAOl3B,EAAI,IAE7BG,EAAK,CACP,GAAI62B,EAAO72B,IAAQ42B,EAAkBpwB,QAAQxG,IAAQ,EACnD,OAGA62B,EAAO72B,GADG,eAARA,GACa62B,EAAO72B,GAAO62B,EAAO72B,GAAO,IAAI4K,OAAO,CAACvL,IAEzCw3B,EAAO72B,GAAO62B,EAAO72B,GAAO,KAAOX,EAAMA,MAKtDw3B,GAnBgBA,I,6BC9BzB,IAAIzU,EAAQ,EAAQ,GAEpB1kB,EAAOC,QACLykB,EAAMhhB,uBAIJ,WACE,IAEI41B,EAFAC,EAAO,kBAAkBlkB,KAAK1R,UAAUyY,WACxCod,EAAiB31B,SAASqZ,cAAc,KAS5C,SAASuc,EAAW1xB,GAClB,IAAI2xB,EAAO3xB,EAWX,OATIwxB,IAEFC,EAAejb,aAAa,OAAQmb,GACpCA,EAAOF,EAAeE,MAGxBF,EAAejb,aAAa,OAAQmb,GAG7B,CACLA,KAAMF,EAAeE,KACrB/xB,SAAU6xB,EAAe7xB,SAAW6xB,EAAe7xB,SAASlD,QAAQ,KAAM,IAAM,GAChFmD,KAAM4xB,EAAe5xB,KACrB+xB,OAAQH,EAAeG,OAASH,EAAeG,OAAOl1B,QAAQ,MAAO,IAAM,GAC3Em1B,KAAMJ,EAAeI,KAAOJ,EAAeI,KAAKn1B,QAAQ,KAAM,IAAM,GACpEo1B,SAAUL,EAAeK,SACzBC,KAAMN,EAAeM,KACrBC,SAAiD,MAAtCP,EAAeO,SAASrxB,OAAO,GACxC8wB,EAAeO,SACf,IAAMP,EAAeO,UAY3B,OARAT,EAAYG,EAAWt4B,OAAOuG,SAASgyB,MAQhC,SAAyBM,GAC9B,IAAIb,EAAUzU,EAAMzhB,SAAS+2B,GAAeP,EAAWO,GAAcA,EACrE,OAAQb,EAAOxxB,WAAa2xB,EAAU3xB,UAClCwxB,EAAOvxB,OAAS0xB,EAAU1xB,MAhDlC,GAsDS,WACL,OAAO,I,6BC9Df,IAAI8c,EAAQ,EAAQ,GAEpB1kB,EAAOC,QACLykB,EAAMhhB,uBAIK,CACL6N,MAAO,SAAezQ,EAAM0E,EAAOy0B,EAAS9rB,EAAMgD,EAAQ+oB,GACxD,IAAIC,EAAS,GACbA,EAAO1hB,KAAK3X,EAAO,IAAM2H,mBAAmBjD,IAExCkf,EAAMxhB,SAAS+2B,IACjBE,EAAO1hB,KAAK,WAAa,IAAI2hB,KAAKH,GAASI,eAGzC3V,EAAMzhB,SAASkL,IACjBgsB,EAAO1hB,KAAK,QAAUtK,GAGpBuW,EAAMzhB,SAASkO,IACjBgpB,EAAO1hB,KAAK,UAAYtH,IAGX,IAAX+oB,GACFC,EAAO1hB,KAAK,UAGd5U,SAASs2B,OAASA,EAAOlwB,KAAK,OAGhCke,KAAM,SAAcrnB,GAClB,IAAIsV,EAAQvS,SAASs2B,OAAO/jB,MAAM,IAAIT,OAAO,aAAe7U,EAAO,cACnE,OAAQsV,EAAQkkB,mBAAmBlkB,EAAM,IAAM,MAGjDgH,OAAQ,SAAgBtc,GACtBoJ,KAAKqH,MAAMzQ,EAAM,GAAIs5B,KAAKG,MAAQ,SAO/B,CACLhpB,MAAO,aACP4W,KAAM,WAAkB,OAAO,MAC/B/K,OAAQ,e,6BC/ChB,IAAIsM,EAAS,EAAQ,IAQrB,SAASja,EAAY+qB,GACnB,GAAwB,mBAAbA,EACT,MAAM,IAAI91B,UAAU,gCAGtB,IAAI+1B,EACJvwB,KAAKwe,QAAU,IAAIpC,SAAQ,SAAyBC,GAClDkU,EAAiBlU,KAGnB,IAAI3W,EAAQ1F,KACZswB,GAAS,SAAgBxR,GACnBpZ,EAAM2hB,SAKV3hB,EAAM2hB,OAAS,IAAI7H,EAAOV,GAC1ByR,EAAe7qB,EAAM2hB,YAOzB9hB,EAAYhO,UAAU02B,iBAAmB,WACvC,GAAIjuB,KAAKqnB,OACP,MAAMrnB,KAAKqnB,QAQf9hB,EAAYtJ,OAAS,WACnB,IAAIyiB,EAIJ,MAAO,CACLhZ,MAJU,IAAIH,GAAY,SAAkBO,GAC5C4Y,EAAS5Y,KAIT4Y,OAAQA,IAIZ5oB,EAAOC,QAAUwP,G,6BClCjBzP,EAAOC,QAAU,SAAgBy6B,GAC/B,OAAO,SAAcC,GACnB,OAAOD,EAASzqB,MAAM,KAAM0qB,M,6BCtBhC,EAAQ,IAERn5B,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQsP,gBASR,WACE,OAAOK,GATT3P,EAAQ0P,qBAYR,SAA8BirB,GAC5BC,EAAUpiB,KAAKmiB,IAXjB,IAAIjwB,EAAY,EAAQ,IAEpBmwB,EAAej3B,SAASkY,qBAAqB,QAAQ,GACrDnM,EAAQkrB,EAAeA,EAAaC,aAAa,qBAAuB,KACxEF,EAAY,IAWhB,EAAIlwB,EAAUR,WAAW,qBAAqB,SAAU0M,GACtDjH,EAAQiH,EAAEjH,MACVirB,EAAU74B,SAAQ,SAAU44B,GAC1B,IACEA,EAAS/jB,EAAEjH,OACX,MAAOiH,GACPhM,QAAQ1K,MAAM,qCAAsC0W,W,gBC/B1D,IAAIrW,EAAgB,EAAQ,IAE5BR,EAAOC,QAAUO,IAEXG,OAAOoG,MAEkB,iBAAnBpG,OAAO+hB,U,6BCJnBlhB,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQ8K,cAAW,EAEnB,IAAIiwB,EAASC,EAAuB,EAAQ,MAExCC,EAASD,EAAuB,EAAQ,MAE5C,SAASA,EAAuBh5B,GAAO,OAAOA,GAAOA,EAAIgN,WAAahN,EAAM,CAAE8M,QAAS9M,GAIvF,SAASk5B,EAAkB/0B,EAAQg1B,GAAS,IAAK,IAAIj5B,EAAI,EAAGA,EAAIi5B,EAAM/4B,OAAQF,IAAK,CAAE,IAAIoE,EAAa60B,EAAMj5B,GAAIoE,EAAWyD,WAAazD,EAAWyD,aAAc,EAAOzD,EAAWqI,cAAe,EAAU,UAAWrI,IAAYA,EAAWsI,UAAW,GAAMrN,OAAOqD,eAAeuB,EAAQG,EAAWjE,IAAKiE,IAM7S,IAAI80B,EAEO,QAyCPtwB,EAAwB,WAC1B,SAASA,EAASV,GA9CpB,IAAyBpI,EAAKK,EAAKkD,GANnC,SAAyBsxB,EAAUhX,GAAe,KAAMgX,aAAoBhX,GAAgB,MAAM,IAAIpb,UAAU,qCAqD5G42B,CAAgBpxB,KAAMa,GA/CSvF,OAiDF,GAjDHlD,EAiDJ,SAjDDL,EAiDLiI,MAjD0C1I,OAAOqD,eAAe5C,EAAKK,EAAK,CAAEkD,MAAOA,EAAOwE,YAAY,EAAM4E,cAAc,EAAMC,UAAU,IAAkB5M,EAAIK,GAAOkD,EAmDzJ,mBAAnB6E,EAAIkxB,aAA8B,EAAIP,EAAOjsB,SAAS1E,EAAIkxB,eAE1D,EAAIL,EAAOnsB,SAAS1E,EAAIkxB,iBAAkB,EAAIL,EAAOnsB,SAAS7E,KAAKqxB,eAC5E1wB,QAAQC,KAAK,oCAAsCT,EAAIkxB,aAAe,SAAWrxB,KAAKqxB,cAFtF1wB,QAAQC,KAAK,4DAKfZ,KAAKG,IAAMA,EA3Df,IAAsByV,EAAa0b,EAAYC,EAoF7C,OApFoB3b,EA8DP/U,GA9DoBywB,EA8DV,CAAC,CACtBl5B,IAAK,aACLkD,MAAO,WACL,OAAO61B,IAER,CACD/4B,IAAK,YACLkD,MAAO,SAAmB1E,EAAMsJ,GAC9BF,KAAKG,IAAIF,UAAUrJ,EAAMsJ,KAE1B,CACD9H,IAAK,cACLkD,MAAO,SAAqB1E,EAAMsJ,GAChCF,KAAKG,IAAIC,YAAYxJ,EAAMsJ,KAE5B,CACD9H,IAAK,OACLkD,MAAO,SAAc1E,EAAM0J,GACzBN,KAAKG,IAAIE,KAAKzJ,EAAM0J,QAhFoD2wB,EAAkBrb,EAAYre,UAAW+5B,GAAiBC,GAAaN,EAAkBrb,EAAa2b,GAoF3K1wB,EArCmB,GAwC5B9K,EAAQ8K,SAAWA,G,gBCxGnB,MAAM0a,EAAQ,EAAQ,KAKtBzlB,EAAOC,QAJO,CAAC6H,EAAS5B,KACtB,MAAM4e,EAAIW,EAAM3d,EAAS5B,GACzB,OAAO4e,EAAIA,EAAEhd,QAAU,O,gBCHzB,MAAM,WAACqL,GAAc,EAAQ,KACvB,GAAEkD,EAAE,EAAE4T,GAAM,EAAQ,IACpB8B,EAAS,EAAQ,IAkCvB/rB,EAAOC,QAhCO,CAAC6H,EAAS5B,KAQtB,GAPKA,GAA8B,iBAAZA,IACrBA,EAAU,CACR8lB,QAAS9lB,EACT+lB,mBAAmB,IAInBnkB,aAAmBikB,EACrB,OAAOjkB,EAGT,GAAuB,iBAAZA,EACT,OAAO,KAGT,GAAIA,EAAQzF,OAAS8Q,EACnB,OAAO,KAIT,KADUjN,EAAQ8lB,MAAQ3V,EAAG4T,EAAEkC,OAAS9V,EAAG4T,EAAEmC,OACtC/W,KAAKvN,GACV,OAAO,KAGT,IACE,OAAO,IAAIikB,EAAOjkB,EAAS5B,GAC3B,MAAOw1B,GACP,OAAO,Q,cChCX,MAAMC,EAAU,WACV7P,EAAqB,CAAC1nB,EAAGC,KAC7B,MAAMu3B,EAAOD,EAAQtmB,KAAKjR,GACpBy3B,EAAOF,EAAQtmB,KAAKhR,GAO1B,OALIu3B,GAAQC,IACVz3B,GAAKA,EACLC,GAAKA,GAGAD,IAAMC,EAAI,EACZu3B,IAASC,GAAS,EAClBA,IAASD,EAAQ,EAClBx3B,EAAIC,GAAK,EACT,GAKNrE,EAAOC,QAAU,CACf6rB,qBACAgQ,oBAJ0B,CAAC13B,EAAGC,IAAMynB,EAAmBznB,EAAGD,K,gBCjB5D,MAAM2nB,EAAS,EAAQ,IAEvB/rB,EAAOC,QADO,CAACmE,EAAG4nB,IAAU,IAAID,EAAO3nB,EAAG4nB,GAAOM,O,6BC0BjD,SAAS6O,EAAkB/0B,EAAQg1B,GAAS,IAAK,IAAIj5B,EAAI,EAAGA,EAAIi5B,EAAM/4B,OAAQF,IAAK,CAAE,IAAIoE,EAAa60B,EAAMj5B,GAAIoE,EAAWyD,WAAazD,EAAWyD,aAAc,EAAOzD,EAAWqI,cAAe,EAAU,UAAWrI,IAAYA,EAAWsI,UAAW,GAAMrN,OAAOqD,eAAeuB,EAAQG,EAAWjE,IAAKiE,IAzB7S,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAER,EAAQ,IAER,EAAQ,KAER,EAAQ,KAER,EAAQ,KAER/E,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQ+K,eAAY,EAUpB,IAAIqwB,EAEO,QAyCPrwB,EAAyB,WAC3B,SAASA,IA9CX,IAAyB/I,EAAKK,EAAKkD,GANnC,SAAyBsxB,EAAUhX,GAAe,KAAMgX,aAAoBhX,GAAgB,MAAM,IAAIpb,UAAU,qCAqD5G42B,CAAgBpxB,KAAMc,GA/CD/I,EAiDLiI,KAjDU5H,EAiDJ,WAjDSkD,EAiDG,IAAIu2B,IAjDMz5B,KAAOL,EAAOT,OAAOqD,eAAe5C,EAAKK,EAAK,CAAEkD,MAAOA,EAAOwE,YAAY,EAAM4E,cAAc,EAAMC,UAAU,IAAkB5M,EAAIK,GAAOkD,EAF3L,IAAsBsa,EAAa0b,EAAYC,EAoF7C,OApFoB3b,EAsDP9U,GAtDoBwwB,EAsDT,CAAC,CACvBl5B,IAAK,aACLkD,MAAO,WACL,OAAO61B,IAER,CACD/4B,IAAK,YACLkD,MAAO,SAAmB1E,EAAMsJ,GAC9BF,KAAK4tB,SAAS1qB,IAAItM,GAAOoJ,KAAK4tB,SAAShzB,IAAIhE,IAAS,IAAIoM,OAAO9C,MAEhE,CACD9H,IAAK,cACLkD,MAAO,SAAqB1E,EAAMsJ,GAChCF,KAAK4tB,SAAS1qB,IAAItM,GAAOoJ,KAAK4tB,SAAShzB,IAAIhE,IAAS,IAAIid,QAAO,SAAUhR,GACvE,OAAOA,GAAK3C,QAGf,CACD9H,IAAK,OACLkD,MAAO,SAAc1E,EAAM0J,IACxBN,KAAK4tB,SAAShzB,IAAIhE,IAAS,IAAIkB,SAAQ,SAAU+K,GAChD,IACEA,EAAEvC,GACF,MAAOqM,GACPhM,QAAQ1K,MAAM,kCAAmC0W,YA9EmBskB,EAAkBrb,EAAYre,UAAW+5B,GAAiBC,GAAaN,EAAkBrb,EAAa2b,GAoF3KzwB,EArCoB,GAwC7B/K,EAAQ+K,UAAYA,G,gBCpHpB,IAAIqH,EAAkB,EAAQ,GAC1BjD,EAAS,EAAQ,IACjB3J,EAAuB,EAAQ,GAE/Bu2B,EAAc3pB,EAAgB,eAC9B0iB,EAAiBvc,MAAM/W,UAIQqF,MAA/BiuB,EAAeiH,IACjBv2B,EAAqBL,EAAE2vB,EAAgBiH,EAAa,CAClDptB,cAAc,EACdpJ,MAAO4J,EAAO,QAKlBpP,EAAOC,QAAU,SAAUqC,GACzByyB,EAAeiH,GAAa15B,IAAO,I,gBClBrC,IAAIsC,EAAQ,EAAQ,GAEpB5E,EAAOC,SAAW2E,GAAM,WACtB,SAASsN,KAET,OADAA,EAAEzQ,UAAUiB,YAAc,KACnBlB,OAAO+R,eAAe,IAAIrB,KAASA,EAAEzQ,c,gBCL9C,IAAIK,EAAW,EAAQ,GAEvB9B,EAAOC,QAAU,SAAUc,GACzB,IAAKe,EAASf,IAAc,OAAPA,EACnB,MAAM2D,UAAU,aAAeC,OAAO5D,GAAM,mBAC5C,OAAOA,I,6BCJX,IAAIk7B,EAAa,EAAQ,KACrBC,EAAmB,EAAQ,KAI/Bl8B,EAAOC,QAAUg8B,EAAW,OAAO,SAAUE,GAC3C,OAAO,WAAiB,OAAOA,EAAKjyB,KAAMjG,UAAU5B,OAAS4B,UAAU,QAAK6C,MAC3Eo1B,I,6BCPH,IAAI7oB,EAAI,EAAQ,IACZjT,EAAS,EAAQ,GACjB6F,EAAW,EAAQ,IACnBH,EAAW,EAAQ,IACnBs2B,EAAyB,EAAQ,IACjCC,EAAU,EAAQ,IAClBC,EAAa,EAAQ,IACrBx6B,EAAW,EAAQ,GACnB8C,EAAQ,EAAQ,GAChB23B,EAA8B,EAAQ,KACtC9oB,EAAiB,EAAQ,IACzB+oB,EAAoB,EAAQ,KAEhCx8B,EAAOC,QAAU,SAAUu1B,EAAkBiH,EAAS1W,GACpD,IAAI5E,GAA8C,IAArCqU,EAAiB1sB,QAAQ,OAClC4zB,GAAgD,IAAtClH,EAAiB1sB,QAAQ,QACnC6zB,EAAQxb,EAAS,MAAQ,MACzByb,EAAoBx8B,EAAOo1B,GAC3BqH,EAAkBD,GAAqBA,EAAkBn7B,UACzDqe,EAAc8c,EACdE,EAAW,GAEXC,EAAY,SAAUroB,GACxB,IAAIwhB,EAAe2G,EAAgBnoB,GACnC5O,EAAS+2B,EAAiBnoB,EACjB,OAAPA,EAAe,SAAalP,GAE1B,OADA0wB,EAAat0B,KAAKsI,KAAgB,IAAV1E,EAAc,EAAIA,GACnC0E,MACE,UAAPwK,EAAkB,SAAUpS,GAC9B,QAAOo6B,IAAY56B,EAASQ,KAAe4zB,EAAat0B,KAAKsI,KAAc,IAAR5H,EAAY,EAAIA,IAC1E,OAAPoS,EAAe,SAAapS,GAC9B,OAAOo6B,IAAY56B,EAASQ,QAAOwE,EAAYovB,EAAat0B,KAAKsI,KAAc,IAAR5H,EAAY,EAAIA,IAC9E,OAAPoS,EAAe,SAAapS,GAC9B,QAAOo6B,IAAY56B,EAASQ,KAAe4zB,EAAat0B,KAAKsI,KAAc,IAAR5H,EAAY,EAAIA,IACjF,SAAaA,EAAKkD,GAEpB,OADA0wB,EAAat0B,KAAKsI,KAAc,IAAR5H,EAAY,EAAIA,EAAKkD,GACtC0E,QAMb,GAAIjE,EAASuvB,EAA8C,mBAArBoH,KAAqCF,GAAWG,EAAgB76B,UAAY4C,GAAM,YACtH,IAAIg4B,GAAoB1nB,UAAUd,YAGlC0L,EAAciG,EAAOiX,eAAeP,EAASjH,EAAkBrU,EAAQwb,GACvEP,EAAuBlO,UAAW,OAC7B,GAAIjoB,EAASuvB,GAAkB,GAAO,CAC3C,IAAIsB,EAAW,IAAIhX,EAEfmd,EAAiBnG,EAAS6F,GAAOD,EAAU,IAAM,EAAG,IAAM5F,EAE1DoG,EAAuBt4B,GAAM,WAAckyB,EAASx2B,IAAI,MAGxD68B,EAAmBZ,GAA4B,SAAUha,GAAY,IAAIqa,EAAkBra,MAE3F6a,GAAcV,GAAW93B,GAAM,WAIjC,IAFA,IAAIy4B,EAAY,IAAIT,EAChBjmB,EAAQ,EACLA,KAAS0mB,EAAUV,GAAOhmB,EAAOA,GACxC,OAAQ0mB,EAAU/8B,KAAK,MAGpB68B,KACHrd,EAAc2c,GAAQ,SAAUvI,EAAO3R,GACrC+Z,EAAWpI,EAAOpU,EAAa0V,GAC/B,IAAIzlB,EAAOysB,EAAkB,IAAII,EAAqB1I,EAAOpU,GAE7D,OADgBhZ,MAAZyb,GAAuB8Z,EAAQ9Z,EAAUxS,EAAK4sB,GAAQ5sB,EAAMoR,GACzDpR,MAEGtO,UAAYo7B,EACxBA,EAAgBn6B,YAAcod,IAG5Bod,GAAwBE,KAC1BL,EAAU,UACVA,EAAU,OACV5b,GAAU4b,EAAU,SAGlBK,GAAcH,IAAgBF,EAAUJ,GAGxCD,GAAWG,EAAgBS,cAAcT,EAAgBS,MAU/D,OAPAR,EAAStH,GAAoB1V,EAC7BzM,EAAE,CAAEjT,QAAQ,EAAMyG,OAAQiZ,GAAe8c,GAAqBE,GAE9DrpB,EAAeqM,EAAa0V,GAEvBkH,GAAS3W,EAAOwX,UAAUzd,EAAa0V,EAAkBrU,GAEvDrB,I,gBCjGT,IAAIlb,EAAQ,EAAQ,GAEpB5E,EAAOC,SAAW2E,GAAM,WACtB,OAAOpD,OAAOqsB,aAAarsB,OAAOg8B,kBAAkB,S,6BCFtD,IAAI34B,EAAiB,EAAQ,GAAuCO,EAChEgK,EAAS,EAAQ,IACjBquB,EAAc,EAAQ,KACtBn8B,EAAO,EAAQ,IACfg7B,EAAa,EAAQ,IACrBD,EAAU,EAAQ,IAClBxd,EAAiB,EAAQ,IACzB6e,EAAa,EAAQ,KACrB34B,EAAc,EAAQ,GACtBopB,EAAU,EAAQ,IAAkCA,QACpD3kB,EAAsB,EAAQ,IAE9BsV,EAAmBtV,EAAoB4D,IACvCuwB,EAAyBn0B,EAAoBwE,UAEjDhO,EAAOC,QAAU,CACf+8B,eAAgB,SAAUP,EAASjH,EAAkBrU,EAAQwb,GAC3D,IAAI3c,EAAIyc,GAAQ,SAAU1sB,EAAMwS,GAC9B+Z,EAAWvsB,EAAMiQ,EAAGwV,GACpB1W,EAAiB/O,EAAM,CACrBhH,KAAMysB,EACN7e,MAAOvH,EAAO,MACdiU,WAAOvc,EACP82B,UAAM92B,EACN2c,KAAM,IAEH1e,IAAagL,EAAK0T,KAAO,GACd3c,MAAZyb,GAAuB8Z,EAAQ9Z,EAAUxS,EAAK4sB,GAAQ5sB,EAAMoR,MAG9D1X,EAAmBk0B,EAAuBnI,GAE1CqI,EAAS,SAAU9tB,EAAMzN,EAAKkD,GAChC,IAEIs4B,EAAUnnB,EAFVzI,EAAQzE,EAAiBsG,GACzBguB,EAAQC,EAASjuB,EAAMzN,GAqBzB,OAlBEy7B,EACFA,EAAMv4B,MAAQA,GAGd0I,EAAM0vB,KAAOG,EAAQ,CACnBpnB,MAAOA,EAAQwX,EAAQ7rB,GAAK,GAC5BA,IAAKA,EACLkD,MAAOA,EACPs4B,SAAUA,EAAW5vB,EAAM0vB,KAC3BxpB,UAAMtN,EACNm3B,SAAS,GAEN/vB,EAAMmV,QAAOnV,EAAMmV,MAAQ0a,GAC5BD,IAAUA,EAAS1pB,KAAO2pB,GAC1Bh5B,EAAamJ,EAAMuV,OAClB1T,EAAK0T,OAEI,MAAV9M,IAAezI,EAAMyI,MAAMA,GAASonB,IACjChuB,GAGPiuB,EAAW,SAAUjuB,EAAMzN,GAC7B,IAGIy7B,EAHA7vB,EAAQzE,EAAiBsG,GAEzB4G,EAAQwX,EAAQ7rB,GAEpB,GAAc,MAAVqU,EAAe,OAAOzI,EAAMyI,MAAMA,GAEtC,IAAKonB,EAAQ7vB,EAAMmV,MAAO0a,EAAOA,EAAQA,EAAM3pB,KAC7C,GAAI2pB,EAAMz7B,KAAOA,EAAK,OAAOy7B,GAiFjC,OA7EAN,EAAYzd,EAAEve,UAAW,CAGvB67B,MAAO,WAKL,IAJA,IACIpvB,EAAQzE,EADDS,MAEPuQ,EAAOvM,EAAMyI,MACbonB,EAAQ7vB,EAAMmV,MACX0a,GACLA,EAAME,SAAU,EACZF,EAAMD,WAAUC,EAAMD,SAAWC,EAAMD,SAAS1pB,UAAOtN,UACpD2T,EAAKsjB,EAAMpnB,OAClBonB,EAAQA,EAAM3pB,KAEhBlG,EAAMmV,MAAQnV,EAAM0vB,UAAO92B,EACvB/B,EAAamJ,EAAMuV,KAAO,EAXnBvZ,KAYDuZ,KAAO,GAInB,OAAU,SAAUnhB,GAClB,IACI4L,EAAQzE,EADDS,MAEP6zB,EAAQC,EAFD9zB,KAEgB5H,GAC3B,GAAIy7B,EAAO,CACT,IAAI3pB,EAAO2pB,EAAM3pB,KACb8pB,EAAOH,EAAMD,gBACV5vB,EAAMyI,MAAMonB,EAAMpnB,OACzBonB,EAAME,SAAU,EACZC,IAAMA,EAAK9pB,KAAOA,GAClBA,IAAMA,EAAK0pB,SAAWI,GACtBhwB,EAAMmV,OAAS0a,IAAO7vB,EAAMmV,MAAQjP,GACpClG,EAAM0vB,MAAQG,IAAO7vB,EAAM0vB,KAAOM,GAClCn5B,EAAamJ,EAAMuV,OAZdvZ,KAaCuZ,OACV,QAASsa,GAIb/7B,QAAS,SAAiB0f,GAIxB,IAHA,IAEIqc,EAFA7vB,EAAQzE,EAAiBS,MACzB0X,EAAgBtgB,EAAKogB,EAAYzd,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EAAW,GAE/Ei3B,EAAQA,EAAQA,EAAM3pB,KAAOlG,EAAMmV,OAGxC,IAFAzB,EAAcmc,EAAMv4B,MAAOu4B,EAAMz7B,IAAK4H,MAE/B6zB,GAASA,EAAME,SAASF,EAAQA,EAAMD,UAKjDx9B,IAAK,SAAagC,GAChB,QAAS07B,EAAS9zB,KAAM5H,MAI5Bm7B,EAAYzd,EAAEve,UAAW0f,EAAS,CAEhCrc,IAAK,SAAaxC,GAChB,IAAIy7B,EAAQC,EAAS9zB,KAAM5H,GAC3B,OAAOy7B,GAASA,EAAMv4B,OAGxB4H,IAAK,SAAa9K,EAAKkD,GACrB,OAAOq4B,EAAO3zB,KAAc,IAAR5H,EAAY,EAAIA,EAAKkD,KAEzC,CAEFgH,IAAK,SAAahH,GAChB,OAAOq4B,EAAO3zB,KAAM1E,EAAkB,IAAVA,EAAc,EAAIA,EAAOA,MAGrDT,GAAaF,EAAemb,EAAEve,UAAW,OAAQ,CACnDqD,IAAK,WACH,OAAO2E,EAAiBS,MAAMuZ,QAG3BzD,GAETud,UAAW,SAAUvd,EAAGwV,EAAkBrU,GACxC,IAAIgd,EAAgB3I,EAAmB,YACnC4I,EAA6BT,EAAuBnI,GACpD6I,EAA2BV,EAAuBQ,GAGtDtf,EAAemB,EAAGwV,GAAkB,SAAUzW,EAAUC,GACtDF,EAAiB5U,KAAM,CACrBnB,KAAMo1B,EACN/3B,OAAQ2Y,EACR7Q,MAAOkwB,EAA2Brf,GAClCC,KAAMA,EACN4e,UAAM92B,OAEP,WAKD,IAJA,IAAIoH,EAAQmwB,EAAyBn0B,MACjC8U,EAAO9Q,EAAM8Q,KACb+e,EAAQ7vB,EAAM0vB,KAEXG,GAASA,EAAME,SAASF,EAAQA,EAAMD,SAE7C,OAAK5vB,EAAM9H,SAAY8H,EAAM0vB,KAAOG,EAAQA,EAAQA,EAAM3pB,KAAOlG,EAAMA,MAAMmV,OAMjE,QAARrE,EAAuB,CAAExZ,MAAOu4B,EAAMz7B,IAAK2c,MAAM,GACzC,UAARD,EAAyB,CAAExZ,MAAOu4B,EAAMv4B,MAAOyZ,MAAM,GAClD,CAAEzZ,MAAO,CAACu4B,EAAMz7B,IAAKy7B,EAAMv4B,OAAQyZ,MAAM,IAN9C/Q,EAAM9H,YAASU,EACR,CAAEtB,WAAOsB,EAAWmY,MAAM,MAMlCkC,EAAS,UAAY,UAAWA,GAAQ,GAG3Cuc,EAAWlI,M,6BCtLf,IAAI9W,EAAwB,EAAQ,IAChChM,EAAU,EAAQ,IAItB1S,EAAOC,QAAUye,EAAwB,GAAGnd,SAAW,WACrD,MAAO,WAAamR,EAAQxI,MAAQ,M,gBCPtC,IAAI9J,EAAS,EAAQ,GACjB4yB,EAAe,EAAQ,IACvBhxB,EAAU,EAAQ,IAClB6D,EAA8B,EAAQ,GAE1C,IAAK,IAAIstB,KAAmBH,EAAc,CACxC,IAAII,EAAahzB,EAAO+yB,GACpBE,EAAsBD,GAAcA,EAAW3xB,UAEnD,GAAI4xB,GAAuBA,EAAoBrxB,UAAYA,EAAS,IAClE6D,EAA4BwtB,EAAqB,UAAWrxB,GAC5D,MAAO7B,GACPkzB,EAAoBrxB,QAAUA,K,6BCVlCR,OAAOqD,eAAe5E,EAAS,aAAc,CAC3CuF,OAAO,IAETvF,EAAQ8zB,eAQR,WACE,GAAY,OAARxzB,EACF,OAAO,KAGT,MAAO,CACLA,IAAKA,EACL+9B,YAAaA,EACbC,QAASA,IAdb,IAAIC,EAAa36B,SAASkY,qBAAqB,QAAQ,GACnDxb,EAAMi+B,EAAaA,EAAWzD,aAAa,aAAe,KAC1D0D,EAAqB56B,SAASkY,qBAAqB,QAAQ,GAC3DuiB,EAAcG,EAAqBA,EAAmB1D,aAAa,yBAA2B,KAC9FwD,EAAwB,oBAAP51B,IAA6BA,GAAG+1B,e,6BCTrD,IAAI95B,EAAQ,EAAQ,GAIpB,SAAS+5B,EAAGC,EAAGx5B,GACb,OAAOuQ,OAAOipB,EAAGx5B,GAGnBnF,EAAQ+V,cAAgBpR,GAAM,WAE5B,IAAIyR,EAAKsoB,EAAG,IAAK,KAEjB,OADAtoB,EAAGN,UAAY,EACW,MAAnBM,EAAGnW,KAAK,WAGjBD,EAAQgW,aAAerR,GAAM,WAE3B,IAAIyR,EAAKsoB,EAAG,KAAM,MAElB,OADAtoB,EAAGN,UAAY,EACU,MAAlBM,EAAGnW,KAAK,W,8BCrBjB,YAGmEF,EAAOC,QAGlE,WAAe,aAEnB,IAAI4+B,EA6GAhd,EA3GJ,SAASid,IACL,OAAOD,EAAa5uB,MAAM,KAAMhM,WASpC,SAASvC,EAAQ6Q,GACb,OAAOA,aAAiBiG,OAAmD,mBAA1ChX,OAAOC,UAAUF,SAASK,KAAK2Q,GAGpE,SAASzQ,EAASyQ,GAGd,OAAgB,MAATA,GAA2D,oBAA1C/Q,OAAOC,UAAUF,SAASK,KAAK2Q,GAiB3D,SAAS1Q,EAAY0Q,GACjB,YAAiB,IAAVA,EAGX,SAASrP,EAASqP,GACd,MAAwB,iBAAVA,GAAgE,oBAA1C/Q,OAAOC,UAAUF,SAASK,KAAK2Q,GAGvE,SAASpP,EAAOoP,GACZ,OAAOA,aAAiB6nB,MAAkD,kBAA1C54B,OAAOC,UAAUF,SAASK,KAAK2Q,GAGnE,SAASwH,EAAI4gB,EAAKz4B,GACd,IAAcC,EAAV4vB,EAAM,GACV,IAAK5vB,EAAI,EAAGA,EAAIw4B,EAAIt4B,SAAUF,EAC1B4vB,EAAItZ,KAAKvW,EAAGy4B,EAAIx4B,GAAIA,IAExB,OAAO4vB,EAGX,SAASgN,EAAW36B,EAAGC,GACnB,OAAO7C,OAAOC,UAAUc,eAAeX,KAAKwC,EAAGC,GAGnD,SAASF,EAAOC,EAAGC,GACf,IAAK,IAAIlC,KAAKkC,EACN06B,EAAW16B,EAAGlC,KACdiC,EAAEjC,GAAKkC,EAAElC,IAYjB,OARI48B,EAAW16B,EAAG,cACdD,EAAE7C,SAAW8C,EAAE9C,UAGfw9B,EAAW16B,EAAG,aACdD,EAAEqO,QAAUpO,EAAEoO,SAGXrO,EAGX,SAAS46B,EAAWzsB,EAAOqa,EAAQqS,EAAQC,GACvC,OAAOC,GAAiB5sB,EAAOqa,EAAQqS,EAAQC,GAAQ,GAAME,MAuBjE,SAASC,EAAgBnT,GAIrB,OAHa,MAATA,EAAEoT,MACFpT,EAAEoT,IApBC,CACHC,OAAkB,EAClBC,aAAkB,GAClBC,YAAkB,GAClBC,UAAmB,EACnBC,cAAkB,EAClBC,WAAkB,EAClBC,aAAkB,KAClBC,eAAkB,EAClBC,iBAAkB,EAClBC,KAAkB,EAClBC,gBAAkB,GAClBC,SAAkB,KAClBC,SAAkB,EAClBC,iBAAkB,IAQflU,EAAEoT,IAqBb,SAASe,EAAQnU,GACb,GAAkB,MAAdA,EAAEoU,SAAkB,CACpB,IAAI/pB,EAAQ8oB,EAAgBnT,GACxBqU,EAAc1e,EAAKjgB,KAAK2U,EAAM0pB,iBAAiB,SAAU99B,GACzD,OAAY,MAALA,KAEPq+B,GAAc9xB,MAAMwd,EAAEuU,GAAGC,YACzBnqB,EAAMmpB,SAAW,IAChBnpB,EAAMgpB,QACNhpB,EAAMspB,eACNtpB,EAAMoqB,iBACNpqB,EAAM6pB,kBACN7pB,EAAMqpB,YACNrpB,EAAMupB,gBACNvpB,EAAMwpB,mBACLxpB,EAAM2pB,UAAa3pB,EAAM2pB,UAAYK,GAS3C,GAPIrU,EAAE0U,UACFJ,EAAaA,GACe,IAAxBjqB,EAAMopB,eACwB,IAA9BppB,EAAMipB,aAAan9B,aACDyE,IAAlByP,EAAMsqB,SAGS,MAAnBr/B,OAAOs/B,UAAqBt/B,OAAOs/B,SAAS5U,GAI5C,OAAOsU,EAHPtU,EAAEoU,SAAWE,EAMrB,OAAOtU,EAAEoU,SAGb,SAASS,EAAexqB,GACpB,IAAI2V,EAAI8S,EAAUgC,KAQlB,OAPa,MAATzqB,EACApS,EAAOk7B,EAAgBnT,GAAI3V,GAG3B8oB,EAAgBnT,GAAG6T,iBAAkB,EAGlC7T,EA3DPrK,EADArJ,MAAM/W,UAAUogB,KACTrJ,MAAM/W,UAAUogB,KAEhB,SAAUxK,GAIb,IAHA,IAAI4S,EAAIzoB,OAAO0I,MACX6N,EAAMkS,EAAE5nB,SAAW,EAEdF,EAAI,EAAGA,EAAI4V,EAAK5V,IACrB,GAAIA,KAAK8nB,GAAK5S,EAAIzV,KAAKsI,KAAM+f,EAAE9nB,GAAIA,EAAG8nB,GAClC,OAAO,EAIf,OAAO,GAoDf,IAAIgX,EAAmBnC,EAAMmC,iBAAmB,GAEhD,SAASC,EAAWC,EAAI9L,GACpB,IAAIlzB,EAAGonB,EAAM5nB,EAiCb,GA/BKE,EAAYwzB,EAAK+L,oBAClBD,EAAGC,iBAAmB/L,EAAK+L,kBAE1Bv/B,EAAYwzB,EAAKna,MAClBimB,EAAGjmB,GAAKma,EAAKna,IAEZrZ,EAAYwzB,EAAKgM,MAClBF,EAAGE,GAAKhM,EAAKgM,IAEZx/B,EAAYwzB,EAAKiM,MAClBH,EAAGG,GAAKjM,EAAKiM,IAEZz/B,EAAYwzB,EAAKuL,WAClBO,EAAGP,QAAUvL,EAAKuL,SAEjB/+B,EAAYwzB,EAAKkM,QAClBJ,EAAGI,KAAOlM,EAAKkM,MAEd1/B,EAAYwzB,EAAKmM,UAClBL,EAAGK,OAASnM,EAAKmM,QAEhB3/B,EAAYwzB,EAAKoM,WAClBN,EAAGM,QAAUpM,EAAKoM,SAEjB5/B,EAAYwzB,EAAKiK,OAClB6B,EAAG7B,IAAMD,EAAgBhK,IAExBxzB,EAAYwzB,EAAKqM,WAClBP,EAAGO,QAAUrM,EAAKqM,SAGlBT,EAAiB5+B,OAAS,EAC1B,IAAKF,EAAI,EAAGA,EAAI8+B,EAAiB5+B,OAAQF,IAGhCN,EADLF,EAAM0zB,EADN9L,EAAO0X,EAAiB9+B,OAGpBg/B,EAAG5X,GAAQ5nB,GAKvB,OAAOw/B,EAGX,IAAIQ,GAAmB,EAGvB,SAASC,EAAOh5B,GACZs4B,EAAWh3B,KAAMtB,GACjBsB,KAAKu2B,GAAK,IAAIrG,KAAkB,MAAbxxB,EAAO63B,GAAa73B,EAAO63B,GAAGC,UAAYM,KACxD92B,KAAKm2B,YACNn2B,KAAKu2B,GAAK,IAAIrG,KAAK4G,OAIE,IAArBW,IACAA,GAAmB,EACnB7C,EAAM+C,aAAa33B,MACnBy3B,GAAmB,GAI3B,SAASG,EAAU7/B,GACf,OAAOA,aAAe2/B,GAAkB,MAAP3/B,GAAuC,MAAxBA,EAAIm/B,iBAGxD,SAASW,EAAUtJ,GACf,OAAIA,EAAS,EAEFz3B,KAAKwN,KAAKiqB,IAAW,EAErBz3B,KAAKyN,MAAMgqB,GAI1B,SAASuJ,EAAMC,GACX,IAAIC,GAAiBD,EACjBz8B,EAAQ,EAMZ,OAJsB,IAAlB08B,GAAuBC,SAASD,KAChC18B,EAAQu8B,EAASG,IAGd18B,EAIX,SAAS48B,EAAcC,EAAQC,EAAQC,GACnC,IAGIpgC,EAHA4V,EAAM/W,KAAKkK,IAAIm3B,EAAOhgC,OAAQigC,EAAOjgC,QACrCmgC,EAAaxhC,KAAKyhC,IAAIJ,EAAOhgC,OAASigC,EAAOjgC,QAC7CqgC,EAAQ,EAEZ,IAAKvgC,EAAI,EAAGA,EAAI4V,EAAK5V,KACZogC,GAAeF,EAAOlgC,KAAOmgC,EAAOngC,KACnCogC,GAAeP,EAAMK,EAAOlgC,MAAQ6/B,EAAMM,EAAOngC,MACnDugC,IAGR,OAAOA,EAAQF,EAGnB,SAAS13B,EAAK63B,IACgC,IAAtC7D,EAAM8D,6BACmB,oBAAb/3B,SAA6BA,QAAQC,MACjDD,QAAQC,KAAK,wBAA0B63B,GAI/C,SAASE,EAAUF,EAAKzgC,GACpB,IAAI4gC,GAAY,EAEhB,OAAO3+B,GAAO,WAIV,GAHgC,MAA5B26B,EAAMiE,oBACNjE,EAAMiE,mBAAmB,KAAMJ,GAE/BG,EAAW,CAGX,IAFA,IACIniB,EADApI,EAAO,GAEFpW,EAAI,EAAGA,EAAI8B,UAAU5B,OAAQF,IAAK,CAEvC,GADAwe,EAAM,GACsB,iBAAjB1c,UAAU9B,GAAiB,CAElC,IAAK,IAAIG,KADTqe,GAAO,MAAQxe,EAAI,KACH8B,UAAU,GACtB0c,GAAOre,EAAM,KAAO2B,UAAU,GAAG3B,GAAO,KAE5Cqe,EAAMA,EAAIxT,MAAM,GAAI,QAEpBwT,EAAM1c,UAAU9B,GAEpBoW,EAAKE,KAAKkI,GAEd7V,EAAK63B,EAAM,gBAAkBnqB,MAAM/W,UAAU0L,MAAMvL,KAAK2W,GAAMtO,KAAK,IAAM,MAAO,IAAKiN,OAAS2hB,OAC9FiK,GAAY,EAEhB,OAAO5gC,EAAG+N,MAAM/F,KAAMjG,aACvB/B,GAGP,IAsEI0Q,EAtEAowB,EAAe,GAEnB,SAASC,EAAgBniC,EAAM6hC,GACK,MAA5B7D,EAAMiE,oBACNjE,EAAMiE,mBAAmBjiC,EAAM6hC,GAE9BK,EAAaliC,KACdgK,EAAK63B,GACLK,EAAaliC,IAAQ,GAO7B,SAASiB,EAAWwQ,GAChB,OAAOA,aAAiBlR,UAAsD,sBAA1CG,OAAOC,UAAUF,SAASK,KAAK2Q,GAsBvE,SAAS2wB,EAAaC,EAAcC,GAChC,IAAoC7Z,EAAhCwI,EAAM5tB,EAAO,GAAIg/B,GACrB,IAAK5Z,KAAQ6Z,EACLrE,EAAWqE,EAAa7Z,KACpBznB,EAASqhC,EAAa5Z,KAAUznB,EAASshC,EAAY7Z,KACrDwI,EAAIxI,GAAQ,GACZplB,EAAO4tB,EAAIxI,GAAO4Z,EAAa5Z,IAC/BplB,EAAO4tB,EAAIxI,GAAO6Z,EAAY7Z,KACF,MAArB6Z,EAAY7Z,GACnBwI,EAAIxI,GAAQ6Z,EAAY7Z,UAEjBwI,EAAIxI,IAIvB,IAAKA,KAAQ4Z,EACLpE,EAAWoE,EAAc5Z,KACpBwV,EAAWqE,EAAa7Z,IACzBznB,EAASqhC,EAAa5Z,MAE1BwI,EAAIxI,GAAQplB,EAAO,GAAI4tB,EAAIxI,KAGnC,OAAOwI,EAGX,SAASsR,EAAOz6B,GACE,MAAVA,GACAsB,KAAKkD,IAAIxE,GAtDjBk2B,EAAM8D,6BAA8B,EACpC9D,EAAMiE,mBAAqB,KA4DvBnwB,EADApR,OAAOoR,KACApR,OAAOoR,KAEP,SAAU3Q,GACb,IAAIE,EAAG4vB,EAAM,GACb,IAAK5vB,KAAKF,EACF88B,EAAW98B,EAAKE,IAChB4vB,EAAItZ,KAAKtW,GAGjB,OAAO4vB,GAoFf,IAAIuR,EAAU,GAEd,SAASC,EAAcC,EAAMC,GACzB,IAAIC,EAAYF,EAAKnnB,cACrBinB,EAAQI,GAAaJ,EAAQI,EAAY,KAAOJ,EAAQG,GAAaD,EAGzE,SAASG,EAAeC,GACpB,MAAwB,iBAAVA,EAAqBN,EAAQM,IAAUN,EAAQM,EAAMvnB,oBAAiBvV,EAGxF,SAAS+8B,EAAqBC,GAC1B,IACIC,EACAxa,EAFAya,EAAkB,GAItB,IAAKza,KAAQua,EACL/E,EAAW+E,EAAava,KACxBwa,EAAiBJ,EAAepa,MAE5Bya,EAAgBD,GAAkBD,EAAYva,IAK1D,OAAOya,EAGX,IAAIC,EAAa,GAEjB,SAASC,EAAgBV,EAAMW,GAC3BF,EAAWT,GAAQW,EAcvB,SAASC,EAAS3L,EAAQ4L,EAAcC,GACpC,IAAIC,EAAY,GAAKvjC,KAAKyhC,IAAIhK,GAC1B+L,EAAcH,EAAeE,EAAUliC,OAE3C,OADWo2B,GAAU,EACL6L,EAAY,IAAM,GAAM,KACpCtjC,KAAKyjC,IAAI,GAAIzjC,KAAKkwB,IAAI,EAAGsT,IAAcjjC,WAAW83B,OAAO,GAAKkL,EAGtE,IAAIG,EAAmB,uLAEnBC,EAAwB,6CAExBC,EAAkB,GAElBC,EAAuB,GAM3B,SAASC,EAAgBl1B,EAAOm1B,EAAQC,EAAStK,GAC7C,IAAIuK,EAAOvK,EACa,iBAAbA,IACPuK,EAAO,WACH,OAAO/6B,KAAKwwB,OAGhB9qB,IACAi1B,EAAqBj1B,GAASq1B,GAE9BF,IACAF,EAAqBE,EAAO,IAAM,WAC9B,OAAOX,EAASa,EAAKh1B,MAAM/F,KAAMjG,WAAY8gC,EAAO,GAAIA,EAAO,MAGnEC,IACAH,EAAqBG,GAAW,WAC5B,OAAO96B,KAAKg7B,aAAaF,QAAQC,EAAKh1B,MAAM/F,KAAMjG,WAAY2L,KAiC1E,SAASu1B,EAAajZ,EAAGU,GACrB,OAAKV,EAAEmU,WAIPzT,EAASwY,EAAaxY,EAAQV,EAAEgZ,cAChCN,EAAgBhY,GAAUgY,EAAgBhY,IA3B9C,SAA4BA,GACxB,IAA4CzqB,EAAGE,EARnBkQ,EAQxB6F,EAAQwU,EAAOxW,MAAMsuB,GAEzB,IAAKviC,EAAI,EAAGE,EAAS+V,EAAM/V,OAAQF,EAAIE,EAAQF,IACvC0iC,EAAqBzsB,EAAMjW,IAC3BiW,EAAMjW,GAAK0iC,EAAqBzsB,EAAMjW,IAEtCiW,EAAMjW,IAdcoQ,EAcc6F,EAAMjW,IAbtCiU,MAAM,YACL7D,EAAM9N,QAAQ,WAAY,IAE9B8N,EAAM9N,QAAQ,MAAO,IAc5B,OAAO,SAAU4gC,GACb,IAAiBljC,EAAbmjC,EAAS,GACb,IAAKnjC,EAAI,EAAGA,EAAIE,EAAQF,IACpBmjC,GAAUvjC,EAAWqW,EAAMjW,IAAMiW,EAAMjW,GAAGP,KAAKyjC,EAAKzY,GAAUxU,EAAMjW,GAExE,OAAOmjC,GAW0CC,CAAmB3Y,GAEjEgY,EAAgBhY,GAAQV,IANpBA,EAAEgZ,aAAaM,cAS9B,SAASJ,EAAaxY,EAAQqS,GAC1B,IAAI98B,EAAI,EAER,SAASsjC,EAA4BlzB,GACjC,OAAO0sB,EAAOyG,eAAenzB,IAAUA,EAI3C,IADAoyB,EAAsB5uB,UAAY,EAC3B5T,GAAK,GAAKwiC,EAAsBtvB,KAAKuX,IACxCA,EAASA,EAAOnoB,QAAQkgC,EAAuBc,GAC/Cd,EAAsB5uB,UAAY,EAClC5T,GAAK,EAGT,OAAOyqB,EAGX,IAAI+Y,EAAiB,KACjBC,EAAiB,OACjBC,EAAiB,QACjBC,EAAiB,QACjBC,EAAiB,aACjBC,EAAiB,QACjBC,EAAiB,YACjBC,GAAiB,gBACjBC,GAAiB,UACjBC,GAAiB,UACjBC,GAAiB,eAEjBC,GAAiB,MACjBC,GAAiB,WAEjBC,GAAiB,qBACjBC,GAAmB,0BAMnBC,GAAY,wJAEZC,GAAU,GAEd,SAASC,GAAeh3B,EAAOi3B,EAAOC,GAClCH,GAAQ/2B,GAAS7N,EAAW8kC,GAASA,EAAQ,SAAUE,EAAU7B,GAC7D,OAAQ6B,GAAYD,EAAeA,EAAcD,GAIzD,SAASG,GAAuBp3B,EAAOhH,GACnC,OAAKm2B,EAAW4H,GAAS/2B,GAIlB+2B,GAAQ/2B,GAAOhH,EAAOg4B,QAASh4B,EAAO84B,SAHlC,IAAI/rB,OAQRsxB,GAR8Br3B,EAQhBnL,QAAQ,KAAM,IAAIA,QAAQ,uCAAuC,SAAU6tB,EAAS4U,EAAIC,EAAIC,EAAIC,GACjH,OAAOH,GAAMC,GAAMC,GAAMC,OAIjC,SAASJ,GAAYrI,GACjB,OAAOA,EAAEn6B,QAAQ,yBAA0B,QAG/C,IAAI6iC,GAAS,GAEb,SAASC,GAAe33B,EAAO8qB,GAC3B,IAAIv4B,EAAG8iC,EAAOvK,EASd,IARqB,iBAAV9qB,IACPA,EAAQ,CAACA,IAET1M,EAASw3B,KACTuK,EAAO,SAAU1yB,EAAO6F,GACpBA,EAAMsiB,GAAYsH,EAAMzvB,KAG3BpQ,EAAI,EAAGA,EAAIyN,EAAMvN,OAAQF,IAC1BmlC,GAAO13B,EAAMzN,IAAM8iC,EAI3B,SAASuC,GAAmB53B,EAAO8qB,GAC/B6M,GAAc33B,GAAO,SAAU2C,EAAO6F,EAAOxP,EAAQgH,GACjDhH,EAAO6+B,GAAK7+B,EAAO6+B,IAAM,GACzB/M,EAASnoB,EAAO3J,EAAO6+B,GAAI7+B,EAAQgH,MAI3C,SAAS83B,GAAwB93B,EAAO2C,EAAO3J,GAC9B,MAAT2J,GAAiBwsB,EAAWuI,GAAQ13B,IACpC03B,GAAO13B,GAAO2C,EAAO3J,EAAO++B,GAAI/+B,EAAQgH,GA0DhD,SAASg4B,GAAWC,GAChB,OAAOC,GAAWD,GAAQ,IAAM,IAGpC,SAASC,GAAWD,GAChB,OAAQA,EAAO,GAAM,GAAKA,EAAO,KAAQ,GAAMA,EAAO,KAAQ,EA/ClE/C,EAAe,IAAK,EAAG,GAAG,WACtB,IAAIiD,EAAI79B,KAAK29B,OACb,OAAOE,GAAK,KAAO,GAAKA,EAAI,IAAMA,KAGtCjD,EAAe,EAAG,CAAC,KAAM,GAAI,GAAG,WAC5B,OAAO56B,KAAK29B,OAAS,OAGzB/C,EAAe,EAAG,CAAC,OAAU,GAAU,EAAG,QAC1CA,EAAe,EAAG,CAAC,QAAU,GAAU,EAAG,QAC1CA,EAAe,EAAG,CAAC,SAAU,GAAG,GAAO,EAAG,QAI1CvB,EAAa,OAAQ,KAIrBW,EAAgB,OAAQ,GAIxB0C,GAAc,IAAUL,IACxBK,GAAc,KAAUZ,EAAWJ,GACnCgB,GAAc,OAAUR,GAAWN,GACnCc,GAAc,QAAUP,GAAWN,GACnCa,GAAc,SAAUP,GAAWN,GAEnCwB,GAAc,CAAC,QAAS,UAzCb,GA0CXA,GAAc,QAAQ,SAAUh1B,EAAO6F,GACnCA,EA3CO,GA2CwB,IAAjB7F,EAAMlQ,OAAey8B,EAAMkJ,kBAAkBz1B,GAASyvB,EAAMzvB,MAE9Eg1B,GAAc,MAAM,SAAUh1B,EAAO6F,GACjCA,EA9CO,GA8CO0mB,EAAMkJ,kBAAkBz1B,MAE1Cg1B,GAAc,KAAK,SAAUh1B,EAAO6F,GAChCA,EAjDO,GAiDO6vB,SAAS11B,EAAO,OAelCusB,EAAMkJ,kBAAoB,SAAUz1B,GAChC,OAAOyvB,EAAMzvB,IAAUyvB,EAAMzvB,GAAS,GAAK,KAAO,MAKtD,IAiEIzJ,GAjEAo/B,GAAaC,GAAW,YAAY,GAMxC,SAASA,GAAY3E,EAAM4E,GACvB,OAAO,SAAU5iC,GACb,OAAa,MAATA,GACA6iC,GAAMn+B,KAAMs5B,EAAMh+B,GAClBs5B,EAAM+C,aAAa33B,KAAMk+B,GAClBl+B,MAEApF,GAAIoF,KAAMs5B,IAK7B,SAAS1+B,GAAKugC,EAAK7B,GACf,OAAO6B,EAAIhF,UACPgF,EAAI5E,GAAG,OAAS4E,EAAI7D,OAAS,MAAQ,IAAMgC,KAAUxC,IAG7D,SAASqH,GAAOhD,EAAK7B,EAAMh+B,GACnB6/B,EAAIhF,YAAc3xB,MAAMlJ,KACX,aAATg+B,GAAuBsE,GAAWzC,EAAIwC,SAA2B,IAAhBxC,EAAIiD,SAAgC,KAAfjD,EAAIkD,OAC1ElD,EAAI5E,GAAG,OAAS4E,EAAI7D,OAAS,MAAQ,IAAMgC,GAAMh+B,EAAO6/B,EAAIiD,QAASE,GAAYhjC,EAAO6/B,EAAIiD,UAG5FjD,EAAI5E,GAAG,OAAS4E,EAAI7D,OAAS,MAAQ,IAAMgC,GAAMh+B,IAqD7D,SAASgjC,GAAYX,EAAMS,GACvB,GAAI55B,MAAMm5B,IAASn5B,MAAM45B,GACrB,OAAOtH,IAEX,IAzBYyH,EAyBRC,GAAeJ,GAzBPG,EAyBc,IAxBRA,GAAKA,EA0BvB,OADAZ,IAASS,EAAQI,GAAY,GACT,IAAbA,EAAkBZ,GAAWD,GAAQ,GAAK,GAAO,GAAKa,EAAW,EAAI,EApB5E5/B,GADA0P,MAAM/W,UAAUqH,QACN0P,MAAM/W,UAAUqH,QAEhB,SAAU6/B,GAEhB,IAAIxmC,EACJ,IAAKA,EAAI,EAAGA,EAAI+H,KAAK7H,SAAUF,EAC3B,GAAI+H,KAAK/H,KAAOwmC,EACZ,OAAOxmC,EAGf,OAAQ,GAehB2iC,EAAe,IAAK,CAAC,KAAM,GAAI,MAAM,WACjC,OAAO56B,KAAKo+B,QAAU,KAG1BxD,EAAe,MAAO,EAAG,GAAG,SAAUlY,GAClC,OAAO1iB,KAAKg7B,aAAa0D,YAAY1+B,KAAM0iB,MAG/CkY,EAAe,OAAQ,EAAG,GAAG,SAAUlY,GACnC,OAAO1iB,KAAKg7B,aAAa2D,OAAO3+B,KAAM0iB,MAK1C2W,EAAa,QAAS,KAItBW,EAAgB,QAAS,GAIzB0C,GAAc,IAAQZ,GACtBY,GAAc,KAAQZ,EAAWJ,GACjCgB,GAAc,OAAQ,SAAUG,EAAU9H,GACtC,OAAOA,EAAO6J,iBAAiB/B,MAEnCH,GAAc,QAAQ,SAAUG,EAAU9H,GACtC,OAAOA,EAAO8J,YAAYhC,MAG9BQ,GAAc,CAAC,IAAK,OAAO,SAAUh1B,EAAO6F,GACxCA,EAlMQ,GAkMO4pB,EAAMzvB,GAAS,KAGlCg1B,GAAc,CAAC,MAAO,SAAS,SAAUh1B,EAAO6F,EAAOxP,EAAQgH,GAC3D,IAAI04B,EAAQ1/B,EAAO84B,QAAQsH,YAAYz2B,EAAO3C,EAAOhH,EAAOg4B,SAE/C,MAAT0H,EACAlwB,EAzMI,GAyMWkwB,EAEfjJ,EAAgBz2B,GAAQi3B,aAAettB,KAM/C,IAAI02B,GAAmB,gCACnBC,GAAsB,wFAAwFr/B,MAAM,KAUpHs/B,GAA2B,kDAAkDt/B,MAAM,KAUvF,SAASu/B,GAAkBC,EAAWzc,EAAQsS,GAC1C,IAAI/8B,EAAGmnC,EAAIjE,EAAKkE,EAAMF,EAAUG,oBAChC,IAAKt/B,KAAKu/B,aAKN,IAHAv/B,KAAKu/B,aAAe,GACpBv/B,KAAKw/B,iBAAmB,GACxBx/B,KAAKy/B,kBAAoB,GACpBxnC,EAAI,EAAGA,EAAI,KAAMA,EAClBkjC,EAAMrG,EAAU,CAAC,IAAM78B,IACvB+H,KAAKy/B,kBAAkBxnC,GAAK+H,KAAK0+B,YAAYvD,EAAK,IAAImE,oBACtDt/B,KAAKw/B,iBAAiBvnC,GAAK+H,KAAK2+B,OAAOxD,EAAK,IAAImE,oBAIxD,OAAItK,EACe,QAAXtS,GAEe,KADf0c,EAAKxgC,GAAQlH,KAAKsI,KAAKy/B,kBAAmBJ,IACvBD,EAAK,MAGT,KADfA,EAAKxgC,GAAQlH,KAAKsI,KAAKw/B,iBAAkBH,IACtBD,EAAK,KAGb,QAAX1c,GAEY,KADZ0c,EAAKxgC,GAAQlH,KAAKsI,KAAKy/B,kBAAmBJ,MAK3B,KADfD,EAAKxgC,GAAQlH,KAAKsI,KAAKw/B,iBAAkBH,IAF9BD,EAGa,MAGZ,KADZA,EAAKxgC,GAAQlH,KAAKsI,KAAKw/B,iBAAkBH,MAK1B,KADfD,EAAKxgC,GAAQlH,KAAKsI,KAAKy/B,kBAAmBJ,IAF/BD,EAGa,KA6CpC,SAASM,GAAUvE,EAAK7/B,GACpB,IAAIqkC,EAEJ,IAAKxE,EAAIhF,UAEL,OAAOgF,EAGX,GAAqB,iBAAV7/B,EACP,GAAI,QAAQ6P,KAAK7P,GACbA,EAAQw8B,EAAMx8B,QAId,IAAKtC,EAFLsC,EAAQ6/B,EAAIH,aAAa8D,YAAYxjC,IAGjC,OAAO6/B,EAOnB,OAFAwE,EAAa7oC,KAAKkK,IAAIm6B,EAAIkD,OAAQC,GAAYnD,EAAIwC,OAAQriC,IAC1D6/B,EAAI5E,GAAG,OAAS4E,EAAI7D,OAAS,MAAQ,IAAM,SAASh8B,EAAOqkC,GACpDxE,EAGX,SAASyE,GAAatkC,GAClB,OAAa,MAATA,GACAokC,GAAS1/B,KAAM1E,GACfs5B,EAAM+C,aAAa33B,MAAM,GAClBA,MAEApF,GAAIoF,KAAM,SAQzB,IAAI6/B,GAA0BrD,GAoB1BsD,GAAqBtD,GAoBzB,SAASuD,KACL,SAASC,EAAU9lC,EAAGC,GAClB,OAAOA,EAAEhC,OAAS+B,EAAE/B,OAGxB,IACIF,EAAGkjC,EADH8E,EAAc,GAAIC,EAAa,GAAIC,EAAc,GAErD,IAAKloC,EAAI,EAAGA,EAAI,GAAIA,IAEhBkjC,EAAMrG,EAAU,CAAC,IAAM78B,IACvBgoC,EAAY1xB,KAAKvO,KAAK0+B,YAAYvD,EAAK,KACvC+E,EAAW3xB,KAAKvO,KAAK2+B,OAAOxD,EAAK,KACjCgF,EAAY5xB,KAAKvO,KAAK2+B,OAAOxD,EAAK,KAClCgF,EAAY5xB,KAAKvO,KAAK0+B,YAAYvD,EAAK,KAO3C,IAHA8E,EAAYG,KAAKJ,GACjBE,EAAWE,KAAKJ,GAChBG,EAAYC,KAAKJ,GACZ/nC,EAAI,EAAGA,EAAI,GAAIA,IAChBgoC,EAAYhoC,GAAK8kC,GAAYkD,EAAYhoC,IACzCioC,EAAWjoC,GAAK8kC,GAAYmD,EAAWjoC,IAE3C,IAAKA,EAAI,EAAGA,EAAI,GAAIA,IAChBkoC,EAAYloC,GAAK8kC,GAAYoD,EAAYloC,IAG7C+H,KAAKqgC,aAAe,IAAI50B,OAAO,KAAO00B,EAAYpgC,KAAK,KAAO,IAAK,KACnEC,KAAKsgC,kBAAoBtgC,KAAKqgC,aAC9BrgC,KAAKugC,mBAAqB,IAAI90B,OAAO,KAAOy0B,EAAWngC,KAAK,KAAO,IAAK,KACxEC,KAAKwgC,wBAA0B,IAAI/0B,OAAO,KAAOw0B,EAAYlgC,KAAK,KAAO,IAAK,KAGlF,SAAS0gC,GAAY5C,EAAG7b,EAAG0e,EAAG79B,EAAG89B,EAAGjM,EAAGkM,GAGnC,IAAIvC,EAYJ,OAVIR,EAAI,KAAOA,GAAK,GAEhBQ,EAAO,IAAInO,KAAK2N,EAAI,IAAK7b,EAAG0e,EAAG79B,EAAG89B,EAAGjM,EAAGkM,GACpC3I,SAASoG,EAAKwC,gBACdxC,EAAKyC,YAAYjD,IAGrBQ,EAAO,IAAInO,KAAK2N,EAAG7b,EAAG0e,EAAG79B,EAAG89B,EAAGjM,EAAGkM,GAG/BvC,EAGX,SAAS0C,GAAelD,GACpB,IAAIQ,EAEJ,GAAIR,EAAI,KAAOA,GAAK,EAAG,CACnB,IAAIxvB,EAAOC,MAAM/W,UAAU0L,MAAMvL,KAAKqC,WAEtCsU,EAAK,GAAKwvB,EAAI,IACdQ,EAAO,IAAInO,KAAKA,KAAK8Q,IAAIj7B,MAAM,KAAMsI,IACjC4pB,SAASoG,EAAK4C,mBACd5C,EAAK6C,eAAerD,QAGxBQ,EAAO,IAAInO,KAAKA,KAAK8Q,IAAIj7B,MAAM,KAAMhM,YAGzC,OAAOskC,EAIX,SAAS8C,GAAgBxD,EAAMyD,EAAKC,GAChC,IACIC,EAAM,EAAIF,EAAMC,EAIpB,QAFa,EAAIN,GAAcpD,EAAM,EAAG2D,GAAKC,YAAcH,GAAO,EAElDE,EAAM,EAI1B,SAASE,GAAmB7D,EAAM8D,EAAMC,EAASN,EAAKC,GAClD,IAGIM,EAASC,EADTC,EAAY,EAAI,GAAKJ,EAAO,IAFZ,EAAIC,EAAUN,GAAO,EACxBD,GAAgBxD,EAAMyD,EAAKC,GAe5C,OAXIQ,GAAa,EAEbD,EAAelE,GADfiE,EAAUhE,EAAO,GACoBkE,EAC9BA,EAAYnE,GAAWC,IAC9BgE,EAAUhE,EAAO,EACjBiE,EAAeC,EAAYnE,GAAWC,KAEtCgE,EAAUhE,EACViE,EAAeC,GAGZ,CACHlE,KAAMgE,EACNE,UAAWD,GAInB,SAASE,GAAW3G,EAAKiG,EAAKC,GAC1B,IAEIU,EAASJ,EAFTK,EAAab,GAAgBhG,EAAIwC,OAAQyD,EAAKC,GAC9CI,EAAO3qC,KAAKyN,OAAO42B,EAAI0G,YAAcG,EAAa,GAAK,GAAK,EAchE,OAXIP,EAAO,EAEPM,EAAUN,EAAOQ,GADjBN,EAAUxG,EAAIwC,OAAS,EACeyD,EAAKC,GACpCI,EAAOQ,GAAY9G,EAAIwC,OAAQyD,EAAKC,IAC3CU,EAAUN,EAAOQ,GAAY9G,EAAIwC,OAAQyD,EAAKC,GAC9CM,EAAUxG,EAAIwC,OAAS,IAEvBgE,EAAUxG,EAAIwC,OACdoE,EAAUN,GAGP,CACHA,KAAMM,EACNpE,KAAMgE,GAId,SAASM,GAAYtE,EAAMyD,EAAKC,GAC5B,IAAIW,EAAab,GAAgBxD,EAAMyD,EAAKC,GACxCa,EAAiBf,GAAgBxD,EAAO,EAAGyD,EAAKC,GACpD,OAAQ3D,GAAWC,GAAQqE,EAAaE,GAAkB,EAoJ9D,SAASC,GAAeC,EAAIvrB,GACxB,OAAOurB,EAAGn/B,MAAM4T,EAAG,GAAG7T,OAAOo/B,EAAGn/B,MAAM,EAAG4T,IAhJ7C+jB,EAAe,IAAK,CAAC,KAAM,GAAI,KAAM,QACrCA,EAAe,IAAK,CAAC,KAAM,GAAI,KAAM,WAIrCvB,EAAa,OAAQ,KACrBA,EAAa,UAAW,KAIxBW,EAAgB,OAAQ,GACxBA,EAAgB,UAAW,GAI3B0C,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAC/BgB,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAE/B4B,GAAkB,CAAC,IAAK,KAAM,IAAK,OAAO,SAAUj1B,EAAOo5B,EAAM/iC,EAAQgH,GACrE+7B,EAAK/7B,EAAMypB,OAAO,EAAG,IAAM2I,EAAMzvB,MAsCrCuyB,EAAe,IAAK,EAAG,KAAM,OAE7BA,EAAe,KAAM,EAAG,GAAG,SAAUlY,GACjC,OAAO1iB,KAAKg7B,aAAaqH,YAAYriC,KAAM0iB,MAG/CkY,EAAe,MAAO,EAAG,GAAG,SAAUlY,GAClC,OAAO1iB,KAAKg7B,aAAasH,cAActiC,KAAM0iB,MAGjDkY,EAAe,OAAQ,EAAG,GAAG,SAAUlY,GACnC,OAAO1iB,KAAKg7B,aAAauH,SAASviC,KAAM0iB,MAG5CkY,EAAe,IAAK,EAAG,EAAG,WAC1BA,EAAe,IAAK,EAAG,EAAG,cAI1BvB,EAAa,MAAO,KACpBA,EAAa,UAAW,KACxBA,EAAa,aAAc,KAG3BW,EAAgB,MAAO,IACvBA,EAAgB,UAAW,IAC3BA,EAAgB,aAAc,IAI9B0C,GAAc,IAAQZ,GACtBY,GAAc,IAAQZ,GACtBY,GAAc,IAAQZ,GACtBY,GAAc,MAAQ,SAAUG,EAAU9H,GACtC,OAAOA,EAAOyN,iBAAiB3F,MAEnCH,GAAc,OAAS,SAAUG,EAAU9H,GACvC,OAAOA,EAAO0N,mBAAmB5F,MAErCH,GAAc,QAAU,SAAUG,EAAU9H,GACxC,OAAOA,EAAO2N,cAAc7F,MAGhCS,GAAkB,CAAC,KAAM,MAAO,SAAS,SAAUj1B,EAAOo5B,EAAM/iC,EAAQgH,GACpE,IAAIg8B,EAAUhjC,EAAO84B,QAAQmL,cAAct6B,EAAO3C,EAAOhH,EAAOg4B,SAEjD,MAAXgL,EACAD,EAAKf,EAAIgB,EAETvM,EAAgBz2B,GAAQ+3B,eAAiBpuB,KAIjDi1B,GAAkB,CAAC,IAAK,IAAK,MAAM,SAAUj1B,EAAOo5B,EAAM/iC,EAAQgH,GAC9D+7B,EAAK/7B,GAASoyB,EAAMzvB,MAkCxB,IAAIu6B,GAAwB,2DAA2DjjC,MAAM,KAQzFkjC,GAA6B,8BAA8BljC,MAAM,KAMjEmjC,GAA2B,uBAAuBnjC,MAAM,KAM5D,SAASojC,GAAoBC,EAAatgB,EAAQsS,GAC9C,IAAI/8B,EAAGmnC,EAAIjE,EAAKkE,EAAM2D,EAAY1D,oBAClC,IAAKt/B,KAAKijC,eAKN,IAJAjjC,KAAKijC,eAAiB,GACtBjjC,KAAKkjC,oBAAsB,GAC3BljC,KAAKmjC,kBAAoB,GAEpBlrC,EAAI,EAAGA,EAAI,IAAKA,EACjBkjC,EAAMrG,EAAU,CAAC,IAAM,IAAIsO,IAAInrC,GAC/B+H,KAAKmjC,kBAAkBlrC,GAAK+H,KAAKqiC,YAAYlH,EAAK,IAAImE,oBACtDt/B,KAAKkjC,oBAAoBjrC,GAAK+H,KAAKsiC,cAAcnH,EAAK,IAAImE,oBAC1Dt/B,KAAKijC,eAAehrC,GAAK+H,KAAKuiC,SAASpH,EAAK,IAAImE,oBAIxD,OAAItK,EACe,SAAXtS,GAEe,KADf0c,EAAKxgC,GAAQlH,KAAKsI,KAAKijC,eAAgB5D,IACpBD,EAAK,KACN,QAAX1c,GAEQ,KADf0c,EAAKxgC,GAAQlH,KAAKsI,KAAKkjC,oBAAqB7D,IACzBD,EAAK,MAGT,KADfA,EAAKxgC,GAAQlH,KAAKsI,KAAKmjC,kBAAmB9D,IACvBD,EAAK,KAGb,SAAX1c,GAEY,KADZ0c,EAAKxgC,GAAQlH,KAAKsI,KAAKijC,eAAgB5D,MAK3B,KADZD,EAAKxgC,GAAQlH,KAAKsI,KAAKkjC,oBAAqB7D,MAK7B,KADfD,EAAKxgC,GAAQlH,KAAKsI,KAAKmjC,kBAAmB9D,IAN/BD,EAOa,KACN,QAAX1c,GAEK,KADZ0c,EAAKxgC,GAAQlH,KAAKsI,KAAKkjC,oBAAqB7D,MAKhC,KADZD,EAAKxgC,GAAQlH,KAAKsI,KAAKijC,eAAgB5D,MAKxB,KADfD,EAAKxgC,GAAQlH,KAAKsI,KAAKmjC,kBAAmB9D,IAN/BD,EAOa,MAGZ,KADZA,EAAKxgC,GAAQlH,KAAKsI,KAAKmjC,kBAAmB9D,MAK9B,KADZD,EAAKxgC,GAAQlH,KAAKsI,KAAKijC,eAAgB5D,MAKxB,KADfD,EAAKxgC,GAAQlH,KAAKsI,KAAKkjC,oBAAqB7D,IANjCD,EAOa,KAqFpC,IAAIiE,GAAuB7G,GAoBvB8G,GAA4B9G,GAoB5B+G,GAA0B/G,GAqB9B,SAASgH,KACL,SAASxD,EAAU9lC,EAAGC,GAClB,OAAOA,EAAEhC,OAAS+B,EAAE/B,OAGxB,IACIF,EAAGkjC,EAAKsI,EAAMC,EAAQC,EADtBC,EAAY,GAAI3D,EAAc,GAAIC,EAAa,GAAIC,EAAc,GAErE,IAAKloC,EAAI,EAAGA,EAAI,EAAGA,IAEfkjC,EAAMrG,EAAU,CAAC,IAAM,IAAIsO,IAAInrC,GAC/BwrC,EAAOzjC,KAAKqiC,YAAYlH,EAAK,IAC7BuI,EAAS1jC,KAAKsiC,cAAcnH,EAAK,IACjCwI,EAAQ3jC,KAAKuiC,SAASpH,EAAK,IAC3ByI,EAAUr1B,KAAKk1B,GACfxD,EAAY1xB,KAAKm1B,GACjBxD,EAAW3xB,KAAKo1B,GAChBxD,EAAY5xB,KAAKk1B,GACjBtD,EAAY5xB,KAAKm1B,GACjBvD,EAAY5xB,KAAKo1B,GAQrB,IAJAC,EAAUxD,KAAKJ,GACfC,EAAYG,KAAKJ,GACjBE,EAAWE,KAAKJ,GAChBG,EAAYC,KAAKJ,GACZ/nC,EAAI,EAAGA,EAAI,EAAGA,IACfgoC,EAAYhoC,GAAK8kC,GAAYkD,EAAYhoC,IACzCioC,EAAWjoC,GAAK8kC,GAAYmD,EAAWjoC,IACvCkoC,EAAYloC,GAAK8kC,GAAYoD,EAAYloC,IAG7C+H,KAAK6jC,eAAiB,IAAIp4B,OAAO,KAAO00B,EAAYpgC,KAAK,KAAO,IAAK,KACrEC,KAAK8jC,oBAAsB9jC,KAAK6jC,eAChC7jC,KAAK+jC,kBAAoB/jC,KAAK6jC,eAE9B7jC,KAAKgkC,qBAAuB,IAAIv4B,OAAO,KAAOy0B,EAAWngC,KAAK,KAAO,IAAK,KAC1EC,KAAKikC,0BAA4B,IAAIx4B,OAAO,KAAOw0B,EAAYlgC,KAAK,KAAO,IAAK,KAChFC,KAAKkkC,wBAA0B,IAAIz4B,OAAO,KAAOm4B,EAAU7jC,KAAK,KAAO,IAAK,KAKhF,SAASokC,KACL,OAAOnkC,KAAKokC,QAAU,IAAM,GA6BhC,SAASpO,GAAUtwB,EAAO2+B,GACtBzJ,EAAel1B,EAAO,EAAG,GAAG,WACxB,OAAO1F,KAAKg7B,aAAahF,SAASh2B,KAAKokC,QAASpkC,KAAKskC,UAAWD,MAgBxE,SAASE,GAAe1H,EAAU9H,GAC9B,OAAOA,EAAOyP,eAzClB5J,EAAe,IAAK,CAAC,KAAM,GAAI,EAAG,QAClCA,EAAe,IAAK,CAAC,KAAM,GAAI,EAAGuJ,IAClCvJ,EAAe,IAAK,CAAC,KAAM,GAAI,GAN/B,WACI,OAAO56B,KAAKokC,SAAW,MAO3BxJ,EAAe,MAAO,EAAG,GAAG,WACxB,MAAO,GAAKuJ,GAAQp+B,MAAM/F,MAAQk6B,EAASl6B,KAAKskC,UAAW,MAG/D1J,EAAe,QAAS,EAAG,GAAG,WAC1B,MAAO,GAAKuJ,GAAQp+B,MAAM/F,MAAQk6B,EAASl6B,KAAKskC,UAAW,GACvDpK,EAASl6B,KAAKykC,UAAW,MAGjC7J,EAAe,MAAO,EAAG,GAAG,WACxB,MAAO,GAAK56B,KAAKokC,QAAUlK,EAASl6B,KAAKskC,UAAW,MAGxD1J,EAAe,QAAS,EAAG,GAAG,WAC1B,MAAO,GAAK56B,KAAKokC,QAAUlK,EAASl6B,KAAKskC,UAAW,GAChDpK,EAASl6B,KAAKykC,UAAW,MASjCzO,GAAS,KAAK,GACdA,GAAS,KAAK,GAIdqD,EAAa,OAAQ,KAGrBW,EAAgB,OAAQ,IAQxB0C,GAAc,IAAM6H,IACpB7H,GAAc,IAAM6H,IACpB7H,GAAc,IAAMZ,GACpBY,GAAc,IAAMZ,GACpBY,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAC/BgB,GAAc,KAAMZ,EAAWJ,GAC/BgB,GAAc,KAAMZ,EAAWJ,GAE/BgB,GAAc,MAAOX,GACrBW,GAAc,QAASV,IACvBU,GAAc,MAAOX,GACrBW,GAAc,QAASV,IAEvBqB,GAAc,CAAC,IAAK,MA3+BT,GA4+BXA,GAAc,CAAC,IAAK,OAAO,SAAUh1B,EAAO6F,EAAOxP,GAC/C,IAAIgmC,EAAS5M,EAAMzvB,GACnB6F,EA9+BO,GA8+BkB,KAAXw2B,EAAgB,EAAIA,KAEtCrH,GAAc,CAAC,IAAK,MAAM,SAAUh1B,EAAO6F,EAAOxP,GAC9CA,EAAOimC,MAAQjmC,EAAO84B,QAAQoN,KAAKv8B,GACnC3J,EAAOmmC,UAAYx8B,KAEvBg1B,GAAc,CAAC,IAAK,OAAO,SAAUh1B,EAAO6F,EAAOxP,GAC/CwP,EAr/BO,GAq/BO4pB,EAAMzvB,GACpB8sB,EAAgBz2B,GAAQi4B,SAAU,KAEtC0G,GAAc,OAAO,SAAUh1B,EAAO6F,EAAOxP,GACzC,IAAIwa,EAAM7Q,EAAMlQ,OAAS,EACzB+V,EA1/BO,GA0/BO4pB,EAAMzvB,EAAM8mB,OAAO,EAAGjW,IACpChL,EA1/BS,GA0/BO4pB,EAAMzvB,EAAM8mB,OAAOjW,IACnCic,EAAgBz2B,GAAQi4B,SAAU,KAEtC0G,GAAc,SAAS,SAAUh1B,EAAO6F,EAAOxP,GAC3C,IAAIomC,EAAOz8B,EAAMlQ,OAAS,EACtB4sC,EAAO18B,EAAMlQ,OAAS,EAC1B+V,EAjgCO,GAigCO4pB,EAAMzvB,EAAM8mB,OAAO,EAAG2V,IACpC52B,EAjgCS,GAigCO4pB,EAAMzvB,EAAM8mB,OAAO2V,EAAM,IACzC52B,EAjgCS,GAigCO4pB,EAAMzvB,EAAM8mB,OAAO4V,IACnC5P,EAAgBz2B,GAAQi4B,SAAU,KAEtC0G,GAAc,OAAO,SAAUh1B,EAAO6F,EAAOxP,GACzC,IAAIwa,EAAM7Q,EAAMlQ,OAAS,EACzB+V,EAxgCO,GAwgCO4pB,EAAMzvB,EAAM8mB,OAAO,EAAGjW,IACpChL,EAxgCS,GAwgCO4pB,EAAMzvB,EAAM8mB,OAAOjW,OAEvCmkB,GAAc,SAAS,SAAUh1B,EAAO6F,EAAOxP,GAC3C,IAAIomC,EAAOz8B,EAAMlQ,OAAS,EACtB4sC,EAAO18B,EAAMlQ,OAAS,EAC1B+V,EA9gCO,GA8gCO4pB,EAAMzvB,EAAM8mB,OAAO,EAAG2V,IACpC52B,EA9gCS,GA8gCO4pB,EAAMzvB,EAAM8mB,OAAO2V,EAAM,IACzC52B,EA9gCS,GA8gCO4pB,EAAMzvB,EAAM8mB,OAAO4V,OA2BvC,IAyBIC,GAzBAC,GAAahH,GAAW,SAAS,GAEjCiH,GAAa,CACbC,SAj2CkB,CAClBC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KA41CXjK,eAp1CwB,CACxBkK,IAAO,YACP3+B,GAAO,SACP4+B,EAAO,aACPC,GAAO,eACPC,IAAO,sBACPC,KAAO,6BA+0CPxK,YA7zCqB,eA8zCrBR,QAxzCiB,KAyzCjBiL,uBAxzCgC,UAyzChCC,aAnzCsB,CACtBC,OAAS,QACTC,KAAS,SACTxR,EAAK,gBACLyR,GAAK,aACLnkB,EAAK,WACLokB,GAAK,aACLvjC,EAAK,UACLwjC,GAAK,WACL3F,EAAK,QACL4F,GAAK,UACL3F,EAAK,UACL4F,GAAK,YACL1I,EAAK,SACL2I,GAAK,YAuyCL7H,OAAQK,GACRN,YAAaO,GAEbwC,KA9gBoB,CACpBL,IAAM,EACNC,IAAM,GA8gBNkB,SAAUK,GACVP,YAAaS,GACbR,cAAeO,GAEf4D,cAnC6B,iBAuC7BC,GAAU,GACVC,GAAiB,GAGrB,SAASC,GAAgBxuC,GACrB,OAAOA,EAAMA,EAAI+Z,cAAc5X,QAAQ,IAAK,KAAOnC,EA8BvD,SAASyuC,GAAWjwC,GAChB,IAAIkwC,EAAY,KAEhB,IAAKJ,GAAQ9vC,SAA4B,IAAXd,GACtBA,GAAUA,EAAOC,QACrB,IACI+wC,EAAY9B,GAAa+B,MAEzB,OAAe,KAAcnwC,GAC7BowC,GAAmBF,GACrB,MAAOn6B,IAEb,OAAO+5B,GAAQ9vC,GAMnB,SAASowC,GAAoB5uC,EAAK6S,GAC9B,IAAIsF,EAqBJ,OApBInY,KAEImY,EADA5Y,EAAYsT,GACLg8B,GAAU7uC,GAGV8uC,GAAa9uC,EAAK6S,IAKzB+5B,GAAez0B,EAGU,oBAAb5P,SAA6BA,QAAQC,MAE7CD,QAAQC,KAAK,UAAYxI,EAAO,2CAKrC4sC,GAAa+B,MAGxB,SAASG,GAActwC,EAAM8H,GACzB,GAAe,OAAXA,EAAiB,CACjB,IAAIq2B,EAAQkE,EAAeiM,GAE3B,GADAxmC,EAAOyoC,KAAOvwC,EACO,MAAjB8vC,GAAQ9vC,GACRmiC,EAAgB,uBACR,2OAIRE,EAAeyN,GAAQ9vC,GAAMwwC,aAC1B,GAA2B,MAAvB1oC,EAAO2oC,aACd,GAAoC,MAAhCX,GAAQhoC,EAAO2oC,cACfpO,EAAeyN,GAAQhoC,EAAO2oC,cAAcD,YACzC,CAEH,GAAc,OADdrS,EAAS8R,GAAWnoC,EAAO2oC,eAWvB,OAPKV,GAAejoC,EAAO2oC,gBACvBV,GAAejoC,EAAO2oC,cAAgB,IAE1CV,GAAejoC,EAAO2oC,cAAc94B,KAAK,CACrC3X,KAAMA,EACN8H,OAAQA,IAEL,KATPu6B,EAAelE,EAAOqS,QA2BlC,OAdAV,GAAQ9vC,GAAQ,IAAIuiC,EAAOH,EAAaC,EAAcv6B,IAElDioC,GAAe/vC,IACf+vC,GAAe/vC,GAAMkB,SAAQ,SAAUymC,GACnC2I,GAAa3I,EAAE3nC,KAAM2nC,EAAE7/B,WAO/BsoC,GAAmBpwC,GAGZ8vC,GAAQ9vC,GAIf,cADO8vC,GAAQ9vC,GACR,KAiCf,SAASqwC,GAAW7uC,GAChB,IAAI28B,EAMJ,GAJI38B,GAAOA,EAAIo/B,SAAWp/B,EAAIo/B,QAAQuP,QAClC3uC,EAAMA,EAAIo/B,QAAQuP,QAGjB3uC,EACD,OAAO4sC,GAGX,IAAKxtC,EAAQY,GAAM,CAGf,GADA28B,EAAS8R,GAAWzuC,GAEhB,OAAO28B,EAEX38B,EAAM,CAACA,GAGX,OAxKJ,SAAsB4hB,GAGlB,IAFA,IAAWpH,EAAG1I,EAAM6qB,EAAQp1B,EAAxB1H,EAAI,EAEDA,EAAI+hB,EAAM7hB,QAAQ,CAKrB,IAHAya,GADAjT,EAAQinC,GAAgB5sB,EAAM/hB,IAAI0H,MAAM,MAC9BxH,OAEV+R,GADAA,EAAO08B,GAAgB5sB,EAAM/hB,EAAI,KACnBiS,EAAKvK,MAAM,KAAO,KACzBiT,EAAI,GAAG,CAEV,GADAmiB,EAAS8R,GAAWlnC,EAAMsD,MAAM,EAAG2P,GAAG7S,KAAK,MAEvC,OAAOg1B,EAEX,GAAI7qB,GAAQA,EAAK/R,QAAUya,GAAKslB,EAAcv4B,EAAOuK,GAAM,IAAS0I,EAAI,EAEpE,MAEJA,IAEJ3a,IAEJ,OAAO+sC,GAmJAsC,CAAalvC,GAOxB,SAASmvC,GAAevlB,GACpB,IAAIwT,EACAt7B,EAAI8nB,EAAEyb,GAyBV,OAvBIvjC,IAAsC,IAAjCi7B,EAAgBnT,GAAGwT,WACxBA,EACIt7B,EApwCA,GAowCiB,GAAKA,EApwCtB,GAowCuC,GApwCvC,EAqwCAA,EApwCD,GAowCkB,GAAKA,EApwCvB,GAowCwCokC,GAAYpkC,EAtwCpD,GAswC6DA,EArwC5D,IACD,EAqwCCA,EApwCD,GAowCkB,GAAKA,EApwCvB,GAowCwC,IAAmB,KAAZA,EApwC/C,KAowCgF,IAAdA,EAnwChE,IAmwCiG,IAAdA,EAlwCnF,IAkwCyH,IAAnBA,EAjwCjG,IAHP,EAqwCCA,EApwCC,GAowCgB,GAAKA,EApwCrB,GAowCsC,GApwCtC,EAqwCDA,EApwCC,GAowCgB,GAAKA,EApwCrB,GAowCsC,GApwCtC,EAqwCDA,EApwCM,GAowCW,GAAKA,EApwChB,GAowCiC,IApwCjC,GAqwCL,EAEDi7B,EAAgBnT,GAAGwlB,qBAAuBhS,EA7wC3C,GA6wC8DA,EA3wC9D,KA4wCCA,EA5wCD,GA8wCCL,EAAgBnT,GAAGylB,iBAAgC,IAAdjS,IACrCA,EA1wCD,GA4wCCL,EAAgBnT,GAAG0lB,mBAAkC,IAAdlS,IACvCA,EA5wCE,GA+wCNL,EAAgBnT,GAAGwT,SAAWA,GAG3BxT,EAIX,SAASrc,GAASzL,EAAGC,EAAG2L,GACpB,OAAS,MAAL5L,EACOA,EAEF,MAALC,EACOA,EAEJ2L,EAgBX,SAAS6hC,GAAiBjpC,GACtB,IAAIzG,EAAGomC,EAAkBuJ,EAAaC,EAAiBC,EAA1Cz/B,EAAQ,GAErB,IAAI3J,EAAO63B,GAAX,CA6BA,IAzBAqR,EApBJ,SAA0BlpC,GAEtB,IAAIqpC,EAAW,IAAI7X,KAAK0E,EAAMvE,OAC9B,OAAI3xB,EAAOspC,QACA,CAACD,EAAS9G,iBAAkB8G,EAASE,cAAeF,EAASG,cAEjE,CAACH,EAASlH,cAAekH,EAASI,WAAYJ,EAASK,WAchDC,CAAiB3pC,GAG3BA,EAAO6+B,IAAyB,MAAnB7+B,EAAO++B,GA7zCjB,IA6zCyD,MAApB/+B,EAAO++B,GA9zC3C,IAy3CZ,SAA+B/+B,GAC3B,IAAI4pC,EAAGC,EAAU9G,EAAMC,EAASN,EAAKC,EAAK95B,EAAMihC,EAGhD,GAAY,OADZF,EAAI5pC,EAAO6+B,IACLkL,IAAqB,MAAPH,EAAEI,GAAoB,MAAPJ,EAAE3xB,EACjCyqB,EAAM,EACNC,EAAM,EAMNkH,EAAW5iC,GAAS2iC,EAAEG,GAAI/pC,EAAO++B,GAt4C9B,GAs4CwCqE,GAAW6G,KAAe,EAAG,GAAGhL,MAC3E8D,EAAO97B,GAAS2iC,EAAEI,EAAG,KACrBhH,EAAU/7B,GAAS2iC,EAAE3xB,EAAG,IACV,GAAK+qB,EAAU,KACzB8G,GAAkB,OAEnB,CACHpH,EAAM1iC,EAAO84B,QAAQoR,MAAMxH,IAC3BC,EAAM3iC,EAAO84B,QAAQoR,MAAMvH,IAE3B,IAAIwH,EAAU/G,GAAW6G,KAAevH,EAAKC,GAE7CkH,EAAW5iC,GAAS2iC,EAAEQ,GAAIpqC,EAAO++B,GAl5C9B,GAk5CwCoL,EAAQlL,MAGnD8D,EAAO97B,GAAS2iC,EAAEA,EAAGO,EAAQpH,MAElB,MAAP6G,EAAE5H,IAEFgB,EAAU4G,EAAE5H,GACE,GAAKgB,EAAU,KACzB8G,GAAkB,GAER,MAAPF,EAAE37B,GAET+0B,EAAU4G,EAAE37B,EAAIy0B,GACZkH,EAAE37B,EAAI,GAAK27B,EAAE37B,EAAI,KACjB67B,GAAkB,IAItB9G,EAAUN,EAGdK,EAAO,GAAKA,EAAOQ,GAAYsG,EAAUnH,EAAKC,GAC9ClM,EAAgBz2B,GAAQ+oC,gBAAiB,EACf,MAAnBe,EACPrT,EAAgBz2B,GAAQgpC,kBAAmB,GAE3CngC,EAAOi6B,GAAmB+G,EAAU9G,EAAMC,EAASN,EAAKC,GACxD3iC,EAAO++B,GA96CJ,GA86Cel2B,EAAKo2B,KACvBj/B,EAAOqqC,WAAaxhC,EAAKs6B,WA/GzBmH,CAAsBtqC,GAID,MAArBA,EAAOqqC,aACPjB,EAAYniC,GAASjH,EAAO++B,GAr0CzB,GAq0CmCmK,EAr0CnC,KAu0CClpC,EAAOqqC,WAAarL,GAAWoK,IAAoC,IAAtBppC,EAAOqqC,cACpD5T,EAAgBz2B,GAAQ8oC,oBAAqB,GAGjDnJ,EAAO0C,GAAc+G,EAAW,EAAGppC,EAAOqqC,YAC1CrqC,EAAO++B,GA30CH,GA20CeY,EAAK4J,cACxBvpC,EAAO++B,GA30CJ,GA20CeY,EAAK6J,cAQtBjwC,EAAI,EAAGA,EAAI,GAAqB,MAAhByG,EAAO++B,GAAGxlC,KAAcA,EACzCyG,EAAO++B,GAAGxlC,GAAKoQ,EAAMpQ,GAAK2vC,EAAY3vC,GAI1C,KAAOA,EAAI,EAAGA,IACVyG,EAAO++B,GAAGxlC,GAAKoQ,EAAMpQ,GAAsB,MAAhByG,EAAO++B,GAAGxlC,GAAqB,IAANA,EAAU,EAAI,EAAKyG,EAAO++B,GAAGxlC,GAI7D,KAApByG,EAAO++B,GA51CJ,IA61CuB,IAAtB/+B,EAAO++B,GA51CN,IA61CqB,IAAtB/+B,EAAO++B,GA51CN,IA61C0B,IAA3B/+B,EAAO++B,GA51CD,KA61CV/+B,EAAOuqC,UAAW,EAClBvqC,EAAO++B,GAj2CJ,GAi2Ce,GAGtB/+B,EAAO63B,IAAM73B,EAAOspC,QAAUjH,GAAgBN,IAAY16B,MAAM,KAAMsC,GACtEw/B,EAAkBnpC,EAAOspC,QAAUtpC,EAAO63B,GAAGgL,YAAc7iC,EAAO63B,GAAG2S,SAIlD,MAAfxqC,EAAO24B,MACP34B,EAAO63B,GAAG4S,cAAczqC,EAAO63B,GAAG6S,gBAAkB1qC,EAAO24B,MAG3D34B,EAAOuqC,WACPvqC,EAAO++B,GA92CJ,GA82Ce,IAIlB/+B,EAAO6+B,SAA6B,IAAhB7+B,EAAO6+B,GAAGmD,GAAqBhiC,EAAO6+B,GAAGmD,IAAMmH,IACnE1S,EAAgBz2B,GAAQw3B,iBAAkB,IA+DlD,IAAImT,GAAmB,mJACnBC,GAAgB,8IAEhBC,GAAU,wBAEVC,GAAW,CACX,CAAC,eAAgB,uBACjB,CAAC,aAAc,mBACf,CAAC,eAAgB,kBACjB,CAAC,aAAc,eAAe,GAC9B,CAAC,WAAY,eACb,CAAC,UAAW,cAAc,GAC1B,CAAC,aAAc,cACf,CAAC,WAAY,SAEb,CAAC,aAAc,eACf,CAAC,YAAa,eAAe,GAC7B,CAAC,UAAW,UAIZC,GAAW,CACX,CAAC,gBAAiB,uBAClB,CAAC,gBAAiB,sBAClB,CAAC,WAAY,kBACb,CAAC,QAAS,aACV,CAAC,cAAe,qBAChB,CAAC,cAAe,oBAChB,CAAC,SAAU,gBACX,CAAC,OAAQ,YACT,CAAC,KAAM,SAGPC,GAAkB,sBAGtB,SAASC,GAAcjrC,GACnB,IAAIzG,EAAGC,EAGH0xC,EAAWC,EAAYC,EAAYC,EAFnCv0B,EAAS9W,EAAOsS,GAChB9E,EAAQm9B,GAAiBrzC,KAAKwf,IAAW8zB,GAActzC,KAAKwf,GAGhE,GAAItJ,EAAO,CAGP,IAFAipB,EAAgBz2B,GAAQo3B,KAAM,EAEzB79B,EAAI,EAAGC,EAAIsxC,GAASrxC,OAAQF,EAAIC,EAAGD,IACpC,GAAIuxC,GAASvxC,GAAG,GAAGjC,KAAKkW,EAAM,IAAK,CAC/B29B,EAAaL,GAASvxC,GAAG,GACzB2xC,GAA+B,IAAnBJ,GAASvxC,GAAG,GACxB,MAGR,GAAkB,MAAd4xC,EAEA,YADAnrC,EAAO03B,UAAW,GAGtB,GAAIlqB,EAAM,GAAI,CACV,IAAKjU,EAAI,EAAGC,EAAIuxC,GAAStxC,OAAQF,EAAIC,EAAGD,IACpC,GAAIwxC,GAASxxC,GAAG,GAAGjC,KAAKkW,EAAM,IAAK,CAE/B49B,GAAc59B,EAAM,IAAM,KAAOu9B,GAASxxC,GAAG,GAC7C,MAGR,GAAkB,MAAd6xC,EAEA,YADAprC,EAAO03B,UAAW,GAI1B,IAAKwT,GAA2B,MAAdE,EAEd,YADAprC,EAAO03B,UAAW,GAGtB,GAAIlqB,EAAM,GAAI,CACV,IAAIq9B,GAAQvzC,KAAKkW,EAAM,IAInB,YADAxN,EAAO03B,UAAW,GAFlB2T,EAAW,IAMnBrrC,EAAOy4B,GAAK0S,GAAcC,GAAc,KAAOC,GAAY,IAC3DC,GAA0BtrC,QAE1BA,EAAO03B,UAAW,EAK1B,IAAIH,GAAU,0LAkBd,SAASgU,GAAeC,GACpB,IAAIvM,EAAOI,SAASmM,EAAS,IAC7B,OAAIvM,GAAQ,GACD,IAAOA,EACPA,GAAQ,IACR,KAAOA,EAEXA,EAsBX,IAAIwM,GAAa,CACbC,GAAI,EACJC,IAAK,EACLC,KAAK,IACLC,KAAK,IACLC,KAAK,IACLC,KAAK,IACLC,KAAK,IACLC,KAAK,IACLC,KAAK,IACLC,KAAK,KAiBT,SAASC,GAAkBpsC,GACvB,IAzE+BwrC,EAASa,EAAUC,EAAQC,EAASC,EAAWC,EAC1EtxC,EAwEAqS,EAAQ+pB,GAAQjgC,KAAuB0I,EAAOsS,GA7CzCzW,QAAQ,oBAAqB,KAAKA,QAAQ,WAAY,KAAKA,QAAQ,SAAU,IAAIA,QAAQ,SAAU,KA8C5G,GAAI2R,EAAO,CACP,IAAIk/B,GA3EuBlB,EA2EiBh+B,EAAM,GA3Ed6+B,EA2EkB7+B,EAAM,GA3Ed8+B,EA2EkB9+B,EAAM,GA3EhB++B,EA2EoB/+B,EAAM,GA3EjBg/B,EA2EqBh/B,EAAM,GA3EhBi/B,EA2EoBj/B,EAAM,GA1EpGrS,EAAS,CACTowC,GAAeC,GACfjL,GAAyBrgC,QAAQmsC,GACjChN,SAASiN,EAAQ,IACjBjN,SAASkN,EAAS,IAClBlN,SAASmN,EAAW,KAGpBC,GACAtxC,EAAO0U,KAAKwvB,SAASoN,EAAW,KAG7BtxC,GA+DH,IA7CR,SAAsBwxC,EAAYC,EAAa5sC,GAC3C,OAAI2sC,GAEsBxI,GAA2BjkC,QAAQysC,KACrC,IAAInb,KAAKob,EAAY,GAAIA,EAAY,GAAIA,EAAY,IAAIpC,WAEzE/T,EAAgBz2B,GAAQw3B,iBAAkB,EAC1Cx3B,EAAO03B,UAAW,GACX,GAqCNmV,CAAar/B,EAAM,GAAIk/B,EAAa1sC,GACrC,OAGJA,EAAO++B,GAAK2N,EACZ1sC,EAAO24B,KAvBf,SAAyBmU,EAAWC,EAAgBC,GAChD,GAAIF,EACA,OAAOrB,GAAWqB,GACf,GAAIC,EAEP,OAAO,EAEP,IAAIE,EAAK5N,SAAS2N,EAAW,IACzB1pB,EAAI2pB,EAAK,IACb,OADuBA,EAAK3pB,GAAK,IACtB,GAAKA,EAcF4pB,CAAgB1/B,EAAM,GAAIA,EAAM,GAAIA,EAAM,KAExDxN,EAAO63B,GAAKwK,GAAch7B,MAAM,KAAMrH,EAAO++B,IAC7C/+B,EAAO63B,GAAG4S,cAAczqC,EAAO63B,GAAG6S,gBAAkB1qC,EAAO24B,MAE3DlC,EAAgBz2B,GAAQu3B,SAAU,OAElCv3B,EAAO03B,UAAW,EAgD1B,SAAS4T,GAA0BtrC,GAE/B,GAAIA,EAAOy4B,KAAOvC,EAAMiX,SAIxB,GAAIntC,EAAOy4B,KAAOvC,EAAMkX,SAAxB,CAIAptC,EAAO++B,GAAK,GACZtI,EAAgBz2B,GAAQ22B,OAAQ,EAGhC,IACIp9B,EAAGqzC,EAAalO,EAAQ13B,EAAOqmC,EAD/Bv2B,EAAS,GAAK9W,EAAOsS,GAErBg7B,EAAex2B,EAAOrd,OACtB8zC,EAAyB,EAI7B,IAFA7O,EAASlC,EAAax8B,EAAOy4B,GAAIz4B,EAAO84B,SAAStrB,MAAMsuB,IAAqB,GAEvEviC,EAAI,EAAGA,EAAImlC,EAAOjlC,OAAQF,IAC3ByN,EAAQ03B,EAAOnlC,IACfqzC,GAAe91B,EAAOtJ,MAAM4wB,GAAsBp3B,EAAOhH,KAAY,IAAI,OAIrEqtC,EAAUv2B,EAAO2Z,OAAO,EAAG3Z,EAAO5W,QAAQ0sC,KAC9BnzC,OAAS,GACjBg9B,EAAgBz2B,GAAQ62B,YAAYhnB,KAAKw9B,GAE7Cv2B,EAASA,EAAOvS,MAAMuS,EAAO5W,QAAQ0sC,GAAeA,EAAYnzC,QAChE8zC,GAA0BX,EAAYnzC,QAGtCwiC,EAAqBj1B,IACjB4lC,EACAnW,EAAgBz2B,GAAQ22B,OAAQ,EAGhCF,EAAgBz2B,GAAQ42B,aAAa/mB,KAAK7I,GAE9C83B,GAAwB93B,EAAO4lC,EAAa5sC,IAEvCA,EAAOg4B,UAAY4U,GACxBnW,EAAgBz2B,GAAQ42B,aAAa/mB,KAAK7I,GAKlDyvB,EAAgBz2B,GAAQ+2B,cAAgBuW,EAAeC,EACnDz2B,EAAOrd,OAAS,GAChBg9B,EAAgBz2B,GAAQ62B,YAAYhnB,KAAKiH,GAIzC9W,EAAO++B,GA7sDJ,IA6sDgB,KACiB,IAApCtI,EAAgBz2B,GAAQi4B,SACxBj4B,EAAO++B,GA/sDJ,GA+sDe,IAClBtI,EAAgBz2B,GAAQi4B,aAAU/5B,GAGtCu4B,EAAgBz2B,GAAQq3B,gBAAkBr3B,EAAO++B,GAAGx6B,MAAM,GAC1DkyB,EAAgBz2B,GAAQs3B,SAAWt3B,EAAOmmC,UAE1CnmC,EAAO++B,GAttDA,GA6tDX,SAA0B1I,EAAQmX,EAAMlW,GACpC,IAAImW,EAEJ,OAAgB,MAAZnW,EAEOkW,EAEgB,MAAvBnX,EAAOqX,aACArX,EAAOqX,aAAaF,EAAMlW,GACX,MAAfjB,EAAO6P,OAEduH,EAAOpX,EAAO6P,KAAK5O,KACPkW,EAAO,KACfA,GAAQ,IAEPC,GAAiB,KAATD,IACTA,EAAO,GAEJA,GAGAA,EA5BOG,CAAgB3tC,EAAO84B,QAAS94B,EAAO++B,GAttDlD,GAstD4D/+B,EAAOmmC,WAE1E8C,GAAgBjpC,GAChB6oC,GAAc7oC,QA7DVosC,GAAkBpsC,QAJlBirC,GAAcjrC,GAmKtB,SAAS4tC,GAAe5tC,GACpB,IAAI2J,EAAQ3J,EAAOsS,GACf0R,EAAShkB,EAAOy4B,GAIpB,OAFAz4B,EAAO84B,QAAU94B,EAAO84B,SAAWyP,GAAUvoC,EAAO04B,IAEtC,OAAV/uB,QAA8BzL,IAAX8lB,GAAkC,KAAVra,EACpCwuB,EAAc,CAACnB,WAAW,KAGhB,iBAAVrtB,IACP3J,EAAOsS,GAAK3I,EAAQ3J,EAAO84B,QAAQ+U,SAASlkC,IAG5CuvB,EAASvvB,GACF,IAAIqvB,EAAO6P,GAAcl/B,KACzBpP,EAAOoP,GACd3J,EAAO63B,GAAKluB,EACL7Q,EAAQkrB,GAtFvB,SAAkChkB,GAC9B,IAAI8tC,EACAC,EAEAC,EACAz0C,EACA00C,EAEJ,GAAyB,IAArBjuC,EAAOy4B,GAAGh/B,OAGV,OAFAg9B,EAAgBz2B,GAAQk3B,eAAgB,OACxCl3B,EAAO63B,GAAK,IAAIrG,KAAK4G,MAIzB,IAAK7+B,EAAI,EAAGA,EAAIyG,EAAOy4B,GAAGh/B,OAAQF,IAC9B00C,EAAe,EACfH,EAAaxV,EAAW,GAAIt4B,GACN,MAAlBA,EAAOspC,UACPwE,EAAWxE,QAAUtpC,EAAOspC,SAEhCwE,EAAWrV,GAAKz4B,EAAOy4B,GAAGl/B,GAC1B+xC,GAA0BwC,GAErBrW,EAAQqW,KAKbG,GAAgBxX,EAAgBqX,GAAY/W,cAG5CkX,GAAkE,GAAlDxX,EAAgBqX,GAAYlX,aAAan9B,OAEzDg9B,EAAgBqX,GAAYI,MAAQD,GAEjB,MAAfD,GAAuBC,EAAeD,KACtCA,EAAcC,EACdF,EAAaD,IAIrBvyC,EAAOyE,EAAQ+tC,GAAcD,GA8CzBK,CAAyBnuC,GAClBgkB,EACPsnB,GAA0BtrC,GAYlC,SAAyBA,GACrB,IAAI2J,EAAQ3J,EAAOsS,GACfrZ,EAAY0Q,GACZ3J,EAAO63B,GAAK,IAAIrG,KAAK0E,EAAMvE,OACpBp3B,EAAOoP,GACd3J,EAAO63B,GAAK,IAAIrG,KAAK7nB,EAAME,WACH,iBAAVF,EAxPtB,SAA0B3J,GACtB,IAAI0pB,EAAUshB,GAAgB1zC,KAAK0I,EAAOsS,IAE1B,OAAZoX,GAKJuhB,GAAcjrC,IACU,IAApBA,EAAO03B,kBACA13B,EAAO03B,SAKlB0U,GAAkBpsC,IACM,IAApBA,EAAO03B,kBACA13B,EAAO03B,SAMlBxB,EAAMkY,wBAAwBpuC,MAnB1BA,EAAO63B,GAAK,IAAIrG,MAAM9H,EAAQ,IAqP9B2kB,CAAiBruC,GACVlH,EAAQ6Q,IACf3J,EAAO++B,GAAK5tB,EAAIxH,EAAMpF,MAAM,IAAI,SAAUlL,GACtC,OAAOgmC,SAAShmC,EAAK,OAEzB4vC,GAAgBjpC,IACT9G,EAASyQ,GAtExB,SAA0B3J,GACtB,IAAIA,EAAO63B,GAAX,CAIA,IAAIt+B,EAAI0hC,EAAqBj7B,EAAOsS,IACpCtS,EAAO++B,GAAK5tB,EAAI,CAAC5X,EAAE0lC,KAAM1lC,EAAEmmC,MAAOnmC,EAAEmrC,KAAOnrC,EAAEomC,KAAMpmC,EAAEi0C,KAAMj0C,EAAE+0C,OAAQ/0C,EAAEmhB,OAAQnhB,EAAEg1C,cAAc,SAAUl1C,GACrG,OAAOA,GAAOgmC,SAAShmC,EAAK,OAGhC4vC,GAAgBjpC,IA6DZwuC,CAAiBxuC,GACV1F,EAASqP,GAEhB3J,EAAO63B,GAAK,IAAIrG,KAAK7nB,GAErBusB,EAAMkY,wBAAwBpuC,GA7B9ByuC,CAAgBzuC,GAGfy3B,EAAQz3B,KACTA,EAAO63B,GAAK,MAGT73B,IA0BX,SAASu2B,GAAkB5sB,EAAOqa,EAAQqS,EAAQC,EAAQoY,GACtD,IAnEIvlB,EAmEA/hB,EAAI,GAoBR,OAlBe,IAAXivB,IAA8B,IAAXA,IACnBC,EAASD,EACTA,OAASn4B,IAGRhF,EAASyQ,IA5iFlB,SAAuBtQ,GACnB,GAAIT,OAAOmyB,oBACP,OAAmD,IAA3CnyB,OAAOmyB,oBAAoB1xB,GAAKI,OAExC,IAAIue,EACJ,IAAKA,KAAK3e,EACN,GAAIA,EAAIM,eAAeqe,GACnB,OAAO,EAGf,OAAO,EAkiFa22B,CAAchlC,IAC7B7Q,EAAQ6Q,IAA2B,IAAjBA,EAAMlQ,UAC7BkQ,OAAQzL,GAIZkJ,EAAEoxB,kBAAmB,EACrBpxB,EAAEkiC,QAAUliC,EAAEwxB,OAAS8V,EACvBtnC,EAAEsxB,GAAKrC,EACPjvB,EAAEkL,GAAK3I,EACPvC,EAAEqxB,GAAKzU,EACP5c,EAAE4wB,QAAU1B,GArFRnN,EAAM,IAAI6P,EAAO6P,GAAc+E,GAuFXxmC,MAtFhBmjC,WAEJphB,EAAIvlB,IAAI,EAAG,KACXulB,EAAIohB,cAAWrsC,GAGZirB,EAmFX,SAAS8gB,GAAatgC,EAAOqa,EAAQqS,EAAQC,GACzC,OAAOC,GAAiB5sB,EAAOqa,EAAQqS,EAAQC,GAAQ,GAxQ3DJ,EAAMkY,wBAA0BnU,EAC5B,kVAIA,SAAUj6B,GACNA,EAAO63B,GAAK,IAAIrG,KAAKxxB,EAAOsS,IAAMtS,EAAOspC,QAAU,OAAS,QAKpEpT,EAAMiX,SAAW,aAGjBjX,EAAMkX,SAAW,aA6PjB,IAAIwB,GAAe3U,EACf,sGACA,WACI,IAAIhW,EAAQgmB,GAAY5iC,MAAM,KAAMhM,WACpC,OAAIiG,KAAKm2B,WAAaxT,EAAMwT,UACjBxT,EAAQ3iB,KAAOA,KAAO2iB,EAEtBkU,OAKf0W,GAAe5U,EACf,sGACA,WACI,IAAIhW,EAAQgmB,GAAY5iC,MAAM,KAAMhM,WACpC,OAAIiG,KAAKm2B,WAAaxT,EAAMwT,UACjBxT,EAAQ3iB,KAAOA,KAAO2iB,EAEtBkU,OAUnB,SAAS2W,GAAOx1C,EAAIy1C,GAChB,IAAI5lB,EAAK5vB,EAIT,GAHuB,IAAnBw1C,EAAQt1C,QAAgBX,EAAQi2C,EAAQ,MACxCA,EAAUA,EAAQ,KAEjBA,EAAQt1C,OACT,OAAOwwC,KAGX,IADA9gB,EAAM4lB,EAAQ,GACTx1C,EAAI,EAAGA,EAAIw1C,EAAQt1C,SAAUF,EACzBw1C,EAAQx1C,GAAGk+B,YAAasX,EAAQx1C,GAAGD,GAAI6vB,KACxCA,EAAM4lB,EAAQx1C,IAGtB,OAAO4vB,EAgBX,IAII6lB,GAAW,CAAC,OAAQ,UAAW,QAAS,OAAQ,MAAO,OAAQ,SAAU,SAAU,eAgCvF,SAASC,GAAUC,GACf,IAAI9T,EAAkBH,EAAqBiU,GACvCC,EAAQ/T,EAAgB6D,MAAQ,EAChCmQ,EAAWhU,EAAgBiU,SAAW,EACtCpP,EAAS7E,EAAgBsE,OAAS,EAClC4P,EAAQlU,EAAgB2H,MAAQ3H,EAAgBmU,SAAW,EAC3DC,EAAOpU,EAAgBsJ,KAAO,EAC9BgB,EAAQtK,EAAgBoS,MAAQ,EAChC5H,EAAUxK,EAAgBkT,QAAU,EACpCvI,EAAU3K,EAAgB1gB,QAAU,EACpC+0B,EAAerU,EAAgBmT,aAAe,EAElDjtC,KAAKo2B,SA1CT,SAAyBpU,GACrB,IAAK,IAAI5pB,KAAO4pB,EACZ,IAAuC,IAAjCpjB,GAAQlH,KAAKg2C,GAAUt1C,IAA0B,MAAV4pB,EAAE5pB,IAAiBoM,MAAMwd,EAAE5pB,IACpE,OAAO,EAKf,IADA,IAAIg2C,GAAiB,EACZn2C,EAAI,EAAGA,EAAIy1C,GAASv1C,SAAUF,EACnC,GAAI+pB,EAAE0rB,GAASz1C,IAAK,CAChB,GAAIm2C,EACA,OAAO,EAEPC,WAAWrsB,EAAE0rB,GAASz1C,OAAS6/B,EAAM9V,EAAE0rB,GAASz1C,OAChDm2C,GAAiB,GAK7B,OAAO,EAuBSE,CAAgBxU,GAGhC95B,KAAKuuC,eAAiBJ,EACR,IAAV1J,EACU,IAAVH,EACQ,IAARF,EAAe,GAAK,GAGxBpkC,KAAKwuC,OAASN,EACF,EAARF,EAIJhuC,KAAKyuC,SAAW9P,EACD,EAAXmP,EACQ,GAARD,EAEJ7tC,KAAK0uC,MAAQ,GAEb1uC,KAAKw3B,QAAUyP,KAEfjnC,KAAK2uC,UAGT,SAASC,GAAY72C,GACjB,OAAOA,aAAe41C,GAG1B,SAASkB,GAAUtgB,GACf,OAAIA,EAAS,GACyB,EAA3Bz3B,KAAKg4C,OAAO,EAAIvgB,GAEhBz3B,KAAKg4C,MAAMvgB,GAM1B,SAASwgB,GAAQrpC,EAAOspC,GACpBpU,EAAel1B,EAAO,EAAG,GAAG,WACxB,IAAIqpC,EAAS/uC,KAAKivC,YACdC,EAAO,IAKX,OAJIH,EAAS,IACTA,GAAUA,EACVG,EAAO,KAEJA,EAAOhV,KAAY6U,EAAS,IAAK,GAAKC,EAAY9U,IAAW,EAAW,GAAI,MAI3F6U,GAAO,IAAK,KACZA,GAAO,KAAM,IAIbrS,GAAc,IAAMH,IACpBG,GAAc,KAAMH,IACpBc,GAAc,CAAC,IAAK,OAAO,SAAUh1B,EAAO6F,EAAOxP,GAC/CA,EAAOspC,SAAU,EACjBtpC,EAAO24B,KAAO8X,GAAiB5S,GAAkBl0B,MAQrD,IAAI+mC,GAAc,kBAElB,SAASD,GAAiBE,EAAS75B,GAC/B,IAAI85B,GAAW95B,GAAU,IAAItJ,MAAMmjC,GAEnC,GAAgB,OAAZC,EACA,OAAO,KAGX,IACI99B,IADU89B,EAAQA,EAAQn3C,OAAS,IAAM,IACtB,IAAI+T,MAAMkjC,KAAgB,CAAC,IAAK,EAAG,GACtD9K,EAAuB,GAAX9yB,EAAM,GAAWsmB,EAAMtmB,EAAM,IAE7C,OAAmB,IAAZ8yB,EACL,EACa,MAAb9yB,EAAM,GAAa8yB,GAAWA,EAIpC,SAASiL,GAAgBlnC,EAAOmnC,GAC5B,IAAI3nB,EAAK4nB,EACT,OAAID,EAAMlY,QACNzP,EAAM2nB,EAAME,QACZD,GAAQ7X,EAASvvB,IAAUpP,EAAOoP,GAASA,EAAME,UAAYogC,GAAYtgC,GAAOE,WAAasf,EAAItf,UAEjGsf,EAAI0O,GAAGoZ,QAAQ9nB,EAAI0O,GAAGhuB,UAAYknC,GAClC7a,EAAM+C,aAAa9P,GAAK,GACjBA,GAEA8gB,GAAYtgC,GAAOunC,QAIlC,SAASC,GAAe7tB,GAGpB,OAAoD,IAA5ClrB,KAAKg4C,MAAM9sB,EAAEuU,GAAGuZ,oBAAsB,IAqJlD,SAASC,KACL,QAAO/vC,KAAKm2B,WAAYn2B,KAAKs3B,QAA2B,IAAjBt3B,KAAKu3B,QA/IhD3C,EAAM+C,aAAe,aAmJrB,IAAIqY,GAAc,2DAKdC,GAAW,sKAEf,SAASC,GAAgB7nC,EAAOjQ,GAC5B,IAGI82C,EACAiB,EACAC,EAiFmBC,EAAM1tB,EACzBkF,EAvFA+lB,EAAWvlC,EAEX6D,EAAQ,KAuDZ,OAlDI0iC,GAAWvmC,GACXulC,EAAW,CACPhN,GAAKv4B,EAAMkmC,cACX7N,EAAKr4B,EAAMmmC,MACX7N,EAAKt4B,EAAMomC,SAERz1C,EAASqP,IAChBulC,EAAW,GACPx1C,EACAw1C,EAASx1C,GAAOiQ,EAEhBulC,EAASO,aAAe9lC,IAElB6D,EAAQ8jC,GAAYh6C,KAAKqS,KACnC6mC,EAAqB,MAAbhjC,EAAM,IAAe,EAAI,EACjC0hC,EAAW,CACP/P,EAAK,EACL6C,EAAK5I,EAAM5rB,EA7xEZ,IA6xEmDgjC,EAClDrsC,EAAKi1B,EAAM5rB,EA7xEZ,IA6xEmDgjC,EAClDltB,EAAK8V,EAAM5rB,EA7xEV,IA6xEiDgjC,EAClDxa,EAAKoD,EAAM5rB,EA7xEV,IA6xEiDgjC,EAClDtO,GAAK9I,EAAM+W,GAA8B,IAArB3iC,EA7xEd,KA6xE4CgjC,KAE5ChjC,EAAQ+jC,GAASj6C,KAAKqS,KAChC6mC,EAAqB,MAAbhjC,EAAM,IAAe,EAAI,EACjC0hC,EAAW,CACP/P,EAAIyS,GAASpkC,EAAM,GAAIgjC,GACvBvO,EAAI2P,GAASpkC,EAAM,GAAIgjC,GACvB5G,EAAIgI,GAASpkC,EAAM,GAAIgjC,GACvBxO,EAAI4P,GAASpkC,EAAM,GAAIgjC,GACvBrsC,EAAIytC,GAASpkC,EAAM,GAAIgjC,GACvBltB,EAAIsuB,GAASpkC,EAAM,GAAIgjC,GACvBxa,EAAI4b,GAASpkC,EAAM,GAAIgjC,KAER,MAAZtB,EACPA,EAAW,GACgB,iBAAbA,IAA0B,SAAUA,GAAY,OAAQA,KA2CnDyC,EA1CS1H,GAAYiF,EAASziB,MA0CxBxI,EA1C+BgmB,GAAYiF,EAAS3W,IAA7EmZ,EA4CEC,EAAKla,WAAaxT,EAAMwT,WAI9BxT,EAAQ4sB,GAAgB5sB,EAAO0tB,GAC3BA,EAAKE,SAAS5tB,GACdkF,EAAM2oB,GAA0BH,EAAM1tB,KAEtCkF,EAAM2oB,GAA0B7tB,EAAO0tB,IACnClC,cAAgBtmB,EAAIsmB,aACxBtmB,EAAI8W,QAAU9W,EAAI8W,QAGf9W,GAZI,CAACsmB,aAAc,EAAGxP,OAAQ,IA3CjCiP,EAAW,IACFhN,GAAKwP,EAAQjC,aACtBP,EAASjN,EAAIyP,EAAQzR,QAGzBwR,EAAM,IAAIxC,GAASC,GAEfgB,GAAWvmC,IAAUwsB,EAAWxsB,EAAO,aACvC8nC,EAAI3Y,QAAUnvB,EAAMmvB,SAGjB2Y,EAMX,SAASG,GAAUG,EAAKvB,GAIpB,IAAIrnB,EAAM4oB,GAAOpC,WAAWoC,EAAIl2C,QAAQ,IAAK,MAE7C,OAAQiK,MAAMqjB,GAAO,EAAIA,GAAOqnB,EAGpC,SAASsB,GAA0BH,EAAM1tB,GACrC,IAAIkF,EAAM,GAUV,OARAA,EAAI8W,OAAShc,EAAMyb,QAAUiS,EAAKjS,QACC,IAA9Bzb,EAAMgb,OAAS0S,EAAK1S,QACrB0S,EAAKX,QAAQptC,IAAIulB,EAAI8W,OAAQ,KAAK+R,QAAQ/tB,MACxCkF,EAAI8W,OAGV9W,EAAIsmB,cAAgBxrB,GAAU0tB,EAAKX,QAAQptC,IAAIulB,EAAI8W,OAAQ,KAEpD9W,EAsBX,SAAS8oB,GAAYC,EAAWh6C,GAC5B,OAAO,SAAUa,EAAKo5C,GAClB,IAASC,EAWT,OATe,OAAXD,GAAoBrsC,OAAOqsC,KAC3B9X,EAAgBniC,EAAM,YAAcA,EAAQ,uDAAyDA,EAA/E,kGAEtBk6C,EAAMr5C,EAAKA,EAAMo5C,EAAQA,EAASC,GAKtCC,GAAY/wC,KADNkwC,GADNz4C,EAAqB,iBAARA,GAAoBA,EAAMA,EACbo5C,GACHD,GAChB5wC,MAIf,SAAS+wC,GAAa5V,EAAKyS,EAAUoD,EAAUrZ,GAC3C,IAAIwW,EAAeP,EAASW,cACxBL,EAAOW,GAASjB,EAASY,OACzB7P,EAASkQ,GAASjB,EAASa,SAE1BtT,EAAIhF,YAKTwB,EAA+B,MAAhBA,GAA8BA,EAEzCgH,GACAe,GAASvE,EAAKvgC,GAAIugC,EAAK,SAAWwD,EAASqS,GAE3C9C,GACA/P,GAAMhD,EAAK,OAAQvgC,GAAIugC,EAAK,QAAU+S,EAAO8C,GAE7C7C,GACAhT,EAAI5E,GAAGoZ,QAAQxU,EAAI5E,GAAGhuB,UAAY4lC,EAAe6C,GAEjDrZ,GACA/C,EAAM+C,aAAawD,EAAK+S,GAAQvP,IApFxCuR,GAAel4C,GAAK21C,GAASp2C,UAC7B24C,GAAee,QAvVf,WACI,OAAOf,GAAepZ,MA6a1B,IAAIx0B,GAAWquC,GAAY,EAAG,OAC1BO,GAAWP,IAAa,EAAG,YA0H/B,SAASQ,GAAWj3C,EAAGC,GAEnB,IAAIi3C,EAA0C,IAAvBj3C,EAAEwjC,OAASzjC,EAAEyjC,SAAiBxjC,EAAEikC,QAAUlkC,EAAEkkC,SAE/DiT,EAASn3C,EAAEw1C,QAAQptC,IAAI8uC,EAAgB,UAc3C,QAASA,GAXLj3C,EAAIk3C,EAAS,GAGHl3C,EAAIk3C,IAAWA,EAFfn3C,EAAEw1C,QAAQptC,IAAI8uC,EAAiB,EAAG,YAMlCj3C,EAAIk3C,IAFJn3C,EAAEw1C,QAAQptC,IAAI8uC,EAAiB,EAAG,UAETC,MAIF,EA6FzC,SAAStc,GAAQ38B,GACb,IAAIk5C,EAEJ,YAAY10C,IAARxE,EACO4H,KAAKw3B,QAAQuP,OAGC,OADrBuK,EAAgBrK,GAAU7uC,MAEtB4H,KAAKw3B,QAAU8Z,GAEZtxC,MApGf40B,EAAM2c,cAAgB,uBACtB3c,EAAM4c,iBAAmB,yBAuGzB,IAAIC,GAAO9Y,EACP,mJACA,SAAUvgC,GACN,YAAYwE,IAARxE,EACO4H,KAAKg7B,aAELh7B,KAAK+0B,OAAO38B,MAK/B,SAAS4iC,KACL,OAAOh7B,KAAKw3B,QAShB,SAASka,GAAMC,EAAUC,GACrB,OAAQD,EAAWC,EAAUA,GAAWA,EAG5C,SAASC,GAAiBhU,EAAG7b,EAAG0e,GAE5B,OAAI7C,EAAI,KAAOA,GAAK,EAET,IAAI3N,KAAK2N,EAAI,IAAK7b,EAAG0e,GAXb,YAaR,IAAIxQ,KAAK2N,EAAG7b,EAAG0e,GAAGn4B,UAIjC,SAASupC,GAAejU,EAAG7b,EAAG0e,GAE1B,OAAI7C,EAAI,KAAOA,GAAK,EAET3N,KAAK8Q,IAAInD,EAAI,IAAK7b,EAAG0e,GArBb,YAuBRxQ,KAAK8Q,IAAInD,EAAG7b,EAAG0e,GAuK9B,SAASqR,GAAwBrsC,EAAOssC,GACpCpX,EAAe,EAAG,CAACl1B,EAAOA,EAAMvN,QAAS,EAAG65C,GA+DhD,SAASC,GAAqB5pC,EAAOo5B,EAAMC,EAASN,EAAKC,GACrD,IAAI6Q,EACJ,OAAa,MAAT7pC,EACOy5B,GAAW9hC,KAAMohC,EAAKC,GAAK1D,MAG9B8D,GADJyQ,EAAcjQ,GAAY55B,EAAO+4B,EAAKC,MAElCI,EAAOyQ,GAEJC,GAAWz6C,KAAKsI,KAAMqI,EAAOo5B,EAAMC,EAASN,EAAKC,IAIhE,SAAS8Q,GAAW5J,EAAU9G,EAAMC,EAASN,EAAKC,GAC9C,IAAI+Q,EAAgB5Q,GAAmB+G,EAAU9G,EAAMC,EAASN,EAAKC,GACjEhD,EAAO0C,GAAcqR,EAAczU,KAAM,EAAGyU,EAAcvQ,WAK9D,OAHA7hC,KAAK29B,KAAKU,EAAK4C,kBACfjhC,KAAKo+B,MAAMC,EAAK4J,eAChBjoC,KAAKq+B,KAAKA,EAAK6J,cACRloC,KA5FX46B,EAAe,EAAG,CAAC,KAAM,GAAI,GAAG,WAC5B,OAAO56B,KAAKuoC,WAAa,OAG7B3N,EAAe,EAAG,CAAC,KAAM,GAAI,GAAG,WAC5B,OAAO56B,KAAKqyC,cAAgB,OAOhCN,GAAuB,OAAY,YACnCA,GAAuB,QAAY,YACnCA,GAAuB,OAAS,eAChCA,GAAuB,QAAS,eAIhC1Y,EAAa,WAAY,MACzBA,EAAa,cAAe,MAI5BW,EAAgB,WAAY,GAC5BA,EAAgB,cAAe,GAK/B0C,GAAc,IAAUL,IACxBK,GAAc,IAAUL,IACxBK,GAAc,KAAUZ,EAAWJ,GACnCgB,GAAc,KAAUZ,EAAWJ,GACnCgB,GAAc,OAAUR,GAAWN,GACnCc,GAAc,OAAUR,GAAWN,GACnCc,GAAc,QAAUP,GAAWN,GACnCa,GAAc,QAAUP,GAAWN,GAEnCyB,GAAkB,CAAC,OAAQ,QAAS,OAAQ,UAAU,SAAUj1B,EAAOo5B,EAAM/iC,EAAQgH,GACjF+7B,EAAK/7B,EAAMypB,OAAO,EAAG,IAAM2I,EAAMzvB,MAGrCi1B,GAAkB,CAAC,KAAM,OAAO,SAAUj1B,EAAOo5B,EAAM/iC,EAAQgH,GAC3D+7B,EAAK/7B,GAASkvB,EAAMkJ,kBAAkBz1B,MAqD1CuyB,EAAe,IAAK,EAAG,KAAM,WAI7BvB,EAAa,UAAW,KAIxBW,EAAgB,UAAW,GAI3B0C,GAAc,IAAKjB,GACnB4B,GAAc,KAAK,SAAUh1B,EAAO6F,GAChCA,EAz8FQ,GAy8F4B,GAApB4pB,EAAMzvB,GAAS,MAWnCuyB,EAAe,IAAK,CAAC,KAAM,GAAI,KAAM,QAIrCvB,EAAa,OAAQ,KAGrBW,EAAgB,OAAQ,GAIxB0C,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAC/BgB,GAAc,MAAM,SAAUG,EAAU9H,GAEpC,OAAO8H,EACJ9H,EAAOud,yBAA2Bvd,EAAOwd,cAC1Cxd,EAAOyd,kCAGbnV,GAAc,CAAC,IAAK,MAv+FT,GAw+FXA,GAAc,MAAM,SAAUh1B,EAAO6F,GACjCA,EAz+FO,GAy+FO4pB,EAAMzvB,EAAM6D,MAAM4vB,GAAW,OAK/C,IAAI2W,GAAmBxU,GAAW,QAAQ,GAI1CrD,EAAe,MAAO,CAAC,OAAQ,GAAI,OAAQ,aAI3CvB,EAAa,YAAa,OAG1BW,EAAgB,YAAa,GAI7B0C,GAAc,MAAQT,IACtBS,GAAc,OAAQf,GACtB0B,GAAc,CAAC,MAAO,SAAS,SAAUh1B,EAAO6F,EAAOxP,GACnDA,EAAOqqC,WAAajR,EAAMzvB,MAc9BuyB,EAAe,IAAK,CAAC,KAAM,GAAI,EAAG,UAIlCvB,EAAa,SAAU,KAIvBW,EAAgB,SAAU,IAI1B0C,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAC/B2B,GAAc,CAAC,IAAK,MA1hGP,GA8hGb,IAAIqV,GAAezU,GAAW,WAAW,GAIzCrD,EAAe,IAAK,CAAC,KAAM,GAAI,EAAG,UAIlCvB,EAAa,SAAU,KAIvBW,EAAgB,SAAU,IAI1B0C,GAAc,IAAMZ,GACpBY,GAAc,KAAMZ,EAAWJ,GAC/B2B,GAAc,CAAC,IAAK,MA/iGP,GAmjGb,IA+CI33B,GA/CAitC,GAAe1U,GAAW,WAAW,GAgDzC,IA5CArD,EAAe,IAAK,EAAG,GAAG,WACtB,SAAU56B,KAAKitC,cAAgB,QAGnCrS,EAAe,EAAG,CAAC,KAAM,GAAI,GAAG,WAC5B,SAAU56B,KAAKitC,cAAgB,OAGnCrS,EAAe,EAAG,CAAC,MAAO,GAAI,EAAG,eACjCA,EAAe,EAAG,CAAC,OAAQ,GAAI,GAAG,WAC9B,OAA4B,GAArB56B,KAAKitC,iBAEhBrS,EAAe,EAAG,CAAC,QAAS,GAAI,GAAG,WAC/B,OAA4B,IAArB56B,KAAKitC,iBAEhBrS,EAAe,EAAG,CAAC,SAAU,GAAI,GAAG,WAChC,OAA4B,IAArB56B,KAAKitC,iBAEhBrS,EAAe,EAAG,CAAC,UAAW,GAAI,GAAG,WACjC,OAA4B,IAArB56B,KAAKitC,iBAEhBrS,EAAe,EAAG,CAAC,WAAY,GAAI,GAAG,WAClC,OAA4B,IAArB56B,KAAKitC,iBAEhBrS,EAAe,EAAG,CAAC,YAAa,GAAI,GAAG,WACnC,OAA4B,IAArB56B,KAAKitC,iBAMhB5T,EAAa,cAAe,MAI5BW,EAAgB,cAAe,IAI/B0C,GAAc,IAAQT,GAAWR,GACjCiB,GAAc,KAAQT,GAAWP,GACjCgB,GAAc,MAAQT,GAAWN,GAG5Bj2B,GAAQ,OAAQA,GAAMvN,QAAU,EAAGuN,IAAS,IAC7Cg3B,GAAch3B,GAAO02B,IAGzB,SAASwW,GAAQvqC,EAAO6F,GACpBA,EAvmGc,GAumGO4pB,EAAuB,KAAhB,KAAOzvB,IAGvC,IAAK3C,GAAQ,IAAKA,GAAMvN,QAAU,EAAGuN,IAAS,IAC1C23B,GAAc33B,GAAOktC,IAIzB,IAAIC,GAAoB5U,GAAW,gBAAgB,GAInDrD,EAAe,IAAM,EAAG,EAAG,YAC3BA,EAAe,KAAM,EAAG,EAAG,YAY3B,IAAI1vB,GAAQwsB,EAAOngC,UAsFnB,SAASu7C,GAAoBt9B,GACzB,OAAOA,EArFXtK,GAAM5I,IAAoBA,GAC1B4I,GAAMi6B,SAjuBN,SAAqB4N,EAAMC,GAGvB,IAAI3iB,EAAM0iB,GAAQpK,KACdsK,EAAM1D,GAAgBlf,EAAKrwB,MAAMkzC,QAAQ,OACzCxwB,EAASkS,EAAMue,eAAenzC,KAAMizC,IAAQ,WAE5C7X,EAAS4X,IAAYn7C,EAAWm7C,EAAQtwB,IAAWswB,EAAQtwB,GAAQhrB,KAAKsI,KAAMqwB,GAAO2iB,EAAQtwB,IAEjG,OAAO1iB,KAAK0iB,OAAO0Y,GAAUp7B,KAAKg7B,aAAamK,SAASziB,EAAQ1iB,KAAM2oC,GAAYtY,MAytBtFnlB,GAAMwkC,MAttBN,WACI,OAAO,IAAIhY,EAAO13B,OAstBtBkL,GAAMukC,KAvpBN,SAAepnC,EAAOqxB,EAAO0Z,GACzB,IAAIvtC,EACAwtC,EACAjY,EAEJ,IAAKp7B,KAAKm2B,UACN,OAAOW,IAKX,KAFAjxB,EAAO0pC,GAAgBlnC,EAAOrI,OAEpBm2B,UACN,OAAOW,IAOX,OAJAuc,EAAoD,KAAvCxtC,EAAKopC,YAAcjvC,KAAKivC,aAErCvV,EAAQD,EAAeC,IAGnB,IAAK,OAAQ0B,EAAS+V,GAAUnxC,KAAM6F,GAAQ,GAAI,MAClD,IAAK,QAASu1B,EAAS+V,GAAUnxC,KAAM6F,GAAO,MAC9C,IAAK,UAAWu1B,EAAS+V,GAAUnxC,KAAM6F,GAAQ,EAAG,MACpD,IAAK,SAAUu1B,GAAUp7B,KAAO6F,GAAQ,IAAK,MAC7C,IAAK,SAAUu1B,GAAUp7B,KAAO6F,GAAQ,IAAK,MAC7C,IAAK,OAAQu1B,GAAUp7B,KAAO6F,GAAQ,KAAM,MAC5C,IAAK,MAAOu1B,GAAUp7B,KAAO6F,EAAOwtC,GAAa,MAAO,MACxD,IAAK,OAAQjY,GAAUp7B,KAAO6F,EAAOwtC,GAAa,OAAQ,MAC1D,QAASjY,EAASp7B,KAAO6F,EAG7B,OAAOutC,EAAUhY,EAASvD,EAASuD,IAynBvClwB,GAAMooC,MA5ZN,SAAgB5Z,GACZ,IAAIqZ,EAEJ,QAAcn2C,KADd88B,EAAQD,EAAeC,KACc,gBAAVA,IAA4B15B,KAAKm2B,UACxD,OAAOn2B,KAGX,IAAIuzC,EAAcvzC,KAAKs3B,OAASwa,GAAiBD,GAEjD,OAAQnY,GACJ,IAAK,OACDqZ,EAAOQ,EAAYvzC,KAAK29B,OAAS,EAAG,EAAG,GAAK,EAC5C,MACJ,IAAK,UACDoV,EAAOQ,EAAYvzC,KAAK29B,OAAQ39B,KAAKo+B,QAAUp+B,KAAKo+B,QAAU,EAAI,EAAG,GAAK,EAC1E,MACJ,IAAK,QACD2U,EAAOQ,EAAYvzC,KAAK29B,OAAQ39B,KAAKo+B,QAAU,EAAG,GAAK,EACvD,MACJ,IAAK,OACD2U,EAAOQ,EAAYvzC,KAAK29B,OAAQ39B,KAAKo+B,QAASp+B,KAAKq+B,OAASr+B,KAAK0hC,UAAY,GAAK,EAClF,MACJ,IAAK,UACDqR,EAAOQ,EAAYvzC,KAAK29B,OAAQ39B,KAAKo+B,QAASp+B,KAAKq+B,QAAUr+B,KAAKwzC,aAAe,GAAK,GAAK,EAC3F,MACJ,IAAK,MACL,IAAK,OACDT,EAAOQ,EAAYvzC,KAAK29B,OAAQ39B,KAAKo+B,QAASp+B,KAAKq+B,OAAS,GAAK,EACjE,MACJ,IAAK,OACD0U,EAAO/yC,KAAKu2B,GAAGhuB,UACfwqC,GA3GM,KA2GgBrB,GAAMqB,GAAQ/yC,KAAKs3B,OAAS,EA5G1C,IA4G8Ct3B,KAAKivC,aA3GrD,MA2GkG,EACxG,MACJ,IAAK,SACD8D,EAAO/yC,KAAKu2B,GAAGhuB,UACfwqC,GAhHQ,IAgHgBrB,GAAMqB,EAhHtB,KAgH6C,EACrD,MACJ,IAAK,SACDA,EAAO/yC,KAAKu2B,GAAGhuB,UACfwqC,GArHQ,IAqHgBrB,GAAMqB,EArHtB,KAqH6C,EAM7D,OAFA/yC,KAAKu2B,GAAGoZ,QAAQoD,GAChBne,EAAM+C,aAAa33B,MAAM,GAClBA,MAgXXkL,GAAMwX,OA/iBN,SAAiB+wB,GACRA,IACDA,EAAczzC,KAAK+vC,QAAUnb,EAAM4c,iBAAmB5c,EAAM2c,eAEhE,IAAInW,EAASH,EAAaj7B,KAAMyzC,GAChC,OAAOzzC,KAAKg7B,aAAa0Y,WAAWtY,IA2iBxClwB,GAAMigB,KAxiBN,SAAe4nB,EAAMY,GACjB,OAAI3zC,KAAKm2B,YACCyB,EAASmb,IAASA,EAAK5c,WACxBwS,GAAYoK,GAAM5c,WAChB+Z,GAAe,CAACjZ,GAAIj3B,KAAMmrB,KAAM4nB,IAAOhe,OAAO/0B,KAAK+0B,UAAU6e,UAAUD,GAEvE3zC,KAAKg7B,aAAaM,eAmiBjCpwB,GAAM2oC,QA/hBN,SAAkBF,GACd,OAAO3zC,KAAKmrB,KAAKwd,KAAegL,IA+hBpCzoC,GAAM+rB,GA5hBN,SAAa8b,EAAMY,GACf,OAAI3zC,KAAKm2B,YACCyB,EAASmb,IAASA,EAAK5c,WACxBwS,GAAYoK,GAAM5c,WAChB+Z,GAAe,CAAC/kB,KAAMnrB,KAAMi3B,GAAI8b,IAAOhe,OAAO/0B,KAAK+0B,UAAU6e,UAAUD,GAEvE3zC,KAAKg7B,aAAaM,eAuhBjCpwB,GAAM4oC,MAnhBN,SAAgBH,GACZ,OAAO3zC,KAAKi3B,GAAG0R,KAAegL,IAmhBlCzoC,GAAMtQ,IAxiGN,SAAoB8+B,GAEhB,OAAI7hC,EAAWmI,KADf05B,EAAQD,EAAeC,KAEZ15B,KAAK05B,KAET15B,MAoiGXkL,GAAM6oC,UAxUN,WACI,OAAO5e,EAAgBn1B,MAAMw1B,UAwUjCtqB,GAAMwlC,QA5tBN,SAAkBroC,EAAOqxB,GACrB,IAAIsa,EAAapc,EAASvvB,GAASA,EAAQsgC,GAAYtgC,GACvD,SAAMrI,KAAKm2B,YAAa6d,EAAW7d,aAIrB,iBADduD,EAAQD,EAAeC,IAAU,eAEtB15B,KAAKuI,UAAYyrC,EAAWzrC,UAE5ByrC,EAAWzrC,UAAYvI,KAAK0vC,QAAQwD,QAAQxZ,GAAOnxB,YAotBlE2C,GAAMqlC,SAhtBN,SAAmBloC,EAAOqxB,GACtB,IAAIsa,EAAapc,EAASvvB,GAASA,EAAQsgC,GAAYtgC,GACvD,SAAMrI,KAAKm2B,YAAa6d,EAAW7d,aAIrB,iBADduD,EAAQD,EAAeC,IAAU,eAEtB15B,KAAKuI,UAAYyrC,EAAWzrC,UAE5BvI,KAAK0vC,QAAQ4D,MAAM5Z,GAAOnxB,UAAYyrC,EAAWzrC,YAwsBhE2C,GAAM+oC,UApsBN,SAAoB9oB,EAAM8L,EAAIyC,EAAOwa,GACjC,IAAIC,EAAYvc,EAASzM,GAAQA,EAAOwd,GAAYxd,GAChDipB,EAAUxc,EAASX,GAAMA,EAAK0R,GAAY1R,GAC9C,SAAMj3B,KAAKm2B,WAAage,EAAUhe,WAAaie,EAAQje,aAI5B,OAD3B+d,EAAcA,GAAe,MACT,GAAal0C,KAAK0wC,QAAQyD,EAAWza,IAAU15B,KAAKuwC,SAAS4D,EAAWza,MACpE,MAAnBwa,EAAY,GAAal0C,KAAKuwC,SAAS6D,EAAS1a,IAAU15B,KAAK0wC,QAAQ0D,EAAS1a,KA6rBzFxuB,GAAMmpC,OA1rBN,SAAiBhsC,EAAOqxB,GACpB,IACI4a,EADAN,EAAapc,EAASvvB,GAASA,EAAQsgC,GAAYtgC,GAEvD,SAAMrI,KAAKm2B,YAAa6d,EAAW7d,aAIrB,iBADduD,EAAQD,EAAeC,IAAU,eAEtB15B,KAAKuI,YAAcyrC,EAAWzrC,WAErC+rC,EAAUN,EAAWzrC,UACdvI,KAAK0vC,QAAQwD,QAAQxZ,GAAOnxB,WAAa+rC,GAAWA,GAAWt0C,KAAK0vC,QAAQ4D,MAAM5Z,GAAOnxB,aAgrBxG2C,GAAMqpC,cA5qBN,SAAwBlsC,EAAOqxB,GAC3B,OAAO15B,KAAKq0C,OAAOhsC,EAAOqxB,IAAU15B,KAAK0wC,QAAQroC,EAAOqxB,IA4qB5DxuB,GAAMspC,eAzqBN,SAAyBnsC,EAAOqxB,GAC5B,OAAO15B,KAAKq0C,OAAOhsC,EAAOqxB,IAAU15B,KAAKuwC,SAASloC,EAAOqxB,IAyqB7DxuB,GAAMirB,QAvVN,WACI,OAAOA,EAAQn2B,OAuVnBkL,GAAMumC,KAAoBA,GAC1BvmC,GAAM6pB,OAAoBA,GAC1B7pB,GAAM8vB,WAAoBA,GAC1B9vB,GAAM8b,IAAoBumB,GAC1BriC,GAAMlK,IAAoBssC,GAC1BpiC,GAAMupC,aAzVN,WACI,OAAOx6C,EAAO,GAAIk7B,EAAgBn1B,QAyVtCkL,GAAMhI,IA9iGN,SAAoBw2B,EAAOp+B,GACvB,GAAqB,iBAAVo+B,EAGP,IADA,IAAIgb,EApTZ,SAA6BC,GACzB,IAAIjb,EAAQ,GACZ,IAAK,IAAIkb,KAAKD,EACVjb,EAAMnrB,KAAK,CAAC+qB,KAAMsb,EAAG3a,SAAUF,EAAW6a,KAK9C,OAHAlb,EAAM0G,MAAK,SAAUlmC,EAAGC,GACpB,OAAOD,EAAE+/B,SAAW9/B,EAAE8/B,YAEnBP,EA4Semb,CADlBnb,EAAQC,EAAqBD,IAEpBzhC,EAAI,EAAGA,EAAIy8C,EAAYv8C,OAAQF,IACpC+H,KAAK00C,EAAYz8C,GAAGqhC,MAAMI,EAAMgb,EAAYz8C,GAAGqhC,YAInD,GAAIzhC,EAAWmI,KADf05B,EAAQD,EAAeC,KAEnB,OAAO15B,KAAK05B,GAAOp+B,GAG3B,OAAO0E,MAkiGXkL,GAAMgoC,QAleN,SAAkBxZ,GACd,IAAIqZ,EAEJ,QAAcn2C,KADd88B,EAAQD,EAAeC,KACc,gBAAVA,IAA4B15B,KAAKm2B,UACxD,OAAOn2B,KAGX,IAAIuzC,EAAcvzC,KAAKs3B,OAASwa,GAAiBD,GAEjD,OAAQnY,GACJ,IAAK,OACDqZ,EAAOQ,EAAYvzC,KAAK29B,OAAQ,EAAG,GACnC,MACJ,IAAK,UACDoV,EAAOQ,EAAYvzC,KAAK29B,OAAQ39B,KAAKo+B,QAAUp+B,KAAKo+B,QAAU,EAAG,GACjE,MACJ,IAAK,QACD2U,EAAOQ,EAAYvzC,KAAK29B,OAAQ39B,KAAKo+B,QAAS,GAC9C,MACJ,IAAK,OACD2U,EAAOQ,EAAYvzC,KAAK29B,OAAQ39B,KAAKo+B,QAASp+B,KAAKq+B,OAASr+B,KAAK0hC,WACjE,MACJ,IAAK,UACDqR,EAAOQ,EAAYvzC,KAAK29B,OAAQ39B,KAAKo+B,QAASp+B,KAAKq+B,QAAUr+B,KAAKwzC,aAAe,IACjF,MACJ,IAAK,MACL,IAAK,OACDT,EAAOQ,EAAYvzC,KAAK29B,OAAQ39B,KAAKo+B,QAASp+B,KAAKq+B,QACnD,MACJ,IAAK,OACD0U,EAAO/yC,KAAKu2B,GAAGhuB,UACfwqC,GAAQrB,GAAMqB,GAAQ/yC,KAAKs3B,OAAS,EA5D5B,IA4DgCt3B,KAAKivC,aA3DvC,MA4DN,MACJ,IAAK,SACD8D,EAAO/yC,KAAKu2B,GAAGhuB,UACfwqC,GAAQrB,GAAMqB,EAhEN,KAiER,MACJ,IAAK,SACDA,EAAO/yC,KAAKu2B,GAAGhuB,UACfwqC,GAAQrB,GAAMqB,EArEN,KA2EhB,OAFA/yC,KAAKu2B,GAAGoZ,QAAQoD,GAChBne,EAAM+C,aAAa33B,MAAM,GAClBA,MAsbXkL,GAAMgmC,SAAoBA,GAC1BhmC,GAAM4pC,QAxXN,WACI,IAAI9yB,EAAIhiB,KACR,MAAO,CAACgiB,EAAE2b,OAAQ3b,EAAEoc,QAASpc,EAAEqc,OAAQrc,EAAEkqB,OAAQlqB,EAAEgrB,SAAUhrB,EAAE5I,SAAU4I,EAAEirB,gBAuX/E/hC,GAAM6K,SApXN,WACI,IAAIiM,EAAIhiB,KACR,MAAO,CACH6tC,MAAO7rB,EAAE2b,OACTgB,OAAQ3c,EAAEoc,QACVC,KAAMrc,EAAEqc,OACR+F,MAAOpiB,EAAEoiB,QACTE,QAAStiB,EAAEsiB,UACXG,QAASziB,EAAEyiB,UACX0J,aAAcnsB,EAAEmsB,iBA4WxBjjC,GAAM6pC,OA9XN,WACI,OAAO,IAAI7kB,KAAKlwB,KAAKuI,YA8XzB2C,GAAM2P,YArnBN,SAAqBm6B,GACjB,IAAKh1C,KAAKm2B,UACN,OAAO,KAEX,IAAIjB,GAAqB,IAAf8f,EACNhzB,EAAIkT,EAAMl1B,KAAK0vC,QAAQxa,MAAQl1B,KACnC,OAAIgiB,EAAE2b,OAAS,GAAK3b,EAAE2b,OAAS,KACpB1C,EAAajZ,EAAGkT,EAAM,iCAAmC,gCAEhEr9B,EAAWq4B,KAAK34B,UAAUsjB,aAEtBqa,EACOl1B,KAAK+0C,SAASl6B,cAEd,IAAIqV,KAAKlwB,KAAKuI,UAA+B,GAAnBvI,KAAKivC,YAAmB,KAAMp0B,cAActgB,QAAQ,IAAK0gC,EAAajZ,EAAG,MAG3GiZ,EAAajZ,EAAGkT,EAAM,+BAAiC,+BAqmBlEhqB,GAAM+pC,QA5lBN,WACI,IAAKj1C,KAAKm2B,UACN,MAAO,qBAAuBn2B,KAAKgR,GAAK,OAE5C,IAAI+pB,EAAO,SACPma,EAAO,GACNl1C,KAAKm1C,YACNpa,EAA4B,IAArB/6B,KAAKivC,YAAoB,aAAe,mBAC/CiG,EAAO,KAEX,IAAIE,EAAS,IAAMra,EAAO,MACtB4C,EAAQ,GAAK39B,KAAK29B,QAAU39B,KAAK29B,QAAU,KAAQ,OAAS,SAE5D0X,EAASH,EAAO,OAEpB,OAAOl1C,KAAK0iB,OAAO0yB,EAASzX,EAHb,wBAG+B0X,IA8kBlDnqC,GAAMmjB,OA3WN,WAEI,OAAOruB,KAAKm2B,UAAYn2B,KAAK6a,cAAgB,MA0WjD3P,GAAM7T,SA5nBN,WACI,OAAO2I,KAAK0vC,QAAQ3a,OAAO,MAAMrS,OAAO,qCA4nB5CxX,GAAMoqC,KAvYN,WACI,OAAOx+C,KAAKyN,MAAMvE,KAAKuI,UAAY,MAuYvC2C,GAAM3C,QA5YN,WACI,OAAOvI,KAAKu2B,GAAGhuB,UAAmC,KAArBvI,KAAKu3B,SAAW,IA4YjDrsB,GAAMqqC,aA9VN,WACI,MAAO,CACHltC,MAAOrI,KAAKgR,GACZ0R,OAAQ1iB,KAAKm3B,GACbpC,OAAQ/0B,KAAKw3B,QACb4V,MAAOptC,KAAKs3B,OACZtC,OAAQh1B,KAAK02B,UAyVrBxrB,GAAMyyB,KAAaK,GACnB9yB,GAAM0yB,WAvmGN,WACI,OAAOA,GAAW59B,KAAK29B,SAumG3BzyB,GAAMq9B,SApSN,SAAyBlgC,GACrB,OAAO4pC,GAAqBv6C,KAAKsI,KACzBqI,EACArI,KAAKyhC,OACLzhC,KAAK0hC,UACL1hC,KAAKg7B,aAAa4N,MAAMxH,IACxBphC,KAAKg7B,aAAa4N,MAAMvH,MA+RpCn2B,GAAMmnC,YA5RN,SAA4BhqC,GACxB,OAAO4pC,GAAqBv6C,KAAKsI,KACzBqI,EAAOrI,KAAKiuC,UAAWjuC,KAAKwzC,aAAc,EAAG,IA2RzDtoC,GAAM6iC,QAAU7iC,GAAM4iC,SAnOtB,SAAwBzlC,GACpB,OAAgB,MAATA,EAAgBvR,KAAKwN,MAAMtE,KAAKo+B,QAAU,GAAK,GAAKp+B,KAAKo+B,MAAoB,GAAb/1B,EAAQ,GAASrI,KAAKo+B,QAAU,IAmO3GlzB,GAAMkzB,MAAcwB,GACpB10B,GAAMozB,YAz1FN,WACI,OAAOA,GAAYt+B,KAAK29B,OAAQ39B,KAAKo+B,UAy1FzClzB,GAAMu2B,KAAiBv2B,GAAM8iC,MAznF7B,SAAqB3lC,GACjB,IAAIo5B,EAAOzhC,KAAKg7B,aAAayG,KAAKzhC,MAClC,OAAgB,MAATqI,EAAgBo5B,EAAOzhC,KAAKsC,IAAqB,GAAhB+F,EAAQo5B,GAAW,MAwnF/Dv2B,GAAM+iC,QAAiB/iC,GAAMsqC,SArnF7B,SAAwBntC,GACpB,IAAIo5B,EAAOK,GAAW9hC,KAAM,EAAG,GAAGyhC,KAClC,OAAgB,MAATp5B,EAAgBo5B,EAAOzhC,KAAKsC,IAAqB,GAAhB+F,EAAQo5B,GAAW,MAonF/Dv2B,GAAM+2B,YAzRN,WACI,IAAIwT,EAAWz1C,KAAKg7B,aAAa4N,MACjC,OAAO3G,GAAYjiC,KAAK29B,OAAQ8X,EAASrU,IAAKqU,EAASpU,MAwR3Dn2B,GAAMwqC,eA9RN,WACI,OAAOzT,GAAYjiC,KAAK29B,OAAQ,EAAG,IA8RvCzyB,GAAMmzB,KAAaoU,GACnBvnC,GAAMk4B,IAAal4B,GAAMgjC,KA55EzB,SAA0B7lC,GACtB,IAAKrI,KAAKm2B,UACN,OAAgB,MAAT9tB,EAAgBrI,KAAO82B,IAElC,IAAIsM,EAAMpjC,KAAKs3B,OAASt3B,KAAKu2B,GAAGgL,YAAcvhC,KAAKu2B,GAAG2S,SACtD,OAAa,MAAT7gC,GACAA,EAjKR,SAAsBA,EAAO0sB,GACzB,MAAqB,iBAAV1sB,EACAA,EAGN7D,MAAM6D,GAKU,iBADrBA,EAAQ0sB,EAAO4N,cAAct6B,IAElBA,EAGJ,KARI01B,SAAS11B,EAAO,IA2JfstC,CAAattC,EAAOrI,KAAKg7B,cAC1Bh7B,KAAKsC,IAAI+F,EAAQ+6B,EAAK,MAEtBA,GAo5Efl4B,GAAMw2B,QAh5EN,SAAgCr5B,GAC5B,IAAKrI,KAAKm2B,UACN,OAAgB,MAAT9tB,EAAgBrI,KAAO82B,IAElC,IAAI4K,GAAW1hC,KAAKojC,MAAQ,EAAIpjC,KAAKg7B,aAAa4N,MAAMxH,KAAO,EAC/D,OAAgB,MAAT/4B,EAAgBq5B,EAAU1hC,KAAKsC,IAAI+F,EAAQq5B,EAAS,MA44E/Dx2B,GAAMsoC,WAz4EN,SAA6BnrC,GACzB,IAAKrI,KAAKm2B,UACN,OAAgB,MAAT9tB,EAAgBrI,KAAO82B,IAOlC,GAAa,MAATzuB,EAAe,CACf,IAAIq5B,EAzKZ,SAAyBr5B,EAAO0sB,GAC5B,MAAqB,iBAAV1sB,EACA0sB,EAAO4N,cAAct6B,GAAS,GAAK,EAEvC7D,MAAM6D,GAAS,KAAOA,EAqKXutC,CAAgBvtC,EAAOrI,KAAKg7B,cAC1C,OAAOh7B,KAAKojC,IAAIpjC,KAAKojC,MAAQ,EAAI1B,EAAUA,EAAU,GAErD,OAAO1hC,KAAKojC,OAAS,GA63E7Bl4B,GAAM22B,UApLN,SAA0Bx5B,GACtB,IAAIw5B,EAAY/qC,KAAKg4C,OAAO9uC,KAAK0vC,QAAQwD,QAAQ,OAASlzC,KAAK0vC,QAAQwD,QAAQ,SAAW,OAAS,EACnG,OAAgB,MAAT7qC,EAAgBw5B,EAAY7hC,KAAKsC,IAAK+F,EAAQw5B,EAAY,MAmLrE32B,GAAMghC,KAAOhhC,GAAMk5B,MAAQa,GAC3B/5B,GAAM8hC,OAAS9hC,GAAMo5B,QAAUoO,GAC/BxnC,GAAMkO,OAASlO,GAAMu5B,QAAUkO,GAC/BznC,GAAM+hC,YAAc/hC,GAAMijC,aAAe0E,GACzC3nC,GAAM+jC,UAxkCN,SAAuB5mC,EAAOwtC,EAAeC,GACzC,IACIC,EADAhH,EAAS/uC,KAAKu3B,SAAW,EAE7B,IAAKv3B,KAAKm2B,UACN,OAAgB,MAAT9tB,EAAgBrI,KAAO82B,IAElC,GAAa,MAATzuB,EAAe,CACf,GAAqB,iBAAVA,GAEP,GAAc,QADdA,EAAQ8mC,GAAiB5S,GAAkBl0B,IAEvC,OAAOrI,UAEJlJ,KAAKyhC,IAAIlwB,GAAS,KAAOytC,IAChCztC,GAAgB,IAmBpB,OAjBKrI,KAAKs3B,QAAUue,IAChBE,EAAclG,GAAc7vC,OAEhCA,KAAKu3B,QAAUlvB,EACfrI,KAAKs3B,QAAS,EACK,MAAfye,GACA/1C,KAAKsC,IAAIyzC,EAAa,KAEtBhH,IAAW1mC,KACNwtC,GAAiB71C,KAAKg2C,kBACvBjF,GAAY/wC,KAAMkwC,GAAe7nC,EAAQ0mC,EAAQ,KAAM,GAAG,GAClD/uC,KAAKg2C,oBACbh2C,KAAKg2C,mBAAoB,EACzBphB,EAAM+C,aAAa33B,MAAM,GACzBA,KAAKg2C,kBAAoB,OAG1Bh2C,KAEP,OAAOA,KAAKs3B,OAASyX,EAASc,GAAc7vC,OAuiCpDkL,GAAMgqB,IArhCN,SAAyB2gB,GACrB,OAAO71C,KAAKivC,UAAU,EAAG4G,IAqhC7B3qC,GAAM0kC,MAlhCN,SAA2BiG,GASvB,OARI71C,KAAKs3B,SACLt3B,KAAKivC,UAAU,EAAG4G,GAClB71C,KAAKs3B,QAAS,EAEVue,GACA71C,KAAKkxC,SAASrB,GAAc7vC,MAAO,MAGpCA,MA0gCXkL,GAAM+qC,UAvgCN,WACI,GAAiB,MAAbj2C,KAAKq3B,KACLr3B,KAAKivC,UAAUjvC,KAAKq3B,MAAM,GAAO,QAC9B,GAAuB,iBAAZr3B,KAAKgR,GAAiB,CACpC,IAAIklC,EAAQ/G,GAAiB7S,GAAat8B,KAAKgR,IAClC,MAATklC,EACAl2C,KAAKivC,UAAUiH,GAGfl2C,KAAKivC,UAAU,GAAG,GAG1B,OAAOjvC,MA4/BXkL,GAAMirC,qBAz/BN,SAA+B9tC,GAC3B,QAAKrI,KAAKm2B,YAGV9tB,EAAQA,EAAQsgC,GAAYtgC,GAAO4mC,YAAc,GAEzCjvC,KAAKivC,YAAc5mC,GAAS,IAAO,IAo/B/C6C,GAAMkrC,MAj/BN,WACI,OACIp2C,KAAKivC,YAAcjvC,KAAK0vC,QAAQtR,MAAM,GAAG6Q,aACzCjvC,KAAKivC,YAAcjvC,KAAK0vC,QAAQtR,MAAM,GAAG6Q,aA++BjD/jC,GAAMiqC,QAt9BN,WACI,QAAOn1C,KAAKm2B,YAAan2B,KAAKs3B,QAs9BlCpsB,GAAMmrC,YAn9BN,WACI,QAAOr2C,KAAKm2B,WAAYn2B,KAAKs3B,QAm9BjCpsB,GAAM6kC,MAAuBA,GAC7B7kC,GAAMkiC,MAAuB2C,GAC7B7kC,GAAMorC,SA9EN,WACI,OAAOt2C,KAAKs3B,OAAS,MAAQ,IA8EjCpsB,GAAMqrC,SA3EN,WACI,OAAOv2C,KAAKs3B,OAAS,6BAA+B,IA2ExDpsB,GAAMsrC,MAAS7d,EAAU,kDAAmD8Z,IAC5EvnC,GAAMyzB,OAAShG,EAAU,mDAAoDiH,IAC7E10B,GAAM2iC,MAASlV,EAAU,iDAAkDqF,IAC3E9yB,GAAMgqC,KAASvc,EAAU,4GAjjCzB,SAAqBtwB,EAAOwtC,GACxB,OAAa,MAATxtC,GACqB,iBAAVA,IACPA,GAASA,GAGbrI,KAAKivC,UAAU5mC,EAAOwtC,GAEf71C,OAECA,KAAKivC,eAwiCrB/jC,GAAMurC,aAAe9d,EAAU,2GAr/B/B,WACI,IAAKhhC,EAAYqI,KAAK02C,eAClB,OAAO12C,KAAK02C,cAGhB,IAAI5wC,EAAI,GAKR,GAHAkxB,EAAWlxB,EAAG9F,OACd8F,EAAIwmC,GAAcxmC,IAEZ23B,GAAI,CACN,IAAI9a,EAAQ7c,EAAEwxB,OAASxC,EAAUhvB,EAAE23B,IAAMkL,GAAY7iC,EAAE23B,IACvDz9B,KAAK02C,cAAgB12C,KAAKm2B,WACtB+B,EAAcpyB,EAAE23B,GAAI9a,EAAMmyB,WAAa,OAE3C90C,KAAK02C,eAAgB,EAGzB,OAAO12C,KAAK02C,iBAi/BhB,IAAIC,GAAUxd,EAAO5hC,UAiCrB,SAASq/C,GAAOl0B,EAAQjW,EAAOoqC,EAAOvzB,GAClC,IAAIyR,EAASkS,KACT/R,EAAMJ,IAAY5xB,IAAIogB,EAAQ7W,GAClC,OAAOsoB,EAAO8hB,GAAO3hB,EAAKxS,GAG9B,SAASo0B,GAAgBp0B,EAAQjW,EAAOoqC,GAQpC,GAPI79C,EAAS0pB,KACTjW,EAAQiW,EACRA,OAAS9lB,GAGb8lB,EAASA,GAAU,GAEN,MAATjW,EACA,OAAOmqC,GAAMl0B,EAAQjW,EAAOoqC,EAAO,SAGvC,IAAI5+C,EACA8+C,EAAM,GACV,IAAK9+C,EAAI,EAAGA,EAAI,GAAIA,IAChB8+C,EAAI9+C,GAAK2+C,GAAMl0B,EAAQzqB,EAAG4+C,EAAO,SAErC,OAAOE,EAWX,SAASC,GAAkBC,EAAcv0B,EAAQjW,EAAOoqC,GACxB,kBAAjBI,GACHj+C,EAAS0pB,KACTjW,EAAQiW,EACRA,OAAS9lB,GAGb8lB,EAASA,GAAU,KAGnBjW,EADAiW,EAASu0B,EAETA,GAAe,EAEXj+C,EAAS0pB,KACTjW,EAAQiW,EACRA,OAAS9lB,GAGb8lB,EAASA,GAAU,IAGvB,IAOIzqB,EAPA88B,EAASkS,KACTvZ,EAAQupB,EAAeliB,EAAO6T,MAAMxH,IAAM,EAE9C,GAAa,MAAT30B,EACA,OAAOmqC,GAAMl0B,GAASjW,EAAQihB,GAAS,EAAGmpB,EAAO,OAIrD,IAAIE,EAAM,GACV,IAAK9+C,EAAI,EAAGA,EAAI,EAAGA,IACf8+C,EAAI9+C,GAAK2+C,GAAMl0B,GAASzqB,EAAIy1B,GAAS,EAAGmpB,EAAO,OAEnD,OAAOE,EAlGXJ,GAAQxR,SAzgHR,SAAmB/sC,EAAK+iC,EAAK9K,GACzB,IAAI+K,EAASp7B,KAAKk3C,UAAU9+C,IAAQ4H,KAAKk3C,UAAoB,SAC7D,OAAOr/C,EAAWujC,GAAUA,EAAO1jC,KAAKyjC,EAAK9K,GAAO+K,GAwgHxDub,GAAQnb,eA5/GR,SAAyBpjC,GACrB,IAAIsqB,EAAS1iB,KAAKm3C,gBAAgB/+C,GAC9Bg/C,EAAcp3C,KAAKm3C,gBAAgB/+C,EAAI4kB,eAE3C,OAAI0F,IAAW00B,EACJ10B,GAGX1iB,KAAKm3C,gBAAgB/+C,GAAOg/C,EAAY78C,QAAQ,oBAAoB,SAAU9C,GAC1E,OAAOA,EAAIwL,MAAM,MAGdjD,KAAKm3C,gBAAgB/+C,KAi/GhCu+C,GAAQrb,YA5+GR,WACI,OAAOt7B,KAAKq3C,cA4+GhBV,GAAQ7b,QAt+GR,SAAkBvM,GACd,OAAOvuB,KAAKs3C,SAAS/8C,QAAQ,KAAMg0B,IAs+GvCooB,GAAQpK,SAAkBuG,GAC1B6D,GAAQjD,WAAkBZ,GAC1B6D,GAAQ3Q,aAp9GR,SAAuBzX,EAAQolB,EAAen+B,EAAQ+hC,GAClD,IAAInc,EAASp7B,KAAKw3C,cAAchiC,GAChC,OAAQ3d,EAAWujC,GACfA,EAAO7M,EAAQolB,EAAen+B,EAAQ+hC,GACtCnc,EAAO7gC,QAAQ,MAAOg0B,IAi9G9BooB,GAAQc,WA98GR,SAAqBhI,EAAMrU,GACvB,IAAI1Y,EAAS1iB,KAAKw3C,cAAc/H,EAAO,EAAI,SAAW,QACtD,OAAO53C,EAAW6qB,GAAUA,EAAO0Y,GAAU1Y,EAAOnoB,QAAQ,MAAO6gC,IA68GvEub,GAAQzzC,IA7lHR,SAAcxE,GACV,IAAI2gB,EAAMpnB,EACV,IAAKA,KAAKyG,EAEF7G,EADJwnB,EAAO3gB,EAAOzG,IAEV+H,KAAK/H,GAAKonB,EAEVrf,KAAK,IAAM/H,GAAKonB,EAGxBrf,KAAKonC,QAAU1oC,EAIfsB,KAAKwyC,+BAAiC,IAAI/mC,QACrCzL,KAAKsyC,wBAAwBr2C,QAAU+D,KAAKuyC,cAAct2C,QACvD,IAAM,UAAYA,SA+kH9B06C,GAAQhY,OAxhGR,SAAuB3c,EAAGU,GACtB,OAAKV,EAIExqB,EAAQwI,KAAKyuC,SAAWzuC,KAAKyuC,QAAQzsB,EAAEoc,SAC1Cp+B,KAAKyuC,SAASzuC,KAAKyuC,QAAQiJ,UAAY3Y,IAAkB5zB,KAAKuX,GAAU,SAAW,cAAcV,EAAEoc,SAJ5F5mC,EAAQwI,KAAKyuC,SAAWzuC,KAAKyuC,QAChCzuC,KAAKyuC,QAAoB,YAshGrCkI,GAAQjY,YA/gGR,SAA4B1c,EAAGU,GAC3B,OAAKV,EAIExqB,EAAQwI,KAAK23C,cAAgB33C,KAAK23C,aAAa31B,EAAEoc,SACpDp+B,KAAK23C,aAAa5Y,GAAiB5zB,KAAKuX,GAAU,SAAW,cAAcV,EAAEoc,SAJtE5mC,EAAQwI,KAAK23C,cAAgB33C,KAAK23C,aACrC33C,KAAK23C,aAAyB,YA6gG1ChB,GAAQ7X,YA99FR,SAA4BK,EAAWzc,EAAQsS,GAC3C,IAAI/8B,EAAGkjC,EAAKwB,EAEZ,GAAI38B,KAAK43C,kBACL,OAAO1Y,GAAkBxnC,KAAKsI,KAAMm/B,EAAWzc,EAAQsS,GAY3D,IATKh1B,KAAKu/B,eACNv/B,KAAKu/B,aAAe,GACpBv/B,KAAKw/B,iBAAmB,GACxBx/B,KAAKy/B,kBAAoB,IAMxBxnC,EAAI,EAAGA,EAAI,GAAIA,IAAK,CAYrB,GAVAkjC,EAAMrG,EAAU,CAAC,IAAM78B,IACnB+8B,IAAWh1B,KAAKw/B,iBAAiBvnC,KACjC+H,KAAKw/B,iBAAiBvnC,GAAK,IAAIwT,OAAO,IAAMzL,KAAK2+B,OAAOxD,EAAK,IAAI5gC,QAAQ,IAAK,IAAM,IAAK,KACzFyF,KAAKy/B,kBAAkBxnC,GAAK,IAAIwT,OAAO,IAAMzL,KAAK0+B,YAAYvD,EAAK,IAAI5gC,QAAQ,IAAK,IAAM,IAAK,MAE9Fy6B,GAAWh1B,KAAKu/B,aAAatnC,KAC9B0kC,EAAQ,IAAM38B,KAAK2+B,OAAOxD,EAAK,IAAM,KAAOn7B,KAAK0+B,YAAYvD,EAAK,IAClEn7B,KAAKu/B,aAAatnC,GAAK,IAAIwT,OAAOkxB,EAAMpiC,QAAQ,IAAK,IAAK,MAG1Dy6B,GAAqB,SAAXtS,GAAqB1iB,KAAKw/B,iBAAiBvnC,GAAGkT,KAAKg0B,GAC7D,OAAOlnC,EACJ,GAAI+8B,GAAqB,QAAXtS,GAAoB1iB,KAAKy/B,kBAAkBxnC,GAAGkT,KAAKg0B,GACpE,OAAOlnC,EACJ,IAAK+8B,GAAUh1B,KAAKu/B,aAAatnC,GAAGkT,KAAKg0B,GAC5C,OAAOlnC,IA87FnB0+C,GAAQ9X,YA33FR,SAAsBhC,GAClB,OAAI78B,KAAK43C,mBACA/iB,EAAW70B,KAAM,iBAClB+/B,GAAmBroC,KAAKsI,MAExB68B,EACO78B,KAAKugC,mBAELvgC,KAAKqgC,eAGXxL,EAAW70B,KAAM,kBAClBA,KAAKqgC,aAAeP,IAEjB9/B,KAAKugC,oBAAsB1D,EAC9B78B,KAAKugC,mBAAqBvgC,KAAKqgC,eA62F3CsW,GAAQ/X,iBAh5FR,SAA2B/B,GACvB,OAAI78B,KAAK43C,mBACA/iB,EAAW70B,KAAM,iBAClB+/B,GAAmBroC,KAAKsI,MAExB68B,EACO78B,KAAKwgC,wBAELxgC,KAAKsgC,oBAGXzL,EAAW70B,KAAM,uBAClBA,KAAKsgC,kBAAoBT,IAEtB7/B,KAAKwgC,yBAA2B3D,EACnC78B,KAAKwgC,wBAA0BxgC,KAAKsgC,oBAk4FhDqW,GAAQlV,KAxsFR,SAAqBtG,GACjB,OAAO2G,GAAW3G,EAAKn7B,KAAK4oC,MAAMxH,IAAKphC,KAAK4oC,MAAMvH,KAAKI,MAwsF3DkV,GAAQkB,eA5rFR,WACI,OAAO73C,KAAK4oC,MAAMvH,KA4rFtBsV,GAAQmB,eAjsFR,WACI,OAAO93C,KAAK4oC,MAAMxH,KAksFtBuV,GAAQpU,SAplFR,SAAyBvgB,EAAGU,GACxB,IAAI6f,EAAW/qC,EAAQwI,KAAK+3C,WAAa/3C,KAAK+3C,UAC1C/3C,KAAK+3C,UAAW/1B,IAAW,IAANA,GAAchiB,KAAK+3C,UAAUL,SAASvsC,KAAKuX,GAAW,SAAW,cAC1F,OAAc,IAANV,EAAcmgB,GAAcI,EAAUviC,KAAK4oC,MAAMxH,KACnD,EAAMmB,EAASvgB,EAAEohB,OAASb,GAilFpCoU,GAAQtU,YAvkFR,SAA4BrgB,GACxB,OAAc,IAANA,EAAcmgB,GAAcniC,KAAKg4C,aAAch4C,KAAK4oC,MAAMxH,KAC5D,EAAMphC,KAAKg4C,aAAah2B,EAAEohB,OAASpjC,KAAKg4C,cAskFlDrB,GAAQrU,cA9kFR,SAA8BtgB,GAC1B,OAAc,IAANA,EAAcmgB,GAAcniC,KAAKi4C,eAAgBj4C,KAAK4oC,MAAMxH,KAC9D,EAAMphC,KAAKi4C,eAAej2B,EAAEohB,OAASpjC,KAAKi4C,gBA6kFpDtB,GAAQhU,cApgFR,SAA8BK,EAAatgB,EAAQsS,GAC/C,IAAI/8B,EAAGkjC,EAAKwB,EAEZ,GAAI38B,KAAKk4C,oBACL,OAAOnV,GAAoBrrC,KAAKsI,KAAMgjC,EAAatgB,EAAQsS,GAU/D,IAPKh1B,KAAKijC,iBACNjjC,KAAKijC,eAAiB,GACtBjjC,KAAKmjC,kBAAoB,GACzBnjC,KAAKkjC,oBAAsB,GAC3BljC,KAAKm4C,mBAAqB,IAGzBlgD,EAAI,EAAGA,EAAI,EAAGA,IAAK,CAcpB,GAXAkjC,EAAMrG,EAAU,CAAC,IAAM,IAAIsO,IAAInrC,GAC3B+8B,IAAWh1B,KAAKm4C,mBAAmBlgD,KACnC+H,KAAKm4C,mBAAmBlgD,GAAK,IAAIwT,OAAO,IAAMzL,KAAKuiC,SAASpH,EAAK,IAAI5gC,QAAQ,IAAK,QAAU,IAAK,KACjGyF,KAAKkjC,oBAAoBjrC,GAAK,IAAIwT,OAAO,IAAMzL,KAAKsiC,cAAcnH,EAAK,IAAI5gC,QAAQ,IAAK,QAAU,IAAK,KACvGyF,KAAKmjC,kBAAkBlrC,GAAK,IAAIwT,OAAO,IAAMzL,KAAKqiC,YAAYlH,EAAK,IAAI5gC,QAAQ,IAAK,QAAU,IAAK,MAElGyF,KAAKijC,eAAehrC,KACrB0kC,EAAQ,IAAM38B,KAAKuiC,SAASpH,EAAK,IAAM,KAAOn7B,KAAKsiC,cAAcnH,EAAK,IAAM,KAAOn7B,KAAKqiC,YAAYlH,EAAK,IACzGn7B,KAAKijC,eAAehrC,GAAK,IAAIwT,OAAOkxB,EAAMpiC,QAAQ,IAAK,IAAK,MAG5Dy6B,GAAqB,SAAXtS,GAAqB1iB,KAAKm4C,mBAAmBlgD,GAAGkT,KAAK63B,GAC/D,OAAO/qC,EACJ,GAAI+8B,GAAqB,QAAXtS,GAAoB1iB,KAAKkjC,oBAAoBjrC,GAAGkT,KAAK63B,GACtE,OAAO/qC,EACJ,GAAI+8B,GAAqB,OAAXtS,GAAmB1iB,KAAKmjC,kBAAkBlrC,GAAGkT,KAAK63B,GACnE,OAAO/qC,EACJ,IAAK+8B,GAAUh1B,KAAKijC,eAAehrC,GAAGkT,KAAK63B,GAC9C,OAAO/qC,IAm+EnB0+C,GAAQjU,cAr7ER,SAAwB7F,GACpB,OAAI78B,KAAKk4C,qBACArjB,EAAW70B,KAAM,mBAClBwjC,GAAqB9rC,KAAKsI,MAE1B68B,EACO78B,KAAKgkC,qBAELhkC,KAAK6jC,iBAGXhP,EAAW70B,KAAM,oBAClBA,KAAK6jC,eAAiBR,IAEnBrjC,KAAKgkC,sBAAwBnH,EAChC78B,KAAKgkC,qBAAuBhkC,KAAK6jC,iBAu6E7C8S,GAAQlU,mBAl6ER,SAA6B5F,GACzB,OAAI78B,KAAKk4C,qBACArjB,EAAW70B,KAAM,mBAClBwjC,GAAqB9rC,KAAKsI,MAE1B68B,EACO78B,KAAKikC,0BAELjkC,KAAK8jC,sBAGXjP,EAAW70B,KAAM,yBAClBA,KAAK8jC,oBAAsBR,IAExBtjC,KAAKikC,2BAA6BpH,EACrC78B,KAAKikC,0BAA4BjkC,KAAK8jC,sBAo5ElD6S,GAAQnU,iBA/4ER,SAA2B3F,GACvB,OAAI78B,KAAKk4C,qBACArjB,EAAW70B,KAAM,mBAClBwjC,GAAqB9rC,KAAKsI,MAE1B68B,EACO78B,KAAKkkC,wBAELlkC,KAAK+jC,oBAGXlP,EAAW70B,KAAM,uBAClBA,KAAK+jC,kBAAoBR,IAEtBvjC,KAAKkkC,yBAA2BrH,EACnC78B,KAAKkkC,wBAA0BlkC,KAAK+jC,oBAk4EhD4S,GAAQ/R,KAtuER,SAAqBv8B,GAGjB,MAAiD,OAAxCA,EAAQ,IAAI8J,cAAc3T,OAAO,IAouE9Cm4C,GAAQ3gB,SAhuER,SAAyBoO,EAAOE,EAAS8T,GACrC,OAAIhU,EAAQ,GACDgU,EAAU,KAAO,KAEjBA,EAAU,KAAO,MAwzEhCpR,GAAmB,KAAM,CACrBjB,uBAAwB,uBACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJoC,IAA7BuJ,EAAMvJ,EAAS,IAAM,IAAa,KACrC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,SAO/By6B,EAAM6c,KAAO9Y,EAAU,wDAAyDqO,IAChFpS,EAAMyjB,SAAW1f,EAAU,gEAAiEsO,IAE5F,IAAIqR,GAAUxhD,KAAKyhC,IAmBnB,SAASggB,GAAe3K,EAAUvlC,EAAO/M,EAAOs1C,GAC5C,IAAIjuB,EAAQutB,GAAe7nC,EAAO/M,GAMlC,OAJAsyC,EAASW,eAAiBqC,EAAYjuB,EAAM4rB,cAC5CX,EAASY,OAAiBoC,EAAYjuB,EAAM6rB,MAC5CZ,EAASa,SAAiBmC,EAAYjuB,EAAM8rB,QAErCb,EAASe,UAapB,SAAS6J,GAASjqB,GACd,OAAIA,EAAS,EACFz3B,KAAKyN,MAAMgqB,GAEXz3B,KAAKwN,KAAKiqB,GAmDzB,SAASkqB,GAAcvK,GAGnB,OAAc,KAAPA,EAAc,OAGzB,SAASwK,GAAc/Z,GAEnB,OAAgB,OAATA,EAAkB,KAkD7B,SAASga,GAAQC,GACb,OAAO,WACH,OAAO54C,KAAK64C,GAAGD,IAIvB,IAAIE,GAAiBH,GAAO,MACxBI,GAAiBJ,GAAO,KACxBK,GAAiBL,GAAO,KACxBM,GAAiBN,GAAO,KACxBO,GAAiBP,GAAO,KACxBQ,GAAiBR,GAAO,KACxBS,GAAiBT,GAAO,KACxBU,GAAiBV,GAAO,KACxBW,GAAiBX,GAAO,KAW5B,SAASY,GAAW3iD,GAChB,OAAO,WACH,OAAOoJ,KAAKm2B,UAAYn2B,KAAK0uC,MAAM93C,GAAQkgC,KAInD,IAAIqX,GAAeoL,GAAW,gBAC1B9U,GAAe8U,GAAW,WAC1BjV,GAAeiV,GAAW,WAC1BnV,GAAemV,GAAW,SAC1BrL,GAAeqL,GAAW,QAC1B5a,GAAe4a,GAAW,UAC1B1L,GAAe0L,GAAW,SAM1BzK,GAAQh4C,KAAKg4C,MACb0K,GAAa,CACbrT,GAAI,GACJzR,EAAI,GACJ1S,EAAI,GACJnf,EAAI,GACJ69B,EAAI,GACJC,EAAI,IAIR,SAAS8Y,GAAkBjkC,EAAQ+Y,EAAQolB,EAAe4D,EAAUxiB,GAChE,OAAOA,EAAOiR,aAAazX,GAAU,IAAKolB,EAAen+B,EAAQ+hC,GAwErE,IAAImC,GAAQ5iD,KAAKyhC,IAEjB,SAAS2W,GAAK3Q,GACV,OAASA,EAAI,IAAMA,EAAI,KAAQA,EAGnC,SAASob,KAQL,IAAK35C,KAAKm2B,UACN,OAAOn2B,KAAKg7B,aAAaM,cAG7B,IAGIgJ,EAASF,EAHTK,EAAUiV,GAAM15C,KAAKuuC,eAAiB,IACtCL,EAAewL,GAAM15C,KAAKwuC,OAC1B7P,EAAe+a,GAAM15C,KAAKyuC,SAI9BnK,EAAoBzM,EAAS4M,EAAU,IACvCL,EAAoBvM,EAASyM,EAAU,IACvCG,GAAW,GACXH,GAAW,GAQX,IAAIsV,EALK/hB,EAAS8G,EAAS,IAMvBgC,EALJhC,GAAU,GAMNkb,EAAI3L,EACJrrC,EAAIuhC,EACJpiB,EAAIsiB,EACJ5P,EAAI+P,EAAUA,EAAQqV,QAAQ,GAAGv/C,QAAQ,SAAU,IAAM,GACzDw/C,EAAQ/5C,KAAK+4C,YAEjB,IAAKgB,EAGD,MAAO,MAGX,IAAIC,EAAYD,EAAQ,EAAI,IAAM,GAC9BE,EAAS/K,GAAKlvC,KAAKyuC,WAAaS,GAAK6K,GAAS,IAAM,GACpDG,EAAWhL,GAAKlvC,KAAKwuC,SAAWU,GAAK6K,GAAS,IAAM,GACpDI,EAAUjL,GAAKlvC,KAAKuuC,iBAAmBW,GAAK6K,GAAS,IAAM,GAE/D,OAAOC,EAAY,KACdJ,EAAIK,EAASL,EAAI,IAAM,KACvBjZ,EAAIsZ,EAAStZ,EAAI,IAAM,KACvBkZ,EAAIK,EAAWL,EAAI,IAAM,KACxBh3C,GAAKmf,GAAK0S,EAAK,IAAM,KACtB7xB,EAAIs3C,EAAUt3C,EAAI,IAAM,KACxBmf,EAAIm4B,EAAUn4B,EAAI,IAAM,KACxB0S,EAAIylB,EAAUzlB,EAAI,IAAM,IAGjC,IAAI0lB,GAAUzM,GAASp2C,UAwGvB,OAtGA6iD,GAAQjkB,QA5tDR,WACI,OAAOn2B,KAAKo2B,UA4tDhBgkB,GAAQ7hB,IAxVR,WACI,IAAIhoB,EAAiBvQ,KAAK0uC,MAa1B,OAXA1uC,KAAKuuC,cAAgB+J,GAAQt4C,KAAKuuC,eAClCvuC,KAAKwuC,MAAgB8J,GAAQt4C,KAAKwuC,OAClCxuC,KAAKyuC,QAAgB6J,GAAQt4C,KAAKyuC,SAElCl+B,EAAK49B,aAAgBmK,GAAQ/nC,EAAK49B,cAClC59B,EAAKk0B,QAAgB6T,GAAQ/nC,EAAKk0B,SAClCl0B,EAAK+zB,QAAgBgU,GAAQ/nC,EAAK+zB,SAClC/zB,EAAK6zB,MAAgBkU,GAAQ/nC,EAAK6zB,OAClC7zB,EAAKouB,OAAgB2Z,GAAQ/nC,EAAKouB,QAClCpuB,EAAKs9B,MAAgByK,GAAQ/nC,EAAKs9B,OAE3B7tC,MA2UXo6C,GAAQ93C,IA7TR,SAAgB+F,EAAO/M,GACnB,OAAOi9C,GAAcv4C,KAAMqI,EAAO/M,EAAO,IA6T7C8+C,GAAQlJ,SAzTR,SAAqB7oC,EAAO/M,GACxB,OAAOi9C,GAAcv4C,KAAMqI,EAAO/M,GAAQ,IAyT9C8+C,GAAQvB,GApPR,SAAanf,GACT,IAAK15B,KAAKm2B,UACN,OAAOW,IAEX,IAAIoX,EACAvP,EACAwP,EAAenuC,KAAKuuC,cAIxB,GAAc,WAFd7U,EAAQD,EAAeC,KAEY,YAAVA,GAAiC,SAAVA,EAG5C,OAFAwU,EAAOluC,KAAKwuC,MAAQL,EAAe,MACnCxP,EAAS3+B,KAAKyuC,QAAUgK,GAAavK,GAC7BxU,GACJ,IAAK,QAAW,OAAOiF,EACvB,IAAK,UAAW,OAAOA,EAAS,EAChC,IAAK,OAAW,OAAOA,EAAS,QAKpC,OADAuP,EAAOluC,KAAKwuC,MAAQ13C,KAAKg4C,MAAM4J,GAAa14C,KAAKyuC,UACzC/U,GACJ,IAAK,OAAW,OAAOwU,EAAO,EAAQC,EAAe,OACrD,IAAK,MAAW,OAAOD,EAAeC,EAAe,MACrD,IAAK,OAAW,OAAc,GAAPD,EAAeC,EAAe,KACrD,IAAK,SAAW,OAAc,KAAPD,EAAeC,EAAe,IACrD,IAAK,SAAW,OAAc,MAAPD,EAAeC,EAAe,IAErD,IAAK,cAAe,OAAOr3C,KAAKyN,MAAa,MAAP2pC,GAAgBC,EACtD,QAAS,MAAM,IAAInhC,MAAM,gBAAkB0sB,KAwNvD0gB,GAAQtB,eAAiBA,GACzBsB,GAAQrB,UAAiBA,GACzBqB,GAAQpB,UAAiBA,GACzBoB,GAAQnB,QAAiBA,GACzBmB,GAAQlB,OAAiBA,GACzBkB,GAAQjB,QAAiBA,GACzBiB,GAAQhB,SAAiBA,GACzBgB,GAAQf,WAAiBA,GACzBe,GAAQd,QAAiBA,GACzBc,GAAQ7xC,QA3NR,WACI,OAAKvI,KAAKm2B,UAINn2B,KAAKuuC,cACQ,MAAbvuC,KAAKwuC,MACJxuC,KAAKyuC,QAAU,GAAM,OACK,QAA3B3W,EAAM93B,KAAKyuC,QAAU,IANd3X,KA0NfsjB,GAAQzL,QAzTR,WACI,IAIIlK,EAASH,EAASF,EAAOyJ,EAAOwM,EAJhClM,EAAenuC,KAAKuuC,cACpBL,EAAeluC,KAAKwuC,MACpB7P,EAAe3+B,KAAKyuC,QACpBl+B,EAAevQ,KAAK0uC,MAwCxB,OAnCOP,GAAgB,GAAKD,GAAQ,GAAKvP,GAAU,GAC1CwP,GAAgB,GAAKD,GAAQ,GAAKvP,GAAU,IACjDwP,GAAuD,MAAvCqK,GAAQE,GAAa/Z,GAAUuP,GAC/CA,EAAO,EACPvP,EAAS,GAKbpuB,EAAK49B,aAAeA,EAAe,IAEnC1J,EAAoB5M,EAASsW,EAAe,KAC5C59B,EAAKk0B,QAAeA,EAAU,GAE9BH,EAAoBzM,EAAS4M,EAAU,IACvCl0B,EAAK+zB,QAAeA,EAAU,GAE9BF,EAAoBvM,EAASyM,EAAU,IACvC/zB,EAAK6zB,MAAeA,EAAQ,GAE5B8J,GAAQrW,EAASuM,EAAQ,IAGzBiW,EAAiBxiB,EAAS4gB,GAAavK,IACvCvP,GAAU0b,EACVnM,GAAQsK,GAAQE,GAAa2B,IAG7BxM,EAAQhW,EAAS8G,EAAS,IAC1BA,GAAU,GAEVpuB,EAAK29B,KAASA,EACd39B,EAAKouB,OAASA,EACdpuB,EAAKs9B,MAASA,EAEP7tC,MA8QXo6C,GAAQ1K,MAjMR,WACI,OAAOQ,GAAelwC,OAiM1Bo6C,GAAQx/C,IA9LR,SAAgB8+B,GAEZ,OADAA,EAAQD,EAAeC,GAChB15B,KAAKm2B,UAAYn2B,KAAK05B,EAAQ,OAAS5C,KA6LlDsjB,GAAQjM,aAAiBA,GACzBiM,GAAQ3V,QAAiBA,GACzB2V,GAAQ9V,QAAiBA,GACzB8V,GAAQhW,MAAiBA,GACzBgW,GAAQlM,KAAiBA,GACzBkM,GAAQpM,MAjLR,WACI,OAAOnW,EAAS73B,KAAKkuC,OAAS,IAiLlCkM,GAAQzb,OAAiBA,GACzByb,GAAQvM,MAAiBA,GACzBuM,GAAQxG,SA3GR,SAAmB0G,GACf,IAAKt6C,KAAKm2B,UACN,OAAOn2B,KAAKg7B,aAAaM,cAG7B,IAAIvG,EAAS/0B,KAAKg7B,aACdI,EA5DR,SAAyBmf,EAAgB5G,EAAe5e,GACpD,IAAI6Y,EAAWsC,GAAeqK,GAAgBhiB,MAC1CkM,EAAWqK,GAAMlB,EAASiL,GAAG,MAC7BvU,EAAWwK,GAAMlB,EAASiL,GAAG,MAC7BzU,EAAW0K,GAAMlB,EAASiL,GAAG,MAC7B3K,EAAWY,GAAMlB,EAASiL,GAAG,MAC7Bla,EAAWmQ,GAAMlB,EAASiL,GAAG,MAC7BhL,EAAWiB,GAAMlB,EAASiL,GAAG,MAE7B3+C,EAAIuqC,GAAW+U,GAAWrT,IAAM,CAAC,IAAK1B,IAClCA,EAAU+U,GAAW9kB,GAAO,CAAC,KAAM+P,IACnCH,GAAW,GAAiB,CAAC,MAC7BA,EAAUkV,GAAWx3B,GAAO,CAAC,KAAMsiB,IACnCF,GAAW,GAAiB,CAAC,MAC7BA,EAAUoV,GAAW32C,GAAO,CAAC,KAAMuhC,IACnC8J,GAAW,GAAiB,CAAC,MAC7BA,EAAUsL,GAAW9Y,GAAO,CAAC,KAAMwN,IACnCvP,GAAW,GAAiB,CAAC,MAC7BA,EAAU6a,GAAW7Y,GAAO,CAAC,KAAMhC,IACnCkP,GAAW,GAAiB,CAAC,MAAkB,CAAC,KAAMA,GAK9D,OAHA3zC,EAAE,GAAKy5C,EACPz5C,EAAE,IAAMqgD,EAAiB,EACzBrgD,EAAE,GAAK66B,EACA0kB,GAAkB1zC,MAAM,KAAM7L,GAoCxBsgD,CAAex6C,MAAOs6C,EAAYvlB,GAM/C,OAJIulB,IACAlf,EAASrG,EAAO0iB,YAAYz3C,KAAMo7B,IAG/BrG,EAAO2e,WAAWtY,IAgG7Bgf,GAAQv/B,YAAiB8+B,GACzBS,GAAQ/iD,SAAiBsiD,GACzBS,GAAQ/rB,OAAiBsrB,GACzBS,GAAQrlB,OAAiBA,GACzBqlB,GAAQpf,WAAiBA,GAEzBof,GAAQK,YAAc9hB,EAAU,sFAAuFghB,IACvHS,GAAQ3I,KAAOA,GAMf7W,EAAe,IAAK,EAAG,EAAG,QAC1BA,EAAe,IAAK,EAAG,EAAG,WAI1B8B,GAAc,IAAKL,IACnBK,GAAc,IAlzHO,wBAmzHrBW,GAAc,KAAK,SAAUh1B,EAAO6F,EAAOxP,GACvCA,EAAO63B,GAAK,IAAIrG,KAA6B,IAAxBme,WAAWhmC,EAAO,QAE3Cg1B,GAAc,KAAK,SAAUh1B,EAAO6F,EAAOxP,GACvCA,EAAO63B,GAAK,IAAIrG,KAAK4H,EAAMzvB,OAM/BusB,EAAMh3B,QAAU,SAz7IZ+2B,EA27IYgU,GAEhB/T,EAAM58B,GAAwBkT,GAC9B0pB,EAAM5zB,IAl0DN,WACI,IAAIqN,EAAO,GAAGpL,MAAMvL,KAAKqC,UAAW,GAEpC,OAAOyzC,GAAO,WAAYn/B,IAg0D9BumB,EAAM5N,IA7zDN,WACI,IAAI3Y,EAAO,GAAGpL,MAAMvL,KAAKqC,UAAW,GAEpC,OAAOyzC,GAAO,UAAWn/B,IA2zD7BumB,EAAMvE,IAxzDI,WACN,OAAOH,KAAKG,IAAMH,KAAKG,OAAS,IAAKH,MAwzDzC0E,EAAMM,IAAwBJ,EAC9BF,EAAM0gB,KAnjBN,SAAqBjtC,GACjB,OAAOsgC,GAAoB,IAARtgC,IAmjBvBusB,EAAM+J,OAjcN,SAAqBjc,EAAQjW,GACzB,OAAOqqC,GAAep0B,EAAQjW,EAAO,WAiczCmoB,EAAM37B,OAAwBA,EAC9B27B,EAAMG,OAAwBiS,GAC9BpS,EAAMqc,QAAwBpa,EAC9BjC,EAAMgZ,SAAwBsC,GAC9Btb,EAAMgD,SAAwBA,EAC9BhD,EAAM2N,SA/bN,SAAuB0U,EAAcv0B,EAAQjW,GACzC,OAAOuqC,GAAiBC,EAAcv0B,EAAQjW,EAAO,aA+bzDmoB,EAAMqhB,UAvjBN,WACI,OAAOtN,GAAY5iC,MAAM,KAAMhM,WAAWk8C,aAujB9CrhB,EAAMoG,WAAwBiM,GAC9BrS,EAAMga,WAAwBA,GAC9Bha,EAAM8J,YAvcN,SAA0Bhc,EAAQjW,GAC9B,OAAOqqC,GAAep0B,EAAQjW,EAAO,gBAuczCmoB,EAAMyN,YA5bN,SAA0B4U,EAAcv0B,EAAQjW,GAC5C,OAAOuqC,GAAiBC,EAAcv0B,EAAQjW,EAAO,gBA4bzDmoB,EAAMsS,aAAwBA,GAC9BtS,EAAM8lB,aA9kFN,SAAsB9jD,EAAM8H,GACxB,GAAc,MAAVA,EAAgB,CAChB,IAAIq2B,EAAQ4lB,EAAW1hB,EAAeiM,GAGrB,OADjByV,EAAY9T,GAAWjwC,MAEnBqiC,EAAe0hB,EAAUvT,SAE7B1oC,EAASs6B,EAAaC,EAAcv6B,IACpCq2B,EAAS,IAAIoE,EAAOz6B,IACb2oC,aAAeX,GAAQ9vC,GAC9B8vC,GAAQ9vC,GAAQm+B,EAGhBiS,GAAmBpwC,QAGE,MAAjB8vC,GAAQ9vC,KAC0B,MAA9B8vC,GAAQ9vC,GAAMywC,aACdX,GAAQ9vC,GAAQ8vC,GAAQ9vC,GAAMywC,aACN,MAAjBX,GAAQ9vC,WACR8vC,GAAQ9vC,IAI3B,OAAO8vC,GAAQ9vC,IAsjFnBg+B,EAAM8R,QA3hFN,WACI,OAAOh+B,EAAKg+B,KA2hFhB9R,EAAM0N,cApcN,SAA4B2U,EAAcv0B,EAAQjW,GAC9C,OAAOuqC,GAAiBC,EAAcv0B,EAAQjW,EAAO,kBAoczDmoB,EAAM6E,eAAwBA,EAC9B7E,EAAMgmB,qBA/LN,SAAqCC,GACjC,YAAyBj+C,IAArBi+C,EACO/L,GAEsB,mBAAvB,IACNA,GAAQ+L,GACD,IA0LfjmB,EAAMkmB,sBApLN,SAAsCC,EAAWC,GAC7C,YAA8Bp+C,IAA1B48C,GAAWuB,UAGDn+C,IAAVo+C,EACOxB,GAAWuB,IAEtBvB,GAAWuB,GAAaC,EACN,MAAdD,IACAvB,GAAWrT,GAAK6U,EAAQ,IAErB,KA0KXpmB,EAAMue,eA73CN,SAA2B8H,EAAU5qB,GACjC,IAAIof,EAAOwL,EAASxL,KAAKpf,EAAK,QAAQ,GACtC,OAAOof,GAAQ,EAAI,WACXA,GAAQ,EAAI,WACZA,EAAO,EAAI,UACXA,EAAO,EAAI,UACXA,EAAO,EAAI,UACXA,EAAO,EAAI,WAAa,YAu3CpC7a,EAAMr9B,UAAwB2T,GAG9B0pB,EAAMsmB,UAAY,CACdC,eAAgB,mBAChBC,uBAAwB,sBACxBC,kBAAmB,0BACnBC,KAAM,aACNC,KAAM,QACNC,aAAc,WACdC,QAAS,eACTC,KAAM,aACNC,MAAO,WAGJ/mB,EAp/IyEgnB,K,mDCMpF,IAAIC,EAAkBvkD,OAAOC,UAAUc,eAEvC,SAASjC,EAAIqF,EAAQrD,GACnB,OAAOyjD,EAAgBnkD,KAAK+D,EAAQrD,GA+BtC,SAAS0jD,EAAkBh2C,GAGzB,QAAIA,GAAK,OAAUA,GAAK,WAEpBA,GAAK,OAAUA,GAAK,SACH,QAAZ,MAAJA,IAA2C,QAAZ,MAAJA,OAE5BA,GAAK,GAAQA,GAAK,KACZ,KAANA,MACAA,GAAK,IAAQA,GAAK,QAClBA,GAAK,KAAQA,GAAK,QAElBA,EAAI,eAIV,SAASi2C,EAAcj2C,GAErB,GAAIA,EAAI,MAAQ,CAEd,IAAIk2C,EAAa,QADjBl2C,GAAK,QAC2B,IAC5Bm2C,EAAa,OAAc,KAAJn2C,GAE3B,OAAOrL,OAAOyhD,aAAaF,EAAYC,GAEzC,OAAOxhD,OAAOyhD,aAAap2C,GAI7B,IAAIq2C,EAAkB,8CAElBC,EAAkB,IAAI3wC,OAAO0wC,EAAelgD,OAAS,IADnC,6BACmDA,OAAQ,MAE7EogD,EAAyB,qCAEzBC,EAAW,EAAQ,KA2CvB,IAAIC,EAAsB,SACtBC,EAAyB,UACzBC,EAAoB,CACtB,IAAK,QACL,IAAK,OACL,IAAK,OACL,IAAK,UAGP,SAASC,EAAkB9zB,GACzB,OAAO6zB,EAAkB7zB,GAY3B,IAAI+zB,EAAmB,uBAwCvB,IAAIC,EAAmB,EAAQ,KAiH/B7mD,EAAQ8mD,IAAsB,GAC9B9mD,EAAQ8mD,IAAIC,MAAkB,EAAQ,KACtC/mD,EAAQ8mD,IAAIE,QAAkB,EAAQ,KAEtChnD,EAAQiI,OA5RR,SAAgBjG,GACd,IAAI0Y,EAAUnC,MAAM/W,UAAU0L,MAAMvL,KAAKqC,UAAW,GAcpD,OAZA0W,EAAQ3Y,SAAQ,SAAUmE,GACxB,GAAKA,EAAL,CAEA,GAAsB,iBAAXA,EACT,MAAM,IAAIzB,UAAUyB,EAAS,kBAG/B3E,OAAOoR,KAAKzM,GAAQnE,SAAQ,SAAUM,GACpCL,EAAIK,GAAO6D,EAAO7D,UAIfL,GA8QThC,EAAQgD,SAvSR,SAAkBhB,GAAO,MAAuB,oBAFhD,SAAgBA,GAAO,OAAOT,OAAOC,UAAUF,SAASK,KAAKK,GAE7BilD,CAAOjlD,IAwSvChC,EAAQK,IAAsBA,EAC9BL,EAAQknD,WAtMR,SAAoB3iD,GAClB,OAAIA,EAAIsE,QAAQ,MAAQ,EAAYtE,EAC7BA,EAAIC,QAAQ4hD,EAAgB,OAqMrCpmD,EAAQmnD,YAlMR,SAAqB5iD,GACnB,OAAIA,EAAIsE,QAAQ,MAAQ,GAAKtE,EAAIsE,QAAQ,KAAO,EAAYtE,EAErDA,EAAIC,QAAQ6hD,GAAiB,SAAUlwC,EAAOixC,EAASC,GAC5D,OAAID,GAlCR,SAA8BjxC,EAAOtV,GACnC,IAAImoB,EAAO,EAEX,OAAI3oB,EAAIkmD,EAAU1lD,GACT0lD,EAAS1lD,GAGS,KAAvBA,EAAK4iB,WAAW,IAAsB6iC,EAAuBlxC,KAAKvU,IAIhEklD,EAHJ/8B,EAAiC,MAA1BnoB,EAAK,GAAGub,cACb4rB,SAASnnC,EAAKqM,MAAM,GAAI,IAAM86B,SAASnnC,EAAKqM,MAAM,GAAI,KAG/C84C,EAAch9B,GAIlB7S,EAmBEmxC,CAAqBnxC,EAAOkxC,OA8LvCrnD,EAAQ+lD,kBAAsBA,EAC9B/lD,EAAQgmD,cAAsBA,EAE9BhmD,EAAQunD,WA9KR,SAAoBhjD,GAClB,OAAIiiD,EAAoBpxC,KAAK7Q,GACpBA,EAAIC,QAAQiiD,EAAwBE,GAEtCpiD,GA2KTvE,EAAQwnD,eAjRR,SAAwB11C,EAAKqR,EAAKskC,GAChC,MAAO,GAAGx6C,OAAO6E,EAAI5E,MAAM,EAAGiW,GAAMskC,EAAa31C,EAAI5E,MAAMiW,EAAM,KAiRnEnjB,EAAQ0nD,QA/JR,SAAiB1+B,GACf,OAAQA,GACN,KAAK,EACL,KAAK,GACH,OAAO,EAEX,OAAO,GA0JThpB,EAAQ2nD,aAtJR,SAAsB3+B,GACpB,GAAIA,GAAQ,MAAUA,GAAQ,KAAU,OAAO,EAC/C,OAAQA,GACN,KAAK,EACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,IACL,KAAK,KACL,KAAK,KACL,KAAK,KACL,KAAK,MACH,OAAO,EAEX,OAAO,GAuIThpB,EAAQ4nD,eAlHR,SAAwB/0B,GACtB,OAAQA,GACN,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,IACL,KAAK,IACL,KAAK,IACL,KAAK,IACH,OAAO,EACT,QACE,OAAO,IA+Eb7yB,EAAQ6nD,YA/HR,SAAqBh1B,GACnB,OAAOg0B,EAAiBzxC,KAAKyd,IA+H/B7yB,EAAQ8nD,SAzKR,SAAkBvjD,GAChB,OAAOA,EAAIC,QAAQoiD,EAAkB,SAyKvC5mD,EAAQ+nD,mBA3ER,SAA4BxjD,GA+C1B,OA5CAA,EAAMA,EAAID,OAAOE,QAAQ,OAAQ,KAQP,MAAtB,IAAI4X,gBACN7X,EAAMA,EAAIC,QAAQ,KAAM,MAmCnBD,EAAI6X,cAAc6K,gB,6BChS3B,6QAMA,SAAS+gC,EAAgB/5C,EAAOg6C,GAC9B,OAAIh6C,EAAMi6C,UAAU5oB,QAChB2oB,GAAYA,EAASh6C,EAAMk6C,GAAGH,kBAAkBI,mBAC7C,GAuDT,SAASC,EAAYC,EAAMC,GACzB,KAAOD,EAAMA,EAAgB,SAARC,EAAkBD,EAAK9pC,WAAa8pC,EAAKE,UAC1D,GAAIF,EAAKG,YAAe,OAAO,EACnC,OAAO,EA4BT,SAASC,EAAcC,GACrB,IAAKA,EAAKv8C,OAAOtD,KAAK8/C,KAAKC,UAAa,IAAK,IAAI3mD,EAAIymD,EAAKG,MAAQ,EAAG5mD,GAAK,EAAGA,IAAK,CAChF,GAAIymD,EAAKjyC,MAAMxU,GAAK,EAAK,OAAOymD,EAAKI,IAAIziC,QAAQqiC,EAAKK,OAAO9mD,EAAI,IACjE,GAAIymD,EAAKL,KAAKpmD,GAAG4G,KAAK8/C,KAAKC,UAAa,MAE1C,OAAO,KAwET,SAASI,EAAaN,GACpB,IAAKA,EAAKv8C,OAAOtD,KAAK8/C,KAAKC,UAAa,IAAK,IAAI3mD,EAAIymD,EAAKG,MAAQ,EAAG5mD,GAAK,EAAGA,IAAK,CAChF,IAAIkK,EAASu8C,EAAKL,KAAKpmD,GACvB,GAAIymD,EAAKjyC,MAAMxU,GAAK,EAAIkK,EAAO88C,WAAc,OAAOP,EAAKI,IAAIziC,QAAQqiC,EAAKQ,MAAMjnD,EAAI,IACpF,GAAIkK,EAAOtD,KAAK8/C,KAAKC,UAAa,MAEpC,OAAO,KA4CT,SAASO,EAAKn7C,EAAOg6C,GACnB,IAAIoB,EAAMp7C,EAAMi6C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVC,EAAQF,EAAMG,WAAWF,GAAMpjD,EAASqjD,GAAS,YAAWA,GAChE,OAAc,MAAVrjD,IACA8hD,GAAYA,EAASh6C,EAAMk6C,GAAGiB,KAAKI,EAAOrjD,GAAQiiD,mBAC/C,GAgBT,SAASsB,EAAevzC,GACtB,IAAK,IAAIjU,EAAI,EAAGA,EAAIiU,EAAMwzC,UAAWznD,IAAK,CACxC,IACI4G,EADMqN,EAAMyzC,KAAK1nD,GACN4G,KACf,GAAIA,EAAK2/C,cAAgB3/C,EAAK+gD,mBAAsB,OAAO/gD,EAE7D,OAAO,KAOT,SAASghD,EAAS77C,EAAOg6C,GACvB,IAAIoB,EAAMp7C,EAAMi6C,UACZ6B,EAAQV,EAAIU,MACZC,EAAUX,EAAIW,QAClB,IAAKD,EAAM39C,OAAOtD,KAAK8/C,KAAK5/B,OAAS+gC,EAAME,WAAWD,GAAY,OAAO,EACzE,IAAIE,EAAQH,EAAMzB,MAAM,GAAIa,EAAQY,EAAMI,YAAY,GAAIrhD,EAAO4gD,EAAeQ,EAAME,eAAejB,IACrG,IAAKe,EAAMG,eAAelB,EAAOA,EAAOrgD,GAAS,OAAO,EACxD,GAAIm/C,EAAU,CACZ,IAAI9kC,EAAM4mC,EAAMZ,QAAShB,EAAKl6C,EAAMk6C,GAAGmC,YAAYnnC,EAAKA,EAAKra,EAAKyhD,iBAClEpC,EAAGqC,aAAa,YAAUC,KAAKtC,EAAGY,IAAIziC,QAAQnD,GAAM,IACpD8kC,EAASE,EAAGC,kBAEd,OAAO,EA6CT,SAASsC,EAAWz8C,EAAOg6C,GACzB,IAAIoB,EAAMp7C,EAAMi6C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACd,GAAIt7C,EAAMi6C,qBAAqB,iBAAiBj6C,EAAMi6C,UAAUI,KAAKqC,QACnE,SAAKrB,EAAMsB,eAAiB,YAAS38C,EAAM86C,IAAKO,EAAMnmC,QAClD8kC,GAAYA,EAASh6C,EAAMk6C,GAAGv+C,MAAM0/C,EAAMnmC,KAAKilC,mBAC5C,GAGT,IAAKkB,EAAMl9C,OAAOu+C,QAAW,OAAO,EAEpC,GAAI1C,EAAU,CACZ,IAAI4C,EAAQtB,EAAIqB,cAAgBrB,EAAIn9C,OAAO2E,QAAQyS,KAC/C2kC,EAAKl6C,EAAMk6C,GACXl6C,EAAMi6C,qBAAqB,iBAAiBC,EAAGH,kBACnD,IAAI8C,EAAuB,GAAfxB,EAAMR,MAAa,KAAOY,EAAeJ,EAAMhB,MAAM,GAAG8B,eAAed,EAAMa,YAAY,KACjGY,EAAQF,GAASC,EAAQ,CAAC,CAAChiD,KAAMgiD,IAAU,KAC3CE,EAAM,YAAS7C,EAAGY,IAAKZ,EAAG8C,QAAQnxC,IAAIwvC,EAAMnmC,KAAM,EAAG4nC,GACpDA,GAAUC,IAAO,YAAS7C,EAAGY,IAAKZ,EAAG8C,QAAQnxC,IAAIwvC,EAAMnmC,KAAM,EAAG2nC,GAAS,CAAC,CAAChiD,KAAMgiD,OACpFC,EAAQ,CAAC,CAACjiD,KAAMgiD,IAChBE,GAAM,GAEJA,IACF7C,EAAGv+C,MAAMu+C,EAAG8C,QAAQnxC,IAAIwvC,EAAMnmC,KAAM,EAAG4nC,GAClCF,GAAUvB,EAAMsB,cAAgBtB,EAAMl9C,OAAOtD,MAAQgiD,IACtDxB,EAAMhB,MAAM,GAAG4C,WAAW5B,EAAM5yC,OAAO,GAAI4yC,EAAMa,YAAY,GAAI,WAAS/0B,KAAK01B,EAAM37C,SAAUm6C,EAAMl9C,UACrG+7C,EAAGgD,cAAchD,EAAG8C,QAAQnxC,IAAIwvC,EAAMN,UAAW8B,IAEvD7C,EAASE,EAAGC,kBAEd,OAAO,EAqDT,SAASgD,EAAcn9C,EAAOo9C,EAAMpD,GAClC,IAAsDqD,EAAMn1C,EAAxD6yC,EAASqC,EAAKE,WAAYpC,EAAQkC,EAAKG,UAC3C,GAAIxC,EAAOlgD,KAAK8/C,KAAKC,WAAaM,EAAMrgD,KAAK8/C,KAAKC,UAAa,OAAO,EACtE,GApBF,SAAwB56C,EAAO06C,EAAMV,GACnC,IAAIe,EAASL,EAAK4C,WAAYpC,EAAQR,EAAK6C,UAAW90C,EAAQiyC,EAAKjyC,QACnE,SAAKsyC,GAAWG,GAAUH,EAAOlgD,KAAK2iD,kBAAkBtC,EAAMrgD,UACzDkgD,EAAOj4C,QAAQyS,MAAQmlC,EAAKv8C,OAAO8+C,WAAWx0C,EAAQ,EAAGA,IACxDuxC,GAAYA,EAASh6C,EAAMk6C,GAAGuD,OAAO/C,EAAKxlC,IAAM6lC,EAAO2C,SAAUhD,EAAKxlC,KAAKilC,mBACxE,MAEJO,EAAKv8C,OAAO8+C,WAAWx0C,EAAOA,EAAQ,KAAQyyC,EAAMV,cAAe,YAAQx6C,EAAM86C,IAAKJ,EAAKxlC,QAE5F8kC,GACAA,EAASh6C,EAAMk6C,GACPyD,kBAAkBjD,EAAKxlC,IAAK6lC,EAAOlgD,KAAMkgD,EAAOoB,eAAepB,EAAOE,aACtEl/C,KAAK2+C,EAAKxlC,KACVilC,mBACL,IAMHyD,CAAe59C,EAAOo9C,EAAMpD,GAAa,OAAO,EAEpD,GAAIoD,EAAKj/C,OAAO8+C,WAAWG,EAAK30C,QAAS20C,EAAK30C,QAAU,KACnD40C,GAAQn1C,EAAQ6yC,EAAOoB,eAAepB,EAAOE,aAAa4C,aAAa3C,EAAMrgD,QAC9EqN,EAAM41C,UAAUT,EAAK,IAAMnC,EAAMrgD,MAAMkjD,SAAU,CACnD,GAAI/D,EAAU,CAEZ,IADA,IAAIgE,EAAMZ,EAAKloC,IAAMgmC,EAAMwC,SAAUO,EAAO,WAAS5sB,MAC5Cp9B,EAAIopD,EAAKlpD,OAAS,EAAGF,GAAK,EAAGA,IAClCgqD,EAAO,WAAS92B,KAAKk2B,EAAKppD,GAAGiN,OAAO,KAAM+8C,IAC9CA,EAAO,WAAS92B,KAAK4zB,EAAOmD,KAAKD,IACjC,IAAI/D,EAAKl6C,EAAMk6C,GAAGxlC,KAAK,IAAI,IAAkB0oC,EAAKloC,IAAM,EAAG8oC,EAAKZ,EAAKloC,IAAK8oC,EAAK,IAAI,QAAMC,EAAM,EAAG,GAAIZ,EAAKlpD,QAAQ,IAC/GgqD,EAASH,EAAM,EAAIX,EAAKlpD,OACxB,YAAQ+lD,EAAGY,IAAKqD,IAAWjE,EAAGn+C,KAAKoiD,GACvCnE,EAASE,EAAGC,kBAEd,OAAO,EAGT,IAAIiE,EAAW,YAAUC,SAASjB,EAAM,GACpC7B,EAAQ6C,GAAYA,EAAS/C,MAAMG,WAAW4C,EAAS9C,KAAMpjD,EAASqjD,GAAS,YAAWA,GAC9F,OAAc,MAAVrjD,GAAkBA,GAAUklD,EAAKvC,QAC/Bb,GAAYA,EAASh6C,EAAMk6C,GAAGiB,KAAKI,EAAOrjD,GAAQiiD,mBAC/C,GAWX,SAASmE,EAAOC,EAAUC,GACxB,OAAO,SAASx+C,EAAOg6C,GACrB,IAAIoB,EAAMp7C,EAAMi6C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVC,EAAQF,EAAMG,WAAWF,GAAMmD,EAAWlD,GAAS,YAAaA,EAAOgD,EAAUC,GACrF,QAAKC,IACDzE,GAAYA,EAASh6C,EAAMk6C,GAAG+D,KAAK1C,EAAOkD,GAAUtE,mBACjD,IAOX,SAASuE,EAAaH,EAAUC,GAC9B,OAAO,SAASx+C,EAAOg6C,GACrB,IAAIoB,EAAMp7C,EAAMi6C,UACZ9yB,EAAOi0B,EAAIj0B,KACX8L,EAAKmoB,EAAInoB,GACT0rB,GAAa,EAWjB,OAVA3+C,EAAM86C,IAAI8D,aAAaz3B,EAAM8L,GAAI,SAAUonB,EAAMnlC,GAC/C,GAAIypC,EAAc,OAAO,EACzB,GAAKtE,EAAKG,cAAeH,EAAKwE,UAAUN,EAAUC,GAClD,GAAInE,EAAKx/C,MAAQ0jD,EACfI,GAAa,MACR,CACL,IAAIjE,EAAO16C,EAAM86C,IAAIziC,QAAQnD,GAAMzM,EAAQiyC,EAAKjyC,QAChDk2C,EAAajE,EAAKv8C,OAAOi+C,eAAe3zC,EAAOA,EAAQ,EAAG81C,SAGzDI,IACD3E,GAAYA,EAASh6C,EAAMk6C,GAAGwE,aAAav3B,EAAM8L,EAAIsrB,EAAUC,GAAOrE,mBACnE,IAiCX,SAAS2E,EAAWC,EAAUP,GAC5B,OAAO,SAASx+C,EAAOg6C,GACrB,IAAIoB,EAAMp7C,EAAMi6C,UACZ5oB,EAAQ+pB,EAAI/pB,MACZ2tB,EAAU5D,EAAI4D,QACdC,EAAS7D,EAAI6D,OACjB,GAAK5tB,IAAU2tB,IAnCnB,SAAqBlE,EAAKmE,EAAQpkD,GAahC,IAZA,IAAIqkD,EAAO,SAAWjrD,GACpB,IAAImnD,EAAM6D,EAAOhrD,GACbonD,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVyB,EAAqB,GAAf1B,EAAMR,OAAaC,EAAIjgD,KAAKskD,eAAetkD,GAKrD,GAJAigD,EAAI8D,aAAavD,EAAMnmC,IAAKomC,EAAIpmC,KAAK,SAAUmlC,GAC7C,GAAI0C,EAAO,OAAO,EAClBA,EAAM1C,EAAK+E,eAAiB/E,EAAKx/C,KAAKskD,eAAetkD,MAEnDkiD,EAAO,MAAO,CAAEnmC,GAAG,IAGhB3iB,EAAI,EAAGA,EAAIgrD,EAAO9qD,OAAQF,IAAK,CACtC,IAAIorD,EAAWH,EAAMjrD,GAErB,GAAKorD,EAAW,OAAOA,EAASzoC,EAElC,OAAO,EAiBuB0oC,CAAYt/C,EAAM86C,IAAKmE,EAAQF,GAAa,OAAO,EAC/E,GAAI/E,EACF,GAAIgF,EACED,EAASQ,QAAQv/C,EAAMw/C,aAAeR,EAAQS,SAC9CzF,EAASh6C,EAAMk6C,GAAGwF,iBAAiBX,IAEnC/E,EAASh6C,EAAMk6C,GAAGyF,cAAcZ,EAAS79C,OAAOs9C,SAC/C,CAEL,IADA,IAAIpsD,GAAM,EAAO8nD,EAAKl6C,EAAMk6C,GACnBjmD,EAAI,GAAI7B,GAAO6B,EAAIgrD,EAAO9qD,OAAQF,IAAK,CAC9C,IAAI2rD,EAAQX,EAAOhrD,GACfonD,EAAQuE,EAAMvE,MACdC,EAAMsE,EAAMtE,IAChBlpD,EAAM4N,EAAM86C,IAAI+E,aAAaxE,EAAMnmC,IAAKomC,EAAIpmC,IAAK6pC,GAEnD,IAAK,IAAIe,EAAM,EAAGA,EAAMb,EAAO9qD,OAAQ2rD,IAAO,CAC5C,IAAIC,EAAQd,EAAOa,GACfE,EAAUD,EAAM1E,MAChB4E,EAAQF,EAAMzE,IACdlpD,EAAO8nD,EAAGgG,WAAWF,EAAQ9qC,IAAK+qC,EAAM/qC,IAAK6pC,GAC1C7E,EAAGiG,QAAQH,EAAQ9qC,IAAK+qC,EAAM/qC,IAAK6pC,EAAS79C,OAAOs9C,IAE5DxE,EAASE,EAAGC,kBAGhB,OAAO,GA4DX,SAASiG,IAEP,IADA,IAAIC,EAAW,GAAIx2C,EAAM9T,UAAU5B,OAC3B0V,KAAQw2C,EAAUx2C,GAAQ9T,UAAW8T,GAE7C,OAAO,SAAS7J,EAAOg6C,EAAUsG,GAC/B,IAAK,IAAIrsD,EAAI,EAAGA,EAAIosD,EAASlsD,OAAQF,IACjC,GAAIosD,EAASpsD,GAAG+L,EAAOg6C,EAAUsG,GAAS,OAAO,EACrD,OAAO,GAIX,IAAIC,EAAYH,EAAcrG,GAvkB9B,SAAsB/5C,EAAOg6C,EAAUsG,GACrC,IACItB,EADMh/C,EAAMi6C,UACE+E,QAClB,IAAKA,IAAYsB,GAAQA,EAAKE,eAAe,WAAYxgD,GACjCg/C,EAAQrC,aAAe,GAC3C,OAAO,EAEX,IAAIS,EAAO3C,EAAcuE,GAGzB,IAAK5B,EAAM,CACT,IAAI7B,EAAQyD,EAAQxD,aAActjD,EAASqjD,GAAS,YAAWA,GAC/D,OAAc,MAAVrjD,IACA8hD,GAAYA,EAASh6C,EAAMk6C,GAAGiB,KAAKI,EAAOrjD,GAAQiiD,mBAC/C,GAGT,IAAIY,EAASqC,EAAKE,WAElB,IAAKvC,EAAOlgD,KAAK8/C,KAAKC,WAAauC,EAAcn9C,EAAOo9C,EAAMpD,GAC1D,OAAO,EAIX,GAAmC,GAA/BgF,EAAQ7gD,OAAO2E,QAAQyS,OACtB6kC,EAAYW,EAAQ,QAAU,gBAAc0F,aAAa1F,IAAU,CACtE,GAAIf,EAAU,CACZ,IAAIE,EAAKl6C,EAAMk6C,GAAGwG,YAAY1B,EAAQjE,SAAUiE,EAAQ9D,SACxDhB,EAAGqC,aAAanC,EAAYW,EAAQ,OAAS,YAAUsD,SAASnE,EAAGY,IAAIziC,QAAQ6hC,EAAG8C,QAAQnxC,IAAIuxC,EAAKloC,KAAM,KAAM,GAC7F,gBAAchU,OAAOg5C,EAAGY,IAAKsC,EAAKloC,IAAM6lC,EAAO2C,WACjE1D,EAASE,EAAGC,kBAEd,OAAO,EAIT,SAAIY,EAAO4F,QAAUvD,EAAKvC,OAASmE,EAAQnE,MAAQ,KAC7Cb,GAAYA,EAASh6C,EAAMk6C,GAAGuD,OAAOL,EAAKloC,IAAM6lC,EAAO2C,SAAUN,EAAKloC,KAAKilC,mBACxE,MAmBX,SAA4Bn6C,EAAOg6C,EAAUsG,GAC3C,IAAIlF,EAAMp7C,EAAMi6C,UACZ6B,EAAQV,EAAIU,MAEZsB,EAAOtB,EACX,IAFYV,EAAI/pB,MAEF,OAAO,EAErB,GAAIyqB,EAAM39C,OAAOq8C,YAAa,CAC5B,GAAI8F,GAAQA,EAAKE,eAAe,WAAYxgD,GAAS87C,EAAMa,aAAe,EAAK,OAAO,EACtFS,EAAO3C,EAAcqB,GAEvB,IAAIzB,EAAO+C,GAAQA,EAAKE,WACxB,SAAKjD,IAAS,gBAAcoG,aAAapG,MACrCL,GACAA,EAASh6C,EAAMk6C,GAAGqC,aAAa,gBAAcr7C,OAAOlB,EAAM86C,IAAKsC,EAAKloC,IAAMmlC,EAAKqD,WAAWvD,mBACvF,MAggBLyG,EAAMR,EAAcrG,GA/exB,SAAqB/5C,EAAOg6C,EAAUsG,GACpC,IACItB,EADMh/C,EAAMi6C,UACE+E,QAClB,IAAKA,IAAYsB,GAAQA,EAAKE,eAAe,UAAWxgD,GAChCg/C,EAAQrC,aAAeqC,EAAQ7gD,OAAO2E,QAAQyS,MAClE,OAAO,EAEX,IAAI6nC,EAAOpC,EAAagE,GAGxB,IAAK5B,EAAQ,OAAO,EAEpB,IAAIlC,EAAQkC,EAAKG,UAEjB,GAAIJ,EAAcn9C,EAAOo9C,EAAMpD,GAAa,OAAO,EAInD,GAAmC,GAA/BgF,EAAQ7gD,OAAO2E,QAAQyS,OACtB6kC,EAAYc,EAAO,UAAY,gBAAcuF,aAAavF,IAAS,CACtE,GAAIlB,EAAU,CACZ,IAAIE,EAAKl6C,EAAMk6C,GAAGwG,YAAY1B,EAAQjE,SAAUiE,EAAQ9D,SACxDhB,EAAGqC,aAAanC,EAAYc,EAAO,SAAW,YAAUmD,SAASnE,EAAGY,IAAIziC,QAAQ6hC,EAAG8C,QAAQnxC,IAAIuxC,EAAKloC,MAAO,GACzF,gBAAchU,OAAOg5C,EAAGY,IAAKZ,EAAG8C,QAAQnxC,IAAIuxC,EAAKloC,OACnE8kC,EAASE,EAAGC,kBAEd,OAAO,EAIT,SAAIe,EAAMyF,QAAUvD,EAAKvC,OAASmE,EAAQnE,MAAQ,KAC5Cb,GAAYA,EAASh6C,EAAMk6C,GAAGuD,OAAOL,EAAKloC,IAAKkoC,EAAKloC,IAAMgmC,EAAMwC,UAAUvD,mBACvE,MAaX,SAA2Bn6C,EAAOg6C,EAAUsG,GAC1C,IAAIlF,EAAMp7C,EAAMi6C,UACZ6B,EAAQV,EAAIU,MAEZsB,EAAOtB,EACX,IAFYV,EAAI/pB,MAEF,OAAO,EACrB,GAAIyqB,EAAM39C,OAAOq8C,YAAa,CAC5B,GAAI8F,GAAQA,EAAKE,eAAe,UAAWxgD,GAAS87C,EAAMa,aAAeb,EAAM39C,OAAO2E,QAAQyS,KAC1F,OAAO,EACX6nC,EAAOpC,EAAac,GAEtB,IAAIzB,EAAO+C,GAAQA,EAAKG,UACxB,SAAKlD,IAAS,gBAAcoG,aAAapG,MACrCL,GACAA,EAASh6C,EAAMk6C,GAAGqC,aAAa,gBAAcr7C,OAAOlB,EAAM86C,IAAKsC,EAAKloC,MAAMilC,mBACvE,MAgcL0G,EAAe,CACjB,MAAST,GA9XX,SAAuBpgD,EAAOg6C,GAC5B,IAAIoB,EAAMp7C,EAAMi6C,UACZ6B,EAAQV,EAAIU,MACZC,EAAUX,EAAIW,QAClB,SAAKD,EAAM39C,OAAOtD,KAAK8/C,KAAK5/B,OAAS+gC,EAAME,WAAWD,MAClD/B,GAAYA,EAASh6C,EAAMk6C,GAAG4G,WAAW,MAAM3G,mBAC5C,MAkCT,SAA6Bn6C,EAAOg6C,GAClC,IAAIoB,EAAMp7C,EAAMi6C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACd,GAAID,EAAMl9C,OAAOihD,eAAiB9D,EAAIn9C,OAAOihD,cAAiB,OAAO,EACrE,IAAIvkD,EAAO4gD,EAAeJ,EAAMl9C,OAAOg+C,eAAeb,EAAIY,eAC1D,IAAKrhD,IAASA,EAAK2/C,YAAe,OAAO,EACzC,GAAIR,EAAU,CACZ,IAAIM,IAASe,EAAMsB,cAAgBrB,EAAI7yC,QAAU6yC,EAAIn9C,OAAO88C,WAAaI,EAAQC,GAAKpmC,IAClFglC,EAAKl6C,EAAMk6C,GAAG6G,OAAOzG,EAAMz/C,EAAKyhD,iBACpCpC,EAAGqC,aAAa,gBAAcr7C,OAAOg5C,EAAGY,IAAKR,EAAO,IACpDN,EAASE,EAAGC,kBAEd,OAAO,KAMT,SAAwBn6C,EAAOg6C,GAC7B,IACIgF,EADMh/C,EAAMi6C,UACE+E,QAClB,IAAKA,GAAWA,EAAQ7gD,OAAO2E,QAAQyS,KAAQ,OAAO,EACtD,GAAIypC,EAAQnE,MAAQ,GAAKmE,EAAQ9D,SAAW8D,EAAQhB,KAAK,GAAI,CAC3D,IAAIjD,EAASiE,EAAQjE,SACrB,GAAI,YAAS/6C,EAAM86C,IAAKC,GAEtB,OADIf,GAAYA,EAASh6C,EAAMk6C,GAAGv+C,MAAMo/C,GAAQZ,mBACzC,EAGX,IAAIoB,EAAQyD,EAAQxD,aAActjD,EAASqjD,GAAS,YAAWA,GAC/D,OAAc,MAAVrjD,IACA8hD,GAAYA,EAASh6C,EAAMk6C,GAAGiB,KAAKI,EAAOrjD,GAAQiiD,mBAC/C,KAqToEsC,GAC3E,YAAaZ,EACb,UAAa0E,EACb,gBAAiBA,EACjB,OAAUK,EACV,aAAcA,EACd,QAvPF,SAAmB5gD,EAAOg6C,GAExB,OADIA,GAAYA,EAASh6C,EAAMk6C,GAAGqC,aAAa,IAAI,eAAav8C,EAAM86C,QAC/D,IA6PLkG,EAAgB,CAClB,SAAUH,EAAwB,UAClC,gBAAiBA,EAAa,iBAC9B,SAAUA,EAAqB,OAC/B,qBAAsBA,EAAa,cACnC,aAAcA,EAAa,cAC3B,QAASA,EAAa,eAExB,IAAK,IAAIzsD,KAAOysD,EAAgBG,EAAc5sD,GAAOysD,EAAazsD,GAGlE,IAOI6sD,GAP0B,oBAAbxrD,UAA2B,MAAM0R,KAAK1R,UAAUyrD,UACxC,oBAANC,IAAqC,UAAjBA,GAAGD,YAMnBF,EAAgBH,G,6BC1oBvC,waAGIO,EAAc9tD,OAAO4N,OAAO,MAI5BmgD,EAAY,SAAmBtF,EAASD,EAAOmD,GAGjDjjD,KAAKijD,OAASA,GAAU,CAAC,IAAIqC,EAAevF,EAAQ/+C,IAAI8+C,GAAQC,EAAQ/4B,IAAI84B,KAI5E9/C,KAAK+/C,QAAUA,EAIf//C,KAAK8/C,MAAQA,GAGXyF,EAAqB,CAAElU,OAAQ,CAAE3sC,cAAc,GAAOkN,KAAM,CAAElN,cAAc,GAAOymB,KAAM,CAAEzmB,cAAc,GAAOuyB,GAAI,CAAEvyB,cAAc,GAAO26C,MAAO,CAAE36C,cAAc,GAAO46C,IAAK,CAAE56C,cAAc,GAAO2wB,MAAO,CAAE3wB,cAAc,IAIhO6gD,EAAmBlU,OAAOz2C,IAAM,WAAc,OAAOoF,KAAK+/C,QAAQ7mC,KAIlEqsC,EAAmB3zC,KAAKhX,IAAM,WAAc,OAAOoF,KAAK8/C,MAAM5mC,KAI9DqsC,EAAmBp6B,KAAKvwB,IAAM,WAAc,OAAOoF,KAAKq/C,MAAMnmC,KAI9DqsC,EAAmBtuB,GAAGr8B,IAAM,WAAc,OAAOoF,KAAKs/C,IAAIpmC,KAI1DqsC,EAAmBlG,MAAMzkD,IAAM,WAC7B,OAAOoF,KAAKijD,OAAO,GAAG5D,OAKxBkG,EAAmBjG,IAAI1kD,IAAM,WAC3B,OAAOoF,KAAKijD,OAAO,GAAG3D,KAKxBiG,EAAmBlwB,MAAMz6B,IAAM,WAE7B,IADA,IAAIqoD,EAASjjD,KAAKijD,OACThrD,EAAI,EAAGA,EAAIgrD,EAAO9qD,OAAQF,IAC/B,GAAIgrD,EAAOhrD,GAAGonD,MAAMnmC,KAAO+pC,EAAOhrD,GAAGqnD,IAAIpmC,IAAO,OAAO,EAC3D,OAAO,GAYTmsC,EAAU9tD,UAAUuP,QAAU,WAC5B,OAAO9G,KAAKq/C,MAAMhB,KAAK,GAAGp7C,MAAMjD,KAAKmrB,KAAMnrB,KAAKi3B,IAAI,IAMtDouB,EAAU9tD,UAAUgD,QAAU,SAAkB2jD,EAAIp3C,QAC/B,IAAZA,IAAqBA,EAAU,QAAMuuB,OAM5C,IADA,IAAImwB,EAAW1+C,EAAQA,QAAQy3C,UAAWkH,EAAa,KAC9CxtD,EAAI,EAAGA,EAAI6O,EAAQ4+C,QAASztD,IACnCwtD,EAAaD,EACbA,EAAWA,EAASjH,UAItB,IADA,IAAIoH,EAAUzH,EAAG0H,MAAMztD,OAAQ8qD,EAASjjD,KAAKijD,OACpCa,EAAM,EAAGA,EAAMb,EAAO9qD,OAAQ2rD,IAAO,CAC5C,IAAI1E,EAAM6D,EAAOa,GACXzE,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACV0B,EAAU9C,EAAG8C,QAAQ/9C,MAAM0iD,GACjCzH,EAAG2H,aAAa7E,EAAQnxC,IAAIwvC,EAAMnmC,KAAM8nC,EAAQnxC,IAAIyvC,EAAIpmC,KAAM4qC,EAAM,QAAMzuB,MAAQvuB,GACvE,GAAPg9C,GACAgC,EAAwB5H,EAAIyH,GAAUH,EAAWA,EAASO,SAAWN,GAAcA,EAAWjH,cAAgB,EAAI,KAO1H6G,EAAU9tD,UAAU8oD,YAAc,SAAsBnC,EAAIG,GAE1D,IADA,IAAIsH,EAAUzH,EAAG0H,MAAMztD,OAAQ8qD,EAASjjD,KAAKijD,OACpChrD,EAAI,EAAGA,EAAIgrD,EAAO9qD,OAAQF,IAAK,CACtC,IAAImnD,EAAM6D,EAAOhrD,GACXonD,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACV0B,EAAU9C,EAAG8C,QAAQ/9C,MAAM0iD,GAC7Bx6B,EAAO61B,EAAQnxC,IAAIwvC,EAAMnmC,KAAM+d,EAAK+pB,EAAQnxC,IAAIyvC,EAAIpmC,KACpDjhB,EACFimD,EAAGwG,YAAYv5B,EAAM8L,IAErBinB,EAAG8H,iBAAiB76B,EAAM8L,EAAIonB,GAC9ByH,EAAwB5H,EAAIyH,EAAStH,EAAK0H,UAAY,EAAI,MAiBhEV,EAAUhD,SAAW,SAAmB3D,EAAMjvC,EAAKw2C,GACjD,IAAIC,EAAQxH,EAAKv8C,OAAOihD,cAAgB,IAAI+C,EAAczH,GACpD0H,EAAgB1H,EAAKL,KAAK,GAAIK,EAAKv8C,OAAQu8C,EAAKxlC,IAAKwlC,EAAKjyC,QAASgD,EAAKw2C,GAC9E,GAAIC,EAAS,OAAOA,EAEpB,IAAK,IAAIrH,EAAQH,EAAKG,MAAQ,EAAGA,GAAS,EAAGA,IAAS,CACpD,IAAIwH,EAAQ52C,EAAM,EACZ22C,EAAgB1H,EAAKL,KAAK,GAAIK,EAAKL,KAAKQ,GAAQH,EAAKK,OAAOF,EAAQ,GAAIH,EAAKjyC,MAAMoyC,GAAQpvC,EAAKw2C,GAChGG,EAAgB1H,EAAKL,KAAK,GAAIK,EAAKL,KAAKQ,GAAQH,EAAKQ,MAAML,EAAQ,GAAIH,EAAKjyC,MAAMoyC,GAAS,EAAGpvC,EAAKw2C,GACzG,GAAII,EAAS,OAAOA,IAQxBhB,EAAU7E,KAAO,SAAe9B,EAAM4H,GAGpC,YAFgB,IAATA,IAAkBA,EAAO,GAEzBtmD,KAAKqiD,SAAS3D,EAAM4H,IAAStmD,KAAKqiD,SAAS3D,GAAO4H,IAAS,IAAIC,EAAa7H,EAAKL,KAAK,KAQ/FgH,EAAUmB,QAAU,SAAkB1H,GACpC,OAAOsH,EAAgBtH,EAAKA,EAAK,EAAG,EAAG,IAAM,IAAIyH,EAAazH,IAMhEuG,EAAUzE,MAAQ,SAAgB9B,GAChC,OAAOsH,EAAgBtH,EAAKA,EAAKA,EAAIh4C,QAAQyS,KAAMulC,EAAIG,YAAa,IAAM,IAAIsH,EAAazH,IAM7FuG,EAAUoB,SAAW,SAAmB3H,EAAK4H,GAC3C,IAAKA,IAASA,EAAK7nD,KAAQ,MAAM,IAAI8nD,WAAW,wCAChD,IAAIC,EAAMxB,EAAYsB,EAAK7nD,MAC3B,IAAK+nD,EAAO,MAAM,IAAID,WAAY,qBAAwBD,EAAS,KAAI,YACvE,OAAOE,EAAIH,SAAS3H,EAAK4H,IAQ3BrB,EAAUwB,OAAS,SAAiBl+C,EAAIm+C,GACtC,GAAIn+C,KAAMy8C,EAAe,MAAM,IAAIuB,WAAW,sCAAwCh+C,GAGtF,OAFAy8C,EAAYz8C,GAAMm+C,EAClBA,EAAevvD,UAAUsvD,OAASl+C,EAC3Bm+C,GAWTzB,EAAU9tD,UAAUwvD,YAAc,WAChC,OAAOZ,EAAca,QAAQhnD,KAAK+/C,QAAS//C,KAAK8/C,OAAOiH,eAGzDzvD,OAAOiP,iBAAkB8+C,EAAU9tD,UAAWguD,GAM9CF,EAAU9tD,UAAU0vD,SAAU,EAiB9B,IAAI3B,EAAiB,SAAwBjG,EAAOC,GAGlDt/C,KAAKq/C,MAAQA,EAGbr/C,KAAKs/C,IAAMA,GAOT6G,EAA8B,SAAUd,GAC1C,SAASc,EAAcpG,EAASD,QACf,IAAVA,IAAmBA,EAAQC,GAEhCsF,EAAU3tD,KAAKsI,KAAM+/C,EAASD,GAG3BuF,IAAYc,EAAc3iC,UAAY6hC,GAC3Cc,EAAc5uD,UAAYD,OAAO4N,OAAQmgD,GAAaA,EAAU9tD,WAChE4uD,EAAc5uD,UAAUiB,YAAc2tD,EAEtC,IAAIe,EAAuB,CAAElE,QAAS,CAAEt+C,cAAc,IA+EtD,OA1EAwiD,EAAqBlE,QAAQpoD,IAAM,WAAc,OAAOoF,KAAK+/C,QAAQ7mC,KAAOlZ,KAAK8/C,MAAM5mC,IAAMlZ,KAAK8/C,MAAQ,MAE1GqG,EAAc5uD,UAAUsY,IAAM,SAAcivC,EAAKkC,GAC/C,IAAIlB,EAAQhB,EAAIziC,QAAQ2kC,EAAQnxC,IAAI7P,KAAK4R,OACzC,IAAKkuC,EAAM39C,OAAOihD,cAAiB,OAAOiC,EAAU7E,KAAKV,GACzD,IAAIC,EAAUjB,EAAIziC,QAAQ2kC,EAAQnxC,IAAI7P,KAAKqxC,SAC3C,OAAO,IAAI8U,EAAcpG,EAAQ59C,OAAOihD,cAAgBrD,EAAUD,EAAOA,IAG3EqG,EAAc5uD,UAAUgD,QAAU,SAAkB2jD,EAAIp3C,GAItD,QAHiB,IAAZA,IAAqBA,EAAU,QAAMuuB,OAE1CgwB,EAAU9tD,UAAUgD,QAAQ7C,KAAKsI,KAAMk+C,EAAIp3C,GACvCA,GAAW,QAAMuuB,MAAO,CAC1B,IAAIouB,EAAQzjD,KAAKq/C,MAAM8H,YAAYnnD,KAAKs/C,KACpCmE,GAASvF,EAAGkJ,YAAY3D,KAIhC0C,EAAc5uD,UAAU8vD,GAAK,SAAa1kC,GACxC,OAAOA,aAAiBwjC,GAAiBxjC,EAAM0uB,QAAUrxC,KAAKqxC,QAAU1uB,EAAM/Q,MAAQ5R,KAAK4R,MAG7Fu0C,EAAc5uD,UAAUwvD,YAAc,WACpC,OAAO,IAAIO,EAAatnD,KAAKqxC,OAAQrxC,KAAK4R,OAG5Cu0C,EAAc5uD,UAAU82B,OAAS,WAC/B,MAAO,CAACxvB,KAAM,OAAQwyC,OAAQrxC,KAAKqxC,OAAQz/B,KAAM5R,KAAK4R,OAGxDu0C,EAAcM,SAAW,SAAmB3H,EAAK4H,GAC/C,GAA0B,iBAAfA,EAAKrV,QAA0C,iBAAbqV,EAAK90C,KAC9C,MAAM,IAAI+0C,WAAW,4CACzB,OAAO,IAAIR,EAAcrH,EAAIziC,QAAQqqC,EAAKrV,QAASyN,EAAIziC,QAAQqqC,EAAK90C,QAKtEu0C,EAAcjhD,OAAS,SAAiB45C,EAAKzN,EAAQz/B,QACrC,IAATA,IAAkBA,EAAOy/B,GAE9B,IAAI0O,EAAUjB,EAAIziC,QAAQg1B,GAC1B,OAAO,IAAIrxC,KAAK+/C,EAASnuC,GAAQy/B,EAAS0O,EAAUjB,EAAIziC,QAAQzK,KAUlEu0C,EAAca,QAAU,SAAkBjH,EAASD,EAAOwG,GACxD,IAAIiB,EAAOxH,EAAQ7mC,IAAM4mC,EAAM5mC,IAE/B,GADKotC,IAAQiB,IAAQjB,EAAOiB,GAAQ,EAAI,GAAK,IACxCzH,EAAM39C,OAAOihD,cAAe,CAC/B,IAAIiD,EAAQhB,EAAUhD,SAASvC,EAAOwG,GAAM,IAASjB,EAAUhD,SAASvC,GAAQwG,GAAM,GACtF,IAAID,EACG,OAAOhB,EAAU7E,KAAKV,EAAOwG,GADvBxG,EAAQuG,EAAMvG,MAW7B,OARKC,EAAQ59C,OAAOihD,gBACN,GAARmE,IAGFxH,GAAWsF,EAAUhD,SAAStC,GAAUuG,GAAM,IAASjB,EAAUhD,SAAStC,EAASuG,GAAM,IAAOvG,SACnF7mC,IAAM4mC,EAAM5mC,KAASquC,EAAO,KAHzCxH,EAAUD,GAMP,IAAIqG,EAAcpG,EAASD,IAGpCxoD,OAAOiP,iBAAkB4/C,EAAc5uD,UAAW2vD,GAE3Cf,EA1FwB,CA2F/Bd,GAEFA,EAAUwB,OAAO,OAAQV,GAEzB,IAAImB,EAAe,SAAsBjW,EAAQz/B,GAC/C5R,KAAKqxC,OAASA,EACdrxC,KAAK4R,KAAOA,GAEd01C,EAAa/vD,UAAUsY,IAAM,SAAcmxC,GACzC,OAAO,IAAIsG,EAAatG,EAAQnxC,IAAI7P,KAAKqxC,QAAS2P,EAAQnxC,IAAI7P,KAAK4R,QAErE01C,EAAa/vD,UAAU8kB,QAAU,SAAkByiC,GACjD,OAAOqH,EAAca,QAAQlI,EAAIziC,QAAQrc,KAAKqxC,QAASyN,EAAIziC,QAAQrc,KAAK4R,QAQ1E,IAAI41C,EAA8B,SAAUnC,GAC1C,SAASmC,EAAc9I,GACrB,IAAIL,EAAOK,EAAK6C,UACZkG,EAAO/I,EAAKL,KAAK,GAAGhiC,QAAQqiC,EAAKxlC,IAAMmlC,EAAKqD,UAChD2D,EAAU3tD,KAAKsI,KAAM0+C,EAAM+I,GAE3BznD,KAAKq+C,KAAOA,EAiDd,OA9CKgH,IAAYmC,EAAchkC,UAAY6hC,GAC3CmC,EAAcjwD,UAAYD,OAAO4N,OAAQmgD,GAAaA,EAAU9tD,WAChEiwD,EAAcjwD,UAAUiB,YAAcgvD,EAEtCA,EAAcjwD,UAAUsY,IAAM,SAAcivC,EAAKkC,GAC/C,IAAI5B,EAAM4B,EAAQ0G,UAAU1nD,KAAKqxC,QAC7BsW,EAAUvI,EAAIuI,QACdzuC,EAAMkmC,EAAIlmC,IACVwlC,EAAOI,EAAIziC,QAAQnD,GACvB,OAAIyuC,EAAkBtC,EAAU7E,KAAK9B,GAC9B,IAAI8I,EAAc9I,IAG3B8I,EAAcjwD,UAAUuP,QAAU,WAChC,OAAO,IAAI,QAAM,WAASqkB,KAAKnrB,KAAKq+C,MAAO,EAAG,IAGhDmJ,EAAcjwD,UAAU8vD,GAAK,SAAa1kC,GACxC,OAAOA,aAAiB6kC,GAAiB7kC,EAAM0uB,QAAUrxC,KAAKqxC,QAGhEmW,EAAcjwD,UAAU82B,OAAS,WAC/B,MAAO,CAACxvB,KAAM,OAAQwyC,OAAQrxC,KAAKqxC,SAGrCmW,EAAcjwD,UAAUwvD,YAAc,WAA0B,OAAO,IAAIa,EAAa5nD,KAAKqxC,SAE7FmW,EAAcf,SAAW,SAAmB3H,EAAK4H,GAC/C,GAA0B,iBAAfA,EAAKrV,OACZ,MAAM,IAAIsV,WAAW,4CACzB,OAAO,IAAIa,EAAc1I,EAAIziC,QAAQqqC,EAAKrV,UAK5CmW,EAActiD,OAAS,SAAiB45C,EAAK3zB,GAC3C,OAAO,IAAInrB,KAAK8+C,EAAIziC,QAAQ8O,KAM9Bq8B,EAAc/C,aAAe,SAAuBpG,GAClD,OAAQA,EAAKwJ,SAAwC,IAA9BxJ,EAAKx/C,KAAK8/C,KAAKmJ,YAGjCN,EAvDwB,CAwD/BnC,GAEFmC,EAAcjwD,UAAU0vD,SAAU,EAElC5B,EAAUwB,OAAO,OAAQW,GAEzB,IAAII,EAAe,SAAsBvW,GACvCrxC,KAAKqxC,OAASA,GAEhBuW,EAAarwD,UAAUsY,IAAM,SAAcmxC,GACzC,IAAI5B,EAAM4B,EAAQ0G,UAAU1nD,KAAKqxC,QAC3BsW,EAAUvI,EAAIuI,QACdzuC,EAAMkmC,EAAIlmC,IAChB,OAAOyuC,EAAU,IAAIL,EAAapuC,EAAKA,GAAO,IAAI0uC,EAAa1uC,IAEjE0uC,EAAarwD,UAAU8kB,QAAU,SAAkByiC,GACjD,IAAIJ,EAAOI,EAAIziC,QAAQrc,KAAKqxC,QAASgN,EAAOK,EAAK6C,UACjD,OAAIlD,GAAQmJ,EAAc/C,aAAapG,GAAgB,IAAImJ,EAAc9I,GAClE2G,EAAU7E,KAAK9B,IAOxB,IAAI6H,EAA6B,SAAUlB,GACzC,SAASkB,EAAazH,GACpBuG,EAAU3tD,KAAKsI,KAAM8+C,EAAIziC,QAAQ,GAAIyiC,EAAIziC,QAAQyiC,EAAIh4C,QAAQyS,OA6B/D,OA1BK8rC,IAAYkB,EAAa/iC,UAAY6hC,GAC1CkB,EAAahvD,UAAYD,OAAO4N,OAAQmgD,GAAaA,EAAU9tD,WAC/DgvD,EAAahvD,UAAUiB,YAAc+tD,EAErCA,EAAahvD,UAAUgD,QAAU,SAAkB2jD,EAAIp3C,GAGrD,QAFiB,IAAZA,IAAqBA,EAAU,QAAMuuB,OAEtCvuB,GAAW,QAAMuuB,MAAO,CAC1B6oB,EAAGuD,OAAO,EAAGvD,EAAGY,IAAIh4C,QAAQyS,MAC5B,IAAIwuC,EAAM1C,EAAUmB,QAAQtI,EAAGY,KAC1BiJ,EAAIV,GAAGnJ,EAAGD,YAAcC,EAAGqC,aAAawH,QAE7C1C,EAAU9tD,UAAUgD,QAAQ7C,KAAKsI,KAAMk+C,EAAIp3C,IAI/Cy/C,EAAahvD,UAAU82B,OAAS,WAAqB,MAAO,CAACxvB,KAAM,QAEnE0nD,EAAaE,SAAW,SAAmB3H,GAAO,OAAO,IAAIyH,EAAazH,IAE1EyH,EAAahvD,UAAUsY,IAAM,SAAcivC,GAAO,OAAO,IAAIyH,EAAazH,IAE1EyH,EAAahvD,UAAU8vD,GAAK,SAAa1kC,GAAS,OAAOA,aAAiB4jC,GAE1EA,EAAahvD,UAAUwvD,YAAc,WAA0B,OAAOiB,GAE/DzB,EA/BuB,CAgC9BlB,GAEFA,EAAUwB,OAAO,MAAON,GAExB,IAAIyB,EAAc,CAChBn4C,IAAK,WAAiB,OAAO7P,MAC7Bqc,QAAS,SAAiByiC,GAAO,OAAO,IAAIyH,EAAazH,KAQ3D,SAASsH,EAAgBtH,EAAKT,EAAMnlC,EAAKzM,EAAOgD,EAAKrR,GACnD,GAAIigD,EAAK+E,cAAiB,OAAO+C,EAAcjhD,OAAO45C,EAAK5lC,GAC3D,IAAK,IAAIjhB,EAAIwU,GAASgD,EAAM,EAAI,EAAI,GAAIA,EAAM,EAAIxX,EAAIomD,EAAKY,WAAahnD,GAAK,EAAGA,GAAKwX,EAAK,CACxF,IAAIw4C,EAAQ5J,EAAK4J,MAAMhwD,GACvB,GAAKgwD,EAAMtD,QAGJ,IAAKvmD,GAAQopD,EAAc/C,aAAawD,GAC7C,OAAOT,EAActiD,OAAO45C,EAAK5lC,GAAOzJ,EAAM,EAAIw4C,EAAMvG,SAAW,QAJlD,CACjB,IAAIwE,EAAQE,EAAgBtH,EAAKmJ,EAAO/uC,EAAMzJ,EAAKA,EAAM,EAAIw4C,EAAMhJ,WAAa,EAAGxvC,EAAKrR,GACxF,GAAI8nD,EAAS,OAAOA,EAItBhtC,GAAO+uC,EAAMvG,SAAWjyC,GAI5B,SAASq2C,EAAwB5H,EAAIgK,EAAU5B,GAC7C,IAAI5yB,EAAOwqB,EAAG0H,MAAMztD,OAAS,EAC7B,KAAIu7B,EAAOw0B,GAAX,CACA,IAEiClG,EAF7BtpC,EAAOwlC,EAAG0H,MAAMlyB,GACpB,GAAMhb,aAAgB,KAAeA,aAAgB,IAC3CwlC,EAAG8C,QAAQmH,KAAKz0B,GACtB57B,SAAQ,SAAUswD,EAAOC,EAAKC,EAAUC,GAAoB,MAAPvG,IAAeA,EAAMuG,MAC9ErK,EAAGqC,aAAa8E,EAAU7E,KAAKtC,EAAGY,IAAIziC,QAAQ2lC,GAAMsE,KAGtD,IAmBIkC,EAA4B,SAAUC,GACxC,SAASD,EAAYxkD,GACnBykD,EAAU/wD,KAAKsI,KAAMgE,EAAM86C,KAI3B9+C,KAAK+yC,KAAO7iB,KAAKG,MACjBrwB,KAAK0oD,aAAe1kD,EAAMi6C,UAE1Bj+C,KAAK2oD,gBAAkB,EAGvB3oD,KAAKwjD,YAAcx/C,EAAMw/C,YAGzBxjD,KAAK4oD,QAAU,EAEf5oD,KAAK+jB,KAAOzsB,OAAO4N,OAAO,MAGvBujD,IAAYD,EAAYhlC,UAAYilC,GACzCD,EAAYjxD,UAAYD,OAAO4N,OAAQujD,GAAaA,EAAUlxD,WAC9DixD,EAAYjxD,UAAUiB,YAAcgwD,EAEpC,IAAIjD,EAAqB,CAAEtH,UAAW,CAAEv5C,cAAc,GAAOmkD,aAAc,CAAEnkD,cAAc,GAAOokD,eAAgB,CAAEpkD,cAAc,GAAOqkD,UAAW,CAAErkD,cAAc,GAAOskD,iBAAkB,CAAEtkD,cAAc,IAwK7M,OAjKA6gD,EAAmBtH,UAAUrjD,IAAM,WAKjC,OAJIoF,KAAK2oD,gBAAkB3oD,KAAK4lD,MAAMztD,SACpC6H,KAAK0oD,aAAe1oD,KAAK0oD,aAAa74C,IAAI7P,KAAK8+C,IAAK9+C,KAAKghD,QAAQ/9C,MAAMjD,KAAK2oD,kBAC5E3oD,KAAK2oD,gBAAkB3oD,KAAK4lD,MAAMztD,QAE7B6H,KAAK0oD,cAMdF,EAAYjxD,UAAUgpD,aAAe,SAAuBtC,GAC1D,GAAIA,EAAUoB,MAAMP,KAAO9+C,KAAK8+C,IAC5B,MAAM,IAAI6H,WAAW,uEAKzB,OAJA3mD,KAAK0oD,aAAezK,EACpBj+C,KAAK2oD,gBAAkB3oD,KAAK4lD,MAAMztD,OAClC6H,KAAK4oD,SAAyC,GAlEhC,EAkEE5oD,KAAK4oD,SACrB5oD,KAAKwjD,YAAc,KACZxjD,MAKTulD,EAAmBsD,aAAajuD,IAAM,WACpC,OA1Ec,EA0ENoF,KAAK4oD,SAAyB,GAKxCJ,EAAYjxD,UAAU0xD,eAAiB,SAAyBxF,GAG9D,OAFAzjD,KAAKwjD,YAAcC,EACnBzjD,KAAK4oD,SAjF4B,EAkF1B5oD,MAOTwoD,EAAYjxD,UAAU6vD,YAAc,SAAsB3D,GAGxD,OAFK,OAAKyF,QAAQlpD,KAAKwjD,aAAexjD,KAAKi+C,UAAUoB,MAAMoE,QAASA,IAChEzjD,KAAKipD,eAAexF,GACjBzjD,MAKTwoD,EAAYjxD,UAAUosD,cAAgB,SAAwBwF,GAC5D,OAAOnpD,KAAKonD,YAAY+B,EAAKC,SAASppD,KAAKwjD,aAAexjD,KAAKi+C,UAAU6B,MAAM2D,WAKjF+E,EAAYjxD,UAAUmsD,iBAAmB,SAA2ByF,GAClE,OAAOnpD,KAAKonD,YAAY+B,EAAKE,cAAcrpD,KAAKwjD,aAAexjD,KAAKi+C,UAAU6B,MAAM2D,WAKtF8B,EAAmBuD,eAAeluD,IAAM,WACtC,OA9GiC,EA8GzBoF,KAAK4oD,SAA2B,GAG1CJ,EAAYjxD,UAAU+xD,QAAU,SAAkB5wC,EAAMomC,GACtD2J,EAAUlxD,UAAU+xD,QAAQ5xD,KAAKsI,KAAM0Y,EAAMomC,GAC7C9+C,KAAK4oD,SAAyB,EAAf5oD,KAAK4oD,QACpB5oD,KAAKwjD,YAAc,MAKrBgF,EAAYjxD,UAAUo4C,QAAU,SAAkBoD,GAEhD,OADA/yC,KAAK+yC,KAAOA,EACL/yC,MAKTwoD,EAAYjxD,UAAUgyD,iBAAmB,SAA2BtmD,GAElE,OADAjD,KAAKi+C,UAAU1jD,QAAQyF,KAAMiD,GACtBjD,MAOTwoD,EAAYjxD,UAAUiyD,qBAAuB,SAA+BnL,EAAMoL,GAChF,IAAIxL,EAAYj+C,KAAKi+C,UAIrB,OAHqB,IAAjBwL,IACApL,EAAOA,EAAK8K,KAAKnpD,KAAKwjD,cAAgBvF,EAAU5oB,MAAQ4oB,EAAUoB,MAAMoE,QAAWxF,EAAUoB,MAAM8H,YAAYlJ,EAAUqB,MAAQ,OAAKoK,QAC1IzL,EAAUoC,YAAYrgD,KAAMq+C,GACrBr+C,MAKTwoD,EAAYjxD,UAAUwmD,gBAAkB,WAEtC,OADA/9C,KAAKi+C,UAAU1jD,QAAQyF,MAChBA,MAMTwoD,EAAYjxD,UAAUutD,WAAa,SAAqB1mD,EAAM+sB,EAAM8L,QACtD,IAAPA,IAAgBA,EAAK9L,GAE1B,IAAIw+B,EAAS3pD,KAAK8+C,IAAIjgD,KAAK8qD,OAC3B,GAAY,MAARx+B,EACF,OAAK/sB,EACE4B,KAAKwpD,qBAAqBG,EAAOvrD,KAAKA,IAAO,GADhC4B,KAAK+9C,kBAGzB,IAAK3/C,EAAQ,OAAO4B,KAAK0kD,YAAYv5B,EAAM8L,GAC3C,IAAIwsB,EAAQzjD,KAAKwjD,YACjB,IAAKC,EAAO,CACV,IAAIpE,EAAQr/C,KAAK8+C,IAAIziC,QAAQ8O,GAC7Bs4B,EAAQxsB,GAAM9L,EAAOk0B,EAAMoE,QAAUpE,EAAM8H,YAAYnnD,KAAK8+C,IAAIziC,QAAQ4a,IAI1E,OAFAj3B,KAAKgmD,iBAAiB76B,EAAM8L,EAAI0yB,EAAOvrD,KAAKA,EAAMqlD,IAC7CzjD,KAAKi+C,UAAU5oB,OAASr1B,KAAKugD,aAAa8E,EAAU7E,KAAKxgD,KAAKi+C,UAAUqB,MACtEt/C,MAOXwoD,EAAYjxD,UAAUqyD,QAAU,SAAkBxxD,EAAKkD,GAErD,OADA0E,KAAK+jB,KAAmB,iBAAP3rB,EAAkBA,EAAMA,EAAIA,KAAOkD,EAC7C0E,MAKTwoD,EAAYjxD,UAAUsyD,QAAU,SAAkBzxD,GAChD,OAAO4H,KAAK+jB,KAAmB,iBAAP3rB,EAAkBA,EAAMA,EAAIA,MAMtDmtD,EAAmBwD,UAAUnuD,IAAM,WACjC,IAAK,IAAIkvD,KAAK9pD,KAAK+jB,KAAQ,OAAO,EAClC,OAAO,GAMTykC,EAAYjxD,UAAU4mD,eAAiB,WAErC,OADAn+C,KAAK4oD,SAzMgD,EA0M9C5oD,MAGTulD,EAAmByD,iBAAiBpuD,IAAM,WACxC,OA9MqD,EA8M7CoF,KAAK4oD,SAA4B,GAG3CtxD,OAAOiP,iBAAkBiiD,EAAYjxD,UAAWguD,GAEzCiD,EAhMsB,CAiM7B,KAEF,SAASpxD,EAAK8D,EAAGhE,GACf,OAAQA,GAASgE,EAAQA,EAAE9D,KAAKF,GAAXgE,EAGvB,IAAI6uD,EAAY,SAAmBnzD,EAAMozD,EAAM9yD,GAC7C8I,KAAKpJ,KAAOA,EACZoJ,KAAKiyB,KAAO76B,EAAK4yD,EAAK/3B,KAAM/6B,GAC5B8I,KAAK+F,MAAQ3O,EAAK4yD,EAAKjkD,MAAO7O,IAG5B+yD,EAAa,CACf,IAAIF,EAAU,MAAO,CACnB93B,KAAM,SAAcvzB,GAAU,OAAOA,EAAOogD,KAAOpgD,EAAOirD,OAAOO,YAAY5J,iBAC7Ev6C,MAAO,SAAem4C,GAAM,OAAOA,EAAGY,OAGxC,IAAIiL,EAAU,YAAa,CACzB93B,KAAM,SAAcvzB,EAAQkuB,GAAY,OAAOluB,EAAOu/C,WAAaoH,EAAUmB,QAAQ55B,EAASkyB,MAC9F/4C,MAAO,SAAem4C,GAAM,OAAOA,EAAGD,aAGxC,IAAI8L,EAAU,cAAe,CAC3B93B,KAAM,SAAcvzB,GAAU,OAAOA,EAAO8kD,aAAe,MAC3Dz9C,MAAO,SAAem4C,EAAIiM,EAAQC,EAAMpmD,GAAS,OAAOA,EAAMi6C,UAAU+E,QAAU9E,EAAGsF,YAAc,QAGrG,IAAIuG,EAAU,oBAAqB,CACjC93B,KAAM,WAAkB,OAAO,GAC/BlsB,MAAO,SAAem4C,EAAIlqB,GAAQ,OAAOkqB,EAAG8K,iBAAmBh1B,EAAO,EAAIA,MAM1Eq2B,EAAgB,SAAuBV,EAAQW,GACjD,IAAIC,EAASvqD,KAEbA,KAAK2pD,OAASA,EACd3pD,KAAKwqD,OAASP,EAAWjnD,SACzBhD,KAAKsqD,QAAU,GACftqD,KAAKyqD,aAAenzD,OAAO4N,OAAO,MAC9BolD,GAAWA,EAAQxyD,SAAQ,SAAU4yD,GACvC,GAAIH,EAAOE,aAAaC,EAAOtyD,KAC3B,MAAM,IAAIuuD,WAAW,iDAAmD+D,EAAOtyD,IAAM,KACzFmyD,EAAOD,QAAQ/7C,KAAKm8C,GACpBH,EAAOE,aAAaC,EAAOtyD,KAAOsyD,EAC9BA,EAAO/L,KAAK36C,OACZumD,EAAOC,OAAOj8C,KAAK,IAAIw7C,EAAUW,EAAOtyD,IAAKsyD,EAAO/L,KAAK36C,MAAO0mD,QAWpEC,EAAc,SAAqBjsD,GACrCsB,KAAKtB,OAASA,GAGZwoD,EAAuB,CAAEyC,OAAQ,CAAEjlD,cAAc,GAAO4lD,QAAS,CAAE5lD,cAAc,GAAOw5C,GAAI,CAAEx5C,cAAc,IAchHwiD,EAAqByC,OAAO/uD,IAAM,WAChC,OAAOoF,KAAKtB,OAAOirD,QAKrBzC,EAAqBoD,QAAQ1vD,IAAM,WACjC,OAAOoF,KAAKtB,OAAO4rD,SAKrBK,EAAYpzD,UAAUwO,MAAQ,SAAgBm4C,GAC5C,OAAOl+C,KAAK4qD,iBAAiB1M,GAAIl6C,OAInC2mD,EAAYpzD,UAAUszD,kBAAoB,SAA4B3M,EAAI4M,QACtD,IAAXA,IAAoBA,GAAU,GAErC,IAAK,IAAI7yD,EAAI,EAAGA,EAAI+H,KAAKtB,OAAO4rD,QAAQnyD,OAAQF,IAAO,GAAIA,GAAK6yD,EAAQ,CACtE,IAAIJ,EAAS1qD,KAAKtB,OAAO4rD,QAAQryD,GACjC,GAAIyyD,EAAO/L,KAAKkM,oBAAsBH,EAAO/L,KAAKkM,kBAAkBnzD,KAAKgzD,EAAQxM,EAAIl+C,MACjF,OAAO,EAEb,OAAO,GAST2qD,EAAYpzD,UAAUqzD,iBAAmB,SAA2BG,GAClE,IAAK/qD,KAAK6qD,kBAAkBE,GAAW,MAAO,CAAC/mD,MAAOhE,KAAMgrD,aAAc,IAMzE,IAJD,IAAIC,EAAM,CAACF,GAASG,EAAWlrD,KAAKmrD,WAAWJ,GAASK,EAAO,OAIrD,CAER,IADA,IAAIC,GAAU,EACLpzD,EAAI,EAAGA,EAAI+H,KAAKtB,OAAO4rD,QAAQnyD,OAAQF,IAAK,CACnD,IAAIyyD,EAAS1qD,KAAKtB,OAAO4rD,QAAQryD,GACjC,GAAIyyD,EAAO/L,KAAK2M,kBAAmB,CACjC,IAAIz0C,EAAIu0C,EAAOA,EAAKnzD,GAAG4e,EAAI,EAAG00C,EAAWH,EAAOA,EAAKnzD,GAAG+L,MAAQhE,KAC5Dk+C,EAAKrnC,EAAIo0C,EAAI9yD,QACbuyD,EAAO/L,KAAK2M,kBAAkB5zD,KAAKgzD,EAAQ7zC,EAAIo0C,EAAIhoD,MAAM4T,GAAKo0C,EAAKM,EAAUL,GACjF,GAAIhN,GAAMgN,EAASL,kBAAkB3M,EAAIjmD,GAAI,CAE3C,GADAimD,EAAG0L,QAAQ,sBAAuBmB,IAC7BK,EAAM,CACTA,EAAO,GACP,IAAK,IAAIx4C,EAAI,EAAGA,EAAI5S,KAAKtB,OAAO4rD,QAAQnyD,OAAQya,IAC5Cw4C,EAAK78C,KAAKqE,EAAI3a,EAAI,CAAC+L,MAAOknD,EAAUr0C,EAAGo0C,EAAI9yD,QAAU,CAAC6L,MAAOhE,KAAM6W,EAAG,IAE5Eo0C,EAAI18C,KAAK2vC,GACTgN,EAAWA,EAASC,WAAWjN,GAC/BmN,GAAU,EAERD,IAAQA,EAAKnzD,GAAK,CAAC+L,MAAOknD,EAAUr0C,EAAGo0C,EAAI9yD,UAGnD,IAAKkzD,EAAW,MAAO,CAACrnD,MAAOknD,EAAUF,aAAcC,KAK3DN,EAAYpzD,UAAU4zD,WAAa,SAAqBjN,GACtD,IAAKA,EAAGa,OAAOsI,GAAGrnD,KAAK8+C,KAAQ,MAAM,IAAI6H,WAAW,qCAEpD,IADA,IAAI6E,EAAc,IAAIb,EAAY3qD,KAAKtB,QAAS8rD,EAASxqD,KAAKtB,OAAO8rD,OAC5DvyD,EAAI,EAAGA,EAAIuyD,EAAOryD,OAAQF,IAAK,CACtC,IAAI4+C,EAAQ2T,EAAOvyD,GACnBuzD,EAAY3U,EAAMjgD,MAAQigD,EAAM9wC,MAAMm4C,EAAIl+C,KAAK62C,EAAMjgD,MAAOoJ,KAAMwrD,GAEpE,IAAK,IAAI1H,EAAM,EAAGA,EAAM2H,EAAetzD,OAAQ2rD,IAAS2H,EAAe3H,GAAK9jD,KAAMk+C,EAAIsN,GACtF,OAAOA,GAKTtE,EAAqBhJ,GAAGtjD,IAAM,WAAc,OAAO,IAAI4tD,EAAYxoD,OAqBnE2qD,EAAYzlD,OAAS,SAAiBxG,GAGpC,IAFA,IAAIgtD,EAAU,IAAIrB,EAAc3rD,EAAOirD,QAAUjrD,EAAOogD,IAAIjgD,KAAK8qD,OAAQjrD,EAAO4rD,SAC5E19B,EAAW,IAAI+9B,EAAYe,GACtBzzD,EAAI,EAAGA,EAAIyzD,EAAQlB,OAAOryD,OAAQF,IACvC20B,EAAS8+B,EAAQlB,OAAOvyD,GAAGrB,MAAQ80D,EAAQlB,OAAOvyD,GAAGg6B,KAAKvzB,EAAQkuB,GACtE,OAAOA,GAkBT+9B,EAAYpzD,UAAUo0D,YAAc,SAAsBjtD,GAGxD,IAFA,IAAIgtD,EAAU,IAAIrB,EAAc3rD,EAAOirD,QAAU3pD,KAAK2pD,OAAQjrD,EAAO4rD,SACjEE,EAASkB,EAAQlB,OAAQ59B,EAAW,IAAI+9B,EAAYe,GAC/CzzD,EAAI,EAAGA,EAAIuyD,EAAOryD,OAAQF,IAAK,CACtC,IAAIrB,EAAO4zD,EAAOvyD,GAAGrB,KACrBg2B,EAASh2B,GAAQoJ,KAAK3H,eAAezB,GAAQoJ,KAAKpJ,GAAQ4zD,EAAOvyD,GAAGg6B,KAAKvzB,EAAQkuB,GAEnF,OAAOA,GAST+9B,EAAYpzD,UAAU82B,OAAS,SAAiBu9B,GAC9C,IAAI/xD,EAAS,CAACilD,IAAK9+C,KAAK8+C,IAAIzwB,SAAU4vB,UAAWj+C,KAAKi+C,UAAU5vB,UAEhE,GADIruB,KAAKwjD,cAAe3pD,EAAO2pD,YAAcxjD,KAAKwjD,YAAY3zC,KAAI,SAAUmS,GAAK,OAAOA,EAAEqM,aACtFu9B,GAAuC,iBAAhBA,EAA4B,IAAK,IAAIvsC,KAAQusC,EAAc,CACpF,GAAY,OAARvsC,GAAyB,aAARA,EACjB,MAAM,IAAIsnC,WAAW,sDACzB,IAAI+D,EAASkB,EAAavsC,GAAOrb,EAAQ0mD,EAAO/L,KAAK36C,MACjDA,GAASA,EAAMqqB,SAAUx0B,EAAOwlB,GAAQrb,EAAMqqB,OAAO32B,KAAKgzD,EAAQ1qD,KAAK0qD,EAAOtyD,OAEpF,OAAOyB,GAiBT8wD,EAAYlE,SAAW,SAAmB/nD,EAAQgoD,EAAMkF,GACtD,IAAKlF,EAAQ,MAAM,IAAIC,WAAW,0CAClC,IAAKjoD,EAAOirD,OAAU,MAAM,IAAIhD,WAAW,0CAC3C,IAAI+E,EAAU,IAAIrB,EAAc3rD,EAAOirD,OAAQjrD,EAAO4rD,SAClD19B,EAAW,IAAI+9B,EAAYe,GAqB/B,OApBAA,EAAQlB,OAAO1yD,SAAQ,SAAU++C,GAC/B,GAAkB,OAAdA,EAAMjgD,KACRg2B,EAASkyB,IAAM,OAAK2H,SAAS/nD,EAAOirD,OAAQjD,EAAK5H,UAC5C,GAAkB,aAAdjI,EAAMjgD,KACfg2B,EAASqxB,UAAYoH,EAAUoB,SAAS75B,EAASkyB,IAAK4H,EAAKzI,gBACtD,GAAkB,eAAdpH,EAAMjgD,KACX8vD,EAAKlD,cAAe52B,EAAS42B,YAAckD,EAAKlD,YAAY3zC,IAAInR,EAAOirD,OAAOkC,mBAC7E,CACL,GAAID,EAAgB,IAAK,IAAIvsC,KAAQusC,EAAc,CACjD,IAAIlB,EAASkB,EAAavsC,GAAOrb,EAAQ0mD,EAAO/L,KAAK36C,MACrD,GAAI0mD,EAAOtyD,KAAOy+C,EAAMjgD,MAAQoN,GAASA,EAAMyiD,UAC3CnvD,OAAOC,UAAUc,eAAeX,KAAKgvD,EAAMrnC,GAG7C,YADAuN,EAASiqB,EAAMjgD,MAAQoN,EAAMyiD,SAAS/uD,KAAKgzD,EAAQhsD,EAAQgoD,EAAKrnC,GAAOuN,IAI3EA,EAASiqB,EAAMjgD,MAAQigD,EAAM5kB,KAAKvzB,EAAQkuB,OAGvCA,GAST+9B,EAAYmB,iBAAmB,SAA2B5wD,GACxDuwD,EAAel9C,KAAKrT,IAEtByvD,EAAYoB,oBAAsB,SAA8B7wD,GAC9D,IAAImrD,EAAQoF,EAAe7sD,QAAQ1D,GAC/BmrD,GAAS,GAAKoF,EAAeO,OAAO3F,EAAO,IAGjD/uD,OAAOiP,iBAAkBokD,EAAYpzD,UAAW2vD,GAEhD,IAAIuE,EAAiB,GAiErB,IAAInmC,EAAS,SAAgBq5B,GAG3B3+C,KAAKkxB,MAAQ,GACTytB,EAAKztB,OAjBX,SAAS+6B,EAAUl0D,EAAKb,EAAMgF,GAC5B,IAAK,IAAImjB,KAAQtnB,EAAK,CACpB,IAAIN,EAAMM,EAAIsnB,GACV5nB,aAAeN,SAAYM,EAAMA,EAAIL,KAAKF,GAC7B,mBAARmoB,IAA6B5nB,EAAMw0D,EAAUx0D,EAAKP,EAAM,KACjEgF,EAAOmjB,GAAQ5nB,EAEjB,OAAOyE,EAUW+vD,CAAUtN,EAAKztB,MAAOlxB,KAAMA,KAAKkxB,OAGnDlxB,KAAK2+C,KAAOA,EACZ3+C,KAAK5H,IAAMumD,EAAKvmD,IAAMumD,EAAKvmD,IAAIA,IAAM8zD,EAAU,WAKjD5mC,EAAO/tB,UAAU40D,SAAW,SAAmBnoD,GAAS,OAAOA,EAAMhE,KAAK5H,MA4B1E,IAAIsQ,EAAOpR,OAAO4N,OAAO,MAEzB,SAASgnD,EAAUt1D,GACjB,OAAIA,KAAQ8R,EAAe9R,EAAO,OAAQ8R,EAAK9R,IAC/C8R,EAAK9R,GAAQ,EACNA,EAAO,KAOhB,IAAIw1D,EAAY,SAAmBx1D,QACrB,IAATA,IAAkBA,EAAO,OAC7BoJ,KAAK5H,IAAM8zD,EAAUt1D,IAKtBw1D,EAAU70D,UAAUqD,IAAM,SAAcoJ,GAAS,OAAOA,EAAMtF,OAAO+rD,aAAazqD,KAAK5H,MAIvFg0D,EAAU70D,UAAU40D,SAAW,SAAmBnoD,GAAS,OAAOA,EAAMhE,KAAK5H,O,6BC3mC7E,SAASi0D,EAAWvlD,GAClB9G,KAAK8G,QAAUA,E,miBAGjBulD,EAAW90D,UAAY,CACrBiB,YAAa6zD,EAEbx0C,KAAM,SAASzf,GACb,IAAK,IAAIH,EAAI,EAAGA,EAAI+H,KAAK8G,QAAQ3O,OAAQF,GAAK,EAC5C,GAAI+H,KAAK8G,QAAQ7O,KAAOG,EAAK,OAAOH,EACtC,OAAQ,GAMV2C,IAAK,SAASxC,GACZ,IAAIiuD,EAAQrmD,KAAK6X,KAAKzf,GACtB,OAAiB,GAAViuD,OAAczpD,EAAYoD,KAAK8G,QAAQu/C,EAAQ,IAOxDpzC,OAAQ,SAAS7a,EAAKkD,EAAOgxD,GAC3B,IAAIp1D,EAAOo1D,GAAUA,GAAUl0D,EAAM4H,KAAKkT,OAAOo5C,GAAUtsD,KACvDqmD,EAAQnvD,EAAK2gB,KAAKzf,GAAM0O,EAAU5P,EAAK4P,QAAQ7D,QAOnD,OANc,GAAVojD,EACFv/C,EAAQyH,KAAK+9C,GAAUl0D,EAAKkD,IAE5BwL,EAAQu/C,EAAQ,GAAK/qD,EACjBgxD,IAAQxlD,EAAQu/C,GAASiG,IAExB,IAAID,EAAWvlD,IAKxBoM,OAAQ,SAAS9a,GACf,IAAIiuD,EAAQrmD,KAAK6X,KAAKzf,GACtB,IAAc,GAAViuD,EAAa,OAAOrmD,KACxB,IAAI8G,EAAU9G,KAAK8G,QAAQ7D,QAE3B,OADA6D,EAAQklD,OAAO3F,EAAO,GACf,IAAIgG,EAAWvlD,IAKxBylD,WAAY,SAASn0D,EAAKkD,GACxB,OAAO,IAAI+wD,EAAW,CAACj0D,EAAKkD,GAAO0H,OAAOhD,KAAKkT,OAAO9a,GAAK0O,WAK7D0lD,SAAU,SAASp0D,EAAKkD,GACtB,IAAIwL,EAAU9G,KAAKkT,OAAO9a,GAAK0O,QAAQ7D,QAEvC,OADA6D,EAAQyH,KAAKnW,EAAKkD,GACX,IAAI+wD,EAAWvlD,IAMxB2lD,UAAW,SAASC,EAAOt0D,EAAKkD,GAC9B,IAAIqxD,EAAU3sD,KAAKkT,OAAO9a,GAAM0O,EAAU6lD,EAAQ7lD,QAAQ7D,QACtDojD,EAAQsG,EAAQ90C,KAAK60C,GAEzB,OADA5lD,EAAQklD,QAAiB,GAAV3F,EAAcv/C,EAAQ3O,OAASkuD,EAAO,EAAGjuD,EAAKkD,GACtD,IAAI+wD,EAAWvlD,IAMxBhP,QAAS,SAASoD,GAChB,IAAK,IAAIjD,EAAI,EAAGA,EAAI+H,KAAK8G,QAAQ3O,OAAQF,GAAK,EAC5CiD,EAAE8E,KAAK8G,QAAQ7O,GAAI+H,KAAK8G,QAAQ7O,EAAI,KAMxC20D,QAAS,SAAS/8C,GAEhB,OADAA,EAAMw8C,EAAWlhC,KAAKtb,IACb0J,KACF,IAAI8yC,EAAWx8C,EAAI/I,QAAQ9D,OAAOhD,KAAKkxC,SAASrhC,GAAK/I,UADtC9G,MAOxB6sD,OAAQ,SAASh9C,GAEf,OADAA,EAAMw8C,EAAWlhC,KAAKtb,IACb0J,KACF,IAAI8yC,EAAWrsD,KAAKkxC,SAASrhC,GAAK/I,QAAQ9D,OAAO6M,EAAI/I,UADtC9G,MAOxBkxC,SAAU,SAASrhC,GACjB,IAAIhW,EAASmG,KACb6P,EAAMw8C,EAAWlhC,KAAKtb,GACtB,IAAK,IAAI5X,EAAI,EAAGA,EAAI4X,EAAI/I,QAAQ3O,OAAQF,GAAK,EAC3C4B,EAASA,EAAOqZ,OAAOrD,EAAI/I,QAAQ7O,IACrC,OAAO4B,GAKT,WACE,OAAOmG,KAAK8G,QAAQ3O,QAAU,IAQlCk0D,EAAWlhC,KAAO,SAAS7vB,GACzB,GAAIA,aAAiB+wD,EAAY,OAAO/wD,EACxC,IAAIwL,EAAU,GACd,GAAIxL,EAAO,IAAK,IAAI+jB,KAAQ/jB,EAAOwL,EAAQyH,KAAK8Q,EAAM/jB,EAAM+jB,IAC5D,OAAO,IAAIgtC,EAAWvlD,IAGxB,IAEe,EAFEulD,ECtEjB,IAAIS,EAAW,SAAkBhmD,EAASyS,GAMxC,GALAvZ,KAAK8G,QAAUA,EAIf9G,KAAKuZ,KAAOA,GAAQ,EACR,MAARA,EAAgB,IAAK,IAAIthB,EAAI,EAAGA,EAAI6O,EAAQ3O,OAAQF,IACpD+H,KAAKuZ,MAAQzS,EAAQ7O,GAAGypD,UAG1B6D,EAAqB,CAAEhxC,WAAY,CAAE7P,cAAc,GAAO65C,UAAW,CAAE75C,cAAc,GAAOu6C,WAAY,CAAEv6C,cAAc,IAM5HooD,EAASv1D,UAAUqrD,aAAe,SAAuBz3B,EAAM8L,EAAI/7B,EAAG6xD,EAAW5qD,QAC1D,IAAd4qD,IAAuBA,EAAY,GAE1C,IAAK,IAAI90D,EAAI,EAAGihB,EAAM,EAAGA,EAAM+d,EAAIh/B,IAAK,CACtC,IAAIgwD,EAAQjoD,KAAK8G,QAAQ7O,GAAI+pD,EAAM9oC,EAAM+uC,EAAMvG,SAC/C,GAAIM,EAAM72B,IAAiD,IAAzCjwB,EAAE+sD,EAAO8E,EAAY7zC,EAAK/W,EAAQlK,IAAgBgwD,EAAMnhD,QAAQyS,KAAM,CACtF,IAAIyzC,EAAQ9zC,EAAM,EAClB+uC,EAAMrF,aAAa9rD,KAAKkwB,IAAI,EAAGmE,EAAO6hC,GACnBl2D,KAAKkK,IAAIinD,EAAMnhD,QAAQyS,KAAM0d,EAAK+1B,GAClC9xD,EAAG6xD,EAAYC,GAEpC9zC,EAAM8oC,IAOV8K,EAASv1D,UAAU01D,YAAc,SAAsB/xD,GACrD8E,KAAK4iD,aAAa,EAAG5iD,KAAKuZ,KAAMre,IAIlC4xD,EAASv1D,UAAU21D,YAAc,SAAsB/hC,EAAM8L,EAAIk2B,EAAgBC,GAC/E,IAAIhvD,EAAO,GAAIivD,GAAY,EAa3B,OAZArtD,KAAK4iD,aAAaz3B,EAAM8L,GAAI,SAAUonB,EAAMnlC,GACtCmlC,EAAKwJ,QACPzpD,GAAQigD,EAAKjgD,KAAK6E,MAAMnM,KAAKkwB,IAAImE,EAAMjS,GAAOA,EAAK+d,EAAK/d,GACxDm0C,GAAaF,GACJ9O,EAAKiP,QAAUF,GACxBhvD,GAAQgvD,EACRC,GAAaF,IACHE,GAAahP,EAAKqC,UAC5BtiD,GAAQ+uD,EACRE,GAAY,KAEb,GACIjvD,GAMT0uD,EAASv1D,UAAUs1D,OAAS,SAAiBlqC,GAC3C,IAAKA,EAAMpJ,KAAQ,OAAOvZ,KAC1B,IAAKA,KAAKuZ,KAAQ,OAAOoJ,EACzB,IAAI+Q,EAAO1zB,KAAKu+C,UAAWplC,EAAQwJ,EAAMpO,WAAYzN,EAAU9G,KAAK8G,QAAQ7D,QAAShL,EAAI,EAKzF,IAJIy7B,EAAKm0B,QAAUn0B,EAAK65B,WAAWp0C,KACjCrS,EAAQA,EAAQ3O,OAAS,GAAKu7B,EAAK85B,SAAS95B,EAAKt1B,KAAO+a,EAAM/a,MAC9DnG,EAAI,GAECA,EAAI0qB,EAAM7b,QAAQ3O,OAAQF,IAAO6O,EAAQyH,KAAKoU,EAAM7b,QAAQ7O,IACnE,OAAO,IAAI60D,EAAShmD,EAAS9G,KAAKuZ,KAAOoJ,EAAMpJ,OAKjDuzC,EAASv1D,UAAUk2D,IAAM,SAActiC,EAAM8L,GAE3C,GADU,MAANA,IAAcA,EAAKj3B,KAAKuZ,MAChB,GAAR4R,GAAa8L,GAAMj3B,KAAKuZ,KAAQ,OAAOvZ,KAC3C,IAAInG,EAAS,GAAI0f,EAAO,EACxB,GAAI0d,EAAK9L,EAAQ,IAAK,IAAIlzB,EAAI,EAAGihB,EAAM,EAAGA,EAAM+d,EAAIh/B,IAAK,CACvD,IAAIgwD,EAAQjoD,KAAK8G,QAAQ7O,GAAI+pD,EAAM9oC,EAAM+uC,EAAMvG,SAC3CM,EAAM72B,KACJjS,EAAMiS,GAAQ62B,EAAM/qB,KAElBgxB,EADAA,EAAMJ,OACEI,EAAMwF,IAAI32D,KAAKkwB,IAAI,EAAGmE,EAAOjS,GAAMpiB,KAAKkK,IAAIinD,EAAM7pD,KAAKjG,OAAQ8+B,EAAK/d,IAEpE+uC,EAAMwF,IAAI32D,KAAKkwB,IAAI,EAAGmE,EAAOjS,EAAM,GAAIpiB,KAAKkK,IAAIinD,EAAMnhD,QAAQyS,KAAM0d,EAAK/d,EAAM,KAE7Frf,EAAO0U,KAAK05C,GACZ1uC,GAAQ0uC,EAAMvG,UAEhBxoC,EAAM8oC,EAER,OAAO,IAAI8K,EAASjzD,EAAQ0f,IAG9BuzC,EAASv1D,UAAUm2D,WAAa,SAAqBviC,EAAM8L,GACzD,OAAI9L,GAAQ8L,EAAa61B,EAASz3B,MACtB,GAARlK,GAAa8L,GAAMj3B,KAAK8G,QAAQ3O,OAAiB6H,KAC9C,IAAI8sD,EAAS9sD,KAAK8G,QAAQ7D,MAAMkoB,EAAM8L,KAM/C61B,EAASv1D,UAAUo2D,aAAe,SAAuBlhD,EAAO4xC,GAC9D,IAAIuP,EAAU5tD,KAAK8G,QAAQ2F,GAC3B,GAAImhD,GAAWvP,EAAQ,OAAOr+C,KAC9B,IAAIkiD,EAAOliD,KAAK8G,QAAQ7D,QACpBsW,EAAOvZ,KAAKuZ,KAAO8kC,EAAKqD,SAAWkM,EAAQlM,SAE/C,OADAQ,EAAKz1C,GAAS4xC,EACP,IAAIyO,EAAS5K,EAAM3oC,IAM5BuzC,EAASv1D,UAAUg1D,WAAa,SAAqBlO,GACnD,OAAO,IAAIyO,EAAS,CAACzO,GAAMr7C,OAAOhD,KAAK8G,SAAU9G,KAAKuZ,KAAO8kC,EAAKqD,WAMpEoL,EAASv1D,UAAUi1D,SAAW,SAAmBnO,GAC/C,OAAO,IAAIyO,EAAS9sD,KAAK8G,QAAQ9D,OAAOq7C,GAAOr+C,KAAKuZ,KAAO8kC,EAAKqD,WAKlEoL,EAASv1D,UAAU8vD,GAAK,SAAa1kC,GACnC,GAAI3iB,KAAK8G,QAAQ3O,QAAUwqB,EAAM7b,QAAQ3O,OAAU,OAAO,EAC1D,IAAK,IAAIF,EAAI,EAAGA,EAAI+H,KAAK8G,QAAQ3O,OAAQF,IACrC,IAAK+H,KAAK8G,QAAQ7O,GAAGovD,GAAG1kC,EAAM7b,QAAQ7O,IAAO,OAAO,EACxD,OAAO,GAKTstD,EAAmBhxC,WAAW3Z,IAAM,WAAc,OAAOoF,KAAK8G,QAAQ3O,OAAS6H,KAAK8G,QAAQ,GAAK,MAIjGy+C,EAAmBhH,UAAU3jD,IAAM,WAAc,OAAOoF,KAAK8G,QAAQ3O,OAAS6H,KAAK8G,QAAQ9G,KAAK8G,QAAQ3O,OAAS,GAAK,MAItHotD,EAAmBtG,WAAWrkD,IAAM,WAAc,OAAOoF,KAAK8G,QAAQ3O,QAKtE20D,EAASv1D,UAAU0wD,MAAQ,SAAgBx7C,GACzC,IAAI45C,EAAQrmD,KAAK8G,QAAQ2F,GACzB,IAAK45C,EAAS,MAAM,IAAIM,WAAW,SAAWl6C,EAAQ,qBAAuBzM,MAC7E,OAAOqmD,GAKTyG,EAASv1D,UAAUs2D,WAAa,SAAqBphD,GACnD,OAAOzM,KAAK8G,QAAQ2F,IAMtBqgD,EAASv1D,UAAUO,QAAU,SAAkBoD,GAC7C,IAAK,IAAIjD,EAAI,EAAGyuB,EAAI,EAAGzuB,EAAI+H,KAAK8G,QAAQ3O,OAAQF,IAAK,CACnD,IAAIgwD,EAAQjoD,KAAK8G,QAAQ7O,GACzBiD,EAAE+sD,EAAOvhC,EAAGzuB,GACZyuB,GAAKuhC,EAAMvG,WAOfoL,EAASv1D,UAAUu2D,cAAgB,SAA0BnrC,EAAOzJ,GAGlE,YAFe,IAARA,IAAiBA,EAAM,GAzOhC,SAAS40C,EAAc5zD,EAAGC,EAAG+e,GAC3B,IAAK,IAAIjhB,EAAI,GAAIA,IAAK,CACpB,GAAIA,GAAKiC,EAAE+kD,YAAchnD,GAAKkC,EAAE8kD,WAC5B,OAAO/kD,EAAE+kD,YAAc9kD,EAAE8kD,WAAa,KAAO/lC,EAEjD,IAAI60C,EAAS7zD,EAAE+tD,MAAMhwD,GAAI+1D,EAAS7zD,EAAE8tD,MAAMhwD,GAC1C,GAAI81D,GAAUC,EAAd,CAEA,IAAKD,EAAOR,WAAWS,GAAW,OAAO90C,EAEzC,GAAI60C,EAAOlG,QAAUkG,EAAO3vD,MAAQ4vD,EAAO5vD,KAAM,CAC/C,IAAK,IAAIwU,EAAI,EAAGm7C,EAAO3vD,KAAKwU,IAAMo7C,EAAO5vD,KAAKwU,GAAIA,IAC9CsG,IACJ,OAAOA,EAET,GAAI60C,EAAOjnD,QAAQyS,MAAQy0C,EAAOlnD,QAAQyS,KAAM,CAC9C,IAAI2sC,EAAQ4H,EAAcC,EAAOjnD,QAASknD,EAAOlnD,QAASoS,EAAM,GAChE,GAAa,MAATgtC,EAAiB,OAAOA,EAE9BhtC,GAAO60C,EAAOrM,cAbUxoC,GAAO60C,EAAOrM,UAqOjCoM,CAAc9tD,KAAM2iB,EAAOzJ,IAQpC4zC,EAASv1D,UAAU02D,YAAc,SAAwBtrC,EAAOzJ,EAAKg1C,GAInE,YAHe,IAARh1C,IAAiBA,EAAMlZ,KAAKuZ,WACf,IAAb20C,IAAsBA,EAAWvrC,EAAMpJ,MA9NhD,SAAS00C,EAAY/zD,EAAGC,EAAGg0D,EAAMC,GAC/B,IAAK,IAAIC,EAAKn0D,EAAE+kD,WAAYqP,EAAKn0D,EAAE8kD,aAAc,CAC/C,GAAU,GAANoP,GAAiB,GAANC,EACX,OAAOD,GAAMC,EAAK,KAAO,CAACp0D,EAAGi0D,EAAMh0D,EAAGi0D,GAE1C,IAAIL,EAAS7zD,EAAE+tD,QAAQoG,GAAKL,EAAS7zD,EAAE8tD,QAAQqG,GAAK/0C,EAAOw0C,EAAOrM,SAClE,GAAIqM,GAAUC,EAAd,CAKA,IAAKD,EAAOR,WAAWS,GAAW,MAAO,CAAC9zD,EAAGi0D,EAAMh0D,EAAGi0D,GAEtD,GAAIL,EAAOlG,QAAUkG,EAAO3vD,MAAQ4vD,EAAO5vD,KAAM,CAE/C,IADA,IAAImwD,EAAO,EAAGC,EAAU13D,KAAKkK,IAAI+sD,EAAO3vD,KAAKjG,OAAQ61D,EAAO5vD,KAAKjG,QAC1Do2D,EAAOC,GAAWT,EAAO3vD,KAAK2vD,EAAO3vD,KAAKjG,OAASo2D,EAAO,IAAMP,EAAO5vD,KAAK4vD,EAAO5vD,KAAKjG,OAASo2D,EAAO,IAC7GA,IAAQJ,IAAQC,IAElB,MAAO,CAACl0D,EAAGi0D,EAAMh0D,EAAGi0D,GAEtB,GAAIL,EAAOjnD,QAAQyS,MAAQy0C,EAAOlnD,QAAQyS,KAAM,CAC9C,IAAI2sC,EAAQ+H,EAAYF,EAAOjnD,QAASknD,EAAOlnD,QAASqnD,EAAO,EAAGC,EAAO,GACzE,GAAIlI,EAAS,OAAOA,EAEtBiI,GAAQ50C,EAAM60C,GAAQ70C,OAjBpB40C,GAAQ50C,EAAM60C,GAAQ70C,GAyNnB00C,CAAYjuD,KAAM2iB,EAAOzJ,EAAKg1C,IAOvCpB,EAASv1D,UAAUugB,UAAY,SAAoBoB,EAAK41B,GAGtD,QAFiB,IAAVA,IAAmBA,GAAS,GAExB,GAAP51B,EAAY,OAAOu1C,EAAS,EAAGv1C,GACnC,GAAIA,GAAOlZ,KAAKuZ,KAAQ,OAAOk1C,EAASzuD,KAAK8G,QAAQ3O,OAAQ+gB,GAC7D,GAAIA,EAAMlZ,KAAKuZ,MAAQL,EAAM,EAAK,MAAM,IAAIytC,WAAY,YAAcztC,EAAM,yBAA2B,KAAS,KAChH,IAAK,IAAIjhB,EAAI,EAAGy2D,EAAS,GAAIz2D,IAAK,CAChC,IAAyB+pD,EAAM0M,EAArB1uD,KAAKioD,MAAMhwD,GAAuBypD,SAC5C,GAAIM,GAAO9oC,EACT,OAAI8oC,GAAO9oC,GAAO41B,EAAQ,EAAY2f,EAASx2D,EAAI,EAAG+pD,GAC/CyM,EAASx2D,EAAGy2D,GAErBA,EAAS1M,IAMb8K,EAASv1D,UAAUF,SAAW,WAAuB,MAAO,IAAM2I,KAAK2uD,gBAAkB,KAEzF7B,EAASv1D,UAAUo3D,cAAgB,WAA4B,OAAO3uD,KAAK8G,QAAQ/G,KAAK,OAIxF+sD,EAASv1D,UAAU82B,OAAS,WAC1B,OAAOruB,KAAK8G,QAAQ3O,OAAS6H,KAAK8G,QAAQ+I,KAAI,SAAUgH,GAAK,OAAOA,EAAEwX,YAAe,MAKvFy+B,EAASrG,SAAW,SAAmBkD,EAAQruD,GAC7C,IAAKA,EAAS,OAAOwxD,EAASz3B,MAC9B,IAAK/mB,MAAM9W,QAAQ8D,GAAU,MAAM,IAAIqrD,WAAW,uCAClD,OAAO,IAAImG,EAASxxD,EAAMuU,IAAI85C,EAAOiF,gBAMvC9B,EAAS+B,UAAY,SAAoB3gD,GACvC,IAAKA,EAAM/V,OAAU,OAAO20D,EAASz3B,MAErC,IADA,IAAIy5B,EAAQv1C,EAAO,EACVthB,EAAI,EAAGA,EAAIiW,EAAM/V,OAAQF,IAAK,CACrC,IAAIomD,EAAOnwC,EAAMjW,GACjBshB,GAAQ8kC,EAAKqD,SACTzpD,GAAKomD,EAAKwJ,QAAU35C,EAAMjW,EAAI,GAAGs1D,WAAWlP,IACzCyQ,IAAUA,EAAS5gD,EAAMjL,MAAM,EAAGhL,IACvC62D,EAAOA,EAAO32D,OAAS,GAAKkmD,EAAKmP,SAASsB,EAAOA,EAAO32D,OAAS,GAAGiG,KAAOigD,EAAKjgD,OACvE0wD,GACTA,EAAOvgD,KAAK8vC,GAGhB,OAAO,IAAIyO,EAASgC,GAAU5gD,EAAOqL,IAQvCuzC,EAAS3hC,KAAO,SAAe4jC,GAC7B,IAAKA,EAAS,OAAOjC,EAASz3B,MAC9B,GAAI05B,aAAiBjC,EAAY,OAAOiC,EACxC,GAAIzgD,MAAM9W,QAAQu3D,GAAU,OAAO/uD,KAAK6uD,UAAUE,GAClD,GAAIA,EAAMvM,MAAS,OAAO,IAAIsK,EAAS,CAACiC,GAAQA,EAAMrN,UACtD,MAAM,IAAIiF,WAAW,mBAAqBoI,EAAQ,kBAC5BA,EAAMnM,aAAe,mEAAqE,MAGlHtrD,OAAOiP,iBAAkBumD,EAASv1D,UAAWguD,GAE7C,IAAIc,EAAQ,CAAC55C,MAAO,EAAGsiC,OAAQ,GAC/B,SAAS0f,EAAShiD,EAAOsiC,GAGvB,OAFAsX,EAAM55C,MAAQA,EACd45C,EAAMtX,OAASA,EACRsX,EAST,SAAS2I,EAAY90D,EAAGC,GACtB,GAAID,IAAMC,EAAK,OAAO,EACtB,IAAMD,GAAiB,iBAALA,IACZC,GAAiB,iBAALA,EAAkB,OAAO,EAC3C,IAAI+T,EAAQI,MAAM9W,QAAQ0C,GAC1B,GAAIoU,MAAM9W,QAAQ2C,IAAM+T,EAAS,OAAO,EACxC,GAAIA,EAAO,CACT,GAAIhU,EAAE/B,QAAUgC,EAAEhC,OAAU,OAAO,EACnC,IAAK,IAAIF,EAAI,EAAGA,EAAIiC,EAAE/B,OAAQF,IAAO,IAAK+2D,EAAY90D,EAAEjC,GAAIkC,EAAElC,IAAO,OAAO,MACvE,CACL,IAAK,IAAIyuB,KAAKxsB,EAAK,KAAMwsB,KAAKvsB,KAAO60D,EAAY90D,EAAEwsB,GAAIvsB,EAAEusB,IAAO,OAAO,EACvE,IAAK,IAAIuoC,KAAO90D,EAAK,KAAM80D,KAAO/0D,GAAM,OAAO,EAEjD,OAAO,EAfT4yD,EAASz3B,MAAQ,IAAIy3B,EAAS,GAAI,GAwBlC,IAAIoC,EAAO,SAAcrwD,EAAM2jD,GAG7BxiD,KAAKnB,KAAOA,EAGZmB,KAAKwiD,MAAQA,GAyGf,SAAS2M,EAAarwC,GACpB,IAAIswC,EAAMpiD,MAAMtV,KAAKsI,KAAM8e,GAE3B,OADAswC,EAAI5rC,UAAY2rC,EAAa53D,UACtB63D,EAnGTF,EAAK33D,UAAU6xD,SAAW,SAAmBlmD,GAE3C,IADA,IAAIg/C,EAAMmN,GAAS,EACVp3D,EAAI,EAAGA,EAAIiL,EAAI/K,OAAQF,IAAK,CACnC,IAAI0qB,EAAQzf,EAAIjL,GAChB,GAAI+H,KAAKqnD,GAAG1kC,GAAU,OAAOzf,EAC7B,GAAIlD,KAAKnB,KAAKywD,SAAS3sC,EAAM9jB,MACtBqjD,IAAQA,EAAOh/C,EAAID,MAAM,EAAGhL,QAC5B,IAAI0qB,EAAM9jB,KAAKywD,SAAStvD,KAAKnB,MAClC,OAAOqE,GAEFmsD,GAAU1sC,EAAM9jB,KAAK0wD,KAAOvvD,KAAKnB,KAAK0wD,OACpCrN,IAAQA,EAAOh/C,EAAID,MAAM,EAAGhL,IACjCiqD,EAAK3zC,KAAKvO,MACVqvD,GAAS,GAEPnN,GAAQA,EAAK3zC,KAAKoU,IAK1B,OAFKu/B,IAAQA,EAAOh/C,EAAID,SACnBosD,GAAUnN,EAAK3zC,KAAKvO,MAClBkiD,GAMTgN,EAAK33D,UAAU8xD,cAAgB,SAAwBnmD,GACrD,IAAK,IAAIjL,EAAI,EAAGA,EAAIiL,EAAI/K,OAAQF,IAC5B,GAAI+H,KAAKqnD,GAAGnkD,EAAIjL,IACd,OAAOiL,EAAID,MAAM,EAAGhL,GAAG+K,OAAOE,EAAID,MAAMhL,EAAI,IAClD,OAAOiL,GAKTgsD,EAAK33D,UAAUgsD,QAAU,SAAkBrgD,GACzC,IAAK,IAAIjL,EAAI,EAAGA,EAAIiL,EAAI/K,OAAQF,IAC5B,GAAI+H,KAAKqnD,GAAGnkD,EAAIjL,IAAO,OAAO,EAClC,OAAO,GAMTi3D,EAAK33D,UAAU8vD,GAAK,SAAa1kC,GAC/B,OAAO3iB,MAAQ2iB,GACZ3iB,KAAKnB,MAAQ8jB,EAAM9jB,MAAQmwD,EAAYhvD,KAAKwiD,MAAO7/B,EAAM6/B,QAK9D0M,EAAK33D,UAAU82B,OAAS,WACtB,IAAIt2B,EAAM,CAAC8G,KAAMmB,KAAKnB,KAAKjI,MAC3B,IAAK,IAAIkzD,KAAK9pD,KAAKwiD,MAAO,CACxBzqD,EAAIyqD,MAAQxiD,KAAKwiD,MACjB,MAEF,OAAOzqD,GAITm3D,EAAKzI,SAAW,SAAmBkD,EAAQjD,GACzC,IAAKA,EAAQ,MAAM,IAAIC,WAAW,mCAClC,IAAI9nD,EAAO8qD,EAAOlG,MAAMiD,EAAK7nD,MAC7B,IAAKA,EAAQ,MAAM,IAAI8nD,WAAY,yBAA4BD,EAAS,KAAI,mBAC5E,OAAO7nD,EAAKqG,OAAOwhD,EAAKlE,QAK1B0M,EAAKhG,QAAU,SAAkBhvD,EAAGC,GAClC,GAAID,GAAKC,EAAK,OAAO,EACrB,GAAID,EAAE/B,QAAUgC,EAAEhC,OAAU,OAAO,EACnC,IAAK,IAAIF,EAAI,EAAGA,EAAIiC,EAAE/B,OAAQF,IAC1B,IAAKiC,EAAEjC,GAAGovD,GAAGltD,EAAElC,IAAO,OAAO,EACjC,OAAO,GAMTi3D,EAAKM,QAAU,SAAkB/L,GAC/B,IAAKA,GAAyB,GAAhBA,EAAMtrD,OAAe,OAAO+2D,EAAKxF,KAC/C,GAAIjG,aAAiByL,EAAQ,MAAO,CAACzL,GACrC,IAAIvB,EAAOuB,EAAMxgD,QAEjB,OADAi/C,EAAK9hB,MAAK,SAAUlmC,EAAGC,GAAK,OAAOD,EAAE2E,KAAK0wD,KAAOp1D,EAAE0E,KAAK0wD,QACjDrN,GAITgN,EAAKxF,KAAO,GAYZyF,EAAa53D,UAAYD,OAAO4N,OAAO8H,MAAMzV,WAC7C43D,EAAa53D,UAAUiB,YAAc22D,EACrCA,EAAa53D,UAAUX,KAAO,eAK9B,IAAI64D,EAAQ,SAAe3oD,EAAS4oD,EAAWhK,GAE7C1lD,KAAK8G,QAAUA,EAEf9G,KAAK0vD,UAAYA,EAEjB1vD,KAAK0lD,QAAUA,GAGbwB,EAAuB,CAAE3tC,KAAM,CAAE7U,cAAc,IA8FnD,SAASnK,EAAQ8kD,EAAOC,EAAKr8C,GAC3B,GAAIA,EAAMysD,UAAYrQ,EAAMR,MACxB,MAAM,IAAIsQ,EAAa,mDAC3B,GAAI9P,EAAMR,MAAQ57C,EAAMysD,WAAapQ,EAAIT,MAAQ57C,EAAMyiD,QACnD,MAAM,IAAIyJ,EAAa,4BAC3B,OAGF,SAASQ,EAAatQ,EAAOC,EAAKr8C,EAAO47C,GACvC,IAAIpyC,EAAQ4yC,EAAM5yC,MAAMoyC,GAAQR,EAAOgB,EAAMhB,KAAKQ,GAClD,GAAIpyC,GAAS6yC,EAAI7yC,MAAMoyC,IAAUA,EAAQQ,EAAMR,MAAQ57C,EAAMysD,UAAW,CACtE,IAAIxJ,EAAQyJ,EAAatQ,EAAOC,EAAKr8C,EAAO47C,EAAQ,GACpD,OAAOR,EAAK6D,KAAK7D,EAAKv3C,QAAQ6mD,aAAalhD,EAAOy5C,IAC7C,GAAKjjD,EAAM6D,QAAQyS,KAEnB,IAAKtW,EAAMysD,WAAczsD,EAAMyiD,SAAWrG,EAAMR,OAASA,GAASS,EAAIT,OAASA,EAG/E,CACL,IAAIO,EAgFR,SAAgCn8C,EAAO2sD,GAGrC,IAFA,IAAIC,EAAQD,EAAO/Q,MAAQ57C,EAAMysD,UAC7BrR,EADiDuR,EAAOvR,KAAKwR,GAC/C3N,KAAKj/C,EAAM6D,SACpB7O,EAAI43D,EAAQ,EAAG53D,GAAK,EAAGA,IAC5BomD,EAAOuR,EAAOvR,KAAKpmD,GAAGiqD,KAAK4K,EAAS3hC,KAAKkzB,IAC7C,MAAO,CAAC2O,MAAO3O,EAAKyR,eAAe7sD,EAAMysD,UAAYG,GAC7C7N,IAAK3D,EAAKyR,eAAezR,EAAKv3C,QAAQyS,KAAOtW,EAAMyiD,QAAUmK,IAtFzDE,CAAuB9sD,EAAOo8C,GACpC2N,EAAQ5N,EAAI4N,MACZhL,EAAM5C,EAAI4C,IACd,OAAO,EAAM3D,EA8CjB,SAAS2R,EAAgB3Q,EAAO4Q,EAAQxI,EAAMnI,EAAKT,GACjD,IAAI6Q,EAAYrQ,EAAMR,MAAQA,GAASqR,EAAS7Q,EAAO4Q,EAAQpR,EAAQ,GACnE6G,EAAUpG,EAAIT,MAAQA,GAASqR,EAASzI,EAAMnI,EAAKT,EAAQ,GAE3D/3C,EAAU,GACdqpD,EAAS,KAAM9Q,EAAOR,EAAO/3C,GACzB4oD,GAAahK,GAAWuK,EAAOxjD,MAAMoyC,IAAU4I,EAAKh7C,MAAMoyC,IAC5DuR,EAAUV,EAAWhK,GACrB2K,EAAQ,EAAMX,EAAWM,EAAgB3Q,EAAO4Q,EAAQxI,EAAMnI,EAAKT,EAAQ,IAAK/3C,KAE5E4oD,GACAW,EAAQ,EAAMX,EAAWY,EAAcjR,EAAO4Q,EAAQpR,EAAQ,IAAK/3C,GACvEqpD,EAASF,EAAQxI,EAAM5I,EAAO/3C,GAC1B4+C,GACA2K,EAAQ,EAAM3K,EAAS4K,EAAc7I,EAAMnI,EAAKT,EAAQ,IAAK/3C,IAGnE,OADAqpD,EAAS7Q,EAAK,KAAMT,EAAO/3C,GACpB,IAAIgmD,EAAShmD,GA/DCkpD,CAAgB3Q,EAAO2N,EAAOhL,EAAK1C,EAAKT,IAN3D,IAAI18C,EAASk9C,EAAMl9C,OAAQ2E,EAAU3E,EAAO2E,QAC5C,OAAO,EAAM3E,EAAQ2E,EAAQ2mD,IAAI,EAAGpO,EAAMsB,cAAckM,OAAO5pD,EAAM6D,SAAS+lD,OAAO/lD,EAAQ2mD,IAAInO,EAAIqB,gBAHrG,OAAO,EAAMtC,EAAMiS,EAAcjR,EAAOC,EAAKT,IATxC8Q,CAAatQ,EAAOC,EAAKr8C,EAAO,GAqBzC,SAASmtD,EAAUG,EAAMC,GACvB,IAAKA,EAAI3xD,KAAK2iD,kBAAkB+O,EAAK1xD,MACjC,MAAM,IAAIswD,EAAa,eAAiBqB,EAAI3xD,KAAKjI,KAAO,SAAW25D,EAAK1xD,KAAKjI,MAGnF,SAASs5D,EAASO,EAASC,EAAQ7R,GACjC,IAAIR,EAAOoS,EAAQpS,KAAKQ,GAExB,OADAuR,EAAU/R,EAAMqS,EAAOrS,KAAKQ,IACrBR,EAGT,SAASgS,EAAQpI,EAAO/rD,GACtB,IAAIw3B,EAAOx3B,EAAO/D,OAAS,EACvBu7B,GAAQ,GAAKu0B,EAAMJ,QAAUI,EAAMsF,WAAWrxD,EAAOw3B,IACrDx3B,EAAOw3B,GAAQu0B,EAAMuF,SAAStxD,EAAOw3B,GAAMt1B,KAAO6pD,EAAM7pD,MAExDlC,EAAOqS,KAAK05C,GAGlB,SAASkI,EAASF,EAAQxI,EAAM5I,EAAO3iD,GACrC,IAAImiD,GAAQoJ,GAAQwI,GAAQ5R,KAAKQ,GAC7B8R,EAAa,EAAGC,EAAWnJ,EAAOA,EAAKh7C,MAAMoyC,GAASR,EAAKY,WAC3DgR,IACFU,EAAaV,EAAOxjD,MAAMoyC,GACtBoR,EAAOpR,MAAQA,EACjB8R,IACSV,EAAOY,aAChBR,EAAQJ,EAAO1O,UAAWrlD,GAC1By0D,MAGJ,IAAK,IAAI14D,EAAI04D,EAAY14D,EAAI24D,EAAU34D,IAAOo4D,EAAQhS,EAAK4J,MAAMhwD,GAAIiE,GACjEurD,GAAQA,EAAK5I,OAASA,GAAS4I,EAAKoJ,YACpCR,EAAQ5I,EAAKnG,WAAYplD,GAG/B,SAAS,EAAMmiD,EAAMv3C,GACnB,IAAKu3C,EAAKx/C,KAAKiyD,aAAahqD,GACxB,MAAM,IAAIqoD,EAAa,4BAA8B9Q,EAAKx/C,KAAKjI,MACnE,OAAOynD,EAAK6D,KAAKp7C,GAuBnB,SAASwpD,EAAcjR,EAAOC,EAAKT,GACjC,IAAI/3C,EAAU,IACdqpD,EAAS,KAAM9Q,EAAOR,EAAO/3C,GACzBu4C,EAAMR,MAAQA,IAEhBwR,EAAQ,EADGH,EAAS7Q,EAAOC,EAAKT,EAAQ,GACpByR,EAAcjR,EAAOC,EAAKT,EAAQ,IAAK/3C,GAG7D,OADAqpD,EAAS7Q,EAAK,KAAMT,EAAO/3C,GACpB,IAAIgmD,EAAShmD,GA1LtBogD,EAAqB3tC,KAAK3e,IAAM,WAC9B,OAAOoF,KAAK8G,QAAQyS,KAAOvZ,KAAK0vD,UAAY1vD,KAAK0lD,SAGnD+J,EAAMl4D,UAAUw5D,SAAW,SAAmB73C,EAAK83C,GACjD,IAAIlqD,EAoEN,SAASmqD,EAAWnqD,EAASoqD,EAAMnM,EAAQ5iD,GACzC,IAAIi9C,EAAMt4C,EAAQgR,UAAUo5C,GACxBzkD,EAAQ2yC,EAAI3yC,MACZsiC,EAASqQ,EAAIrQ,OACbkZ,EAAQnhD,EAAQ+mD,WAAWphD,GAC/B,GAAIsiC,GAAUmiB,GAAQjJ,EAAMJ,OAC1B,OAAI1lD,IAAWA,EAAO8+C,WAAWx0C,EAAOA,EAAOs4C,GAAkB,KAC1Dj+C,EAAQ2mD,IAAI,EAAGyD,GAAMrE,OAAO9H,GAAQ8H,OAAO/lD,EAAQ2mD,IAAIyD,IAEhE,IAAIhL,EAAQ+K,EAAWhJ,EAAMnhD,QAASoqD,EAAOniB,EAAS,EAAGgW,GACzD,OAAOmB,GAASp/C,EAAQ6mD,aAAalhD,EAAOw7C,EAAM/F,KAAKgE,IA9EzC+K,CAAWjxD,KAAK8G,QAASoS,EAAMlZ,KAAK0vD,UAAWsB,EAAU,MACvE,OAAOlqD,GAAW,IAAI2oD,EAAM3oD,EAAS9G,KAAK0vD,UAAW1vD,KAAK0lD,UAG5D+J,EAAMl4D,UAAU45D,cAAgB,SAAwBhmC,EAAM8L,GAC5D,OAAO,IAAIw4B,EA+Cb,SAAS2B,EAAYtqD,EAASqkB,EAAM8L,GAClC,IAAImoB,EAAMt4C,EAAQgR,UAAUqT,GACxB1e,EAAQ2yC,EAAI3yC,MACZsiC,EAASqQ,EAAIrQ,OACbkZ,EAAQnhD,EAAQ+mD,WAAWphD,GAC3Bm3C,EAAQ98C,EAAQgR,UAAUmf,GAC1Bo6B,EAAUzN,EAAMn3C,MAChB6kD,EAAW1N,EAAM7U,OACrB,GAAIA,GAAU5jB,GAAQ88B,EAAMJ,OAAQ,CAClC,GAAIyJ,GAAYr6B,IAAOnwB,EAAQmhD,MAAMoJ,GAASxJ,OAAU,MAAM,IAAIlB,WAAW,2BAC7E,OAAO7/C,EAAQ2mD,IAAI,EAAGtiC,GAAM0hC,OAAO/lD,EAAQ2mD,IAAIx2B,IAEjD,GAAIxqB,GAAS4kD,EAAW,MAAM,IAAI1K,WAAW,2BAC7C,OAAO7/C,EAAQ6mD,aAAalhD,EAAOw7C,EAAM/F,KAAKkP,EAAYnJ,EAAMnhD,QAASqkB,EAAO4jB,EAAS,EAAG9X,EAAK8X,EAAS,KA5DzFqiB,CAAYpxD,KAAK8G,QAASqkB,EAAOnrB,KAAK0vD,UAAWz4B,EAAKj3B,KAAK0vD,WAAY1vD,KAAK0vD,UAAW1vD,KAAK0lD,UAK/G+J,EAAMl4D,UAAU8vD,GAAK,SAAa1kC,GAChC,OAAO3iB,KAAK8G,QAAQugD,GAAG1kC,EAAM7b,UAAY9G,KAAK0vD,WAAa/sC,EAAM+sC,WAAa1vD,KAAK0lD,SAAW/iC,EAAM+iC,SAGtG+J,EAAMl4D,UAAUF,SAAW,WACzB,OAAO2I,KAAK8G,QAAU,IAAM9G,KAAK0vD,UAAY,IAAM1vD,KAAK0lD,QAAU,KAKpE+J,EAAMl4D,UAAU82B,OAAS,WACvB,IAAKruB,KAAK8G,QAAQyS,KAAQ,OAAO,KACjC,IAAImtC,EAAO,CAAC5/C,QAAS9G,KAAK8G,QAAQunB,UAGlC,OAFIruB,KAAK0vD,UAAY,IAAKhJ,EAAKgJ,UAAY1vD,KAAK0vD,WAC5C1vD,KAAK0lD,QAAU,IAAKgB,EAAKhB,QAAU1lD,KAAK0lD,SACrCgB,GAKT+I,EAAMhJ,SAAW,SAAmBkD,EAAQjD,GAC1C,IAAKA,EAAQ,OAAO+I,EAAMp6B,MAC1B,IAAIq6B,EAAYhJ,EAAKgJ,WAAa,EAAGhK,EAAUgB,EAAKhB,SAAW,EAC/D,GAAwB,iBAAbgK,GAA2C,iBAAXhK,EACvC,MAAM,IAAIiB,WAAW,oCACzB,OAAO,IAAI8I,EAAM3C,EAASrG,SAASkD,EAAQjD,EAAK5/C,SAAU4oD,EAAWhK,IAMvE+J,EAAM8B,QAAU,SAAkBP,EAAUQ,QACjB,IAAlBA,IAA2BA,GAAc,GAGhD,IADA,IAAI9B,EAAY,EAAGhK,EAAU,EACpB7uC,EAAIm6C,EAASz8C,WAAYsC,IAAMA,EAAEy2C,SAAWkE,IAAkB36C,EAAEhY,KAAK8/C,KAAKC,WAAY/nC,EAAIA,EAAEtC,WAAcm7C,IACnH,IAAK,IAAI+B,EAAMT,EAASzS,UAAWkT,IAAQA,EAAInE,SAAWkE,IAAkBC,EAAI5yD,KAAK8/C,KAAKC,WAAY6S,EAAMA,EAAIlT,UAAamH,IAC7H,OAAO,IAAI+J,EAAMuB,EAAUtB,EAAWhK,IAGxCpuD,OAAOiP,iBAAkBkpD,EAAMl4D,UAAW2vD,GAiC1CuI,EAAMp6B,MAAQ,IAAIo6B,EAAM3C,EAASz3B,MAAO,EAAG,GAsH3C,IAAIq8B,EAAc,SAAqBx4C,EAAKjV,EAAM08C,GAEhD3gD,KAAKkZ,IAAMA,EACXlZ,KAAKiE,KAAOA,EAKZjE,KAAK6+C,MAAQ56C,EAAK9L,OAAS,EAAI,EAE/B6H,KAAK2gD,aAAeA,GAGlBgR,EAAuB,CAAExvD,OAAQ,CAAEuC,cAAc,GAAOo6C,IAAK,CAAEp6C,cAAc,GAAOmsD,WAAY,CAAEnsD,cAAc,GAAO68C,UAAW,CAAE78C,cAAc,GAAO48C,WAAY,CAAE58C,cAAc,IAEzLgtD,EAAYn6D,UAAUq6D,aAAe,SAAuBn6D,GAC1D,OAAW,MAAPA,EAAsBuI,KAAK6+C,MAC3BpnD,EAAM,EAAYuI,KAAK6+C,MAAQpnD,EAC5BA,GAOTk6D,EAAqBxvD,OAAOvH,IAAM,WAAc,OAAOoF,KAAKq+C,KAAKr+C,KAAK6+C,QAItE8S,EAAqB7S,IAAIlkD,IAAM,WAAc,OAAOoF,KAAKq+C,KAAK,IAK9DqT,EAAYn6D,UAAU8mD,KAAO,SAAeQ,GAAS,OAAO7+C,KAAKiE,KAAgC,EAA3BjE,KAAK4xD,aAAa/S,KAMxF6S,EAAYn6D,UAAUkV,MAAQ,SAAgBoyC,GAAS,OAAO7+C,KAAKiE,KAAgC,EAA3BjE,KAAK4xD,aAAa/S,GAAa,IAKvG6S,EAAYn6D,UAAU2oD,WAAa,SAAqBrB,GAEtD,OADAA,EAAQ7+C,KAAK4xD,aAAa/S,GACnB7+C,KAAKyM,MAAMoyC,IAAUA,GAAS7+C,KAAK6+C,OAAU7+C,KAAK6wD,WAAiB,EAAJ,IAMxEa,EAAYn6D,UAAUy1D,MAAQ,SAAgBnO,GAE5C,OAAgB,IADhBA,EAAQ7+C,KAAK4xD,aAAa/S,IACN,EAAI7+C,KAAKiE,KAAa,EAAR46C,EAAY,GAAK,GAMrD6S,EAAYn6D,UAAUyqD,IAAM,SAAcnD,GAExC,OADAA,EAAQ7+C,KAAK4xD,aAAa/S,GACnB7+C,KAAKgtD,MAAMnO,GAAS7+C,KAAKq+C,KAAKQ,GAAO/3C,QAAQyS,MAOtDm4C,EAAYn6D,UAAUwnD,OAAS,SAAiBF,GAE9C,KADAA,EAAQ7+C,KAAK4xD,aAAa/S,IACZ,MAAM,IAAI8H,WAAW,kDACnC,OAAO9H,GAAS7+C,KAAK6+C,MAAQ,EAAI7+C,KAAKkZ,IAAMlZ,KAAKiE,KAAa,EAAR46C,EAAY,IAMpE6S,EAAYn6D,UAAU2nD,MAAQ,SAAgBL,GAE5C,KADAA,EAAQ7+C,KAAK4xD,aAAa/S,IACZ,MAAM,IAAI8H,WAAW,iDACnC,OAAO9H,GAAS7+C,KAAK6+C,MAAQ,EAAI7+C,KAAKkZ,IAAMlZ,KAAKiE,KAAa,EAAR46C,EAAY,GAAK7+C,KAAKiE,KAAa,EAAR46C,GAAW6C,UAO9FiQ,EAAqBd,WAAWj2D,IAAM,WAAc,OAAOoF,KAAKkZ,IAAMlZ,KAAKiE,KAAKjE,KAAKiE,KAAK9L,OAAS,IAMnGw5D,EAAqBpQ,UAAU3mD,IAAM,WACnC,IAAIuH,EAASnC,KAAKmC,OAAQsK,EAAQzM,KAAKyM,MAAMzM,KAAK6+C,OAClD,GAAIpyC,GAAStK,EAAO88C,WAAc,OAAO,KACzC,IAAI4S,EAAO7xD,KAAKkZ,IAAMlZ,KAAKiE,KAAKjE,KAAKiE,KAAK9L,OAAS,GAAI8vD,EAAQ9lD,EAAO8lD,MAAMx7C,GAC5E,OAAOolD,EAAO1vD,EAAO8lD,MAAMx7C,GAAOghD,IAAIoE,GAAQ5J,GAOhD0J,EAAqBrQ,WAAW1mD,IAAM,WACpC,IAAI6R,EAAQzM,KAAKyM,MAAMzM,KAAK6+C,OACxBgT,EAAO7xD,KAAKkZ,IAAMlZ,KAAKiE,KAAKjE,KAAKiE,KAAK9L,OAAS,GACnD,OAAI05D,EAAe7xD,KAAKmC,OAAO8lD,MAAMx7C,GAAOghD,IAAI,EAAGoE,GACnC,GAATplD,EAAa,KAAOzM,KAAKmC,OAAO8lD,MAAMx7C,EAAQ,IAMvDilD,EAAYn6D,UAAUu6D,WAAa,SAAqBrlD,EAAOoyC,GAC7DA,EAAQ7+C,KAAK4xD,aAAa/S,GAE1B,IADA,IAAIR,EAAOr+C,KAAKiE,KAAa,EAAR46C,GAAY3lC,EAAe,GAAT2lC,EAAa,EAAI7+C,KAAKiE,KAAa,EAAR46C,EAAY,GAAK,EAC1E5mD,EAAI,EAAGA,EAAIwU,EAAOxU,IAAOihB,GAAOmlC,EAAK4J,MAAMhwD,GAAGypD,SACvD,OAAOxoC,GAQTw4C,EAAYn6D,UAAUksD,MAAQ,WAC5B,IAAIthD,EAASnC,KAAKmC,OAAQsK,EAAQzM,KAAKyM,QAGvC,GAA2B,GAAvBtK,EAAO2E,QAAQyS,KAAa,OAAO21C,EAAKxF,KAG5C,GAAI1pD,KAAK6wD,WAAc,OAAO1uD,EAAO8lD,MAAMx7C,GAAOg3C,MAElD,IAAI8M,EAAOpuD,EAAO0rD,WAAWphD,EAAQ,GAAIkW,EAAQxgB,EAAO0rD,WAAWphD,GAGnE,IAAK8jD,EAAM,CAAE,IAAIzf,EAAMyf,EAAMA,EAAO5tC,EAAOA,EAAQmuB,EAKnD,IADA,IAAI2S,EAAQ8M,EAAK9M,MACRxrD,EAAI,EAAGA,EAAIwrD,EAAMtrD,OAAQF,KACO,IAAjCwrD,EAAMxrD,GAAG4G,KAAK8/C,KAAKoT,WAAyBpvC,GAAU8gC,EAAMxrD,GAAGsrD,QAAQ5gC,EAAM8gC,SAC/EA,EAAQA,EAAMxrD,KAAKoxD,cAAc5F,IAEvC,OAAOA,GAUTiO,EAAYn6D,UAAU4vD,YAAc,SAAsBM,GACxD,IAAIvI,EAAQl/C,KAAKmC,OAAO0rD,WAAW7tD,KAAKyM,SACxC,IAAKyyC,IAAUA,EAAM6G,SAAY,OAAO,KAGxC,IADA,IAAItC,EAAQvE,EAAMuE,MAAOv5C,EAAOu9C,EAAKtlD,OAAO0rD,WAAWpG,EAAKh7C,SACnDxU,EAAI,EAAGA,EAAIwrD,EAAMtrD,OAAQF,KACO,IAAjCwrD,EAAMxrD,GAAG4G,KAAK8/C,KAAKoT,WAAyB7nD,GAASu5C,EAAMxrD,GAAGsrD,QAAQr5C,EAAKu5C,SAC7EA,EAAQA,EAAMxrD,KAAKoxD,cAAc5F,IACvC,OAAOA,GAMTiO,EAAYn6D,UAAUy6D,YAAc,SAAsB94C,GACxD,IAAK,IAAI2lC,EAAQ7+C,KAAK6+C,MAAOA,EAAQ,EAAGA,IACpC,GAAI7+C,KAAKgtD,MAAMnO,IAAU3lC,GAAOlZ,KAAKgiD,IAAInD,IAAU3lC,EAAO,OAAO2lC,EACrE,OAAO,GAWT6S,EAAYn6D,UAAUioD,WAAa,SAAqB78B,EAAOsvC,GAG7D,QAFiB,IAAVtvC,IAAmBA,EAAQ3iB,MAE9B2iB,EAAMzJ,IAAMlZ,KAAKkZ,IAAO,OAAOyJ,EAAM68B,WAAWx/C,MACpD,IAAK,IAAI0gC,EAAI1gC,KAAK6+C,OAAS7+C,KAAKmC,OAAOihD,eAAiBpjD,KAAKkZ,KAAOyJ,EAAMzJ,IAAM,EAAI,GAAIwnB,GAAK,EAAGA,IAC5F,GAAI/d,EAAMzJ,KAAOlZ,KAAKgiD,IAAIthB,MAAQuxB,GAAQA,EAAKjyD,KAAKq+C,KAAK3d,KACvD,OAAO,IAAIwxB,EAAUlyD,KAAM2iB,EAAO+d,IAK1CgxB,EAAYn6D,UAAUyoD,WAAa,SAAqBr9B,GACtD,OAAO3iB,KAAKkZ,IAAMlZ,KAAK2gD,cAAgBh+B,EAAMzJ,IAAMyJ,EAAMg+B,cAK3D+Q,EAAYn6D,UAAUyvB,IAAM,SAAcrE,GACxC,OAAOA,EAAMzJ,IAAMlZ,KAAKkZ,IAAMyJ,EAAQ3iB,MAKxC0xD,EAAYn6D,UAAUyJ,IAAM,SAAc2hB,GACxC,OAAOA,EAAMzJ,IAAMlZ,KAAKkZ,IAAMyJ,EAAQ3iB,MAGxC0xD,EAAYn6D,UAAUF,SAAW,WAE/B,IADA,IAAIiD,EAAM,GACDrC,EAAI,EAAGA,GAAK+H,KAAK6+C,MAAO5mD,IAC7BqC,IAAQA,EAAM,IAAM,IAAM0F,KAAKq+C,KAAKpmD,GAAG4G,KAAKjI,KAAO,IAAMoJ,KAAKyM,MAAMxU,EAAI,GAC5E,OAAOqC,EAAM,IAAM0F,KAAK2gD,cAG1B+Q,EAAYr1C,QAAU,SAAkByiC,EAAK5lC,GAC3C,KAAMA,GAAO,GAAKA,GAAO4lC,EAAIh4C,QAAQyS,MAAS,MAAM,IAAIotC,WAAW,YAAcztC,EAAM,iBAGvF,IAFA,IAAIjV,EAAO,GACP+oD,EAAQ,EAAGrM,EAAeznC,EACrBmlC,EAAOS,IAAO,CACrB,IAAIM,EAAMf,EAAKv3C,QAAQgR,UAAU6oC,GAC3Bl0C,EAAQ2yC,EAAI3yC,MACZsiC,EAASqQ,EAAIrQ,OACfojB,EAAMxR,EAAe5R,EAEzB,GADA9qC,EAAKsK,KAAK8vC,EAAM5xC,EAAOugD,EAAQje,IAC1BojB,EAAO,MAEZ,IADA9T,EAAOA,EAAK4J,MAAMx7C,IACTo7C,OAAU,MACnBlH,EAAewR,EAAM,EACrBnF,GAASje,EAAS,EAEpB,OAAO,IAAI2iB,EAAYx4C,EAAKjV,EAAM08C,IAGpC+Q,EAAYU,cAAgB,SAAwBtT,EAAK5lC,GACvD,IAAK,IAAIjhB,EAAI,EAAGA,EAAIo6D,EAAal6D,OAAQF,IAAK,CAC5C,IAAIq6D,EAASD,EAAap6D,GAC1B,GAAIq6D,EAAOp5C,KAAOA,GAAOo5C,EAAOxT,KAAOA,EAAO,OAAOwT,EAEvD,IAAIz4D,EAASw4D,EAAaE,GAAmBb,EAAYr1C,QAAQyiC,EAAK5lC,GAEtE,OADAq5C,GAAmBA,EAAkB,GAAKC,EACnC34D,GAGTvC,OAAOiP,iBAAkBmrD,EAAYn6D,UAAWo6D,GAEhD,IAAIU,EAAe,GAAIE,EAAkB,EAAGC,EAAmB,GAI3DN,EAAY,SAAmB7S,EAAOC,EAAKT,GAM7C7+C,KAAKq/C,MAAQA,EAGbr/C,KAAKs/C,IAAMA,EAEXt/C,KAAK6+C,MAAQA,GAGX4T,EAAyB,CAAEzF,MAAO,CAAEtoD,cAAc,GAAOs9C,IAAK,CAAEt9C,cAAc,GAAOvC,OAAQ,CAAEuC,cAAc,GAAOisD,WAAY,CAAEjsD,cAAc,GAAOksD,SAAU,CAAElsD,cAAc,IAGrL+tD,EAAuBzF,MAAMpyD,IAAM,WAAc,OAAOoF,KAAKq/C,MAAMN,OAAO/+C,KAAK6+C,MAAQ,IAEvF4T,EAAuBzQ,IAAIpnD,IAAM,WAAc,OAAOoF,KAAKs/C,IAAIJ,MAAMl/C,KAAK6+C,MAAQ,IAGlF4T,EAAuBtwD,OAAOvH,IAAM,WAAc,OAAOoF,KAAKq/C,MAAMhB,KAAKr+C,KAAK6+C,QAE9E4T,EAAuB9B,WAAW/1D,IAAM,WAAc,OAAOoF,KAAKq/C,MAAM5yC,MAAMzM,KAAK6+C,QAEnF4T,EAAuB7B,SAASh2D,IAAM,WAAc,OAAOoF,KAAKs/C,IAAIY,WAAWlgD,KAAK6+C,QAEpFvnD,OAAOiP,iBAAkB2rD,EAAU36D,UAAWk7D,GAE9C,IAAIC,EAAap7D,OAAO4N,OAAO,MAc3BytD,EAAO,SAAc9zD,EAAM2jD,EAAO17C,EAAS28C,GAG7CzjD,KAAKnB,KAAOA,EAMZmB,KAAKwiD,MAAQA,EAIbxiD,KAAK8G,QAAUA,GAAWgmD,EAASz3B,MAKnCr1B,KAAKyjD,MAAQA,GAASyL,EAAKxF,MAGzBkJ,EAAuB,CAAElR,SAAU,CAAEh9C,cAAc,GAAOu6C,WAAY,CAAEv6C,cAAc,GAAOmuD,YAAa,CAAEnuD,cAAc,GAAO6P,WAAY,CAAE7P,cAAc,GAAO65C,UAAW,CAAE75C,cAAc,GAAOg8C,QAAS,CAAEh8C,cAAc,GAAO85C,YAAa,CAAE95C,cAAc,GAAO0+C,cAAe,CAAE1+C,cAAc,GAAOqhD,SAAU,CAAErhD,cAAc,GAAOmjD,OAAQ,CAAEnjD,cAAc,GAAO4oD,OAAQ,CAAE5oD,cAAc,GAAOigD,OAAQ,CAAEjgD,cAAc,IAW3akuD,EAAqBlR,SAAS9mD,IAAM,WAAc,OAAOoF,KAAKstD,OAAS,EAAI,EAAIttD,KAAK8G,QAAQyS,MAI5Fq5C,EAAqB3T,WAAWrkD,IAAM,WAAc,OAAOoF,KAAK8G,QAAQm4C,YAKxE0T,EAAKp7D,UAAU0wD,MAAQ,SAAgBx7C,GAAS,OAAOzM,KAAK8G,QAAQmhD,MAAMx7C,IAI1EkmD,EAAKp7D,UAAUs2D,WAAa,SAAqBphD,GAAS,OAAOzM,KAAK8G,QAAQ+mD,WAAWphD,IAKzFkmD,EAAKp7D,UAAUO,QAAU,SAAkBoD,GAAK8E,KAAK8G,QAAQhP,QAAQoD,IAUrEy3D,EAAKp7D,UAAUqrD,aAAe,SAAuBz3B,EAAM8L,EAAI/7B,EAAG43D,QAC5C,IAAbA,IAAsBA,EAAW,GAExC9yD,KAAK8G,QAAQ87C,aAAaz3B,EAAM8L,EAAI/7B,EAAG43D,EAAU9yD,OAMnD2yD,EAAKp7D,UAAU01D,YAAc,SAAsB/xD,GACjD8E,KAAK4iD,aAAa,EAAG5iD,KAAK8G,QAAQyS,KAAMre,IAM1C03D,EAAqBC,YAAYj4D,IAAM,WAAc,OAAOoF,KAAKktD,YAAY,EAAGltD,KAAK8G,QAAQyS,KAAM,KAOnGo5C,EAAKp7D,UAAU21D,YAAc,SAAsB/hC,EAAM8L,EAAIk2B,EAAgBC,GAC3E,OAAOptD,KAAK8G,QAAQomD,YAAY/hC,EAAM8L,EAAIk2B,EAAgBC,IAM5DwF,EAAqBr+C,WAAW3Z,IAAM,WAAc,OAAOoF,KAAK8G,QAAQyN,YAKxEq+C,EAAqBrU,UAAU3jD,IAAM,WAAc,OAAOoF,KAAK8G,QAAQy3C,WAIvEoU,EAAKp7D,UAAU8vD,GAAK,SAAa1kC,GAC/B,OAAO3iB,MAAQ2iB,GAAU3iB,KAAKutD,WAAW5qC,IAAU3iB,KAAK8G,QAAQugD,GAAG1kC,EAAM7b,UAM3E6rD,EAAKp7D,UAAUg2D,WAAa,SAAqB5qC,GAC/C,OAAO3iB,KAAK6iD,UAAUlgC,EAAM9jB,KAAM8jB,EAAM6/B,MAAO7/B,EAAM8gC,QAMvDkP,EAAKp7D,UAAUsrD,UAAY,SAAoBhkD,EAAM2jD,EAAOiB,GAC1D,OAAOzjD,KAAKnB,MAAQA,GAClBmwD,EAAYhvD,KAAKwiD,MAAOA,GAAS3jD,EAAKk0D,cAAgBL,IACtDxD,EAAKhG,QAAQlpD,KAAKyjD,MAAOA,GAASyL,EAAKxF,OAM3CiJ,EAAKp7D,UAAU2qD,KAAO,SAAep7C,GAGnC,YAFmB,IAAZA,IAAqBA,EAAU,MAElCA,GAAW9G,KAAK8G,QAAkB9G,KAC/B,IAAIA,KAAKxH,YAAYwH,KAAKnB,KAAMmB,KAAKwiD,MAAO17C,EAAS9G,KAAKyjD,QAMnEkP,EAAKp7D,UAAU4xD,KAAO,SAAe1F,GACnC,OAAOA,GAASzjD,KAAKyjD,MAAQzjD,KAAO,IAAIA,KAAKxH,YAAYwH,KAAKnB,KAAMmB,KAAKwiD,MAAOxiD,KAAK8G,QAAS28C,IAOhGkP,EAAKp7D,UAAUk2D,IAAM,SAActiC,EAAM8L,GACvC,OAAY,GAAR9L,GAAa8L,GAAMj3B,KAAK8G,QAAQyS,KAAevZ,KAC5CA,KAAKkiD,KAAKliD,KAAK8G,QAAQ2mD,IAAItiC,EAAM8L,KAM1C07B,EAAKp7D,UAAU0L,MAAQ,SAAgBkoB,EAAM8L,EAAI+7B,GAI/C,QAHc,IAAP/7B,IAAgBA,EAAKj3B,KAAK8G,QAAQyS,WACf,IAAnBy5C,IAA4BA,GAAiB,GAEhD7nC,GAAQ8L,EAAM,OAAOw4B,EAAMp6B,MAE/B,IAAIgqB,EAAQr/C,KAAKqc,QAAQ8O,GAAOm0B,EAAMt/C,KAAKqc,QAAQ4a,GAC/C4nB,EAAQmU,EAAiB,EAAI3T,EAAM2S,YAAY/6B,GAC/C+1B,EAAQ3N,EAAM2N,MAAMnO,GACpB/3C,EADmCu4C,EAAMhB,KAAKQ,GAC/B/3C,QAAQ2mD,IAAIpO,EAAMnmC,IAAM8zC,EAAO1N,EAAIpmC,IAAM8zC,GAC5D,OAAO,IAAIyC,EAAM3oD,EAASu4C,EAAMR,MAAQA,EAAOS,EAAIT,MAAQA,IAU7D8T,EAAKp7D,UAAUgD,QAAU,SAAoB4wB,EAAM8L,EAAIh0B,GACrD,OAAO1I,EAAQyF,KAAKqc,QAAQ8O,GAAOnrB,KAAKqc,QAAQ4a,GAAKh0B,IAKvD0vD,EAAKp7D,UAAU07D,OAAS,SAAiB/5C,GACvC,IAAK,IAAImlC,EAAOr+C,OAAQ,CACtB,IAAIo/C,EAAMf,EAAKv3C,QAAQgR,UAAUoB,GAC3BzM,EAAQ2yC,EAAI3yC,MACZsiC,EAASqQ,EAAIrQ,OAEnB,KADAsP,EAAOA,EAAKwP,WAAWphD,IACV,OAAO,KACpB,GAAIsiC,GAAU71B,GAAOmlC,EAAKwJ,OAAU,OAAOxJ,EAC3CnlC,GAAO61B,EAAS,IAQpB4jB,EAAKp7D,UAAU27D,WAAa,SAAqBh6C,GAC/C,IAAIkmC,EAAMp/C,KAAK8G,QAAQgR,UAAUoB,GAC3BzM,EAAQ2yC,EAAI3yC,MACZsiC,EAASqQ,EAAIrQ,OACnB,MAAO,CAACsP,KAAMr+C,KAAK8G,QAAQ+mD,WAAWphD,GAAQA,MAAOA,EAAOsiC,OAAQA,IAOtE4jB,EAAKp7D,UAAU47D,YAAc,SAAsBj6C,GACjD,GAAW,GAAPA,EAAY,MAAO,CAACmlC,KAAM,KAAM5xC,MAAO,EAAGsiC,OAAQ,GACtD,IAAIqQ,EAAMp/C,KAAK8G,QAAQgR,UAAUoB,GAC3BzM,EAAQ2yC,EAAI3yC,MACZsiC,EAASqQ,EAAIrQ,OACnB,GAAIA,EAAS71B,EAAO,MAAO,CAACmlC,KAAMr+C,KAAK8G,QAAQmhD,MAAMx7C,GAAQA,MAAOA,EAAOsiC,OAAQA,GACnF,IAAIsP,EAAOr+C,KAAK8G,QAAQmhD,MAAMx7C,EAAQ,GACtC,MAAO,CAAC4xC,KAAMA,EAAM5xC,MAAOA,EAAQ,EAAGsiC,OAAQA,EAASsP,EAAKqD,WAM9DiR,EAAKp7D,UAAU8kB,QAAU,SAAkBnD,GAAO,OAAOw4C,EAAYU,cAAcpyD,KAAMkZ,IAEzFy5C,EAAKp7D,UAAUu4D,eAAiB,SAAyB52C,GAAO,OAAOw4C,EAAYr1C,QAAQrc,KAAMkZ,IAKjGy5C,EAAKp7D,UAAUssD,aAAe,SAAuB14B,EAAM8L,EAAIp4B,GAC7D,IAAIwnD,GAAQ,EAKZ,OAJIpvB,EAAK9L,GAAQnrB,KAAK4iD,aAAaz3B,EAAM8L,GAAI,SAAUonB,GAErD,OADIx/C,EAAK0kD,QAAQlF,EAAKoF,SAAU4C,GAAQ,IAChCA,KAEHA,GAKTuM,EAAqBlS,QAAQ9lD,IAAM,WAAc,OAAOoF,KAAKnB,KAAK6hD,SAKlEkS,EAAqBpU,YAAY5jD,IAAM,WAAc,OAAOoF,KAAKnB,KAAK2/C,aAItEoU,EAAqBxP,cAAcxoD,IAAM,WAAc,OAAOoF,KAAKnB,KAAKukD,eAKxEwP,EAAqB7M,SAASnrD,IAAM,WAAc,OAAOoF,KAAKnB,KAAKknD,UAInE6M,EAAqB/K,OAAOjtD,IAAM,WAAc,OAAOoF,KAAKnB,KAAKgpD,QAIjE+K,EAAqBtF,OAAO1yD,IAAM,WAAc,OAAOoF,KAAKnB,KAAKyuD,QAQjEsF,EAAqBjO,OAAO/pD,IAAM,WAAc,OAAOoF,KAAKnB,KAAK8lD,QAKjEgO,EAAKp7D,UAAUF,SAAW,WACxB,GAAI2I,KAAKnB,KAAK8/C,KAAKyU,cAAiB,OAAOpzD,KAAKnB,KAAK8/C,KAAKyU,cAAcpzD,MACxE,IAAIpJ,EAAOoJ,KAAKnB,KAAKjI,KAGrB,OAFIoJ,KAAK8G,QAAQyS,OACb3iB,GAAQ,IAAMoJ,KAAK8G,QAAQ6nD,gBAAkB,KAC1C0E,EAAUrzD,KAAKyjD,MAAO7sD,IAK/B+7D,EAAKp7D,UAAU4oD,eAAiB,SAAyB1zC,GACvD,IAAIP,EAAQlM,KAAKnB,KAAKy0D,aAAaC,cAAcvzD,KAAK8G,QAAS,EAAG2F,GAClE,IAAKP,EAAS,MAAM,IAAIc,MAAM,wDAC9B,OAAOd,GASTymD,EAAKp7D,UAAU0pD,WAAa,SAAqB91B,EAAM8L,EAAIrjB,EAAao5C,EAAOhL,QACtD,IAAhBpuC,IAAyBA,EAAck5C,EAASz3B,YACtC,IAAV23B,IAAmBA,EAAQ,QACnB,IAARhL,IAAiBA,EAAMpuC,EAAYqrC,YAE1C,IAAIuU,EAAMxzD,KAAKmgD,eAAeh1B,GAAMooC,cAAc3/C,EAAao5C,EAAOhL,GAClEyR,EAAMD,GAAOA,EAAID,cAAcvzD,KAAK8G,QAASmwB,GACjD,IAAKw8B,IAAQA,EAAI1R,SAAY,OAAO,EACpC,IAAK,IAAI9pD,EAAI+0D,EAAO/0D,EAAI+pD,EAAK/pD,IAAO,IAAK+H,KAAKnB,KAAK60D,YAAY9/C,EAAYq0C,MAAMhwD,GAAGwrD,OAAU,OAAO,EACrG,OAAO,GAMTkP,EAAKp7D,UAAU6oD,eAAiB,SAAyBj1B,EAAM8L,EAAIp4B,EAAM4kD,GACvE,GAAIA,IAAUzjD,KAAKnB,KAAK60D,YAAYjQ,GAAU,OAAO,EACrD,IAAIuJ,EAAQhtD,KAAKmgD,eAAeh1B,GAAM22B,UAAUjjD,GAC5CmjD,EAAMgL,GAASA,EAAMuG,cAAcvzD,KAAK8G,QAASmwB,GACrD,QAAO+qB,GAAMA,EAAID,UAQnB4Q,EAAKp7D,UAAUo8D,UAAY,SAAoBhxC,GAC7C,OAAIA,EAAM7b,QAAQyS,KAAevZ,KAAKihD,WAAWjhD,KAAKi/C,WAAYj/C,KAAKi/C,WAAYt8B,EAAM7b,SAC3E9G,KAAKnB,KAAK2iD,kBAAkB7+B,EAAM9jB,OAMlD8zD,EAAKp7D,UAAUR,MAAQ,WACrB,IAAKiJ,KAAKnB,KAAKiyD,aAAa9wD,KAAK8G,SAC7B,MAAM,IAAI6/C,WAAY,4BAA+B3mD,KAAKnB,KAAS,KAAI,KAAQmB,KAAK8G,QAAQzP,WAAW4L,MAAM,EAAG,KACpHjD,KAAK8G,QAAQhP,SAAQ,SAAUumD,GAAQ,OAAOA,EAAKtnD,YAKrD47D,EAAKp7D,UAAU82B,OAAS,WACtB,IAAIt2B,EAAM,CAAC8G,KAAMmB,KAAKnB,KAAKjI,MAC3B,IAAK,IAAIkzD,KAAK9pD,KAAKwiD,MAAO,CACxBzqD,EAAIyqD,MAAQxiD,KAAKwiD,MACjB,MAMF,OAJIxiD,KAAK8G,QAAQyS,OACbxhB,EAAI+O,QAAU9G,KAAK8G,QAAQunB,UAC3BruB,KAAKyjD,MAAMtrD,SACXJ,EAAI0rD,MAAQzjD,KAAKyjD,MAAM5zC,KAAI,SAAUgH,GAAK,OAAOA,EAAEwX,aAChDt2B,GAKT46D,EAAKlM,SAAW,SAAmBkD,EAAQjD,GACzC,IAAKA,EAAQ,MAAM,IAAIC,WAAW,mCAClC,IAAIlD,EAAQ,KACZ,GAAIiD,EAAKjD,MAAO,CACd,IAAKn1C,MAAM9W,QAAQkvD,EAAKjD,OAAU,MAAM,IAAIkD,WAAW,uCACvDlD,EAAQiD,EAAKjD,MAAM5zC,IAAI85C,EAAOkC,cAEhC,GAAiB,QAAbnF,EAAK7nD,KAAgB,CACvB,GAAwB,iBAAb6nD,EAAKtoD,KAAoB,MAAM,IAAIuoD,WAAW,6BACzD,OAAOgD,EAAOvrD,KAAKsoD,EAAKtoD,KAAMqlD,GAEhC,IAAI38C,EAAUgmD,EAASrG,SAASkD,EAAQjD,EAAK5/C,SAC7C,OAAO6iD,EAAOpH,SAASmE,EAAK7nD,MAAMqG,OAAOwhD,EAAKlE,MAAO17C,EAAS28C,IAGhEnsD,OAAOiP,iBAAkBosD,EAAKp7D,UAAWq7D,GAEzC,IAAIgB,EAAyB,SAAUjB,GACrC,SAASiB,EAAS/0D,EAAM2jD,EAAO17C,EAAS28C,GAGtC,GAFAkP,EAAKj7D,KAAKsI,KAAMnB,EAAM2jD,EAAO,KAAMiB,IAE9B38C,EAAW,MAAM,IAAI6/C,WAAW,oCAErC3mD,KAAK5B,KAAO0I,EAGT6rD,IAAOiB,EAASpwC,UAAYmvC,GACjCiB,EAASr8D,UAAYD,OAAO4N,OAAQytD,GAAQA,EAAKp7D,WACjDq8D,EAASr8D,UAAUiB,YAAco7D,EAEjC,IAAI1M,EAAuB,CAAE2L,YAAa,CAAEnuD,cAAc,GAAOg9C,SAAU,CAAEh9C,cAAc,IA0C3F,OAxCAkvD,EAASr8D,UAAUF,SAAW,WAC5B,OAAI2I,KAAKnB,KAAK8/C,KAAKyU,cAAwBpzD,KAAKnB,KAAK8/C,KAAKyU,cAAcpzD,MACjEqzD,EAAUrzD,KAAKyjD,MAAOpzC,KAAKC,UAAUtQ,KAAK5B,QAGnD8oD,EAAqB2L,YAAYj4D,IAAM,WAAc,OAAOoF,KAAK5B,MAEjEw1D,EAASr8D,UAAU21D,YAAc,SAAsB/hC,EAAM8L,GAAM,OAAOj3B,KAAK5B,KAAK6E,MAAMkoB,EAAM8L,IAEhGiwB,EAAqBxF,SAAS9mD,IAAM,WAAc,OAAOoF,KAAK5B,KAAKjG,QAEnEy7D,EAASr8D,UAAU4xD,KAAO,SAAe1F,GACvC,OAAOA,GAASzjD,KAAKyjD,MAAQzjD,KAAO,IAAI4zD,EAAS5zD,KAAKnB,KAAMmB,KAAKwiD,MAAOxiD,KAAK5B,KAAMqlD,IAGrFmQ,EAASr8D,UAAUi2D,SAAW,SAAmBpvD,GAC/C,OAAIA,GAAQ4B,KAAK5B,KAAe4B,KACzB,IAAI4zD,EAAS5zD,KAAKnB,KAAMmB,KAAKwiD,MAAOpkD,EAAM4B,KAAKyjD,QAGxDmQ,EAASr8D,UAAUk2D,IAAM,SAActiC,EAAM8L,GAI3C,YAHc,IAAT9L,IAAkBA,EAAO,QAClB,IAAP8L,IAAgBA,EAAKj3B,KAAK5B,KAAKjG,QAExB,GAARgzB,GAAa8L,GAAMj3B,KAAK5B,KAAKjG,OAAiB6H,KAC3CA,KAAKwtD,SAASxtD,KAAK5B,KAAK6E,MAAMkoB,EAAM8L,KAG7C28B,EAASr8D,UAAU8vD,GAAK,SAAa1kC,GACnC,OAAO3iB,KAAKutD,WAAW5qC,IAAU3iB,KAAK5B,MAAQukB,EAAMvkB,MAGtDw1D,EAASr8D,UAAU82B,OAAS,WAC1B,IAAIgiB,EAAOsiB,EAAKp7D,UAAU82B,OAAO32B,KAAKsI,MAEtC,OADAqwC,EAAKjyC,KAAO4B,KAAK5B,KACViyC,GAGT/4C,OAAOiP,iBAAkBqtD,EAASr8D,UAAW2vD,GAEtC0M,EAvDmB,CAwD1BjB,GAEF,SAASU,EAAU5P,EAAOnpD,GACxB,IAAK,IAAIrC,EAAIwrD,EAAMtrD,OAAS,EAAGF,GAAK,EAAGA,IACnCqC,EAAMmpD,EAAMxrD,GAAG4G,KAAKjI,KAAO,IAAM0D,EAAM,IAC3C,OAAOA,EAOT,IAAIu5D,EAAe,SAAsB9R,GAGvC/hD,KAAK+hD,SAAWA,EAChB/hD,KAAKkK,KAAO,GACZlK,KAAK8zD,UAAY,IAGfC,EAAuB,CAAE3Q,cAAe,CAAE1+C,cAAc,GAAOsvD,YAAa,CAAEtvD,cAAc,GAAOg7C,UAAW,CAAEh7C,cAAc,IAElImvD,EAAat4C,MAAQ,SAAgB/F,EAAQy+C,GAC3C,IAAIC,EAAS,IAAIC,EAAY3+C,EAAQy+C,GACrC,GAAmB,MAAfC,EAAOhqD,KAAgB,OAAO2pD,EAAax+B,MAC/C,IAAI++B,EAAOC,EAAUH,GACjBA,EAAOhqD,MAAQgqD,EAAO9E,IAAI,4BAC9B,IAAIljD,EAuVN,SAAaooD,GACX,IAAIC,EAAUj9D,OAAO4N,OAAO,MAC5B,OAEA,SAASsvD,EAAQC,GACf,IAAI1d,EAAM,GACV0d,EAAO38D,SAAQ,SAAUumD,GACvBiW,EAAIjW,GAAMvmD,SAAQ,SAAUsnD,GAC1B,IAAIsV,EAAOtV,EAAIsV,KACXz9B,EAAKmoB,EAAInoB,GAEb,GAAKy9B,EAAL,CACA,IAAIC,EAAQ5d,EAAIn4C,QAAQ81D,GAAOxxD,EAAMyxD,GAAS,GAAK5d,EAAI4d,EAAQ,GAC/DC,EAASN,EAAKr9B,GAAIn/B,SAAQ,SAAUumD,GAC7Bn7C,GAAO6zC,EAAIxoC,KAAKmmD,EAAMxxD,EAAM,KACP,GAAtBA,EAAItE,QAAQy/C,IAAen7C,EAAIqL,KAAK8vC,aAK9C,IADA,IAAIr6C,EAAQuwD,EAAQE,EAAO10D,KAAK,MAAQ,IAAI8zD,EAAaY,EAAO71D,QAAQ01D,EAAIn8D,OAAS,IAAM,GAClFF,EAAI,EAAGA,EAAI8+C,EAAI5+C,OAAQF,GAAK,EAAG,CACtC,IAAI48D,EAAW9d,EAAI9+C,EAAI,GAAGmoC,KAAK00B,GAC/B9wD,EAAMkG,KAAKqE,KAAKwoC,EAAI9+C,GAAIs8D,EAAQM,EAAS90D,KAAK,OAASy0D,EAAQK,IAEjE,OAAO7wD,EAtBFwwD,CAAQI,EAASN,EAAK,IAzVjBS,CAkQd,SAAaX,GACX,IAAIE,EAAM,CAAC,IAEX,OADAU,EAWA,SAASC,EAAQb,EAAMjpC,GACrB,GAAiB,UAAbipC,EAAKv1D,KACP,OAAOu1D,EAAKc,MAAMC,QAAO,SAAUpe,EAAKqd,GAAQ,OAAOrd,EAAI/zC,OAAOiyD,EAAQb,EAAMjpC,MAAW,IACtF,GAAiB,OAAbipC,EAAKv1D,KACd,IAAK,IAAI5G,EAAI,GAAIA,IAAK,CACpB,IAAIiS,EAAO+qD,EAAQb,EAAKc,MAAMj9D,GAAIkzB,GAClC,GAAIlzB,GAAKm8D,EAAKc,MAAM/8D,OAAS,EAAK,OAAO+R,EACzC8qD,EAAQ9qD,EAAMihB,EAAOkzB,SAElB,IAAiB,QAAb+V,EAAKv1D,KAAgB,CAC9B,IAAIqkD,EAAO7E,IAGX,OAFAsB,EAAKx0B,EAAM+3B,GACX8R,EAAQC,EAAQb,EAAKA,KAAMlR,GAAOA,GAC3B,CAACvD,EAAKuD,IACR,GAAiB,QAAbkR,EAAKv1D,KAAgB,CAC9B,IAAIu2D,EAAS/W,IAGb,OAFA2W,EAAQC,EAAQb,EAAKA,KAAMjpC,GAAOiqC,GAClCJ,EAAQC,EAAQb,EAAKA,KAAMgB,GAASA,GAC7B,CAACzV,EAAKyV,IACR,GAAiB,OAAbhB,EAAKv1D,KACd,MAAO,CAAC8gD,EAAKx0B,IAAOnoB,OAAOiyD,EAAQb,EAAKA,KAAMjpC,IACzC,GAAiB,SAAbipC,EAAKv1D,KAAiB,CAE/B,IADA,IAAIw2D,EAAMlqC,EACD24B,EAAM,EAAGA,EAAMsQ,EAAKpzD,IAAK8iD,IAAO,CACvC,IAAIwR,EAASjX,IACb2W,EAAQC,EAAQb,EAAKA,KAAMiB,GAAMC,GACjCD,EAAMC,EAER,IAAiB,GAAblB,EAAKptC,IACPguC,EAAQC,EAAQb,EAAKA,KAAMiB,GAAMA,QAEjC,IAAK,IAAIE,EAAMnB,EAAKpzD,IAAKu0D,EAAMnB,EAAKptC,IAAKuuC,IAAO,CAC9C,IAAIC,EAASnX,IACbsB,EAAK0V,EAAKG,GACVR,EAAQC,EAAQb,EAAKA,KAAMiB,GAAMG,GACjCH,EAAMG,EAGV,MAAO,CAAC7V,EAAK0V,IACR,GAAiB,QAAbjB,EAAKv1D,KACd,MAAO,CAAC8gD,EAAKx0B,EAAM,KAAMipC,EAAK94D,SAnD1B25D,CAAQb,EAAM,GAAI/V,KACnBiW,EAEP,SAASjW,IAAS,OAAOiW,EAAI/lD,KAAK,IAAM,EACxC,SAASoxC,EAAKx0B,EAAM8L,EAAIy9B,GACtB,IAAI/U,EAAO,CAAC+U,KAAMA,EAAMz9B,GAAIA,GAE5B,OADAq9B,EAAInpC,GAAM5c,KAAKoxC,GACRA,EAET,SAASqV,EAAQS,EAAOx+B,GAAMw+B,EAAM39D,SAAQ,SAAU6nD,GAAQ,OAAOA,EAAK1oB,GAAKA,MA7Q/Dq9B,CAAIF,IAEpB,OAiXF,SAA0BloD,EAAOgoD,GAC/B,IAAK,IAAIj8D,EAAI,EAAGy9D,EAAO,CAACxpD,GAAQjU,EAAIy9D,EAAKv9D,OAAQF,IAAK,CAEpD,IADA,IAAI+L,EAAQ0xD,EAAKz9D,GAAI09D,GAAQ3xD,EAAM+9C,SAAUgN,EAAQ,GAC5Cn8C,EAAI,EAAGA,EAAI5O,EAAMkG,KAAK/R,OAAQya,GAAK,EAAG,CAC7C,IAAIyrC,EAAOr6C,EAAMkG,KAAK0I,GAAI1I,EAAOlG,EAAMkG,KAAK0I,EAAI,GAChDm8C,EAAMxgD,KAAK8vC,EAAKznD,OACZ++D,GAAUtX,EAAKwJ,QAAUxJ,EAAKuB,qBAAuB+V,GAAO,IACrC,GAAvBD,EAAK92D,QAAQsL,IAAewrD,EAAKnnD,KAAKrE,GAExCyrD,GAAQzB,EAAO9E,IAAI,+BAAiCL,EAAMhvD,KAAK,MAAQ,mFA3X7E61D,CAAiB1pD,EAAOgoD,GACjBhoD,GAMT2nD,EAAat8D,UAAUuqD,UAAY,SAAoBjjD,GACrD,IAAK,IAAI5G,EAAI,EAAGA,EAAI+H,KAAKkK,KAAK/R,OAAQF,GAAK,EACvC,GAAI+H,KAAKkK,KAAKjS,IAAM4G,EAAQ,OAAOmB,KAAKkK,KAAKjS,EAAI,GACrD,OAAO,MAMT47D,EAAat8D,UAAUg8D,cAAgB,SAAwBsC,EAAM7I,EAAOhL,QACzD,IAAVgL,IAAmBA,EAAQ,QACnB,IAARhL,IAAiBA,EAAM6T,EAAK5W,YAGnC,IADA,IAAIoW,EAAMr1D,KACD/H,EAAI+0D,EAAOqI,GAAOp9D,EAAI+pD,EAAK/pD,IAChCo9D,EAAMA,EAAIvT,UAAU+T,EAAK5N,MAAMhwD,GAAG4G,MACtC,OAAOw2D,GAGTtB,EAAqB3Q,cAAcxoD,IAAM,WACvC,IAAIue,EAAQnZ,KAAKkK,KAAK,GACtB,QAAOiP,GAAQA,EAAM4sC,UAMvBgO,EAAqBC,YAAYp5D,IAAM,WACrC,IAAK,IAAI3C,EAAI,EAAGA,EAAI+H,KAAKkK,KAAK/R,OAAQF,GAAK,EAAG,CAC5C,IAAI4G,EAAOmB,KAAKkK,KAAKjS,GACrB,IAAM4G,EAAKgpD,SAAUhpD,EAAK+gD,mBAAuB,OAAO/gD,IAI5Dg1D,EAAat8D,UAAUu+D,WAAa,SAAqBnzC,GACvD,IAAK,IAAI1qB,EAAI,EAAGA,EAAI+H,KAAKkK,KAAK/R,OAAQF,GAAK,EACvC,IAAK,IAAI2a,EAAI,EAAGA,EAAI+P,EAAMzY,KAAK/R,OAAQya,GAAK,EAC1C,GAAI5S,KAAKkK,KAAKjS,IAAM0qB,EAAMzY,KAAK0I,GAAM,OAAO,EAClD,OAAO,GAUTihD,EAAat8D,UAAUw+D,WAAa,SAAqB7W,EAAO8W,EAAOrF,QACpD,IAAVqF,IAAmBA,GAAQ,QACZ,IAAfrF,IAAwBA,EAAa,GAE5C,IAAIvF,EAAO,CAACprD,MAgBZ,OAfA,SAASyvB,EAAOvjB,EAAO40C,GACrB,IAAImV,EAAW/pD,EAAMqnD,cAAcrU,EAAOyR,GAC1C,GAAIsF,KAAcD,GAASC,EAASlU,UAChC,OAAO+K,EAAS3hC,KAAK21B,EAAMjxC,KAAI,SAAUqmD,GAAM,OAAOA,EAAG5V,oBAE7D,IAAK,IAAIroD,EAAI,EAAGA,EAAIiU,EAAMhC,KAAK/R,OAAQF,GAAK,EAAG,CAC7C,IAAI4G,EAAOqN,EAAMhC,KAAKjS,GAAIiS,EAAOgC,EAAMhC,KAAKjS,EAAI,GAChD,IAAM4G,EAAKgpD,SAAUhpD,EAAK+gD,qBAA8C,GAAvBwL,EAAKxsD,QAAQsL,GAAa,CACzEkhD,EAAK78C,KAAKrE,GACV,IAAIm8C,EAAQ52B,EAAOvlB,EAAM42C,EAAM99C,OAAOnE,IACtC,GAAIwnD,EAAS,OAAOA,IAKnB52B,CAAOzvB,KAAM,KAQtB6zD,EAAat8D,UAAUsqD,aAAe,SAAuB3lD,GAC3D,IAAK,IAAIjE,EAAI,EAAGA,EAAI+H,KAAK8zD,UAAU37D,OAAQF,GAAK,EAC5C,GAAI+H,KAAK8zD,UAAU77D,IAAMiE,EAAU,OAAO8D,KAAK8zD,UAAU77D,EAAI,GACjE,IAAIk+D,EAAWn2D,KAAKo2D,gBAAgBl6D,GAEpC,OADA8D,KAAK8zD,UAAUvlD,KAAKrS,EAAQi6D,GACrBA,GAGTtC,EAAat8D,UAAU6+D,gBAAkB,SAA0Bl6D,GAEjE,IADA,IAAIkvD,EAAO9zD,OAAO4N,OAAO,MAAOmxD,EAAS,CAAC,CAACnqD,MAAOlM,KAAMnB,KAAM,KAAMy3D,IAAK,OAClED,EAAOl+D,QAAQ,CACpB,IAAIy1D,EAAUyI,EAAO3oC,QAASxhB,EAAQ0hD,EAAQ1hD,MAC9C,GAAIA,EAAM41C,UAAU5lD,GAAS,CAE3B,IADA,IAAIrC,EAAS,GACJ9B,EAAM61D,EAAS71D,EAAI8G,KAAM9G,EAAMA,EAAIu+D,IACxCz8D,EAAO0U,KAAKxW,EAAI8G,MACpB,OAAOhF,EAAO08D,UAEhB,IAAK,IAAIt+D,EAAI,EAAGA,EAAIiU,EAAMhC,KAAK/R,OAAQF,GAAK,EAAG,CAC7C,IAAI4G,EAAOqN,EAAMhC,KAAKjS,GACjB4G,EAAKyuD,QAAWzuD,EAAK+gD,oBAAwB/gD,EAAKjI,QAAQw0D,GAAWwC,EAAQ/uD,OAAQqN,EAAMhC,KAAKjS,EAAI,GAAG8pD,WAC1GsU,EAAO9nD,KAAK,CAACrC,MAAOrN,EAAKy0D,aAAcz0D,KAAMA,EAAMy3D,IAAK1I,IACxDxC,EAAKvsD,EAAKjI,OAAQ,MAS1Bm9D,EAAqBrU,UAAU9kD,IAAM,WACnC,OAAOoF,KAAKkK,KAAK/R,QAAU,GAM7B07D,EAAat8D,UAAUooD,KAAO,SAAe9oC,GAC3C,IAAI5e,EAAI4e,GAAK,EACb,GAAI5e,GAAK+H,KAAKkK,KAAK/R,OAAU,MAAM,IAAIwuD,WAAY,cAAgB9vC,EAAI,iCACvE,MAAO,CAAChY,KAAMmB,KAAKkK,KAAKjS,GAAIiS,KAAMlK,KAAKkK,KAAKjS,EAAI,KAGlD47D,EAAat8D,UAAUF,SAAW,WAChC,IAAI+zD,EAAO,GAOX,OANA,SAASoL,EAAKx0C,GACZopC,EAAK78C,KAAKyT,GACV,IAAK,IAAI/pB,EAAI,EAAGA,EAAI+pB,EAAE9X,KAAK/R,OAAQF,GAAK,GACJ,GAA5BmzD,EAAKxsD,QAAQojB,EAAE9X,KAAKjS,KAAau+D,EAAKx0C,EAAE9X,KAAKjS,IAEvDu+D,CAAKx2D,MACEorD,EAAKv7C,KAAI,SAAUmS,EAAG/pB,GAE3B,IADA,IAAI8+C,EAAM9+C,GAAK+pB,EAAE+/B,SAAW,IAAM,KAAO,IAChC+B,EAAM,EAAGA,EAAM9hC,EAAE9X,KAAK/R,OAAQ2rD,GAAO,EAC1C/M,IAAQ+M,EAAM,KAAO,IAAM9hC,EAAE9X,KAAK45C,GAAKltD,KAAO,KAAOw0D,EAAKxsD,QAAQojB,EAAE9X,KAAK45C,EAAM,IACnF,OAAO/M,KACNh3C,KAAK,OAGVzI,OAAOiP,iBAAkBstD,EAAat8D,UAAWw8D,GAEjDF,EAAax+B,MAAQ,IAAIw+B,GAAa,GAEtC,IAAIM,EAAc,SAAqB3+C,EAAQy+C,GAC7Cj0D,KAAKwV,OAASA,EACdxV,KAAKi0D,UAAYA,EACjBj0D,KAAKy2D,OAAS,KACdz2D,KAAKkZ,IAAM,EACXlZ,KAAKo9B,OAAS5nB,EAAO7V,MAAM,kBACgB,IAAvCK,KAAKo9B,OAAOp9B,KAAKo9B,OAAOjlC,OAAS,IAAY6H,KAAKo9B,OAAOs5B,MACvC,IAAlB12D,KAAKo9B,OAAO,IAAYp9B,KAAKo9B,OAAO7P,WAGtCopC,EAAyB,CAAEzsD,KAAM,CAAExF,cAAc,IAUrD,SAAS2vD,EAAUH,GACjB,IAAIgB,EAAQ,GACZ,GAAKA,EAAM3mD,KAAKqoD,EAAa1C,UACtBA,EAAO2C,IAAI,MAClB,OAAuB,GAAhB3B,EAAM/8D,OAAc+8D,EAAM,GAAK,CAACr2D,KAAM,SAAUq2D,MAAOA,GAGhE,SAAS0B,EAAa1C,GACpB,IAAIgB,EAAQ,GACZ,GAAKA,EAAM3mD,KAAKuoD,EAAmB5C,UAC5BA,EAAOhqD,MAAuB,KAAfgqD,EAAOhqD,MAA8B,KAAfgqD,EAAOhqD,MACnD,OAAuB,GAAhBgrD,EAAM/8D,OAAc+8D,EAAM,GAAK,CAACr2D,KAAM,MAAOq2D,MAAOA,GAG7D,SAAS4B,EAAmB5C,GAE1B,IADA,IAAIE,EA4CN,SAAuBF,GACrB,GAAIA,EAAO2C,IAAI,KAAM,CACnB,IAAIzC,EAAOC,EAAUH,GAErB,OADKA,EAAO2C,IAAI,MAAQ3C,EAAO9E,IAAI,yBAC5BgF,EACF,IAAK,KAAKjpD,KAAK+oD,EAAOhqD,MAAO,CAClC,IAAIgrD,EAlBR,SAAqBhB,EAAQt9D,GAC3B,IAAIkqD,EAAQoT,EAAOD,UAAWp1D,EAAOiiD,EAAMlqD,GAC3C,GAAIiI,EAAQ,MAAO,CAACA,GACpB,IAAIhF,EAAS,GACb,IAAK,IAAIk9D,KAAYjW,EAAO,CAC1B,IAAIkW,EAASlW,EAAMiW,GACfC,EAAOzuC,OAAO3pB,QAAQhI,IAAS,GAAKiD,EAAO0U,KAAKyoD,GAEjC,GAAjBn9D,EAAO1B,QAAe+7D,EAAO9E,IAAI,0BAA4Bx4D,EAAO,WACxE,OAAOiD,EASOo9D,CAAY/C,EAAQA,EAAOhqD,MAAM2F,KAAI,SAAUhR,GAGzD,OAFqB,MAAjBq1D,EAAOuC,OAAkBvC,EAAOuC,OAAS53D,EAAKknD,SACzCmO,EAAOuC,QAAU53D,EAAKknD,UAAYmO,EAAO9E,IAAI,mCAC/C,CAACvwD,KAAM,OAAQvD,MAAOuD,MAG/B,OADAq1D,EAAOh7C,MACgB,GAAhBg8C,EAAM/8D,OAAc+8D,EAAM,GAAK,CAACr2D,KAAM,SAAUq2D,MAAOA,GAE9DhB,EAAO9E,IAAI,qBAAuB8E,EAAOhqD,KAAO,KA1DvCgtD,CAAchD,KAEvB,GAAIA,EAAO2C,IAAI,KACXzC,EAAO,CAACv1D,KAAM,OAAQu1D,KAAMA,QAC3B,GAAIF,EAAO2C,IAAI,KAChBzC,EAAO,CAACv1D,KAAM,OAAQu1D,KAAMA,QAC3B,GAAIF,EAAO2C,IAAI,KAChBzC,EAAO,CAACv1D,KAAM,MAAOu1D,KAAMA,OAC1B,KAAIF,EAAO2C,IAAI,KAEb,MADHzC,EAAO+C,EAAejD,EAAQE,GAGpC,OAAOA,EAGT,SAASgD,EAASlD,GACZ,KAAK/oD,KAAK+oD,EAAOhqD,OAASgqD,EAAO9E,IAAI,yBAA2B8E,EAAOhqD,KAAO,KAClF,IAAIrQ,EAASkP,OAAOmrD,EAAOhqD,MAE3B,OADAgqD,EAAOh7C,MACArf,EAGT,SAASs9D,EAAejD,EAAQE,GAC9B,IAAIpzD,EAAMo2D,EAASlD,GAASltC,EAAMhmB,EAMlC,OALIkzD,EAAO2C,IAAI,OACa7vC,EAAP,KAAfktC,EAAOhqD,KAAqBktD,EAASlD,IAC3B,GAEXA,EAAO2C,IAAI,MAAQ3C,EAAO9E,IAAI,yBAC5B,CAACvwD,KAAM,QAASmC,IAAKA,EAAKgmB,IAAKA,EAAKotC,KAAMA,GAwGnD,SAASU,EAAI56D,EAAGC,GAAK,OAAOA,EAAID,EAKhC,SAAS06D,EAASN,EAAKjW,GACrB,IAAIxkD,EAAS,GAEb,OAEA,SAAS28D,EAAKnY,GACZ,IAAIoX,EAAQnB,EAAIjW,GAChB,GAAoB,GAAhBoX,EAAMt9D,SAAgBs9D,EAAM,GAAGf,KAAQ,OAAO8B,EAAKf,EAAM,GAAGx+B,IAChEp9B,EAAO0U,KAAK8vC,GACZ,IAAK,IAAIpmD,EAAI,EAAGA,EAAIw9D,EAAMt9D,OAAQF,IAAK,CACrC,IAAImnD,EAAMqW,EAAMx9D,GACZy8D,EAAOtV,EAAIsV,KACXz9B,EAAKmoB,EAAInoB,GACRy9B,IAA+B,GAAvB76D,EAAO+E,QAAQq4B,IAAau/B,EAAKv/B,IAXlDu/B,CAAKnY,GACExkD,EAAOumC,KAAK00B,GAgErB,SAAS/B,EAAavQ,GACpB,IAAI78C,EAAWrO,OAAO4N,OAAO,MAC7B,IAAK,IAAImyD,KAAY7U,EAAO,CAC1B,IAAI8U,EAAO9U,EAAM6U,GACjB,IAAKC,EAAKC,WAAc,OAAO,KAC/B5xD,EAAS0xD,GAAYC,EAAKzyD,QAE5B,OAAOc,EAGT,SAAS6xD,EAAahV,EAAOlnD,GAC3B,IAAIm8D,EAAQngE,OAAO4N,OAAO,MAC1B,IAAK,IAAItO,KAAQ4rD,EAAO,CACtB,IAAIkV,EAAQp8D,GAASA,EAAM1E,GAC3B,QAAcgG,IAAV86D,EAAqB,CACvB,IAAIJ,EAAO9U,EAAM5rD,GACjB,IAAI0gE,EAAKC,WACF,MAAM,IAAI5Q,WAAW,mCAAqC/vD,GAD1C8gE,EAAQJ,EAAKzyD,QAGtC4yD,EAAM7gE,GAAQ8gE,EAEhB,OAAOD,EAGT,SAASE,EAAUnV,GACjB,IAAI3oD,EAASvC,OAAO4N,OAAO,MAC3B,GAAIs9C,EAAS,IAAK,IAAI5rD,KAAQ4rD,EAAS3oD,EAAOjD,GAAQ,IAAIghE,EAAUpV,EAAM5rD,IAC1E,OAAOiD,EA/PT88D,EAAuBzsD,KAAKtP,IAAM,WAAc,OAAOoF,KAAKo9B,OAAOp9B,KAAKkZ,MAExEi7C,EAAY58D,UAAUs/D,IAAM,SAAcgB,GAAO,OAAO73D,KAAKkK,MAAQ2tD,IAAQ73D,KAAKkZ,QAAS,IAE3Fi7C,EAAY58D,UAAU63D,IAAM,SAAc90D,GAAO,MAAM,IAAIw9D,YAAYx9D,EAAM,4BAA8B0F,KAAKwV,OAAS,OAEzHle,OAAOiP,iBAAkB4tD,EAAY58D,UAAWo/D,GAgQhD,IAAIoB,EAAW,SAAkBnhE,EAAM+yD,EAAQhL,GAG7C3+C,KAAKpJ,KAAOA,EAIZoJ,KAAK2pD,OAASA,EAId3pD,KAAK2+C,KAAOA,EAEZ3+C,KAAKuoB,OAASo2B,EAAKqZ,MAAQrZ,EAAKqZ,MAAMr4D,MAAM,KAAO,GACnDK,KAAKwiD,MAAQmV,EAAUhZ,EAAK6D,OAE5BxiD,KAAK+yD,aAAeA,EAAa/yD,KAAKwiD,OAItCxiD,KAAKszD,aAAe,KAKpBtzD,KAAKi4D,QAAU,KAIfj4D,KAAKojD,cAAgB,KAIrBpjD,KAAK0gD,UAAY/B,EAAK8X,QAAkB,QAAR7/D,GAIhCoJ,KAAK6nD,OAAiB,QAARjxD,GAGZshE,EAAuB,CAAEnS,SAAU,CAAErhD,cAAc,GAAO85C,YAAa,CAAE95C,cAAc,GAAO4oD,OAAQ,CAAE5oD,cAAc,GAAOigD,OAAQ,CAAEjgD,cAAc,IAIzJwzD,EAAqBnS,SAASnrD,IAAM,WAAc,OAAQoF,KAAK0gD,SAK/DwX,EAAqB1Z,YAAY5jD,IAAM,WAAc,OAAOoF,KAAK0gD,SAAW1gD,KAAKojD,eAIjF8U,EAAqB5K,OAAO1yD,IAAM,WAAc,OAAOoF,KAAKszD,cAAgBO,EAAax+B,OAKzF6iC,EAAqBvT,OAAO/pD,IAAM,WAAc,OAAOoF,KAAKstD,QAAUttD,KAAK2+C,KAAKwZ,MAIhFJ,EAASxgE,UAAUqoD,iBAAmB,WACpC,IAAK,IAAI/oC,KAAK7W,KAAKwiD,MAAS,GAAIxiD,KAAKwiD,MAAM3rC,GAAGuhD,WAAc,OAAO,EACnE,OAAO,GAGTL,EAASxgE,UAAUiqD,kBAAoB,SAA4B7+B,GACjE,OAAO3iB,MAAQ2iB,GAAS3iB,KAAKszD,aAAawC,WAAWnzC,EAAM2wC,eAG7DyE,EAASxgE,UAAUigE,aAAe,SAAyBhV,GACzD,OAAKA,GAASxiD,KAAK+yD,aAAuB/yD,KAAK+yD,aACjCyE,EAAax3D,KAAKwiD,MAAOA,IAUzCuV,EAASxgE,UAAU2N,OAAS,SAAiBs9C,EAAO17C,EAAS28C,GAC3D,GAAIzjD,KAAK6nD,OAAU,MAAM,IAAI76C,MAAM,8CACnC,OAAO,IAAI2lD,EAAK3yD,KAAMA,KAAKw3D,aAAahV,GAAQsK,EAAS3hC,KAAKrkB,GAAUooD,EAAKM,QAAQ/L,KAOvFsU,EAASxgE,UAAU8gE,cAAgB,SAAwB7V,EAAO17C,EAAS28C,GAEzE,GADA38C,EAAUgmD,EAAS3hC,KAAKrkB,IACnB9G,KAAK8wD,aAAahqD,GACnB,MAAM,IAAI6/C,WAAW,4BAA8B3mD,KAAKpJ,MAC5D,OAAO,IAAI+7D,EAAK3yD,KAAMA,KAAKw3D,aAAahV,GAAQ17C,EAASooD,EAAKM,QAAQ/L,KAUxEsU,EAASxgE,UAAU+oD,cAAgB,SAAwBkC,EAAO17C,EAAS28C,GAGzE,GAFAjB,EAAQxiD,KAAKw3D,aAAahV,IAC1B17C,EAAUgmD,EAAS3hC,KAAKrkB,IACZyS,KAAM,CAChB,IAAIwlC,EAAS/+C,KAAKszD,aAAayC,WAAWjvD,GAC1C,IAAKi4C,EAAU,OAAO,KACtBj4C,EAAUi4C,EAAO8N,OAAO/lD,GAE1B,IAAIo4C,EAAQl/C,KAAKszD,aAAaC,cAAczsD,GAASivD,WAAWjJ,EAASz3B,OAAO,GAChF,OAAK6pB,EACE,IAAIyT,EAAK3yD,KAAMwiD,EAAO17C,EAAQ+lD,OAAO3N,GAAQgQ,EAAKM,QAAQ/L,IAD5C,MAOvBsU,EAASxgE,UAAUu5D,aAAe,SAAuBhqD,GACvD,IAAIjN,EAASmG,KAAKszD,aAAaC,cAAczsD,GAC7C,IAAKjN,IAAWA,EAAOkoD,SAAY,OAAO,EAC1C,IAAK,IAAI9pD,EAAI,EAAGA,EAAI6O,EAAQm4C,WAAYhnD,IACpC,IAAK+H,KAAK0zD,YAAY5sD,EAAQmhD,MAAMhwD,GAAGwrD,OAAU,OAAO,EAC5D,OAAO,GAKTsU,EAASxgE,UAAU4rD,eAAiB,SAAyBJ,GAC3D,OAAuB,MAAhB/iD,KAAKi4D,SAAmBj4D,KAAKi4D,QAAQr5D,QAAQmkD,IAAa,GAKnEgV,EAASxgE,UAAUm8D,YAAc,SAAsBjQ,GACrD,GAAoB,MAAhBzjD,KAAKi4D,QAAmB,OAAO,EACnC,IAAK,IAAIhgE,EAAI,EAAGA,EAAIwrD,EAAMtrD,OAAQF,IAAO,IAAK+H,KAAKmjD,eAAeM,EAAMxrD,GAAG4G,MAAS,OAAO,EAC3F,OAAO,GAKTk5D,EAASxgE,UAAU+gE,aAAe,SAAuB7U,GACvD,GAAoB,MAAhBzjD,KAAKi4D,QAAmB,OAAOxU,EAEnC,IADA,IAAIvB,EACKjqD,EAAI,EAAGA,EAAIwrD,EAAMtrD,OAAQF,IAC3B+H,KAAKmjD,eAAeM,EAAMxrD,GAAG4G,MAEvBqjD,GACTA,EAAK3zC,KAAKk1C,EAAMxrD,IAFXiqD,IAAQA,EAAOuB,EAAMxgD,MAAM,EAAGhL,IAKvC,OAAQiqD,EAAeA,EAAK/pD,OAAS+pD,EAAOgN,EAAK75B,MAAlCouB,GAGjBsU,EAAS9C,QAAU,SAAkBlG,EAAOpF,GAC1C,IAAI9vD,EAASvC,OAAO4N,OAAO,MAC3B6pD,EAAMj3D,SAAQ,SAAUlB,EAAM+nD,GAAQ,OAAO9kD,EAAOjD,GAAQ,IAAImhE,EAASnhE,EAAM+yD,EAAQhL,MAEvF,IAAI4Z,EAAU5O,EAAOhL,KAAK6Z,SAAW,MACrC,IAAK3+D,EAAO0+D,GAAY,MAAM,IAAI5R,WAAW,yCAA2C4R,EAAU,MAClG,IAAK1+D,EAAOuE,KAAQ,MAAM,IAAIuoD,WAAW,oCACzC,IAAK,IAAImD,KAAKjwD,EAAOuE,KAAKokD,MAAS,MAAM,IAAImE,WAAW,iDAExD,OAAO9sD,GAGTvC,OAAOiP,iBAAkBwxD,EAASxgE,UAAW2gE,GAI7C,IAAIN,EAAY,SAAmB57D,GACjCgE,KAAKu3D,WAAajgE,OAAOC,UAAUc,eAAeX,KAAKsE,EAAS,WAChEgE,KAAK6E,QAAU7I,EAAQ6I,SAGrB4zD,EAAyB,CAAEL,WAAY,CAAE1zD,cAAc,IAE3D+zD,EAAuBL,WAAWx9D,IAAM,WACtC,OAAQoF,KAAKu3D,YAGfjgE,OAAOiP,iBAAkBqxD,EAAUrgE,UAAWkhE,GAQ9C,IAAIC,EAAW,SAAkB9hE,EAAM24D,EAAM5F,EAAQhL,GAGnD3+C,KAAKpJ,KAAOA,EAIZoJ,KAAK2pD,OAASA,EAId3pD,KAAK2+C,KAAOA,EAEZ3+C,KAAKwiD,MAAQmV,EAAUhZ,EAAK6D,OAE5BxiD,KAAKuvD,KAAOA,EACZvvD,KAAK24D,SAAW,KAChB,IAAIhzD,EAAWotD,EAAa/yD,KAAKwiD,OACjCxiD,KAAK4sB,SAAWjnB,GAAY,IAAIupD,EAAKlvD,KAAM2F,IAO7C+yD,EAASnhE,UAAU2N,OAAS,SAAiBs9C,GAC3C,OAAKA,GAASxiD,KAAK4sB,SAAmB5sB,KAAK4sB,SACpC,IAAIsiC,EAAKlvD,KAAMw3D,EAAax3D,KAAKwiD,MAAOA,KAGjDkW,EAASzD,QAAU,SAAkBxR,EAAOkG,GAC1C,IAAI9vD,EAASvC,OAAO4N,OAAO,MAAOqqD,EAAO,EAEzC,OADA9L,EAAM3rD,SAAQ,SAAUlB,EAAM+nD,GAAQ,OAAO9kD,EAAOjD,GAAQ,IAAI8hE,EAAS9hE,EAAM24D,IAAQ5F,EAAQhL,MACxF9kD,GAMT6+D,EAASnhE,UAAU8xD,cAAgB,SAAwBnmD,GACzD,IAAK,IAAIjL,EAAI,EAAGA,EAAIiL,EAAI/K,OAAQF,IAC5B,GAAIiL,EAAIjL,GAAG4G,MAAQmB,KACjB,OAAOkD,EAAID,MAAM,EAAGhL,GAAG+K,OAAOE,EAAID,MAAMhL,EAAI,IAClD,OAAOiL,GAKTw1D,EAASnhE,UAAUgsD,QAAU,SAAkBrgD,GAC7C,IAAK,IAAIjL,EAAI,EAAGA,EAAIiL,EAAI/K,OAAQF,IAC5B,GAAIiL,EAAIjL,GAAG4G,MAAQmB,KAAQ,OAAOkD,EAAIjL,IAM5CygE,EAASnhE,UAAU+3D,SAAW,SAAmB3sC,GAC/C,OAAO3iB,KAAK24D,SAAS/5D,QAAQ+jB,IAAU,GAwKzC,IAAI,EAAS,SAAgBg8B,GAQ3B,IAAK,IAAIt/B,KADTrf,KAAK2+C,KAAO,GACKA,EAAQ3+C,KAAK2+C,KAAKt/B,GAAQs/B,EAAKt/B,GAChDrf,KAAK2+C,KAAKoQ,MAAQ,EAAW5jC,KAAKwzB,EAAKoQ,OACvC/uD,KAAK2+C,KAAK8E,MAAQ,EAAWt4B,KAAKwzB,EAAK8E,OAIvCzjD,KAAK+uD,MAAQgJ,EAAS9C,QAAQj1D,KAAK2+C,KAAKoQ,MAAO/uD,MAI/CA,KAAKyjD,MAAQiV,EAASzD,QAAQj1D,KAAK2+C,KAAK8E,MAAOzjD,MAE/C,IAAI44D,EAAmBthE,OAAO4N,OAAO,MACrC,IAAK,IAAI2zD,KAAU74D,KAAK+uD,MAAO,CAC7B,GAAI8J,KAAU74D,KAAKyjD,MACf,MAAM,IAAIkD,WAAWkS,EAAS,sCAClC,IAAIh6D,EAAOmB,KAAK+uD,MAAM8J,GAASC,EAAcj6D,EAAK8/C,KAAK73C,SAAW,GAAIiyD,EAAWl6D,EAAK8/C,KAAK8E,MAC3F5kD,EAAKy0D,aAAesF,EAAiBE,KAClCF,EAAiBE,GAAejF,EAAat4C,MAAMu9C,EAAa94D,KAAK+uD,QACxElwD,EAAKukD,cAAgBvkD,EAAKy0D,aAAalQ,cACvCvkD,EAAKo5D,QAAsB,KAAZc,EAAkB,KAC/BA,EAAWC,EAAYh5D,KAAM+4D,EAASp5D,MAAM,MAChC,IAAZo5D,GAAmBl6D,EAAKukD,cAAqB,KAAL,GAE5C,IAAK,IAAI6V,KAAUj5D,KAAKyjD,MAAO,CAC7B,IAAIuT,EAASh3D,KAAKyjD,MAAMwV,GAASC,EAAOlC,EAAOrY,KAAK2Q,SACpD0H,EAAO2B,SAAmB,MAARO,EAAe,CAAClC,GAAkB,IAARkC,EAAa,GAAKF,EAAYh5D,KAAMk5D,EAAKv5D,MAAM,MAG7FK,KAAK4uD,aAAe5uD,KAAK4uD,aAAax3D,KAAK4I,MAC3CA,KAAK6rD,aAAe7rD,KAAK6rD,aAAaz0D,KAAK4I,MAK3CA,KAAKkqD,YAAclqD,KAAK+uD,MAAM/uD,KAAK2+C,KAAK6Z,SAAW,OAMnDx4D,KAAKsyD,OAASh7D,OAAO4N,OAAO,MAC5BlF,KAAKsyD,OAAO6G,UAAY7hE,OAAO4N,OAAO,OAsDxC,SAAS8zD,EAAYrP,EAAQlG,GAE3B,IADA,IAAI4C,EAAQ,GACHpuD,EAAI,EAAGA,EAAIwrD,EAAMtrD,OAAQF,IAAK,CACrC,IAAIrB,EAAO6sD,EAAMxrD,GAAIkxD,EAAOQ,EAAOlG,MAAM7sD,GAAOwiE,EAAKjQ,EACrD,GAAIA,EACF9C,EAAM93C,KAAK46C,QAEX,IAAK,IAAI9pC,KAAQsqC,EAAOlG,MAAO,CAC7B,IAAI4V,EAAS1P,EAAOlG,MAAMpkC,IACd,KAARzoB,GAAgByiE,EAAO1a,KAAKqZ,OAASqB,EAAO1a,KAAKqZ,MAAMr4D,MAAM,KAAKf,QAAQhI,IAAS,IACnFyvD,EAAM93C,KAAK6qD,EAAKC,GAGxB,IAAKD,EAAM,MAAM,IAAItB,YAAY,uBAAyBrU,EAAMxrD,GAAK,KAEvE,OAAOouD,EA7DT,EAAO9uD,UAAU8mD,KAAO,SAAex/C,EAAM2jD,EAAO17C,EAAS28C,GAC3D,GAAmB,iBAAR5kD,EACPA,EAAOmB,KAAKuiD,SAAS1jD,OACpB,MAAMA,aAAgBk5D,GACvB,MAAM,IAAIpR,WAAW,sBAAwB9nD,GAC5C,GAAIA,EAAK8qD,QAAU3pD,KACpB,MAAM,IAAI2mD,WAAW,yCAA2C9nD,EAAKjI,KAAO,KAEhF,OAAOiI,EAAKw5D,cAAc7V,EAAO17C,EAAS28C,IAM5C,EAAOlsD,UAAU6G,KAAO,SAAek7D,EAAQ7V,GAC7C,IAAI5kD,EAAOmB,KAAK+uD,MAAM3wD,KACtB,OAAO,IAAIw1D,EAAS/0D,EAAMA,EAAKk0D,aAAcuG,EAAQpK,EAAKM,QAAQ/L,KAKpE,EAAOlsD,UAAU4xD,KAAO,SAAetqD,EAAM2jD,GAE3C,MADmB,iBAAR3jD,IAAoBA,EAAOmB,KAAKyjD,MAAM5kD,IAC1CA,EAAKqG,OAAOs9C,IAMrB,EAAOjrD,UAAUq3D,aAAe,SAAuBlI,GACrD,OAAOiM,EAAKlM,SAASzmD,KAAM0mD,IAM7B,EAAOnvD,UAAUs0D,aAAe,SAAuBnF,GACrD,OAAOwI,EAAKzI,SAASzmD,KAAM0mD,IAG7B,EAAOnvD,UAAUgrD,SAAW,SAAmB3rD,GAC7C,IAAIyvD,EAAQrmD,KAAK+uD,MAAMn4D,GACvB,IAAKyvD,EAAS,MAAM,IAAIM,WAAW,sBAAwB/vD,GAC3D,OAAOyvD,GA+JT,IAAIkT,GAAY,SAAmB5P,EAAQ6P,GACzC,IAAIjP,EAASvqD,KAIbA,KAAK2pD,OAASA,EAId3pD,KAAKw5D,MAAQA,EACbx5D,KAAKy5D,KAAO,GACZz5D,KAAKmR,OAAS,GAEdqoD,EAAM1hE,SAAQ,SAAU4hE,GAClBA,EAAK5gD,IAAOyxC,EAAOkP,KAAKlrD,KAAKmrD,GACxBA,EAAKhyD,OAAS6iD,EAAOp5C,OAAO5C,KAAKmrD,MAI5C15D,KAAK25D,gBAAkB35D,KAAKy5D,KAAK9hD,MAAK,SAAUrZ,GAC9C,IAAK,aAAa6M,KAAK7M,EAAEwa,OAASxa,EAAE+/C,KAAQ,OAAO,EACnD,IAAIA,EAAOsL,EAAOoF,MAAMzwD,EAAE+/C,MAC1B,OAAOA,EAAKiV,aAAaxR,UAAUzD,OAMvCkb,GAAUhiE,UAAUgkB,MAAQ,SAAgBq+C,EAAK59D,QAC5B,IAAZA,IAAqBA,EAAU,IAEtC,IAAIgG,EAAU,IAAI63D,GAAa75D,KAAMhE,GAAS,GAE9C,OADAgG,EAAQ83D,OAAOF,EAAK,KAAM59D,EAAQmvB,KAAMnvB,EAAQi7B,IACzCj1B,EAAQ+3D,UAUjBR,GAAUhiE,UAAUyiE,WAAa,SAAqBJ,EAAK59D,QACtC,IAAZA,IAAqBA,EAAU,IAEtC,IAAIgG,EAAU,IAAI63D,GAAa75D,KAAMhE,GAAS,GAE9C,OADAgG,EAAQ83D,OAAOF,EAAK,KAAM59D,EAAQmvB,KAAMnvB,EAAQi7B,IACzCw4B,EAAM8B,QAAQvvD,EAAQ+3D,WAG/BR,GAAUhiE,UAAU0iE,SAAW,SAAmBL,EAAK53D,GACrD,IAAK,IAAI/J,EAAI,EAAGA,EAAI+H,KAAKy5D,KAAKthE,OAAQF,IAAK,CACzC,IAAIyhE,EAAO15D,KAAKy5D,KAAKxhE,GACrB,GAAIq3C,GAAQsqB,EAAKF,EAAK5gD,YACElc,IAAnB88D,EAAKt1D,WAA2Bw1D,EAAIM,cAAgBR,EAAKt1D,cACxDs1D,EAAK13D,SAAWA,EAAQm4D,eAAeT,EAAK13D,UAAW,CAC3D,GAAI03D,EAAKU,SAAU,CACjB,IAAIvgE,EAAS6/D,EAAKU,SAASR,GAC3B,IAAe,IAAX//D,EAAoB,SACxB6/D,EAAKlX,MAAQ3oD,EAEf,OAAO6/D,KAKbH,GAAUhiE,UAAU8iE,WAAa,SAAqBh7C,EAAM/jB,EAAO0G,GACjE,IAAK,IAAI/J,EAAI,EAAGA,EAAI+H,KAAKmR,OAAOhZ,OAAQF,IAAK,CAC3C,IAAIyhE,EAAO15D,KAAKmR,OAAOlZ,GACvB,KAAgC,GAA5ByhE,EAAKhyD,MAAM9I,QAAQygB,IACnBq6C,EAAK13D,UAAYA,EAAQm4D,eAAeT,EAAK13D,UAI7C03D,EAAKhyD,MAAMvP,OAASknB,EAAKlnB,SACc,IAAtCuhE,EAAKhyD,MAAM8R,WAAW6F,EAAKlnB,SAAiBuhE,EAAKhyD,MAAMzE,MAAMoc,EAAKlnB,OAAS,IAAMmD,IANtF,CAQA,GAAIo+D,EAAKU,SAAU,CACjB,IAAIvgE,EAAS6/D,EAAKU,SAAS9+D,GAC3B,IAAe,IAAXzB,EAAoB,SACxB6/D,EAAKlX,MAAQ3oD,EAEf,OAAO6/D,KAKXH,GAAUe,YAAc,SAAsB3Q,GAC5C,IAAI9vD,EAAS,GACb,SAASkrD,EAAO2U,GAEd,IADA,IAAIz/B,EAA4B,MAAjBy/B,EAAKz/B,SAAmB,GAAKy/B,EAAKz/B,SAAUhiC,EAAI,EACxDA,EAAI4B,EAAO1B,OAAQF,IAAK,CAC7B,IAAIiS,EAAOrQ,EAAO5B,GAClB,IADsD,MAAjBiS,EAAK+vB,SAAmB,GAAK/vB,EAAK+vB,UACpDA,EAAY,MAEjCpgC,EAAOmyD,OAAO/zD,EAAG,EAAGyhE,GAGtB,IAAIxW,EAAO,SAAWtsD,GACpB,IAAI4iE,EAAQ7P,EAAOlG,MAAM7sD,GAAM+nD,KAAK4b,SAChCf,GAASA,EAAM1hE,SAAQ,SAAU4hE,GACnC3U,EAAO2U,EAAOxX,GAAKwX,IACnBA,EAAKvQ,KAAOvyD,MAId,IAAK,IAAIA,KAAQ+yD,EAAOlG,MAAOP,EAAMtsD,GACvC,IACM4jE,EAOJ,IAAK,IAAIC,KAAU9Q,EAAOoF,MAPtByL,YAAU7Q,EAAOoF,MAAM0L,GAAQ9b,KAAK4b,WACzBC,EAAQ1iE,SAAQ,SAAU4hE,GACvC3U,EAAO2U,EAAOxX,GAAKwX,IACnBA,EAAKrb,KAAOoc,KAKhB,OAAO5gE,GAOT0/D,GAAUmB,WAAa,SAAqB/Q,GAC1C,OAAOA,EAAO2I,OAAOqI,YAClBhR,EAAO2I,OAAOqI,UAAY,IAAIpB,GAAU5P,EAAQ4P,GAAUe,YAAY3Q,MAI3E,IAAIiR,GAAY,CACdC,SAAS,EAAMC,SAAS,EAAMC,OAAO,EAAMC,YAAY,EAAMC,QAAQ,EACrE30B,IAAI,EAAM40B,KAAK,EAAMC,IAAI,EAAMC,UAAU,EAAMC,YAAY,EAAMC,QAAQ,EACzEC,QAAQ,EAAMC,MAAM,EAAMC,IAAI,EAAMC,IAAI,EAAMC,IAAI,EAAMC,IAAI,EAAMC,IAAI,EACtEC,IAAI,EAAMC,QAAQ,EAAMC,QAAQ,EAAMC,IAAI,EAAMC,IAAI,EAAMC,UAAU,EAAMC,IAAI,EAC9EhhC,QAAQ,EAAM1U,GAAG,EAAM21C,KAAK,EAAMC,SAAS,EAAMC,OAAO,EAAMC,OAAO,EAAMC,IAAI,GAI7EC,GAAa,CACf9qD,MAAM,EAAMuqD,UAAU,EAAM1gE,QAAQ,EAAMkhE,QAAQ,EAAMj1D,OAAO,EAAM8G,OAAO,GAI1EouD,GAAW,CAACR,IAAI,EAAMK,IAAI,GAK9B,SAASI,GAAaC,GACpB,OAAQA,EAHY,EAG2B,IAA6B,SAAvBA,EAHP,EAG8D,GAG9G,IAAIC,GAAc,SAAqBl+D,EAAM2jD,EAAOiB,EAAOuZ,EAAcC,EAAO/wD,EAAOlQ,GACrFgE,KAAKnB,KAAOA,EACZmB,KAAKwiD,MAAQA,EACbxiD,KAAKi9D,MAAQA,EACbj9D,KAAKkM,MAAQA,IAVoD,EAU1ClQ,EAA0B,KAAO6C,EAAKy0D,cAC7DtzD,KAAKhE,QAAUA,EACfgE,KAAK8G,QAAU,GAEf9G,KAAKyjD,MAAQA,EAEbzjD,KAAKk9D,YAAchO,EAAKxF,KAExB1pD,KAAKg9D,aAAeA,GAGtBD,GAAYxlE,UAAUsqD,aAAe,SAAuBxD,GAC1D,IAAKr+C,KAAKkM,MAAO,CACf,IAAKlM,KAAKnB,KAAQ,MAAO,GACzB,IAAIs+D,EAAOn9D,KAAKnB,KAAKy0D,aAAayC,WAAWjJ,EAAS3hC,KAAKkzB,IAC3D,IAAI8e,EAEG,CACL,IAAoClb,EAAhC+K,EAAQhtD,KAAKnB,KAAKy0D,aACtB,OAAIrR,EAAO+K,EAAMnL,aAAaxD,EAAKx/C,QACjCmB,KAAKkM,MAAQ8gD,EACN/K,GAEA,KAPTjiD,KAAKkM,MAAQlM,KAAKnB,KAAKy0D,aAAaC,cAAc4J,GAWtD,OAAOn9D,KAAKkM,MAAM21C,aAAaxD,EAAKx/C,OAGtCk+D,GAAYxlE,UAAUwiE,OAAS,SAAiBrU,GAC9C,KAzCoB,EAyCd1lD,KAAKhE,SAA4B,CACrC,IAAkDgmB,EAA9C0R,EAAO1zB,KAAK8G,QAAQ9G,KAAK8G,QAAQ3O,OAAS,GAC1Cu7B,GAAQA,EAAKm0B,SAAW7lC,EAAI,oBAAoBhsB,KAAK09B,EAAKt1B,SACxDs1B,EAAKt1B,KAAKjG,QAAU6pB,EAAE,GAAG7pB,OAAU6H,KAAK8G,QAAQ4vD,MAC7C12D,KAAK8G,QAAQ9G,KAAK8G,QAAQ3O,OAAS,GAAKu7B,EAAK85B,SAAS95B,EAAKt1B,KAAK6E,MAAM,EAAGywB,EAAKt1B,KAAKjG,OAAS6pB,EAAE,GAAG7pB,UAG5G,IAAI2O,EAAUgmD,EAAS3hC,KAAKnrB,KAAK8G,SAGjC,OAFK4+C,GAAW1lD,KAAKkM,QACjBpF,EAAUA,EAAQ+lD,OAAO7sD,KAAKkM,MAAM6pD,WAAWjJ,EAASz3B,OAAO,KAC5Dr1B,KAAKnB,KAAOmB,KAAKnB,KAAKqG,OAAOlF,KAAKwiD,MAAO17C,EAAS9G,KAAKyjD,OAAS38C,GAGzEi2D,GAAYxlE,UAAU6lE,aAAe,SAAuBC,GAC1D,IAAK,IAAIplE,EAAI,EAAGqlE,EAAUt9D,KAAKg9D,aAAc/kE,EAAIqlE,EAAQnlE,OAAQF,IAAK,CACpE,IAAIkxD,EAAOmU,EAAQrlE,IACd+H,KAAKnB,KAAOmB,KAAKnB,KAAKskD,eAAegG,EAAKtqD,MAAQ0+D,GAAapU,EAAKtqD,KAAMw+D,MAC1ElU,EAAK5F,QAAQvjD,KAAKk9D,eACrBl9D,KAAKk9D,YAAc/T,EAAKC,SAASppD,KAAKk9D,aACtCl9D,KAAKg9D,aAAe7T,EAAKE,cAAcrpD,KAAKg9D,iBAKlD,IAAInD,GAAe,SAAsB2D,EAAQxhE,EAAS+L,GAExD/H,KAAKw9D,OAASA,EAEdx9D,KAAKhE,QAAUA,EACfgE,KAAKy9D,OAAS11D,EACd,IAA+B21D,EAA3BlF,EAAUx8D,EAAQw8D,QAClBmF,EAAad,GAAa7gE,EAAQ8gE,qBAAuB/0D,EAxEI,EAwEmB,GAEhF21D,EADAlF,EACa,IAAIuE,GAAYvE,EAAQ35D,KAAM25D,EAAQhW,MAAO0M,EAAKxF,KAAMwF,EAAKxF,MAAM,EACrD1tD,EAAQ4hE,UAAYpF,EAAQ35D,KAAKy0D,aAAcqK,GAE7D,IAAIZ,GADZh1D,EACwB,KAEAy1D,EAAO7T,OAAOO,YAFR,KAAMgF,EAAKxF,KAAMwF,EAAKxF,MAAM,EAAM,KAAMiU,GAG/E39D,KAAK+uD,MAAQ,CAAC2O,GAEd19D,KAAK+H,KAAO,EACZ/H,KAAK6X,KAAO7b,EAAQ6hE,cACpB79D,KAAK89D,YAAa,GAGhBC,GAAuB,CAAEC,IAAK,CAAEt5D,cAAc,GAAOu5D,WAAY,CAAEv5D,cAAc,IA6WrF,SAAS4qC,GAAQsqB,EAAKsE,GACpB,OAAQtE,EAAItqB,SAAWsqB,EAAIuE,mBAAqBvE,EAAIwE,uBAAyBxE,EAAIyE,oBAAoB3mE,KAAKkiE,EAAKsE,GAWjH,SAAShc,GAAKnqD,GACZ,IAAImqD,EAAO,GACX,IAAK,IAAI7iC,KAAQtnB,EAAOmqD,EAAK7iC,GAAQtnB,EAAIsnB,GACzC,OAAO6iC,EAMT,SAASqb,GAAaxa,EAAUR,GAC9B,IAAIwM,EAAQxM,EAASoH,OAAOoF,MACxB7L,EAAO,SAAWtsD,GACpB,IAAIuL,EAAS4sD,EAAMn4D,GACnB,GAAKuL,EAAOghD,eAAeJ,GAA3B,CACA,IAAIqI,EAAO,GAAIoL,EAAO,SAAUtqD,GAC9Bk/C,EAAK78C,KAAKrC,GACV,IAAK,IAAIjU,EAAI,EAAGA,EAAIiU,EAAMwzC,UAAWznD,IAAK,CACxC,IAAImnD,EAAMlzC,EAAMyzC,KAAK1nD,GACjB4G,EAAOugD,EAAIvgD,KACXqL,EAAOk1C,EAAIl1C,KACf,GAAIrL,GAAQ0jD,EAAY,OAAO,EAC/B,GAAI6I,EAAKxsD,QAAQsL,GAAQ,GAAKssD,EAAKtsD,GAAS,OAAO,IAGvD,OAAIssD,EAAKr0D,EAAOmxD,cAAwB,CAAE14C,GAAG,QAA7C,IAGF,IAAK,IAAIhkB,KAAQm4D,EAAO,CACtB,IAAI1L,EAAWH,EAAMtsD,GAErB,GAAKysD,EAAW,OAAOA,EAASzoC,GArZpCmjD,GAAqBC,IAAIpjE,IAAM,WAC7B,OAAOoF,KAAK+uD,MAAM/uD,KAAK+H,OAOzB8xD,GAAatiE,UAAU+mE,OAAS,SAAiB1E,GAC/C,GAAoB,GAAhBA,EAAIrX,SACNviD,KAAKu+D,YAAY3E,QACZ,GAAoB,GAAhBA,EAAIrX,SAAe,CAC5B,IAAI76C,EAAQkyD,EAAI/oC,aAAa,SACzB4yB,EAAQ/7C,EAAQ1H,KAAKw+D,WAoW7B,SAAqB92D,GACnB,IAAuCsa,EAAnC7V,EAAK,6BAAiCtS,EAAS,GACnD,KAAOmoB,EAAI7V,EAAGnW,KAAK0R,IAAU7N,EAAO0U,KAAKyT,EAAE,GAAIA,EAAE,GAAG3nB,QACpD,OAAOR,EAvW+B4kE,CAAY/2D,IAAU,KAAMs2D,EAAMh+D,KAAKg+D,IAC3E,GAAa,MAATva,EAAiB,IAAK,IAAIxrD,EAAI,EAAGA,EAAIwrD,EAAMtrD,OAAQF,IAAO+H,KAAK0+D,eAAejb,EAAMxrD,IAExF,GADA+H,KAAK2+D,WAAW/E,GACH,MAATnW,EAAiB,IAAK,IAAIK,EAAM,EAAGA,EAAML,EAAMtrD,OAAQ2rD,IAAS9jD,KAAK4+D,kBAAkBnb,EAAMK,GAAMka,KAI3GnE,GAAatiE,UAAUgnE,YAAc,SAAsB3E,GACzD,IAAIt+D,EAAQs+D,EAAIiF,UACZb,EAAMh+D,KAAKg+D,IACf,IAAKA,EAAIn/D,KAAOm/D,EAAIn/D,KAAKukD,cAAgB4a,EAAIl3D,QAAQ3O,QAAU6lE,EAAIl3D,QAAQ,GAAGi/C,WAAa,mBAAmB56C,KAAK7P,GAAQ,CACzH,GAjHkB,EAiHZ0iE,EAAIhiE,QAjHkC,EA8H/BgiE,EAAIhiE,UACfV,EAAQA,EAAMf,QAAQ,YAAa,WATnC,GAJAe,EAAQA,EAAMf,QAAQ,oBAAqB,KAIvC,mBAAmB4Q,KAAK7P,IAAU0E,KAAK+H,MAAQ/H,KAAK+uD,MAAM52D,OAAS,EAAG,CACxE,IAAImpD,EAAa0c,EAAIl3D,QAAQk3D,EAAIl3D,QAAQ3O,OAAS,GAC9C2mE,EAAgBlF,EAAImF,kBACnBzd,GACAwd,GAA2C,MAA1BA,EAAcE,UAC/B1d,EAAWuG,QAAU,mBAAmB18C,KAAKm2C,EAAWljD,SACzD9C,EAAQA,EAAM2H,MAAM,IAKxB3H,GAAS0E,KAAKi/D,WAAWj/D,KAAKw9D,OAAO7T,OAAOvrD,KAAK9C,IACrD0E,KAAKk/D,WAAWtF,QAEhB55D,KAAKm/D,WAAWvF,IAOpBC,GAAatiE,UAAUonE,WAAa,SAAqB/E,GACvD,IAAIhjE,EAAOgjE,EAAIoF,SAAS7sD,cACpByqD,GAASvkE,eAAezB,IAASoJ,KAAKw9D,OAAO7D,gBAwSnD,SAAuBC,GACrB,IAAK,IAAI3R,EAAQ2R,EAAIrlD,WAAY6qD,EAAW,KAAMnX,EAAOA,EAAQA,EAAMoX,YAAa,CAClF,IAAIzoE,EAAyB,GAAlBqxD,EAAM1F,SAAgB0F,EAAM+W,SAAS7sD,cAAgB,KAC5Dvb,GAAQgmE,GAASvkE,eAAezB,IAASwoE,GAC3CA,EAASx3D,YAAYqgD,GACrBA,EAAQmX,GACS,MAARxoE,EACTwoE,EAAWnX,EACFrxD,IACTwoE,EAAW,OAjToDE,CAAc1F,GACjF,IAAIF,EAAQ15D,KAAKhE,QAAQujE,cAAgBv/D,KAAKhE,QAAQujE,aAAa3F,IAAS55D,KAAKw9D,OAAOvD,SAASL,EAAK55D,MACtG,GAAI05D,EAAOA,EAAK5O,OAAS4R,GAAWrkE,eAAezB,GACjDoJ,KAAKm/D,WAAWvF,QACX,IAAKF,GAAQA,EAAK8F,MAAQ9F,EAAK+F,YAAa,CAC7C/F,GAAQA,EAAK+F,YAAez/D,KAAK+H,KAAOjR,KAAKkwB,IAAI,EAAGhnB,KAAK+H,KAAO,GAC3D2xD,GAAQA,EAAK8F,KAAKjd,WAAYqX,EAAMF,EAAK8F,MAClD,IAAIE,EAAM1B,EAAMh+D,KAAKg+D,IAAK2B,EAAgB3/D,KAAK89D,WAC/C,GAAIlD,GAAUviE,eAAezB,GAC3B8oE,GAAO,EACF1B,EAAIn/D,OAAQmB,KAAK89D,YAAa,QAC9B,IAAKlE,EAAIrlD,WAEd,YADAvU,KAAK4/D,aAAahG,GAGpB55D,KAAK85D,OAAOF,GACR8F,GAAQ1/D,KAAK0/D,KAAK1B,GACtBh+D,KAAK89D,WAAa6B,OAElB3/D,KAAK6/D,iBAAiBjG,EAAKF,IAK/BG,GAAatiE,UAAUqoE,aAAe,SAAuBhG,GACvC,MAAhBA,EAAIoF,UAAoBh/D,KAAKg+D,IAAIn/D,MAAQmB,KAAKg+D,IAAIn/D,KAAKukD,eACvDpjD,KAAKu+D,YAAY3E,EAAIkG,cAAc5rD,eAAe,QAMxD2lD,GAAatiE,UAAUinE,WAAa,SAAqBrtD,GAEvD,IADA,IAAIsyC,EAAQyL,EAAKxF,KACRzxD,EAAI,EAAGA,EAAIkZ,EAAOhZ,OAAQF,GAAK,EAAG,CACzC,IAAIyhE,EAAO15D,KAAKw9D,OAAOnD,WAAWlpD,EAAOlZ,GAAIkZ,EAAOlZ,EAAI,GAAI+H,MAC5D,GAAK05D,EAAL,CACA,GAAIA,EAAK5O,OAAU,OAAO,KAC1BrH,EAAQzjD,KAAKw9D,OAAO7T,OAAOlG,MAAMiW,EAAKvQ,MAAMjkD,OAAOw0D,EAAKlX,OAAO4G,SAAS3F,IAE1E,OAAOA,GAOToW,GAAatiE,UAAUsoE,iBAAmB,SAA2BjG,EAAKF,GACtE,IAEEgG,EAAMnd,EAAoB4G,EAFxBoB,EAASvqD,KAGX05D,EAAKrb,MACPkE,EAAWviD,KAAKw9D,OAAO7T,OAAOoF,MAAM2K,EAAKrb,OAC3BiP,OAEFttD,KAAKi/D,WAAW1c,EAASr9C,OAAOw0D,EAAKlX,SAC/CxiD,KAAK4/D,aAAahG,GAFlB8F,EAAO1/D,KAAK+/D,MAAMxd,EAAUmX,EAAKlX,MAAOkX,EAAKoD,qBAM/C3T,EADWnpD,KAAKw9D,OAAO7T,OAAOlG,MAAMiW,EAAKvQ,MACzBjkD,OAAOw0D,EAAKlX,OAC5BxiD,KAAK0+D,eAAevV,IAEtB,IAAI6W,EAAUhgE,KAAKg+D,IAEnB,GAAIzb,GAAYA,EAAS+K,OACvBttD,KAAKm/D,WAAWvF,QACX,GAAIF,EAAKuG,WACdjgE,KAAKm/D,WAAWvF,GAChBF,EAAKuG,WAAWrG,EAAK55D,KAAKw9D,OAAO7T,QAAQ7xD,SAAQ,SAAUumD,GAAQ,OAAOkM,EAAO0U,WAAW5gB,UACvF,CACL,IAAI6hB,EAAaxG,EAAKyG,eACG,iBAAdD,EAA0BA,EAAatG,EAAIzmD,cAAc+sD,GACtC,mBAAdA,IAA4BA,EAAaA,EAAWtG,IAC/DsG,IAAcA,EAAatG,GAChC55D,KAAKogE,WAAWxG,EAAKsG,GAAY,GACjClgE,KAAK85D,OAAOoG,EAAYR,GAEtBA,IAAQ1/D,KAAK0/D,KAAKM,GAAUhgE,KAAK+H,QACjCohD,GAAQnpD,KAAK4+D,kBAAkBzV,EAAM6W,IAO3CnG,GAAatiE,UAAUuiE,OAAS,SAAiB33D,EAAQu9D,EAAM/O,EAAYC,GAEzE,IADA,IAAInkD,EAAQkkD,GAAc,EACjBiJ,EAAMjJ,EAAaxuD,EAAOgS,WAAWw8C,GAAcxuD,EAAOoS,WAC1DytC,EAAkB,MAAZ4O,EAAmB,KAAOzuD,EAAOgS,WAAWy8C,GACtDgJ,GAAO5X,EAAK4X,EAAMA,EAAIyF,cAAe5yD,EACxCzM,KAAKqgE,YAAYl+D,EAAQsK,GACzBzM,KAAKs+D,OAAO1E,GACR8F,GAAQ9E,GAAUviE,eAAeuhE,EAAIoF,SAAS7sD,gBAC9CnS,KAAK0/D,KAAKA,GAEhB1/D,KAAKqgE,YAAYl+D,EAAQsK,IAM3BotD,GAAatiE,UAAU+oE,UAAY,SAAoBjiB,GAErD,IADA,IAAIkiB,EAAOb,EACF7gB,EAAQ7+C,KAAK+H,KAAM82C,GAAS,EAAGA,IAAS,CAC/C,IAAI2hB,EAAKxgE,KAAK+uD,MAAMlQ,GAChBwH,EAAQma,EAAG3e,aAAaxD,GAC5B,GAAIgI,KAAWka,GAASA,EAAMpoE,OAASkuD,EAAMluD,UAC3CooE,EAAQla,EACRqZ,EAAOc,GACFna,EAAMluD,QAAU,MAEvB,GAAIqoE,EAAGvD,MAAS,MAElB,IAAKsD,EAAS,OAAO,EACrBvgE,KAAK0/D,KAAKA,GACV,IAAK,IAAIznE,EAAI,EAAGA,EAAIsoE,EAAMpoE,OAAQF,IAC9B+H,KAAKygE,WAAWF,EAAMtoE,GAAI,MAAM,GACpC,OAAO,GAKT4hE,GAAatiE,UAAU0nE,WAAa,SAAqB5gB,GACvD,GAAIA,EAAK0H,UAAY/lD,KAAK89D,aAAe99D,KAAKg+D,IAAIn/D,KAAM,CACtD,IAAI6hE,EAAQ1gE,KAAK2gE,uBACbD,GAAS1gE,KAAKygE,WAAWC,GAE/B,GAAI1gE,KAAKsgE,UAAUjiB,GAAO,CACxBr+C,KAAK4gE,aACL,IAAI5C,EAAMh+D,KAAKg+D,IACfA,EAAIZ,aAAa/e,EAAKx/C,MAClBm/D,EAAI9xD,QAAS8xD,EAAI9xD,MAAQ8xD,EAAI9xD,MAAM41C,UAAUzD,EAAKx/C,OAEtD,IADA,IAAI4kD,EAAQua,EAAId,YACPjlE,EAAI,EAAGA,EAAIomD,EAAKoF,MAAMtrD,OAAQF,IAC9B+lE,EAAIn/D,OAAQm/D,EAAIn/D,KAAKskD,eAAe9E,EAAKoF,MAAMxrD,GAAG4G,QACrD4kD,EAAQpF,EAAKoF,MAAMxrD,GAAGmxD,SAAS3F,IAErC,OADAua,EAAIl3D,QAAQyH,KAAK8vC,EAAK8K,KAAK1F,KACpB,EAET,OAAO,GAMToW,GAAatiE,UAAUwoE,MAAQ,SAAgBlhE,EAAM2jD,EAAOqe,GAC1D,IAAIzH,EAAKp5D,KAAKsgE,UAAUzhE,EAAKqG,OAAOs9C,IAEpC,OADI4W,GAAMp5D,KAAKygE,WAAW5hE,EAAM2jD,GAAO,EAAMqe,GACtCzH,GAITS,GAAatiE,UAAUkpE,WAAa,SAAqB5hE,EAAM2jD,EAAOya,EAAO4D,GAC3E7gE,KAAK4gE,aACL,IAAI5C,EAAMh+D,KAAKg+D,IACfA,EAAIZ,aAAav+D,GACjBm/D,EAAI9xD,MAAQ8xD,EAAI9xD,OAAS8xD,EAAI9xD,MAAM41C,UAAUjjD,EAAM2jD,GACnD,IAAIxmD,EAAwB,MAAd6kE,GAAmC,EAAd7C,EAAIhiE,QAA2B6gE,GAAagE,GA3Sd,EA4S5D7C,EAAIhiE,SAAkD,GAAtBgiE,EAAIl3D,QAAQ3O,SAAe6D,GA5SC,GA6SjEgE,KAAK+uD,MAAMxgD,KAAK,IAAIwuD,GAAYl+D,EAAM2jD,EAAOwb,EAAId,YAAac,EAAIhB,aAAcC,EAAO,KAAMjhE,IAC7FgE,KAAK+H,QAKP8xD,GAAatiE,UAAUqpE,WAAa,SAAqBlb,GACvD,IAAIztD,EAAI+H,KAAK+uD,MAAM52D,OAAS,EAC5B,GAAIF,EAAI+H,KAAK+H,KAAM,CACjB,KAAO9P,EAAI+H,KAAK+H,KAAM9P,IAAO+H,KAAK+uD,MAAM92D,EAAI,GAAG6O,QAAQyH,KAAKvO,KAAK+uD,MAAM92D,GAAG8hE,OAAOrU,IACjF1lD,KAAK+uD,MAAM52D,OAAS6H,KAAK+H,KAAO,IAIpC8xD,GAAatiE,UAAUwiE,OAAS,WAG9B,OAFA/5D,KAAK+H,KAAO,EACZ/H,KAAK4gE,WAAW5gE,KAAKy9D,QACdz9D,KAAK+uD,MAAM,GAAGgL,OAAO/5D,KAAKy9D,QAAUz9D,KAAKhE,QAAQ8kE,UAG1DjH,GAAatiE,UAAUmoE,KAAO,SAAezoC,GAC3C,IAAK,IAAIh/B,EAAI+H,KAAK+H,KAAM9P,GAAK,EAAGA,IAAO,GAAI+H,KAAK+uD,MAAM92D,IAAMg/B,EAE1D,YADAj3B,KAAK+H,KAAO9P,IAKhB8lE,GAAqBE,WAAWrjE,IAAM,WACpCoF,KAAK4gE,aAEL,IADA,IAAI1nD,EAAM,EACDjhB,EAAI+H,KAAK+H,KAAM9P,GAAK,EAAGA,IAAK,CAEnC,IADA,IAAI6O,EAAU9G,KAAK+uD,MAAM92D,GAAG6O,QACnB8L,EAAI9L,EAAQ3O,OAAS,EAAGya,GAAK,EAAGA,IACrCsG,GAAOpS,EAAQ8L,GAAG8uC,SAClBzpD,GAAKihB,IAEX,OAAOA,GAGT2gD,GAAatiE,UAAU8oE,YAAc,SAAsBl+D,EAAQ4sC,GACjE,GAAI/uC,KAAK6X,KAAQ,IAAK,IAAI5f,EAAI,EAAGA,EAAI+H,KAAK6X,KAAK1f,OAAQF,IACjD+H,KAAK6X,KAAK5f,GAAGomD,MAAQl8C,GAAUnC,KAAK6X,KAAK5f,GAAG82C,QAAUA,IACtD/uC,KAAK6X,KAAK5f,GAAGihB,IAAMlZ,KAAKi+D,aAIhCpE,GAAatiE,UAAU4nE,WAAa,SAAqBh9D,GACvD,GAAInC,KAAK6X,KAAQ,IAAK,IAAI5f,EAAI,EAAGA,EAAI+H,KAAK6X,KAAK1f,OAAQF,IAC7B,MAApB+H,KAAK6X,KAAK5f,GAAGihB,KAAkC,GAAnB/W,EAAOogD,UAAiBpgD,EAAO4+D,SAAS/gE,KAAK6X,KAAK5f,GAAGomD,QACjFr+C,KAAK6X,KAAK5f,GAAGihB,IAAMlZ,KAAKi+D,aAIhCpE,GAAatiE,UAAU6oE,WAAa,SAAqBj+D,EAAQ2E,EAASi4C,GACxE,GAAI58C,GAAU2E,GAAW9G,KAAK6X,KAAQ,IAAK,IAAI5f,EAAI,EAAGA,EAAI+H,KAAK6X,KAAK1f,OAAQF,IAAK,CAC/E,GAAwB,MAApB+H,KAAK6X,KAAK5f,GAAGihB,KAAkC,GAAnB/W,EAAOogD,UAAiBpgD,EAAO4+D,SAAS/gE,KAAK6X,KAAK5f,GAAGomD,MACzEv3C,EAAQk6D,wBAAwBhhE,KAAK6X,KAAK5f,GAAGomD,OAC5CU,EAAS,EAAI,KACpB/+C,KAAK6X,KAAK5f,GAAGihB,IAAMlZ,KAAKi+D,cAKlCpE,GAAatiE,UAAU2nE,WAAa,SAAqB+B,GACvD,GAAIjhE,KAAK6X,KAAQ,IAAK,IAAI5f,EAAI,EAAGA,EAAI+H,KAAK6X,KAAK1f,OAAQF,IACjD+H,KAAK6X,KAAK5f,GAAGomD,MAAQ4iB,IACrBjhE,KAAK6X,KAAK5f,GAAGihB,IAAMlZ,KAAKi+D,YAAcgD,EAASpC,UAAU1mE,OAAS6H,KAAK6X,KAAK5f,GAAG82C,UAOvF8qB,GAAatiE,UAAU4iE,eAAiB,SAAyBn4D,GAC7D,IAAIuoD,EAASvqD,KAEf,GAAIgC,EAAQpD,QAAQ,MAAQ,EACxB,OAAOoD,EAAQrC,MAAM,YAAYgY,KAAK3X,KAAKm6D,eAAgBn6D,MAE/D,IAAIwR,EAAQxP,EAAQrC,MAAM,KACtBuhE,EAASlhE,KAAKhE,QAAQgG,QACtBm/D,IAAWnhE,KAAKy9D,QAAYyD,GAAUA,EAAO/+D,OAAOtD,MAAQmB,KAAK+uD,MAAM,GAAGlwD,MAC1EuiE,IAAaF,EAASA,EAAOriB,MAAQ,EAAI,IAAMsiB,EAAU,EAAI,GAC7Dj1D,EAAQ,SAAUjU,EAAG4mD,GACvB,KAAO5mD,GAAK,EAAGA,IAAK,CAClB,IAAIoZ,EAAOG,EAAMvZ,GACjB,GAAY,IAARoZ,EAAY,CACd,GAAIpZ,GAAKuZ,EAAMrZ,OAAS,GAAU,GAALF,EAAU,SACvC,KAAO4mD,GAASuiB,EAAUviB,IACtB,GAAI3yC,EAAMjU,EAAI,EAAG4mD,GAAU,OAAO,EACtC,OAAO,EAEP,IAAI30C,EAAO20C,EAAQ,GAAe,GAATA,GAAcsiB,EAAW5W,EAAOwE,MAAMlQ,GAAOhgD,KAChEqiE,GAAUriB,GAASuiB,EAAWF,EAAO7iB,KAAKQ,EAAQuiB,GAAUviE,KAC5D,KACN,IAAKqL,GAASA,EAAKtT,MAAQya,IAAsC,GAA9BnH,EAAKqe,OAAO3pB,QAAQyS,GACnD,OAAO,EACXwtC,IAGJ,OAAO,GAET,OAAO3yC,EAAMsF,EAAMrZ,OAAS,EAAG6H,KAAK+H,OAGtC8xD,GAAatiE,UAAUopE,qBAAuB,WAC5C,IAAIU,EAAWrhE,KAAKhE,QAAQgG,QAC5B,GAAIq/D,EAAY,IAAK,IAAI3gC,EAAI2gC,EAASxiB,MAAOne,GAAK,EAAGA,IAAK,CACxD,IAAImgB,EAAQwgB,EAAShjB,KAAK3d,GAAGyf,eAAekhB,EAASnhB,WAAWxf,IAAIszB,YACpE,GAAInT,GAASA,EAAMrC,aAAeqC,EAAMkS,aAAgB,OAAOlS,EAEjE,IAAK,IAAIjqD,KAAQoJ,KAAKw9D,OAAO7T,OAAOoF,MAAO,CACzC,IAAIlwD,EAAOmB,KAAKw9D,OAAO7T,OAAOoF,MAAMn4D,GACpC,GAAIiI,EAAK2/C,aAAe3/C,EAAKk0D,aAAgB,OAAOl0D,IAIxDg7D,GAAatiE,UAAUmnE,eAAiB,SAAyBvV,GAC/DnpD,KAAKg+D,IAAIhB,aAAe7T,EAAKC,SAASppD,KAAKg+D,IAAIhB,eAGjDnD,GAAatiE,UAAUqnE,kBAAoB,SAA4BzV,EAAMmY,GAC3E,IAAK,IAAIziB,EAAQ7+C,KAAK+H,KAAM82C,GAAS,EAAGA,IAAS,CAC/C,IAAI0iB,EAAQvhE,KAAK+uD,MAAMlQ,GAIvB,GAHY0iB,EAAMvE,aAAawE,YAAYrY,IAC9B,EAAKoY,EAAMvE,aAAe7T,EAAKE,cAAckY,EAAMvE,cACzDuE,EAAMrE,YAAc/T,EAAKE,cAAckY,EAAMrE,aAChDqE,GAASD,EAAQ,QAIzBhqE,OAAOiP,iBAAkBszD,GAAatiE,UAAWwmE,IAsFjD,IAAI0D,GAAgB,SAAuB1S,EAAOtL,GAGhDzjD,KAAK+uD,MAAQA,GAAS,GAGtB/uD,KAAKyjD,MAAQA,GAAS,IA+JxB,SAASie,GAAY3pE,GACnB,IAAI8B,EAAS,GACb,IAAK,IAAIjD,KAAQmB,EAAK,CACpB,IAAI4pE,EAAQ5pE,EAAInB,GAAM+nD,KAAKgjB,MACvBA,IAAS9nE,EAAOjD,GAAQ+qE,GAE9B,OAAO9nE,EAGT,SAASilD,GAAI9iD,GAEX,OAAOA,EAAQrC,UAAY1C,OAAO0C,SAlKpC8nE,GAAclqE,UAAUqqE,kBAAoB,SAA4B5Q,EAAUh1D,EAASE,GACvF,IAAIquD,EAASvqD,UACI,IAAZhE,IAAqBA,EAAU,IAEjCE,IAAUA,EAAS4iD,GAAI9iD,GAAS6lE,0BAErC,IAAI7D,EAAM9hE,EAAQm6D,EAAS,KA4B3B,OA3BArF,EAASl5D,SAAQ,SAAUumD,GACzB,GAAIgY,GAAUhY,EAAKoF,MAAMtrD,OAAQ,CAC1Bk+D,IAAUA,EAAS,IAExB,IADA,IAAIyL,EAAO,EAAGC,EAAW,EAClBD,EAAOzL,EAAOl+D,QAAU4pE,EAAW1jB,EAAKoF,MAAMtrD,QAAQ,CAC3D,IAAI+R,EAAOm0C,EAAKoF,MAAMse,GACtB,GAAKxX,EAAO9G,MAAMv5C,EAAKrL,KAAKjI,MAA5B,CACA,IAAKsT,EAAKm9C,GAAGgP,EAAOyL,MAAsC,IAA5B53D,EAAKrL,KAAK8/C,KAAKqjB,SAAsB,MACnEF,GAAQ,EAAGC,SAF0BA,IAIvC,KAAOD,EAAOzL,EAAOl+D,QACnB6lE,EAAM3H,EAAOK,MACbL,EAAOK,MAET,KAAOqL,EAAW1jB,EAAKoF,MAAMtrD,QAAQ,CACnC,IAAImK,EAAM+7C,EAAKoF,MAAMse,KACjBE,EAAU1X,EAAO2X,cAAc5/D,EAAK+7C,EAAK0H,SAAU/pD,GACnDimE,IACF5L,EAAO9nD,KAAKjM,EAAK07D,GACjBA,EAAIp2D,YAAYq6D,EAAQrI,KACxBoE,EAAMiE,EAAQ/B,YAAc+B,EAAQrI,MAI1CoE,EAAIp2D,YAAY2iD,EAAO4X,cAAc9jB,EAAMriD,OAGtCE,GASTulE,GAAclqE,UAAU4qE,cAAgB,SAAwB9jB,EAAMriD,QACjD,IAAZA,IAAqBA,EAAU,IAEtC,IAAIojD,EACAqiB,GAAcW,WAAWtjB,GAAI9iD,GAAUgE,KAAK+uD,MAAM1Q,EAAKx/C,KAAKjI,MAAMynD,IAChEub,EAAMxa,EAAIwa,IACVsG,EAAa9gB,EAAI8gB,WACvB,GAAIA,EAAY,CACd,GAAI7hB,EAAKiP,OACL,MAAM,IAAI3G,WAAW,gDACrB3qD,EAAQqmE,UACRrmE,EAAQqmE,UAAUhkB,EAAM6hB,EAAYlkE,GAEpCgE,KAAK4hE,kBAAkBvjB,EAAKv3C,QAAS9K,EAASkkE,GAEpD,OAAOtG,GAGT6H,GAAclqE,UAAU+qE,sBAAwB,SAAgCjkB,EAAMriD,QACjE,IAAZA,IAAqBA,EAAU,IAGtC,IADA,IAAI49D,EAAM55D,KAAKmiE,cAAc9jB,EAAMriD,GAC1B/D,EAAIomD,EAAKoF,MAAMtrD,OAAS,EAAGF,GAAK,EAAGA,IAAK,CAC/C,IAAIgqD,EAAOjiD,KAAKkiE,cAAc7jB,EAAKoF,MAAMxrD,GAAIomD,EAAK0H,SAAU/pD,GACxDimD,KACPA,EAAKie,YAAcje,EAAK2X,KAAKhyD,YAAYgyD,GACpCA,EAAM3X,EAAK2X,KAGf,OAAOA,GAGT6H,GAAclqE,UAAU2qE,cAAgB,SAAwB/Y,EAAMsN,EAAQz6D,QACzD,IAAZA,IAAqBA,EAAU,IAEtC,IAAI2lE,EAAQ3hE,KAAKyjD,MAAM0F,EAAKtqD,KAAKjI,MACjC,OAAO+qE,GAASF,GAAcW,WAAWtjB,GAAI9iD,GAAU2lE,EAAMxY,EAAMsN,KAOrEgL,GAAcW,WAAa,SAAqBtjB,EAAKyjB,EAAWC,GAG9D,QAFiB,IAAVA,IAAmBA,EAAQ,MAEV,iBAAbD,EACP,MAAO,CAAC3I,IAAK9a,EAAI5qC,eAAequD,IACpC,GAA0B,MAAtBA,EAAUhgB,SACV,MAAO,CAACqX,IAAK2I,GACjB,IAAIE,EAAUF,EAAU,GAAIG,EAAQD,EAAQ7jE,QAAQ,KAChD8jE,EAAQ,IACVF,EAAQC,EAAQx/D,MAAM,EAAGy/D,GACzBD,EAAUA,EAAQx/D,MAAMy/D,EAAQ,IAElC,IAAIxC,EAAa,KAAMtG,EAAM4I,EAAQ1jB,EAAI6jB,gBAAgBH,EAAOC,GAAW3jB,EAAI9rC,cAAcyvD,GACzFjgB,EAAQ+f,EAAU,GAAIvV,EAAQ,EAClC,GAAIxK,GAAyB,iBAATA,GAAuC,MAAlBA,EAAMD,WAAqBj0C,MAAM9W,QAAQgrD,GAEhF,IAAK,IAAI5rD,KADTo2D,EAAQ,EACSxK,EAAS,GAAmB,MAAfA,EAAM5rD,GAAe,CACjD,IAAIgsE,EAAUhsE,EAAKgI,QAAQ,KACvBgkE,EAAU,EAAKhJ,EAAIiJ,eAAejsE,EAAKqM,MAAM,EAAG2/D,GAAUhsE,EAAKqM,MAAM2/D,EAAU,GAAIpgB,EAAM5rD,IACtFgjE,EAAIvlD,aAAazd,EAAM4rD,EAAM5rD,IAGxC,IAAK,IAAIqB,EAAI+0D,EAAO/0D,EAAIsqE,EAAUpqE,OAAQF,IAAK,CAC7C,IAAIgwD,EAAQsa,EAAUtqE,GACtB,GAAc,IAAVgwD,EAAa,CACf,GAAIhwD,EAAIsqE,EAAUpqE,OAAS,GAAKF,EAAI+0D,EAChC,MAAM,IAAIrG,WAAW,0DACzB,MAAO,CAACiT,IAAKA,EAAKsG,WAAYtG,GAE9B,IAAIxa,EAAMqiB,GAAcW,WAAWtjB,EAAKmJ,EAAOua,GACzCtc,EAAQ9G,EAAIwa,IACZkJ,EAAe1jB,EAAI8gB,WAEzB,GADAtG,EAAIhyD,YAAYs+C,GACZ4c,EAAc,CAChB,GAAI5C,EAAc,MAAM,IAAIvZ,WAAW,0BACvCuZ,EAAa4C,GAInB,MAAO,CAAClJ,IAAKA,EAAKsG,WAAYA,IAMhCuB,GAAc/G,WAAa,SAAqB/Q,GAC9C,OAAOA,EAAO2I,OAAOyQ,gBAClBpZ,EAAO2I,OAAOyQ,cAAgB,IAAItB,GAAczhE,KAAKgjE,gBAAgBrZ,GAAS3pD,KAAKijE,gBAAgBtZ,MAMxG8X,GAAcuB,gBAAkB,SAA0BrZ,GACxD,IAAI9vD,EAAS6nE,GAAY/X,EAAOoF,OAEhC,OADKl1D,EAAOuE,OAAQvE,EAAOuE,KAAO,SAAUigD,GAAQ,OAAOA,EAAKjgD,OACzDvE,GAKT4nE,GAAcwB,gBAAkB,SAA0BtZ,GACxD,OAAO+X,GAAY/X,EAAOlG,S,2aC1xG5B,IAAIyf,EAAiB,SAAwBC,EAAYnnE,GACvD,IAAIuuD,EAASvqD,KAEbA,KAAKmjE,WAAaA,EAClBnjE,KAAKojE,MAAQpnE,EAAQonE,OAAS,EAC9BpjE,KAAKqjE,MAAQrnE,EAAQqnE,OAAS,QAC9BrjE,KAAKsjE,MAAQtnE,EAAQsnE,MACrBtjE,KAAKujE,UAAY,KACjBvjE,KAAKwjE,QAAU,KACfxjE,KAAK4N,QAAU,KAEf5N,KAAK4tB,SAAW,CAAC,WAAY,UAAW,OAAQ,aAAa/d,KAAI,SAAUjZ,GACzE,IAAIsJ,EAAU,SAAUyM,GAAK,OAAO49C,EAAO3zD,GAAM+V,IAEjD,OADAw2D,EAAWvJ,IAAIx7C,iBAAiBxnB,EAAMsJ,GAC/B,CAACtJ,KAAMA,EAAMsJ,QAASA,OAIjCgjE,EAAe3rE,UAAUksE,QAAU,WAC/B,IAAIlZ,EAASvqD,KAEfA,KAAK4tB,SAAS91B,SAAQ,SAAUsnD,GAC5B,IAAIxoD,EAAOwoD,EAAIxoD,KACXsJ,EAAUk/C,EAAIl/C,QAElB,OAAOqqD,EAAO4Y,WAAWvJ,IAAI8J,oBAAoB9sE,EAAMsJ,OAI7DgjE,EAAe3rE,UAAU0b,OAAS,SAAiBkwD,EAAYQ,GACvC,MAAlB3jE,KAAKujE,WAAqBI,EAAU7kB,KAAOqkB,EAAWn/D,MAAM86C,KAAO9+C,KAAK4jE,iBAG9EV,EAAe3rE,UAAUssE,UAAY,SAAoB3qD,GACnDA,GAAOlZ,KAAKujE,YAChBvjE,KAAKujE,UAAYrqD,EACN,MAAPA,GACFlZ,KAAKwjE,QAAQpwD,WAAWC,YAAYrT,KAAKwjE,SACzCxjE,KAAKwjE,QAAU,MAEfxjE,KAAK4jE,kBAITV,EAAe3rE,UAAUqsE,cAAgB,WACvC,IAA8DE,EAA1DplB,EAAO1+C,KAAKmjE,WAAWn/D,MAAM86C,IAAIziC,QAAQrc,KAAKujE,WAClD,IAAK7kB,EAAKv8C,OAAOihD,cAAe,CAC9B,IAAIrE,EAASL,EAAK4C,WAAYpC,EAAQR,EAAK6C,UAC3C,GAAIxC,GAAUG,EAAO,CACnB,IAAI6kB,EAAW/jE,KAAKmjE,WAAWa,QAAQhkE,KAAKujE,WAAaxkB,EAAQA,EAAO2C,SAAW,IAAIuiB,wBACnFjG,EAAMjf,EAASglB,EAASG,OAASH,EAAS/F,IAC1Cjf,GAAUG,IACV8e,GAAOA,EAAMh+D,KAAKmjE,WAAWa,QAAQhkE,KAAKujE,WAAWU,wBAAwBjG,KAAO,GACxF8F,EAAO,CAACK,KAAMJ,EAASI,KAAMC,MAAOL,EAASK,MAAOpG,IAAKA,EAAMh+D,KAAKojE,MAAQ,EAAGc,OAAQlG,EAAMh+D,KAAKojE,MAAQ,IAG9G,IAAKU,EAAM,CACT,IAAIO,EAASrkE,KAAKmjE,WAAWmB,YAAYtkE,KAAKujE,WAC9CO,EAAO,CAACK,KAAME,EAAOF,KAAOnkE,KAAKojE,MAAQ,EAAGgB,MAAOC,EAAOF,KAAOnkE,KAAKojE,MAAQ,EAAGpF,IAAKqG,EAAOrG,IAAKkG,OAAQG,EAAOH,QAGnH,IAAI/hE,EAASnC,KAAKmjE,WAAWvJ,IAAI2K,aAC5BvkE,KAAKwjE,UACRxjE,KAAKwjE,QAAUrhE,EAAOyF,YAAYjO,SAASqZ,cAAc,QACrDhT,KAAKsjE,QAAStjE,KAAKwjE,QAAQgB,UAAYxkE,KAAKsjE,OAChDtjE,KAAKwjE,QAAQ97D,MAAMsM,QAAU,4EAA8EhU,KAAKqjE,OAElH,IAAIoB,GAActiE,GAAUA,GAAUxI,SAAS+qE,MAA6C,UAArCC,iBAAiBxiE,GAAQmX,SAC1E,CAAC6qD,MAAOS,YAAa5G,KAAM6G,aAAe1iE,EAAO8hE,wBACvDjkE,KAAKwjE,QAAQ97D,MAAMy8D,KAAQL,EAAKK,KAAOM,EAAWN,KAAQ,KAC1DnkE,KAAKwjE,QAAQ97D,MAAMs2D,IAAO8F,EAAK9F,IAAMyG,EAAWzG,IAAO,KACvDh+D,KAAKwjE,QAAQ97D,MAAM07D,MAASU,EAAKM,MAAQN,EAAKK,KAAQ,KACtDnkE,KAAKwjE,QAAQ97D,MAAMo9D,OAAUhB,EAAKI,OAASJ,EAAK9F,IAAO,MAGzDkF,EAAe3rE,UAAUwtE,gBAAkB,SAA0Bn3D,GACjE,IAAI28C,EAASvqD,KAEfqN,aAAarN,KAAK4N,SAClB5N,KAAK4N,QAAUR,YAAW,WAAc,OAAOm9C,EAAOsZ,UAAU,QAAUj2D,IAG5Es1D,EAAe3rE,UAAUytE,SAAW,SAAmB1kE,GACrD,GAAKN,KAAKmjE,WAAW8B,SAArB,CACA,IAAI/rD,EAAMlZ,KAAKmjE,WAAW+B,YAAY,CAACf,KAAM7jE,EAAM6kE,QAASnH,IAAK19D,EAAM8kE,UACvE,GAAIlsD,EAAK,CACP,IAAIhd,EAASgd,EAAIA,IACblZ,KAAKmjE,WAAWkC,UAAYrlE,KAAKmjE,WAAWkC,SAASpiE,OAEzC,OADd/G,EAAS,YAAU8D,KAAKmjE,WAAWn/D,MAAM86C,IAAK5iD,EAAQ8D,KAAKmjE,WAAWkC,SAASpiE,UACzD/G,EAASgd,EAAIA,KAErClZ,KAAK6jE,UAAU3nE,GACf8D,KAAK+kE,gBAAgB,QAIzB7B,EAAe3rE,UAAU+tE,QAAU,WACjCtlE,KAAK+kE,gBAAgB,KAGvB7B,EAAe3rE,UAAUguE,KAAO,WAC9BvlE,KAAK+kE,gBAAgB,KAGvB7B,EAAe3rE,UAAUiuE,UAAY,SAAoBllE,GACnDA,EAAMpE,QAAU8D,KAAKmjE,WAAWvJ,KAAQ55D,KAAKmjE,WAAWvJ,IAAImH,SAASzgE,EAAMmlE,gBAC3EzlE,KAAK6jE,UAAU,O,aC7HjB,EAA0B,SAAUxe,GACtC,SAASqgB,EAAUhnB,GACjB2G,EAAU3tD,KAAKsI,KAAM0+C,EAAMA,GA6E7B,OA1EK2G,IAAYqgB,EAAUliD,UAAY6hC,GACvCqgB,EAAUnuE,UAAYD,OAAO4N,OAAQmgD,GAAaA,EAAU9tD,WAC5DmuE,EAAUnuE,UAAUiB,YAAcktE,EAElCA,EAAUnuE,UAAUsY,IAAM,SAAcivC,EAAKkC,GAC3C,IAAItC,EAAOI,EAAIziC,QAAQ2kC,EAAQnxC,IAAI7P,KAAK4R,OACxC,OAAO8zD,EAAUC,MAAMjnB,GAAQ,IAAIgnB,EAAUhnB,GAAQ2G,EAAU7E,KAAK9B,IAGtEgnB,EAAUnuE,UAAUuP,QAAU,WAAsB,OAAO,QAAMuuB,OAEjEqwC,EAAUnuE,UAAU8vD,GAAK,SAAa1kC,GACpC,OAAOA,aAAiB+iD,GAAa/iD,EAAM/Q,MAAQ5R,KAAK4R,MAG1D8zD,EAAUnuE,UAAU82B,OAAS,WAC3B,MAAO,CAACxvB,KAAM,YAAaqa,IAAKlZ,KAAK4R,OAGvC8zD,EAAUjf,SAAW,SAAmB3H,EAAK4H,GAC3C,GAAuB,iBAAZA,EAAKxtC,IAAmB,MAAM,IAAIytC,WAAW,wCACxD,OAAO,IAAI+e,EAAU5mB,EAAIziC,QAAQqqC,EAAKxtC,OAGxCwsD,EAAUnuE,UAAUwvD,YAAc,WAA0B,OAAO,IAAI6e,EAAY5lE,KAAKqxC,SAExFq0B,EAAUC,MAAQ,SAAgBjnB,GAChC,IAAIv8C,EAASu8C,EAAKv8C,OAClB,GAAIA,EAAOq8C,cAgEf,SAAsBE,GACpB,IAAK,IAAIhe,EAAIge,EAAKG,MAAOne,GAAK,EAAGA,IAAK,CACpC,IAAIj0B,EAAQiyC,EAAKjyC,MAAMi0B,GAEvB,GAAa,GAATj0B,EAEJ,IAAK,IAAIsyC,EAASL,EAAKL,KAAK3d,GAAGunB,MAAMx7C,EAAQ,IAAKsyC,EAASA,EAAOR,UAAW,CAC3E,GAA0B,GAArBQ,EAAOE,aAAoBF,EAAOqE,eAAkBrE,EAAO4F,QAAU5F,EAAOlgD,KAAK8/C,KAAKC,UAAa,OAAO,EAC/G,GAAIG,EAAOqE,cAAiB,OAAO,GAIvC,OAAO,EA5EsByiB,CAAannB,KA+E5C,SAAqBA,GACnB,IAAK,IAAIhe,EAAIge,EAAKG,MAAOne,GAAK,EAAGA,IAAK,CACpC,IAAIj0B,EAAQiyC,EAAKwB,WAAWxf,GAAIv+B,EAASu8C,EAAKL,KAAK3d,GACnD,GAAIj0B,GAAStK,EAAO88C,WACpB,IAAK,IAAIC,EAAQ/8C,EAAO8lD,MAAMx7C,IAASyyC,EAAQA,EAAM3qC,WAAY,CAC/D,GAAyB,GAApB2qC,EAAMD,aAAoBC,EAAMkE,eAAkBlE,EAAMyF,QAAUzF,EAAMrgD,KAAK8/C,KAAKC,UAAa,OAAO,EAC3G,GAAIM,EAAMkE,cAAiB,OAAO,GAGtC,OAAO,EAxF6C0iB,CAAYpnB,GAAS,OAAO,EAC9E,IAAIqnB,EAAW5jE,EAAOtD,KAAK8/C,KAAKqnB,eAChC,GAAgB,MAAZD,EAAoB,OAAOA,EAC/B,IAAIllB,EAAQ1+C,EAAOg+C,eAAezB,EAAKjyC,SAASunD,YAChD,OAAOnT,GAASA,EAAMrC,aAGxBknB,EAAUrjB,SAAW,SAAmB3D,EAAMjvC,EAAKw2D,GACjDx2C,EAAQ,OAAS,CACf,IAAKw2C,GAAYP,EAAUC,MAAMjnB,GAAS,OAAOA,EAGjD,IAFA,IAAIxlC,EAAMwlC,EAAKxlC,IAAKhP,EAAO,KAElBw2B,EAAIge,EAAKG,OAAQne,IAAK,CAC7B,IAAIv+B,EAASu8C,EAAKL,KAAK3d,GACvB,GAAIjxB,EAAM,EAAIivC,EAAKwB,WAAWxf,GAAKv+B,EAAO88C,WAAaP,EAAKjyC,MAAMi0B,GAAK,EAAG,CACxEx2B,EAAO/H,EAAO8lD,MAAMx4C,EAAM,EAAIivC,EAAKwB,WAAWxf,GAAKge,EAAKjyC,MAAMi0B,GAAK,GACnE,MACK,GAAS,GAALA,EACT,OAAO,KAETxnB,GAAOzJ,EACP,IAAIy2D,EAAOxnB,EAAKI,IAAIziC,QAAQnD,GAC5B,GAAIwsD,EAAUC,MAAMO,GAAS,OAAOA,EAItC,OAAS,CACP,IAAIC,EAAS12D,EAAM,EAAIvF,EAAKqK,WAAarK,EAAKq0C,UAC9C,IAAK4nB,EAAQ,CACX,GAAIj8D,EAAKy6C,SAAWz6C,EAAK29C,SAAW,gBAAcpD,aAAav6C,GAAO,CACpEw0C,EAAOA,EAAKI,IAAIziC,QAAQnD,EAAMhP,EAAKw3C,SAAWjyC,GAC9Cw2D,GAAW,EACX,SAASx2C,EAEX,MAEFvlB,EAAOi8D,EACPjtD,GAAOzJ,EACP,IAAI22D,EAAS1nB,EAAKI,IAAIziC,QAAQnD,GAC9B,GAAIwsD,EAAUC,MAAMS,GAAW,OAAOA,EAGxC,OAAO,OAIJV,EA/EoB,CAgF3B,aAEF,EAAUnuE,UAAU0vD,SAAU,EAE9B,YAAUJ,OAAO,YAAa,GAE9B,IAAI+e,EAAc,SAAqB1sD,GACrClZ,KAAKkZ,IAAMA,GAEb0sD,EAAYruE,UAAUsY,IAAM,SAAcmxC,GACxC,OAAO,IAAI4kB,EAAY5kB,EAAQnxC,IAAI7P,KAAKkZ,OAE1C0sD,EAAYruE,UAAU8kB,QAAU,SAAkByiC,GAChD,IAAIJ,EAAOI,EAAIziC,QAAQrc,KAAKkZ,KAC5B,OAAO,EAAUysD,MAAMjnB,GAAQ,IAAI,EAAUA,GAAQ,YAAU8B,KAAK9B,IAsCtE,IAeI2nB,EAAgB,YAAe,CACjC,UAAaC,EAAM,SAAU,GAC7B,WAAcA,EAAM,QAAS,GAC7B,QAAWA,EAAM,QAAS,GAC1B,UAAaA,EAAM,OAAQ,KAG7B,SAASA,EAAMC,EAAM92D,GACnB,IAAI+2D,EAAiB,QAARD,EAAkB92D,EAAM,EAAI,OAAS,KAASA,EAAM,EAAI,QAAU,OAC/E,OAAO,SAASzL,EAAOg6C,EAAUsG,GAC/B,IAAIyD,EAAM/jD,EAAMi6C,UACZgS,EAASxgD,EAAM,EAAIs4C,EAAIzI,IAAMyI,EAAI1I,MAAO4mB,EAAWle,EAAI1yB,MAC3D,GAAI0yB,aAAe,gBAAe,CAChC,IAAKzD,EAAKE,eAAegiB,IAA2B,GAAhBvW,EAAOpR,MAAc,OAAO,EAChEonB,GAAW,EACXhW,EAASjsD,EAAM86C,IAAIziC,QAAQ5M,EAAM,EAAIwgD,EAAO/Q,QAAU+Q,EAAOlR,UAE/D,IAAI0nB,EAAS,EAAUpkB,SAAS4N,EAAQxgD,EAAKw2D,GAC7C,QAAKQ,IACDzoB,GAAYA,EAASh6C,EAAMk6C,GAAGqC,aAAa,IAAI,EAAUkmB,MACtD,IAIX,SAASC,EAAYpiB,EAAMprC,EAAK5Y,GAC9B,IAAKgkD,EAAK2gB,SAAY,OAAO,EAC7B,IAAIvmB,EAAO4F,EAAKtgD,MAAM86C,IAAIziC,QAAQnD,GAClC,IAAK,EAAUysD,MAAMjnB,GAAS,OAAO,EACrC,IACIynB,EADM7hB,EAAK4gB,YAAY,CAACf,KAAM7jE,EAAM6kE,QAASnH,IAAK19D,EAAM8kE,UAC3Ce,OACjB,QAAIA,GAAU,GAAK,gBAAc1hB,aAAaH,EAAKtgD,MAAM86C,IAAImU,OAAOkT,OACpE7hB,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAGqC,aAAa,IAAI,EAAU7B,MAChD,GAGT,SAASioB,EAAc3iE,GACrB,KAAMA,EAAMi6C,qBAAqB,GAAc,OAAO,KACtD,IAAII,EAAO1kD,SAASqZ,cAAc,OAElC,OADAqrC,EAAKmmB,UAAY,wBACV,IAAct/D,OAAOlB,EAAM86C,IAAK,CAAC,IAAW8nB,OAAO5iE,EAAMi6C,UAAUrsC,KAAMysC,EAAM,CAACjmD,IAAK,gB;;;;;;AC7K9F,SAASyuE,EAAQ9uE,GAaf,OATE8uE,EADoB,mBAAXpwE,QAAoD,iBAApBA,OAAO+hB,SACtC,SAAUzgB,GAClB,cAAcA,GAGN,SAAUA,GAClB,OAAOA,GAAyB,mBAAXtB,QAAyBsB,EAAIS,cAAgB/B,QAAUsB,IAAQtB,OAAOc,UAAY,gBAAkBQ,IAI9GA,GAGjB,SAASq5B,EAAgBxE,EAAUhX,GACjC,KAAMgX,aAAoBhX,GACxB,MAAM,IAAIpb,UAAU,qCAIxB,SAASy2B,EAAkB/0B,EAAQg1B,GACjC,IAAK,IAAIj5B,EAAI,EAAGA,EAAIi5B,EAAM/4B,OAAQF,IAAK,CACrC,IAAIoE,EAAa60B,EAAMj5B,GACvBoE,EAAWyD,WAAazD,EAAWyD,aAAc,EACjDzD,EAAWqI,cAAe,EACtB,UAAWrI,IAAYA,EAAWsI,UAAW,GACjDrN,OAAOqD,eAAeuB,EAAQG,EAAWjE,IAAKiE,IAIlD,SAASyqE,EAAalxD,EAAa0b,EAAYC,GAG7C,OAFID,GAAYL,EAAkBrb,EAAYre,UAAW+5B,GACrDC,GAAaN,EAAkBrb,EAAa2b,GACzC3b,EAGT,SAASmxD,EAAgBhvE,EAAKK,EAAKkD,GAYjC,OAXIlD,KAAOL,EACTT,OAAOqD,eAAe5C,EAAKK,EAAK,CAC9BkD,MAAOA,EACPwE,YAAY,EACZ4E,cAAc,EACdC,UAAU,IAGZ5M,EAAIK,GAAOkD,EAGNvD,EAGT,SAASs0B,EAAQ5wB,EAAQurE,GACvB,IAAIt+D,EAAOpR,OAAOoR,KAAKjN,GAEvB,GAAInE,OAAOgjB,sBAAuB,CAChC,IAAIqO,EAAUrxB,OAAOgjB,sBAAsB7e,GACvCurE,IAAgBr+C,EAAUA,EAAQ9U,QAAO,SAAUozD,GACrD,OAAO3vE,OAAOoE,yBAAyBD,EAAQwrE,GAAKnnE,eAEtD4I,EAAK6F,KAAKxI,MAAM2C,EAAMigB,GAGxB,OAAOjgB,EAGT,SAASw+D,EAAehrE,GACtB,IAAK,IAAIjE,EAAI,EAAGA,EAAI8B,UAAU5B,OAAQF,IAAK,CACzC,IAAIgE,EAAyB,MAAhBlC,UAAU9B,GAAa8B,UAAU9B,GAAK,GAE/CA,EAAI,EACNo0B,EAAQ/0B,OAAO2E,IAAS,GAAMnE,SAAQ,SAAUM,GAC9C2uE,EAAgB7qE,EAAQ9D,EAAK6D,EAAO7D,OAE7Bd,OAAO6vE,0BAChB7vE,OAAOiP,iBAAiBrK,EAAQ5E,OAAO6vE,0BAA0BlrE,IAEjEowB,EAAQ/0B,OAAO2E,IAASnE,SAAQ,SAAUM,GACxCd,OAAOqD,eAAeuB,EAAQ9D,EAAKd,OAAOoE,yBAAyBO,EAAQ7D,OAKjF,OAAO8D,EAGT,SAASkrE,EAAUC,EAAUC,GAC3B,GAA0B,mBAAfA,GAA4C,OAAfA,EACtC,MAAM,IAAI9sE,UAAU,sDAGtB6sE,EAAS9vE,UAAYD,OAAO4N,OAAOoiE,GAAcA,EAAW/vE,UAAW,CACrEiB,YAAa,CACX8C,MAAO+rE,EACP1iE,UAAU,EACVD,cAAc,KAGd4iE,GAAYC,EAAgBF,EAAUC,GAG5C,SAASE,EAAgB/oC,GAIvB,OAHA+oC,EAAkBlwE,OAAOgS,eAAiBhS,OAAO+R,eAAiB,SAAyBo1B,GACzF,OAAOA,EAAEjb,WAAalsB,OAAO+R,eAAeo1B,KAEvBA,GAGzB,SAAS8oC,EAAgB9oC,EAAG/X,GAM1B,OALA6gD,EAAkBjwE,OAAOgS,gBAAkB,SAAyBm1B,EAAG/X,GAErE,OADA+X,EAAEjb,UAAYkD,EACP+X,IAGcA,EAAG/X,GAwB5B,SAAS+gD,EAA2BvwE,EAAMQ,GACxC,OAAIA,GAAyB,iBAATA,GAAqC,mBAATA,EATlD,SAAgCR,GAC9B,QAAa,IAATA,EACF,MAAM,IAAIwwE,eAAe,6DAG3B,OAAOxwE,EAQAywE,CAAuBzwE,GAHrBQ,EAMX,SAASkwE,EAAaC,GACpB,IAAIC,EA9BN,WACE,GAAuB,oBAAZC,UAA4BA,QAAQC,UAAW,OAAO,EACjE,GAAID,QAAQC,UAAUnrE,KAAM,OAAO,EACnC,GAAqB,mBAAVorE,MAAsB,OAAO,EAExC,IAEE,OADA/3C,KAAK34B,UAAUF,SAASK,KAAKqwE,QAAQC,UAAU93C,KAAM,IAAI,iBAClD,EACP,MAAOvjB,GACP,OAAO,GAqBuBu7D,GAEhC,OAAO,WACL,IACIruE,EADAsuE,EAAQX,EAAgBK,GAG5B,GAAIC,EAA2B,CAC7B,IAAI59C,EAAYs9C,EAAgBxnE,MAAMxH,YAEtCqB,EAASkuE,QAAQC,UAAUG,EAAOpuE,UAAWmwB,QAE7CrwB,EAASsuE,EAAMpiE,MAAM/F,KAAMjG,WAG7B,OAAO0tE,EAA2BznE,KAAMnG,IAI5C,SAASuuE,EAAe33C,EAAKx4B,GAC3B,OAWF,SAAyBw4B,GACvB,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOA,EAZxB43C,CAAgB53C,IAmBzB,SAA+BA,EAAKx4B,GAClC,GAAsB,oBAAXxB,UAA4BA,OAAO+hB,YAAYlhB,OAAOm5B,IAAO,OACxE,IAAI63C,EAAO,GACPC,GAAK,EACLhyC,GAAK,EACLiyC,OAAK5rE,EAET,IACE,IAAK,IAAiC6rE,EAA7Bz3D,EAAKyf,EAAIh6B,OAAO+hB,cAAmB+vD,GAAME,EAAKz3D,EAAG9G,QAAQ6K,QAChEuzD,EAAK/5D,KAAKk6D,EAAGntE,QAETrD,GAAKqwE,EAAKnwE,SAAWF,GAH8CswE,GAAK,IAK9E,MAAOnZ,GACP74B,GAAK,EACLiyC,EAAKpZ,EACL,QACA,IACOmZ,GAAsB,MAAhBv3D,EAAW,QAAWA,EAAW,SAC5C,QACA,GAAIulB,EAAI,MAAMiyC,GAIlB,OAAOF,EA3CwBI,CAAsBj4C,EAAKx4B,IAAM0wE,EAA4Bl4C,EAAKx4B,IAmEnG,WACE,MAAM,IAAIuC,UAAU,6IApEmFouE,GAGzG,SAASC,EAAmBp4C,GAC1B,OAGF,SAA4BA,GAC1B,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOq4C,EAAkBr4C,GAJ1Cs4C,CAAmBt4C,IAW5B,SAA0Bu4C,GACxB,GAAsB,oBAAXvyE,QAA0BA,OAAO+hB,YAAYlhB,OAAO0xE,GAAO,OAAO16D,MAAM6c,KAAK69C,GAZtDC,CAAiBx4C,IAAQk4C,EAA4Bl4C,IA2DzF,WACE,MAAM,IAAIj2B,UAAU,wIA5D2E0uE,GA0CjG,SAASP,EAA4BlqC,EAAG0qC,GACtC,GAAK1qC,EAAL,CACA,GAAiB,iBAANA,EAAgB,OAAOqqC,EAAkBrqC,EAAG0qC,GACvD,IAAItyD,EAAIvf,OAAOC,UAAUF,SAASK,KAAK+mC,GAAGx7B,MAAM,GAAI,GAEpD,MADU,WAAN4T,GAAkB4nB,EAAEjmC,cAAaqe,EAAI4nB,EAAEjmC,YAAY5B,MAC7C,QAANigB,GAAqB,QAANA,EAAoBvI,MAAM6c,KAAKsT,GACxC,cAAN5nB,GAAqB,2CAA2C1L,KAAK0L,GAAWiyD,EAAkBrqC,EAAG0qC,QAAzG,GAGF,SAASL,EAAkBr4C,EAAK5iB,IACnB,MAAPA,GAAeA,EAAM4iB,EAAIt4B,UAAQ0V,EAAM4iB,EAAIt4B,QAE/C,IAAK,IAAIF,EAAI,EAAGmxE,EAAO,IAAI96D,MAAMT,GAAM5V,EAAI4V,EAAK5V,IAAKmxE,EAAKnxE,GAAKw4B,EAAIx4B,GAEnE,OAAOmxE,EAWT,SAASC,EAAW/uE,GAClB,OAAOA,EAAIC,QAAQ,uBAAuB,SAAU+uE,EAAM78D,GACxD,OAAiB,IAAVA,EAAc68D,EAAKn3D,cAAgBm3D,EAAKtsD,iBAC9CziB,QAAQ,OAAQ,IAGrB,IAAI,EAA6B,WAC/B,SAASgvE,EAAcC,EAAWC,GAChC,IAAIC,EAASD,EAAKC,OACdC,EAAYF,EAAKE,UACjBxnE,EAASsnE,EAAKtnE,OACdk8C,EAAOorB,EAAKprB,KACZiG,EAAOmlB,EAAKnlB,KACZslB,EAAcH,EAAKG,YACnBC,EAASJ,EAAKI,OAElBz4C,EAAgBpxB,KAAMupE,GAEtBvpE,KAAKwpE,UAAYA,EACjBxpE,KAAK0pE,OAASA,EACd1pE,KAAK2pE,UAAYA,EACjB3pE,KAAKmC,OAASA,EACdnC,KAAKq+C,KAAOA,EACZr+C,KAAKskD,KAAOA,EACZtkD,KAAK4pE,YAAcA,EACnB5pE,KAAK8pE,SAAW9pE,KAAKq+C,KAAKoF,MAC1BzjD,KAAK+pE,QAAU/pE,KAAK8pE,OACpB9pE,KAAK6pE,OAAS7pE,KAAK+pE,OAAS/pE,KAAKgqE,WAAaH,EAC9C7pE,KAAKiqE,eAAgB,EACrBjqE,KAAK45D,IAAM55D,KAAKkqE,YAChBlqE,KAAKkgE,WAAalgE,KAAKmqE,GAAGC,MAAMtjE,QAoLlC,OAjLAggE,EAAayC,EAAe,CAAC,CAC3BnxE,IAAK,YACLkD,MAAO,WACL,IAAI+uE,EAAQrqE,KAERsqE,EAAY,UAAIrwE,OAAO+F,KAAKwpE,WAC5Bt4C,EAAQ,CACVw4C,OAAQ1pE,KAAK0pE,OACbrrB,KAAMr+C,KAAKq+C,KACXiG,KAAMtkD,KAAKskD,KACXulB,OAAQ,WACN,OAAOQ,EAAMR,UAEfD,YAAa5pE,KAAK4pE,YAClBW,UAAU,EACVvuE,QAASgE,KAAK2pE,UAAU3tE,QACxBwuE,YAAa,SAAqBhoB,GAChC,OAAO6nB,EAAMG,YAAYhoB,KAgB7B,MAZ2C,mBAAhCxiD,KAAK2pE,UAAUppB,eACxBvgD,KAAKugD,aAAevgD,KAAK2pE,UAAUppB,cAGA,mBAA1BvgD,KAAK2pE,UAAU12D,SACxBjT,KAAKiT,OAASjT,KAAK2pE,UAAU12D,QAG/BjT,KAAKmqE,GAAK,IAAIG,EAAU,CACtBnoE,OAAQnC,KAAKmC,OACbsoE,UAAWv5C,IACVw5C,SACI1qE,KAAKmqE,GAAGQ,MAEhB,CACDvyE,IAAK,SACLkD,MAAO,SAAgB+iD,EAAMurB,GAC3B,OAAIvrB,EAAKx/C,OAASmB,KAAKq+C,KAAKx/C,OAIxBw/C,IAASr+C,KAAKq+C,MAAQr+C,KAAK4pE,cAAgBA,IAI/C5pE,KAAKq+C,KAAOA,EACZr+C,KAAK4pE,YAAcA,EACnB5pE,KAAK4qE,qBAAqB,CACxBvsB,KAAMA,EACNurB,YAAaA,MAPN,KAWV,CACDxxE,IAAK,uBACLkD,MAAO,SAA8B41B,GACnC,IAAI25C,EAAS7qE,KAEb,GAAKA,KAAKmqE,GAAGW,OAAb,CAOA,IAAIC,EAAiB,UAAIrsE,OAAOssE,OAChC,UAAItsE,OAAOssE,QAAS,EACpB1zE,OAAO0T,QAAQkmB,GAAOp5B,SAAQ,SAAUmzE,GACtC,IAAIC,EAAQ9C,EAAe6C,EAAO,GAC9B7yE,EAAM8yE,EAAM,GACZ5vE,EAAQ4vE,EAAM,GAElBL,EAAOV,GAAGW,OAAO1yE,GAAOkD,KAI1B,UAAIoD,OAAOssE,OAASD,KAErB,CACD3yE,IAAK,cACLkD,MAAO,SAAqBknD,GAC1B,GAAKxiD,KAAKskD,KAAK2gB,SAAf,CAIA,IAAIjhE,EAAQhE,KAAKskD,KAAKtgD,MAClBnF,EAAOmB,KAAKq+C,KAAKx/C,KACjBqa,EAAMlZ,KAAK6pE,SAEXsB,EAAWjE,EAAeA,EAAe,GAAIlnE,KAAKq+C,KAAKmE,OAAQA,GAE/D4oB,EAAcprE,KAAK+pE,OAAS/lE,EAAMk6C,GAAGgG,WAAWhrC,EAAIiS,KAAMjS,EAAI+d,GAAIp4B,GAAMslD,QAAQjrC,EAAIiS,KAAMjS,EAAI+d,GAAIp4B,EAAKqG,OAAOimE,IAAannE,EAAMk6C,GAAGgD,cAAchoC,EAAK,KAAMiyD,GACjKnrE,KAAKskD,KAAKtG,SAASotB,MAIpB,CACDhzE,IAAK,iBACLkD,MAAO,SAAwB+vE,GAE7B,MAAsB,cAAlBA,EAASxsE,QAIRmB,KAAKkgE,aAIFlgE,KAAKkgE,WAAWa,SAASsK,EAASnvE,WAG3C,CACD9D,IAAK,YACLkD,MAAO,SAAmBgF,GACxB,IAAIgrE,EAAStrE,KAEb,GAAwC,mBAA7BA,KAAK2pE,UAAU4B,UACxB,OAAOvrE,KAAK2pE,UAAU4B,UAAUjrE,GAGlC,IAAIkrE,IAAcxrE,KAAK2pE,UAAUhgB,OAAO6hB,UAExC,GAAIA,GAA4B,cAAflrE,EAAMzB,KAAsB,CAC3C,IAAI4sE,EAAanrE,EAAMpE,OAAOwvE,SAAWprE,EAAMpE,OAAOwvE,QAAQ,sBACtCD,IAAezrE,KAAK45D,MAAQ6R,GAAczrE,KAAK45D,IAAImH,SAAS0K,MAGlFzrE,KAAKiqE,eAAgB,EACrBtwE,SAASykB,iBAAiB,WAAW,WACnCktD,EAAOrB,eAAgB,IACtB,CACDl7D,MAAM,KAKZ,IAAI48D,EAAwB,SAAfrrE,EAAMzB,KACf+sE,EAAyB,UAAftrE,EAAMzB,KAChBgtE,EAAuB,QAAfvrE,EAAMzB,KACditE,EAASxrE,EAAMzB,KAAKktE,WAAW,SAA0B,SAAfzrE,EAAMzB,KAEpD,QAAI2sE,GAAaM,GAAUH,GAAUC,GAAWC,IAIzC7rE,KAAKiqE,gBAEb,CACD7xE,IAAK,aACLkD,MAAO,WACL0E,KAAK4qE,qBAAqB,CACxBL,UAAU,MAGb,CACDnyE,IAAK,eACLkD,MAAO,WACL0E,KAAK4qE,qBAAqB,CACxBL,UAAU,MAGb,CACDnyE,IAAK,aACLkD,MAAO,WACL,IAAI4d,EAAMlZ,KAAKskD,KAAK0nB,SAAShsE,KAAK45D,KAC9BqS,EAAcjsE,KAAKskD,KAAKtgD,MAAM86C,IAAIziC,QAAQnD,GAE9C,OADY,YAAa+yD,EAAajsE,KAAKq+C,KAAKx/C,QAGjD,CACDzG,IAAK,UACLkD,MAAO,WACL0E,KAAKmqE,GAAG+B,eAIL3C,EA5MwB,GA+M7B4C,EAAuB,WACzB,SAASA,IACP/6C,EAAgBpxB,KAAMmsE,GAgExB,OA7DArF,EAAaqF,EAAS,CAAC,CACrB/zE,IAAK,KAELkD,MAAO,SAAYgF,EAAOtI,GASxB,OARAgI,KAAKosE,WAAapsE,KAAKosE,YAAc,GAEhCpsE,KAAKosE,WAAW9rE,KACnBN,KAAKosE,WAAW9rE,GAAS,IAG3BN,KAAKosE,WAAW9rE,GAAOiO,KAAKvW,GAErBgI,OAER,CACD5H,IAAK,OACLkD,MAAO,SAAcgF,GAGnB,IAFA,IAAI+pE,EAAQrqE,KAEHqsE,EAAOtyE,UAAU5B,OAAQkW,EAAO,IAAIC,MAAM+9D,EAAO,EAAIA,EAAO,EAAI,GAAIC,EAAO,EAAGA,EAAOD,EAAMC,IAClGj+D,EAAKi+D,EAAO,GAAKvyE,UAAUuyE,GAG7BtsE,KAAKosE,WAAapsE,KAAKosE,YAAc,GACrC,IAAIG,EAAYvsE,KAAKosE,WAAW9rE,GAQhC,OANIisE,GACFA,EAAUz0E,SAAQ,SAAU04B,GAC1B,OAAOA,EAASzqB,MAAMskE,EAAOh8D,MAI1BrO,OAKR,CACD5H,IAAK,MACLkD,MAAO,SAAagF,EAAOtI,GACzB,GAAK+B,UAAU5B,OAER,CAEL,IAAIo0E,EAAYvsE,KAAKosE,WAAapsE,KAAKosE,WAAW9rE,GAAS,KAEvDisE,IACEv0E,EACFgI,KAAKosE,WAAW9rE,GAASisE,EAAU14D,QAAO,SAAU24D,GAClD,OAAOA,IAAOx0E,YAGTgI,KAAKosE,WAAW9rE,SAX3BN,KAAKosE,WAAa,GAgBpB,OAAOpsE,SAIJmsE,EAlEkB,GAqEvBM,EAAyB,WAC3B,SAASA,IACP,IAAIzwE,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAElFq3B,EAAgBpxB,KAAMysE,GAEtBzsE,KAAKhE,QAAUkrE,EAAeA,EAAe,GAAIlnE,KAAK0sE,gBAAiB1wE,GAmDzE,OAhDA8qE,EAAa2F,EAAW,CAAC,CACvBr0E,IAAK,OACLkD,MAAO,WACL,OAAO,OAER,CACDlD,IAAK,aACLkD,MAAO,WACL,IAAIouE,EAAS3vE,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KACjFiG,KAAK0pE,OAASA,IAEf,CACDtxE,IAAK,aACLkD,MAAO,WACL,MAAO,KAER,CACDlD,IAAK,aACLkD,MAAO,WACL,MAAO,KAER,CACDlD,IAAK,OACLkD,MAAO,WACL,MAAO,KAER,CACDlD,IAAK,OACLwC,IAAK,WACH,OAAO,OAER,CACDxC,IAAK,OACLwC,IAAK,WACH,MAAO,cAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,KAER,CACDxC,IAAK,UACLwC,IAAK,WACH,MAAO,OAIJ6xE,EAzDoB,GA4DzB,EAAgC,WAClC,SAASE,IACP,IAAIC,EAAa7yE,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GACjF2vE,EAAS3vE,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EAEnDw0B,EAAgBpxB,KAAM2sE,GAEtBC,EAAW90E,SAAQ,SAAU6xE,GAC3BA,EAAUkD,WAAWnD,GACrBC,EAAU13C,UAEZjyB,KAAK4sE,WAAaA,EA0MpB,OAvMA9F,EAAa6F,EAAkB,CAAC,CAC9Bv0E,IAAK,UACLkD,MAAO,SAAiBmuE,GACtB,IAAI9f,EAAS8f,EAAK9f,OACdmjB,EAAmB9sE,KAAK4sE,WAAW/4D,QAAO,SAAU81D,GACtD,MAAO,CAAC,aAAatvD,SAASsvD,EAAU9qE,SACvCgV,QAAO,SAAU81D,GAClB,OAAOA,EAAUjhE,QAChBmH,KAAI,SAAU85D,GACf,OAAOA,EAAUjhE,KAAK,CACpBihD,OAAQA,OAGRojB,EAAkB/sE,KAAK4sE,WAAW/4D,QAAO,SAAU81D,GACrD,MAAO,CAAC,OAAQ,QAAQtvD,SAASsvD,EAAU9qE,SAC1CgV,QAAO,SAAU81D,GAClB,OAAOA,EAAUjhE,QAChBmH,KAAI,SAAU85D,GACf,OAAOA,EAAUjhE,KAAK,CACpB7J,KAAM8qD,EAAO,GAAG3mD,OAAO2mE,EAAU9qE,KAAM,MAAM8qE,EAAU/yE,MACvD+yD,OAAQA,OAGZ,MAAO,GAAG3mD,OAAO6lE,EAAmBiE,GAAmBjE,EAAmBkE,IAAkBl9D,KAAI,SAAUnH,GACxG,OAAO,YAAOA,QAGjB,CACDtQ,IAAK,aACLkD,MAAO,SAAoB2vE,GACzB,IAAIthB,EAASshB,EAAMthB,OACfqjB,EAAqB/B,EAAM+B,mBAC/B,KAAMA,aAA8B1+D,QAAU0+D,EAAoB,MAAO,GACzE,IAAIC,EAAoBD,aAA8B1+D,MAAQtO,KAAK4sE,WAAW/4D,QAAO,SAAU81D,GAC7F,OAAQqD,EAAmB3yD,SAASsvD,EAAU/yE,SAC3CoJ,KAAK4sE,WACNM,EAAsBD,EAAkBp5D,QAAO,SAAU81D,GAC3D,MAAO,CAAC,aAAatvD,SAASsvD,EAAU9qE,SACvCgV,QAAO,SAAU81D,GAClB,OAAOA,EAAUwD,cAChBt9D,KAAI,SAAU85D,GACf,OAAOA,EAAUwD,WAAW,CAC1BxjB,OAAQA,OAGRyjB,EAAqBH,EAAkBp5D,QAAO,SAAU81D,GAC1D,MAAO,CAAC,OAAQ,QAAQtvD,SAASsvD,EAAU9qE,SAC1CgV,QAAO,SAAU81D,GAClB,OAAOA,EAAUwD,cAChBt9D,KAAI,SAAU85D,GACf,OAAOA,EAAUwD,WAAW,CAC1BtuE,KAAM8qD,EAAO,GAAG3mD,OAAO2mE,EAAU9qE,KAAM,MAAM8qE,EAAU/yE,MACvD+yD,OAAQA,OAGZ,MAAO,GAAG3mD,OAAO6lE,EAAmBqE,GAAsBrE,EAAmBuE,IAAqBjY,QAAO,SAAUkY,EAAeF,GAChI,MAAO,GAAGnqE,OAAO6lE,EAAmBwE,GAAgBxE,EAAmBsE,MACtE,MAEJ,CACD/0E,IAAK,aACLkD,MAAO,SAAoB4vE,GACzB,IAAIvhB,EAASuhB,EAAMvhB,OACfqjB,EAAqB9B,EAAM8B,mBAC/B,KAAMA,aAA8B1+D,QAAU0+D,EAAoB,MAAO,GACzE,IAAIC,EAAoBD,aAA8B1+D,MAAQtO,KAAK4sE,WAAW/4D,QAAO,SAAU81D,GAC7F,OAAQqD,EAAmB3yD,SAASsvD,EAAU/yE,SAC3CoJ,KAAK4sE,WACNU,EAAsBL,EAAkBp5D,QAAO,SAAU81D,GAC3D,MAAO,CAAC,aAAatvD,SAASsvD,EAAU9qE,SACvCgV,QAAO,SAAU81D,GAClB,OAAOA,EAAU4D,cAChB19D,KAAI,SAAU85D,GACf,OAAOA,EAAU4D,WAAW,CAC1B5jB,OAAQA,OAGR6jB,EAAqBP,EAAkBp5D,QAAO,SAAU81D,GAC1D,MAAO,CAAC,OAAQ,QAAQtvD,SAASsvD,EAAU9qE,SAC1CgV,QAAO,SAAU81D,GAClB,OAAOA,EAAU4D,cAChB19D,KAAI,SAAU85D,GACf,OAAOA,EAAU4D,WAAW,CAC1B1uE,KAAM8qD,EAAO,GAAG3mD,OAAO2mE,EAAU9qE,KAAM,MAAM8qE,EAAU/yE,MACvD+yD,OAAQA,OAGZ,MAAO,GAAG3mD,OAAO6lE,EAAmByE,GAAsBzE,EAAmB2E,IAAqBrY,QAAO,SAAUsY,EAAeF,GAChI,MAAO,GAAGvqE,OAAO6lE,EAAmB4E,GAAgB5E,EAAmB0E,MACtE,MAEJ,CACDn1E,IAAK,WACLkD,MAAO,SAAkBoyE,GACvB,IAAI/jB,EAAS+jB,EAAM/jB,OACfrF,EAAOopB,EAAMppB,KACjB,OAAOtkD,KAAK4sE,WAAW/4D,QAAO,SAAU81D,GACtC,OAAOA,EAAUtlB,YAChB8Q,QAAO,SAAUwY,EAAahE,GAC/B,IAAI/yE,EAAO+yE,EAAU/yE,KACjBiI,EAAO8qE,EAAU9qE,KACjBwlD,EAAW,GACX/oD,EAAQquE,EAAUtlB,SAAS6iB,EAAe,CAC5Cvd,OAAQA,GACP,CAAC,OAAQ,QAAQtvC,SAASxb,GAAQ,CACnCA,KAAM8qD,EAAO,GAAG3mD,OAAOnE,EAAM,MAAMjI,IACjC,KAEAmP,EAAQ,SAAeymE,EAAIhqB,GAC7B,QAAK8B,EAAK2gB,WAIV3gB,EAAKspB,QACEpB,EAAGhqB,EAAHgqB,CAAUloB,EAAKtgD,MAAOsgD,EAAKtG,SAAUsG,KAG1CupB,EAAS,SAAgBC,EAAOC,GAC9Bz/D,MAAM9W,QAAQu2E,GAChB1pB,EAASypB,GAAS,SAAUtrB,GAC1B,OAAOurB,EAAOj2E,SAAQ,SAAU04B,GAC9B,OAAOzqB,EAAMyqB,EAAUgyB,OAGA,mBAAXurB,IAChB1pB,EAASypB,GAAS,SAAUtrB,GAC1B,OAAOz8C,EAAMgoE,EAAQvrB,MAiB3B,MAZuB,WAAnBqkB,EAAQvrE,GACVhE,OAAO0T,QAAQ1P,GAAOxD,SAAQ,SAAUk2E,GACtC,IAAIC,EAAQ7F,EAAe4F,EAAO,GAC9BE,EAAcD,EAAM,GACpBE,EAAeF,EAAM,GAEzBJ,EAAOK,EAAaC,MAGtBN,EAAOj3E,EAAM0E,GAGR4rE,EAAeA,EAAe,GAAIyG,GAActpB,KACtD,MAEJ,CACDjsD,IAAK,QACLwC,IAAK,WACH,OAAOoF,KAAK4sE,WAAW/4D,QAAO,SAAU81D,GACtC,MAA0B,SAAnBA,EAAU9qE,QAChBs2D,QAAO,SAAUpG,EAAOqf,GACzB,IAAIx3E,EAAOw3E,EAAMx3E,KACb+yD,EAASykB,EAAMzkB,OACnB,OAAOud,EAAeA,EAAe,GAAInY,GAAQ,GAAIgY,EAAgB,GAAInwE,EAAM+yD,MAC9E,MAEJ,CACDvxD,IAAK,UACLwC,IAAK,WACH,IAAI0pD,EAAOtkD,KAAKskD,KAChB,OAAOtkD,KAAK4sE,WAAWzX,QAAO,SAAUpG,EAAO4a,GAC7C,OAAOzC,EAAeA,EAAe,GAAInY,GAAQ,GAAIgY,EAAgB,GAAI4C,EAAU/yE,KAAM,IAAIqxE,MAAM0B,EAAU3tE,QAAS,CACpHkH,IAAK,SAAanL,EAAKsnB,EAAM/jB,GAC3B,IAAI+yE,EAAUt2E,EAAIsnB,KAAU/jB,EAO5B,OANAhE,OAAO0G,OAAOjG,EAAKgvE,EAAgB,GAAI1nD,EAAM/jB,IAEzC+yE,GACF/pB,EAAKgqB,YAAYhqB,EAAKtgD,QAGjB,SAGV,MAEJ,CACD5L,IAAK,QACLwC,IAAK,WACH,OAAOoF,KAAK4sE,WAAW/4D,QAAO,SAAU81D,GACtC,MAA0B,SAAnBA,EAAU9qE,QAChBs2D,QAAO,SAAU1R,EAAO8qB,GACzB,IAAI33E,EAAO23E,EAAM33E,KACb+yD,EAAS4kB,EAAM5kB,OACnB,OAAOud,EAAeA,EAAe,GAAIzjB,GAAQ,GAAIsjB,EAAgB,GAAInwE,EAAM+yD,MAC9E,MAEJ,CACDvxD,IAAK,UACLwC,IAAK,WACH,OAAOoF,KAAK4sE,WAAW/4D,QAAO,SAAU81D,GACtC,OAAOA,EAAUrf,WAChB6K,QAAO,SAAUqZ,EAAYC,GAC9B,IAAInkB,EAAUmkB,EAAMnkB,QACpB,MAAO,GAAGtnD,OAAO6lE,EAAmB2F,GAAa3F,EAAmBve,MACnE,QAIAqiB,EArN2B,GAwNpC,SAAS+B,EAAWp9D,GAEhB,IAAI5J,EAAQ/N,SAASqZ,cAAc,SACnCtL,EAAM7I,KAAO,WACb6I,EAAMmrD,YAAcvhD,EACpB,IACIM,EADYjY,SACKiY,KACjB2C,EAAa3C,EAAK2C,WAElBA,EACF3C,EAAKwC,aAAa1M,EAAO6M,GAEzB3C,EAAKhK,YAAYF,GAKvB,IAAIwnD,EAAoB,SAAUyf,GAChCvH,EAAUlY,EAAMyf,GAEhB,IAAIC,EAAShH,EAAa1Y,GAE1B,SAASA,IACP,IAAIlzD,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAIlF,OAFAq3B,EAAgBpxB,KAAMkvD,GAEf0f,EAAOl3E,KAAKsI,KAAMhE,GAyB3B,OAtBA8qE,EAAa5X,EAAM,CAAC,CAClB92D,IAAK,UACLkD,MAAO,WACL,OAAO,eAER,CACDlD,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,OACLwC,IAAK,WACH,OAAO,OAER,CACDxC,IAAK,SACLwC,IAAK,WACH,OAAO,SAIJs0D,EAnCe,CAoCtBud,GAEF,SAASoC,IACP,IAAIvzE,EAAQvB,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC5EiH,EAAMjH,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC1EitB,EAAMjtB,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC9E,OAAOjD,KAAKkK,IAAIlK,KAAKkwB,IAAI+W,SAASziC,EAAO,IAAK0F,GAAMgmB,GAGtD,IAAI2rC,EAAoB,SAAUgc,GAChCvH,EAAUzU,EAAMgc,GAEhB,IAAIC,EAAShH,EAAajV,GAE1B,SAASA,IACP,IAAI32D,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAIlF,OAFAq3B,EAAgBpxB,KAAM2yD,GAEfic,EAAOl3E,KAAKsI,KAAMhE,GAyB3B,OAtBA8qE,EAAanU,EAAM,CAAC,CAClBv6D,IAAK,UACLkD,MAAO,WACL,OAAO,eAER,CACDlD,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,OACLwC,IAAK,WACH,OAAO,OAER,CACDxC,IAAK,SACLwC,IAAK,WACH,OAAO,SAIJ+3D,EAnCe,CAoCtB8Z,GAEEqC,EAAmB,SAAUC,GAC/B3H,EAAU0H,EAAKC,GAEf,IAAIH,EAAShH,EAAakH,GAE1B,SAASA,IAGP,OAFA19C,EAAgBpxB,KAAM8uE,GAEfF,EAAO7oE,MAAM/F,KAAMjG,WAiB5B,OAdA+sE,EAAagI,EAAK,CAAC,CACjB12E,IAAK,OACLwC,IAAK,WACH,MAAO,QAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,cAKRgoE,EAzBc,CA0BrBnc,GAEE,EAAyB,SAAUoc,GACrC3H,EAAU4H,EAAWD,GAErB,IAAIH,EAAShH,EAAaoH,GAE1B,SAASA,IAGP,OAFA59C,EAAgBpxB,KAAMgvE,GAEfJ,EAAO7oE,MAAM/F,KAAMjG,WAiC5B,OA9BA+sE,EAAakI,EAAW,CAAC,CACvB52E,IAAK,WACLkD,MAAO,SAAkBmuE,GACvB,IAAI5qE,EAAO4qE,EAAK5qE,KAChB,OAAO,WACL,OAAO,YAAaA,MAGvB,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,cAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,UACTkxD,MAAO,QACPwT,WAAW,EACXjR,SAAU,CAAC,CACTzhD,IAAK,MAEP6oD,MAAO,WACL,MAAO,CAAC,IAAK,SAMdqN,EAzCoB,CA0C3Brc,GAEEsc,EAAoB,SAAUF,GAChC3H,EAAU6H,EAAMF,GAEhB,IAAIH,EAAShH,EAAaqH,GAE1B,SAASA,IAGP,OAFA79C,EAAgBpxB,KAAMivE,GAEfL,EAAO7oE,MAAM/F,KAAMjG,WAiB5B,OAdA+sE,EAAamI,EAAM,CAAC,CAClB72E,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLo9D,MAAO,cAKNiX,EAzBe,CA0BtBtc,GAEErhD,EAAM,+6BAEN,EAAsB,SAAU49D,GAClC9H,EAAU+H,EAAQD,GAElB,IAAIN,EAAShH,EAAauH,GAE1B,SAASA,IACP,IAAI9E,EAEAruE,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAoClF,OAlCAq3B,EAAgBpxB,KAAMmvE,IAEtB9E,EAAQuE,EAAOl3E,KAAKsI,OACd0sE,eAAiB,CACrB0C,YAAa,GACbnK,UAAU,EACVoK,UAAW,KACXzC,WAAY,GACZ9lE,QAAS,GACT0xD,QAAS,MACT8W,cAAe,CACbzwE,KAAM,MACNiI,QAAS,CAAC,CACRjI,KAAM,eAGV0wE,sBAAsB,EACtBC,mBAAmB,EACnBC,mBAAmB,EACnBC,WAAY,GACZC,aAAc,GACdjB,WAAW,EACXkB,OAAQ,aACRC,cAAe,aACfC,SAAU,aACVC,QAAS,aACTC,OAAQ,aACRC,QAAS,aACTC,OAAQ,cAEV7F,EAAM8F,OAAS,CAAC,OAAQ,cAAe,SAAU,QAAS,OAAQ,QAAS,QAE3E9F,EAAMp4C,KAAKj2B,GAEJquE,EAygBT,OAtgBAvD,EAAaqI,EAAQ,CAAC,CACpB/2E,IAAK,OACLkD,MAAO,WACL,IAAIuvE,EAAS7qE,KAEThE,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAClFiG,KAAKowE,WAAWlJ,EAAeA,EAAe,GAAIlnE,KAAK0sE,gBAAiB1wE,IACxEgE,KAAKqwE,SAAU,EACfrwE,KAAKi+C,UAAY,CACf9yB,KAAM,EACN8L,GAAI,GAENj3B,KAAKwjE,QAAU7pE,SAASqZ,cAAc,OACtChT,KAAK4sE,WAAa5sE,KAAKswE,mBACvBtwE,KAAK+uD,MAAQ/uD,KAAKuwE,cAClBvwE,KAAKyjD,MAAQzjD,KAAKwwE,cAClBxwE,KAAK2pD,OAAS3pD,KAAKywE,eACnBzwE,KAAKsqD,QAAUtqD,KAAK0wE,gBACpB1wE,KAAK2wE,QAAU3wE,KAAK4wE,gBACpB5wE,KAAKmtE,WAAantE,KAAK6wE,mBACvB7wE,KAAKutE,WAAavtE,KAAK8wE,mBACvB9wE,KAAKskD,KAAOtkD,KAAK+wE,aACjB/wE,KAAKqkD,SAAWrkD,KAAKgxE,iBACrBhxE,KAAKixE,yBAEDjxE,KAAKhE,QAAQ0yE,WACfA,EAAUp9D,GAGmB,OAA3BtR,KAAKhE,QAAQqzE,WACfrvE,KAAK4tE,MAAM5tE,KAAKhE,QAAQqzE,WAG1BrvE,KAAKmwE,OAAOr4E,SAAQ,SAAUlB,GAC5Bi0E,EAAOh8D,GAAGjY,EAAMi0E,EAAO7uE,QAAQqtE,EAAU,MAAMrmE,OAAOpM,MAAW,iBAEnEoJ,KAAKK,KAAK,OAAQ,CAChBikD,KAAMtkD,KAAKskD,KACXtgD,MAAOhE,KAAKgE,QAGdhE,KAAK4sE,WAAWtoB,KAAOtkD,KAAKskD,OAE7B,CACDlsD,IAAK,aACLkD,MAAO,SAAoBU,GACzBgE,KAAKhE,QAAUkrE,EAAeA,EAAe,GAAIlnE,KAAKhE,SAAUA,GAE5DgE,KAAKskD,MAAQtkD,KAAKgE,OACpBhE,KAAKskD,KAAKgqB,YAAYtuE,KAAKgE,SAG9B,CACD5L,IAAK,mBACLkD,MAAO,WACL,OAAO,IAAI,EAAiB,GAAG0H,OAAO6lE,EAAmB7oE,KAAKkxE,mBAAoBrI,EAAmB7oE,KAAKhE,QAAQ4wE,aAAc5sE,QAEjI,CACD5H,IAAK,gBACLkD,MAAO,WACL,OAAO0E,KAAK4sE,WAAWtiB,UAExB,CACDlyD,IAAK,gBACLkD,MAAO,WACL,OAAO0E,KAAK4sE,WAAW+D,QAAQ,CAC7BhnB,OAAQ3pD,KAAK2pD,WAGhB,CACDvxD,IAAK,mBACLkD,MAAO,WACL,OAAO0E,KAAK4sE,WAAWO,WAAW,CAChCxjB,OAAQ3pD,KAAK2pD,OACbqjB,mBAAoBhtE,KAAKhE,QAAQwzE,sBAGpC,CACDp3E,IAAK,mBACLkD,MAAO,WACL,OAAO0E,KAAK4sE,WAAWW,WAAW,CAChC5jB,OAAQ3pD,KAAK2pD,OACbqjB,mBAAoBhtE,KAAKhE,QAAQyzE,sBAGpC,CACDr3E,IAAK,iBACLkD,MAAO,WACL,OAAO0E,KAAK4sE,WAAWvoB,SAAS,CAC9BsF,OAAQ3pD,KAAK2pD,OACbrF,KAAMtkD,KAAKskD,SAGd,CACDlsD,IAAK,cACLkD,MAAO,WACL,OAAO0E,KAAK4sE,WAAW7d,QAExB,CACD32D,IAAK,cACLkD,MAAO,WACL,OAAO0E,KAAK4sE,WAAWnpB,QAExB,CACDrrD,IAAK,eACLkD,MAAO,WACL,OAAO,IAAI,SAAO,CAChBk9D,QAASx4D,KAAKhE,QAAQw8D,QACtBzJ,MAAO/uD,KAAK+uD,MACZtL,MAAOzjD,KAAKyjD,UAGf,CACDrrD,IAAK,cACLkD,MAAO,WACL,IF1oCcU,EE0oCVsvE,EAAStrE,KAEb,OAAO,cAAYkF,OAAO,CACxBykD,OAAQ3pD,KAAK2pD,OACb7K,IAAK9+C,KAAKmxE,eAAenxE,KAAKhE,QAAQ8K,SACtCwjD,QAAS,GAAGtnD,OAAO6lE,EAAmB7oE,KAAKsqD,SAAU,CAAC,YAAW,CAC/DkP,MAAOx5D,KAAKmtE,cACTtE,EAAmB7oE,KAAKutE,YAAa1E,EAAmB7oE,KAAK2wE,SAAU,CAAC,YAAO,CAClFS,UAAW,MACT,YAAO,MFnpCCp1E,EEmpCuBgE,KAAKhE,QAAQ0zE,gBFlpCrC,IAAZ1zE,IAAqBA,EAAU,IAE7B,IAAI,SAAO,CAChBsoD,KAAM,SAAc6e,GAAc,OAAO,IAAID,EAAeC,EAAYnnE,OCsHnE,IAAI,SAAO,CAChBk1B,MAAO,CACL04C,YAAajD,EAEb0K,uBAAwB,SAAgCC,EAAOvxB,EAASD,GACtE,GAAIC,EAAQ7mC,KAAO4mC,EAAM5mC,KAAO,EAAUysD,MAAM7lB,GAAU,OAAO,IAAI,EAAUA,IAGjF4mB,YAAaA,EACbL,cAAeA,KCghC6D,IAAI,SAAO,CACnFjuE,IAAK,IAAI,YAAU,YACnB84B,MAAO,CACL+zC,SAAU,WACR,OAAOqG,EAAOtvE,QAAQipE,aAGxB,IAAI,SAAO,CACb/zC,MAAO,CACLqgD,WAAY,CACVC,SAAU,GAEZC,gBAAiB,CACf7D,MAAO,SAAetpB,EAAMhkD,GAC1BgrE,EAAO+E,SAAU,EAEjB/E,EAAOjrE,KAAK,QAAS,CACnBC,MAAOA,EACP0D,MAAOsgD,EAAKtgD,MACZsgD,KAAMA,IAGR,IAAI8mB,EAAcE,EAAOtnE,MAAMk6C,GAAG0L,QAAQ,WAAW,GAErD0hB,EAAOhnB,KAAKtG,SAASotB,IAEvBsG,KAAM,SAAcptB,EAAMhkD,GACxBgrE,EAAO+E,SAAU,EAEjB/E,EAAOjrE,KAAK,OAAQ,CAClBC,MAAOA,EACP0D,MAAOsgD,EAAKtgD,MACZsgD,KAAMA,IAGR,IAAI8mB,EAAcE,EAAOtnE,MAAMk6C,GAAG0L,QAAQ,WAAW,GAErD0hB,EAAOhnB,KAAKtG,SAASotB,QAIzB,IAAI,SAAO,CACbl6C,MAAOlxB,KAAKhE,QAAQozE,oBAIzB,CACDh3E,IAAK,iBACLkD,MAAO,SAAwBwL,GAC7B,IAAI6oE,EAAe51E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAKiG,KAAKhE,QAAQ2zE,aAEpG,GAAgB,OAAZ7oE,EACF,OAAO9G,KAAK2pD,OAAOiF,aAAa5uD,KAAKhE,QAAQszE,eAG/C,GAAyB,WAArBzI,EAAQ//D,GACV,IACE,OAAO9G,KAAK2pD,OAAOiF,aAAa9nD,GAChC,MAAO7Q,GAEP,OADA0K,QAAQC,KAAK,kCAAmC,gBAAiBkG,EAAS,SAAU7Q,GAC7E+J,KAAK2pD,OAAOiF,aAAa5uD,KAAKhE,QAAQszE,eAIjD,GAAuB,iBAAZxoE,EAAsB,CAC/B,IAAI6qE,EAAa,QAAQ3uE,OAAO8D,EAAS,UACrC02D,EAAS,IAAIvmE,OAAOsiE,UACpBiK,EAAUhG,EAAOoU,gBAAgBD,EAAY,aAAajN,KAC9D,OAAO,YAAUhK,WAAW16D,KAAK2pD,QAAQpuC,MAAMioD,EAASmM,GAG1D,OAAO,IAER,CACDv3E,IAAK,aACLkD,MAAO,WACL,IAAIu2E,EAAS7xE,KAEb,OAAO,IAAI,IAAWA,KAAKwjE,QAAS,CAClCx/D,MAAOhE,KAAK8xE,cACZC,YAAa,WACX,IAAK,IAAI1F,EAAOtyE,UAAU5B,OAAQkW,EAAO,IAAIC,MAAM+9D,GAAOC,EAAO,EAAGA,EAAOD,EAAMC,IAC/Ej+D,EAAKi+D,GAAQvyE,UAAUuyE,GAGzBuF,EAAOxxE,KAAK0F,MAAM8rE,EAAQ,CAAC,SAAS7uE,OAAOqL,KAE7C2jE,WAAY,WACV,IAAK,IAAIC,EAAQl4E,UAAU5B,OAAQkW,EAAO,IAAIC,MAAM2jE,GAAQC,EAAQ,EAAGA,EAAQD,EAAOC,IACpF7jE,EAAK6jE,GAASn4E,UAAUm4E,GAG1BL,EAAOxxE,KAAK0F,MAAM8rE,EAAQ,CAAC,QAAQ7uE,OAAOqL,KAE5C8jE,oBAAqBnyE,KAAKmyE,oBAAoB/6E,KAAK4I,UAGtD,CACD5H,IAAK,qBACLkD,MAAO,WACL,IAAIkuE,EAAYzvE,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAE/EyvE,GAILxpE,KAAKskD,KAAK8tB,SAAS,CACjBC,UAAWryE,KAAKsyE,cAAc,CAC5BnwE,OAAQqnE,EACRoD,WAAY,GAAG5pE,OAAO6lE,EAAmB7oE,KAAKkxE,mBAAoBrI,EAAmB7oE,KAAKhE,QAAQ4wE,mBAIvG,CACDx0E,IAAK,gBACLkD,MAAO,SAAuBmuE,GAC5B,IAAI8I,EAASvyE,KAETmC,EAASsnE,EAAKtnE,OAElB,OADiBsnE,EAAKmD,WACJ/4D,QAAO,SAAU81D,GACjC,MAAO,CAAC,OAAQ,QAAQtvD,SAASsvD,EAAU9qE,SAC1CgV,QAAO,SAAU81D,GAClB,OAAOA,EAAUrlB,QAChB6Q,QAAO,SAAUkd,EAAW1I,GAc7B,OAAOzC,EAAeA,EAAe,GAAImL,GAAY,GAAItL,EAAgB,GAAI4C,EAAU/yE,MAbxE,SAAkBynD,EAAMiG,EAAMulB,EAAQD,GACnD,IAAIJ,EAAYG,EAAUrlB,KAC1B,OAAO,IAAI,EAAcklB,EAAW,CAClCE,OAAQ6I,EACR5I,UAAWA,EACXxnE,OAAQA,EACRk8C,KAAMA,EACNiG,KAAMA,EACNulB,OAAQA,EACRD,YAAaA,UAKhB,MAEJ,CACDxxE,IAAK,sBACLkD,MAAO,SAA6B8vE,GAClC,IAAIlgB,EAAWlrD,KAAKgE,MAAM+B,MAAMqlE,GAChCprE,KAAKskD,KAAKgqB,YAAYpjB,GACtBlrD,KAAKi+C,UAAY,CACf9yB,KAAMnrB,KAAKgE,MAAMi6C,UAAU9yB,KAC3B8L,GAAIj3B,KAAKgE,MAAMi6C,UAAUhnB,IAE3Bj3B,KAAKixE,yBACLjxE,KAAKK,KAAK,cAAe,CACvBmyE,QAASxyE,KAAKwyE,QAAQp7E,KAAK4I,MAC3ByyE,QAASzyE,KAAKyyE,QAAQr7E,KAAK4I,MAC3BgE,MAAOhE,KAAKgE,MACZonE,YAAaA,IAGVA,EAAYsH,aAActH,EAAYvhB,QAAQ,kBAInD7pD,KAAK2yE,WAAWvH,KAEjB,CACDhzE,IAAK,aACLkD,MAAO,SAAoB8vE,GACzBprE,KAAKK,KAAK,SAAU,CAClBmyE,QAASxyE,KAAKwyE,QAAQp7E,KAAK4I,MAC3ByyE,QAASzyE,KAAKyyE,QAAQr7E,KAAK4I,MAC3BgE,MAAOhE,KAAKgE,MACZonE,YAAaA,MAGhB,CACDhzE,IAAK,mBACLkD,MAAO,WACL,IAAIge,EAAWvf,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAEnF,GAAIiG,KAAKi+C,WAA0B,OAAb3kC,EACpB,OAAOtZ,KAAKi+C,UAGd,GAAiB,UAAb3kC,IAAqC,IAAbA,EAC1B,MAAO,CACL6R,KAAM,EACN8L,GAAI,GAIR,GAAiB,QAAb3d,EAAoB,CACtB,IAAIwlC,EAAM9+C,KAAKgE,MAAM86C,IACrB,MAAO,CACL3zB,KAAM2zB,EAAIh4C,QAAQyS,KAClB0d,GAAI6nB,EAAIh4C,QAAQyS,MAIpB,MAAO,CACL4R,KAAM7R,EACN2d,GAAI3d,KAGP,CACDlhB,IAAK,QACLkD,MAAO,WACL,IAAIs3E,EAAS5yE,KAETsZ,EAAWvf,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAEnF,KAAIiG,KAAKskD,KAAK+rB,SAAwB,OAAb/2D,IAAkC,IAAbA,GAA9C,CAIA,IAAIu5D,EAAwB7yE,KAAK8yE,iBAAiBx5D,GAC9C6R,EAAO0nD,EAAsB1nD,KAC7B8L,EAAK47C,EAAsB57C,GAE/Bj3B,KAAKugD,aAAap1B,EAAM8L,GACxB7pB,YAAW,WACT,OAAOwlE,EAAOtuB,KAAKspB,UAClB,OAEJ,CACDx1E,IAAK,eACLkD,MAAO,WACL,IAAI6vB,EAAOpxB,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC3Ek9B,EAAKl9B,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EACzEg5E,EAAc/yE,KAAKgE,MACnB86C,EAAMi0B,EAAYj0B,IAClBZ,EAAK60B,EAAY70B,GACjB80B,EAAenE,EAAO1jD,EAAM,EAAG2zB,EAAIh4C,QAAQyS,MAC3C05D,EAAcpE,EAAO53C,EAAI,EAAG6nB,EAAIh4C,QAAQyS,MACxC0kC,EAAY,gBAAc/4C,OAAO45C,EAAKk0B,EAAcC,GACpD7H,EAAcltB,EAAGqC,aAAatC,GAClCj+C,KAAKskD,KAAKtG,SAASotB,KAEpB,CACDhzE,IAAK,OACLkD,MAAO,WACL0E,KAAKskD,KAAKsV,IAAI8X,SAEf,CACDt5E,IAAK,gBACLkD,MAAO,WACL,OAAO+U,KAAKkL,MAAMlL,KAAKC,UAAU,CAC/By+C,MAAO/uD,KAAK4sE,WAAW7d,MACvBtL,MAAOzjD,KAAK4sE,WAAWnpB,WAG1B,CACDrrD,IAAK,UACLkD,MAAO,WACL,IAAI4/D,EAAMvhE,SAASqZ,cAAc,OAC7Bg+C,EAAW,gBAAc0J,WAAW16D,KAAK2pD,QAAQiY,kBAAkB5hE,KAAKgE,MAAM86C,IAAIh4C,SAEtF,OADAo0D,EAAItzD,YAAYopD,GACTkK,EAAIgY,YAEZ,CACD96E,IAAK,UACLkD,MAAO,WACL,OAAO0E,KAAKgE,MAAM86C,IAAIzwB,WAEvB,CACDj2B,IAAK,aACLkD,MAAO,WACL,IAAIwL,EAAU/M,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAC9E44E,EAAa54E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,IAAmBA,UAAU,GAC5E41E,EAAe51E,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EACrDu2E,EAAenzE,KAAKgE,MACpB86C,EAAMq0B,EAAar0B,IACnBZ,EAAKi1B,EAAaj1B,GAClBvkD,EAAWqG,KAAKmxE,eAAerqE,EAAS6oE,GACxC1xB,EAAY,gBAAc/4C,OAAO45C,EAAK,EAAGA,EAAIh4C,QAAQyS,MACrD6xD,EAAcltB,EAAGqC,aAAatC,GAAWuL,qBAAqB7vD,GAAU,GAAOiwD,QAAQ,iBAAkB+oB,GAC7G3yE,KAAKskD,KAAKtG,SAASotB,KAEpB,CACDhzE,IAAK,eACLkD,MAAO,WACL,IAAIq3E,EAAa54E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,IAAmBA,UAAU,GAChFiG,KAAKozE,WAAWpzE,KAAKhE,QAAQszE,cAAeqD,KAE7C,CACDv6E,IAAK,yBACLkD,MAAO,WACL,IAAI+3E,EAASrzE,KAEbA,KAAKk9D,YAAc5lE,OAAO0T,QAAQhL,KAAK2pD,OAAOlG,OAAO0R,QAAO,SAAU1R,EAAOwnB,GAC3E,IAAIC,EAAQ9C,EAAe6C,EAAO,GAC9Br0E,EAAOs0E,EAAM,GACb/hB,EAAO+hB,EAAM,GAEjB,OAAOhE,EAAeA,EAAe,GAAIzjB,GAAQ,GAAIsjB,EAAgB,GAAInwE,GAAM,WAC7E,IAAI4rD,EAAQzoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAO,YAAas5E,EAAOrvE,MAAOmlD,EAAM3G,SAEzC,IACHxiD,KAAKszE,gBAAkBh8E,OAAO0T,QAAQhL,KAAK2pD,OAAOlG,OAAO0R,QAAO,SAAU1R,EAAOiqB,GAC/E,IAAIM,EAAQ5F,EAAesF,EAAO,GAC9B92E,EAAOo3E,EAAM,GACb7kB,EAAO6kB,EAAM,GAEjB,OAAO9G,EAAeA,EAAe,GAAIzjB,GAAQ,GAAIsjB,EAAgB,GAAInwE,EAAM,YAAay8E,EAAOrvE,MAAOmlD,OACzG,IACHnpD,KAAKuzE,YAAcj8E,OAAO0T,QAAQhL,KAAK2pD,OAAOoF,OAAOoG,QAAO,SAAUpG,EAAOkf,GAC3E,IAAIG,EAAQhG,EAAe6F,EAAO,GAC9Br3E,EAAOw3E,EAAM,GACb/vB,EAAO+vB,EAAM,GAEjB,OAAOlH,EAAeA,EAAe,GAAInY,GAAQ,GAAIgY,EAAgB,GAAInwE,GAAM,WAC7E,IAAI4rD,EAAQzoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAO,YAAas5E,EAAOrvE,MAAOq6C,EAAMmE,SAEzC,MAEJ,CACDpqD,IAAK,eACLkD,MAAO,WACL,IAAIuD,EAAO9E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAC/E,OAAOiG,KAAKszE,gBAAgBz0E,KAE7B,CACDzG,IAAK,eACLkD,MAAO,WACL,IAAIuD,EAAO9E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAC/E,OAAOmtE,EAAe,GAAI,YAAalnE,KAAKgE,MAAOhE,KAAK2pD,OAAOoF,MAAMlwD,OAEtE,CACDzG,IAAK,iBACLkD,MAAO,WACL,IAAIovD,EAAS3wD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAC7Ey5E,EAAgBz5E,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EACtD0tD,EAAmC,mBAAlBkpB,EAA+BA,EAAc9oB,EAAQ1qD,KAAKgE,MAAMsmD,SAAW,CAACI,GAAQ1nD,OAAO6lE,EAAmB7oE,KAAKgE,MAAMsmD,UAC1IY,EAAWlrD,KAAKgE,MAAM2nD,YAAY,CACpCrB,QAASA,IAEXtqD,KAAKskD,KAAKgqB,YAAYpjB,KAEvB,CACD9yD,IAAK,mBACLkD,MAAO,WACL,IAAI1E,EAAOmD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAE/E,GAAKnD,GAASoJ,KAAKskD,KAAKmvB,QAAxB,CAIA,IAAIvoB,EAAWlrD,KAAKgE,MAAM2nD,YAAY,CACpCrB,QAAStqD,KAAKgE,MAAMsmD,QAAQz2C,QAAO,SAAU62C,GAC3C,OAAQA,EAAOtyD,IAAI2zE,WAAW,GAAG/oE,OAAOpM,EAAM,WAGlDoJ,KAAKskD,KAAKgqB,YAAYpjB,MAEvB,CACD9yD,IAAK,UACLkD,MAAO,WACA0E,KAAKskD,MAIVtkD,KAAKskD,KAAKmf,YAEX,CACDrrE,IAAK,oBACLwC,IAAK,WACH,OAAKoF,KAAKhE,QAAQuzE,qBAIX,CAAC,IAAIT,EAAO,IAAIG,EAAQ,IAAI,GAH1B,KAKV,CACD72E,IAAK,QACLwC,IAAK,WACH,OAAOoF,KAAKskD,KAAOtkD,KAAKskD,KAAKtgD,MAAQ,OAEtC,CACD5L,IAAK,WACLwC,IAAK,WACH,OAAOtD,OAAO0T,QAAQk8D,EAAeA,EAAe,GAAIlnE,KAAKk9D,aAAcl9D,KAAKuzE,cAAcpe,QAAO,SAAUrU,EAAOytB,GACpH,IAAIE,EAAQrG,EAAemG,EAAO,GAC9B33E,EAAO63E,EAAM,GACbnzE,EAAQmzE,EAAM,GAElB,OAAOvH,EAAeA,EAAe,GAAIpmB,GAAQ,GAAIimB,EAAgB,GAAInwE,GAAM,WAC7E,IAAI4rD,EAAQzoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAOuB,EAAMknD,SAEd,QAIA2sB,EArjBiB,CAsjBxBhD,GAEEuH,EAAgB,CAClBxiD,MAAO,CACLw4C,OAAQ,CACN7kE,QAAS,KACThG,KAAMvH,SAGVq8E,MAAO,CACLjK,OAAQ,CACNkK,WAAW,EACX1zE,QAAS,SAAiBwpE,GACxB,IAAIW,EAAQrqE,KAER0pE,GAAUA,EAAOlG,SACnBxjE,KAAK6zE,WAAU,WACbxJ,EAAMM,IAAI/iE,YAAY8hE,EAAOlG,QAAQjvD,YAErCm1D,EAAOoK,mBAAmBzJ,SAMpChpE,OAAQ,SAAgB2R,GACtB,OAAOA,EAAc,QAEvB+gE,cAAe,WACb/zE,KAAK0pE,OAAOlG,QAAUxjE,KAAK2qE,MAI3BqJ,EAAoB,WACtB,SAASA,EAAKvK,GACZ,IAAIY,EAAQrqE,KAERhE,EAAUytE,EAAKztE,QAEnBo1B,EAAgBpxB,KAAMg0E,GAEtBh0E,KAAKhE,QAAUA,EACfgE,KAAKi0E,aAAc,EAEnBj0E,KAAKk0E,iBAAmBl0E,KAAK0mE,YAAYtvE,KAAK4I,MAC9CA,KAAKhE,QAAQwnE,QAAQplD,iBAAiB,YAAape,KAAKk0E,iBAAkB,CACxErrD,SAAS,IAGX7oB,KAAKm0E,YAAc,WACb9J,EAAM4J,YACR5J,EAAM4J,aAAc,EAItB5J,EAAMruE,QAAQ0tE,OAAOrpE,KAAK,uBAAuB,IAGnDL,KAAKhE,QAAQ0tE,OAAO76D,GAAG,OAAQ7O,KAAKm0E,aAgBtC,OAbArN,EAAakN,EAAM,CAAC,CAClB57E,IAAK,cACLkD,MAAO,WACL0E,KAAKi0E,aAAc,IAEpB,CACD77E,IAAK,UACLkD,MAAO,WACL0E,KAAKhE,QAAQwnE,QAAQE,oBAAoB,YAAa1jE,KAAKk0E,kBAC3Dl0E,KAAKhE,QAAQ0tE,OAAO16D,IAAI,OAAQhP,KAAKm0E,iBAIlCH,EAzCe,GAwDxB,IAAII,EAAgB,CAClBljD,MAAO,CACLw4C,OAAQ,CACN7kE,QAAS,KACThG,KAAMvH,SAGViZ,KAAM,WACJ,MAAO,CACL8/D,SAAS,IAGbsD,MAAO,CACLjK,OAAQ,CACNkK,WAAW,EACX1zE,QAAS,SAAiBwpE,GACxB,IAAIW,EAAQrqE,KAER0pE,GACF1pE,KAAK6zE,WAAU,WA/BzB,IAAkB73E,EAgCN0tE,EAAO2K,gBAhCDr4E,EAgCwB,CAC5B0tE,OAAQA,EACRlG,QAAS6G,EAAMM,KAjCpB,IAAI,SAAO,CAChBvyE,IAAK,IAAI,YAAU,YACnBksD,KAAM,SAAc6e,GAClB,OAAO,IAAI6Q,EAAK,CACd7Q,WAAYA,EACZnnE,QAASA,SA8BLquE,EAAMgG,QAAU3G,EAAO2G,QACvB3G,EAAO76D,GAAG,SAAS,WACjBw7D,EAAMgG,SAAU,KAElB3G,EAAO76D,GAAG,uBAAuB,SAAUwhE,GACzChG,EAAMgG,QAAUA,WAO5BhvE,OAAQ,WACN,OAAKrB,KAAK0pE,OAIH1pE,KAAKs0E,aAAazvE,QAAQ,CAC/BwrE,QAASrwE,KAAKqwE,QACdzC,MAAO5tE,KAAK0pE,OAAOkE,MACnBvpB,SAAUrkD,KAAK0pE,OAAOrlB,SACtBkwB,SAAUv0E,KAAK0pE,OAAO6K,SACtBC,aAAcx0E,KAAK0pE,OAAO8K,aAAap9E,KAAK4I,KAAK0pE,QACjD+K,aAAcz0E,KAAK0pE,OAAO+K,aAAar9E,KAAK4I,KAAK0pE,UAT1C,OAcb,SAASgL,EAAUr2B,EAAMlzB,EAAM8L,GAC7B,IAAIsoB,EAAQ5lD,SAASg7E,cAGrB,OAFAp1B,EAAMq1B,OAAOv2B,EAAY,MAANpnB,EAAaonB,EAAKwgB,UAAU1mE,OAAS8+B,GACxDsoB,EAAMs1B,SAASx2B,EAAMlzB,GAAQ,GACtBo0B,EAGT,SAASu1B,GAAWr5E,EAAQ6qD,GAC1B,IAAIyuB,EAAQt5E,EAAOu5E,iBACnB,OAAQD,EAAM58E,OAA0C48E,EAAMzuB,EAAO,EAAI,EAAIyuB,EAAM58E,OAAS,GAArEsD,EAAOwoE,wBAGhC,SAASK,GAAYhgB,EAAMprC,GACzB,IAMIolC,EACAwlB,EAPA9hB,EAAMjoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,IAAmBA,UAAU,GAErEk7E,EAAwB3wB,EAAKmvB,QAAQyB,WAAWh8D,GAChDmlC,EAAO42B,EAAsB52B,KAC7BtP,EAASkmC,EAAsBlmC,OAKnC,GAAsB,IAAlBsP,EAAKkE,SACHP,GAAOjT,EAASsP,EAAKwgB,UAAU1mE,QACjC2rE,EAAOgR,GAAWJ,EAAUr2B,EAAMtP,EAAS,EAAGA,IAAU,GACxDuP,EAAO,SACEvP,EAASsP,EAAKwgB,UAAU1mE,SACjC2rE,EAAOgR,GAAWJ,EAAUr2B,EAAMtP,EAAQA,EAAS,IAAK,GACxDuP,EAAO,aAEJ,GAAID,EAAK9pC,WAAY,CAC1B,GAAIw6B,EAASsP,EAAKlqC,WAAWhc,OAAQ,CACnC,IAAI8vD,EAAQ5J,EAAKlqC,WAAW46B,GAC5B+0B,EAAOgR,GAA8B,IAAnB7sB,EAAM1F,SAAiBmyB,EAAUzsB,GAASA,GAAQ,GACpE3J,EAAO,OAGT,KAAMwlB,GAAQA,EAAK9F,MAAQ8F,EAAKI,SAAWn1B,EAAQ,CACjD,IAAIomC,EAAS92B,EAAKlqC,WAAW46B,EAAS,GACtC+0B,EAAOgR,GAA+B,IAApBK,EAAO5yB,SAAiBmyB,EAAUS,GAAUA,EAAQ,GACtE72B,EAAO,cAGTwlB,EAAOzlB,EAAK4lB,wBACZ3lB,EAAO,OAGT,IAAI/f,EAAIulC,EAAKxlB,GACb,MAAO,CACL0f,IAAK8F,EAAK9F,IACVkG,OAAQJ,EAAKI,OACbC,KAAM5lC,EACN6lC,MAAO7lC,GAIX,IAAI62C,GAAsB,WACxB,SAASpB,EAAKvK,GACZ,IAAIY,EAAQrqE,KAERhE,EAAUytE,EAAKztE,QACfmnE,EAAasG,EAAKtG,WAEtB/xC,EAAgBpxB,KAAMg0E,GAEtBh0E,KAAKhE,QAAUkrE,EAAeA,EAAe,GAAI,CAC/C1D,QAAS,KACT6R,cAAc,EACdvF,SAAU,WACR,OAAO,KAEP9zE,GACJgE,KAAKmjE,WAAaA,EAClBnjE,KAAKu0E,UAAW,EAChBv0E,KAAKmkE,KAAO,EACZnkE,KAAKkkE,OAAS,EACdlkE,KAAKg+D,IAAM,EACXh+D,KAAKi0E,aAAc,EAEnBj0E,KAAKk0E,iBAAmBl0E,KAAK0mE,YAAYtvE,KAAK4I,MAC9CA,KAAKhE,QAAQwnE,QAAQplD,iBAAiB,YAAape,KAAKk0E,iBAAkB,CACxErrD,SAAS,IAGX7oB,KAAKs1E,aAAe,SAAUrK,GAC5B,IAAI3mB,EAAO2mB,EAAM3mB,KAEjB+lB,EAAMp3D,OAAOqxC,IAGftkD,KAAKhE,QAAQ0tE,OAAO76D,GAAG,QAAS7O,KAAKs1E,cAErCt1E,KAAKm0E,YAAc,SAAUjJ,GAC3B,IAAI5qE,EAAQ4qE,EAAM5qE,MAEd+pE,EAAM4J,YACR5J,EAAM4J,aAAc,EAItB5J,EAAMkL,KAAKj1E,IAGbN,KAAKhE,QAAQ0tE,OAAO76D,GAAG,OAAQ7O,KAAKm0E,aAsFtC,OAnFArN,EAAakN,EAAM,CAAC,CAClB57E,IAAK,cACLkD,MAAO,WACL0E,KAAKi0E,aAAc,IAEpB,CACD77E,IAAK,SACLkD,MAAO,SAAgBgpD,EAAMkxB,GAC3B,IAAIxxE,EAAQsgD,EAAKtgD,MAEjB,KAAIsgD,EAAKmxB,WAKLD,GAAaA,EAAU12B,IAAIuI,GAAGrjD,EAAM86C,MAAQ02B,EAAUv3B,UAAUoJ,GAAGrjD,EAAMi6C,YAK7E,GAAIj6C,EAAMi6C,UAAU5oB,MAClBr1B,KAAKu1E,WADP,CAMA,IAAIG,EAAmB1xE,EAAMi6C,UACzB9yB,EAAOuqD,EAAiBvqD,KACxB8L,EAAKy+C,EAAiBz+C,GAItB+1B,EAAQsX,GAAYhgB,EAAMn5B,GAC1B62B,EAAMsiB,GAAYhgB,EAAMrtB,GAAI,GAE5B90B,EAASnC,KAAKhE,QAAQwnE,QAAQe,aAElC,GAAKpiE,EAAL,CAKA,IAAIwzE,EAAMxzE,EAAO8hE,wBACb9pD,EAAKna,KAAKhE,QAAQwnE,QAAQS,wBAG1BE,GAAQnX,EAAMmX,KAAOniB,EAAImiB,MAAQ,EAAIwR,EAAIxR,KAE7CnkE,KAAKmkE,KAAOrtE,KAAKg4C,MAAM9uC,KAAKhE,QAAQq5E,aAAev+E,KAAKkK,IAAI20E,EAAIvS,MAAQjpD,EAAGipD,MAAQ,EAAGtsE,KAAKkwB,IAAIm9C,EAAMhqD,EAAGipD,MAAQ,IAAMe,GACtHnkE,KAAKkkE,OAASptE,KAAKg4C,MAAM6mC,EAAIzR,OAASlX,EAAMgR,KAC5Ch+D,KAAKg+D,IAAMlnE,KAAKg4C,MAAMkT,EAAIkiB,OAASyR,EAAI3X,KACvCh+D,KAAKu0E,UAAW,EAChBv0E,KAAK41E,kBAdH51E,KAAKu1E,UAgBR,CACDn9E,IAAK,aACLkD,MAAO,WACL0E,KAAKhE,QAAQ8zE,SAAS,CACpByE,SAAUv0E,KAAKu0E,SACfpQ,KAAMnkE,KAAKmkE,KACXD,OAAQlkE,KAAKkkE,OACblG,IAAKh+D,KAAKg+D,QAGb,CACD5lE,IAAK,OACLkD,MAAO,SAAcgF,GACfA,GAASA,EAAMmlE,eAAiBzlE,KAAKhE,QAAQwnE,QAAQpwD,YAAcpT,KAAKhE,QAAQwnE,QAAQpwD,WAAW2tD,SAASzgE,EAAMmlE,iBAItHzlE,KAAKu0E,UAAW,EAChBv0E,KAAK41E,gBAEN,CACDx9E,IAAK,UACLkD,MAAO,WACL0E,KAAKhE,QAAQwnE,QAAQE,oBAAoB,YAAa1jE,KAAKk0E,kBAC3Dl0E,KAAKhE,QAAQ0tE,OAAO16D,IAAI,QAAShP,KAAKs1E,cACtCt1E,KAAKhE,QAAQ0tE,OAAO16D,IAAI,OAAQhP,KAAKm0E,iBAIlCH,EArIiB,GAoJ1B,IAAI6B,GAAmB,CACrB3kD,MAAO,CACLw4C,OAAQ,CACN7kE,QAAS,KACThG,KAAMvH,QAER+9E,aAAc,CACZxwE,SAAS,EACThG,KAAMiV,UAGVvD,KAAM,WACJ,MAAO,CACLulE,KAAM,CACJvB,UAAU,EACVpQ,KAAM,EACND,OAAQ,KAIdyP,MAAO,CACLjK,OAAQ,CACNkK,WAAW,EACX1zE,QAAS,SAAiBwpE,GACxB,IAAIW,EAAQrqE,KAER0pE,GACF1pE,KAAK6zE,WAAU,WAvCzB,IAAqB73E,EAwCT0tE,EAAO2K,gBAxCEr4E,EAwCwB,CAC/B0tE,OAAQA,EACRlG,QAAS6G,EAAMM,IACf0K,aAAchL,EAAMgL,aACpBvF,SAAU,SAAkBgG,GAEtBA,EAAKvB,WAAoC,IAAxBlK,EAAMyL,KAAKvB,SAC9BlK,EAAM0L,MAAM,OAAQD,GACVA,EAAKvB,WAAoC,IAAxBlK,EAAMyL,KAAKvB,UACtClK,EAAM0L,MAAM,OAAQD,GAGtBzL,EAAMyL,KAAOA,IAnDpB,IAAI,SAAO,CAChB19E,IAAK,IAAI,YAAU,eACnBksD,KAAM,SAAc6e,GAClB,OAAO,IAAIiS,GAAO,CAChBjS,WAAYA,EACZnnE,QAASA,eAsDfqF,OAAQ,WACN,OAAKrB,KAAK0pE,OAIH1pE,KAAKs0E,aAAazvE,QAAQ,CAC/BwrE,QAASrwE,KAAK0pE,OAAOplB,KAAK+rB,QAC1BzC,MAAO5tE,KAAK0pE,OAAOkE,MACnBvpB,SAAUrkD,KAAK0pE,OAAOrlB,SACtBkwB,SAAUv0E,KAAK0pE,OAAO6K,SACtBC,aAAcx0E,KAAK0pE,OAAO8K,aAAap9E,KAAK4I,KAAK0pE,QACjD+K,aAAcz0E,KAAK0pE,OAAO+K,aAAar9E,KAAK4I,KAAK0pE,QACjDoM,KAAM91E,KAAK81E,OAVJ,MAaX/B,cAAe,WACb/zE,KAAK0pE,OAAOsM,iBAAiB,kB,6BCz8DjC,mYA+BIC,EAAWn/E,KAAKyjC,IAAI,EAAG,IAG3B,SAAS27C,EAAa56E,GAAS,OAJjB,MAIwBA,EAKtC,IAAI66E,EAAY,SAAmBj9D,EAAKyuC,EAASyuB,QAC9B,IAAZzuB,IAAqBA,GAAU,QACnB,IAAZyuB,IAAqBA,EAAU,MAGpCp2E,KAAKkZ,IAAMA,EAGXlZ,KAAK2nD,QAAUA,EACf3nD,KAAKo2E,QAAUA,GAQbC,EAAU,SAAiBpzB,EAAQqzB,QACnB,IAAbA,IAAsBA,GAAW,GAEtCt2E,KAAKijD,OAASA,EACdjjD,KAAKs2E,SAAWA,GAGlBD,EAAQ9+E,UAAU6+E,QAAU,SAAkB96E,GAC5C,IAAIm0C,EAAO,EAAGhjC,EAAQypE,EAAa56E,GACnC,IAAK0E,KAAKs2E,SAAY,IAAK,IAAIr+E,EAAI,EAAGA,EAAIwU,EAAOxU,IAC7Cw3C,GAAQzvC,KAAKijD,OAAW,EAAJhrD,EAAQ,GAAK+H,KAAKijD,OAAW,EAAJhrD,EAAQ,GACzD,OAAO+H,KAAKijD,OAAe,EAARx2C,GAAagjC,EAhClC,SAAuBn0C,GAAS,OAAQA,GAL1B,MAKmCA,IAAoB26E,EAgC5BM,CAAcj7E,IAIvD+6E,EAAQ9+E,UAAUmwD,UAAY,SAAoBxuC,EAAKs9D,GAEtD,YADgB,IAAVA,IAAmBA,EAAQ,GAC1Bx2E,KAAKy2E,KAAKv9D,EAAKs9D,GAAO,IAG9BH,EAAQ9+E,UAAUsY,IAAM,SAAcqJ,EAAKs9D,GAE1C,YADgB,IAAVA,IAAmBA,EAAQ,GAC1Bx2E,KAAKy2E,KAAKv9D,EAAKs9D,GAAO,IAE9BH,EAAQ9+E,UAAUk/E,KAAO,SAAev9D,EAAKs9D,EAAO32E,GAElD,IADA,IAAI4vC,EAAO,EAAGinC,EAAW12E,KAAKs2E,SAAW,EAAI,EAAGK,EAAW32E,KAAKs2E,SAAW,EAAI,EACtEr+E,EAAI,EAAGA,EAAI+H,KAAKijD,OAAO9qD,OAAQF,GAAK,EAAG,CAC9C,IAAI+0D,EAAQhtD,KAAKijD,OAAOhrD,IAAM+H,KAAKs2E,SAAW7mC,EAAO,GACrD,GAAIud,EAAQ9zC,EAAO,MACnB,IAAI09D,EAAU52E,KAAKijD,OAAOhrD,EAAIy+E,GAAWG,EAAU72E,KAAKijD,OAAOhrD,EAAI0+E,GAAW30B,EAAMgL,EAAQ4pB,EAC5F,GAAI19D,GAAO8oC,EAAK,CACd,IACInoD,EAASmzD,EAAQvd,IADTmnC,EAAkB19D,GAAO8zC,GAAS,EAAI9zC,GAAO8oC,EAAM,EAAIw0B,EAA7CA,GACc,EAAI,EAAIK,GAC5C,GAAIh3E,EAAU,OAAOhG,EACrB,IAAIu8E,EAAUl9D,IAAQs9D,EAAQ,EAAIxpB,EAAQhL,GAAO,KAAmB/pD,EAAI,GAAGihB,EAAM8zC,GAzDzBipB,EA0DxD,OAAO,IAAIE,EAAUt8E,EAAQ28E,EAAQ,EAAIt9D,GAAO8zC,EAAQ9zC,GAAO8oC,EAAKo0B,GAEtE3mC,GAAQonC,EAAUD,EAEpB,OAAO/2E,EAASqZ,EAAMu2B,EAAO,IAAI0mC,EAAUj9D,EAAMu2B,IAGnD4mC,EAAQ9+E,UAAUu/E,QAAU,SAAkB59D,EAAKk9D,GAGjD,IAFA,IAAI3mC,EAAO,EAAGhjC,EAAQypE,EAAaE,GAC/BM,EAAW12E,KAAKs2E,SAAW,EAAI,EAAGK,EAAW32E,KAAKs2E,SAAW,EAAI,EAC5Dr+E,EAAI,EAAGA,EAAI+H,KAAKijD,OAAO9qD,OAAQF,GAAK,EAAG,CAC9C,IAAI+0D,EAAQhtD,KAAKijD,OAAOhrD,IAAM+H,KAAKs2E,SAAW7mC,EAAO,GACrD,GAAIud,EAAQ9zC,EAAO,MACnB,IAAI09D,EAAU52E,KAAKijD,OAAOhrD,EAAIy+E,GAC9B,GAAIx9D,GAD2C8zC,EAAQ4pB,GACrC3+E,GAAa,EAARwU,EAAa,OAAO,EAC3CgjC,GAAQzvC,KAAKijD,OAAOhrD,EAAI0+E,GAAYC,EAEtC,OAAO,GAMTP,EAAQ9+E,UAAUO,QAAU,SAAkBoD,GAE5C,IADA,IAAIw7E,EAAW12E,KAAKs2E,SAAW,EAAI,EAAGK,EAAW32E,KAAKs2E,SAAW,EAAI,EAC5Dr+E,EAAI,EAAGw3C,EAAO,EAAGx3C,EAAI+H,KAAKijD,OAAO9qD,OAAQF,GAAK,EAAG,CACxD,IAAI+0D,EAAQhtD,KAAKijD,OAAOhrD,GAAI8+E,EAAW/pB,GAAShtD,KAAKs2E,SAAW7mC,EAAO,GAAIunC,EAAWhqB,GAAShtD,KAAKs2E,SAAW,EAAI7mC,GAC/GmnC,EAAU52E,KAAKijD,OAAOhrD,EAAIy+E,GAAWG,EAAU72E,KAAKijD,OAAOhrD,EAAI0+E,GACnEz7E,EAAE67E,EAAUA,EAAWH,EAASI,EAAUA,EAAWH,GACrDpnC,GAAQonC,EAAUD,IAOtBP,EAAQ9+E,UAAU0/E,OAAS,WACzB,OAAO,IAAIZ,EAAQr2E,KAAKijD,QAASjjD,KAAKs2E,WAGxCD,EAAQ9+E,UAAUF,SAAW,WAC3B,OAAQ2I,KAAKs2E,SAAW,IAAM,IAAMjmE,KAAKC,UAAUtQ,KAAKijD,SAO1DozB,EAAQtnC,OAAS,SAAiBl4B,GAChC,OAAY,GAALA,EAASw/D,EAAQhhD,MAAQ,IAAIghD,EAAQx/D,EAAI,EAAI,CAAC,GAAIA,EAAG,GAAK,CAAC,EAAG,EAAGA,KAG1Ew/D,EAAQhhD,MAAQ,IAAIghD,EAAQ,IAS5B,IAAIa,EAAU,SAAiB/uB,EAAMgvB,EAAQhsD,EAAM8L,GAGjDj3B,KAAKmoD,KAAOA,GAAQ,GAIpBnoD,KAAKmrB,KAAOA,GAAQ,EAGpBnrB,KAAKi3B,GAAW,MAANA,EAAaj3B,KAAKmoD,KAAKhwD,OAAS8+B,EAC1Cj3B,KAAKm3E,OAASA,GAyGhB,SAASC,EAAet4D,GACtB,IAAIswC,EAAMpiD,MAAMtV,KAAKsI,KAAM8e,GAE3B,OADAswC,EAAI5rC,UAAY4zD,EAAe7/E,UACxB63D,EAvGT8nB,EAAQ3/E,UAAU0L,MAAQ,SAAgBkoB,EAAM8L,GAI9C,YAHgB,IAAT9L,IAAkBA,EAAO,QAClB,IAAP8L,IAAgBA,EAAKj3B,KAAKmoD,KAAKhwD,QAE/B,IAAI++E,EAAQl3E,KAAKmoD,KAAMnoD,KAAKm3E,OAAQhsD,EAAM8L,IAGnDigD,EAAQ3/E,UAAU2qD,KAAO,WACvB,OAAO,IAAIg1B,EAAQl3E,KAAKmoD,KAAKllD,QAASjD,KAAKm3E,QAAUn3E,KAAKm3E,OAAOl0E,QAASjD,KAAKmrB,KAAMnrB,KAAKi3B,KAO5FigD,EAAQ3/E,UAAU8/E,UAAY,SAAoBxnE,EAAKynE,GACrDt3E,KAAKi3B,GAAKj3B,KAAKmoD,KAAK55C,KAAKsB,GACV,MAAXynE,GAAmBt3E,KAAKu3E,UAAUv3E,KAAKmoD,KAAKhwD,OAAS,EAAGm/E,IAM9DJ,EAAQ3/E,UAAUigF,cAAgB,SAAwBx2B,GACxD,IAAK,IAAI/oD,EAAI,EAAGw/E,EAAYz3E,KAAKmoD,KAAKhwD,OAAQF,EAAI+oD,EAAQmH,KAAKhwD,OAAQF,IAAK,CAC1E,IAAIy/E,EAAO12B,EAAQ22B,UAAU1/E,GAC7B+H,KAAKq3E,UAAUr2B,EAAQmH,KAAKlwD,GAAY,MAARy/E,GAAgBA,EAAOz/E,EAAIw/E,EAAYC,EAAO,QAQlFR,EAAQ3/E,UAAUogF,UAAY,SAAoB9gE,GAChD,GAAI7W,KAAKm3E,OAAU,IAAK,IAAIl/E,EAAI,EAAGA,EAAI+H,KAAKm3E,OAAOh/E,OAAQF,IACvD,GAAI+H,KAAKm3E,OAAOl/E,IAAM4e,EAAK,OAAO7W,KAAKm3E,OAAOl/E,GAAKA,EAAI,GAAK,EAAI,KAGtEi/E,EAAQ3/E,UAAUggF,UAAY,SAAoB1gE,EAAGmL,GAC9ChiB,KAAKm3E,SAAUn3E,KAAKm3E,OAAS,IAClCn3E,KAAKm3E,OAAO5oE,KAAKsI,EAAGmL,IAKtBk1D,EAAQ3/E,UAAUqgF,sBAAwB,SAAgC52B,GACxE,IAAK,IAAI/oD,EAAI+oD,EAAQmH,KAAKhwD,OAAS,EAAG0/E,EAAY73E,KAAKmoD,KAAKhwD,OAAS6oD,EAAQmH,KAAKhwD,OAAQF,GAAK,EAAGA,IAAK,CACrG,IAAIy/E,EAAO12B,EAAQ22B,UAAU1/E,GAC7B+H,KAAKq3E,UAAUr2B,EAAQmH,KAAKlwD,GAAGg/E,SAAkB,MAARS,GAAgBA,EAAOz/E,EAAI4/E,EAAYH,EAAO,EAAI,QAM/FR,EAAQ3/E,UAAU0/E,OAAS,WACzB,IAAIa,EAAU,IAAIZ,EAElB,OADAY,EAAQF,sBAAsB53E,MACvB83E,GAKTZ,EAAQ3/E,UAAUsY,IAAM,SAAcqJ,EAAKs9D,GAGzC,QAFiB,IAAVA,IAAmBA,EAAQ,GAE9Bx2E,KAAKm3E,OAAU,OAAOn3E,KAAKy2E,KAAKv9D,EAAKs9D,GAAO,GAChD,IAAK,IAAIv+E,EAAI+H,KAAKmrB,KAAMlzB,EAAI+H,KAAKi3B,GAAIh/B,IACjCihB,EAAMlZ,KAAKmoD,KAAKlwD,GAAG4X,IAAIqJ,EAAKs9D,GAChC,OAAOt9D,GAMTg+D,EAAQ3/E,UAAUmwD,UAAY,SAAoBxuC,EAAKs9D,GAEtD,YADgB,IAAVA,IAAmBA,EAAQ,GAC1Bx2E,KAAKy2E,KAAKv9D,EAAKs9D,GAAO,IAE9BU,EAAQ3/E,UAAUk/E,KAAO,SAAev9D,EAAKs9D,EAAO32E,GAGlD,IAFA,IAAI8nD,GAAU,EAEL1vD,EAAI+H,KAAKmrB,KAAMlzB,EAAI+H,KAAKi3B,GAAIh/B,IAAK,CACxC,IAAwB4B,EAAdmG,KAAKmoD,KAAKlwD,GAAiByvD,UAAUxuC,EAAKs9D,GACpD,GAAsB,MAAlB38E,EAAOu8E,QAAiB,CAC1B,IAAI2B,EAAO/3E,KAAK23E,UAAU1/E,GAC1B,GAAY,MAAR8/E,GAAgBA,EAAO9/E,GAAK8/E,EAAO/3E,KAAKi3B,GAAI,CAC9Ch/B,EAAI8/E,EACJ7+D,EAAMlZ,KAAKmoD,KAAK4vB,GAAM3B,QAAQv8E,EAAOu8E,SACrC,UAIAv8E,EAAO8tD,UAAWA,GAAU,GAChCzuC,EAAMrf,EAAOqf,IAGf,OAAOrZ,EAASqZ,EAAM,IAAIi9D,EAAUj9D,EAAKyuC,IAS3CyvB,EAAe7/E,UAAYD,OAAO4N,OAAO8H,MAAMzV,WAC/C6/E,EAAe7/E,UAAUiB,YAAc4+E,EACvCA,EAAe7/E,UAAUX,KAAO,iBAOhC,IAAI6xD,EAAY,SAAmB3J,GAIjC9+C,KAAK8+C,IAAMA,EAGX9+C,KAAK4lD,MAAQ,GAGb5lD,KAAKg4E,KAAO,GAGZh4E,KAAKghD,QAAU,IAAIk2B,GAGjB3xB,EAAqB,CAAExG,OAAQ,CAAEr6C,cAAc,GAAOguE,WAAY,CAAEhuE,cAAc,IAuCtF,SAASuzE,IAAiB,MAAM,IAAIjrE,MAAM,eApC1Cu4C,EAAmBxG,OAAOnkD,IAAM,WAAc,OAAOoF,KAAKg4E,KAAK7/E,OAAS6H,KAAKg4E,KAAK,GAAKh4E,KAAK8+C,KAK5F2J,EAAUlxD,UAAUmhB,KAAO,SAAejd,GACxC,IAAI5B,EAASmG,KAAKk4E,UAAUz8E,GAC5B,GAAI5B,EAAOs+E,OAAU,MAAM,IAAIf,EAAev9E,EAAOs+E,QACrD,OAAOn4E,MAMTyoD,EAAUlxD,UAAU2gF,UAAY,SAAoBx/D,GAClD,IAAI7e,EAAS6e,EAAK3S,MAAM/F,KAAK8+C,KAE7B,OADKjlD,EAAOs+E,QAAUn4E,KAAKspD,QAAQ5wC,EAAM7e,EAAOilD,KACzCjlD,GAMT0rD,EAAmBmtB,WAAW93E,IAAM,WAClC,OAAOoF,KAAK4lD,MAAMztD,OAAS,GAG7BswD,EAAUlxD,UAAU+xD,QAAU,SAAkB5wC,EAAMomC,GACpD9+C,KAAKg4E,KAAKzpE,KAAKvO,KAAK8+C,KACpB9+C,KAAK4lD,MAAMr3C,KAAKmK,GAChB1Y,KAAKghD,QAAQq2B,UAAU3+D,EAAK0/D,UAC5Bp4E,KAAK8+C,IAAMA,GAGbxnD,OAAOiP,iBAAkBkiD,EAAUlxD,UAAWguD,GAI9C,IAAI8yB,EAAY/gF,OAAO4N,OAAO,MAW1BozE,EAAO,aAEXA,EAAK/gF,UAAUwO,MAAQ,SAAgBwyE,GAAQ,OAAON,KAMtDK,EAAK/gF,UAAU6gF,OAAS,WAAqB,OAAO/B,EAAQhhD,OAK5DijD,EAAK/gF,UAAU0/E,OAAS,SAAiBsB,GAAQ,OAAON,KAMxDK,EAAK/gF,UAAUsY,IAAM,SAAc2oE,GAAY,OAAOP,KAMtDK,EAAK/gF,UAAUqC,MAAQ,SAAgB6+E,GAAU,OAAO,MAOxDH,EAAK/gF,UAAU82B,OAAS,WAAqB,OAAO4pD,KAKpDK,EAAK7xB,SAAW,SAAmBkD,EAAQjD,GACzC,IAAKA,IAASA,EAAKgyB,SAAY,MAAM,IAAI/xB,WAAW,mCACpD,IAAI9nD,EAAOw5E,EAAU3xB,EAAKgyB,UAC1B,IAAK75E,EAAQ,MAAM,IAAI8nD,WAAY,gBAAmBD,EAAa,SAAI,YACvE,OAAO7nD,EAAK4nD,SAASkD,EAAQjD,IAQ/B4xB,EAAKzxB,OAAS,SAAiBl+C,EAAIgwE,GACjC,GAAIhwE,KAAM0vE,EAAa,MAAM,IAAI1xB,WAAW,iCAAmCh+C,GAG/E,OAFA0vE,EAAU1vE,GAAMgwE,EAChBA,EAAUphF,UAAUsvD,OAASl+C,EACtBgwE,GAKT,IAAIC,EAAa,SAAoB95B,EAAKq5B,GAExCn4E,KAAK8+C,IAAMA,EAEX9+C,KAAKm4E,OAASA,GAKhBS,EAAWxf,GAAK,SAAata,GAAO,OAAO,IAAI85B,EAAW95B,EAAK,OAI/D85B,EAAWC,KAAO,SAAe/5D,GAAW,OAAO,IAAI85D,EAAW,KAAM95D,IAMxE85D,EAAWE,YAAc,SAAsBh6B,EAAK3zB,EAAM8L,EAAIh0B,GAC5D,IACE,OAAO21E,EAAWxf,GAAGta,EAAIvkD,QAAQ4wB,EAAM8L,EAAIh0B,IAC3C,MAAO0J,GACP,GAAIA,aAAa,eAAgB,OAAOisE,EAAWC,KAAKlsE,EAAEmS,SAC1D,MAAMnS,IAKV,IAAIosE,EAA4B,SAAUT,GACxC,SAASS,EAAY5tD,EAAM8L,EAAIh0B,EAAOs/D,GACpC+V,EAAK5gF,KAAKsI,MACVA,KAAKmrB,KAAOA,EACZnrB,KAAKi3B,GAAKA,EACVj3B,KAAKiD,MAAQA,EACbjD,KAAKuiE,YAAcA,EAwDrB,OArDK+V,IAAOS,EAAYv1D,UAAY80D,GACpCS,EAAYxhF,UAAYD,OAAO4N,OAAQozE,GAAQA,EAAK/gF,WACpDwhF,EAAYxhF,UAAUiB,YAAcugF,EAEpCA,EAAYxhF,UAAUwO,MAAQ,SAAgB+4C,GAC5C,OAAI9+C,KAAKuiE,WAAayW,EAAel6B,EAAK9+C,KAAKmrB,KAAMnrB,KAAKi3B,IAC/C2hD,EAAWC,KAAK,6CACpBD,EAAWE,YAAYh6B,EAAK9+C,KAAKmrB,KAAMnrB,KAAKi3B,GAAIj3B,KAAKiD,QAG9D81E,EAAYxhF,UAAU6gF,OAAS,WAC7B,OAAO,IAAI/B,EAAQ,CAACr2E,KAAKmrB,KAAMnrB,KAAKi3B,GAAKj3B,KAAKmrB,KAAMnrB,KAAKiD,MAAMsW,QAGjEw/D,EAAYxhF,UAAU0/E,OAAS,SAAiBn4B,GAC9C,OAAO,IAAIi6B,EAAY/4E,KAAKmrB,KAAMnrB,KAAKmrB,KAAOnrB,KAAKiD,MAAMsW,KAAMulC,EAAI77C,MAAMjD,KAAKmrB,KAAMnrB,KAAKi3B,MAG3F8hD,EAAYxhF,UAAUsY,IAAM,SAAcmxC,GACxC,IAAI71B,EAAO61B,EAAQ0G,UAAU1nD,KAAKmrB,KAAM,GAAI8L,EAAK+pB,EAAQ0G,UAAU1nD,KAAKi3B,IAAK,GAC7E,OAAI9L,EAAKw8B,SAAW1wB,EAAG0wB,QAAkB,KAClC,IAAIoxB,EAAY5tD,EAAKjS,IAAKpiB,KAAKkwB,IAAImE,EAAKjS,IAAK+d,EAAG/d,KAAMlZ,KAAKiD,QAGpE81E,EAAYxhF,UAAUqC,MAAQ,SAAgB+oB,GAC5C,KAAMA,aAAiBo2D,IAAgBp2D,EAAM4/C,WAAaviE,KAAKuiE,UAAa,OAAO,KAEnF,GAAIviE,KAAKmrB,KAAOnrB,KAAKiD,MAAMsW,MAAQoJ,EAAMwI,MAASnrB,KAAKiD,MAAMyiD,SAAY/iC,EAAM1f,MAAMysD,UAI9E,IAAI/sC,EAAMsU,IAAMj3B,KAAKmrB,MAASnrB,KAAKiD,MAAMysD,WAAc/sC,EAAM1f,MAAMyiD,QAKxE,OAAO,KAJP,IAAIuzB,EAAUj5E,KAAKiD,MAAMsW,KAAOoJ,EAAM1f,MAAMsW,MAAQ,EAAI,QAAM8b,MACxD,IAAI,QAAM1S,EAAM1f,MAAM6D,QAAQ+lD,OAAO7sD,KAAKiD,MAAM6D,SAAU6b,EAAM1f,MAAMysD,UAAW1vD,KAAKiD,MAAMyiD,SAClG,OAAO,IAAIqzB,EAAYp2D,EAAMwI,KAAMnrB,KAAKi3B,GAAIgiD,EAASj5E,KAAKuiE,WAN1D,IAAIt/D,EAAQjD,KAAKiD,MAAMsW,KAAOoJ,EAAM1f,MAAMsW,MAAQ,EAAI,QAAM8b,MACtD,IAAI,QAAMr1B,KAAKiD,MAAM6D,QAAQ+lD,OAAOlqC,EAAM1f,MAAM6D,SAAU9G,KAAKiD,MAAMysD,UAAW/sC,EAAM1f,MAAMyiD,SAClG,OAAO,IAAIqzB,EAAY/4E,KAAKmrB,KAAMnrB,KAAKi3B,IAAMtU,EAAMsU,GAAKtU,EAAMwI,MAAOloB,EAAOjD,KAAKuiE,YAUrFwW,EAAYxhF,UAAU82B,OAAS,WAC7B,IAAIq4B,EAAO,CAACgyB,SAAU,UAAWvtD,KAAMnrB,KAAKmrB,KAAM8L,GAAIj3B,KAAKi3B,IAG3D,OAFIj3B,KAAKiD,MAAMsW,OAAQmtC,EAAKzjD,MAAQjD,KAAKiD,MAAMorB,UAC3CruB,KAAKuiE,YAAa7b,EAAK6b,WAAY,GAChC7b,GAGTqyB,EAAYtyB,SAAW,SAAmBkD,EAAQjD,GAChD,GAAwB,iBAAbA,EAAKv7B,MAAsC,iBAAXu7B,EAAKzvB,GAC5C,MAAM,IAAI0vB,WAAW,0CACzB,OAAO,IAAIoyB,EAAYryB,EAAKv7B,KAAMu7B,EAAKzvB,GAAI,QAAMwvB,SAASkD,EAAQjD,EAAKzjD,SAAUyjD,EAAK6b,YAGjFwW,EA9DsB,CA+D7BT,GAEFA,EAAKzxB,OAAO,UAAWkyB,GAKvB,IAAIG,EAAkC,SAAUZ,GAC9C,SAASY,EAAkB/tD,EAAM8L,EAAIkiD,EAASC,EAAOn2E,EAAO8hD,EAAQwd,GAClE+V,EAAK5gF,KAAKsI,MACVA,KAAKmrB,KAAOA,EACZnrB,KAAKi3B,GAAKA,EACVj3B,KAAKm5E,QAAUA,EACfn5E,KAAKo5E,MAAQA,EACbp5E,KAAKiD,MAAQA,EACbjD,KAAK+kD,OAASA,EACd/kD,KAAKuiE,YAAcA,EAwDrB,OArDK+V,IAAOY,EAAkB11D,UAAY80D,GAC1CY,EAAkB3hF,UAAYD,OAAO4N,OAAQozE,GAAQA,EAAK/gF,WAC1D2hF,EAAkB3hF,UAAUiB,YAAc0gF,EAE1CA,EAAkB3hF,UAAUwO,MAAQ,SAAgB+4C,GAClD,GAAI9+C,KAAKuiE,YAAcyW,EAAel6B,EAAK9+C,KAAKmrB,KAAMnrB,KAAKm5E,UACpCH,EAAel6B,EAAK9+C,KAAKo5E,MAAOp5E,KAAKi3B,KACxD,OAAO2hD,EAAWC,KAAK,iDAE3B,IAAIQ,EAAMv6B,EAAI77C,MAAMjD,KAAKm5E,QAASn5E,KAAKo5E,OACvC,GAAIC,EAAI3pB,WAAa2pB,EAAI3zB,QACrB,OAAOkzB,EAAWC,KAAK,2BAC3B,IAAIS,EAAWt5E,KAAKiD,MAAM8tD,SAAS/wD,KAAK+kD,OAAQs0B,EAAIvyE,SACpD,OAAKwyE,EACEV,EAAWE,YAAYh6B,EAAK9+C,KAAKmrB,KAAMnrB,KAAKi3B,GAAIqiD,GAD/BV,EAAWC,KAAK,gCAI1CK,EAAkB3hF,UAAU6gF,OAAS,WACnC,OAAO,IAAI/B,EAAQ,CAACr2E,KAAKmrB,KAAMnrB,KAAKm5E,QAAUn5E,KAAKmrB,KAAMnrB,KAAK+kD,OAC1C/kD,KAAKo5E,MAAOp5E,KAAKi3B,GAAKj3B,KAAKo5E,MAAOp5E,KAAKiD,MAAMsW,KAAOvZ,KAAK+kD,UAG/Em0B,EAAkB3hF,UAAU0/E,OAAS,SAAiBn4B,GACpD,IAAIu6B,EAAMr5E,KAAKo5E,MAAQp5E,KAAKm5E,QAC5B,OAAO,IAAID,EAAkBl5E,KAAKmrB,KAAMnrB,KAAKmrB,KAAOnrB,KAAKiD,MAAMsW,KAAO8/D,EACzCr5E,KAAKmrB,KAAOnrB,KAAK+kD,OAAQ/kD,KAAKmrB,KAAOnrB,KAAK+kD,OAASs0B,EACnDv6B,EAAI77C,MAAMjD,KAAKmrB,KAAMnrB,KAAKi3B,IAAIk6B,cAAcnxD,KAAKm5E,QAAUn5E,KAAKmrB,KAAMnrB,KAAKo5E,MAAQp5E,KAAKmrB,MACxFnrB,KAAKm5E,QAAUn5E,KAAKmrB,KAAMnrB,KAAKuiE,YAG9D2W,EAAkB3hF,UAAUsY,IAAM,SAAcmxC,GAC9C,IAAI71B,EAAO61B,EAAQ0G,UAAU1nD,KAAKmrB,KAAM,GAAI8L,EAAK+pB,EAAQ0G,UAAU1nD,KAAKi3B,IAAK,GACzEkiD,EAAUn4B,EAAQnxC,IAAI7P,KAAKm5E,SAAU,GAAIC,EAAQp4B,EAAQnxC,IAAI7P,KAAKo5E,MAAO,GAC7E,OAAKjuD,EAAKw8B,SAAW1wB,EAAG0wB,SAAYwxB,EAAUhuD,EAAKjS,KAAOkgE,EAAQniD,EAAG/d,IAAc,KAC5E,IAAIggE,EAAkB/tD,EAAKjS,IAAK+d,EAAG/d,IAAKigE,EAASC,EAAOp5E,KAAKiD,MAAOjD,KAAK+kD,OAAQ/kD,KAAKuiE,YAG/F2W,EAAkB3hF,UAAU82B,OAAS,WACnC,IAAIq4B,EAAO,CAACgyB,SAAU,gBAAiBvtD,KAAMnrB,KAAKmrB,KAAM8L,GAAIj3B,KAAKi3B,GACrDkiD,QAASn5E,KAAKm5E,QAASC,MAAOp5E,KAAKo5E,MAAOr0B,OAAQ/kD,KAAK+kD,QAGnE,OAFI/kD,KAAKiD,MAAMsW,OAAQmtC,EAAKzjD,MAAQjD,KAAKiD,MAAMorB,UAC3CruB,KAAKuiE,YAAa7b,EAAK6b,WAAY,GAChC7b,GAGTwyB,EAAkBzyB,SAAW,SAAmBkD,EAAQjD,GACtD,GAAwB,iBAAbA,EAAKv7B,MAAsC,iBAAXu7B,EAAKzvB,IACrB,iBAAhByvB,EAAKyyB,SAA4C,iBAAdzyB,EAAK0yB,OAA2C,iBAAf1yB,EAAK3B,OAChF,MAAM,IAAI4B,WAAW,gDACzB,OAAO,IAAIuyB,EAAkBxyB,EAAKv7B,KAAMu7B,EAAKzvB,GAAIyvB,EAAKyyB,QAASzyB,EAAK0yB,MACvC,QAAM3yB,SAASkD,EAAQjD,EAAKzjD,OAAQyjD,EAAK3B,SAAU2B,EAAK6b,YAGhF2W,EAjE4B,CAkEnCZ,GAIF,SAASU,EAAel6B,EAAK3zB,EAAM8L,GAEjC,IADA,IAAIooB,EAAQP,EAAIziC,QAAQ8O,GAAO+lC,EAAOj6B,EAAK9L,EAAM0zB,EAAQQ,EAAMR,MACxDqS,EAAO,GAAKrS,EAAQ,GAAKQ,EAAMa,WAAWrB,IAAUQ,EAAMhB,KAAKQ,GAAOI,YAC3EJ,IACAqS,IAEF,GAAIA,EAAO,EAET,IADA,IAAIhnD,EAAOm1C,EAAMhB,KAAKQ,GAAOgP,WAAWxO,EAAMa,WAAWrB,IAClDqS,EAAO,GAAG,CACf,IAAKhnD,GAAQA,EAAKojD,OAAU,OAAO,EACnCpjD,EAAOA,EAAKqK,WACZ28C,IAGJ,OAAO,EAGT,SAASqoB,EAAOl7B,EAAM2O,EAAOhL,GAC3B,OAAiB,GAATgL,GAAc3O,EAAK4C,WAAW+L,EAAO3O,EAAKY,eAC/C+C,GAAO3D,EAAKY,YAAcZ,EAAK4C,WAAW,EAAGe,IAOlD,SAASw3B,EAAWj6B,GAGlB,IAFA,IACIz4C,EADSy4C,EAAMp9C,OACE2E,QAAQ4mD,WAAWnO,EAAMoR,WAAYpR,EAAMqR,UACvD/R,EAAQU,EAAMV,SAAUA,EAAO,CACtC,IAAIR,EAAOkB,EAAMF,MAAMhB,KAAKQ,GACxBpyC,EAAQ8yC,EAAMF,MAAM5yC,MAAMoyC,GAAQ+R,EAAWrR,EAAMD,IAAIY,WAAWrB,GACtE,GAAIA,EAAQU,EAAMV,OAASR,EAAK4C,WAAWx0C,EAAOmkD,EAAU9pD,GACxD,OAAO+3C,EACX,GAAa,GAATA,GAAcR,EAAKx/C,KAAK8/C,KAAKC,YAAc26B,EAAOl7B,EAAM5xC,EAAOmkD,GAAa,OAiDpF,SAAS/O,EAAatC,EAAOgD,EAAUC,EAAOi3B,QACxB,IAAfA,IAAwBA,EAAal6B,GAE1C,IAAIm6B,EAQN,SAA6Bn6B,EAAO1gD,GAClC,IAAIsD,EAASo9C,EAAMp9C,OACfwuD,EAAapR,EAAMoR,WACnBC,EAAWrR,EAAMqR,SACjB8oB,EAASv3E,EAAOg+C,eAAewQ,GAAY9O,aAAahjD,GAC5D,IAAK66E,EAAU,OAAO,KACtB,IAAIC,EAAQD,EAAOvhF,OAASuhF,EAAO,GAAK76E,EACxC,OAAOsD,EAAOi+C,eAAeuQ,EAAYC,EAAU+oB,GAASD,EAAS,KAfxDE,CAAoBr6B,EAAOgD,GACpC2D,EAAQwzB,GAiBd,SAA4Bn6B,EAAO1gD,GACjC,IAAIsD,EAASo9C,EAAMp9C,OACfwuD,EAAapR,EAAMoR,WACnBC,EAAWrR,EAAMqR,SACjB1K,EAAQ/jD,EAAO8lD,MAAM0I,GACrBwV,EAAStnE,EAAKy0D,aAAazR,aAAaqE,EAAMrnD,MAClD,IAAKsnE,EAAU,OAAO,KAGtB,IAFA,IACI0T,GADW1T,EAAOhuE,OAASguE,EAAOA,EAAOhuE,OAAS,GAAK0G,GACjCy0D,aACjBr7D,EAAI04D,EAAYkpB,GAAc5hF,EAAI24D,EAAU34D,IACjD4hF,EAAaA,EAAW/3B,UAAU3/C,EAAO8lD,MAAMhwD,GAAG4G,MACtD,IAAKg7E,IAAeA,EAAW93B,SAAY,OAAO,KAClD,OAAOokB,EA7Be2T,CAAmBL,EAAYl3B,GACrD,OAAK2D,EACEwzB,EAAO7pE,IAAIkqE,GAAW/2E,OAAO,CAACnE,KAAM0jD,EAAUC,MAAOA,IAAQx/C,OAAOkjD,EAAMr2C,IAAIkqE,IADhE,KAIvB,SAASA,EAAUl7E,GAAQ,MAAO,CAACA,KAAMA,EAAM2jD,MAAO,MAwFtD,SAASw3B,EAASl7B,EAAK5lC,EAAK2lC,EAAOo7B,QAClB,IAAVp7B,IAAmBA,EAAQ,GAEhC,IAAIH,EAAOI,EAAIziC,QAAQnD,GAAMm3B,EAAOqO,EAAKG,MAAQA,EAC7Cq7B,EAAaD,GAAcA,EAAWA,EAAW9hF,OAAS,IAAOumD,EAAKv8C,OAC1E,GAAIkuC,EAAO,GAAKqO,EAAKv8C,OAAOtD,KAAK8/C,KAAKC,YACjCF,EAAKv8C,OAAO8+C,WAAWvC,EAAKjyC,QAASiyC,EAAKv8C,OAAO88C,cACjDi7B,EAAUr7E,KAAKiyD,aAAapS,EAAKv8C,OAAO2E,QAAQ4mD,WAAWhP,EAAKjyC,QAASiyC,EAAKv8C,OAAO88C,aACtF,OAAO,EACX,IAAK,IAAIve,EAAIge,EAAKG,MAAQ,EAAG5mD,EAAI4mD,EAAQ,EAAGne,EAAI2P,EAAM3P,IAAKzoC,IAAK,CAC9D,IAAIomD,EAAOK,EAAKL,KAAK3d,GAAIy5C,EAAUz7B,EAAKjyC,MAAMi0B,GAC9C,GAAI2d,EAAKx/C,KAAK8/C,KAAKC,UAAa,OAAO,EACvC,IAAIw7B,EAAO/7B,EAAKv3C,QAAQ4mD,WAAWysB,EAAS97B,EAAKY,YAC7CC,EAAS+6B,GAAcA,EAAWhiF,IAAOomD,EAE7C,GADIa,GAASb,IAAQ+7B,EAAOA,EAAKzsB,aAAa,EAAGzO,EAAMrgD,KAAKqG,OAAOg6C,EAAMsD,UACpEnE,EAAK4C,WAAWk5B,EAAU,EAAG97B,EAAKY,cAAgBC,EAAMrgD,KAAKiyD,aAAaspB,GAC3E,OAAO,EAEb,IAAI3tE,EAAQiyC,EAAKwB,WAAW7P,GACxBgqC,EAAWJ,GAAcA,EAAW,GACxC,OAAOv7B,EAAKL,KAAKhO,GAAM+P,eAAe3zC,EAAOA,EAAO4tE,EAAWA,EAASx7E,KAAO6/C,EAAKL,KAAKhO,EAAO,GAAGxxC,MAwBrG,SAASy7E,EAAQx7B,EAAK5lC,GACpB,IAAIwlC,EAAOI,EAAIziC,QAAQnD,GAAMzM,EAAQiyC,EAAKjyC,QAC1C,OAAOyjD,EAASxR,EAAK4C,WAAY5C,EAAK6C,YACpC7C,EAAKv8C,OAAO8+C,WAAWx0C,EAAOA,EAAQ,GAG1C,SAASyjD,EAASh2D,EAAGC,GACnB,OAAOD,GAAKC,IAAMD,EAAEozD,QAAUpzD,EAAEy5D,UAAUx5D,GAO5C,SAASogF,EAAUz7B,EAAK5lC,EAAKzJ,QACd,IAARA,IAAiBA,GAAO,GAG7B,IADA,IAAIivC,EAAOI,EAAIziC,QAAQnD,GACdwnB,EAAIge,EAAKG,OAAQne,IAAK,CAC7B,IAAIqe,OAAS,EAAUG,OAAQ,EAAUzyC,EAAQiyC,EAAKjyC,MAAMi0B,GAY5D,GAXIA,GAAKge,EAAKG,OACZE,EAASL,EAAK4C,WACdpC,EAAQR,EAAK6C,WACJ9xC,EAAM,GACfsvC,EAASL,EAAKL,KAAK3d,EAAI,GACvBj0B,IACAyyC,EAAQR,EAAKL,KAAK3d,GAAGmtB,WAAWphD,KAEhCsyC,EAASL,EAAKL,KAAK3d,GAAGmtB,WAAWphD,EAAQ,GACzCyyC,EAAQR,EAAKL,KAAK3d,EAAI,IAEpBqe,IAAWA,EAAOP,aAAe0R,EAASnR,EAAQG,IAClDR,EAAKL,KAAK3d,GAAGugB,WAAWx0C,EAAOA,EAAQ,GAAM,OAAOyM,EACxD,GAAS,GAALwnB,EAAU,MACdxnB,EAAMzJ,EAAM,EAAIivC,EAAKK,OAAOre,GAAKge,EAAKQ,MAAMxe,IA0ChD,SAAS85C,EAAU17B,EAAK5lC,EAAKjW,GAC3B,IAAIy7C,EAAOI,EAAIziC,QAAQnD,GACvB,IAAKjW,EAAM6D,QAAQyS,KAAQ,OAAOL,EAElC,IADA,IAAIpS,EAAU7D,EAAM6D,QACX7O,EAAI,EAAGA,EAAIgL,EAAMysD,UAAWz3D,IAAO6O,EAAUA,EAAQyN,WAAWzN,QACzE,IAAK,IAAI2zE,EAAO,EAAGA,IAA4B,GAAnBx3E,EAAMysD,WAAkBzsD,EAAMsW,KAAO,EAAI,GAAIkhE,IACvE,IAAK,IAAI/5C,EAAIge,EAAKG,MAAOne,GAAK,EAAGA,IAAK,CACpC,IAAI4lB,EAAO5lB,GAAKge,EAAKG,MAAQ,EAAIH,EAAKxlC,MAAQwlC,EAAKsO,MAAMtsB,EAAI,GAAKge,EAAKsD,IAAIthB,EAAI,IAAM,GAAK,EAAI,EAC1Fg6C,EAAYh8B,EAAKjyC,MAAMi0B,IAAM4lB,EAAO,EAAI,EAAI,GAChD,GAAY,GAARm0B,EACE/7B,EAAKL,KAAK3d,GAAGugB,WAAWy5B,EAAWA,EAAW5zE,GAC9C43C,EAAKL,KAAK3d,GAAGyf,eAAeu6B,GAAW74B,aAAa/6C,EAAQyN,WAAW1V,MACzE,OAAe,GAARynD,EAAY5H,EAAKxlC,IAAMotC,EAAO,EAAI5H,EAAKK,OAAOre,EAAI,GAAKge,EAAKQ,MAAMxe,EAAI,GAGrF,OAAO,KAGT,SAASi6C,EAAY3pB,EAAU91D,EAAGiH,GAEhC,IADA,IAAIy4E,EAAS,GACJ3iF,EAAI,EAAGA,EAAI+4D,EAAS/R,WAAYhnD,IAAK,CAC5C,IAAIgwD,EAAQ+I,EAAS/I,MAAMhwD,GACvBgwD,EAAMnhD,QAAQyS,OAAQ0uC,EAAQA,EAAM/F,KAAKy4B,EAAY1yB,EAAMnhD,QAAS5L,EAAG+sD,KACvEA,EAAMlC,WAAYkC,EAAQ/sD,EAAE+sD,EAAO9lD,EAAQlK,IAC/C2iF,EAAOrsE,KAAK05C,GAEd,OAAO,WAAS4G,UAAU+rB,GAxU5BtC,EAAKzxB,OAAO,gBAAiBqyB,GA8C7BzwB,EAAUlxD,UAAU4nD,KAAO,SAASI,EAAOrjD,GASzC,IARA,IAAImjD,EAAQE,EAAMF,MACdC,EAAMC,EAAMD,IACZT,EAAQU,EAAMV,MAEdg8B,EAAWx7B,EAAMN,OAAOF,EAAQ,GAAIi8B,EAASx7B,EAAIJ,MAAML,EAAQ,GAC/DmO,EAAQ6tB,EAAU74B,EAAM84B,EAExB/7B,EAAS,WAAS1pB,MAAOq6B,EAAY,EAChChvB,EAAIme,EAAOk8B,GAAY,EAAOr6C,EAAIxkC,EAAQwkC,IAC3Cq6C,GAAa17B,EAAM5yC,MAAMi0B,GAAK,GAClCq6C,GAAY,EACZh8B,EAAS,WAAS5zB,KAAKk0B,EAAMhB,KAAK3d,GAAGwhB,KAAKnD,IAC1C2Q,KAEA1C,IAGJ,IADA,IAAI9N,EAAQ,WAAS7pB,MAAOqwB,EAAU,EAC7Bs1B,EAAMn8B,EAAOo8B,GAAc,EAAOD,EAAM9+E,EAAQ8+E,IACjDC,GAAe37B,EAAIJ,MAAM87B,EAAM,GAAK17B,EAAI0C,IAAIg5B,IAChDC,GAAc,EACd/7B,EAAQ,WAAS/zB,KAAKm0B,EAAIjB,KAAK28B,GAAK94B,KAAKhD,IACzCwG,KAEA1D,IAGJ,OAAOhiD,KAAK0Y,KAAK,IAAIwgE,EAAkBlsB,EAAOhL,EAAK64B,EAAUC,EACtB,IAAI,QAAM/7B,EAAO8N,OAAO3N,GAAQwQ,EAAWhK,GAC3C3G,EAAOxlC,KAAOm2C,GAAW,KAkDlEjH,EAAUlxD,UAAU0qD,KAAO,SAAS1C,EAAO27B,GAEzC,IADA,IAAIp0E,EAAU,WAASuuB,MACdp9B,EAAIijF,EAAS/iF,OAAS,EAAGF,GAAK,EAAGA,IACtC6O,EAAU,WAASqkB,KAAK+vD,EAASjjF,GAAG4G,KAAKqG,OAAOg2E,EAASjjF,GAAGuqD,MAAO17C,IAEvE,IAAIkmD,EAAQzN,EAAMyN,MAAOhL,EAAMzC,EAAMyC,IACrC,OAAOhiD,KAAK0Y,KAAK,IAAIwgE,EAAkBlsB,EAAOhL,EAAKgL,EAAOhL,EAAK,IAAI,QAAMl7C,EAAS,EAAG,GAAIo0E,EAAS/iF,QAAQ,KAM5GswD,EAAUlxD,UAAUmrD,aAAe,SAASv3B,EAAM8L,EAAIp4B,EAAM2jD,GAC1D,IAAI+H,EAASvqD,KAGb,QAFY,IAAPi3B,IAAgBA,EAAK9L,IAErBtsB,EAAK2/C,YAAe,MAAM,IAAImI,WAAW,oDAC9C,IAAIhB,EAAU3lD,KAAK4lD,MAAMztD,OAYzB,OAXA6H,KAAK8+C,IAAI8D,aAAaz3B,EAAM8L,GAAI,SAAUonB,EAAMnlC,GAC9C,GAAImlC,EAAKG,cAAgBH,EAAKwE,UAAUhkD,EAAM2jD,IAalD,SAAuB1D,EAAK5lC,EAAKra,GAC/B,IAAI6/C,EAAOI,EAAIziC,QAAQnD,GAAMzM,EAAQiyC,EAAKjyC,QAC1C,OAAOiyC,EAAKv8C,OAAOi+C,eAAe3zC,EAAOA,EAAQ,EAAG5N,GAfMs8E,CAAc5wB,EAAOzL,IAAKyL,EAAOvJ,QAAQ/9C,MAAM0iD,GAAS91C,IAAIqJ,GAAMra,GAAO,CAE/H0rD,EAAO5I,kBAAkB4I,EAAOvJ,QAAQ/9C,MAAM0iD,GAAS91C,IAAIqJ,EAAK,GAAIra,GACpE,IAAImiD,EAAUuJ,EAAOvJ,QAAQ/9C,MAAM0iD,GAC/By1B,EAASp6B,EAAQnxC,IAAIqJ,EAAK,GAAImiE,EAAOr6B,EAAQnxC,IAAIqJ,EAAMmlC,EAAKqD,SAAU,GAG1E,OAFA6I,EAAO7xC,KAAK,IAAIwgE,EAAkBkC,EAAQC,EAAMD,EAAS,EAAGC,EAAO,EACnC,IAAI,QAAM,WAASlwD,KAAKtsB,EAAKqG,OAAOs9C,EAAO,KAAMnE,EAAKoF,QAAS,EAAG,GAAI,GAAG,KAClG,MAGJzjD,MAWTyoD,EAAUlxD,UAAU2pD,cAAgB,SAAShoC,EAAKra,EAAM2jD,EAAOiB,GAC7D,IAAIpF,EAAOr+C,KAAK8+C,IAAImU,OAAO/5C,GAC3B,IAAKmlC,EAAQ,MAAM,IAAIsI,WAAW,6BAC7B9nD,IAAQA,EAAOw/C,EAAKx/C,MACzB,IAAIy8E,EAAUz8E,EAAKqG,OAAOs9C,EAAO,KAAMiB,GAASpF,EAAKoF,OACrD,GAAIpF,EAAKiP,OACL,OAAOttD,KAAKqgD,YAAYnnC,EAAKA,EAAMmlC,EAAKqD,SAAU45B,GAEtD,IAAKz8E,EAAKiyD,aAAazS,EAAKv3C,SACxB,MAAM,IAAI6/C,WAAW,iCAAmC9nD,EAAKjI,MAEjE,OAAOoJ,KAAK0Y,KAAK,IAAIwgE,EAAkBhgE,EAAKA,EAAMmlC,EAAKqD,SAAUxoC,EAAM,EAAGA,EAAMmlC,EAAKqD,SAAW,EACzD,IAAI,QAAM,WAASv2B,KAAKmwD,GAAU,EAAG,GAAI,GAAG,KAkCrF7yB,EAAUlxD,UAAUoI,MAAQ,SAASuZ,EAAK2lC,EAAOo7B,QAChC,IAAVp7B,IAAmBA,EAAQ,GAGhC,IADA,IAAIH,EAAO1+C,KAAK8+C,IAAIziC,QAAQnD,GAAM6lC,EAAS,WAAS1pB,MAAO6pB,EAAQ,WAAS7pB,MACnEqL,EAAIge,EAAKG,MAAOlyC,EAAI+xC,EAAKG,MAAQA,EAAO5mD,EAAI4mD,EAAQ,EAAGne,EAAI/zB,EAAG+zB,IAAKzoC,IAAK,CAC/E8mD,EAAS,WAAS5zB,KAAKuzB,EAAKL,KAAK3d,GAAGwhB,KAAKnD,IACzC,IAAIw8B,EAAYtB,GAAcA,EAAWhiF,GACzCinD,EAAQ,WAAS/zB,KAAKowD,EAAYA,EAAU18E,KAAKqG,OAAOq2E,EAAU/4B,MAAOtD,GAASR,EAAKL,KAAK3d,GAAGwhB,KAAKhD,IAEtG,OAAOl/C,KAAK0Y,KAAK,IAAIqgE,EAAY7/D,EAAKA,EAAK,IAAI,QAAM6lC,EAAO8N,OAAO3N,GAAQL,EAAOA,IAAQ,KA+C5F4J,EAAUlxD,UAAUwI,KAAO,SAASmZ,EAAK2lC,QACxB,IAAVA,IAAmBA,EAAQ,GAEhC,IAAInmC,EAAO,IAAIqgE,EAAY7/D,EAAM2lC,EAAO3lC,EAAM2lC,EAAO,QAAMxpB,OAAO,GAClE,OAAOr1B,KAAK0Y,KAAKA,IA6DnB,IAAI8iE,EAA4B,SAAUlD,GACxC,SAASkD,EAAYrwD,EAAM8L,EAAIkyB,GAC7BmvB,EAAK5gF,KAAKsI,MACVA,KAAKmrB,KAAOA,EACZnrB,KAAKi3B,GAAKA,EACVj3B,KAAKmpD,KAAOA,EAgDd,OA7CKmvB,IAAOkD,EAAYh4D,UAAY80D,GACpCkD,EAAYjkF,UAAYD,OAAO4N,OAAQozE,GAAQA,EAAK/gF,WACpDikF,EAAYjkF,UAAUiB,YAAcgjF,EAEpCA,EAAYjkF,UAAUwO,MAAQ,SAAgB+4C,GAC5C,IAAIyL,EAASvqD,KAETy7E,EAAW38B,EAAI77C,MAAMjD,KAAKmrB,KAAMnrB,KAAKi3B,IAAKooB,EAAQP,EAAIziC,QAAQrc,KAAKmrB,MACnEhpB,EAASk9C,EAAMhB,KAAKgB,EAAM2S,YAAYhyD,KAAKi3B,KAC3Ch0B,EAAQ,IAAI,QAAM03E,EAAYc,EAAS30E,SAAS,SAAUu3C,EAAMl8C,GAClE,OAAKA,EAAOtD,KAAKskD,eAAeoH,EAAOpB,KAAKtqD,MACrCw/C,EAAK8K,KAAKoB,EAAOpB,KAAKC,SAAS/K,EAAKoF,QADiBpF,IAE3Dl8C,GAASs5E,EAAS/rB,UAAW+rB,EAAS/1B,SACzC,OAAOkzB,EAAWE,YAAYh6B,EAAK9+C,KAAKmrB,KAAMnrB,KAAKi3B,GAAIh0B,IAGzDu4E,EAAYjkF,UAAU0/E,OAAS,WAC7B,OAAO,IAAIyE,EAAe17E,KAAKmrB,KAAMnrB,KAAKi3B,GAAIj3B,KAAKmpD,OAGrDqyB,EAAYjkF,UAAUsY,IAAM,SAAcmxC,GACxC,IAAI71B,EAAO61B,EAAQ0G,UAAU1nD,KAAKmrB,KAAM,GAAI8L,EAAK+pB,EAAQ0G,UAAU1nD,KAAKi3B,IAAK,GAC7E,OAAI9L,EAAKw8B,SAAW1wB,EAAG0wB,SAAWx8B,EAAKjS,KAAO+d,EAAG/d,IAAc,KACxD,IAAIsiE,EAAYrwD,EAAKjS,IAAK+d,EAAG/d,IAAKlZ,KAAKmpD,OAGhDqyB,EAAYjkF,UAAUqC,MAAQ,SAAgB+oB,GAC5C,GAAIA,aAAiB64D,GACjB74D,EAAMwmC,KAAK9B,GAAGrnD,KAAKmpD,OACnBnpD,KAAKmrB,MAAQxI,EAAMsU,IAAMj3B,KAAKi3B,IAAMtU,EAAMwI,KAC1C,OAAO,IAAIqwD,EAAY1kF,KAAKkK,IAAIhB,KAAKmrB,KAAMxI,EAAMwI,MAC5Br0B,KAAKkwB,IAAIhnB,KAAKi3B,GAAItU,EAAMsU,IAAKj3B,KAAKmpD,OAG7DqyB,EAAYjkF,UAAU82B,OAAS,WAC7B,MAAO,CAACqqD,SAAU,UAAWvvB,KAAMnpD,KAAKmpD,KAAK96B,SACrClD,KAAMnrB,KAAKmrB,KAAM8L,GAAIj3B,KAAKi3B,KAGpCukD,EAAY/0B,SAAW,SAAmBkD,EAAQjD,GAChD,GAAwB,iBAAbA,EAAKv7B,MAAsC,iBAAXu7B,EAAKzvB,GAC5C,MAAM,IAAI0vB,WAAW,0CACzB,OAAO,IAAI60B,EAAY90B,EAAKv7B,KAAMu7B,EAAKzvB,GAAI0yB,EAAOkC,aAAanF,EAAKyC,QAG/DqyB,EArDsB,CAsD7BlD,GAEFA,EAAKzxB,OAAO,UAAW20B,GAGvB,IAAIE,EAA+B,SAAUpD,GAC3C,SAASoD,EAAevwD,EAAM8L,EAAIkyB,GAChCmvB,EAAK5gF,KAAKsI,MACVA,KAAKmrB,KAAOA,EACZnrB,KAAKi3B,GAAKA,EACVj3B,KAAKmpD,KAAOA,EA8Cd,OA3CKmvB,IAAOoD,EAAel4D,UAAY80D,GACvCoD,EAAenkF,UAAYD,OAAO4N,OAAQozE,GAAQA,EAAK/gF,WACvDmkF,EAAenkF,UAAUiB,YAAckjF,EAEvCA,EAAenkF,UAAUwO,MAAQ,SAAgB+4C,GAC/C,IAAIyL,EAASvqD,KAETy7E,EAAW38B,EAAI77C,MAAMjD,KAAKmrB,KAAMnrB,KAAKi3B,IACrCh0B,EAAQ,IAAI,QAAM03E,EAAYc,EAAS30E,SAAS,SAAUu3C,GAC5D,OAAOA,EAAK8K,KAAKoB,EAAOpB,KAAKE,cAAchL,EAAKoF,WAC9Cg4B,EAAS/rB,UAAW+rB,EAAS/1B,SACjC,OAAOkzB,EAAWE,YAAYh6B,EAAK9+C,KAAKmrB,KAAMnrB,KAAKi3B,GAAIh0B,IAGzDy4E,EAAenkF,UAAU0/E,OAAS,WAChC,OAAO,IAAIuE,EAAYx7E,KAAKmrB,KAAMnrB,KAAKi3B,GAAIj3B,KAAKmpD,OAGlDuyB,EAAenkF,UAAUsY,IAAM,SAAcmxC,GAC3C,IAAI71B,EAAO61B,EAAQ0G,UAAU1nD,KAAKmrB,KAAM,GAAI8L,EAAK+pB,EAAQ0G,UAAU1nD,KAAKi3B,IAAK,GAC7E,OAAI9L,EAAKw8B,SAAW1wB,EAAG0wB,SAAWx8B,EAAKjS,KAAO+d,EAAG/d,IAAc,KACxD,IAAIwiE,EAAevwD,EAAKjS,IAAK+d,EAAG/d,IAAKlZ,KAAKmpD,OAGnDuyB,EAAenkF,UAAUqC,MAAQ,SAAgB+oB,GAC/C,GAAIA,aAAiB+4D,GACjB/4D,EAAMwmC,KAAK9B,GAAGrnD,KAAKmpD,OACnBnpD,KAAKmrB,MAAQxI,EAAMsU,IAAMj3B,KAAKi3B,IAAMtU,EAAMwI,KAC1C,OAAO,IAAIuwD,EAAe5kF,KAAKkK,IAAIhB,KAAKmrB,KAAMxI,EAAMwI,MAC5Br0B,KAAKkwB,IAAIhnB,KAAKi3B,GAAItU,EAAMsU,IAAKj3B,KAAKmpD,OAGhEuyB,EAAenkF,UAAU82B,OAAS,WAChC,MAAO,CAACqqD,SAAU,aAAcvvB,KAAMnpD,KAAKmpD,KAAK96B,SACxClD,KAAMnrB,KAAKmrB,KAAM8L,GAAIj3B,KAAKi3B,KAGpCykD,EAAej1B,SAAW,SAAmBkD,EAAQjD,GACnD,GAAwB,iBAAbA,EAAKv7B,MAAsC,iBAAXu7B,EAAKzvB,GAC5C,MAAM,IAAI0vB,WAAW,6CACzB,OAAO,IAAI+0B,EAAeh1B,EAAKv7B,KAAMu7B,EAAKzvB,GAAI0yB,EAAOkC,aAAanF,EAAKyC,QAGlEuyB,EAnDyB,CAoDhCpD,GA+JF,SAASqD,EAAct8B,EAAOC,EAAKr8C,GACjC,OAAQA,EAAMysD,YAAczsD,EAAMyiD,SAAWrG,EAAM2N,SAAW1N,EAAI0N,SAChE3N,EAAMl9C,OAAO8+C,WAAW5B,EAAM5yC,QAAS6yC,EAAI7yC,QAASxJ,EAAM6D,SA/J9DwxE,EAAKzxB,OAAO,aAAc60B,GAI1BjzB,EAAUlxD,UAAU4sD,QAAU,SAASh5B,EAAM8L,EAAIkyB,GAC/C,IAAIoB,EAASvqD,KAET+zB,EAAU,GAAI6nD,EAAQ,GAAIC,EAAW,KAAMC,EAAS,KA0BxD,OAzBA97E,KAAK8+C,IAAI8D,aAAaz3B,EAAM8L,GAAI,SAAUonB,EAAMnlC,EAAK/W,GACnD,GAAKk8C,EAAK0H,SAAV,CACA,IAAItC,EAAQpF,EAAKoF,MACjB,IAAK0F,EAAK5F,QAAQE,IAAUthD,EAAOtD,KAAKskD,eAAegG,EAAKtqD,MAAO,CAIjE,IAHA,IAAImuD,EAAQl2D,KAAKkwB,IAAI9N,EAAKiS,GAAO62B,EAAMlrD,KAAKkK,IAAIkY,EAAMmlC,EAAKqD,SAAUzqB,GACjE8kD,EAAS5yB,EAAKC,SAAS3F,GAElBxrD,EAAI,EAAGA,EAAIwrD,EAAMtrD,OAAQF,IAC3BwrD,EAAMxrD,GAAGsrD,QAAQw4B,KAChBF,GAAYA,EAAS5kD,IAAM+1B,GAAS6uB,EAAS1yB,KAAK9B,GAAG5D,EAAMxrD,IAC3D4jF,EAAS5kD,GAAK+qB,EAEdjuB,EAAQxlB,KAAKstE,EAAW,IAAIH,EAAe1uB,EAAOhL,EAAKyB,EAAMxrD,MAIjE6jF,GAAUA,EAAO7kD,IAAM+1B,EACvB8uB,EAAO7kD,GAAK+qB,EAEZ45B,EAAMrtE,KAAKutE,EAAS,IAAIN,EAAYxuB,EAAOhL,EAAKmH,SAIxDp1B,EAAQj8B,SAAQ,SAAU48B,GAAK,OAAO61B,EAAO7xC,KAAKgc,MAClDknD,EAAM9jF,SAAQ,SAAU48B,GAAK,OAAO61B,EAAO7xC,KAAKgc,MACzC10B,MAQTyoD,EAAUlxD,UAAU2sD,WAAa,SAAS/4B,EAAM8L,EAAIkyB,GAClD,IAAIoB,EAASvqD,UACC,IAATmpD,IAAkBA,EAAO,MAE9B,IAAI/gC,EAAU,GAAI1P,EAAO,EA+BzB,OA9BA1Y,KAAK8+C,IAAI8D,aAAaz3B,EAAM8L,GAAI,SAAUonB,EAAMnlC,GAC9C,GAAKmlC,EAAK0H,SAAV,CACArtC,IACA,IAAIsjE,EAAW,KACf,GAAI7yB,aAAgB,WAAU,CAC5B,IAAI9C,EAAQ8C,EAAK5F,QAAQlF,EAAKoF,OAC1B4C,IAAS21B,EAAW,CAAC31B,SAChB8C,EACLA,EAAK5F,QAAQlF,EAAKoF,SAAUu4B,EAAW,CAAC7yB,IAE5C6yB,EAAW39B,EAAKoF,MAElB,GAAIu4B,GAAYA,EAAS7jF,OAEvB,IADA,IAAI6pD,EAAMlrD,KAAKkK,IAAIkY,EAAMmlC,EAAKqD,SAAUzqB,GAC/Bh/B,EAAI,EAAGA,EAAI+jF,EAAS7jF,OAAQF,IAAK,CAExC,IADA,IAAIyP,EAAQs0E,EAAS/jF,GAAIgkF,OAAU,EAC1BrpE,EAAI,EAAGA,EAAIwV,EAAQjwB,OAAQya,IAAK,CACvC,IAAIoP,EAAIoG,EAAQxV,GACZoP,EAAEtJ,MAAQA,EAAO,GAAKhR,EAAM2/C,GAAGj/B,EAAQxV,GAAGlL,SAAUu0E,EAAUj6D,GAEhEi6D,GACFA,EAAQhlD,GAAK+qB,EACbi6B,EAAQvjE,KAAOA,GAEf0P,EAAQ7Z,KAAK,CAAC7G,MAAOA,EAAOyjB,KAAMr0B,KAAKkwB,IAAI9N,EAAKiS,GAAO8L,GAAI+qB,EAAKtpC,KAAMA,SAK9E0P,EAAQtwB,SAAQ,SAAUkqB,GAAK,OAAOuoC,EAAO7xC,KAAK,IAAIgjE,EAAe15D,EAAEmJ,KAAMnJ,EAAEiV,GAAIjV,EAAEta,WAC9E1H,MAQTyoD,EAAUlxD,UAAUoqD,kBAAoB,SAASzoC,EAAKgjE,EAAYhwE,QACjD,IAAVA,IAAmBA,EAAQgwE,EAAW5oB,cAI3C,IAFA,IAAIjV,EAAOr+C,KAAK8+C,IAAImU,OAAO/5C,GACvBijE,EAAW,GAAI9mB,EAAMn8C,EAAM,EACtBjhB,EAAI,EAAGA,EAAIomD,EAAKY,WAAYhnD,IAAK,CACxC,IAAIgwD,EAAQ5J,EAAK4J,MAAMhwD,GAAI+pD,EAAMqT,EAAMpN,EAAMvG,SACzC06B,EAAUlwE,EAAM41C,UAAUmG,EAAMppD,KAAMopD,EAAMzF,OAChD,GAAK45B,EAEE,CACLlwE,EAAQkwE,EACR,IAAK,IAAIxpE,EAAI,EAAGA,EAAIq1C,EAAMxE,MAAMtrD,OAAQya,IAAYspE,EAAW/4B,eAAe8E,EAAMxE,MAAM7wC,GAAG/T,OACzFmB,KAAK0Y,KAAK,IAAIgjE,EAAermB,EAAKrT,EAAKiG,EAAMxE,MAAM7wC,UAJvDupE,EAAS5tE,KAAK,IAAIwqE,EAAY1jB,EAAKrT,EAAK,QAAM3sB,QAMhDggC,EAAMrT,EAER,IAAK91C,EAAM61C,SAAU,CACnB,IAAIob,EAAOjxD,EAAM6pD,WAAW,WAAS1gC,OAAO,GAC5Cr1B,KAAKzF,QAAQ86D,EAAKA,EAAK,IAAI,QAAM8H,EAAM,EAAG,IAE5C,IAAK,IAAIrZ,EAAMq4B,EAAShkF,OAAS,EAAG2rD,GAAO,EAAGA,IAAS9jD,KAAK0Y,KAAKyjE,EAASr4B,IAC1E,OAAO9jD,MAuBTyoD,EAAUlxD,UAAUgD,QAAU,SAAS4wB,EAAM8L,EAAIh0B,QACnC,IAAPg0B,IAAgBA,EAAK9L,QACX,IAAVloB,IAAmBA,EAAQ,QAAMoyB,OAEtC,IAAI3c,EAnBN,SAAqBomC,EAAK3zB,EAAM8L,EAAIh0B,GAIlC,QAHY,IAAPg0B,IAAgBA,EAAK9L,QACX,IAAVloB,IAAmBA,EAAQ,QAAMoyB,OAElClK,GAAQ8L,IAAOh0B,EAAMsW,KAAQ,OAAO,KAExC,IAAI8lC,EAAQP,EAAIziC,QAAQ8O,GAAOm0B,EAAMR,EAAIziC,QAAQ4a,GAEjD,OAAI0kD,EAAct8B,EAAOC,EAAKr8C,GAAiB,IAAI81E,EAAY5tD,EAAM8L,EAAIh0B,GAClE,IAAIo5E,EAAOh9B,EAAOC,EAAKr8C,GAAOq5E,MAU1BC,CAAYv8E,KAAK8+C,IAAK3zB,EAAM8L,EAAIh0B,GAE3C,OADIyV,GAAQ1Y,KAAK0Y,KAAKA,GACf1Y,MAMTyoD,EAAUlxD,UAAU8oD,YAAc,SAASl1B,EAAM8L,EAAInwB,GACnD,OAAO9G,KAAKzF,QAAQ4wB,EAAM8L,EAAI,IAAI,QAAM,WAAS9L,KAAKrkB,GAAU,EAAG,KAKrE2hD,EAAUlxD,UAAUkqD,OAAS,SAASt2B,EAAM8L,GAC1C,OAAOj3B,KAAKzF,QAAQ4wB,EAAM8L,EAAI,QAAM5B,QAKtCozB,EAAUlxD,UAAUwtD,OAAS,SAAS7rC,EAAKpS,GACzC,OAAO9G,KAAKqgD,YAAYnnC,EAAKA,EAAKpS,IA4BpC,IAAIu1E,EAAS,SAAgBh9B,EAAOC,EAAKr8C,GACvCjD,KAAKs/C,IAAMA,EACXt/C,KAAKq/C,MAAQA,EACbr/C,KAAKw8E,SAAWv5E,EAEhBjD,KAAKy8E,SAAW,GAChB,IAAK,IAAIxkF,EAAI,EAAGA,GAAKonD,EAAMR,MAAO5mD,IAAK,CACrC,IAAIomD,EAAOgB,EAAMhB,KAAKpmD,GACtB+H,KAAKy8E,SAASluE,KAAK,CACjB1P,KAAMw/C,EAAKx/C,KACXqN,MAAOmyC,EAAK8B,eAAed,EAAMa,WAAWjoD,MAIhD+H,KAAKqvD,OAAS,WAASh6B,MACvB,IAAK,IAAIyuB,EAAMzE,EAAMR,MAAOiF,EAAM,EAAGA,IACjC9jD,KAAKqvD,OAAS,WAASlkC,KAAKk0B,EAAMhB,KAAKyF,GAAK5B,KAAKliD,KAAKqvD,UAGxDnI,EAAuB,CAAErI,MAAO,CAAEn6C,cAAc,IAqOpD,SAASg4E,EAAiB1rB,EAAUnS,EAAO89B,GACzC,OAAa,GAAT99B,EAAqBmS,EAAStD,WAAWivB,GACtC3rB,EAASrD,aAAa,EAAGqD,EAASz8C,WAAW2tC,KAAKw6B,EAAiB1rB,EAASz8C,WAAWzN,QAAS+3C,EAAQ,EAAG89B,KAGpH,SAASC,EAAc5rB,EAAUnS,EAAO/3C,GACtC,OAAa,GAAT+3C,EAAqBmS,EAASnE,OAAO/lD,GAClCkqD,EAASrD,aAAaqD,EAAS/R,WAAa,EACtB+R,EAASzS,UAAU2D,KAAK06B,EAAc5rB,EAASzS,UAAUz3C,QAAS+3C,EAAQ,EAAG/3C,KAG5G,SAAS+1E,EAAU7rB,EAAUnS,GAC3B,IAAK,IAAI5mD,EAAI,EAAGA,EAAI4mD,EAAO5mD,IAAO+4D,EAAWA,EAASz8C,WAAWzN,QACjE,OAAOkqD,EAGT,SAAS8rB,EAAez+B,EAAMqR,EAAWhK,GACvC,GAAIgK,GAAa,EAAK,OAAOrR,EAC7B,IAAIwX,EAAOxX,EAAKv3C,QAOhB,OANI4oD,EAAY,IACZmG,EAAOA,EAAKlI,aAAa,EAAGmvB,EAAejnB,EAAKthD,WAAYm7C,EAAY,EAAsB,GAAnBmG,EAAK5W,WAAkByG,EAAU,EAAI,KAChHgK,EAAY,IACdmG,EAAOxX,EAAKx/C,KAAKy0D,aAAayC,WAAWF,GAAMhJ,OAAOgJ,GAClDnQ,GAAW,IAAKmQ,EAAOA,EAAKhJ,OAAOxO,EAAKx/C,KAAKy0D,aAAaC,cAAcsC,GAAME,WAAW,WAAS1gC,OAAO,MAExGgpB,EAAK6D,KAAK2T,GAGnB,SAASknB,EAAiBz9B,EAAKT,EAAOhgD,EAAMqN,EAAOnE,GACjD,IAAIs2C,EAAOiB,EAAIjB,KAAKQ,GAAQpyC,EAAQ1E,EAAOu3C,EAAIY,WAAWrB,GAASS,EAAI7yC,MAAMoyC,GAC7E,GAAIpyC,GAAS4xC,EAAKY,aAAepgD,EAAK2iD,kBAAkBnD,EAAKx/C,MAAS,OAAO,KAC7E,IAAIy9E,EAAMpwE,EAAM6pD,WAAW1X,EAAKv3C,SAAS,EAAM2F,GAC/C,OAAO6vE,IAGT,SAAsBz9E,EAAMmyD,EAAUhE,GACpC,IAAK,IAAI/0D,EAAI+0D,EAAO/0D,EAAI+4D,EAAS/R,WAAYhnD,IACzC,IAAK4G,EAAK60D,YAAY1C,EAAS/I,MAAMhwD,GAAGwrD,OAAU,OAAO,EAC7D,OAAO,EANQu5B,CAAan+E,EAAMw/C,EAAKv3C,QAAS2F,GAAS6vE,EAAM,KAiGjE,SAASW,EAAcjsB,EAAUnS,EAAOq+B,EAASC,EAASh7E,GACxD,GAAI08C,EAAQq+B,EAAS,CACnB,IAAI/jE,EAAQ63C,EAASz8C,WACrBy8C,EAAWA,EAASrD,aAAa,EAAGx0C,EAAM+oC,KAAK+6B,EAAc9jE,EAAMrS,QAAS+3C,EAAQ,EAAGq+B,EAASC,EAAShkE,KAE3G,GAAI0lC,EAAQs+B,EAAS,CACnB,IAAIjxE,EAAQ/J,EAAOg+C,eAAe,GAC9B6M,EAAQ9gD,EAAM6pD,WAAW/E,GAAUnE,OAAOmE,GAC9CA,EAAWhE,EAAMH,OAAO3gD,EAAMqnD,cAAcvG,GAAO+I,WAAW,WAAS1gC,OAAO,IAEhF,OAAO27B,EA0CT,SAASosB,EAAc/9B,EAAOC,GAE5B,IADA,IAAIzlD,EAAS,GACJ6mC,EADmB5pC,KAAKkK,IAAIq+C,EAAMR,MAAOS,EAAIT,OAC/Bne,GAAK,EAAGA,IAAK,CAClC,IAAIssB,EAAQ3N,EAAM2N,MAAMtsB,GACxB,GAAIssB,EAAQ3N,EAAMnmC,KAAOmmC,EAAMR,MAAQne,IACnC4e,EAAI0C,IAAIthB,GAAK4e,EAAIpmC,KAAOomC,EAAIT,MAAQne,IACpC2e,EAAMhB,KAAK3d,GAAG7hC,KAAK8/C,KAAKC,WACxBU,EAAIjB,KAAK3d,GAAG7hC,KAAK8/C,KAAKC,UAAa,MACnCoO,GAAS1N,EAAI0N,MAAMtsB,IAAM7mC,EAAO0U,KAAKmyB,GAE3C,OAAO7mC,EAlaTqtD,EAAqBrI,MAAMjkD,IAAM,WAAc,OAAOoF,KAAKy8E,SAAStkF,OAAS,GAE7EkkF,EAAO9kF,UAAU+kF,IAAM,WAIrB,KAAOt8E,KAAKw8E,SAASjjE,MAAM,CACzB,IAAI+iE,EAAMt8E,KAAKq9E,eACXf,EAAOt8E,KAAKs9E,WAAWhB,GACpBt8E,KAAKu9E,YAAcv9E,KAAKw9E,WAOjC,IAAIC,EAAaz9E,KAAK09E,iBAAkBC,EAAa39E,KAAKqvD,OAAO91C,KAAOvZ,KAAK6+C,MAAQ7+C,KAAKq/C,MAAMR,MAC5FQ,EAAQr/C,KAAKq/C,MAAOC,EAAMt/C,KAAKsH,MAAMm2E,EAAa,EAAIz9E,KAAKs/C,IAAMD,EAAMP,IAAIziC,QAAQohE,IACvF,IAAKn+B,EAAO,OAAO,KAInB,IADA,IAAIx4C,EAAU9G,KAAKqvD,OAAQK,EAAYrQ,EAAMR,MAAO6G,EAAUpG,EAAIT,MAC3D6Q,GAAahK,GAAiC,GAAtB5+C,EAAQm4C,YACrCn4C,EAAUA,EAAQyN,WAAWzN,QAC7B4oD,IAAahK,IAEf,IAAIziD,EAAQ,IAAI,QAAM6D,EAAS4oD,EAAWhK,GAC1C,OAAI+3B,GAAc,EACP,IAAIvE,EAAkB75B,EAAMnmC,IAAKukE,EAAYz9E,KAAKs/C,IAAIpmC,IAAKlZ,KAAKs/C,IAAI0C,MAAO/+C,EAAO06E,GACzF16E,EAAMsW,MAAQ8lC,EAAMnmC,KAAOlZ,KAAKs/C,IAAIpmC,IAC7B,IAAI6/D,EAAY15B,EAAMnmC,IAAKomC,EAAIpmC,IAAKjW,QAD/C,GAOFo5E,EAAO9kF,UAAU8lF,aAAe,WAG9B,IAAK,IAAI5C,EAAO,EAAGA,GAAQ,EAAGA,IAC5B,IAAK,IAAImD,EAAa59E,KAAKw8E,SAAS9sB,UAAWkuB,GAAc,EAAGA,IAS9D,IARA,IAAyBz7E,OAAS,EAO9BgX,GANAykE,GACFz7E,EAAS06E,EAAU78E,KAAKw8E,SAAS11E,QAAS82E,EAAa,GAAGrpE,YACxCzN,QAEP9G,KAAKw8E,SAAS11E,SAENyN,WACZspE,EAAgB79E,KAAK6+C,MAAOg/B,GAAiB,EAAGA,IAAiB,CACxE,IAAIz+B,EAAMp/C,KAAKy8E,SAASoB,GAClBh/E,EAAOugD,EAAIvgD,KACXqN,EAAQkzC,EAAIlzC,MACZ+1C,OAAO,EAAU67B,OAAS,EAIhC,GAAY,GAARrD,IAActhE,EAAQjN,EAAM41C,UAAU3oC,EAAMta,QAAUi/E,EAAS5xE,EAAM6pD,WAAW,WAAS5qC,KAAKhS,IAAQ,IACtFta,EAAK2iD,kBAAkBr/C,EAAOtD,OAC9C,MAAO,CAAC++E,WAAYA,EAAYC,cAAeA,EAAe17E,OAAQA,EAAQ27E,OAAQA,GAGrF,GAAY,GAARrD,GAAathE,IAAU8oC,EAAO/1C,EAAM21C,aAAa1oC,EAAMta,OAC5D,MAAO,CAAC++E,WAAYA,EAAYC,cAAeA,EAAe17E,OAAQA,EAAQ8/C,KAAMA,GAGxF,GAAI9/C,GAAU+J,EAAM41C,UAAU3/C,EAAOtD,MAAS,QAMtDw9E,EAAO9kF,UAAUgmF,SAAW,WAC1B,IAAIn+B,EAAMp/C,KAAKw8E,SACT11E,EAAUs4C,EAAIt4C,QACd4oD,EAAYtQ,EAAIsQ,UAChBhK,EAAUtG,EAAIsG,QAChBQ,EAAQ22B,EAAU/1E,EAAS4oD,GAC/B,SAAKxJ,EAAMjH,YAAciH,EAAM3xC,WAAW+4C,UAC1CttD,KAAKw8E,SAAW,IAAI,QAAM11E,EAAS4oD,EAAY,EACrB54D,KAAKkwB,IAAI0+B,EAASQ,EAAM3sC,KAAOm2C,GAAa5oD,EAAQyS,KAAOmsC,EAAUgK,EAAY,EAAI,KACxG,IAGT2sB,EAAO9kF,UAAUimF,SAAW,WAC1B,IAAIp+B,EAAMp/C,KAAKw8E,SACT11E,EAAUs4C,EAAIt4C,QACd4oD,EAAYtQ,EAAIsQ,UAChBhK,EAAUtG,EAAIsG,QAChBQ,EAAQ22B,EAAU/1E,EAAS4oD,GAC/B,GAAIxJ,EAAMjH,YAAc,GAAKyQ,EAAY,EAAG,CAC1C,IAAIquB,EAAYj3E,EAAQyS,KAAOm2C,GAAaA,EAAYxJ,EAAM3sC,KAC9DvZ,KAAKw8E,SAAW,IAAI,QAAME,EAAiB51E,EAAS4oD,EAAY,EAAG,GAAIA,EAAY,EACzDquB,EAAYruB,EAAY,EAAIhK,QAEtD1lD,KAAKw8E,SAAW,IAAI,QAAME,EAAiB51E,EAAS4oD,EAAW,GAAIA,EAAWhK,IAQlF22B,EAAO9kF,UAAU+lF,WAAa,SAAqBl+B,GAOjD,IANE,IAAIw+B,EAAax+B,EAAIw+B,WACjBC,EAAgBz+B,EAAIy+B,cACpB17E,EAASi9C,EAAIj9C,OACb27E,EAAS1+B,EAAI0+B,OACb77B,EAAO7C,EAAI6C,KAEVjiD,KAAK6+C,MAAQg/B,GAAiB79E,KAAKg+E,oBAC1C,GAAI/7B,EAAQ,IAAK,IAAIhqD,EAAI,EAAGA,EAAIgqD,EAAK9pD,OAAQF,IAAO+H,KAAKi+E,iBAAiBh8B,EAAKhqD,IAE/E,IAAIgL,EAAQjD,KAAKw8E,SAAUxrB,EAAW7uD,EAASA,EAAO2E,QAAU7D,EAAM6D,QAClE4oD,EAAYzsD,EAAMysD,UAAYkuB,EAC9BM,EAAQ,EAAG57E,EAAM,GACjBshD,EAAQ5jD,KAAKy8E,SAASoB,GACpB3xE,EAAQ03C,EAAM13C,MACdrN,EAAO+kD,EAAM/kD,KACnB,GAAIi/E,EAAQ,CACV,IAAK,IAAIh6B,EAAM,EAAGA,EAAMg6B,EAAO7+B,WAAY6E,IAASxhD,EAAIiM,KAAKuvE,EAAO71B,MAAMnE,IAC1E53C,EAAQA,EAAMqnD,cAAcuqB,GAQ9B,IAHA,IAAIK,EAAgBntB,EAASz3C,KAAOqkE,GAAe36E,EAAM6D,QAAQyS,KAAOtW,EAAMyiD,SAGvEw4B,EAAQltB,EAAS/R,YAAY,CAClC,IAAI/0C,EAAO8mD,EAAS/I,MAAMi2B,GAAQ5uC,EAAUpjC,EAAM41C,UAAU53C,EAAKrL,MACjE,IAAKywC,EAAW,SAChB4uC,EACY,GAAkB,GAAbxuB,GAAkBxlD,EAAKpD,QAAQyS,QAC9CrN,EAAQojC,EACRhtC,EAAIiM,KAAKuuE,EAAe5yE,EAAKi/C,KAAKtqD,EAAKy5D,aAAapuD,EAAKu5C,QAAkB,GAATy6B,EAAaxuB,EAAY,EACnEwuB,GAASltB,EAAS/R,WAAak/B,GAAgB,KAG3E,IAAInoB,EAAQkoB,GAASltB,EAAS/R,WACzB+W,IAASmoB,GAAgB,GAE9Bn+E,KAAKqvD,OAASutB,EAAc58E,KAAKqvD,OAAQwuB,EAAe,WAAS1yD,KAAK7oB,IACtEtC,KAAKy8E,SAASoB,GAAe3xE,MAAQA,EAIjC8pD,GAASmoB,EAAe,GAAKh8E,GAAUA,EAAOtD,MAAQmB,KAAKy8E,SAASz8E,KAAK6+C,OAAOhgD,MAAQmB,KAAKy8E,SAAStkF,OAAS,GAC/G6H,KAAKg+E,oBAGT,IAAK,IAAIzoB,EAAM,EAAGF,EAAMrE,EAAUuE,EAAM4oB,EAAc5oB,IAAO,CAC3D,IAAIlX,EAAOgX,EAAI9W,UACfv+C,KAAKy8E,SAASluE,KAAK,CAAC1P,KAAMw/C,EAAKx/C,KAAMqN,MAAOmyC,EAAK8B,eAAe9B,EAAKY,cACrEoW,EAAMhX,EAAKv3C,QAMb9G,KAAKw8E,SAAYxmB,EACC,GAAd4nB,EAAkB,QAAMvoD,MACxB,IAAI,QAAMqnD,EAAiBz5E,EAAM6D,QAAS82E,EAAa,EAAG,GAChDA,EAAa,EAAGO,EAAe,EAAIl7E,EAAMyiD,QAAUk4B,EAAa,GAHrD,IAAI,QAAMlB,EAAiBz5E,EAAM6D,QAAS82E,EAAYM,GAAQj7E,EAAMysD,UAAWzsD,EAAMyiD,UAMhH22B,EAAO9kF,UAAUmmF,eAAiB,WAChC,IAAK19E,KAAKs/C,IAAIn9C,OAAOq8C,aAAex+C,KAAKs/C,IAAI0C,OAAShiD,KAAKs/C,IAAIpmC,IAAO,OAAQ,EAC9E,IAAqCqoD,EAAjCvD,EAAMh+D,KAAKy8E,SAASz8E,KAAK6+C,OAC7B,IAAKmf,EAAIn/D,KAAK2/C,cAAgBu+B,EAAiB/8E,KAAKs/C,IAAKt/C,KAAKs/C,IAAIT,MAAOmf,EAAIn/D,KAAMm/D,EAAI9xD,OAAO,IACzFlM,KAAKs/C,IAAIT,OAAS7+C,KAAK6+C,QAAU0iB,EAAQvhE,KAAKo+E,eAAep+E,KAAKs/C,OAASiiB,EAAM1iB,OAAS7+C,KAAK6+C,MAAU,OAAQ,EAKtH,IAHA,IACMA,EADI7+C,KAAKs/C,IACGT,MACZK,EAAQl/C,KAAKs/C,IAAIJ,MAAML,GACtBA,EAAQ,GAAKK,GAASl/C,KAAKs/C,IAAI0C,MAAMnD,MAAYK,EACxD,OAAOA,GAGTm9B,EAAO9kF,UAAU6mF,eAAiB,SAAyB9+B,GACzDkX,EAAM,IAAK,IAAIv+D,EAAInB,KAAKkK,IAAIhB,KAAK6+C,MAAOS,EAAIT,OAAQ5mD,GAAK,EAAGA,IAAK,CAC/D,IAAImnD,EAAMp/C,KAAKy8E,SAASxkF,GAClBiU,EAAQkzC,EAAIlzC,MACZrN,EAAOugD,EAAIvgD,KACbw/E,EAAYpmF,EAAIqnD,EAAIT,OAASS,EAAI0C,IAAI/pD,EAAI,IAAMqnD,EAAIpmC,KAAOomC,EAAIT,OAAS5mD,EAAI,IAC3EqkF,EAAMS,EAAiBz9B,EAAKrnD,EAAG4G,EAAMqN,EAAOmyE,GAChD,GAAK/B,EAAL,CACA,IAAK,IAAI57C,EAAIzoC,EAAI,EAAGyoC,GAAK,EAAGA,IAAK,CAC/B,IAAIkjB,EAAQ5jD,KAAKy8E,SAAS/7C,GACpB49C,EAAU16B,EAAM13C,MAElBojC,EAAUytC,EAAiBz9B,EAAK5e,EADrBkjB,EAAM/kD,KAC0By/E,GAAS,GACxD,IAAKhvC,GAAWA,EAAQ2P,WAAc,SAASuX,EAEjD,MAAO,CAAC3X,MAAO5mD,EAAGqkF,IAAKA,EAAKiC,KAAMF,EAAY/+B,EAAIR,IAAIziC,QAAQijC,EAAIJ,MAAMjnD,EAAI,IAAMqnD,MAItF+8B,EAAO9kF,UAAU+P,MAAQ,SAAgBg4C,GACvC,IAAIh4C,EAAQtH,KAAKo+E,eAAe9+B,GAChC,IAAKh4C,EAAS,OAAO,KAErB,KAAOtH,KAAK6+C,MAAQv3C,EAAMu3C,OAAS7+C,KAAKg+E,oBACpC12E,EAAMg1E,IAAIr9B,aAAcj/C,KAAKqvD,OAASutB,EAAc58E,KAAKqvD,OAAQ/nD,EAAMu3C,MAAOv3C,EAAMg1E,MACxFh9B,EAAMh4C,EAAMi3E,KACZ,IAAK,IAAI79C,EAAIp5B,EAAMu3C,MAAQ,EAAGne,GAAK4e,EAAIT,MAAOne,IAAK,CACjD,IAAI2d,EAAOiB,EAAIjB,KAAK3d,GAAIp+B,EAAM+7C,EAAKx/C,KAAKy0D,aAAayC,WAAW1X,EAAKv3C,SAAS,EAAMw4C,EAAI7yC,MAAMi0B,IAC9F1gC,KAAKi+E,iBAAiB5/B,EAAKx/C,KAAMw/C,EAAKmE,MAAOlgD,GAE/C,OAAOg9C,GAGT+8B,EAAO9kF,UAAU0mF,iBAAmB,SAA2Bp/E,EAAM2jD,EAAO17C,GAC1E,IAAIk3D,EAAMh+D,KAAKy8E,SAASz8E,KAAK6+C,OAC7Bmf,EAAI9xD,MAAQ8xD,EAAI9xD,MAAM41C,UAAUjjD,GAChCmB,KAAKqvD,OAASutB,EAAc58E,KAAKqvD,OAAQrvD,KAAK6+C,MAAO,WAAS1zB,KAAKtsB,EAAKqG,OAAOs9C,EAAO17C,KACtF9G,KAAKy8E,SAASluE,KAAK,CAAC1P,KAAMA,EAAMqN,MAAOrN,EAAKy0D,gBAG9C+oB,EAAO9kF,UAAUymF,kBAAoB,WACnC,IACI17E,EADOtC,KAAKy8E,SAAS/lB,MACVxqD,MAAM6pD,WAAW,WAAS1gC,OAAO,GAC5C/yB,EAAI28C,aAAcj/C,KAAKqvD,OAASutB,EAAc58E,KAAKqvD,OAAQrvD,KAAKy8E,SAAStkF,OAAQmK,KAGvFhL,OAAOiP,iBAAkB81E,EAAO9kF,UAAW2vD,GA2D3CuB,EAAUlxD,UAAUsuD,aAAe,SAAS16B,EAAM8L,EAAIh0B,GACpD,IAAKA,EAAMsW,KAAQ,OAAOvZ,KAAK0kD,YAAYv5B,EAAM8L,GAEjD,IAAIooB,EAAQr/C,KAAK8+C,IAAIziC,QAAQ8O,GAAOm0B,EAAMt/C,KAAK8+C,IAAIziC,QAAQ4a,GAC3D,GAAI0kD,EAAct8B,EAAOC,EAAKr8C,GAC1B,OAAOjD,KAAK0Y,KAAK,IAAIqgE,EAAY5tD,EAAM8L,EAAIh0B,IAE/C,IAAIu7E,EAAepB,EAAc/9B,EAAOr/C,KAAK8+C,IAAIziC,QAAQ4a,IAEZ,GAAzCunD,EAAaA,EAAarmF,OAAS,IAAWqmF,EAAa9nB,MAG/D,IAAI+nB,IAAoBp/B,EAAMR,MAAQ,GACtC2/B,EAAajxD,QAAQkxD,GAKrB,IAAK,IAAI/9C,EAAI2e,EAAMR,MAAO3lC,EAAMmmC,EAAMnmC,IAAM,EAAGwnB,EAAI,EAAGA,IAAKxnB,IAAO,CAChE,IAAIylC,EAAOU,EAAMhB,KAAK3d,GAAG7hC,KAAK8/C,KAC9B,GAAIA,EAAK+/B,UAAY//B,EAAKC,UAAa,MACnC4/B,EAAa5/E,QAAQ8hC,IAAM,EAAK+9C,EAAkB/9C,EAC7C2e,EAAMN,OAAOre,IAAMxnB,GAAOslE,EAAaxyB,OAAO,EAAG,GAAItrB,GAOhE,IAHA,IAAIi+C,EAAuBH,EAAa5/E,QAAQ6/E,GAE5CG,EAAY,GAAIC,EAAiB57E,EAAMysD,UAClC5oD,EAAU7D,EAAM6D,QAAS7O,EAAI,GAAIA,IAAK,CAC7C,IAAIomD,EAAOv3C,EAAQyN,WAEnB,GADAqqE,EAAUrwE,KAAK8vC,GACXpmD,GAAKgL,EAAMysD,UAAa,MAC5B5oD,EAAUu3C,EAAKv3C,QAIb+3E,EAAiB,GAAKD,EAAUC,EAAiB,GAAGhgF,KAAK8/C,KAAK+/B,UAC9Dr/B,EAAMhB,KAAKsgC,GAAsB9/E,MAAQ+/E,EAAUC,EAAiB,GAAGhgF,KACvEggF,GAAkB,EACbA,GAAkB,GAAKD,EAAUC,EAAiB,GAAGrgC,aAAeogC,EAAUC,EAAiB,GAAGhgF,KAAK8/C,KAAK+/B,UAC5Gr/B,EAAMhB,KAAKsgC,GAAsB9/E,MAAQ+/E,EAAUC,EAAiB,GAAGhgF,OAC5EggF,GAAkB,GAEtB,IAAK,IAAIjsE,EAAI3P,EAAMysD,UAAW98C,GAAK,EAAGA,IAAK,CACzC,IAAIksE,GAAalsE,EAAIisE,EAAiB,IAAM57E,EAAMysD,UAAY,GAC1D3K,EAAS65B,EAAUE,GACvB,GAAK/5B,EACL,IAAK,IAAIjB,EAAM,EAAGA,EAAM06B,EAAarmF,OAAQ2rD,IAAO,CAGlD,IAAIi7B,EAAcP,GAAc16B,EAAM66B,GAAwBH,EAAarmF,QAAS6mF,GAAS,EACzFD,EAAc,IAAKC,GAAS,EAAOD,GAAeA,GACtD,IAAI58E,EAASk9C,EAAMhB,KAAK0gC,EAAc,GAAItyE,EAAQ4yC,EAAM5yC,MAAMsyE,EAAc,GAC5E,GAAI58E,EAAOi+C,eAAe3zC,EAAOA,EAAOs4C,EAAOlmD,KAAMkmD,EAAOtB,OACxD,OAAOzjD,KAAKzF,QAAQ8kD,EAAMN,OAAOggC,GAAcC,EAAS1/B,EAAIJ,MAAM6/B,GAAe9nD,EAC/D,IAAI,QAAMgmD,EAAch6E,EAAM6D,QAAS,EAAG7D,EAAMysD,UAAWovB,GACjDA,EAAW77E,EAAMyiD,WAKrD,IADA,IAAIu5B,EAAaj/E,KAAK4lD,MAAMztD,OACnBo9D,EAAMipB,EAAarmF,OAAS,EAAGo9D,GAAO,IAC7Cv1D,KAAKzF,QAAQ4wB,EAAM8L,EAAIh0B,KACnBjD,KAAK4lD,MAAMztD,OAAS8mF,IAFwB1pB,IAAO,CAGvD,IAAI1W,EAAQ2/B,EAAajpB,GACrBA,EAAM,IACVpqC,EAAOk0B,EAAMN,OAAOF,GAAQ5nB,EAAKqoB,EAAIJ,MAAML,IAE7C,OAAO7+C,MAwBTyoD,EAAUlxD,UAAUyuD,iBAAmB,SAAS76B,EAAM8L,EAAIonB,GACxD,IAAKA,EAAK0H,UAAY56B,GAAQ8L,GAAMj3B,KAAK8+C,IAAIziC,QAAQ8O,GAAMhpB,OAAO2E,QAAQyS,KAAM,CAC9E,IAAIqN,EA5uBR,SAAqBk4B,EAAK5lC,EAAKqpC,GAC7B,IAAI7D,EAAOI,EAAIziC,QAAQnD,GACvB,GAAIwlC,EAAKv8C,OAAOi+C,eAAe1B,EAAKjyC,QAASiyC,EAAKjyC,QAAS81C,GAAa,OAAOrpC,EAE/E,GAAyB,GAArBwlC,EAAKiC,aACL,IAAK,IAAIjgB,EAAIge,EAAKG,MAAQ,EAAGne,GAAK,EAAGA,IAAK,CAC1C,IAAIj0B,EAAQiyC,EAAKjyC,MAAMi0B,GACvB,GAAIge,EAAKL,KAAK3d,GAAG0f,eAAe3zC,EAAOA,EAAO81C,GAAa,OAAO7D,EAAKK,OAAOre,EAAI,GAClF,GAAIj0B,EAAQ,EAAK,OAAO,KAE5B,GAAIiyC,EAAKiC,cAAgBjC,EAAKv8C,OAAO2E,QAAQyS,KACzC,IAAK,IAAIyhE,EAAMt8B,EAAKG,MAAQ,EAAGm8B,GAAO,EAAGA,IAAO,CAChD,IAAIb,EAAUz7B,EAAKwB,WAAW86B,GAC9B,GAAIt8B,EAAKL,KAAK28B,GAAK56B,eAAe+5B,EAASA,EAAS53B,GAAa,OAAO7D,EAAKQ,MAAM87B,EAAM,GACzF,GAAIb,EAAUz7B,EAAKL,KAAK28B,GAAK/7B,WAAc,OAAO,MA8tBxCigC,CAAYl/E,KAAK8+C,IAAK3zB,EAAMkzB,EAAKx/C,MAChC,MAAT+nB,IAAiBuE,EAAO8L,EAAKrQ,GAEnC,OAAO5mB,KAAK6lD,aAAa16B,EAAM8L,EAAI,IAAI,QAAM,WAAS9L,KAAKkzB,GAAO,EAAG,KAMvEoK,EAAUlxD,UAAUmtD,YAAc,SAASv5B,EAAM8L,GAG/C,IAFA,IAAIooB,EAAQr/C,KAAK8+C,IAAIziC,QAAQ8O,GAAOm0B,EAAMt/C,KAAK8+C,IAAIziC,QAAQ4a,GACvDkoD,EAAU/B,EAAc/9B,EAAOC,GAC1BrnD,EAAI,EAAGA,EAAIknF,EAAQhnF,OAAQF,IAAK,CACvC,IAAI4mD,EAAQsgC,EAAQlnF,GAAIy7B,EAAOz7B,GAAKknF,EAAQhnF,OAAS,EACrD,GAAKu7B,GAAiB,GAATmrB,GAAeQ,EAAMhB,KAAKQ,GAAOhgD,KAAKy0D,aAAavR,SAC5D,OAAO/hD,KAAKyhD,OAAOpC,EAAM2N,MAAMnO,GAAQS,EAAI0C,IAAInD,IACnD,GAAIA,EAAQ,IAAMnrB,GAAQ2rB,EAAMhB,KAAKQ,EAAQ,GAAGoC,WAAW5B,EAAM5yC,MAAMoyC,EAAQ,GAAIS,EAAIY,WAAWrB,EAAQ,KACtG,OAAO7+C,KAAKyhD,OAAOpC,EAAMN,OAAOF,GAAQS,EAAIJ,MAAML,IAExD,IAAK,IAAIne,EAAI,EAAGA,GAAK2e,EAAMR,OAASne,GAAK4e,EAAIT,MAAOne,IAClD,GAAIvV,EAAOk0B,EAAM2N,MAAMtsB,IAAM2e,EAAMR,MAAQne,GAAKzJ,EAAKooB,EAAM2C,IAAIthB,IAAM4e,EAAI0C,IAAIthB,GAAKzJ,GAAMqoB,EAAIT,MAAQne,EAChG,OAAO1gC,KAAKyhD,OAAOpC,EAAMN,OAAOre,GAAIzJ,GAE1C,OAAOj3B,KAAKyhD,OAAOt2B,EAAM8L,K,6BC1lD3B,gMAOImoD,EAAY,SAAmBlzE,EAAOhM,GAK1C,IAAuBsV,EAJrBxV,KAAKkM,MAAQA,EACblM,KAAKE,QAA4B,iBAAXA,GAGDsV,EAHqCtV,EAInD,SAAS8D,EAAOkI,EAAO8gD,EAAOhL,GACnC,IAAI+C,EAASvvC,EACb,GAAItJ,EAAM,GAAI,CACZ,IAAI6iC,EAAS7iC,EAAM,GAAGs1D,YAAYt1D,EAAM,IACxC64C,GAAU74C,EAAM,GAAGjJ,MAAM8rC,EAAS7iC,EAAM,GAAG/T,QAE3C,IAAIknF,GADJryB,GAASje,GACYiT,EACjBq9B,EAAS,IACXt6B,EAAS74C,EAAM,GAAGjJ,MAAM8rC,EAASswC,EAAQtwC,GAAUgW,EACnDiI,EAAQhL,GAGZ,OAAOh+C,EAAMk6C,GAAG4G,WAAWC,EAAQiI,EAAOhL,KAhByB9hD,GA0BvE,SAASitE,EAAW/tB,GAClB,IAAIoa,EAAQpa,EAAIoa,MAEZ9O,EAAS,IAAI,SAAO,CACtB1mD,MAAO,CACLiuB,KAAM,WAAkB,OAAO,MAC/BlsB,MAAO,SAAem4C,EAAIlqB,GACxB,IAAIsrD,EAASphC,EAAG2L,QAAQ7pD,MACxB,OAAIs/E,IACGphC,EAAG2K,cAAgB3K,EAAGw0B,WAAa,KAAO1+C,KAIrD9C,MAAO,CACLquD,gBAAiB,SAAyBj7B,EAAMn5B,EAAM8L,EAAI74B,GACxD,OAAO0P,EAAIw2C,EAAMn5B,EAAM8L,EAAI74B,EAAMo7D,EAAO9O,IAE1C+mB,gBAAiB,CACf+N,eAAgB,SAAUl7B,GACxBl3C,YAAW,WACT,IACI41C,EADMsB,EAAKtgD,MAAMi6C,UACH+E,QACdA,GAAWl1C,EAAIw2C,EAAMtB,EAAQ9pC,IAAK8pC,EAAQ9pC,IAAK,GAAIsgD,EAAO9O,SAMtE+0B,cAAc,IAEhB,OAAO/0B,EAGT,SAAS58C,EAAIw2C,EAAMn5B,EAAM8L,EAAI74B,EAAMo7D,EAAO9O,GACxC,GAAIpG,EAAKmxB,UAAa,OAAO,EAC7B,IAAIzxE,EAAQsgD,EAAKtgD,MAAOq7C,EAAQr7C,EAAM86C,IAAIziC,QAAQ8O,GAClD,GAAIk0B,EAAMl9C,OAAOtD,KAAK8/C,KAAK5/B,KAAQ,OAAO,EAG1C,IAFA,IAAI2gE,EAAargC,EAAMl9C,OAAO+qD,YAAYp2D,KAAKkwB,IAAI,EAAGq4B,EAAMsB,aA3C9C,KA2CyEtB,EAAMsB,aACnD,KAAM,KAAYviD,EACnDnG,EAAI,EAAGA,EAAIuhE,EAAMrhE,OAAQF,IAAK,CACrC,IAAIiU,EAAQstD,EAAMvhE,GAAGiU,MAAMlW,KAAK0pF,GAC5BxhC,EAAKhyC,GAASstD,EAAMvhE,GAAGiI,QAAQ8D,EAAOkI,EAAOif,GAAQjf,EAAM,GAAG/T,OAASiG,EAAKjG,QAAS8+B,GACzF,GAAKinB,EAEL,OADAoG,EAAKtG,SAASE,EAAG0L,QAAQc,EAAQ,CAACi1B,UAAWzhC,EAAI/yB,KAAMA,EAAM8L,GAAIA,EAAI74B,KAAMA,MACpE,EAET,OAAO,EAMT,SAASwhF,EAAc57E,EAAOg6C,GAE5B,IADA,IAAIsM,EAAUtmD,EAAMsmD,QACXryD,EAAI,EAAGA,EAAIqyD,EAAQnyD,OAAQF,IAAK,CACvC,IAAIyyD,EAASJ,EAAQryD,GAAI4nF,OAAW,EACpC,GAAIn1B,EAAO/L,KAAK8gC,eAAiBI,EAAWn1B,EAAOyB,SAASnoD,IAAS,CACnE,GAAIg6C,EAAU,CAEZ,IADA,IAAIE,EAAKl6C,EAAMk6C,GAAI4hC,EAASD,EAASF,UAC5B/sE,EAAIktE,EAAOl6B,MAAMztD,OAAS,EAAGya,GAAK,EAAGA,IAC1CsrC,EAAGxlC,KAAKonE,EAAOl6B,MAAMhzC,GAAGqkE,OAAO6I,EAAO9H,KAAKplE,KAC/C,IAAI6wC,EAAQvF,EAAGY,IAAIziC,QAAQwjE,EAAS10D,MAAMs4B,QAC1CzF,EAASE,EAAGmC,YAAYw/B,EAAS10D,KAAM00D,EAAS5oD,GAAIjzB,EAAM2lD,OAAOvrD,KAAKyhF,EAASzhF,KAAMqlD,KAEvF,OAAO,GAGX,OAAO,EAII,IAAI27B,EAAU,MAAO,KAEnB,IAAIA,EAAU,UAAW,KAElB,IAAIA,EAAU,uCAAwC,KAErD,IAAIA,EAAU,KAAM,KAErB,IAAIA,EAAU,uCAAwC,KAErD,IAAIA,EAAU,KAAM,KAqB3C,SAASW,EAAkBn4D,EAAQ26B,EAAU6X,EAAU4lB,GACrD,OAAO,IAAIZ,EAAUx3D,GAAQ,SAAU5jB,EAAOkI,EAAO8gD,EAAOhL,GAC1D,IAAIQ,EAAQ4X,aAAoBjjE,SAAWijE,EAASluD,GAASkuD,EACzDlc,EAAKl6C,EAAMk6C,GAAGuD,OAAOuL,EAAOhL,GACIzC,EAAvBrB,EAAGY,IAAIziC,QAAQ2wC,GAAuBxN,aAAciD,EAAWlD,GAAS,YAAaA,EAAOgD,EAAUC,GACnH,IAAKC,EAAY,OAAO,KACxBvE,EAAG+D,KAAK1C,EAAOkD,GACf,IAAI1D,EAASb,EAAGY,IAAIziC,QAAQ2wC,EAAQ,GAAG1L,WAIvC,OAHIvC,GAAUA,EAAOlgD,MAAQ0jD,GAAY,YAAQrE,EAAGY,IAAKkO,EAAQ,MAC3DgzB,GAAiBA,EAAc9zE,EAAO6yC,KACxCb,EAAGn+C,KAAKitD,EAAQ,GACb9O,KAWX,SAAS+hC,EAAuBr4D,EAAQ26B,EAAU6X,GAChD,OAAO,IAAIglB,EAAUx3D,GAAQ,SAAU5jB,EAAOkI,EAAO8gD,EAAOhL,GAC1D,IAAIiO,EAASjsD,EAAM86C,IAAIziC,QAAQ2wC,GAC3BxK,EAAQ4X,aAAoBjjE,SAAWijE,EAASluD,GAASkuD,EAC7D,OAAKnK,EAAO5R,MAAM,GAAG+B,eAAe6P,EAAOxjD,OAAO,GAAIwjD,EAAO/P,YAAY,GAAIqC,GACtEv+C,EAAMk6C,GACVuD,OAAOuL,EAAOhL,GACdU,aAAasK,EAAOA,EAAOzK,EAAUC,GAHyD,U,gBCnKgMvrD,OAApOnB,EAAOC,QAAuP,SAASgqB,GAAG,IAAIpT,EAAE,GAAG,SAASrO,EAAEmgC,GAAG,GAAG9xB,EAAE8xB,GAAG,OAAO9xB,EAAE8xB,GAAG1oC,QAAQ,IAAI8gB,EAAElK,EAAE8xB,GAAG,CAACxmC,EAAEwmC,EAAEvmC,GAAE,EAAGnC,QAAQ,IAAI,OAAOgqB,EAAE0e,GAAG/mC,KAAKmf,EAAE9gB,QAAQ8gB,EAAEA,EAAE9gB,QAAQuI,GAAGuY,EAAE3e,GAAE,EAAG2e,EAAE9gB,QAAQ,OAAOuI,EAAE0jB,EAAEjC,EAAEzhB,EAAEwH,EAAE6G,EAAErO,EAAEoiC,EAAE,SAAS3gB,EAAEpT,EAAE8xB,GAAGngC,EAAEmgC,EAAE1e,EAAEpT,IAAIrV,OAAOqD,eAAeolB,EAAEpT,EAAE,CAAC7M,YAAW,EAAGlF,IAAI6jC,KAAKngC,EAAEA,EAAE,SAASyhB,GAAG,oBAAoBtpB,QAAQA,OAAOypF,aAAa5oF,OAAOqD,eAAeolB,EAAEtpB,OAAOypF,YAAY,CAAC5kF,MAAM,WAAWhE,OAAOqD,eAAeolB,EAAE,aAAa,CAACzkB,OAAM,KAAMgD,EAAEyhB,EAAE,SAASA,EAAEpT,GAAG,GAAG,EAAEA,IAAIoT,EAAEzhB,EAAEyhB,IAAI,EAAEpT,EAAE,OAAOoT,EAAE,GAAG,EAAEpT,GAAG,iBAAiBoT,GAAGA,GAAGA,EAAEhb,WAAW,OAAOgb,EAAE,IAAI0e,EAAEnnC,OAAO4N,OAAO,MAAM,GAAG5G,EAAEA,EAAEmgC,GAAGnnC,OAAOqD,eAAe8jC,EAAE,UAAU,CAAC3+B,YAAW,EAAGxE,MAAMykB,IAAI,EAAEpT,GAAG,iBAAiBoT,EAAE,IAAI,IAAIlJ,KAAKkJ,EAAEzhB,EAAEoiC,EAAEjC,EAAE5nB,EAAE,SAASlK,GAAG,OAAOoT,EAAEpT,IAAIvV,KAAK,KAAKyf,IAAI,OAAO4nB,GAAGngC,EAAEuY,EAAE,SAASkJ,GAAG,IAAIpT,EAAEoT,GAAGA,EAAEhb,WAAW,WAAW,OAAOgb,EAAElb,SAAS,WAAW,OAAOkb,GAAG,OAAOzhB,EAAEoiC,EAAE/zB,EAAE,IAAIA,GAAGA,GAAGrO,EAAEmgC,EAAE,SAAS1e,EAAEpT,GAAG,OAAOrV,OAAOC,UAAUc,eAAeX,KAAKqoB,EAAEpT,IAAIrO,EAAEooB,EAAE,SAASpoB,EAAEA,EAAEo2B,EAAE,IAAv5B,CAA45B,CAAC9a,EAAE,SAASmG,EAAEpT,EAAErO,GAAG,aAAayhB,EAAEhqB,QAAQ,SAASgqB,GAAG,IAAIpT,EAAE,GAAG,OAAOA,EAAEtV,SAAS,WAAW,OAAO2I,KAAK6P,KAAI,SAAUlD,GAAG,IAAIrO,EAAE,SAASyhB,EAAEpT,GAAG,IAAyXzS,EAAEhC,EAAE4N,EAAzXxH,EAAEyhB,EAAE,IAAI,GAAG0e,EAAE1e,EAAE,GAAG,IAAI0e,EAAE,OAAOngC,EAAE,GAAGqO,GAAG,mBAAmBqD,KAAK,CAAC,IAAI6G,GAAG3c,EAAEukC,EAAEvmC,EAAE8X,KAAKI,SAAS7R,mBAAmB8R,KAAKC,UAAUpW,MAAM4L,EAAE,+DAA+D9C,OAAO9K,GAAG,OAAO8K,OAAO8C,EAAE,QAAQ7N,EAAEwmC,EAAEhuB,QAAQZ,KAAI,SAAUkQ,GAAG,MAAM,iBAAiB/c,OAAOy7B,EAAE/tB,YAAY,IAAI1N,OAAO+c,EAAE,UAAU,MAAM,CAACzhB,GAAG0E,OAAO/K,GAAG+K,OAAO,CAAC6T,IAAI9W,KAAK,MAAgB,MAAM,CAACzB,GAAGyB,KAAK,MAA5Z,CAAma4M,EAAEoT,GAAG,OAAOpT,EAAE,GAAG,UAAU3J,OAAO2J,EAAE,GAAG,MAAM3J,OAAO1E,EAAE,KAAKA,KAAKyB,KAAK,KAAK4M,EAAE1U,EAAE,SAAS8nB,EAAEzhB,EAAEmgC,GAAG,iBAAiB1e,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAIlJ,EAAE,GAAG,GAAG4nB,EAAE,IAAI,IAAIxmC,EAAE,EAAEA,EAAE+H,KAAK7H,OAAOF,IAAI,CAAC,IAAIiC,EAAE8F,KAAK/H,GAAG,GAAG,MAAMiC,IAAI2c,EAAE3c,IAAG,GAAI,IAAI,IAAIhC,EAAE,EAAEA,EAAE6nB,EAAE5nB,OAAOD,IAAI,CAAC,IAAI4N,EAAE,GAAG9C,OAAO+c,EAAE7nB,IAAIumC,GAAG5nB,EAAE/Q,EAAE,MAAMxH,IAAIwH,EAAE,GAAGA,EAAE,GAAG,GAAG9C,OAAO1E,EAAE,SAAS0E,OAAO8C,EAAE,IAAIA,EAAE,GAAGxH,GAAGqO,EAAE4B,KAAKzI,MAAM6G,IAAIwzE,GAAG,SAASpgE,EAAEpT,EAAErO,GAAG,aAAaA,EAAEA,EAAEqO,GAAG,IAAI8xB,EAAEngC,EAAE,GAAGA,EAAE;;;;;;;;;;;;;;;;;;;;;;;AAuB9oEmgC,EAAE2hD,SAASpkF,QAAQqkF,gBAAgB,kDAAkDr9E,OAAO,UAAU,6EAA6Ey7B,EAAE2hD,SAASpkF,QAAQskF,aAAY,EAAG3zE,EAAE9H,QAAQ45B,EAAE2hD,UAAUG,EAAE,SAASxgE,EAAEpT,EAAErO,GAAG,aAAa,SAASmgC,EAAE1e,EAAEpT,GAAG,IAAI,IAAIrO,EAAE,GAAGmgC,EAAE,GAAG5nB,EAAE,EAAEA,EAAElK,EAAExU,OAAO0e,IAAI,CAAC,IAAI5e,EAAE0U,EAAEkK,GAAG3c,EAAEjC,EAAE,GAAGC,EAAE,CAACyQ,GAAGoX,EAAE,IAAIlJ,EAAEvF,IAAIrZ,EAAE,GAAGsZ,MAAMtZ,EAAE,GAAGiY,UAAUjY,EAAE,IAAIwmC,EAAEvkC,GAAGukC,EAAEvkC,GAAGsX,MAAMjD,KAAKrW,GAAGoG,EAAEiQ,KAAKkwB,EAAEvkC,GAAG,CAACyO,GAAGzO,EAAEsX,MAAM,CAACtZ,KAAK,OAAOoG,EAAEA,EAAEA,EAAEqO,GAAGrO,EAAEoiC,EAAE/zB,EAAE,WAAU,WAAY,OAAOzR,KAAK,IAAI2b,EAAE,oBAAoBld,SAAS,GAAG,oBAAoB+X,OAAOA,QAAQmF,EAAE,MAAM,IAAI7J,MAAM,2JAA2J,IAAI/U,EAAE,GAAGiC,EAAE2c,IAAIld,SAASiY,MAAMjY,SAASkY,qBAAqB,QAAQ,IAAI3Z,EAAE,KAAK4N,EAAE,EAAE4uB,GAAE,EAAGhO,EAAE,aAAaga,EAAE,KAAKkU,EAAE,oBAAoBn7C,WAAW,eAAe0R,KAAK1R,UAAUyY,UAAUC,eAAe,SAASjX,EAAE6kB,EAAEpT,EAAErO,EAAEuY,GAAG6d,EAAEp2B,EAAEoiC,EAAE7pB,GAAG,GAAG,IAAI3c,EAAEukC,EAAE1e,EAAEpT,GAAG,OAAOiO,EAAE1gB,GAAG,SAASyS,GAAG,IAAI,IAAIrO,EAAE,GAAGuY,EAAE,EAAEA,EAAE3c,EAAE/B,OAAO0e,IAAI,CAAC,IAAI3e,EAAEgC,EAAE2c,IAAI/Q,EAAE7N,EAAEC,EAAEyQ,KAAKgK,OAAOrU,EAAEiQ,KAAKzI,GAAsB,IAAnB6G,EAAEiO,EAAE1gB,EAAEukC,EAAE1e,EAAEpT,IAAIzS,EAAE,GAAO2c,EAAE,EAAEA,EAAEvY,EAAEnG,OAAO0e,IAAI,CAAC,IAAI/Q,EAAE,GAAG,KAAKA,EAAExH,EAAEuY,IAAIlE,KAAK,CAAC,IAAI,IAAI+hB,EAAE,EAAEA,EAAE5uB,EAAE0L,MAAMrZ,OAAOu8B,IAAI5uB,EAAE0L,MAAMkjB,YAAYz8B,EAAE6N,EAAE6C,OAAO,SAASiS,EAAEmF,GAAG,IAAI,IAAIpT,EAAE,EAAEA,EAAEoT,EAAE5nB,OAAOwU,IAAI,CAAC,IAAIrO,EAAEyhB,EAAEpT,GAAG8xB,EAAExmC,EAAEqG,EAAEqK,IAAI,GAAG81B,EAAE,CAACA,EAAE9rB,OAAO,IAAI,IAAIkE,EAAE,EAAEA,EAAE4nB,EAAEjtB,MAAMrZ,OAAO0e,IAAI4nB,EAAEjtB,MAAMqF,GAAGvY,EAAEkT,MAAMqF,IAAI,KAAKA,EAAEvY,EAAEkT,MAAMrZ,OAAO0e,IAAI4nB,EAAEjtB,MAAMjD,KAAK1L,EAAEvE,EAAEkT,MAAMqF,KAAK4nB,EAAEjtB,MAAMrZ,OAAOmG,EAAEkT,MAAMrZ,SAASsmC,EAAEjtB,MAAMrZ,OAAOmG,EAAEkT,MAAMrZ,YAAY,CAAC,IAAI+B,EAAE,GAAG,IAAI2c,EAAE,EAAEA,EAAEvY,EAAEkT,MAAMrZ,OAAO0e,IAAI3c,EAAEqU,KAAK1L,EAAEvE,EAAEkT,MAAMqF,KAAK5e,EAAEqG,EAAEqK,IAAI,CAACA,GAAGrK,EAAEqK,GAAGgK,KAAK,EAAEnB,MAAMtX,KAAK,SAASC,IAAI,IAAI4lB,EAAEpmB,SAASqZ,cAAc,SAAS,OAAO+M,EAAElhB,KAAK,WAAW3E,EAAE0N,YAAYmY,GAAGA,EAAE,SAASld,EAAEkd,GAAG,IAAIpT,EAAErO,EAAEmgC,EAAE9kC,SAASwZ,cAAc,2BAA2B4M,EAAEpX,GAAG,MAAM,GAAG81B,EAAE,CAAC,GAAG/J,EAAE,OAAOhO,EAAE+X,EAAErrB,WAAWC,YAAYorB,GAAG,GAAGmW,EAAE,CAAC,IAAI/9B,EAAE/Q,IAAI24B,EAAEvmC,IAAIA,EAAEiC,KAAKwS,EAAE4xB,EAAEnnC,KAAK,KAAKqnC,EAAE5nB,GAAE,GAAIvY,EAAEigC,EAAEnnC,KAAK,KAAKqnC,EAAE5nB,GAAE,QAAS4nB,EAAEtkC,IAAIwS,EAAEkxB,EAAEzmC,KAAK,KAAKqnC,GAAGngC,EAAE,WAAWmgC,EAAErrB,WAAWC,YAAYorB,IAAI,OAAO9xB,EAAEoT,GAAG,SAAS0e,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAEntB,MAAMyO,EAAEzO,KAAKmtB,EAAEltB,QAAQwO,EAAExO,OAAOktB,EAAEvuB,YAAY6P,EAAE7P,UAAU,OAAOvD,EAAEoT,EAAE0e,QAAQngC,KAAK,IAAIoO,EAAEsV,GAAGtV,EAAE,GAAG,SAASqT,EAAEpT,GAAG,OAAOD,EAAEqT,GAAGpT,EAAED,EAAEmH,OAAOC,SAAS/T,KAAK,QAAQ,SAASw+B,EAAExe,EAAEpT,EAAErO,EAAEmgC,GAAG,IAAI5nB,EAAEvY,EAAE,GAAGmgC,EAAEntB,IAAI,GAAGyO,EAAEhM,WAAWgM,EAAEhM,WAAWC,QAAQgO,EAAErV,EAAEkK,OAAO,CAAC,IAAI5e,EAAE0B,SAASua,eAAe2C,GAAG3c,EAAE6lB,EAAE5L,WAAWja,EAAEyS,IAAIoT,EAAE1M,YAAYnZ,EAAEyS,IAAIzS,EAAE/B,OAAO4nB,EAAE3L,aAAanc,EAAEiC,EAAEyS,IAAIoT,EAAEnY,YAAY3P,IAAI,SAAS4lC,EAAE9d,EAAEpT,GAAG,IAAIrO,EAAEqO,EAAE2E,IAAImtB,EAAE9xB,EAAE4E,MAAMsF,EAAElK,EAAEuD,UAAU,GAAGuuB,GAAG1e,EAAE1L,aAAa,QAAQoqB,GAAGiC,EAAEpsB,OAAOyL,EAAE1L,aAAa,kBAAkB1H,EAAEhE,IAAIkO,IAAIvY,GAAG,mBAAmBuY,EAAEpG,QAAQ,GAAG,MAAMnS,GAAG,uDAAuD0R,KAAKI,SAAS7R,mBAAmB8R,KAAKC,UAAUuG,MAAM,OAAOkJ,EAAEhM,WAAWgM,EAAEhM,WAAWC,QAAQ1V,MAAM,CAAC,KAAKyhB,EAAExL,YAAYwL,EAAE1M,YAAY0M,EAAExL,YAAYwL,EAAEnY,YAAYjO,SAASua,eAAe5V,OAAOkiF,EAAE,SAASzgE,EAAEpT,GAAGoT,EAAEhqB,QAAQ,EAAQ,MAAc0qF,GAAG,SAAS1gE,EAAEpT,EAAErO,GAAG,IAAImgC,EAAEngC,EAAE,IAAI,iBAAiBmgC,IAAIA,EAAE,CAAC,CAAC1e,EAAE9nB,EAAEwmC,EAAE,MAAMA,EAAEiiD,SAAS3gE,EAAEhqB,QAAQ0oC,EAAEiiD,SAAQ,EAAGpiF,EAAE,GAAGuG,SAAS,WAAW45B,GAAE,EAAG,KAAKkiD,GAAG,SAAS5gE,EAAEpT,EAAErO,IAAIqO,EAAErO,EAAE,EAAFA,EAAK,IAAKiQ,KAAK,CAACwR,EAAE9nB,EAAE,4tDAA4tD,KAAK8nB,EAAEhqB,QAAQ4W,M,iwBClB5pJi0E,EAAe,aAEnBA,EAAarpF,UAAUs1D,OAAS,SAAiBlqC,GAC/C,OAAKA,EAAMxqB,QACXwqB,EAAQi+D,EAAaz1D,KAAKxI,IAEjB3iB,KAAK7H,QAAUwqB,GACrBA,EAAMxqB,OAZU,KAYiB6H,KAAK6gF,WAAWl+D,IACjD3iB,KAAK7H,OAbW,KAagBwqB,EAAMm+D,YAAY9gF,OACnDA,KAAK+gF,YAAYp+D,IANS3iB,MAW9B4gF,EAAarpF,UAAUq1D,QAAU,SAAkBjqC,GACjD,OAAKA,EAAMxqB,OACJyoF,EAAaz1D,KAAKxI,GAAOkqC,OAAO7sD,MADXA,MAI9B4gF,EAAarpF,UAAUwpF,YAAc,SAAsBp+D,GACzD,OAAO,IAAIq+D,EAAOhhF,KAAM2iB,IAK1Bi+D,EAAarpF,UAAU0L,MAAQ,SAAgBkoB,EAAM8L,GAInD,YAHgB,IAAT9L,IAAkBA,EAAO,QAClB,IAAP8L,IAAgBA,EAAKj3B,KAAK7H,QAE7BgzB,GAAQ8L,EAAa2pD,EAAavrD,MAC/Br1B,KAAKihF,WAAWnqF,KAAKkwB,IAAI,EAAGmE,GAAOr0B,KAAKkK,IAAIhB,KAAK7H,OAAQ8+B,KAKlE2pD,EAAarpF,UAAUqD,IAAM,SAAc3C,GACzC,KAAIA,EAAI,GAAKA,GAAK+H,KAAK7H,QACvB,OAAO6H,KAAKkhF,SAASjpF,IAQvB2oF,EAAarpF,UAAUO,QAAU,SAAkBoD,EAAGiwB,EAAM8L,QAC1C,IAAT9L,IAAkBA,EAAO,QAClB,IAAP8L,IAAgBA,EAAKj3B,KAAK7H,QAE7BgzB,GAAQ8L,EACRj3B,KAAKmhF,aAAajmF,EAAGiwB,EAAM8L,EAAI,GAE/Bj3B,KAAKohF,qBAAqBlmF,EAAGiwB,EAAM8L,EAAI,IAM7C2pD,EAAarpF,UAAUsY,IAAM,SAAc3U,EAAGiwB,EAAM8L,QAClC,IAAT9L,IAAkBA,EAAO,QAClB,IAAP8L,IAAgBA,EAAKj3B,KAAK7H,QAEjC,IAAI0B,EAAS,GAEb,OADAmG,KAAKlI,SAAQ,SAAUupF,EAAKppF,GAAK,OAAO4B,EAAO0U,KAAKrT,EAAEmmF,EAAKppF,MAAQkzB,EAAM8L,GAClEp9B,GAMT+mF,EAAaz1D,KAAO,SAAelgB,GACjC,OAAIA,aAAkB21E,EAAuB31E,EACtCA,GAAUA,EAAO9S,OAAS,IAAImpF,EAAKr2E,GAAU21E,EAAavrD,OAGnE,IAAIisD,EAAqB,SAAUV,GACjC,SAASU,EAAKr2E,GACZ21E,EAAalpF,KAAKsI,MAClBA,KAAKiL,OAASA,EAGX21E,IAAeU,EAAK99D,UAAYo9D,GACrCU,EAAK/pF,UAAYD,OAAO4N,OAAQ07E,GAAgBA,EAAarpF,WAC7D+pF,EAAK/pF,UAAUiB,YAAc8oF,EAE7B,IAAI/7B,EAAqB,CAAEptD,OAAQ,CAAEuM,cAAc,GAAOm6C,MAAO,CAAEn6C,cAAc,IAyCjF,OAvCA48E,EAAK/pF,UAAUgqF,QAAU,WACvB,OAAOvhF,KAAKiL,QAGdq2E,EAAK/pF,UAAU0pF,WAAa,SAAqB91D,EAAM8L,GACrD,OAAY,GAAR9L,GAAa8L,GAAMj3B,KAAK7H,OAAiB6H,KACtC,IAAIshF,EAAKthF,KAAKiL,OAAOhI,MAAMkoB,EAAM8L,KAG1CqqD,EAAK/pF,UAAU2pF,SAAW,SAAmBjpF,GAC3C,OAAO+H,KAAKiL,OAAOhT,IAGrBqpF,EAAK/pF,UAAU4pF,aAAe,SAAuBjmF,EAAGiwB,EAAM8L,EAAI+1B,GAChE,IAAK,IAAI/0D,EAAIkzB,EAAMlzB,EAAIg/B,EAAIh/B,IACvB,IAAqC,IAAjCiD,EAAE8E,KAAKiL,OAAOhT,GAAI+0D,EAAQ/0D,GAAgB,OAAO,GAG3DqpF,EAAK/pF,UAAU6pF,qBAAuB,SAA+BlmF,EAAGiwB,EAAM8L,EAAI+1B,GAChF,IAAK,IAAI/0D,EAAIkzB,EAAO,EAAGlzB,GAAKg/B,EAAIh/B,IAC5B,IAAqC,IAAjCiD,EAAE8E,KAAKiL,OAAOhT,GAAI+0D,EAAQ/0D,GAAgB,OAAO,GAG3DqpF,EAAK/pF,UAAUspF,WAAa,SAAqBl+D,GAC/C,GAAI3iB,KAAK7H,OAASwqB,EAAMxqB,QApHP,IAqHb,OAAO,IAAImpF,EAAKthF,KAAKiL,OAAOjI,OAAO2f,EAAM4+D,aAG/CD,EAAK/pF,UAAUupF,YAAc,SAAsBn+D,GACjD,GAAI3iB,KAAK7H,OAASwqB,EAAMxqB,QAzHP,IA0Hb,OAAO,IAAImpF,EAAK3+D,EAAM4+D,UAAUv+E,OAAOhD,KAAKiL,UAGlDs6C,EAAmBptD,OAAOyC,IAAM,WAAc,OAAOoF,KAAKiL,OAAO9S,QAEjEotD,EAAmB1G,MAAMjkD,IAAM,WAAc,OAAO,GAEpDtD,OAAOiP,iBAAkB+6E,EAAK/pF,UAAWguD,GAElC+7B,EAnDe,CAoDtBV,GAIFA,EAAavrD,MAAQ,IAAIisD,EAAK,IAE9B,IAAIN,EAAuB,SAAUJ,GACnC,SAASI,EAAO7c,EAAMC,GACpBwc,EAAalpF,KAAKsI,MAClBA,KAAKmkE,KAAOA,EACZnkE,KAAKokE,MAAQA,EACbpkE,KAAK7H,OAASgsE,EAAKhsE,OAASisE,EAAMjsE,OAClC6H,KAAK6+C,MAAQ/nD,KAAKkwB,IAAIm9C,EAAKtlB,MAAOulB,EAAMvlB,OAAS,EA2DnD,OAxDK+hC,IAAeI,EAAOx9D,UAAYo9D,GACvCI,EAAOzpF,UAAYD,OAAO4N,OAAQ07E,GAAgBA,EAAarpF,WAC/DypF,EAAOzpF,UAAUiB,YAAcwoF,EAE/BA,EAAOzpF,UAAUgqF,QAAU,WACzB,OAAOvhF,KAAKmkE,KAAKod,UAAUv+E,OAAOhD,KAAKokE,MAAMmd,YAG/CP,EAAOzpF,UAAU2pF,SAAW,SAAmBjpF,GAC7C,OAAOA,EAAI+H,KAAKmkE,KAAKhsE,OAAS6H,KAAKmkE,KAAKvpE,IAAI3C,GAAK+H,KAAKokE,MAAMxpE,IAAI3C,EAAI+H,KAAKmkE,KAAKhsE,SAGhF6oF,EAAOzpF,UAAU4pF,aAAe,SAAuBjmF,EAAGiwB,EAAM8L,EAAI+1B,GAClE,IAAIw0B,EAAUxhF,KAAKmkE,KAAKhsE,OACxB,QAAIgzB,EAAOq2D,IAC2D,IAAlExhF,KAAKmkE,KAAKgd,aAAajmF,EAAGiwB,EAAMr0B,KAAKkK,IAAIi2B,EAAIuqD,GAAUx0B,QAEvD/1B,EAAKuqD,IAC6G,IAAlHxhF,KAAKokE,MAAM+c,aAAajmF,EAAGpE,KAAKkwB,IAAImE,EAAOq2D,EAAS,GAAI1qF,KAAKkK,IAAIhB,KAAK7H,OAAQ8+B,GAAMuqD,EAASx0B,EAAQw0B,UADzG,IAKFR,EAAOzpF,UAAU6pF,qBAAuB,SAA+BlmF,EAAGiwB,EAAM8L,EAAI+1B,GAClF,IAAIw0B,EAAUxhF,KAAKmkE,KAAKhsE,OACxB,QAAIgzB,EAAOq2D,IACkG,IAAzGxhF,KAAKokE,MAAMgd,qBAAqBlmF,EAAGiwB,EAAOq2D,EAAS1qF,KAAKkwB,IAAIiQ,EAAIuqD,GAAWA,EAASx0B,EAAQw0B,QAE5FvqD,EAAKuqD,IACqE,IAA1ExhF,KAAKmkE,KAAKid,qBAAqBlmF,EAAGpE,KAAKkK,IAAImqB,EAAMq2D,GAAUvqD,EAAI+1B,UADnE,IAKFg0B,EAAOzpF,UAAU0pF,WAAa,SAAqB91D,EAAM8L,GACvD,GAAY,GAAR9L,GAAa8L,GAAMj3B,KAAK7H,OAAU,OAAO6H,KAC7C,IAAIwhF,EAAUxhF,KAAKmkE,KAAKhsE,OACxB,OAAI8+B,GAAMuqD,EAAkBxhF,KAAKmkE,KAAKlhE,MAAMkoB,EAAM8L,GAC9C9L,GAAQq2D,EAAkBxhF,KAAKokE,MAAMnhE,MAAMkoB,EAAOq2D,EAASvqD,EAAKuqD,GAC7DxhF,KAAKmkE,KAAKlhE,MAAMkoB,EAAMq2D,GAAS30B,OAAO7sD,KAAKokE,MAAMnhE,MAAM,EAAGg0B,EAAKuqD,KAGxER,EAAOzpF,UAAUspF,WAAa,SAAqBl+D,GACjD,IAAIujC,EAAQlmD,KAAKokE,MAAMyc,WAAWl+D,GAClC,GAAIujC,EAAS,OAAO,IAAI86B,EAAOhhF,KAAKmkE,KAAMje,IAG5C86B,EAAOzpF,UAAUupF,YAAc,SAAsBn+D,GACnD,IAAIujC,EAAQlmD,KAAKmkE,KAAK2c,YAAYn+D,GAClC,GAAIujC,EAAS,OAAO,IAAI86B,EAAO96B,EAAOlmD,KAAKokE,QAG7C4c,EAAOzpF,UAAUwpF,YAAc,SAAsBp+D,GACnD,OAAI3iB,KAAKmkE,KAAKtlB,OAAS/nD,KAAKkwB,IAAIhnB,KAAKokE,MAAMvlB,MAAOl8B,EAAMk8B,OAAS,EACtD,IAAImiC,EAAOhhF,KAAKmkE,KAAM,IAAI6c,EAAOhhF,KAAKokE,MAAOzhD,IACjD,IAAIq+D,EAAOhhF,KAAM2iB,IAGnBq+D,EAjEiB,CAkExBJ,GAIa,EAFIA,ECvLfa,EAAS,SAAgBC,EAAOC,GAClC3hF,KAAK0hF,MAAQA,EACb1hF,KAAK2hF,WAAaA,GAMpBF,EAAOlqF,UAAUqqF,SAAW,SAAmB59E,EAAO69E,GAClD,IAAIt3B,EAASvqD,KAEf,GAAuB,GAAnBA,KAAK2hF,WAAmB,OAAO,KAGnC,IADA,IAMIG,EAAOn8B,EANP3D,EAAMhiD,KAAK0hF,MAAMvpF,QACb6pD,IAAO,CAEb,GADWhiD,KAAK0hF,MAAM9mF,IAAIonD,EAAM,GACvB/D,UAAW,GAAI+D,EAAK,OAI3B6/B,IACFC,EAAQ9hF,KAAK+hF,UAAU//B,EAAKhiD,KAAK0hF,MAAMvpF,QACvCwtD,EAAUm8B,EAAM35B,KAAKhwD,QAEvB,IACI8lD,EAAW+jC,EADXrC,EAAY37E,EAAMk6C,GAElB+jC,EAAW,GAAIx1B,EAAY,GAkC/B,OAhCAzsD,KAAK0hF,MAAM5pF,SAAQ,SAAUgY,EAAM7X,GACjC,IAAK6X,EAAK4I,KAOR,OANKopE,IACHA,EAAQv3B,EAAOw3B,UAAU//B,EAAK/pD,EAAI,GAClC0tD,EAAUm8B,EAAM35B,KAAKhwD,QAEvBwtD,SACA8G,EAAUl+C,KAAKuB,GAIjB,GAAIgyE,EAAO,CACTr1B,EAAUl+C,KAAK,IAAIN,EAAK6B,EAAKD,MAC7B,IAAgDA,EAA5C6I,EAAO5I,EAAK4I,KAAK7I,IAAIiyE,EAAM7+E,MAAM0iD,IAEjCjtC,GAAQinE,EAAUzH,UAAUx/D,GAAMomC,MACpCjvC,EAAM8vE,EAAU3+B,QAAQmH,KAAKw3B,EAAU3+B,QAAQmH,KAAKhwD,OAAS,GAC7D8pF,EAAS1zE,KAAK,IAAIN,EAAK4B,EAAK,KAAM,KAAMoyE,EAAS9pF,OAASs0D,EAAUt0D,UAEtEwtD,IACI91C,GAAOiyE,EAAMzK,UAAUxnE,EAAK81C,QAEhCg6B,EAAUzH,UAAUpoE,EAAK4I,MAG3B,OAAI5I,EAAKmuC,WACPA,EAAY6jC,EAAQhyE,EAAKmuC,UAAUpuC,IAAIiyE,EAAM7+E,MAAM0iD,IAAY71C,EAAKmuC,UACpE+jC,EAAY,IAAIP,EAAOl3B,EAAOm3B,MAAMz+E,MAAM,EAAG++C,GAAK6K,OAAOJ,EAAU8J,UAAUvzD,OAAOi/E,IAAY13B,EAAOo3B,WAAa,IAC7G,QAHT,IAKC3hF,KAAK0hF,MAAMvpF,OAAQ,GAEf,CAAC6pF,UAAWA,EAAWrC,UAAWA,EAAW1hC,UAAWA,IAKjEwjC,EAAOlqF,UAAU2qF,aAAe,SAAuBvC,EAAW1hC,EAAWkkC,EAAaN,GAIxF,IAHA,IAAIO,EAAW,GAAIT,EAAa3hF,KAAK2hF,WACjCU,EAAWriF,KAAK0hF,MAAOY,GAAYT,GAAiBQ,EAASlqF,OAASkqF,EAASznF,IAAIynF,EAASlqF,OAAS,GAAK,KAErGF,EAAI,EAAGA,EAAI0nF,EAAU/5B,MAAMztD,OAAQF,IAAK,CAC/C,IACiEsqF,EAD7D7pE,EAAOinE,EAAU/5B,MAAM3tD,GAAGg/E,OAAO0I,EAAU3H,KAAK//E,IAChD6X,EAAO,IAAI7B,EAAK0xE,EAAU3+B,QAAQmH,KAAKlwD,GAAIygB,EAAMulC,IACjDskC,EAASD,GAAYA,EAAS1oF,MAAMkW,MACtCA,EAAOyyE,EACHtqF,EAAKmqF,EAAS1rB,MACX2rB,EAAWA,EAASp/E,MAAM,EAAGo/E,EAASlqF,OAAS,IAExDiqF,EAAS7zE,KAAKuB,GACVmuC,IACF0jC,IACA1jC,EAAY,MAET4jC,IAAiBS,EAAWxyE,GAEnC,IA4GoB4xE,EAAO7qE,EACvB2rE,EA7GAhtD,EAAWmsD,EAAaQ,EAAYtjC,MAKxC,OAJIrpB,EAAWitD,IA2GY5rE,EA1GS2e,GA0GhBksD,EA1GMW,GA4GpBvqF,SAAQ,SAAUgY,EAAM7X,GAC5B,GAAI6X,EAAKmuC,WAAqB,GAAPpnC,IAErB,OADA2rE,EAAWvqF,GACJ,KA/GToqF,EAkHKX,EAAMz+E,MAAMu/E,GAjHjBb,GAAcnsD,GAET,IAAIisD,EAAOY,EAASx1B,OAAOu1B,GAAWT,IAG/CF,EAAOlqF,UAAUwqF,UAAY,SAAoB52D,EAAM8L,GACrD,IAAIkxB,EAAO,IAAI,IAMf,OALAnoD,KAAK0hF,MAAM5pF,SAAQ,SAAUgY,EAAM7X,GACjC,IAAIyqF,EAAiC,MAArB5yE,EAAK6yE,cAAwB1qF,EAAI6X,EAAK6yE,cAAgBx3D,EAChEg9B,EAAKA,KAAKhwD,OAAS2X,EAAK6yE,aAAe,KAC7Cx6B,EAAKkvB,UAAUvnE,EAAKD,IAAK6yE,KACxBv3D,EAAM8L,GACFkxB,GAGTs5B,EAAOlqF,UAAUqrF,QAAU,SAAkB10E,GAC3C,OAAuB,GAAnBlO,KAAK2hF,WAA0B3hF,KAC5B,IAAIyhF,EAAOzhF,KAAK0hF,MAAM70B,OAAO3+C,EAAM2B,KAAI,SAAUA,GAAO,OAAO,IAAI5B,EAAK4B,OAAW7P,KAAK2hF,aAQjGF,EAAOlqF,UAAUsrF,QAAU,SAAkBC,EAAkBC,GAC7D,IAAK/iF,KAAK2hF,WAAc,OAAO3hF,KAE/B,IAAIgjF,EAAe,GAAIh2B,EAAQl2D,KAAKkwB,IAAI,EAAGhnB,KAAK0hF,MAAMvpF,OAAS4qF,GAE3D/hC,EAAU8hC,EAAiB9hC,QAC3BiiC,EAAWH,EAAiBl9B,MAAMztD,OAClCwpF,EAAa3hF,KAAK2hF,WACtB3hF,KAAK0hF,MAAM5pF,SAAQ,SAAUgY,GAAYA,EAAKmuC,WAAa0jC,MAAmB30B,GAE9E,IAAIk2B,EAAWH,EACf/iF,KAAK0hF,MAAM5pF,SAAQ,SAAUgY,GAC3B,IAAIoJ,EAAM8nC,EAAQ22B,YAAYuL,GAC9B,GAAW,MAAPhqE,EAAJ,CACA+pE,EAAWnsF,KAAKkK,IAAIiiF,EAAU/pE,GAC9B,IAAIrJ,EAAMmxC,EAAQmH,KAAKjvC,GACvB,GAAIpJ,EAAK4I,KAAM,CACb,IAAIA,EAAOoqE,EAAiBl9B,MAAM1sC,GAAK+9D,OAAO6L,EAAiB9K,KAAK9+D,IAChE+kC,EAAYnuC,EAAKmuC,WAAanuC,EAAKmuC,UAAUpuC,IAAImxC,EAAQ/9C,MAAMigF,EAAW,EAAGhqE,IAC7E+kC,GAAa0jC,IACjBqB,EAAaz0E,KAAK,IAAIN,EAAK4B,EAAK6I,EAAMulC,SAEtC+kC,EAAaz0E,KAAK,IAAIN,EAAK4B,OAE5Bm9C,GAGH,IADA,IAAIm2B,EAAU,GACLlrF,EAAI8qF,EAAc9qF,EAAIgrF,EAAUhrF,IACrCkrF,EAAQ50E,KAAK,IAAIN,EAAK+yC,EAAQmH,KAAKlwD,KACvC,IAAIypF,EAAQ1hF,KAAK0hF,MAAMz+E,MAAM,EAAG+pD,GAAOH,OAAOs2B,GAASt2B,OAAOm2B,GAC1DI,EAAS,IAAI3B,EAAOC,EAAOC,GAI/B,OAFIyB,EAAOC,iBAlJS,MAmJhBD,EAASA,EAAOE,SAAStjF,KAAK0hF,MAAMvpF,OAAS6qF,EAAa7qF,SACvDirF,GAGT3B,EAAOlqF,UAAU8rF,eAAiB,WAChC,IAAI1G,EAAQ,EAEZ,OADA38E,KAAK0hF,MAAM5pF,SAAQ,SAAUgY,GAAaA,EAAK4I,MAAQikE,OAChDA,GAST8E,EAAOlqF,UAAU+rF,SAAW,SAAmBhiB,QAC7B,IAATA,IAAkBA,EAAOthE,KAAK0hF,MAAMvpF,QAE3C,IAAI2pF,EAAQ9hF,KAAK+hF,UAAU,EAAGzgB,GAAO3b,EAAUm8B,EAAM35B,KAAKhwD,OACtDupF,EAAQ,GAAIvR,EAAS,EAsBzB,OArBAnwE,KAAK0hF,MAAM5pF,SAAQ,SAAUgY,EAAM7X,GACjC,GAAIA,GAAKqpE,EACPogB,EAAMnzE,KAAKuB,GACPA,EAAKmuC,WAAakyB,SACjB,GAAIrgE,EAAK4I,KAAM,CACpB,IAAIA,EAAO5I,EAAK4I,KAAK7I,IAAIiyE,EAAM7+E,MAAM0iD,IAAW91C,EAAM6I,GAAQA,EAAK0/D,SAGnE,GAFAzyB,IACI91C,GAAOiyE,EAAMzK,UAAUxnE,EAAK81C,GAC5BjtC,EAAM,CACR,IAAIulC,EAAYnuC,EAAKmuC,WAAanuC,EAAKmuC,UAAUpuC,IAAIiyE,EAAM7+E,MAAM0iD,IAC7D1H,GAAakyB,IACjB,IAAuDoS,EAAnDgB,EAAU,IAAIt1E,EAAK4B,EAAIonE,SAAUv+D,EAAMulC,GAAoBvqB,EAAOguD,EAAMvpF,OAAS,GACjFoqF,EAASb,EAAMvpF,QAAUupF,EAAMhuD,GAAM95B,MAAM2pF,IAC3C7B,EAAMhuD,GAAQ6uD,EAEdb,EAAMnzE,KAAKg1E,SAERzzE,EAAKD,KACd81C,MAED3lD,KAAK0hF,MAAMvpF,OAAQ,GACf,IAAIspF,EAAO,EAAat2D,KAAKu2D,EAAMnrB,WAAY4Z,IAGxDsR,EAAOpsD,MAAQ,IAAIosD,EAAO,EAAapsD,MAAO,GAa9C,IAAIpnB,EAAO,SAAc4B,EAAK6I,EAAMulC,EAAW0kC,GAE7C3iF,KAAK6P,IAAMA,EAEX7P,KAAK0Y,KAAOA,EAIZ1Y,KAAKi+C,UAAYA,EAGjBj+C,KAAK2iF,aAAeA,GAGtB10E,EAAK1W,UAAUqC,MAAQ,SAAgB+oB,GACrC,GAAI3iB,KAAK0Y,MAAQiK,EAAMjK,OAASiK,EAAMs7B,UAAW,CAC/C,IAAIvlC,EAAOiK,EAAMjK,KAAK9e,MAAMoG,KAAK0Y,MACjC,GAAIA,EAAQ,OAAO,IAAIzK,EAAKyK,EAAK0/D,SAASnB,SAAUv+D,EAAM1Y,KAAKi+C,aAOnE,IAAIulC,EAAe,SAAsBzuE,EAAM0uE,EAAQC,EAAYC,GACjE3jF,KAAK+U,KAAOA,EACZ/U,KAAKyjF,OAASA,EACdzjF,KAAK0jF,WAAaA,EAClB1jF,KAAK2jF,SAAWA,GAGdlB,EAAiB,GAsDrB,SAASmB,EAAU/zE,GACjB,IAAIhW,EAAS,GAEb,OADAgW,EAAI/X,SAAQ,SAAUswD,EAAOC,EAAKl9B,EAAM8L,GAAM,OAAOp9B,EAAO0U,KAAK4c,EAAM8L,MAChEp9B,EAGT,SAASgqF,EAAU5gC,EAAQjC,GACzB,IAAKiC,EAAU,OAAO,KAEtB,IADA,IAAIppD,EAAS,GACJ5B,EAAI,EAAGA,EAAIgrD,EAAO9qD,OAAQF,GAAK,EAAG,CACzC,IAAIkzB,EAAO61B,EAAQnxC,IAAIozC,EAAOhrD,GAAI,GAAIg/B,EAAK+pB,EAAQnxC,IAAIozC,EAAOhrD,EAAI,IAAK,GACnEkzB,GAAQ8L,GAAMp9B,EAAO0U,KAAK4c,EAAM8L,GAEtC,OAAOp9B,EAMT,SAASiqF,EAAgBC,EAAS//E,EAAOg6C,EAAUgmC,GACjD,IAAInC,EAAgBoC,EAAkBjgF,GAAQm+E,EAAc+B,EAAWtpF,IAAIoJ,GAAO26C,KAAKjgD,OACnFg4D,GAAOstB,EAAOD,EAAQN,OAASM,EAAQhvE,MAAM6sE,SAAS59E,EAAO69E,GACjE,GAAKnrB,EAAL,CAEA,IAAIzY,EAAYyY,EAAIzY,UAAU5hC,QAAQq6C,EAAIipB,UAAU7gC,KAChD88B,GAASoI,EAAOD,EAAQhvE,KAAOgvE,EAAQN,QAAQvB,aAAaxrB,EAAIipB,UAAW37E,EAAMi6C,UAAU8I,cAC/Bo7B,EAAaN,GAEzEsC,EAAU,IAAIX,EAAaQ,EAAOpI,EAAQllB,EAAIsrB,UAAWgC,EAAOttB,EAAIsrB,UAAYpG,EAAO,KAAM,GACjG59B,EAAS0Y,EAAIipB,UAAUp/B,aAAatC,GAAW2L,QAAQs6B,EAAY,CAACF,KAAMA,EAAMI,aAAcD,IAAUhmC,mBAG1G,IAAIkmC,GAAsB,EAAOC,EAA6B,KAK9D,SAASL,EAAkBjgF,GACzB,IAAIsmD,EAAUtmD,EAAMsmD,QACpB,GAAIg6B,GAA8Bh6B,EAAS,CACzC+5B,GAAsB,EACtBC,EAA6Bh6B,EAC7B,IAAK,IAAIryD,EAAI,EAAGA,EAAIqyD,EAAQnyD,OAAQF,IAAO,GAAIqyD,EAAQryD,GAAG0mD,KAAK4lC,qBAAsB,CACnFF,GAAsB,EACtB,OAGJ,OAAOA,EAWT,IAAIH,EAAa,IAAI,YAAU,WAC3BM,EAAkB,IAAI,YAAU,gBAsBpC,SAAS,EAAQ9lF,GAGf,OAFAA,EAAS,CAACmgD,MAAOngD,GAAUA,EAAOmgD,OAAS,IACjC4lC,cAAe/lF,GAAUA,EAAO+lF,eAAiB,KACpD,IAAI,SAAO,CAChBrsF,IAAK8rF,EAELlgF,MAAO,CACLiuB,KAAM,WACJ,OAAO,IAAIuxD,EAAa/B,EAAOpsD,MAAOosD,EAAOpsD,MAAO,KAAM,IAE5DtvB,MAAO,SAAem4C,EAAIwmC,EAAM1gF,GAC9B,OA9IR,SAA0B+/E,EAAS//E,EAAOk6C,EAAIliD,GAC5C,IAAwC6mF,EAApC8B,EAAYzmC,EAAG2L,QAAQq6B,GAC3B,GAAIS,EAAa,OAAOA,EAAUP,aAE9BlmC,EAAG2L,QAAQ26B,KAAoBT,EAAU,IAAIP,EAAaO,EAAQhvE,KAAMgvE,EAAQN,OAAQ,KAAM,IAElG,IAAImB,EAAW1mC,EAAG2L,QAAQ,uBAE1B,GAAuB,GAAnB3L,EAAG0H,MAAMztD,OACX,OAAO4rF,EACF,GAAIa,GAAYA,EAAS/6B,QAAQq6B,GACtC,OAAIU,EAAS/6B,QAAQq6B,GAAYF,KACtB,IAAIR,EAAaO,EAAQhvE,KAAKmtE,aAAahkC,EAAI,KAAMliD,EAASioF,EAAkBjgF,IACjE+/E,EAAQN,OAAQG,EAAU1lC,EAAG8C,QAAQmH,KAAKjK,EAAG0H,MAAMztD,OAAS,IAAK4rF,EAAQJ,UAExF,IAAIH,EAAaO,EAAQhvE,KAAMgvE,EAAQN,OAAOvB,aAAahkC,EAAI,KAAMliD,EAASioF,EAAkBjgF,IACjF,KAAM+/E,EAAQJ,UACnC,IAAmC,IAA/BzlC,EAAG2L,QAAQ,iBAA+B+6B,IAAiD,IAArCA,EAAS/6B,QAAQ,gBAQ3E,OAAIg5B,EAAU3kC,EAAG2L,QAAQ,YAGvB,IAAI25B,EAAaO,EAAQhvE,KAAK8tE,QAAQ3kC,EAAI2kC,GACzBkB,EAAQN,OAAOZ,QAAQ3kC,EAAI2kC,GAC3BgB,EAAUE,EAAQL,WAAYxlC,EAAG8C,SAAU+iC,EAAQJ,UAEpE,IAAIH,EAAaO,EAAQhvE,KAAK6tE,QAAQ1kC,EAAG8C,QAAQmH,MAChC47B,EAAQN,OAAOb,QAAQ1kC,EAAG8C,QAAQmH,MAClC07B,EAAUE,EAAQL,WAAYxlC,EAAG8C,SAAU+iC,EAAQJ,UAf3E,IAAIkB,EAA+B,GAApBd,EAAQJ,WAAkBiB,IAAab,EAAQJ,UAAYzlC,EAAGnL,MAAQ,GAAK/2C,EAAQyoF,gBAmBtG,SAAsB9E,EAAW+D,GAC/B,IAAKA,EAAc,OAAO,EAC1B,IAAK/D,EAAUjN,WAAc,OAAO,EACpC,IAAIoS,GAAW,EAMf,OALAnF,EAAU3+B,QAAQmH,KAAK,GAAGrwD,SAAQ,SAAUk1D,EAAOhL,GACjD,IAAK,IAAI/pD,EAAI,EAAGA,EAAIyrF,EAAWvrF,OAAQF,GAAK,EACpC+0D,GAAS02B,EAAWzrF,EAAI,IAAM+pD,GAAO0hC,EAAWzrF,KAClD6sF,GAAW,MAEZA,EA3BkDC,CAAa7mC,EAAI6lC,EAAQL,aAC5EA,EAAakB,EAAWf,EAAUE,EAAQL,WAAYxlC,EAAG8C,SAAW4iC,EAAU1lC,EAAG8C,QAAQmH,KAAKjK,EAAG0H,MAAMztD,OAAS,IACpH,OAAO,IAAIqrF,EAAaO,EAAQhvE,KAAKmtE,aAAahkC,EAAI2mC,EAAW7gF,EAAMi6C,UAAU8I,cAAgB,KAC/C/qD,EAASioF,EAAkBjgF,IACrDy9E,EAAOpsD,MAAOquD,EAAYxlC,EAAGnL,MAsH1C6X,CAAiB85B,EAAM1gF,EAAOk6C,EAAIx/C,KAI7CA,OAAQA,IAMZ,SAASsmF,EAAKhhF,EAAOg6C,GACnB,IAAI0mC,EAAOR,EAAW/3B,SAASnoD,GAC/B,SAAK0gF,GAAgC,GAAxBA,EAAK3vE,KAAK4sE,cACnB3jC,GAAY8lC,EAAgBY,EAAM1gF,EAAOg6C,GAAU,IAChD,GAKT,SAASgmC,EAAKhgF,EAAOg6C,GACnB,IAAI0mC,EAAOR,EAAW/3B,SAASnoD,GAC/B,SAAK0gF,GAAkC,GAA1BA,EAAKjB,OAAO9B,cACrB3jC,GAAY8lC,EAAgBY,EAAM1gF,EAAOg6C,GAAU,IAChD,GAKT,SAASinC,EAAUjhF,GACjB,IAAI0gF,EAAOR,EAAW/3B,SAASnoD,GAC/B,OAAO0gF,EAAOA,EAAK3vE,KAAK4sE,WAAa,EAKvC,SAASuD,EAAUlhF,GACjB,IAAI0gF,EAAOR,EAAW/3B,SAASnoD,GAC/B,OAAO0gF,EAAOA,EAAKjB,OAAO9B,WAAa;;;;;MCpazC,SAASwD,EAAmBC,EAAK/oE,EAASC,EAAQ+oE,EAAOC,EAAQltF,EAAKqe,GACpE,IACE,IAAI8uE,EAAOH,EAAIhtF,GAAKqe,GAChBnb,EAAQiqF,EAAKjqF,MACjB,MAAOrF,GAEP,YADAqmB,EAAOrmB,GAILsvF,EAAKxwE,KACPsH,EAAQ/gB,GAER8gB,QAAQC,QAAQ/gB,GAAOmjB,KAAK4mE,EAAOC,GAwBvC,SAASl0D,EAAgBxE,EAAUhX,GACjC,KAAMgX,aAAoBhX,GACxB,MAAM,IAAIpb,UAAU,qCAIxB,SAASy2B,EAAkB/0B,EAAQg1B,GACjC,IAAK,IAAIj5B,EAAI,EAAGA,EAAIi5B,EAAM/4B,OAAQF,IAAK,CACrC,IAAIoE,EAAa60B,EAAMj5B,GACvBoE,EAAWyD,WAAazD,EAAWyD,aAAc,EACjDzD,EAAWqI,cAAe,EACtB,UAAWrI,IAAYA,EAAWsI,UAAW,GACjDrN,OAAOqD,eAAeuB,EAAQG,EAAWjE,IAAKiE,IAIlD,SAASyqE,EAAalxD,EAAa0b,EAAYC,GAG7C,OAFID,GAAYL,EAAkBrb,EAAYre,UAAW+5B,GACrDC,GAAaN,EAAkBrb,EAAa2b,GACzC3b,EAGT,SAASmxD,EAAgBhvE,EAAKK,EAAKkD,GAYjC,OAXIlD,KAAOL,EACTT,OAAOqD,eAAe5C,EAAKK,EAAK,CAC9BkD,MAAOA,EACPwE,YAAY,EACZ4E,cAAc,EACdC,UAAU,IAGZ5M,EAAIK,GAAOkD,EAGNvD,EAGT,SAASs0B,EAAQ5wB,EAAQurE,GACvB,IAAIt+D,EAAOpR,OAAOoR,KAAKjN,GAEvB,GAAInE,OAAOgjB,sBAAuB,CAChC,IAAIqO,EAAUrxB,OAAOgjB,sBAAsB7e,GACvCurE,IAAgBr+C,EAAUA,EAAQ9U,QAAO,SAAUozD,GACrD,OAAO3vE,OAAOoE,yBAAyBD,EAAQwrE,GAAKnnE,eAEtD4I,EAAK6F,KAAKxI,MAAM2C,EAAMigB,GAGxB,OAAOjgB,EAGT,SAASw+D,EAAehrE,GACtB,IAAK,IAAIjE,EAAI,EAAGA,EAAI8B,UAAU5B,OAAQF,IAAK,CACzC,IAAIgE,EAAyB,MAAhBlC,UAAU9B,GAAa8B,UAAU9B,GAAK,GAE/CA,EAAI,EACNo0B,EAAQ/0B,OAAO2E,IAAS,GAAMnE,SAAQ,SAAUM,GAC9C2uE,EAAgB7qE,EAAQ9D,EAAK6D,EAAO7D,OAE7Bd,OAAO6vE,0BAChB7vE,OAAOiP,iBAAiBrK,EAAQ5E,OAAO6vE,0BAA0BlrE,IAEjEowB,EAAQ/0B,OAAO2E,IAASnE,SAAQ,SAAUM,GACxCd,OAAOqD,eAAeuB,EAAQ9D,EAAKd,OAAOoE,yBAAyBO,EAAQ7D,OAKjF,OAAO8D,EAGT,SAASkrE,EAAUC,EAAUC,GAC3B,GAA0B,mBAAfA,GAA4C,OAAfA,EACtC,MAAM,IAAI9sE,UAAU,sDAGtB6sE,EAAS9vE,UAAYD,OAAO4N,OAAOoiE,GAAcA,EAAW/vE,UAAW,CACrEiB,YAAa,CACX8C,MAAO+rE,EACP1iE,UAAU,EACVD,cAAc,KAGd4iE,GAAYC,EAAgBF,EAAUC,GAG5C,SAASE,EAAgB/oC,GAIvB,OAHA+oC,EAAkBlwE,OAAOgS,eAAiBhS,OAAO+R,eAAiB,SAAyBo1B,GACzF,OAAOA,EAAEjb,WAAalsB,OAAO+R,eAAeo1B,KAEvBA,GAGzB,SAAS8oC,EAAgB9oC,EAAG/X,GAM1B,OALA6gD,EAAkBjwE,OAAOgS,gBAAkB,SAAyBm1B,EAAG/X,GAErE,OADA+X,EAAEjb,UAAYkD,EACP+X,IAGcA,EAAG/X,GAwB5B,SAAS+gD,EAA2BvwE,EAAMQ,GACxC,OAAIA,GAAyB,iBAATA,GAAqC,mBAATA,EATlD,SAAgCR,GAC9B,QAAa,IAATA,EACF,MAAM,IAAIwwE,eAAe,6DAG3B,OAAOxwE,EAQAywE,CAAuBzwE,GAHrBQ,EAMX,SAASkwE,EAAaC,GACpB,IAAIC,EA9BN,WACE,GAAuB,oBAAZC,UAA4BA,QAAQC,UAAW,OAAO,EACjE,GAAID,QAAQC,UAAUnrE,KAAM,OAAO,EACnC,GAAqB,mBAAVorE,MAAsB,OAAO,EAExC,IAEE,OADA/3C,KAAK34B,UAAUF,SAASK,KAAKqwE,QAAQC,UAAU93C,KAAM,IAAI,iBAClD,EACP,MAAOvjB,GACP,OAAO,GAqBuBu7D,GAEhC,OAAO,WACL,IACIruE,EADAsuE,EAAQX,EAAgBK,GAG5B,GAAIC,EAA2B,CAC7B,IAAI59C,EAAYs9C,EAAgBxnE,MAAMxH,YAEtCqB,EAASkuE,QAAQC,UAAUG,EAAOpuE,UAAWmwB,QAE7CrwB,EAASsuE,EAAMpiE,MAAM/F,KAAMjG,WAG7B,OAAO0tE,EAA2BznE,KAAMnG,IAI5C,SAASuuE,EAAe33C,EAAKx4B,GAC3B,OAWF,SAAyBw4B,GACvB,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOA,EAZxB43C,CAAgB53C,IAmBzB,SAA+BA,EAAKx4B,GAClC,GAAsB,oBAAXxB,UAA4BA,OAAO+hB,YAAYlhB,OAAOm5B,IAAO,OACxE,IAAI63C,EAAO,GACPC,GAAK,EACLhyC,GAAK,EACLiyC,OAAK5rE,EAET,IACE,IAAK,IAAiC6rE,EAA7Bz3D,EAAKyf,EAAIh6B,OAAO+hB,cAAmB+vD,GAAME,EAAKz3D,EAAG9G,QAAQ6K,QAChEuzD,EAAK/5D,KAAKk6D,EAAGntE,QAETrD,GAAKqwE,EAAKnwE,SAAWF,GAH8CswE,GAAK,IAK9E,MAAOnZ,GACP74B,GAAK,EACLiyC,EAAKpZ,EACL,QACA,IACOmZ,GAAsB,MAAhBv3D,EAAW,QAAWA,EAAW,SAC5C,QACA,GAAIulB,EAAI,MAAMiyC,GAIlB,OAAOF,EA3CwBI,CAAsBj4C,EAAKx4B,IAAM0wE,EAA4Bl4C,EAAKx4B,IAmEnG,WACE,MAAM,IAAIuC,UAAU,6IApEmFouE,GAGzG,SAASC,EAAmBp4C,GAC1B,OAGF,SAA4BA,GAC1B,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOq4C,EAAkBr4C,GAJ1Cs4C,CAAmBt4C,IAW5B,SAA0Bu4C,GACxB,GAAsB,oBAAXvyE,QAA0BA,OAAO+hB,YAAYlhB,OAAO0xE,GAAO,OAAO16D,MAAM6c,KAAK69C,GAZtDC,CAAiBx4C,IAAQk4C,EAA4Bl4C,IA2DzF,WACE,MAAM,IAAIj2B,UAAU,wIA5D2E0uE,GA0CjG,SAASP,EAA4BlqC,EAAG0qC,GACtC,GAAK1qC,EAAL,CACA,GAAiB,iBAANA,EAAgB,OAAOqqC,EAAkBrqC,EAAG0qC,GACvD,IAAItyD,EAAIvf,OAAOC,UAAUF,SAASK,KAAK+mC,GAAGx7B,MAAM,GAAI,GAEpD,MADU,WAAN4T,GAAkB4nB,EAAEjmC,cAAaqe,EAAI4nB,EAAEjmC,YAAY5B,MAC7C,QAANigB,GAAqB,QAANA,EAAoBvI,MAAM6c,KAAKsT,GACxC,cAAN5nB,GAAqB,2CAA2C1L,KAAK0L,GAAWiyD,EAAkBrqC,EAAG0qC,QAAzG,GAGF,SAASL,EAAkBr4C,EAAK5iB,IACnB,MAAPA,GAAeA,EAAM4iB,EAAIt4B,UAAQ0V,EAAM4iB,EAAIt4B,QAE/C,IAAK,IAAIF,EAAI,EAAGmxE,EAAO,IAAI96D,MAAMT,GAAM5V,EAAI4V,EAAK5V,IAAKmxE,EAAKnxE,GAAKw4B,EAAIx4B,GAEnE,OAAOmxE,EAWT,IAAI,EAA0B,SAAU2F,GACtC3H,EAAUoe,EAAYzW,GAEtB,IAAIH,EAAShH,EAAa4d,GAE1B,SAASA,IAGP,OAFAp0D,EAAgBpxB,KAAMwlF,GAEf5W,EAAO7oE,MAAM/F,KAAMjG,WAiD5B,OA9CA+sE,EAAa0e,EAAY,CAAC,CACxBptF,IAAK,WACLkD,MAAO,SAAkBmuE,GACvB,IAAI5qE,EAAO4qE,EAAK5qE,KACZ8qD,EAAS8f,EAAK9f,OAClB,OAAO,WACL,OAAO,YAAW9qD,EAAM8qD,EAAOoF,MAAM02B,cAGxC,CACDrtF,IAAK,OACLkD,MAAO,SAAc2vE,GACnB,IAAIpsE,EAAOosE,EAAMpsE,KACjB,MAAO,CACL,SAAU,YAAWA,MAGxB,CACDzG,IAAK,aACLkD,MAAO,SAAoB4vE,GACzB,IAAIrsE,EAAOqsE,EAAMrsE,KACjB,MAAO,CAAC,YAAkB,WAAYA,MAEvC,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,eAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,SACTkxD,MAAO,QACP0mB,UAAU,EACVlT,WAAW,EACXjR,SAAU,CAAC,CACTzhD,IAAK,eAEP6oD,MAAO,WACL,MAAO,CAAC,aAAc,SAMvB6jB,EAzDqB,CA0D5B,KAEE,EAA0B,SAAUzW,GACtC3H,EAAUse,EAAY3W,GAEtB,IAAIH,EAAShH,EAAa8d,GAE1B,SAASA,IAGP,OAFAt0D,EAAgBpxB,KAAM0lF,GAEf9W,EAAO7oE,MAAM/F,KAAMjG,WAgD5B,OA7CA+sE,EAAa4e,EAAY,CAAC,CACxBttF,IAAK,WACLkD,MAAO,SAAkBmuE,GACvB,IAAI5qE,EAAO4qE,EAAK5qE,KACZ8qD,EAAS8f,EAAK9f,OAClB,OAAO,WACL,OAAO,YAAW9qD,EAAM8qD,EAAOoF,MAAM42B,cAGxC,CACDvtF,IAAK,OACLkD,MAAO,SAAc2vE,GACnB,IAAIpsE,EAAOosE,EAAMpsE,KACb8qD,EAASshB,EAAMthB,OACnB,MAAO,CACL,eAAgB,YAAW9qD,EAAM8qD,EAAOoF,MAAM42B,cAGjD,CACDvtF,IAAK,aACLkD,MAAO,SAAoB4vE,GACzB,IAAIrsE,EAAOqsE,EAAMrsE,KACjB,MAAO,CAAC,YAAkB,iBAAkBA,MAE7C,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,gBAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,aACTkxD,MAAO,QACPuC,SAAU,CAAC,CACTzhD,IAAK,OAEP6oD,MAAO,WACL,MAAO,CAAC,KAAM,SAMf+jB,EAxDqB,CAyD5B,KAEE,EAAyB,SAAU3W,GACrC3H,EAAUwe,EAAW7W,GAErB,IAAIH,EAAShH,EAAage,GAE1B,SAASA,IAGP,OAFAx0D,EAAgBpxB,KAAM4lF,GAEfhX,EAAO7oE,MAAM/F,KAAMjG,WAoD5B,OAjDA+sE,EAAa8e,EAAW,CAAC,CACvBxtF,IAAK,WACLkD,MAAO,SAAkBmuE,GACvB,IAAI5qE,EAAO4qE,EAAK5qE,KACZ8qD,EAAS8f,EAAK9f,OAClB,OAAO,WACL,OAAO,YAAgB9qD,EAAM8qD,EAAOoF,MAAM02B,cAG7C,CACDrtF,IAAK,OACLkD,MAAO,SAAc2vE,GACnB,IAAIpsE,EAAOosE,EAAMpsE,KACjB,MAAO,CACL,gBAAiB,YAAaA,MAGjC,CACDzG,IAAK,aACLkD,MAAO,SAAoB4vE,GACzB,IAAIrsE,EAAOqsE,EAAMrsE,KACjB,MAAO,CAAC,YAAuB,QAASA,MAEzC,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,eAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,QACT28C,MAAO,GACPuU,MAAO,QACPj5C,MAAM,EACN2/D,UAAU,EACVlT,WAAW,EACXjR,SAAU,CAAC,CACTzhD,IAAK,MACLgkD,mBAAoB,SAEtB6E,MAAO,WACL,MAAO,CAAC,MAAO,CAAC,OAAQ,UAMzBikB,EA5DoB,CA6D3B,KAEF,SAASC,GAAepc,GACtB,IAAI3qB,EAAM2qB,EAAK3qB,IACXloD,EAAO6yE,EAAK7yE,KACZgzE,EAAc,GACdkc,EAAS,yBAAehnC,GAAKjrC,QAAO,SAAU/D,GAChD,OAAOA,EAAKuuC,KAAKx/C,KAAKjI,OAASA,KA2CjC,OAlBAkvF,EAAOhuF,SAAQ,SAAU4oE,GACvB,IAAI5N,EAAW4N,EAAMxnD,IAAM,GAvBf,SAASqoE,EAAQ3xE,GAC7B,OAAOA,EAAKulD,QAAO,SAAUj7D,EAAGC,GAC9B,OAAOD,EAAE8I,OAAOsL,MAAM9W,QAAQ2C,GAAKonF,EAAQpnF,GAAKA,KAC/C,KAsBHonF,CAnBF,SAASwE,EAAWh3B,GAClB,IAAIyV,EAAYzqE,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GACpF,OAAOg1D,EAAMl/C,KAAI,SAAUwuC,GACzB,IAAI2nC,EAAU,GAAGhjF,OAAO6lE,EAAmBrE,GAAYqE,EAAmBxqB,EAAK4nC,WAAa5nC,EAAK4nC,WAAWzhB,UAAY,KAExH,OAAInmB,EAAK6nC,SACAH,EAAW1nC,EAAK6nC,SAAUF,GAG5B,CACL5nF,KAAMigD,EAAK/iD,MACX0qF,QAASA,MAQLD,CADI,IAAII,cAAczlB,EAAMriB,KAAKwU,aAAav3D,QAC3BuU,KAAI,SAAUwuC,GACvC,IAAIlzB,EAAO2nC,EACP77B,EAAK9L,EAAOkzB,EAAKjgD,KAAKjG,OAE1B,OADA26D,EAAW77B,EACJiwC,EAAeA,EAAe,GAAI7oB,GAAO,GAAI,CAClDlzB,KAAMA,EACN8L,GAAIA,OAELn/B,SAAQ,SAAUumD,GACnB,IAAI+nC,EAAa,IAAW3vB,OAAOpY,EAAKlzB,KAAMkzB,EAAKpnB,GAAI,CACrDqsC,MAAOjlB,EAAK2nC,QAAQjmF,KAAK,OAE3B6pE,EAAYr7D,KAAK63E,SAGd,IAAclhF,OAAO45C,EAAK8qB,GA6CnC,IAAI,GAAkC,SAAUmF,GAC9C3H,EAAUif,EAAoBtX,GAE9B,IAAIH,EAAShH,EAAaye,GAE1B,SAASA,IACP,IAAIhc,EAEAruE,EAAUjC,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAElFq3B,EAAgBpxB,KAAMqmF,GAEtBhc,EAAQuE,EAAOl3E,KAAKsI,KAAMhE,GAE1B,IACE1E,OAAO0T,QAAQq/D,EAAMruE,QAAQsqF,WAAWxuF,SAAQ,SAAU2xE,GACxD,IAAIwB,EAAQ7C,EAAeqB,EAAM,GAC7B7yE,EAAOq0E,EAAM,GACbjqB,EAAUiqB,EAAM,GAEpB,IAAIsb,iBAAiB3vF,EAAMoqD,MAE7B,MAAOoO,GACP,MAAM,IAAIpiD,MAAM,2FAGlB,OAAOq9D,EAkET,OA/DAvD,EAAauf,EAAoB,CAAC,CAChCjuF,IAAK,WACLkD,MAAO,SAAkB4vE,GACvB,IAAIrsE,EAAOqsE,EAAMrsE,KACb8qD,EAASuhB,EAAMvhB,OACnB,OAAO,WACL,OAAO,YAAgB9qD,EAAM8qD,EAAOoF,MAAM02B,cAG7C,CACDrtF,IAAK,OACLkD,MAAO,SAAcoyE,GACnB,IAAI7uE,EAAO6uE,EAAM7uE,KACjB,MAAO,CACL,gBAAiB,YAAaA,MAGjC,CACDzG,IAAK,aACLkD,MAAO,SAAoB0yE,GACzB,IAAInvE,EAAOmvE,EAAMnvE,KACjB,MAAO,CAAC,YAAuB,QAASA,MAEzC,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,eAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,CACL0rF,UAAW,MAGd,CACDluF,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,QACT28C,MAAO,GACPuU,MAAO,QACPj5C,MAAM,EACN2/D,UAAU,EACVlT,WAAW,EACXjR,SAAU,CAAC,CACTzhD,IAAK,MACLgkD,mBAAoB,SAEtB6E,MAAO,WACL,MAAO,CAAC,MAAO,CAAC,OAAQ,QAI7B,CACDvpE,IAAK,UACLwC,IAAK,WACH,MAAO,EAhIYqwE,EAgIK,CACtBr0E,KAAMoJ,KAAKpJ,MAhIbA,EAAOq0E,EAAMr0E,KACV,IAAI,IAAO,CAChBA,KAAM,IAAI,IAAU,aACpBoN,MAAO,CACLiuB,KAAM,SAAc63B,EAAGohB,GAErB,OAAO2a,GAAe,CACpB/mC,IAFQosB,EAAMpsB,IAGdloD,KAAMA,KAGVmP,MAAO,SAAeqlE,EAAaob,EAAej7B,EAAUL,GAG1D,IAAIu7B,EAAcl7B,EAAStN,UAAU6B,MAAM39C,OAAOtD,KAAKjI,KACnD8vF,EAAcx7B,EAASjN,UAAU6B,MAAM39C,OAAOtD,KAAKjI,KACnD+vF,EAAW,yBAAep7B,EAASzM,KAAKjrC,QAAO,SAAU/D,GAC3D,OAAOA,EAAKuuC,KAAKx/C,KAAKjI,OAASA,KAE7BgwF,EAAW,yBAAe17B,EAASpM,KAAKjrC,QAAO,SAAU/D,GAC3D,OAAOA,EAAKuuC,KAAKx/C,KAAKjI,OAASA,KAGjC,OAAIw0E,EAAYsH,aAAe,CAAC+T,EAAaC,GAAarsE,SAASzjB,IAASgwF,EAASzuF,SAAWwuF,EAASxuF,QAChG0tF,GAAe,CACpB/mC,IAAKssB,EAAYtsB,IACjBloD,KAAMA,IAIH4vF,EAAc32E,IAAIu7D,EAAYpqB,QAASoqB,EAAYtsB,OAG9D5tB,MAAO,CACL04C,YAAa,SAAqB5lE,GAChC,OAAOhE,KAAKmsD,SAASnoD,SApC7B,IAAyBinE,EACnBr0E,MAqIGyvF,EA5F6B,CA6FpC,KAEE,GAAyB,SAAUtX,GACrC3H,EAAUyf,EAAW9X,GAErB,IAAIH,EAAShH,EAAaif,GAE1B,SAASA,IAGP,OAFAz1D,EAAgBpxB,KAAM6mF,GAEfjY,EAAO7oE,MAAM/F,KAAMjG,WAsC5B,OAnCA+sE,EAAa+f,EAAW,CAAC,CACvBzuF,IAAK,OACLkD,MAAO,SAAcmuE,GACnB,IAAI5qE,EAAO4qE,EAAK5qE,KACZioF,EAAU,YAAc,KAAU,SAAU9iF,EAAOg6C,GAErD,OADAA,EAASh6C,EAAMk6C,GAAGsL,qBAAqB3qD,EAAKqG,UAAUi5C,mBAC/C,KAET,MAAO,CACL,YAAa2oC,EACb,cAAeA,KAGlB,CACD1uF,IAAK,OACLwC,IAAK,WACH,MAAO,eAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACL67D,QAAQ,EACRuB,MAAO,SACPlQ,YAAY,EACZyS,SAAU,CAAC,CACTzhD,IAAK,OAEP6oD,MAAO,WACL,MAAO,CAAC,YAMTklB,EA9CoB,CA+C3B,KAEE,GAAuB,SAAU9X,GACnC3H,EAAU2f,EAAShY,GAEnB,IAAIH,EAAShH,EAAamf,GAE1B,SAASA,IAGP,OAFA31D,EAAgBpxB,KAAM+mF,GAEfnY,EAAO7oE,MAAM/F,KAAMjG,WA0E5B,OAvEA+sE,EAAaigB,EAAS,CAAC,CACrB3uF,IAAK,WACLkD,MAAO,SAAkBmuE,GACvB,IAAI5qE,EAAO4qE,EAAK5qE,KACZ8qD,EAAS8f,EAAK9f,OAClB,OAAO,SAAUnH,GACf,OAAO,YAAgB3jD,EAAM8qD,EAAOoF,MAAM02B,UAAWjjC,MAGxD,CACDpqD,IAAK,OACLkD,MAAO,SAAc2vE,GACnB,IAAIpsE,EAAOosE,EAAMpsE,KACjB,OAAOmB,KAAKhE,QAAQgrF,OAAO7xB,QAAO,SAAUusB,EAAOngB,GACjD,OAAO2F,EAAeA,EAAe,GAAIwa,GAAQ3a,EAAgB,GAAI,cAAc/jE,OAAOu+D,GAAQ,YAAa1iE,EAAM,CACnH0iE,MAAOA,QAER,MAEJ,CACDnpE,IAAK,aACLkD,MAAO,SAAoB4vE,GACzB,IAAIrsE,EAAOqsE,EAAMrsE,KACjB,OAAOmB,KAAKhE,QAAQgrF,OAAOn3E,KAAI,SAAU0xD,GACvC,OAAO,YAAuB,IAAI91D,OAAO,SAASzI,OAAOu+D,EAAO,WAAY1iE,GAAM,WAChF,MAAO,CACL0iE,MAAOA,WAKd,CACDnpE,IAAK,OACLwC,IAAK,WACH,MAAO,YAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,CACLosF,OAAQ,CAAC,EAAG,EAAG,EAAG,EAAG,EAAG,MAG3B,CACD5uF,IAAK,SACLwC,IAAK,WACH,MAAO,CACL4nD,MAAO,CACL+e,MAAO,CACL18D,QAAS,IAGbiC,QAAS,UACTkxD,MAAO,QACP0mB,UAAU,EACVlT,WAAW,EACXjR,SAAUv6D,KAAKhE,QAAQgrF,OAAOn3E,KAAI,SAAU0xD,GAC1C,MAAO,CACLzoD,IAAK,IAAI9V,OAAOu+D,GAChB/e,MAAO,CACL+e,MAAOA,OAIbI,MAAO,SAAetjB,GACpB,MAAO,CAAC,IAAIr7C,OAAOq7C,EAAKmE,MAAM+e,OAAQ,SAMvCwlB,EAlFkB,CAmFzB,KAEE,GAA8B,SAAUhY,GAC1C3H,EAAU6f,EAAgBlY,GAE1B,IAAIH,EAAShH,EAAaqf,GAE1B,SAASA,IAGP,OAFA71D,EAAgBpxB,KAAMinF,GAEfrY,EAAO7oE,MAAM/F,KAAMjG,WAuC5B,OApCA+sE,EAAamgB,EAAgB,CAAC,CAC5B7uF,IAAK,WACLkD,MAAO,SAAkBmuE,GACvB,IAAI5qE,EAAO4qE,EAAK5qE,KAChB,OAAO,WACL,OAAO,SAAUmF,EAAOg6C,GACtB,OAAOA,EAASh6C,EAAMk6C,GAAGsL,qBAAqB3qD,EAAKqG,eAIxD,CACD9M,IAAK,aACLkD,MAAO,SAAoB2vE,GACzB,IAAIpsE,EAAOosE,EAAMpsE,KACjB,MAAO,CAAC,YAAc,2BAA4BA,MAEnD,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,oBAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLo9D,MAAO,QACPuC,SAAU,CAAC,CACTzhD,IAAK,OAEP6oD,MAAO,WACL,MAAO,CAAC,YAMTslB,EA/CyB,CAgDhC,KAWEC,GAAoB,gDAEpB,GAAqB,SAAUnY,GACjC3H,EAAU+f,EAAOpY,GAEjB,IAAIH,EAAShH,EAAauf,GAE1B,SAASA,IAGP,OAFA/1D,EAAgBpxB,KAAMmnF,GAEfvY,EAAO7oE,MAAM/F,KAAMjG,WAqH5B,OAlHA+sE,EAAaqgB,EAAO,CAAC,CACnB/uF,IAAK,WACLkD,MAAO,SAAkBmuE,GACvB,IAAI5qE,EAAO4qE,EAAK5qE,KAChB,OAAO,SAAU2jD,GACf,OAAO,SAAUx+C,EAAOg6C,GACtB,IAAIC,EAAYj6C,EAAMi6C,UAClB3kC,EAAW2kC,EAAU+E,QAAU/E,EAAU+E,QAAQ9pC,IAAM+kC,EAAUqB,IAAIpmC,IACrEmlC,EAAOx/C,EAAKqG,OAAOs9C,GAEvBxE,EADkBh6C,EAAMk6C,GAAG6G,OAAOzrC,EAAU+kC,QAKjD,CACDjmD,IAAK,aACLkD,MAAO,SAAoB2vE,GACzB,IAAIpsE,EAAOosE,EAAMpsE,KACjB,MAAO,CAAC,YAAcqoF,GAAmBroF,GAAM,SAAUqN,GACvD,IAAIk7E,EAAShf,EAAel8D,EAAO,GAC/Bm7E,EAAMD,EAAO,GAIjB,MAAO,CACLv/E,IAJQu/E,EAAO,GAKfC,IAAKA,EACL74E,MALU44E,EAAO,UAStB,CACDhvF,IAAK,OACLwC,IAAK,WACH,MAAO,UAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACL67D,QAAQ,EACRjU,MAAO,CACL36C,IAAK,GACLw/E,IAAK,CACHxiF,QAAS,MAEX2J,MAAO,CACL3J,QAAS,OAGbmzD,MAAO,SACPwT,WAAW,EACXjR,SAAU,CAAC,CACTzhD,IAAK,WACLshD,SAAU,SAAkBR,GAC1B,MAAO,CACL/xD,IAAK+xD,EAAI/oC,aAAa,OACtBriB,MAAOorD,EAAI/oC,aAAa,SACxBw2D,IAAKztB,EAAI/oC,aAAa,WAI5B8wC,MAAO,SAAetjB,GACpB,MAAO,CAAC,MAAOA,EAAKmE,WAIzB,CACDpqD,IAAK,UACLwC,IAAK,WACH,MAAO,CAAC,IAAI,IAAO,CACjBs2B,MAAO,CACLugD,gBAAiB,CACflM,KAAM,SAAcjhB,EAAMhkD,GAGxB,GAFeA,EAAMgnF,cAAgBhnF,EAAMgnF,aAAaC,OAASjnF,EAAMgnF,aAAaC,MAAMpvF,OAE1F,CAIA,IAAIqvF,EAASl5E,MAAM6c,KAAK7qB,EAAMgnF,aAAaC,OAAO1zE,QAAO,SAAUvW,GACjE,MAAO,SAAS6N,KAAK7N,EAAKuB,SAG5B,GAAsB,IAAlB2oF,EAAOrvF,OAAX,CAIAmI,EAAMmnF,iBACN,IAAI99B,EAASrF,EAAKtgD,MAAM2lD,OACpB+9B,EAAcpjC,EAAK4gB,YAAY,CACjCf,KAAM7jE,EAAM6kE,QACZnH,IAAK19D,EAAM8kE,UAEboiB,EAAO1vF,SAAQ,SAAU6vF,GACvB,IAAIC,EAAS,IAAIC,WAEjBD,EAAOE,OAAS,SAAUC,GACxB,IAAI1pC,EAAOsL,EAAOoF,MAAM44B,MAAMziF,OAAO,CACnC2C,IAAKkgF,EAAY7rF,OAAOrC,SAEtBuxE,EAAc9mB,EAAKtgD,MAAMk6C,GAAG6G,OAAO2iC,EAAYxuE,IAAKmlC,GACxDiG,EAAKtG,SAASotB,IAGhBwc,EAAOI,cAAcL,kBAS5BR,EA7HgB,CA8HvB,KAEE,GAAwB,SAAUpY,GACpC3H,EAAU6gB,EAAUlZ,GAEpB,IAAIH,EAAShH,EAAaqgB,GAE1B,SAASA,IAGP,OAFA72D,EAAgBpxB,KAAMioF,GAEfrZ,EAAO7oE,MAAM/F,KAAMjG,WAmC5B,OAhCA+sE,EAAamhB,EAAU,CAAC,CACtB7vF,IAAK,OACLkD,MAAO,SAAcmuE,GACnB,IAAI5qE,EAAO4qE,EAAK5qE,KAChB,MAAO,CACLqpF,MAAO,YAAcrpF,GACrBspF,IAAK,YAAatpF,GAClB,YAAa,YAAaA,MAG7B,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,cAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACLkM,QAAS,mBACT43E,UAAU,EACVlT,WAAW,EACXjR,SAAU,CAAC,CACTzhD,IAAK,OAEP6oD,MAAO,WACL,MAAO,CAAC,KAAM,SAMfsmB,EA3CmB,CA4C1B,KA+DF,SAASG,GAAkBnd,GACzB,IAAIod,EAAgBpd,EAAM57B,QACtBA,OAA4B,IAAlBg5C,EAA2B,CACvCC,KAAM,IACNC,aAAa,EACbC,aAAa,GACXH,EACAI,EAAmBxd,EAAMyd,WACzBA,OAAkC,IAArBD,EAA8B,KAAOA,EAClDE,EAAwB1d,EAAM2d,gBAC9BA,OAA4C,IAA1BD,EAAmC,aAAeA,EACpEE,EAAgB5d,EAAM6b,QACtBgC,OAA6B,IAAlBD,EAA2B,WACxC,OAAO,GACLA,EACAE,EAAc9d,EAAMyW,MACpBA,OAAwB,IAAhBqH,EAAyB,GAAKA,EACtCC,EAAgB/d,EAAMge,QACtBA,OAA4B,IAAlBD,EAA2B,WACvC,OAAO,GACLA,EACAE,EAAiBje,EAAMke,SACvBA,OAA8B,IAAnBD,EAA4B,WACzC,OAAO,GACLA,EACAE,EAAene,EAAMoe,OACrBA,OAA0B,IAAjBD,EAA0B,WACrC,OAAO,GACLA,EACAE,EAAkBre,EAAMse,UACxBA,OAAgC,IAApBD,EAA6B,WAC3C,OAAO,GACLA,EACAE,EAAiBve,EAAMwe,SACvBA,OAA8B,IAAnBD,EAA4B,SAAUE,EAAaC,GAChE,OAAKA,EAIED,EAAY71E,QAAO,SAAU/D,GAClC,OAAOO,KAAKC,UAAUR,GAAMqC,cAAckI,SAASsvE,EAAMx3E,kBAJlDu3E,GAMPF,EAEJ,OAAO,IAAI,SAAS,CAClBpxF,IAAK,IAAI,YAAY,eACrBksD,KAAM,WACJ,IAjkCqBtsD,EAqkCb4xF,EAJJvf,EAAQrqE,KAEZ,MAAO,CACLiT,QApkCmBjb,EAqkC6B6xF,mBAAmB1gC,MAAK,SAAS2gC,EAAQxlC,EAAMqf,GAC3F,IAAI3vC,EAAM9pB,EAAM6/E,EAAOC,EAAS5xE,EAASi2D,EAAS4b,EAAaC,EAAcC,EAAYnmF,EAAOomF,EAAgBC,EAAan5D,EAC7H,OAAO24D,mBAAmB5nC,MAAK,SAAkBqoC,GAC/C,OACE,OAAQA,EAASt2D,KAAOs2D,EAASpgF,MAC/B,KAAK,EAYH,GAXA8pB,EAAOq2C,EAAMjyE,IAAI+zD,SAASwX,GAC1Bz5D,EAAOmgE,EAAMjyE,IAAI+zD,SAAS7H,EAAKtgD,OAE/B+lF,EAAQ/1D,EAAKqiC,QAAUnsD,EAAKmsD,QAAUriC,EAAKurB,MAAMp0B,OAASjhB,EAAKq1C,MAAMp0B,KACrE6+D,GAAWh2D,EAAKqiC,QAAUnsD,EAAKmsD,OAC/Bj+C,EAAU4b,EAAKqiC,SAAWnsD,EAAKmsD,OAC/BgY,GAAW2b,IAAY5xE,GAAW4b,EAAK21D,QAAUz/E,EAAKy/E,MAEtDO,EAAe7b,IAAY0b,EAC3BI,EAAa/xE,GAAW2xE,GAFxBE,EAAcD,GAAWD,IAIFG,GAAiBC,EAAa,CACnDG,EAASpgF,KAAO,GAChB,MAGF,OAAOogF,EAASC,OAAO,UAEzB,KAAK,GAmBH,GAlBAvmF,EAAQmmF,EAAan2D,EAAO9pB,EAC5BkgF,EAAiBzwF,SAASwZ,cAAc,wBAAyBnQ,OAAOgB,EAAMwmF,aAAc,OAG5FH,EAAcD,EAAiB,CAC7BnmB,sBAAuB,WACrB,OAAOmmB,EAAenmB,yBAExBwmB,YAAaL,EAAeK,YAC5BC,aAAcN,EAAeM,cAC3B,KACJJ,EAASK,GAAKrmC,EACdgmC,EAASM,GAAK5mF,EAAMu7C,MACpB+qC,EAASO,GAAK7mF,EAAM2lF,MACpBW,EAASQ,GAAK9mF,EAAM5F,KACpBksF,EAASS,GAAKX,EACdE,EAASU,GAAKX,GAERH,IAAgBD,EAAc,CAClCK,EAASpgF,KAAO,GAChB,MAKF,GAFAogF,EAASW,GAAKxB,GAETn7E,MAAM9W,QAAQkqF,GAAQ,CACzB4I,EAASpgF,KAAO,GAChB,MAGFogF,EAASY,GAAKxJ,EACd4I,EAASpgF,KAAO,GAChB,MAEF,KAAK,GAEH,OADAogF,EAASpgF,KAAO,GACTw3E,IAET,KAAK,GACH4I,EAASY,GAAKZ,EAASa,KAEzB,KAAK,GAIH,OAHAb,EAASc,GAAKd,EAASY,GACvBZ,EAASe,IAAMrnF,EAAM2lF,MACrBW,EAASpgF,KAAO,IACT,EAAIogF,EAASW,IAAIX,EAASc,GAAId,EAASe,KAEhD,KAAK,GACHf,EAASgB,GAAKhB,EAASa,KACvBb,EAASpgF,KAAO,GAChB,MAEF,KAAK,GACHogF,EAASgB,GAAK,GAEhB,KAAK,GACHhB,EAASiB,IAAMjB,EAASgB,GAExBhB,EAASkB,IAAM,SAAiBtgB,GAC9B,IAAI3rB,EAAQ2rB,EAAM3rB,MACdiD,EAAQ0oB,EAAM1oB,MAElBsmC,EAAS,CACPvpC,MAAOA,EACPiD,MAAOA,EACPmH,OAAQrF,EAAKtgD,MAAM2lD,QAHrBm/B,CAIGxkC,EAAKtgD,MAAOsgD,EAAKtG,SAAUsG,GAE1BokC,GACF,YAAWA,EAAX,CAAuBpkC,EAAKtgD,MAAOsgD,EAAKtG,SAAUsG,IAItDpzB,EAAQ,CACNozB,KAAMgmC,EAASK,GACfprC,MAAO+qC,EAASM,GAChBjB,MAAOW,EAASO,GAChBzsF,KAAMksF,EAASQ,GACfV,eAAgBE,EAASS,GACzBV,YAAaC,EAASU,GACtBtJ,MAAO4I,EAASiB,IAChBzE,QAASwD,EAASkB,KAIhBrB,GACFd,EAAOn4D,GAGLg5D,GACFf,EAASj4D,GAGP+4D,GACFhB,EAAQ/3D,GAGZ,KAAK,GACL,IAAK,MACH,OAAOo5D,EAAS3xE,UAGrBmxE,MA/HDF,EApkCL,WACL,IAAI1yF,EAAO8I,KACPqO,EAAOtU,UACX,OAAO,IAAIqiB,SAAQ,SAAUC,EAASC,GACpC,IAAI8oE,EAAMptF,EAAG+N,MAAM7O,EAAMmX,GAEzB,SAASg3E,EAAM/pF,GACb6pF,EAAmBC,EAAK/oE,EAASC,EAAQ+oE,EAAOC,EAAQ,OAAQhqF,GAGlE,SAASgqF,EAAOl2B,GACd+1B,EAAmBC,EAAK/oE,EAASC,EAAQ+oE,EAAOC,EAAQ,QAASl2B,GAGnEi2B,OAAMzoF,OAwrCF,SAAgB6uF,EAAIC,GAClB,OAAO9B,EAAQ7jF,MAAM/F,KAAMjG,eAOnCiK,MAAO,CAELiuB,KAAM,WACJ,MAAO,CACLokC,QAAQ,EACR9W,MAAO,GACPoqC,MAAO,KACPvrF,KAAM,OAIV2H,MAAO,SAAem4C,EAAIlqB,GACxB,IAtQkBy1C,EACpBkiB,EACArD,EACAsD,EACArD,EACAsD,EACArD,EAgQMvqC,EAAYC,EAAGD,UAEf/zC,EAAOg9D,EAAe,GAAIlzC,GAG9B,GAAIiqB,EAAU9yB,OAAS8yB,EAAUhnB,GAAI,EAE/BgnB,EAAU9yB,KAAO6I,EAAKurB,MAAMp0B,MAAQ8yB,EAAU9yB,KAAO6I,EAAKurB,MAAMtoB,MAClE/sB,EAAKmsD,QAAS,GAIhB,IAAIy1B,EAAY7tC,EAAUoB,MACtBnzC,GAlRRy/E,GADoBliB,EAmRap6B,GAlRhBi5C,KACjBA,OAAqB,IAAdqD,EAAuB,IAAMA,EACpCC,EAAmBniB,EAAK8e,YACxBA,OAAmC,IAArBqD,GAAsCA,EACpDC,EAAmBpiB,EAAK+e,YACxBA,OAAmC,IAArBqD,GAAsCA,EACjD,SAAUC,GAEf,GAAIA,EAAUjtC,OAAS,EACrB,OAAO,EAeT,IAXA,IASIvlC,EATAyyE,EAAc,KAAK/oF,OAAOslF,GAC1BjzC,EAAS,IAAI5pC,OAAO,MAAMzI,OAAO+oF,EAAa,MAC9C32C,EAASozC,EAAc,IAAM,GAC7B5gE,EAAS2gE,EAAc,IAAI98E,OAAO,GAAGzI,OAAOoyC,GAAQpyC,OAAO+oF,EAAa,aAAa/oF,OAAO+oF,EAAa,OAAQ,MAAQ,IAAItgF,OAAO,GAAGzI,OAAOoyC,EAAQ,UAAUpyC,OAAO+oF,EAAa,SAAS/oF,OAAO+oF,EAAa,MAAO,MAExNC,EAAWF,EAAU/sC,SACrBktC,EAASH,EAAU9pC,MACnB5jD,EAAO0tF,EAAUhtC,IAAIoO,YAAY8+B,EAAUC,EAAQ,KAAM,MACzD//E,EAAQ0b,EAAO5xB,KAAKoI,GAGP,OAAV8N,GAAgB,CAGrB,IAAIggF,EAAchgF,EAAM7D,MAAMpF,MAAMnM,KAAKkwB,IAAI,EAAG9a,EAAMO,MAAQ,GAAIP,EAAMO,OAExE,GAAI,YAAYtB,KAAK+gF,GAAc,CAEjC,IAAI/gE,EAAOjf,EAAMO,MAAQq/E,EAAU9+B,QAC/B/1B,EAAK9L,EAAOjf,EAAM,GAAG/T,OAGrBowF,GAAelzC,EAAOlqC,KAAK/M,EAAK6E,MAAMg0B,EAAK,EAAGA,EAAK,MACrD/qB,EAAM,IAAM,IACZ+qB,GAAM,GAIJ9L,EAAO2gE,EAAU5yE,KAAO+d,GAAM60D,EAAU5yE,MAC1CI,EAAW,CACTimC,MAAO,CACLp0B,KAAMA,EACN8L,GAAIA,GAEN0yD,MAAOz9E,EAAM,GAAGjJ,MAAMqlF,EAAKnwF,QAC3BiG,KAAM8N,EAAM,KAKlBA,EAAQ0b,EAAO5xB,KAAKoI,GAGtB,OAAOkb,IA0NqCwyE,GAClCtB,GAAgB1zF,KAAK+R,SAAW,GAAGxR,SAAS,IAAI83B,OAAO,EAAG,GAE1DjjB,GACFhC,EAAKmsD,QAAS,EACdnsD,EAAKsgF,aAAex2D,EAAKw2D,aAAex2D,EAAKw2D,aAAeA,EAC5DtgF,EAAKq1C,MAAQrzC,EAAMqzC,MACnBr1C,EAAKy/E,MAAQz9E,EAAMy9E,MACnBz/E,EAAK9L,KAAO8N,EAAM9N,MAElB8L,EAAKmsD,QAAS,OAGhBnsD,EAAKmsD,QAAS,EAWhB,OAPKnsD,EAAKmsD,SACRnsD,EAAKsgF,aAAe,KACpBtgF,EAAKq1C,MAAQ,GACbr1C,EAAKy/E,MAAQ,KACbz/E,EAAK9L,KAAO,MAGP8L,IAGXgnB,MAAO,CAELm1C,cAAe,SAAuB/hB,EAAMhkD,GAC1C,IAAI6rF,EAAiBnsF,KAAKmsD,SAAS7H,EAAKtgD,OACpCqyD,EAAS81B,EAAe91B,OACxB9W,EAAQ4sC,EAAe5sC,MAE3B,QAAK8W,GACEkzB,EAAU,CACfjlC,KAAMA,EACNhkD,MAAOA,EACPi/C,MAAOA,KAIXqqB,YAAa,SAAqBwiB,GAChC,IAAIC,EAAkBrsF,KAAKmsD,SAASigC,GAChC/1B,EAASg2B,EAAgBh2B,OACzB9W,EAAQ8sC,EAAgB9sC,MACxBirC,EAAe6B,EAAgB7B,aAEnC,OAAKn0B,EACE,IAAcnxD,OAAOknF,EAAYttC,IAAK,CAAC,IAAW2X,OAAOlX,EAAMp0B,KAAMo0B,EAAMtoB,GAAI,CACpF+nC,SAAU,OACVsE,MAAOslB,EACP,qBAAsB4B,MAJJ,SA6G1B,IAlGF,IAoGI,GAA2B,SAAUzb,GACvC3H,EAAUklB,EAAavd,GAEvB,IAAIH,EAAShH,EAAa0kB,GAE1B,SAASA,IAGP,OAFAl7D,EAAgBpxB,KAAMssF,GAEf1d,EAAO7oE,MAAM/F,KAAMjG,WAkE5B,OA/DA+sE,EAAawlB,EAAa,CAAC,CACzBl0F,IAAK,WACLkD,MAAO,SAAkBmuE,GACvB,IAAI5qE,EAAO4qE,EAAK5qE,KACZ8qD,EAAS8f,EAAK9f,OAClB,OAAO,WACL,OAAO,YAAW9qD,EAAM8qD,EAAOoF,MAAM42B,cAGxC,CACDvtF,IAAK,OACLkD,MAAO,SAAc2vE,GACnB,IAAIpsE,EAAOosE,EAAMpsE,KACb8qD,EAASshB,EAAMthB,OACnB,MAAO,CACL,eAAgB,YAAW9qD,EAAM8qD,EAAOoF,MAAM42B,cAGjD,CACDvtF,IAAK,aACLkD,MAAO,SAAoB4vE,GACzB,IAAIrsE,EAAOqsE,EAAMrsE,KACjB,MAAO,CAAC,YAAkB,cAAeA,GAAM,SAAUqN,GACvD,MAAO,CACLqgF,OAAQrgF,EAAM,OAEf,SAAUA,EAAOmyC,GAClB,OAAOA,EAAKY,WAAaZ,EAAKmE,MAAM+pC,SAAWrgF,EAAM,SAGxD,CACD9T,IAAK,OACLwC,IAAK,WACH,MAAO,iBAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACL4nD,MAAO,CACL+pC,MAAO,CACL1nF,QAAS,IAGbiC,QAAS,aACTkxD,MAAO,QACPuC,SAAU,CAAC,CACTzhD,IAAK,KACLshD,SAAU,SAAkBR,GAC1B,MAAO,CACL2yB,MAAO3yB,EAAI4yB,aAAa,UAAY5yB,EAAI/oC,aAAa,SAAW,MAItE8wC,MAAO,SAAetjB,GACpB,OAA4B,IAArBA,EAAKmE,MAAM+pC,MAAc,CAAC,KAAM,GAAK,CAAC,KAAM,CACjDv/B,MAAO3O,EAAKmE,MAAM+pC,OACjB,SAMJD,EA1EsB,CA2E7B,KAEEG,GAAa,qBAAW,CAC1BC,WAAY,QACZC,YAAa,SACbC,eAAgB,CACdC,WAAY,CACVhoF,QAAS,KACTioF,WAAY,SAAoBlzB,GAC9B,OAAOA,EAAIlyD,MAAMqlF,iBAAmB,MAEtCC,WAAY,SAAoB1xF,EAAOknD,GACrC,GAAIlnD,EAAO,CACT,IAAIoM,EAAQ,CACVA,MAAO,GAAG1E,OAAOw/C,EAAM96C,OAAS,GAAI,sBAAsB1E,OAAO1H,EAAO,MAE1EhE,OAAO0G,OAAOwkD,EAAO96C,SA4V3B,IAhOF,IA0BA,IA0BA,IA0BA,IAyFA,IAuDA,IAEsB,SAAUulF,GAChC7lB,EAAU8lB,EAAMD,GAEhB,IAAIre,EAAShH,EAAaslB,GAE1B,SAASA,IAGP,OAFA97D,EAAgBpxB,KAAMktF,GAEfte,EAAO7oE,MAAM/F,KAAMjG,WA4D5B,OAzDA+sE,EAAaomB,EAAM,CAAC,CAClB90F,IAAK,OACLkD,MAAO,SAAcmuE,GACnB,IAAI5qE,EAAO4qE,EAAK5qE,KAChB,MAAO,CACL,QAAS,YAAWA,MAGvB,CACDzG,IAAK,WACLkD,MAAO,SAAkB2vE,GACvB,IAAIpsE,EAAOosE,EAAMpsE,KACjB,OAAO,WACL,OAAO,YAAWA,MAGrB,CACDzG,IAAK,aACLkD,MAAO,SAAoB4vE,GACzB,IAAIrsE,EAAOqsE,EAAMrsE,KACjB,MAAO,CAAC,YAAc,kCAAmCA,MAE1D,CACDzG,IAAK,aACLkD,MAAO,SAAoBoyE,GACzB,IAAI7uE,EAAO6uE,EAAM7uE,KACjB,MAAO,CAAC,YAAc,kCAAmCA,MAE1D,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACL2/D,SAAU,CAAC,CACTzhD,IAAK,UACJ,CACDA,IAAK,IACLshD,SAAU,SAAkB/b,GAC1B,MAAiC,WAA1BA,EAAK32C,MAAMylF,YAA2B,OAE9C,CACDzlF,MAAO,cACP0yD,SAAU,SAAkB9+D,GAC1B,MAAO,4BAA4B6P,KAAK7P,IAAU,QAGtDqmE,MAAO,WACL,MAAO,CAAC,SAAU,SAMnBurB,EApEe,CAqEtB,MAEE,GAAoB,SAAUD,GAChC7lB,EAAUgmB,EAAMH,GAEhB,IAAIre,EAAShH,EAAawlB,GAE1B,SAASA,IAGP,OAFAh8D,EAAgBpxB,KAAMotF,GAEfxe,EAAO7oE,MAAM/F,KAAMjG,WAmD5B,OAhDA+sE,EAAasmB,EAAM,CAAC,CAClBh1F,IAAK,OACLkD,MAAO,SAAcmuE,GACnB,IAAI5qE,EAAO4qE,EAAK5qE,KAChB,MAAO,CACL,QAAS,YAAWA,MAGvB,CACDzG,IAAK,WACLkD,MAAO,SAAkB2vE,GACvB,IAAIpsE,EAAOosE,EAAMpsE,KACjB,OAAO,WACL,OAAO,YAAWA,MAGrB,CACDzG,IAAK,aACLkD,MAAO,SAAoB4vE,GACzB,IAAIrsE,EAAOqsE,EAAMrsE,KACjB,MAAO,CAAC,YAAc,qBAAsBA,MAE7C,CACDzG,IAAK,aACLkD,MAAO,SAAoBoyE,GACzB,IAAI7uE,EAAO6uE,EAAM7uE,KACjB,MAAO,CAAC,YAAc,qBAAsBA,MAE7C,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACL00D,SAAU,IACViL,SAAU,CAAC,CACTzhD,IAAK,SAEP6oD,MAAO,WACL,MAAO,CAAC,OAAQ,SAMjByrB,EA3De,CA4DtB,KAEE,GAAsB,SAAUH,GAClC7lB,EAAUimB,EAAQJ,GAElB,IAAIre,EAAShH,EAAaylB,GAE1B,SAASA,IAGP,OAFAj8D,EAAgBpxB,KAAMqtF,GAEfze,EAAO7oE,MAAM/F,KAAMjG,WAsD5B,OAnDA+sE,EAAaumB,EAAQ,CAAC,CACpBj1F,IAAK,OACLkD,MAAO,SAAcmuE,GACnB,IAAI5qE,EAAO4qE,EAAK5qE,KAChB,MAAO,CACL,QAAS,YAAWA,MAGvB,CACDzG,IAAK,WACLkD,MAAO,SAAkB2vE,GACvB,IAAIpsE,EAAOosE,EAAMpsE,KACjB,OAAO,WACL,OAAO,YAAWA,MAGrB,CACDzG,IAAK,aACLkD,MAAO,SAAoB4vE,GACzB,IAAIrsE,EAAOqsE,EAAMrsE,KACjB,MAAO,CAAC,YAAc,yBAA0BA,GAAO,YAAc,2BAA4BA,MAElG,CACDzG,IAAK,aACLkD,MAAO,SAAoBoyE,GACzB,IAAI7uE,EAAO6uE,EAAM7uE,KACjB,MAAO,CAAC,YAAc,aAAcA,GAAO,YAAc,eAAgBA,MAE1E,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,WAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACL2/D,SAAU,CAAC,CACTzhD,IAAK,KACJ,CACDA,IAAK,MACJ,CACDpR,MAAO,sBAETi6D,MAAO,WACL,MAAO,CAAC,KAAM,SAMf0rB,EA9DiB,CA+DxB,KAEE,GAAoB,SAAUJ,GAChC7lB,EAAUkmB,EAAML,GAEhB,IAAIre,EAAShH,EAAa0lB,GAE1B,SAASA,IAGP,OAFAl8D,EAAgBpxB,KAAMstF,GAEf1e,EAAO7oE,MAAM/F,KAAMjG,WA6F5B,OA1FA+sE,EAAawmB,EAAM,CAAC,CAClBl1F,IAAK,WACLkD,MAAO,SAAkBmuE,GACvB,IAAI5qE,EAAO4qE,EAAK5qE,KAChB,OAAO,SAAU2jD,GACf,OAAIA,EAAMhzB,KACD,YAAW3wB,EAAM2jD,GAGnB,YAAW3jD,MAGrB,CACDzG,IAAK,aACLkD,MAAO,SAAoB2vE,GACzB,IAAIpsE,EAAOosE,EAAMpsE,KACjB,MAAO,CAAC,YAAU,gGAAiGA,GAAM,SAAUhB,GACjI,MAAO,CACL2xB,KAAM3xB,SAIX,CACDzF,IAAK,OACLwC,IAAK,WACH,MAAO,SAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,CACL2yF,aAAa,EACbrxF,OAAQ,QAGX,CACD9D,IAAK,SACLwC,IAAK,WACH,IAAIyvE,EAAQrqE,KAEZ,MAAO,CACLwiD,MAAO,CACLhzB,KAAM,CACJ3qB,QAAS,MAEX3I,OAAQ,CACN2I,QAAS,OAGbktD,WAAW,EACXwI,SAAU,CAAC,CACTzhD,IAAK,UACLshD,SAAU,SAAkBR,GAC1B,MAAO,CACLpqC,KAAMoqC,EAAI/oC,aAAa,QACvB30B,OAAQ09D,EAAI/oC,aAAa,cAI/B8wC,MAAO,SAAetjB,GACpB,MAAO,CAAC,IAAK6oB,EAAeA,EAAe,GAAI7oB,EAAKmE,OAAQ,GAAI,CAC9DgrC,IAAK,+BACLtxF,OAAQmuE,EAAMruE,QAAQE,SACpB,OAIT,CACD9D,IAAK,UACLwC,IAAK,WACH,OAAKoF,KAAKhE,QAAQuxF,YAIX,CAAC,IAAI,IAAO,CACjBr8D,MAAO,CACLw1C,YAAa,SAAqBpiB,EAAMprC,EAAK5Y,GAC3C,IAAIqpD,EAASrF,EAAKtgD,MAAM2lD,OACpBnH,EAAQ,YAAa8B,EAAKtgD,MAAO2lD,EAAOlG,MAAMzkD,MAE9CwjD,EAAMhzB,MAAQlvB,EAAMpE,kBAAkBuxF,oBACxCntF,EAAMotF,kBACNz2F,OAAO8Q,KAAKy6C,EAAMhzB,KAAMgzB,EAAMtmD,cAX7B,OAmBNoxF,EArGe,CAsGtB,KAEE,GAAsB,SAAUL,GAClC7lB,EAAUumB,EAAQV,GAElB,IAAIre,EAAShH,EAAa+lB,GAE1B,SAASA,IAGP,OAFAv8D,EAAgBpxB,KAAM2tF,GAEf/e,EAAO7oE,MAAM/F,KAAMjG,WA2D5B,OAxDA+sE,EAAa6mB,EAAQ,CAAC,CACpBv1F,IAAK,OACLkD,MAAO,SAAcmuE,GACnB,IAAI5qE,EAAO4qE,EAAK5qE,KAChB,MAAO,CACL,QAAS,YAAWA,MAGvB,CACDzG,IAAK,WACLkD,MAAO,SAAkB2vE,GACvB,IAAIpsE,EAAOosE,EAAMpsE,KACjB,OAAO,WACL,OAAO,YAAWA,MAGrB,CACDzG,IAAK,aACLkD,MAAO,SAAoB4vE,GACzB,IAAIrsE,EAAOqsE,EAAMrsE,KACjB,MAAO,CAAC,YAAc,aAAcA,MAErC,CACDzG,IAAK,aACLkD,MAAO,SAAoBoyE,GACzB,IAAI7uE,EAAO6uE,EAAM7uE,KACjB,MAAO,CAAC,YAAc,aAAcA,MAErC,CACDzG,IAAK,OACLwC,IAAK,WACH,MAAO,WAER,CACDxC,IAAK,SACLwC,IAAK,WACH,MAAO,CACL2/D,SAAU,CAAC,CACTzhD,IAAK,KACJ,CACDA,IAAK,OACJ,CACDA,IAAK,UACJ,CACDpR,MAAO,kBACP0yD,SAAU,SAAkB9+D,GAC1B,MAAiB,iBAAVA,KAGXqmE,MAAO,WACL,MAAO,CAAC,IAAK,SAMdgsB,EAnEiB,CAoExB,KAwDE,IAFF,IAE+B,SAAUhf,GACzCvH,EAAUwmB,EAAejf,GAEzB,IAAIC,EAAShH,EAAagmB,GAE1B,SAASA,IAGP,OAFAx8D,EAAgBpxB,KAAM4tF,GAEfhf,EAAO7oE,MAAM/F,KAAMjG,WA8F5B,OA3FA+sE,EAAa8mB,EAAe,CAAC,CAC3Bx1F,IAAK,OACLkD,MAAO,WACL,IAAI+uE,EAAQrqE,KAEZA,KAAK6tF,iBAAmB7tF,KAAK8tF,UAAS,SAAU9pF,GAC9C,IAAI+pF,EAAW,YAAc/pF,GAEzB+pF,GACF1jB,EAAMruE,QAAQgyF,WAAW,CACvBtkB,OAAQW,EAAMX,OACdqkB,SAAU,CACRnwF,QAASmwF,EAASnwF,QAClBgoD,MAAOmoC,EAASnoC,MAAM/1C,KAAI,SAAU6I,GAClC,OAAOA,EAAK2V,YAEd4/D,SAAUF,EAASE,cAIxBjuF,KAAKhE,QAAQ8xF,UAChB9tF,KAAK0pE,OAAO76D,GAAG,eAAe,SAAU46D,GACtC,IAAIzlE,EAAQylE,EAAKzlE,MAEjBqmE,EAAMwjB,iBAAiB7pF,QAG1B,CACD5L,IAAK,WACLkD,MAAO,SAAkBtD,EAAIk2F,GAC3B,IAAItgF,EACJ,OAAO,WACL,IAAK,IAAIy+D,EAAOtyE,UAAU5B,OAAQkW,EAAO,IAAIC,MAAM+9D,GAAOC,EAAO,EAAGA,EAAOD,EAAMC,IAC/Ej+D,EAAKi+D,GAAQvyE,UAAUuyE,GAGrB1+D,GACFP,aAAaO,GAGfA,EAAUR,YAAW,WACnBpV,EAAG+N,WAAM,EAAQsI,GACjBT,EAAU,OACTsgF,MAGN,CACD91F,IAAK,OACLwC,IAAK,WACH,MAAO,kBAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,IAAIiwE,EAAS7qE,KAEb,MAAO,CACLpC,QAAS,EACTqwF,SAAUn3F,KAAKyN,MAAsB,WAAhBzN,KAAK+R,UAC1BilF,SAAU,IACVE,WAAY,aACZ/6E,OAAQ,SAAgBg4D,GACtB,IAAIrlB,EAAQqlB,EAAMrlB,MACdhoD,EAAUqtE,EAAMrtE,QAChBuwF,EAAgBtjB,EAAOnB,OACvB1lE,EAAQmqF,EAAcnqF,MACtBsgD,EAAO6pC,EAAc7pC,KACrBqF,EAASwkC,EAAcxkC,OAEvB,YAAW3lD,GAASpG,GAIxB0mD,EAAKtG,SAAS,YAAmBh6C,EAAO4hD,EAAM/1C,KAAI,SAAUC,GAC1D,OAAO,IAAK22C,SAASkD,EAAQ75C,EAAK4I,SAChCktC,EAAM/1C,KAAI,SAAUC,GACtB,OAAOA,EAAKm+E,kBAKnB,CACD71F,IAAK,UACLwC,IAAK,WACH,MAAO,CAAC,YAAO,CACbgD,QAASoC,KAAKhE,QAAQ4B,QACtBqwF,SAAUjuF,KAAKhE,QAAQiyF,gBAKtBL,EAtGwB,CAuG/B,MAwEE,IAFF,IAEyB,SAAUjf,GACnCvH,EAAUgnB,EAASzf,GAEnB,IAAIC,EAAShH,EAAawmB,GAE1B,SAASA,IAGP,OAFAh9D,EAAgBpxB,KAAMouF,GAEfxf,EAAO7oE,MAAM/F,KAAMjG,WAyD5B,OAtDA+sE,EAAasnB,EAAS,CAAC,CACrBh2F,IAAK,OACLkD,MAAO,WASL,MARa,CACX,QAAS0pF,EACT,QAAShB,EACT,cAAeA,EAEf,QAASgB,EACT,cAAehB,KAIlB,CACD5rF,IAAK,WACLkD,MAAO,WACL,MAAO,CACL0pF,KAAM,WACJ,OAAOA,GAEThB,KAAM,WACJ,OAAOA,GAETiB,UAAW,WACT,OAAOA,GAETC,UAAW,WACT,OAAOA,MAIZ,CACD9sF,IAAK,OACLwC,IAAK,WACH,MAAO,YAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,CACLikD,MAAO,GACP4lC,cAAe,MAGlB,CACDrsF,IAAK,UACLwC,IAAK,WACH,MAAO,CAAC,EAAQ,CACdikD,MAAO7+C,KAAKhE,QAAQ6iD,MACpB4lC,cAAezkF,KAAKhE,QAAQyoF,qBAK3B2J,EAjEkB,CAkEzB,MAEE,GAA2B,SAAUzf,GACvCvH,EAAUinB,EAAa1f,GAEvB,IAAIC,EAAShH,EAAaymB,GAE1B,SAASA,IAGP,OAFAj9D,EAAgBpxB,KAAMquF,GAEfzf,EAAO7oE,MAAM/F,KAAMjG,WAsE5B,OAnEA+sE,EAAaunB,EAAa,CAAC,CACzBj2F,IAAK,OACLwC,IAAK,WACH,MAAO,gBAER,CACDxC,IAAK,iBACLwC,IAAK,WACH,MAAO,CACL0zF,iBAAkB,kBAClBC,eAAgB,WAChBC,cAAe,oBACfC,sBAAsB,EACtBC,iBAAiB,KAGpB,CACDt2F,IAAK,UACLwC,IAAK,WACH,IAAIyvE,EAAQrqE,KAEZ,MAAO,CAAC,IAAI,IAAO,CACjBkxB,MAAO,CACL04C,YAAa,SAAqBH,GAChC,IAAI3qB,EAAM2qB,EAAK3qB,IACXwL,EAAUmf,EAAKnf,QACfrM,EAAYwrB,EAAKxrB,UAKjBoY,EAJiB/L,EAAQzyC,MAAK,SAAU6yC,GAC1C,OAAOA,EAAOtyD,IAAI2zE,WAAW,gBAED76C,MAAM+zC,aACVoF,EAAMruE,QAAQyyF,qBACpCp9C,EAAS4M,EAAU5M,OACnBu4B,EAAc,GACd+kB,EAA2C,IAA3B7vC,EAAI+T,YAAY16D,OAEpC,QAAKk+D,IAILvX,EAAImO,aAAY,SAAU5O,EAAMnlC,GAC9B,IAAI01E,EAAYv9C,GAAUn4B,GAAOm4B,GAAUn4B,EAAMmlC,EAAKqD,SAClDmtC,EAAoC,IAAtBxwC,EAAKv3C,QAAQyS,KAE/B,IAAKq1E,IAAcvkB,EAAMruE,QAAQ0yF,kBAAoBG,EAAa,CAChE,IAAI7I,EAAU,CAAC3b,EAAMruE,QAAQuyF,gBAEzBI,GACF3I,EAAQz3E,KAAK87D,EAAMruE,QAAQsyF,kBAG7B,IAAIlI,EAAa,IAAW/nC,KAAKnlC,EAAKA,EAAMmlC,EAAKqD,SAAU,CACzD4hB,MAAO0iB,EAAQjmF,KAAK,KACpB,kBAA0D,mBAAhCsqE,EAAMruE,QAAQwyF,cAA+BnkB,EAAMruE,QAAQwyF,cAAcnwC,GAAQgsB,EAAMruE,QAAQwyF,gBAE3H5kB,EAAYr7D,KAAK63E,GAGnB,OAAO,KAEF,IAAclhF,OAAO45C,EAAK8qB,aAOpCykB,EA9EsB,CA+E7B,KAmQA,IAsFA,K,8BCv6FF,wIAIIx0F,EAAS,GAEb,GAAwB,oBAAbJ,WAA+C,oBAAZE,SAAyB,CACrE,IAAIm1F,EAAU,cAAc94F,KAAKyD,UAAUyY,WACvC68E,EAAY,UAAU5jF,KAAK1R,UAAUyY,WACrC88E,EAAU,wCAAwCh5F,KAAKyD,UAAUyY,WAErErY,EAAOo1F,IAAM,MAAM9jF,KAAK1R,UAAUyrD,UAClC,IAAIgqC,EAAKr1F,EAAOq1F,MAAQH,GAAaC,GAAWF,GAChDj1F,EAAOs1F,WAAaJ,EAAYp1F,SAASy1F,cAAgB,EAAIJ,GAAWA,EAAQ,GAAKF,GAAWA,EAAQ,GAAK,KAC7Gj1F,EAAOw1F,OAASH,GAAM,gBAAgB/jF,KAAK1R,UAAUyY,WACrDrY,EAAOy1F,cAAgBz1F,EAAOw1F,SAAW,iBAAiBr5F,KAAKyD,UAAUyY,YAAc,CAAC,EAAG,IAAI,GAC/F,IAAIq9E,GAAUL,GAAM,gBAAgBl5F,KAAKyD,UAAUyY,WACnDrY,EAAO01F,SAAWA,EAClB11F,EAAO21F,eAAiBD,IAAWA,EAAO,GAC1C11F,EAAO41F,KAAOP,GAAM,cAAc/jF,KAAK1R,UAAUyY,YAAc,cAAc/G,KAAK1R,UAAUyY,WAC5FrY,EAAO61F,QAAU,aAAavkF,KAAK1R,UAAUyY,WAC7CrY,EAAO81F,OAAS,wBAAyBh2F,SAASi2F,gBAAgBloF,MAClE7N,EAAOg2F,OAAS,iBAAiB1kF,KAAK1R,UAAUq2F,QAChDj2F,EAAOk2F,eAAiBl2F,EAAO81F,UAAY,uBAAuB35F,KAAKyD,UAAUyY,YAAc,CAAC,EAAG,IAAI,GAGzG,IAAI89E,EAAW,SAAS3xC,GACtB,IAAK,IAAI5xC,EAAQ,GAAIA,IAEnB,KADA4xC,EAAOA,EAAK0gB,iBACC,OAAOtyD,GAIpB2G,EAAa,SAASirC,GACxB,IAAIl8C,EAASk8C,EAAKjrC,WAClB,OAAOjR,GAA6B,IAAnBA,EAAOogD,SAAiBpgD,EAAOzE,KAAOyE,GAGrDuyE,EAAY,SAASr2B,EAAMlzB,EAAM8L,GACnC,IAAIsoB,EAAQ5lD,SAASg7E,cAGrB,OAFAp1B,EAAMq1B,OAAOv2B,EAAY,MAANpnB,EAAaonB,EAAKwgB,UAAU1mE,OAAS8+B,GACxDsoB,EAAMs1B,SAASx2B,EAAMlzB,GAAQ,GACtBo0B,GAML0wC,EAAuB,SAAS5xC,EAAMrvC,EAAKkhF,EAAYC,GACzD,OAAOD,IAAeE,EAAQ/xC,EAAMrvC,EAAKkhF,EAAYC,GAAY,IAC3CC,EAAQ/xC,EAAMrvC,EAAKkhF,EAAYC,EAAW,KAG9DE,EAAe,gCAEnB,SAASD,EAAQ/xC,EAAMrvC,EAAKkhF,EAAYC,EAAW1gF,GACjD,OAAS,CACP,GAAI4uC,GAAQ6xC,GAAclhF,GAAOmhF,EAAa,OAAO,EACrD,GAAInhF,IAAQS,EAAM,EAAI,EAAIiyC,EAASrD,IAAQ,CACzC,IAAIl8C,EAASk8C,EAAKjrC,WAClB,GAAuB,GAAnBjR,EAAOogD,UAAiB+tC,EAAajyC,IAASgyC,EAAallF,KAAKkzC,EAAK2gB,WAAqC,SAAxB3gB,EAAKkyC,gBACvF,OAAO,EACXvhF,EAAMghF,EAAS3xC,IAAS5uC,EAAM,EAAI,EAAI,GACtC4uC,EAAOl8C,MACF,IAAqB,GAAjBk8C,EAAKkE,SAKd,OAAO,EAHP,GAA4B,UAD5BlE,EAAOA,EAAKlqC,WAAWnF,GAAOS,EAAM,GAAK,EAAI,KACpC8gF,gBAA8B,OAAO,EAC9CvhF,EAAMS,EAAM,EAAIiyC,EAASrD,GAAQ,IAOvC,SAASqD,EAASrD,GAChB,OAAwB,GAAjBA,EAAKkE,SAAgBlE,EAAKwgB,UAAU1mE,OAASkmD,EAAKlqC,WAAWhc,OActE,SAASm4F,EAAa12B,GAEpB,IADA,IAAI5P,EACKqL,EAAMuE,EAAKvE,KAAiCrL,EAAOqL,EAAIm7B,YAAvCn7B,EAAMA,EAAIjiD,YACnC,OAAO42C,GAAQA,EAAK3L,MAAQ2L,EAAK3L,KAAKqC,UAAYsJ,EAAK4P,KAAOA,GAAO5P,EAAKkW,YAActG,GAK1F,IAAI62B,EAAqB,SAASC,GAChC,IAAIC,EAAYD,EAAOE,YAGvB,OAFID,GAAa92F,EAAO01F,QAAUmB,EAAOG,aAAeH,EAAOI,WAAW,GAAGH,YACzEA,GAAY,GACTA,GAGT,SAASI,EAASC,EAAS54F,GACzB,IAAIkI,EAAQ3G,SAASs3F,YAAY,SAIjC,OAHA3wF,EAAM4wF,UAAU,WAAW,GAAM,GACjC5wF,EAAM0wF,QAAUA,EAChB1wF,EAAMlI,IAAMkI,EAAMye,KAAO3mB,EAClBkI,EAGT,SAAS6wF,EAAWryC,GAClB,MAAO,CAACqlB,KAAM,EAAGC,MAAOtlB,EAAI8wC,gBAAgBnF,YACpCzsB,IAAK,EAAGkG,OAAQplB,EAAI8wC,gBAAgBlF,cAG9C,SAAS0G,EAAQ91F,EAAOgjD,GACtB,MAAuB,iBAAThjD,EAAoBA,EAAQA,EAAMgjD,GAGlD,SAAS+yC,EAAWhzC,GAClB,IAAIylB,EAAOzlB,EAAK4lB,wBAEhB,MAAO,CAACE,KAAML,EAAKK,KAAMC,MAAON,EAAKK,KAAO9lB,EAAKosC,YACzCzsB,IAAK8F,EAAK9F,IAAKkG,OAAQJ,EAAK9F,IAAM3f,EAAKqsC,cAGjD,SAAS4G,EAAmBhtC,EAAMwf,EAAMytB,GAGtC,IAFA,IAAIC,EAAkBltC,EAAKmtC,SAAS,oBAAsB,EAAGC,EAAeptC,EAAKmtC,SAAS,iBAAmB,EACzG3yC,EAAMwF,EAAKsV,IAAIkG,cACV39D,EAASovF,GAAYjtC,EAAKsV,IAC5Bz3D,EADkCA,EAASiR,EAAWjR,GAE3D,GAAuB,GAAnBA,EAAOogD,SAAX,CACA,IAAIovC,EAAQxvF,GAAU28C,EAAI4lB,MAA2B,GAAnBviE,EAAOogD,SACrCqvC,EAAWD,EAAQR,EAAWryC,GAAOuyC,EAAWlvF,GAChD0vF,EAAQ,EAAGC,EAAQ,EASvB,GARIhuB,EAAK9F,IAAM4zB,EAAS5zB,IAAMozB,EAAQI,EAAiB,OACnDM,IAAUF,EAAS5zB,IAAM8F,EAAK9F,IAAMozB,EAAQM,EAAc,QACrD5tB,EAAKI,OAAS0tB,EAAS1tB,OAASktB,EAAQI,EAAiB,YAC9DM,EAAQhuB,EAAKI,OAAS0tB,EAAS1tB,OAASktB,EAAQM,EAAc,WAC9D5tB,EAAKK,KAAOytB,EAASztB,KAAOitB,EAAQI,EAAiB,QACrDK,IAAUD,EAASztB,KAAOL,EAAKK,KAAOitB,EAAQM,EAAc,SACvD5tB,EAAKM,MAAQwtB,EAASxtB,MAAQgtB,EAAQI,EAAiB,WAC5DK,EAAQ/tB,EAAKM,MAAQwtB,EAASxtB,MAAQgtB,EAAQM,EAAc,UAC5DG,GAASC,EACX,GAAIH,EACF7yC,EAAIizC,YAAYC,SAASH,EAAOC,OAC3B,CACL,IAAIG,EAAS9vF,EAAO+vF,WAAYC,EAAShwF,EAAOiwF,UAC5CN,IAAS3vF,EAAOiwF,WAAaN,GAC7BD,IAAS1vF,EAAO+vF,YAAcL,GAClC,IAAIQ,EAAKlwF,EAAO+vF,WAAaD,EAAQK,EAAKnwF,EAAOiwF,UAAYD,EAC7DruB,EAAO,CAACK,KAAML,EAAKK,KAAOkuB,EAAIr0B,IAAK8F,EAAK9F,IAAMs0B,EAAIluB,MAAON,EAAKM,MAAQiuB,EAAInuB,OAAQJ,EAAKI,OAASouB,GAGpG,GAAIX,EAAS,OAyBjB,SAASY,EAAY34B,GAEnB,IADA,IAAIjrC,EAAQ,GAAImwB,EAAM8a,EAAIkG,cACnBlG,IACLjrC,EAAMpgB,KAAK,CAACqrD,IAAKA,EAAKoE,IAAKpE,EAAIw4B,UAAWjuB,KAAMvK,EAAIs4B,aAChDt4B,GAAO9a,GAFD8a,EAAMxmD,EAAWwmD,IAI7B,OAAOjrC,EAcT,SAAS6jE,EAAmB7jE,EAAO8jE,GACjC,IAAK,IAAIx6F,EAAI,EAAGA,EAAI02B,EAAMx2B,OAAQF,IAAK,CACrC,IAAImnD,EAAMzwB,EAAM12B,GACZ2hE,EAAMxa,EAAIwa,IACVoE,EAAM5e,EAAI4e,IACVmG,EAAO/kB,EAAI+kB,KACXvK,EAAIw4B,WAAap0B,EAAMy0B,IAAQ74B,EAAIw4B,UAAYp0B,EAAMy0B,GACrD74B,EAAIs4B,YAAc/tB,IAAQvK,EAAIs4B,WAAa/tB,IAInD,IAAIuuB,EAAyB,KAoB7B,SAASC,EAAiBt0C,EAAMgmB,GAG9B,IAFA,IAAIqH,EAA0BknB,EAAjBC,EAAY,IAAoB9jD,EAAS,EAClD+jD,EAASzuB,EAAOrG,IAAK+0B,EAAS1uB,EAAOrG,IAChC/V,EAAQ5J,EAAK9pC,WAAYy+E,EAAa,EAAG/qC,EAAOA,EAAQA,EAAMoX,YAAa2zB,IAAc,CAChG,IAAIje,OAAQ,EACZ,GAAsB,GAAlB9sB,EAAM1F,SAAiBwyB,EAAQ9sB,EAAM+sB,qBACpC,IAAsB,GAAlB/sB,EAAM1F,SACR,SADyBwyB,EAAQL,EAAUzsB,GAAO+sB,iBAGzD,IAAK,IAAI/8E,EAAI,EAAGA,EAAI88E,EAAM58E,OAAQF,IAAK,CACrC,IAAI6rE,EAAOiR,EAAM98E,GACjB,GAAI6rE,EAAK9F,KAAO80B,GAAUhvB,EAAKI,QAAU6uB,EAAQ,CAC/CD,EAASh8F,KAAKkwB,IAAI88C,EAAKI,OAAQ4uB,GAC/BC,EAASj8F,KAAKkK,IAAI8iE,EAAK9F,IAAK+0B,GAC5B,IAAIE,EAAKnvB,EAAKK,KAAOE,EAAOF,KAAOL,EAAKK,KAAOE,EAAOF,KAChDL,EAAKM,MAAQC,EAAOF,KAAOE,EAAOF,KAAOL,EAAKM,MAAQ,EAC5D,GAAI6uB,EAAKJ,EAAW,CAClBnnB,EAAUzjB,EACV4qC,EAAYI,EACZL,EAAgBK,GAA0B,GAApBvnB,EAAQnpB,SAAgB,CAAC4hB,KAAML,EAAKM,MAAQC,EAAOF,KAAOL,EAAKM,MAAQN,EAAKK,KAAMnG,IAAKqG,EAAOrG,KAAOqG,EACrG,GAAlBpc,EAAM1F,UAAiB0wC,IACvBlkD,EAASikD,GAAc3uB,EAAOF,OAASL,EAAKK,KAAOL,EAAKM,OAAS,EAAI,EAAI,IAC7E,WAGCsH,IAAYrH,EAAOF,MAAQL,EAAKM,OAASC,EAAOrG,KAAO8F,EAAK9F,KAChDqG,EAAOF,MAAQL,EAAKK,MAAQE,EAAOrG,KAAO8F,EAAKI,UAC5Dn1B,EAASikD,EAAa,IAG9B,OAAItnB,GAA+B,GAApBA,EAAQnpB,SAKzB,SAA0BlE,EAAMgmB,GAG9B,IAFA,IAAIx2D,EAAMwwC,EAAKwgB,UAAU1mE,OACrBonD,EAAQ5lD,SAASg7E,cACZ18E,EAAI,EAAGA,EAAI4V,EAAK5V,IAAK,CAC5BsnD,EAAMq1B,OAAOv2B,EAAMpmD,EAAI,GACvBsnD,EAAMs1B,SAASx2B,EAAMpmD,GACrB,IAAI6rE,EAAOgR,EAAWv1B,EAAO,GAC7B,GAAIukB,EAAK9F,KAAO8F,EAAKI,QACjBgvB,EAAO7uB,EAAQP,GACf,MAAO,CAACzlB,KAAMA,EAAMtP,OAAQ92C,GAAKosE,EAAOF,OAASL,EAAKK,KAAOL,EAAKM,OAAS,EAAI,EAAI,IAEzF,MAAO,CAAC/lB,KAAMA,EAAMtP,OAAQ,GAhBmBokD,CAAiBznB,EAASknB,IACpElnB,GAAYmnB,GAAiC,GAApBnnB,EAAQnpB,SAAyB,CAAClE,KAAMA,EAAMtP,OAAQA,GAC7E4jD,EAAiBjnB,EAASknB,GAiBnC,SAASM,EAAO7uB,EAAQP,GACtB,OAAOO,EAAOF,MAAQL,EAAKK,KAAO,GAAKE,EAAOF,MAAQL,EAAKM,MAAQ,GACjEC,EAAOrG,KAAO8F,EAAK9F,IAAM,GAAKqG,EAAOrG,KAAO8F,EAAKI,OAAS,EAgE9D,SAASgB,EAAY5gB,EAAM+f,GACzB,IAAIrmE,EAAQo1F,EAEU/0C,EAAMtP,EAAxBskD,EAAO/uC,EAAK+uC,KAChB,GAAIA,EAAKC,uBACP,IACE,IAAIC,EAAQF,EAAKC,uBAAuBjvB,EAAOF,KAAME,EAAOrG,KACxDu1B,IAA2Bl1C,GAAhBrgD,EAASu1F,GAAqBC,WAAYzkD,EAAS/wC,EAAO+wC,QACzE,MAAO+a,IAEX,IAAKzL,GAAQg1C,EAAKI,oBAAqB,CACrC,IAAIl0C,EAAQ8zC,EAAKI,oBAAoBpvB,EAAOF,KAAME,EAAOrG,KACrDze,IAA6BlB,GAAlB+0C,EAAW7zC,GAAuBm0C,eAAgB3kD,EAASqkD,EAASO,aAGrF,IAA8Dz6E,EAA1DmoE,EAAMgS,EAAKO,iBAAiBvvB,EAAOF,KAAME,EAAOrG,IAAM,GAC1D,IAAKqjB,IAAQ/8B,EAAKsV,IAAImH,SAAyB,GAAhBsgB,EAAI9+B,SAAgB8+B,EAAIjuE,WAAaiuE,GAAM,CACxE,IAAI1L,EAAMrxB,EAAKsV,IAAIqK,wBACnB,IAAKivB,EAAO7uB,EAAQsR,GAAQ,OAAO,KAEnC,KADA0L,EAtCJ,SAASuS,EAAiBpwB,EAASa,EAAQsR,GACzC,IAAI9nE,EAAM21D,EAAQrvD,WAAWhc,OAC7B,GAAI0V,GAAO8nE,EAAI3X,IAAM2X,EAAIzR,OACvB,IAAK,IAAI2vB,EAAS/8F,KAAKkwB,IAAI,EAAGlwB,KAAKkK,IAAI6M,EAAM,EAAG/W,KAAKyN,MAAMsJ,GAAOw2D,EAAOrG,IAAM2X,EAAI3X,MAAQ2X,EAAIzR,OAASyR,EAAI3X,MAAQ,IAAK/lE,EAAI47F,IAAU,CACrI,IAAI5rC,EAAQub,EAAQrvD,WAAWlc,GAC/B,GAAsB,GAAlBgwD,EAAM1F,SAER,IADA,IAAIwyB,EAAQ9sB,EAAM+sB,iBACTpiE,EAAI,EAAGA,EAAImiE,EAAM58E,OAAQya,IAAK,CACrC,IAAIkxD,EAAOiR,EAAMniE,GACjB,GAAIsgF,EAAO7uB,EAAQP,GAAS,OAAO8vB,EAAiB3rC,EAAOoc,EAAQP,GAGvE,IAAK7rE,GAAKA,EAAI,GAAK4V,IAAQgmF,EAAU,MAGzC,OAAOrwB,EAuBCowB,CAAiBtvC,EAAKsV,IAAKyK,EAAQsR,IAC7B,OAAO,KAKrB,GAFI97E,EAAOg2F,QAAUxO,EAAI7V,YAAantB,EAAOtP,EAAS,MACtDsyC,EArFF,SAAsBznB,EAAKyK,GACzB,IAAIliE,EAASy3D,EAAIxmD,WACjB,OAAIjR,GAAU,QAAQgJ,KAAKhJ,EAAO68D,WAAaqF,EAAOF,KAAOvK,EAAIqK,wBAAwBE,KAC9EhiE,EACJy3D,EAiFDk6B,CAAazS,EAAKhd,GACpBhmB,EAAM,CACR,GAAIxkD,EAAOw1F,OAA0B,GAAjBhxC,EAAKkE,WAGvBxT,EAASj4C,KAAKkK,IAAI+tC,EAAQsP,EAAKlqC,WAAWhc,SAG7BkmD,EAAKlqC,WAAWhc,OAAQ,CACnC,IAAoC47F,EAAhC7pF,EAAOm0C,EAAKlqC,WAAW46B,GACN,OAAjB7kC,EAAK80D,WAAsB+0B,EAAQ7pF,EAAK+5D,yBAAyBG,OAASC,EAAOF,MACjF4vB,EAAM7vB,OAASG,EAAOrG,KACtBjvB,IAKJsP,GAAQiG,EAAKsV,KAAO7qB,GAAUsP,EAAKlqC,WAAWhc,OAAS,GAAgC,GAA3BkmD,EAAKE,UAAUgE,UAC3E8hB,EAAOrG,IAAM3f,EAAKE,UAAU0lB,wBAAwBC,OACpDhrD,EAAMorC,EAAKtgD,MAAM86C,IAAIh4C,QAAQyS,KAId,GAAVw1B,GAAgC,GAAjBsP,EAAKkE,UAAyD,MAAxClE,EAAKlqC,WAAW46B,EAAS,GAAGiwB,WACtE9lD,EA1FR,SAAsBorC,EAAMjG,EAAMtP,EAAQs1B,GAQxC,IADA,IAAI2vB,GAAW,EACN3+B,EAAMhX,EACTgX,GAAO/Q,EAAKsV,KADK,CAErB,IAAI5P,EAAO1F,EAAKmvB,QAAQwgB,YAAY5+B,GAAK,GACzC,IAAKrL,EAAQ,OAAO,KACpB,GAAIA,EAAK3L,KAAKqC,SAAWsJ,EAAK7nD,OAAQ,CACpC,IAAI2hE,EAAO9Z,EAAK4P,IAAIqK,wBACpB,GAAIH,EAAKK,KAAOE,EAAOF,MAAQL,EAAK9F,IAAMqG,EAAOrG,IAAOg2B,EAAUhqC,EAAKkqC,cAClE,MAAIpwB,EAAKM,MAAQC,EAAOF,MAAQL,EAAKI,OAASG,EAAOrG,KACnD,MAD0Dg2B,EAAUhqC,EAAKmqC,UAGlF9+B,EAAMrL,EAAK4P,IAAIxmD,WAEjB,OAAO4gF,GAAW,EAAIA,EAAU1vC,EAAKmvB,QAAQ2gB,WAAW/1C,EAAMtP,GAsElDslD,CAAa/vC,EAAMjG,EAAMtP,EAAQs1B,IAElC,MAAPnrD,IAAeA,EAxGrB,SAAwBorC,EAAM+8B,EAAKhd,GACjC,IAAIjlB,EAAMuzC,EAAiBtR,EAAKhd,GAC5BhmB,EAAOe,EAAIf,KACXtP,EAASqQ,EAAIrQ,OACbuX,GAAQ,EACZ,GAAqB,GAAjBjI,EAAKkE,WAAkBlE,EAAK9pC,WAAY,CAC1C,IAAIuvD,EAAOzlB,EAAK4lB,wBAChB3d,EAAOwd,EAAKK,MAAQL,EAAKM,OAASC,EAAOF,MAAQL,EAAKK,KAAOL,EAAKM,OAAS,EAAI,GAAK,EAEtF,OAAO9f,EAAKmvB,QAAQ2gB,WAAW/1C,EAAMtP,EAAQuX,GA+FpBguC,CAAehwC,EAAM+8B,EAAKhd,IAEnD,IAAIra,EAAO1F,EAAKmvB,QAAQwgB,YAAY5S,GAAK,GACzC,MAAO,CAACnoE,IAAKA,EAAKitD,OAAQnc,EAAOA,EAAKuqC,WAAavqC,EAAKwqC,QAAU,GAGpE,SAAS1f,EAAWr5E,EAAQ6qD,GAC1B,IAAIyuB,EAAQt5E,EAAOu5E,iBACnB,OAAQD,EAAM58E,OAA0C48E,EAAMzuB,EAAO,EAAI,EAAIyuB,EAAM58E,OAAS,GAArEsD,EAAOwoE,wBAMhC,SAASK,EAAYhgB,EAAMprC,GACzB,IAAIkmC,EAAMkF,EAAKmvB,QAAQyB,WAAWh8D,GAC9BmlC,EAAOe,EAAIf,KACXtP,EAASqQ,EAAIrQ,OAGjB,GAAqB,GAAjBsP,EAAKkE,WAAkB1oD,EAAO81F,QAAU91F,EAAOw1F,OAAQ,CACzD,IAAIvrB,EAAOgR,EAAWJ,EAAUr2B,EAAMtP,EAAQA,GAAS,GAIvD,GAAIl1C,EAAOw1F,OAAStgD,GAAU,KAAK5jC,KAAKkzC,EAAKwgB,UAAU9vB,EAAS,KAAOA,EAASsP,EAAKwgB,UAAU1mE,OAAQ,CACrG,IAAIs8F,EAAa3f,EAAWJ,EAAUr2B,EAAMtP,EAAS,EAAGA,EAAS,IAAK,GACtE,GAAI0lD,EAAWz2B,KAAO8F,EAAK9F,IAAK,CAC9B,IAAI02B,EAAY5f,EAAWJ,EAAUr2B,EAAMtP,EAAQA,EAAS,IAAK,GACjE,GAAI2lD,EAAU12B,KAAO8F,EAAK9F,IACtB,OAAO22B,EAASD,EAAWA,EAAUvwB,KAAOswB,EAAWtwB,OAG/D,OAAOL,EAGT,GAAqB,GAAjBzlB,EAAKkE,WAAkB+B,EAAKtgD,MAAM86C,IAAIziC,QAAQnD,GAAK/W,OAAOihD,cAAe,CAE3E,IAAgBwxC,EAAZ52B,GAAM,EACV,GAAIjvB,EAASsP,EAAKlqC,WAAWhc,OAAQ,CACnC,IAAI+mD,EAAQb,EAAKlqC,WAAW46B,GACN,GAAlBmQ,EAAMqD,WAAiBqyC,EAAS11C,EAAM+kB,yBAE5C,IAAK2wB,GAAU7lD,EAAQ,CACrB,IAAIgQ,EAASV,EAAKlqC,WAAW46B,EAAS,GACf,GAAnBgQ,EAAOwD,WAAiBqyC,EAAS71C,EAAOklB,wBAAyBjG,GAAM,GAE7E,OAsCJ,SAAkB8F,EAAM9F,GACtB,GAAmB,GAAf8F,EAAKgB,OAAe,OAAOhB,EAC/B,IAAIjmC,EAAImgC,EAAM8F,EAAK9F,IAAM8F,EAAKI,OAC9B,MAAO,CAAClG,IAAKngC,EAAGqmC,OAAQrmC,EAAGsmC,KAAML,EAAKK,KAAMC,MAAON,EAAKM,OAzC/CywB,CAASD,GAAUv2C,EAAK4lB,wBAAyBjG,GAS1D,IAAK,IAAIvuD,GAAO,EAAGA,EAAM,EAAGA,GAAO,EACjC,GAAIA,EAAM,GAAKs/B,EAAQ,CACrB,IAAI/a,OAAO,EAAU93B,EAA0B,GAAjBmiD,EAAKkE,SAAgBmyB,EAAUr2B,EAAMtP,EAAS,EAAGA,GACxB,IAAhD/a,EAAOqqB,EAAKlqC,WAAW46B,EAAS,IAAIwT,SAAgBmyB,EAAU1gD,GAC9C,GAAjBA,EAAKuuB,UAAkC,MAAjBvuB,EAAKgrC,SAAmBhrC,EAAO,KAC3D,GAAI93B,EAAQ,CACV,IAAI44F,EAAShgB,EAAW54E,EAAQ,GAChC,GAAI44F,EAAO92B,IAAM82B,EAAO5wB,OAAU,OAAOywB,EAASG,GAAQ,SAEvD,GAAIrlF,EAAM,GAAKs/B,EAAS2S,EAASrD,GAAO,CAC7C,IAAIn0C,OAAO,EAAU6qF,EAA4B,GAAjB12C,EAAKkE,SAAgBmyB,EAAUr2B,EAAMtP,EAAQA,EAAS,GACnC,IAA5C7kC,EAAOm0C,EAAKlqC,WAAW46B,IAASwT,SAAgBmyB,EAAUxqE,GAC1C,GAAjBA,EAAKq4C,SAAgBr4C,EAAO,KAClC,GAAI6qF,EAAU,CACZ,IAAIC,EAASlgB,EAAWigB,GAAW,GACnC,GAAIC,EAAOh3B,IAAMg3B,EAAO9wB,OAAU,OAAOywB,EAASK,GAAQ,IAKhE,OAAOL,EAAS7f,EAA4B,GAAjBz2B,EAAKkE,SAAgBmyB,EAAUr2B,GAAQA,EAAM,IAAI,GAG9E,SAASs2C,EAAS7wB,EAAMK,GACtB,GAAkB,GAAdL,EAAKV,MAAc,OAAOU,EAC9B,IAAIvlC,EAAI4lC,EAAOL,EAAKK,KAAOL,EAAKM,MAChC,MAAO,CAACpG,IAAK8F,EAAK9F,IAAKkG,OAAQJ,EAAKI,OAAQC,KAAM5lC,EAAG6lC,MAAO7lC,GAS9D,SAAS02D,EAAiB3wC,EAAMtgD,EAAO9I,GACrC,IAAIg6F,EAAY5wC,EAAKtgD,MAAOqyD,EAAS/R,EAAK+uC,KAAK8B,cAC3CD,GAAalxF,GAASsgD,EAAKgqB,YAAYtqE,GACvCqyD,GAAU/R,EAAKsV,KAAOtV,EAAKspB,QAC/B,IACE,OAAO1yE,IACP,QACIg6F,GAAalxF,GAASsgD,EAAKgqB,YAAY4mB,GACvC7+B,GAAU/R,EAAKsV,KAAOvD,GAAUA,EAAOuX,SAmC/C,IAAIwnB,EAAW,kBAiCf,IAAIC,EAAc,KAAMC,EAAY,KAAMC,GAAe,EACzD,SAAS/wC,EAAeF,EAAMtgD,EAAOyL,GACnC,OAAI4lF,GAAerxF,GAASsxF,GAAa7lF,EAAc8lF,GACvDF,EAAcrxF,EAAOsxF,EAAY7lF,EAC1B8lF,EAAsB,MAAP9lF,GAAsB,QAAPA,EAjEvC,SAAgC60C,EAAMtgD,EAAOyL,GAC3C,IAAIs4C,EAAM/jD,EAAMi6C,UACZS,EAAc,MAAPjvC,EAAcs4C,EAAIhI,QAAQ/+C,IAAI+mD,EAAIjI,OAASiI,EAAIhI,QAAQ/4B,IAAI+gC,EAAIjI,OAC1E,OAAOm1C,EAAiB3wC,EAAMtgD,GAAO,WAGnC,IAFA,IACI41D,EADMtV,EAAKmvB,QAAQyB,WAAWx2B,EAAKxlC,KACzBmlC,OACL,CACP,IAAIm3C,EAAUlxC,EAAKmvB,QAAQwgB,YAAYr6B,GAAK,GAC5C,IAAK47B,EAAW,MAChB,GAAIA,EAAQn3C,KAAKqC,QAAS,CAAEkZ,EAAM47B,EAAQ57B,IAAK,MAC/CA,EAAM47B,EAAQ57B,IAAIxmD,WAGpB,IADA,IAAIixD,EAASC,EAAYhgB,EAAM5F,EAAKxlC,KAC3B+uC,EAAQ2R,EAAIrlD,WAAY0zC,EAAOA,EAAQA,EAAMoX,YAAa,CACjE,IAAIo2B,OAAQ,EACZ,GAAsB,GAAlBxtC,EAAM1F,SAAiBkzC,EAAQxtC,EAAM+sB,qBACpC,IAAsB,GAAlB/sB,EAAM1F,SACR,SADyBkzC,EAAQ/gB,EAAUzsB,EAAO,EAAGA,EAAM4W,UAAU1mE,QAAQ68E,iBAEpF,IAAK,IAAI/8E,EAAI,EAAGA,EAAIw9F,EAAMt9F,OAAQF,IAAK,CACrC,IAAI09E,EAAM8f,EAAMx9F,GAChB,GAAI09E,EAAIzR,OAASyR,EAAI3X,MAAe,MAAPvuD,EAAckmE,EAAIzR,OAASG,EAAOrG,IAAM,EAAI2X,EAAI3X,IAAMqG,EAAOH,OAAS,GAC/F,OAAO,GAGf,OAAO,KA0CLwxB,CAAuBpxC,EAAMtgD,EAAOyL,GApC1C,SAAkC60C,EAAMtgD,EAAOyL,GAC7C,IACIqwC,EADM97C,EAAMi6C,UACA6B,MAChB,IAAKA,EAAM39C,OAAOq8C,YAAe,OAAO,EACxC,IAAIzP,EAAS+Q,EAAMa,aAAc6F,GAAWzX,EAAQ6R,EAAQ7R,GAAU+Q,EAAM39C,OAAO2E,QAAQyS,KACvFwuC,EAAM4tC,eAGV,OAAKP,EAASjqF,KAAK20C,EAAM39C,OAAO0wD,cAAiB9K,EAAI6tC,OAG9CX,EAAiB3wC,EAAMtgD,GAAO,WAMnC,IAAI6xF,EAAW9tC,EAAI+oC,WAAW,GAAIgF,EAAU/tC,EAAIguC,UAAWC,EAASjuC,EAAIkuC,YACpEC,EAAenuC,EAAIouC,eACvBpuC,EAAI6tC,OAAO,OAAQnmF,EAAK,aACxB,IACI5V,IADYimD,EAAMjB,MAAQyF,EAAKmvB,QAAQ2iB,YAAYt2C,EAAMf,UAAYuF,EAAKsV,KACtDmH,SAAmC,GAA1BhZ,EAAIguC,UAAUxzC,SAAgBwF,EAAIguC,UAAYhuC,EAAIguC,UAAU3iF,aACxF0iF,GAAW/tC,EAAIguC,WAAaC,GAAUjuC,EAAIkuC,YAK/C,OAHAluC,EAAIsuC,kBACJtuC,EAAIoI,SAAS0lC,GACO,MAAhBK,IAAwBnuC,EAAIouC,eAAiBD,GAC1Cr8F,KAlBS,QAAP4V,GAAwB,YAAPA,EAAoB+2C,EAAU5F,EA4BtD01C,CAAyBhyC,EAAMtgD,EAAOyL,IAsF5C,IAII8mF,EAAW,SAAkBp0F,EAAQ+jF,EAAUtsB,EAAKsG,GACtDlgE,KAAKmC,OAASA,EACdnC,KAAKkmF,SAAWA,EAChBlmF,KAAK45D,IAAMA,EAGXA,EAAI42B,WAAaxwF,KAGjBA,KAAKkgE,WAAaA,EAClBlgE,KAAKw2F,MAdS,GAiBZjxC,EAAqB,CAAEkxC,eAAgB,CAAE/xF,cAAc,GAAO6U,KAAM,CAAE7U,cAAc,GAAO8vF,OAAQ,CAAE9vF,cAAc,GAAOwvF,UAAW,CAAExvF,cAAc,GAAO6vF,WAAY,CAAE7vF,cAAc,GAAOyvF,SAAU,CAAEzvF,cAAc,GAAOgyF,SAAU,CAAEhyF,cAAc,GAAOiyF,YAAa,CAAEjyF,cAAc,IAIlS6xF,EAASh/F,UAAUq/F,cAAgB,WAA4B,OAAO,GACtEL,EAASh/F,UAAUs/F,YAAc,WAA0B,OAAO,GAClEN,EAASh/F,UAAUu/F,YAAc,WAA0B,OAAO,GAClEP,EAASh/F,UAAUw/F,YAAc,WAA0B,OAAO,GAElExxC,EAAmBkxC,eAAe77F,IAAM,WAAc,OAAO,GAM7D27F,EAASh/F,UAAUy/F,UAAY,WAAwB,OAAO,MAK9DT,EAASh/F,UAAUg0E,UAAY,WAAwB,OAAO,GAG9DhmB,EAAmBhsC,KAAK3e,IAAM,WAE5B,IADA,IAAI2e,EAAO,EACFthB,EAAI,EAAGA,EAAI+H,KAAKkmF,SAAS/tF,OAAQF,IAAOshB,GAAQvZ,KAAKkmF,SAASjuF,GAAGshB,KAC1E,OAAOA,GAKTgsC,EAAmBivC,OAAO55F,IAAM,WAAc,OAAO,GAErD27F,EAASh/F,UAAUksE,QAAU,WAC3BzjE,KAAKmC,OAAS,KACVnC,KAAK45D,IAAI42B,YAAcxwF,OAAQA,KAAK45D,IAAI42B,WAAa,MACzD,IAAK,IAAIv4F,EAAI,EAAGA,EAAI+H,KAAKkmF,SAAS/tF,OAAQF,IACtC+H,KAAKkmF,SAASjuF,GAAGwrE,WAGvB8yB,EAASh/F,UAAU0/F,eAAiB,SAAyBhvC,GAC3D,IAAK,IAAIhwD,EAAI,EAAGihB,EAAMlZ,KAAKu0F,WAAYt8F,EAAI+H,KAAKkmF,SAAS/tF,OAAQF,IAAK,CACpE,IAAIo9D,EAAMr1D,KAAKkmF,SAASjuF,GACxB,GAAIo9D,GAAOpN,EAAS,OAAO/uC,EAC3BA,GAAOm8C,EAAI97C,OAIfgsC,EAAmB2uC,UAAUt5F,IAAM,WACjC,OAAOoF,KAAKmC,OAAO80F,eAAej3F,OAGpCulD,EAAmBgvC,WAAW35F,IAAM,WAClC,OAAOoF,KAAKmC,OAASnC,KAAKmC,OAAO80F,eAAej3F,MAAQA,KAAKw0F,OAAS,GAGxEjvC,EAAmB4uC,SAASv5F,IAAM,WAChC,OAAOoF,KAAKk0F,UAAYl0F,KAAKuZ,MAG/BgsC,EAAmBmxC,SAAS97F,IAAM,WAChC,OAAOoF,KAAKu0F,WAAav0F,KAAKuZ,KAAO,EAAIvZ,KAAKw0F,QAIhD+B,EAASh/F,UAAU2/F,gBAAkB,SAA0Bt9B,EAAK7qB,EAAQuX,GAG1E,GAAItmD,KAAKkgE,YAAclgE,KAAKkgE,WAAWa,SAAyB,GAAhBnH,EAAIrX,SAAgBqX,EAAMA,EAAIxmD,YAAa,CACzF,GAAIkzC,EAAO,EAAG,CACZ,IAAI6wC,EAAWntC,EACf,GAAI4P,GAAO55D,KAAKkgE,WACdi3B,EAAYv9B,EAAIzlD,WAAW46B,EAAS,OAC/B,CACL,KAAO6qB,EAAIxmD,YAAcpT,KAAKkgE,YAActG,EAAMA,EAAIxmD,WACtD+jF,EAAYv9B,EAAImF,gBAElB,KAAOo4B,MAAgBntC,EAAOmtC,EAAU3G,aAAexmC,EAAK7nD,QAAUnC,OAASm3F,EAAYA,EAAUp4B,gBACrG,OAAOo4B,EAAYn3F,KAAKi3F,eAAejtC,GAAQA,EAAKzwC,KAAOvZ,KAAKu0F,WAEhE,IAAI6C,EAAUC,EACd,GAAIz9B,GAAO55D,KAAKkgE,WACdk3B,EAAWx9B,EAAIzlD,WAAW46B,OACrB,CACL,KAAO6qB,EAAIxmD,YAAcpT,KAAKkgE,YAActG,EAAMA,EAAIxmD,WACtDgkF,EAAWx9B,EAAIyF,YAEjB,KAAO+3B,MAAeC,EAASD,EAAS5G,aAAe6G,EAAOl1F,QAAUnC,OAASo3F,EAAWA,EAAS/3B,YACrG,OAAO+3B,EAAWp3F,KAAKi3F,eAAeI,GAAUr3F,KAAK02F,SAMzD,IAAI91C,EACJ,GAAI5gD,KAAKkgE,YAAclgE,KAAKkgE,YAAclgE,KAAK45D,KAAO55D,KAAK45D,IAAImH,SAAS/gE,KAAKkgE,YAC3Etf,EAAuD,EAA/CgZ,EAAIoH,wBAAwBhhE,KAAKkgE,iBACpC,GAAIlgE,KAAK45D,IAAIrlD,WAAY,CAC9B,GAAc,GAAVw6B,EAAe,IAAK,IAAItf,EAASmqC,GAAMnqC,EAASA,EAAOrc,WAAY,CACrE,GAAIqc,GAAUzvB,KAAK45D,IAAK,CAAEhZ,GAAQ,EAAO,MACzC,GAAInxB,EAAOrc,WAAWmB,YAAckb,EAAU,MAEhD,GAAa,MAATmxB,GAAiB7R,GAAU6qB,EAAIzlD,WAAWhc,OAAU,IAAK,IAAIm/F,EAAW19B,GAAM09B,EAAWA,EAASlkF,WAAY,CAChH,GAAIkkF,GAAYt3F,KAAK45D,IAAK,CAAEhZ,GAAQ,EAAM,MAC1C,GAAI02C,EAASlkF,WAAWmrC,WAAa+4C,EAAY,OAGrD,OAAiB,MAAT12C,EAAgB0F,EAAO,EAAI1F,GAAS5gD,KAAK02F,SAAW12F,KAAKu0F,YAKnEgC,EAASh/F,UAAU08F,YAAc,SAAsBr6B,EAAK29B,GAC1D,IAAK,IAAIp+E,GAAQ,EAAMk8C,EAAMuE,EAAKvE,EAAKA,EAAMA,EAAIjiD,WAAY,CAC3D,IAAI42C,EAAOhqD,KAAKw3F,QAAQniC,GACxB,GAAIrL,KAAUutC,GAAavtC,EAAK3L,MAAO,CAErC,IAAIllC,IAAS6wC,EAAKga,UACa,GAAzBha,EAAKga,QAAQzhB,SAAgByH,EAAKga,QAAQjD,SAAyB,GAAhBnH,EAAIrX,SAAgBqX,EAAMA,EAAIxmD,YAAc42C,EAAKga,SAAWpK,GAGjH,OAAO5P,EAFP7wC,GAAQ,KAOlBo9E,EAASh/F,UAAUigG,QAAU,SAAkB59B,GAE7C,IADA,IAAI5P,EAAO4P,EAAI42B,WACNn7B,EAAMrL,EAAMqL,EAAKA,EAAMA,EAAIlzD,OAAU,GAAIkzD,GAAOr1D,KAAQ,OAAOgqD,GAG1EusC,EAASh/F,UAAU68F,WAAa,SAAqBx6B,EAAK7qB,EAAQuX,GAChE,IAAK,IAAIkQ,EAAOoD,EAAKpD,EAAMA,EAAOA,EAAKpjD,WAAY,CACjD,IAAI42C,EAAOhqD,KAAKw3F,QAAQhhC,GACxB,GAAIxM,EAAQ,OAAOA,EAAKktC,gBAAgBt9B,EAAK7qB,EAAQuX,GAEvD,OAAQ,GAMViwC,EAASh/F,UAAUkgG,OAAS,SAAiBv+E,GAC3C,IAAK,IAAIjhB,EAAI,EAAG82C,EAAS,EAAG92C,EAAI+H,KAAKkmF,SAAS/tF,OAAQF,IAAK,CACzD,IAAIgwD,EAAQjoD,KAAKkmF,SAASjuF,GAAI+pD,EAAMjT,EAASkZ,EAAM1uC,KACnD,GAAIw1B,GAAU71B,GAAO8oC,GAAOjT,EAAQ,CAClC,MAAQkZ,EAAMusC,QAAUvsC,EAAMi+B,SAAS/tF,QAAU8vD,EAAQA,EAAMi+B,SAAS,GACxE,OAAOj+B,EAET,GAAI/uC,EAAM8oC,EAAO,OAAOiG,EAAMwvC,OAAOv+E,EAAM61B,EAASkZ,EAAMusC,QAC1DzlD,EAASiT,IAKbu0C,EAASh/F,UAAU29E,WAAa,SAAqBh8D,GACnD,IAAKlZ,KAAKkgE,WAAc,MAAO,CAAC7hB,KAAMr+C,KAAK45D,IAAK7qB,OAAQ,GACxD,IAAK,IAAIA,EAAS,EAAG92C,EAAI,GAAIA,IAAK,CAChC,GAAI82C,GAAU71B,EAAK,CACjB,KAAOjhB,EAAI+H,KAAKkmF,SAAS/tF,SAAW6H,KAAKkmF,SAASjuF,GAAGw+F,gBAAkBz2F,KAAKkmF,SAASjuF,GAAG2hE,IAAIxmD,YAAcpT,KAAKkgE,aAAejoE,IAC9H,MAAO,CAAComD,KAAMr+C,KAAKkgE,WACXnxB,OAAQ92C,GAAK+H,KAAKkmF,SAAS/tF,OAAS6H,KAAKkgE,WAAW/rD,WAAWhc,OAAS63F,EAAShwF,KAAKkmF,SAASjuF,GAAG2hE,MAE5G,GAAI3hE,GAAK+H,KAAKkmF,SAAS/tF,OAAU,MAAM,IAAI6U,MAAM,oBAAsBkM,GACvE,IAAI+uC,EAAQjoD,KAAKkmF,SAASjuF,GAAI+pD,EAAMjT,EAASkZ,EAAM1uC,KACnD,GAAIL,EAAM8oC,EAAO,OAAOiG,EAAMitB,WAAWh8D,EAAM61B,EAASkZ,EAAMusC,QAC9DzlD,EAASiT,IAMbu0C,EAASh/F,UAAUmgG,WAAa,SAAqBvsE,EAAM8L,EAAIoZ,GAG7D,QAFgB,IAATA,IAAkBA,EAAO,GAEJ,GAAxBrwC,KAAKkmF,SAAS/tF,OACd,MAAO,CAACkmD,KAAMr+C,KAAKkgE,WAAY/0C,KAAMA,EAAM8L,GAAIA,EAAI0gE,WAAY,EAAGC,SAAU53F,KAAKkgE,WAAW/rD,WAAWhc,QAG3G,IADA,IAAIw/F,GAAc,EAAGC,GAAY,EACxB7oD,EAASsB,EAAMp4C,EAAI,GAAIA,IAAK,CACnC,IAAIgwD,EAAQjoD,KAAKkmF,SAASjuF,GAAI+pD,EAAMjT,EAASkZ,EAAM1uC,KACnD,IAAmB,GAAfo+E,GAAoBxsE,GAAQ62B,EAAK,CACnC,IAAI61C,EAAY9oD,EAASkZ,EAAMusC,OAE/B,GAAIrpE,GAAQ0sE,GAAa5gE,GAAM+qB,EAAMiG,EAAMusC,QAAUvsC,EAAM5J,MACvD4J,EAAMiY,YAAclgE,KAAKkgE,WAAWa,SAAS9Y,EAAMiY,YACnD,OAAOjY,EAAMyvC,WAAWvsE,EAAM8L,EAAI4gE,GAEtC1sE,EAAO4jB,EACP,IAAK,IAAIn8B,EAAI3a,EAAG2a,EAAI,EAAGA,IAAK,CAC1B,IAAIohB,EAAOh0B,KAAKkmF,SAAStzE,EAAI,GAC7B,GAAIohB,EAAKza,MAAQya,EAAK4lC,IAAIxmD,YAAcpT,KAAKkgE,aAAelsC,EAAK8jE,aAAa,GAAI,CAChFH,EAAa3H,EAASh8D,EAAK4lC,KAAO,EAClC,MAEFzuC,GAAQ6I,EAAKza,MAEI,GAAfo+E,IAAoBA,EAAa,GAEvC,GAAIA,GAAc,IAAM31C,EAAM/qB,GAAMh/B,GAAK+H,KAAKkmF,SAAS/tF,OAAS,GAAI,CAClE8+B,EAAK+qB,EACL,IAAK,IAAI+1C,EAAM9/F,EAAI,EAAG8/F,EAAM/3F,KAAKkmF,SAAS/tF,OAAQ4/F,IAAO,CACvD,IAAI7tF,EAAOlK,KAAKkmF,SAAS6R,GACzB,GAAI7tF,EAAKqP,MAAQrP,EAAK0vD,IAAIxmD,YAAcpT,KAAKkgE,aAAeh2D,EAAK4tF,cAAc,GAAI,CACjFF,EAAW5H,EAAS9lF,EAAK0vD,KACzB,MAEF3iC,GAAM/sB,EAAKqP,MAEI,GAAbq+E,IAAkBA,EAAW53F,KAAKkgE,WAAW/rD,WAAWhc,QAC5D,MAEF42C,EAASiT,EAEX,MAAO,CAAC3D,KAAMr+C,KAAKkgE,WAAY/0C,KAAMA,EAAM8L,GAAIA,EAAI0gE,WAAYA,EAAYC,SAAUA,IAGvFrB,EAASh/F,UAAUugG,aAAe,SAAuBx5C,GACvD,GAAIt+C,KAAKw0F,SAAWx0F,KAAKkgE,aAAelgE,KAAKkmF,SAAS/tF,OAAU,OAAO,EACvE,IAAI8vD,EAAQjoD,KAAKkmF,SAAS5nC,EAAO,EAAI,EAAIt+C,KAAKkmF,SAAS/tF,OAAS,GAChE,OAAqB,GAAd8vD,EAAM1uC,MAAa0uC,EAAM6vC,aAAax5C,IAI/Ci4C,EAASh/F,UAAU6+F,YAAc,SAAsBl9E,GACrD,IAAIkmC,EAAMp/C,KAAKk1E,WAAWh8D,GACpBmlC,EAAOe,EAAIf,KACXtP,EAASqQ,EAAIrQ,OACnB,GAAqB,GAAjBsP,EAAKkE,UAAiBxT,GAAUsP,EAAKlqC,WAAWhc,OAChD,MAAM,IAAIwuD,WAAW,qBAAuBztC,GAChD,OAAOmlC,EAAKlqC,WAAW46B,IASzBwnD,EAASh/F,UAAUgpD,aAAe,SAAuBlP,EAAQz/B,EAAMyhF,EAAM2E,GAG3E,IADA,IAAI7sE,EAAOr0B,KAAKkK,IAAIqwC,EAAQz/B,GAAOqlB,EAAKngC,KAAKkwB,IAAIqqB,EAAQz/B,GAChD3Z,EAAI,EAAG82C,EAAS,EAAG92C,EAAI+H,KAAKkmF,SAAS/tF,OAAQF,IAAK,CACzD,IAAIgwD,EAAQjoD,KAAKkmF,SAASjuF,GAAI+pD,EAAMjT,EAASkZ,EAAM1uC,KACnD,GAAI4R,EAAO4jB,GAAU9X,EAAK+qB,EACtB,OAAOiG,EAAM1H,aAAalP,EAAStC,EAASkZ,EAAMusC,OAAQ5iF,EAAOm9B,EAASkZ,EAAMusC,OAAQnB,EAAM2E,GAClGjpD,EAASiT,EAGX,IAAIi2C,EAAYj4F,KAAKk1E,WAAW7jC,GAAS6mD,EAAUl4F,KAAKk1E,WAAWtjE,GAC/D8+E,EAAS2C,EAAKsC,eAElB,GAAKqC,IACD/H,EAAqBgI,EAAU55C,KAAM45C,EAAUlpD,OAAQ2hD,EAAOyH,WAAYzH,EAAO0H,gBACjFnI,EAAqBiI,EAAQ75C,KAAM65C,EAAQnpD,OAAQ2hD,EAAOqF,UAAWrF,EAAOuF,aAFhF,CAQA,IAAIoC,GAAiB,EACrB,GAAI3H,EAAOz2F,QAAUo3C,GAAUz/B,EAAM,CACnC8+E,EAAO4H,SAASL,EAAU55C,KAAM45C,EAAUlpD,QAC1C,IACMsC,GAAUz/B,GAAQ8+E,EAAOz2F,OAAOi+F,EAAQ75C,KAAM65C,EAAQnpD,QAC1DspD,GAAiB,EACjB,MAAOjpC,GAKP,KAAMA,aAAempC,cAAiB,MAAMnpC,GAGhD,IAAKipC,EAAgB,CACnB,GAAIhnD,EAASz/B,EAAM,CAAE,IAAIk/B,EAAMmnD,EAAWA,EAAYC,EAASA,EAAUpnD,EACzE,IAAIyO,EAAQ5lD,SAASg7E,cACrBp1B,EAAMq1B,OAAOsjB,EAAQ75C,KAAM65C,EAAQnpD,QACnCwQ,EAAMs1B,SAASojB,EAAU55C,KAAM45C,EAAUlpD,QACzC2hD,EAAO2F,kBACP3F,EAAOvgC,SAAS5Q,MAKpBg3C,EAASh/F,UAAUihG,eAAiB,SAAyBntB,GAC3D,OAAQrrE,KAAKkgE,YAA+B,aAAjBmL,EAASxsE,MAGtC0mD,EAAmBoxC,YAAY/7F,IAAM,WACnC,OAAOoF,KAAKkgE,YAAclgE,KAAKkgE,YAAclgE,KAAK45D,MAAQ55D,KAAK45D,IAAImH,SAAS/gE,KAAKkgE,aAKnFq2B,EAASh/F,UAAUkhG,UAAY,SAAoBttE,EAAM8L,GACvD,IAAK,IAAI8X,EAAS,EAAG92C,EAAI,EAAGA,EAAI+H,KAAKkmF,SAAS/tF,OAAQF,IAAK,CACzD,IAAIgwD,EAAQjoD,KAAKkmF,SAASjuF,GAAI+pD,EAAMjT,EAASkZ,EAAM1uC,KACnD,GAAIw1B,GAAUiT,EAAM72B,GAAQ62B,GAAO/qB,GAAM8X,EAAS5jB,EAAO62B,GAAO/qB,EAAK8X,EAAQ,CAC3E,IAAI2pD,EAAc3pD,EAASkZ,EAAMusC,OAAQmE,EAAY32C,EAAMiG,EAAMusC,OACjE,GAAIrpE,GAAQutE,GAAezhE,GAAM0hE,EAK/B,OAJA34F,KAAKw2F,MAAQrrE,GAAQ4jB,GAAU9X,GAAM+qB,EA9TO,EAAnB,OA+TrB72B,GAAQutE,GAAezhE,GAAM0hE,IAC5B1wC,EAAM0uC,aAAe1uC,EAAM2R,IAAIxmD,YAAcpT,KAAKkgE,WAChDjY,EAAMwwC,UAAUttE,EAAOutE,EAAazhE,EAAKyhE,GADsBzwC,EAAMuuC,MAhUhB,GAoU5DvuC,EAAMuuC,MApUsD,EAuUhEznD,EAASiT,EAEXhiD,KAAKw2F,MAzU6C,GA4UpDD,EAASh/F,UAAUqhG,iBAAmB,WAEpC,IADA,IAAIr3B,EAAQ,EACHljB,EAAOr+C,KAAKmC,OAAQk8C,EAAMA,EAAOA,EAAKl8C,OAAQo/D,IAAS,CAC9D,IAAIi1B,EAAiB,GAATj1B,EA/UoC,EAAnB,EAgVzBljB,EAAKm4C,MAAQA,IAASn4C,EAAKm4C,MAAQA,KAI3Cl/F,OAAOiP,iBAAkBgwF,EAASh/F,UAAWguD,GAI7C,IAAIszC,EAAU,GAIVC,EAA+B,SAAUvC,GAC3C,SAASuC,EAAe32F,EAAQykE,EAAQtiB,EAAMprC,GAC5C,IAAIhiB,EAAM0iE,EAAMgN,EAAO/nE,KAAK8iE,MAK5B,GAJkB,mBAAP/H,IAAqBA,EAAMA,EAAItV,GAAM,WAC9C,OAAKptD,EACDA,EAAKiL,OAAiBjL,EAAKiL,OAAO80F,eAAe//F,QAArD,EADoBgiB,OAGjB0tD,EAAO/nE,KAAK8/C,KAAKx8B,IAAK,CACzB,GAAoB,GAAhBy3C,EAAIrX,SAAe,CACrB,IAAIN,EAAOtoD,SAASqZ,cAAc,QAClCivC,EAAKr6C,YAAYgyD,GACjBA,EAAM3X,EAER2X,EAAI22B,iBAAkB,EACtB32B,EAAIm/B,UAAUz2F,IAAI,sBAEpBi0F,EAAS7+F,KAAKsI,KAAMmC,EAAQ02F,EAASj/B,EAAK,MAC1C55D,KAAK4mE,OAASA,EACd1vE,EAAO8I,KAGJu2F,IAAWuC,EAAet1E,UAAY+yE,GAC3CuC,EAAevhG,UAAYD,OAAO4N,OAAQqxF,GAAYA,EAASh/F,WAC/DuhG,EAAevhG,UAAUiB,YAAcsgG,EAEvC,IAAI5xC,EAAuB,CAAEuvC,eAAgB,CAAE/xF,cAAc,IAuB7D,OArBAwiD,EAAqBuvC,eAAe77F,IAAM,WACxC,OAAOoF,KAAK4mE,OAAO/nE,KAAKy/C,KAAO,GAGjCw6C,EAAevhG,UAAUq/F,cAAgB,SAAwBhwB,GAC/D,OA5XY,GA4XL5mE,KAAKw2F,OAAsB5vB,EAAO/nE,KAAKwoD,GAAGrnD,KAAK4mE,OAAO/nE,OAG/Di6F,EAAevhG,UAAUy/F,UAAY,WAAwB,MAAO,CAAClsC,QAAQ,IAE7EguC,EAAevhG,UAAUg0E,UAAY,SAAoBjrE,GACvD,IAAIqY,EAAO3Y,KAAK4mE,OAAOjoB,KAAK4sB,UAC5B,QAAO5yD,GAAOA,EAAKrY,IAGrBw4F,EAAevhG,UAAUihG,eAAiB,SAAyBntB,GACjE,MAAwB,aAAjBA,EAASxsE,MAAuBmB,KAAK4mE,OAAOjoB,KAAKq6C,iBAG1D1hG,OAAOiP,iBAAkBuyF,EAAevhG,UAAW2vD,GAE5C4xC,EAhDyB,CAiDhCvC,GAEE0C,EAAoC,SAAU1C,GAChD,SAAS0C,EAAoB92F,EAAQy3D,EAAKs/B,EAAS96F,GACjDm4F,EAAS7+F,KAAKsI,KAAMmC,EAAQ02F,EAASj/B,EAAK,MAC1C55D,KAAKk5F,QAAUA,EACfl5F,KAAK5B,KAAOA,EAGTm4F,IAAW0C,EAAoBz1E,UAAY+yE,GAChD0C,EAAoB1hG,UAAYD,OAAO4N,OAAQqxF,GAAYA,EAASh/F,WACpE0hG,EAAoB1hG,UAAUiB,YAAcygG,EAE5C,IAAItnC,EAAuB,CAAEp4C,KAAM,CAAE7U,cAAc,IAmBnD,OAjBAitD,EAAqBp4C,KAAK3e,IAAM,WAAc,OAAOoF,KAAK5B,KAAKjG,QAE/D8gG,EAAoB1hG,UAAU2/F,gBAAkB,SAA0Bt9B,EAAK7qB,GAC7E,OAAI6qB,GAAO55D,KAAKk5F,QAAkBl5F,KAAKu0F,YAAcxlD,EAAS/uC,KAAKuZ,KAAO,GACnEvZ,KAAKu0F,WAAaxlD,GAG3BkqD,EAAoB1hG,UAAU29E,WAAa,SAAqBh8D,GAC9D,MAAO,CAACmlC,KAAMr+C,KAAKk5F,QAASnqD,OAAQ71B,IAGtC+/E,EAAoB1hG,UAAUihG,eAAiB,SAAyBW,GACtE,MAAoB,kBAAbA,EAAIt6F,MAA4Bs6F,EAAIj9F,OAAO2iE,WAAas6B,EAAIC,UAGrE9hG,OAAOiP,iBAAkB0yF,EAAoB1hG,UAAWo6D,GAEjDsnC,EA9B8B,CA+BrC1C,GAOE8C,EAA6B,SAAU9C,GACzC,SAAS8C,EAAal3F,EAAQgnD,EAAMyQ,EAAKsG,GACvCq2B,EAAS7+F,KAAKsI,KAAMmC,EAAQ,GAAIy3D,EAAKsG,GACrClgE,KAAKmpD,KAAOA,EAwCd,OArCKotC,IAAW8C,EAAa71E,UAAY+yE,GACzC8C,EAAa9hG,UAAYD,OAAO4N,OAAQqxF,GAAYA,EAASh/F,WAC7D8hG,EAAa9hG,UAAUiB,YAAc6gG,EAErCA,EAAan0F,OAAS,SAAiB/C,EAAQgnD,EAAMsN,EAAQnS,GAC3D,IAAIg1C,EAASh1C,EAAK+tB,UAAUlpB,EAAKtqD,KAAKjI,MAClC+nD,EAAO26C,GAAUA,EAAOnwC,EAAM7E,EAAMmS,GAGxC,OAFK9X,GAASA,EAAKib,MACfjb,EAAO,gBAAcyjB,WAAWzoE,SAAUwvD,EAAKtqD,KAAK8/C,KAAKgjB,MAAMxY,EAAMsN,KAClE,IAAI4iC,EAAal3F,EAAQgnD,EAAMxK,EAAKib,IAAKjb,EAAKuhB,YAAcvhB,EAAKib,MAG1Ey/B,EAAa9hG,UAAUy/F,UAAY,WAAwB,MAAO,CAAC7tC,KAAMnpD,KAAKmpD,KAAKtqD,KAAKjI,KAAM4rD,MAAOxiD,KAAKmpD,KAAK3G,MAAO2d,eAAgBngE,KAAKkgE,aAE3Im5B,EAAa9hG,UAAUs/F,YAAc,SAAsB1tC,GAAQ,OAzcD,GAycQnpD,KAAKw2F,OAAuBx2F,KAAKmpD,KAAK9B,GAAG8B,IAEnHkwC,EAAa9hG,UAAUkhG,UAAY,SAAoBttE,EAAM8L,GAG3D,GAFAs/D,EAASh/F,UAAUkhG,UAAU/gG,KAAKsI,KAAMmrB,EAAM8L,GA5clC,GA8cRj3B,KAAKw2F,MAAoB,CAE3B,IADA,IAAIr0F,EAASnC,KAAKmC,QACVA,EAAOk8C,MAAQl8C,EAASA,EAAOA,OACnCA,EAAOq0F,MAAQx2F,KAAKw2F,QAASr0F,EAAOq0F,MAAQx2F,KAAKw2F,OACrDx2F,KAAKw2F,MAldK,IAsdd6C,EAAa9hG,UAAU0L,MAAQ,SAAgBkoB,EAAM8L,EAAIqtB,GACvD,IAAIpC,EAAOm3C,EAAan0F,OAAOlF,KAAKmC,OAAQnC,KAAKmpD,MAAM,EAAM7E,GACzDyK,EAAQ/uD,KAAKkmF,SAAU3sE,EAAOvZ,KAAKuZ,KACnC0d,EAAK1d,IAAQw1C,EAAQwqC,GAAaxqC,EAAO93B,EAAI1d,EAAM+qC,IACnDn5B,EAAO,IAAK4jC,EAAQwqC,GAAaxqC,EAAO,EAAG5jC,EAAMm5B,IACrD,IAAK,IAAIrsD,EAAI,EAAGA,EAAI82D,EAAM52D,OAAQF,IAAO82D,EAAM92D,GAAGkK,OAAS+/C,EAE3D,OADAA,EAAKgkC,SAAWn3B,EACT7M,GAGFm3C,EA3CuB,CA4C9B9C,GAKEiD,EAA6B,SAAUjD,GACzC,SAASiD,EAAar3F,EAAQk8C,EAAMo7C,EAAWC,EAAW9/B,EAAKsG,EAAY8D,EAAS1f,EAAMprC,GACxFq9E,EAAS7+F,KAAKsI,KAAMmC,EAAQk8C,EAAKiP,OAASurC,EAAU,GAAIj/B,EAAKsG,GAC7DlgE,KAAKgkE,QAAUA,EACfhkE,KAAKq+C,KAAOA,EACZr+C,KAAKy5F,UAAYA,EACjBz5F,KAAK05F,UAAYA,EACbx5B,GAAclgE,KAAK25F,eAAer1C,EAAMprC,GAGzCq9E,IAAWiD,EAAah2E,UAAY+yE,GACzCiD,EAAajiG,UAAYD,OAAO4N,OAAQqxF,GAAYA,EAASh/F,WAC7DiiG,EAAajiG,UAAUiB,YAAcghG,EAErC,IAAI5mC,EAAuB,CAAEr5C,KAAM,CAAE7U,cAAc,GAAO8vF,OAAQ,CAAE9vF,cAAc,IA6MlF,OAlMA80F,EAAat0F,OAAS,SAAiB/C,EAAQk8C,EAAMo7C,EAAWC,EAAWp1C,EAAMprC,GAC/E,IAAIlb,EAEyC47F,EAAzCN,EAASh1C,EAAK+tB,UAAUh0B,EAAKx/C,KAAKjI,MAClC+nD,EAAO26C,GAAUA,EAAOj7C,EAAMiG,GAAM,WAGtC,OAAKs1C,EACDA,EAAQz3F,OAAiBy3F,EAAQz3F,OAAO80F,eAAe2C,QAA3D,EADuB1gF,IAEtBugF,GAEC7/B,EAAMjb,GAAQA,EAAKib,IAAKsG,EAAavhB,GAAQA,EAAKuhB,WACtD,GAAI7hB,EAAKwJ,OACP,GAAK+R,GACA,GAAoB,GAAhBA,EAAIrX,SAAiB,MAAM,IAAIoE,WAAW,iDADvCiT,EAAMjgE,SAASua,eAAemqC,EAAKjgD,WAErCw7D,IAC2DA,GAAzE57D,EAAS,gBAAcokE,WAAWzoE,SAAU0kD,EAAKx/C,KAAK8/C,KAAKgjB,MAAMtjB,KAAqBub,IAAKsG,EAAaliE,EAAOkiE,YAExGA,GAAe7hB,EAAKwJ,QAA0B,MAAhB+R,EAAIoF,WAChCpF,EAAI4yB,aAAa,qBAAsB5yB,EAAI22B,iBAAkB,GAC9DlyC,EAAKx/C,KAAK8/C,KAAK6sB,YAAa5R,EAAI4R,WAAY,IAGlD,IAAIxH,EAAUpK,EAGd,OAFAA,EAAMigC,GAAejgC,EAAK6/B,EAAWp7C,GAEjCM,EACOi7C,EAAU,IAAIE,EAAmB33F,EAAQk8C,EAAMo7C,EAAWC,EAAW9/B,EAAKsG,EAAY8D,EACvDrlB,EAAM2F,EAAMprC,EAAM,GACnDmlC,EAAKwJ,OACH,IAAIkyC,EAAa53F,EAAQk8C,EAAMo7C,EAAWC,EAAW9/B,EAAKoK,EAAS1f,GAEnE,IAAIk1C,EAAar3F,EAAQk8C,EAAMo7C,EAAWC,EAAW9/B,EAAKsG,EAAY8D,EAAS1f,EAAMprC,EAAM,IAGxGsgF,EAAajiG,UAAUy/F,UAAY,WACjC,IAAIzsC,EAASvqD,KAGb,GAAIA,KAAKq+C,KAAKx/C,KAAK8/C,KAAKq7C,cAAiB,OAAO,KAKhD,IAAItgC,EAAO,CAACrb,KAAMr+C,KAAKq+C,KAAKx/C,KAAKjI,KAAM4rD,MAAOxiD,KAAKq+C,KAAKmE,OAIxD,OAHIxiD,KAAKq+C,KAAKx/C,KAAK8/C,KAAK5/B,OAAQ26C,EAAKoD,mBAAqB,QACtD98D,KAAKkgE,aAAelgE,KAAK22F,YAAej9B,EAAKyG,eAAiBngE,KAAKkgE,WAChExG,EAAKuG,WAAa,WAAc,OAAO1V,EAAO2V,WAAa,WAAS7qC,MAAQk1B,EAAOlM,KAAKv3C,SACxF4yD,GAGT8/B,EAAajiG,UAAUu/F,YAAc,SAAsBz4C,EAAMo7C,EAAWC,GAC1E,OAnjBY,GAmjBL15F,KAAKw2F,OAAsBn4C,EAAKgJ,GAAGrnD,KAAKq+C,OAC7C47C,GAAcR,EAAWz5F,KAAKy5F,YAAcC,EAAUryC,GAAGrnD,KAAK05F,YAGlE9mC,EAAqBr5C,KAAK3e,IAAM,WAAc,OAAOoF,KAAKq+C,KAAKqD,UAE/DkR,EAAqB4hC,OAAO55F,IAAM,WAAc,OAAOoF,KAAKq+C,KAAKiP,OAAS,EAAI,GAM9EksC,EAAajiG,UAAUoiG,eAAiB,SAAyBr1C,EAAMprC,GACrE,IAAIqxC,EAASvqD,KAETy2D,EAASz2D,KAAKq+C,KAAK+E,cAAep0C,EAAMkK,EACxCghF,EAAczjC,GAAUnS,EAAKmxB,WAAaz1E,KAAKm6F,qBAAqB71C,EAAMprC,GAC1EkhF,EAAU,IAAIC,GAAgBr6F,KAAMk6F,GAAeA,EAAY77C,OAqkBvE,SAAkBl8C,EAAQm4F,EAAMC,EAAUC,GACxC,IAAI9Z,EAAS4Z,EAAK5Z,OAAOv+E,GAAS4sC,EAAS,EAE3C,GAAqB,GAAjB2xC,EAAOvoF,OAAa,CACtB,IAAK,IAAIF,EAAI,EAAGA,EAAIkK,EAAO88C,WAAYhnD,IAAK,CAC1C,IAAIgwD,EAAQ9lD,EAAO8lD,MAAMhwD,GACzBuiG,EAAOvyC,EAAOy4B,EAAQ4Z,EAAKG,SAAS1rD,EAAQkZ,GAAQhwD,GACpD82C,GAAUkZ,EAAMvG,SAElB,OAIF,IADA,IAAIg5C,EAAY,EAAGrkC,EAAS,GAAIskC,EAAW,KAClCC,EAAc,IAAK,CAC1B,GAAIF,EAAYha,EAAOvoF,QAAUuoF,EAAOga,GAAWzjE,IAAM8X,EAAQ,CAE/D,IADA,IAAI63B,EAAS8Z,EAAOga,KAAcG,OAAU,EACrCH,EAAYha,EAAOvoF,QAAUuoF,EAAOga,GAAWzjE,IAAM8X,IACvD8rD,IAAYA,EAAU,CAACj0B,KAAUr4D,KAAKmyE,EAAOga,MAClD,GAAIG,EAAS,CACXA,EAAQz6D,KAAK06D,IACb,IAAK,IAAIh3C,EAAM,EAAGA,EAAM+2C,EAAQ1iG,OAAQ2rD,IAASy2C,EAASM,EAAQ/2C,GAAM82C,IAAeD,QAEvFJ,EAAS3zB,EAAQg0B,IAAeD,GAIpC,IAAII,OAAU,EAAUtuF,OAAQ,EAChC,GAAIkuF,EACFluF,GAAS,EACTsuF,EAAUJ,EACVA,EAAW,SACN,MAAIC,EAAcz4F,EAAO88C,YAI9B,MAHAxyC,EAAQmuF,EACRG,EAAU54F,EAAO8lD,MAAM2yC,KAKzB,IAAK,IAAIrlC,EAAM,EAAGA,EAAMc,EAAOl+D,OAAQo9D,IAAac,EAAOd,GAAKt+B,IAAM8X,GAAUsnB,EAAOrK,OAAOuJ,IAAO,GACrG,KAAOmlC,EAAYha,EAAOvoF,QAAUuoF,EAAOga,GAAWvvE,MAAQ4jB,GAAU2xC,EAAOga,GAAWzjE,GAAK8X,GAAUsnB,EAAO9nD,KAAKmyE,EAAOga,MAE5H,IAAI14C,EAAMjT,EAASgsD,EAAQr5C,SAC3B,GAAIq5C,EAAQlzC,OAAQ,CAClB,IAAImzC,EAAQh5C,EACR04C,EAAYha,EAAOvoF,QAAUuoF,EAAOga,GAAWvvE,KAAO6vE,IAASA,EAAQta,EAAOga,GAAWvvE,MAC7F,IAAK,IAAI8vE,EAAM,EAAGA,EAAM5kC,EAAOl+D,OAAQ8iG,IAAa5kC,EAAO4kC,GAAKhkE,GAAK+jE,IAASA,EAAQ3kC,EAAO4kC,GAAKhkE,IAC9F+jE,EAAQh5C,IACV24C,EAAWI,EAAQttC,IAAIutC,EAAQjsD,GAC/BgsD,EAAUA,EAAQttC,IAAI,EAAGutC,EAAQjsD,GACjCiT,EAAMg5C,EACNvuF,GAAS,GAIb+tF,EAAOO,EAAS1kC,EAAOl+D,OAASk+D,EAAOpzD,QAAU41F,EAASyB,EAAKG,SAAS1rD,EAAQgsD,GAAUtuF,GAC1FsiC,EAASiT,GA3nBTk5C,CAASl7F,KAAKq+C,KAAMr+C,KAAK05F,WAAW,SAAU9yB,EAAQ3uE,EAAGkjG,GACnDv0B,EAAOjoB,KAAK8E,MACZ22C,EAAQgB,YAAYx0B,EAAOjoB,KAAK8E,MAAOgT,EAAQnS,GAC1CsiB,EAAO/nE,KAAKy/C,MAAQ,IAAM68C,GAC/Bf,EAAQgB,YAAYnjG,GAAKsyD,EAAOlM,KAAKY,WAAa,OAAKyK,KAAOa,EAAOlM,KAAK4J,MAAMhwD,GAAGwrD,MAAOgT,EAAQnS,GAGtG81C,EAAQiB,YAAYz0B,EAAQtiB,EAAMt1C,MACjC,SAAUi5C,EAAOwxC,EAAWC,EAAWzhG,GAExCmiG,EAAQgB,YAAYnzC,EAAMxE,MAAOgT,EAAQnS,GAGzC81C,EAAQkB,cAAcrzC,EAAOwxC,EAAWC,EAAWzhG,IAEjDmiG,EAAQmB,eAAetzC,EAAOwxC,EAAWC,EAAWp1C,EAAMrsD,IAE1DmiG,EAAQ/pC,QAAQpI,EAAOwxC,EAAWC,EAAWp1C,EAAMt1C,GACrDA,GAAOi5C,EAAMvG,YAGf04C,EAAQgB,YAAYvC,EAASpiC,EAAQnS,GACjCtkD,KAAKq+C,KAAKG,aAAe47C,EAAQoB,oBACrCpB,EAAQqB,eAGJrB,EAAQ/rB,SA/lBoC,GA+lBzBruE,KAAKw2F,SAEtB0D,GAAel6F,KAAK07F,wBAAwBp3C,EAAM41C,GAgP5D,SAASyB,EAAYC,EAAWC,EAAOv3C,GAErC,IADA,IAAIsV,EAAMgiC,EAAUrnF,WAAYunF,GAAU,EACjC7jG,EAAI,EAAGA,EAAI4jG,EAAM1jG,OAAQF,IAAK,CACrC,IAAI+xD,EAAO6xC,EAAM5jG,GAAI8jG,EAAW/xC,EAAK4P,IACrC,GAAImiC,EAAS3oF,YAAcwoF,EAAW,CACpC,KAAOG,GAAYniC,GAAOA,EAAMoiC,GAAGpiC,GAAMkiC,GAAU,EACnDliC,EAAMA,EAAIyF,iBAEVy8B,GAAU,EACVF,EAAUxnF,aAAa2nF,EAAUniC,GAEnC,GAAI5P,aAAgBqvC,EAAc,CAChC,IAAIngF,EAAM0gD,EAAMA,EAAImF,gBAAkB68B,EAAUr9C,UAChDo9C,EAAY3xC,EAAKkW,WAAYlW,EAAKk8B,SAAU5hC,GAC5CsV,EAAM1gD,EAAMA,EAAImmD,YAAcu8B,EAAUrnF,YAG5C,KAAOqlD,GAAOA,EAAMoiC,GAAGpiC,GAAMkiC,GAAU,EACnCA,GAAWx3C,EAAK23C,aAAeL,IAAat3C,EAAK23C,YAAc,MAjQ/DN,CAAY37F,KAAKkgE,WAAYlgE,KAAKkmF,SAAU5hC,GACxCzqD,EAAO41F,KAmmBjB,SAAkB71B,GAChB,GAAoB,MAAhBA,EAAIoF,UAAoC,MAAhBpF,EAAIoF,SAAkB,CAChD,IAAIk9B,EAAStiC,EAAIlyD,MAAMsM,QACvB4lD,EAAIlyD,MAAMsM,QAAUkoF,EAAS,kCAC7BjlG,OAAO0tE,iBAAiB/K,GAAKuiC,UAC7BviC,EAAIlyD,MAAMsM,QAAUkoF,GAxmBAE,CAASp8F,KAAK45D,OAIpC4/B,EAAajiG,UAAU4iG,qBAAuB,SAA+B71C,EAAMprC,GAIjF,IAAIkmC,EAAMkF,EAAKtgD,MAAMi6C,UACjB9yB,EAAOi0B,EAAIj0B,KACX8L,EAAKmoB,EAAInoB,GACb,OAAMqtB,EAAKtgD,MAAMi6C,qBAAqB,kBAAkB9yB,EAAOjS,GAAO+d,EAAK/d,EAAMlZ,KAAKq+C,KAAKv3C,QAAQyS,MAAnG,CACA,IAAIwuC,EAAMzD,EAAK+uC,KAAKsC,eAChB10B,EA+lBR,SAAwB5iB,EAAMtP,GAC5B,OAAS,CACP,GAAqB,GAAjBsP,EAAKkE,SAAiB,OAAOlE,EACjC,GAAqB,GAAjBA,EAAKkE,UAAiBxT,EAAS,EAAG,CACpC,GAAIsP,EAAKlqC,WAAWhc,OAAS42C,GAA8C,GAApCsP,EAAKlqC,WAAW46B,GAAQwT,SAC3D,OAAOlE,EAAKlqC,WAAW46B,GAC3BsP,EAAOA,EAAKlqC,WAAW46B,EAAS,GAChCA,EAAS2S,EAASrD,OACb,MAAqB,GAAjBA,EAAKkE,UAAiBxT,EAASsP,EAAKlqC,WAAWhc,QAIxD,OAAO,KAHPkmD,EAAOA,EAAKlqC,WAAW46B,GACvBA,EAAS,IAzmBIstD,CAAet0C,EAAIguC,UAAWhuC,EAAIkuC,aACjD,GAAKh1B,GAAajhE,KAAK45D,IAAImH,SAASE,EAAS7tD,YAA7C,CAKA,IAAIhV,EAAO6iE,EAASpC,UAChBy9B,EA0mBR,SAA4BzmC,EAAMz3D,EAAM+sB,EAAM8L,GAC5C,IAAK,IAAIh/B,EAAI,EAAGihB,EAAM,EAAGjhB,EAAI49D,EAAK5W,YAAc/lC,GAAO+d,GAAK,CAC1D,IAAIgxB,EAAQ4N,EAAK5N,MAAMhwD,KAAMskG,EAAarjF,EAE1C,GADAA,GAAO+uC,EAAMvG,SACRuG,EAAMJ,OAAX,CAEA,IADA,IAAIvtD,EAAM2tD,EAAM7pD,KACTnG,EAAI49D,EAAK5W,YAAY,CAC1B,IAAI/0C,EAAO2rD,EAAK5N,MAAMhwD,KAEtB,GADAihB,GAAOhP,EAAKw3C,UACPx3C,EAAK29C,OAAU,MACpBvtD,GAAO4P,EAAK9L,KAEd,GAAI8a,GAAOiS,EAAM,CACf,IAAIk7B,EAAQ/rD,EAAIknE,YAAYpjE,EAAM64B,EAAKslE,GACvC,GAAIl2C,GAAS,GAAKA,EAAQjoD,EAAKjG,OAASokG,GAAcpxE,EAClD,OAAOoxE,EAAal2C,IAG5B,OAAQ,EA5nBQm2C,CAAmBx8F,KAAKq+C,KAAKv3C,QAAS1I,EAAM+sB,EAAOjS,EAAK+d,EAAK/d,GAE3E,OAAOojF,EAAU,EAAI,KAAO,CAACj+C,KAAM4iB,EAAU/nD,IAAKojF,EAASl+F,KAAMA,MAGnEo7F,EAAajiG,UAAUmkG,wBAA0B,SAAkCp3C,EAAMlF,GACvF,IAAIf,EAAOe,EAAIf,KACXnlC,EAAMkmC,EAAIlmC,IACV9a,EAAOghD,EAAIhhD,KAGf,IAAI4B,KAAKw3F,QAAQn5C,GAAjB,CAIA,IADA,IAAIma,EAAUna,EAERma,EAAQplD,YAAcpT,KAAKkgE,WADzB1H,EAAUA,EAAQplD,WAAY,CAEpC,KAAOolD,EAAQuG,iBAAmBvG,EAAQplD,WAAWC,YAAYmlD,EAAQuG,iBACzE,KAAOvG,EAAQ6G,aAAe7G,EAAQplD,WAAWC,YAAYmlD,EAAQ6G,aACjE7G,EAAQg4B,aAAch4B,EAAQg4B,WAAa,MAEjD,IAAIxmC,EAAO,IAAIivC,EAAoBj5F,KAAMw4D,EAASna,EAAMjgD,GACxDkmD,EAAKm4C,iBAAiBluF,KAAKy7C,GAG3BhqD,KAAKkmF,SAAWqT,GAAav5F,KAAKkmF,SAAUhtE,EAAKA,EAAM9a,EAAKjG,OAAQmsD,EAAM0F,KAM5EwvC,EAAajiG,UAAU0b,OAAS,SAAiBorC,EAAMo7C,EAAWC,EAAWp1C,GAC3E,QAvpBgE,GAupB5DtkD,KAAKw2F,QACJn4C,EAAKkP,WAAWvtD,KAAKq+C,SAC1Br+C,KAAK08F,YAAYr+C,EAAMo7C,EAAWC,EAAWp1C,IACtC,IAGTk1C,EAAajiG,UAAUmlG,YAAc,SAAsBr+C,EAAMo7C,EAAWC,EAAWp1C,GACrFtkD,KAAK28F,gBAAgBlD,GACrBz5F,KAAKq+C,KAAOA,EACZr+C,KAAK05F,UAAYA,EACb15F,KAAKkgE,YAAclgE,KAAK25F,eAAer1C,EAAMtkD,KAAKu0F,YACtDv0F,KAAKw2F,MAlqBO,GAqqBdgD,EAAajiG,UAAUolG,gBAAkB,SAA0BlD,GACjE,IAAIQ,GAAcR,EAAWz5F,KAAKy5F,WAAlC,CACA,IAAImD,EAAqC,GAAzB58F,KAAKgkE,QAAQzhB,SACzBs6C,EAAS78F,KAAK45D,IAClB55D,KAAK45D,IAAMkjC,GAAe98F,KAAK45D,IAAK55D,KAAKgkE,QACf+4B,GAAiB/8F,KAAKy5F,UAAWz5F,KAAKq+C,KAAMu+C,GAC5CG,GAAiBtD,EAAWz5F,KAAKq+C,KAAMu+C,IAC7D58F,KAAK45D,KAAOijC,IACdA,EAAOrM,WAAa,KACpBxwF,KAAK45D,IAAI42B,WAAaxwF,MAExBA,KAAKy5F,UAAYA,IAInBD,EAAajiG,UAAUylG,WAAa,WAClCh9F,KAAKgkE,QAAQ+0B,UAAUz2F,IAAI,6BACvBtC,KAAKkgE,YAAelgE,KAAKq+C,KAAKx/C,KAAK8/C,KAAK6sB,YAAaxrE,KAAK45D,IAAI4R,WAAY,IAIhFguB,EAAajiG,UAAU0lG,aAAe,WACpCj9F,KAAKgkE,QAAQ+0B,UAAU7lF,OAAO,6BAC1BlT,KAAKkgE,YAAelgE,KAAKq+C,KAAKx/C,KAAK8/C,KAAK6sB,WAAaxrE,KAAK45D,IAAIsjC,gBAAgB,cAGpF5lG,OAAOiP,iBAAkBizF,EAAajiG,UAAWq7D,GAE1C4mC,EA3NuB,CA4N9BjD,GAIF,SAAS4G,EAAYr+C,EAAK26C,EAAWC,EAAW9/B,EAAKtV,GAEnD,OADAu1C,GAAejgC,EAAK6/B,EAAW36C,GACxB,IAAI06C,EAAa,KAAM16C,EAAK26C,EAAWC,EAAW9/B,EAAKA,EAAKA,EAAKtV,EAAM,GAGhF,IAAIy1C,EAA6B,SAAUP,GACzC,SAASO,EAAa53F,EAAQk8C,EAAMo7C,EAAWC,EAAW9/B,EAAKoK,EAAS1f,GACtEk1C,EAAa9hG,KAAKsI,KAAMmC,EAAQk8C,EAAMo7C,EAAWC,EAAW9/B,EAAK,KAAMoK,EAAS1f,GAkDlF,OA/CKk1C,IAAeO,EAAav2E,UAAYg2E,GAC7CO,EAAaxiG,UAAYD,OAAO4N,OAAQs0F,GAAgBA,EAAajiG,WACrEwiG,EAAaxiG,UAAUiB,YAAcuhG,EAErCA,EAAaxiG,UAAUy/F,UAAY,WAEjC,IADA,IAAIx3B,EAAOx/D,KAAKgkE,QAAQ5wD,WACjBosD,GAAQA,GAAQx/D,KAAK45D,MAAQ4F,EAAK49B,UAAY59B,EAAOA,EAAKpsD,WACjE,MAAO,CAACosD,KAAMA,IAAQ,IAGxBu6B,EAAaxiG,UAAU0b,OAAS,SAAiBorC,EAAMo7C,EAAW3vC,EAAGxF,GACnE,QA3tBgE,GA2tB5DtkD,KAAKw2F,OA3tBG,GA2tBqBx2F,KAAKw2F,QAAuBx2F,KAAKq9F,aAC7Dh/C,EAAKkP,WAAWvtD,KAAKq+C,SAC1Br+C,KAAK28F,gBAAgBlD,GA7tBT,GA8tBPz5F,KAAKw2F,OAAsBn4C,EAAKjgD,MAAQ4B,KAAKq+C,KAAKjgD,MAASigD,EAAKjgD,MAAQ4B,KAAKgkE,QAAQnF,YACxF7+D,KAAKgkE,QAAQnF,UAAYxgB,EAAKjgD,KAC1BkmD,EAAK23C,aAAej8F,KAAKgkE,UAAW1f,EAAK23C,YAAc,OAE7Dj8F,KAAKq+C,KAAOA,EACZr+C,KAAKw2F,MAnuBO,GAouBL,IAGTuD,EAAaxiG,UAAU8lG,SAAW,WAEhC,IADA,IAAIzB,EAAY57F,KAAKmC,OAAO+9D,WACnBrpD,EAAI7W,KAAKgkE,QAASntD,EAAGA,EAAIA,EAAEzD,WAAc,GAAIyD,GAAK+kF,EAAa,OAAO,EAC/E,OAAO,GAGT7B,EAAaxiG,UAAU29E,WAAa,SAAqBh8D,GACvD,MAAO,CAACmlC,KAAMr+C,KAAKgkE,QAASj1B,OAAQ71B,IAGtC6gF,EAAaxiG,UAAU2/F,gBAAkB,SAA0Bt9B,EAAK7qB,EAAQuX,GAC9E,OAAIsT,GAAO55D,KAAKgkE,QAAkBhkE,KAAKu0F,WAAaz9F,KAAKkK,IAAI+tC,EAAQ/uC,KAAKq+C,KAAKjgD,KAAKjG,QAC7EqhG,EAAajiG,UAAU2/F,gBAAgBx/F,KAAKsI,KAAM45D,EAAK7qB,EAAQuX,IAGxEyzC,EAAaxiG,UAAUihG,eAAiB,SAAyBntB,GAC/D,MAAwB,iBAAjBA,EAASxsE,MAA4C,aAAjBwsE,EAASxsE,MAGtDk7F,EAAaxiG,UAAU0L,MAAQ,SAAgBkoB,EAAM8L,EAAIqtB,GACvD,IAAIjG,EAAOr+C,KAAKq+C,KAAKoP,IAAItiC,EAAM8L,GAAK2iC,EAAMjgE,SAASua,eAAemqC,EAAKjgD,MACvE,OAAO,IAAI27F,EAAa/5F,KAAKmC,OAAQk8C,EAAMr+C,KAAKy5F,UAAWz5F,KAAK05F,UAAW9/B,EAAKA,EAAKtV,IAGhFy1C,EApDuB,CAqD9BP,GAIE8D,EAA+B,SAAU/G,GAC3C,SAAS+G,IACP/G,EAASxwF,MAAM/F,KAAMjG,WAUvB,OAPKw8F,IAAW+G,EAAe95E,UAAY+yE,GAC3C+G,EAAe/lG,UAAYD,OAAO4N,OAAQqxF,GAAYA,EAASh/F,WAC/D+lG,EAAe/lG,UAAUiB,YAAc8kG,EAEvCA,EAAe/lG,UAAUy/F,UAAY,WAAwB,MAAO,CAAClsC,QAAQ,IAC7EwyC,EAAe/lG,UAAUw/F,YAAc,WAA0B,OA9wBnD,GA8wB0D/2F,KAAKw2F,OAEtE8G,EAZyB,CAahC/G,GAKEuD,EAAmC,SAAUN,GAC/C,SAASM,EAAmB33F,EAAQk8C,EAAMo7C,EAAWC,EAAW9/B,EAAKsG,EAAY8D,EAASrlB,EAAM2F,EAAMprC,GACpGsgF,EAAa9hG,KAAKsI,KAAMmC,EAAQk8C,EAAMo7C,EAAWC,EAAW9/B,EAAKsG,EAAY8D,EAAS1f,EAAMprC,GAC5FlZ,KAAK2+C,KAAOA,EAiDd,OA9CK66C,IAAeM,EAAmBt2E,UAAYg2E,GACnDM,EAAmBviG,UAAYD,OAAO4N,OAAQs0F,GAAgBA,EAAajiG,WAC3EuiG,EAAmBviG,UAAUiB,YAAcshG,EAK3CA,EAAmBviG,UAAU0b,OAAS,SAAiBorC,EAAMo7C,EAAWC,EAAWp1C,GACjF,GApyBgE,GAoyB5DtkD,KAAKw2F,MAAuB,OAAO,EACvC,GAAIx2F,KAAK2+C,KAAK1rC,OAAQ,CACpB,IAAIpZ,EAASmG,KAAK2+C,KAAK1rC,OAAOorC,EAAMo7C,GAEpC,OADI5/F,GAAUmG,KAAK08F,YAAYr+C,EAAMo7C,EAAWC,EAAWp1C,GACpDzqD,EACF,SAAKmG,KAAKkgE,aAAe7hB,EAAKiP,SAG5BksC,EAAajiG,UAAU0b,OAAOvb,KAAKsI,KAAMq+C,EAAMo7C,EAAWC,EAAWp1C,IAIhFw1C,EAAmBviG,UAAUylG,WAAa,WACxCh9F,KAAK2+C,KAAKq+C,WAAah9F,KAAK2+C,KAAKq+C,aAAexD,EAAajiG,UAAUylG,WAAWtlG,KAAKsI,OAGzF85F,EAAmBviG,UAAU0lG,aAAe,WAC1Cj9F,KAAK2+C,KAAKs+C,aAAej9F,KAAK2+C,KAAKs+C,eAAiBzD,EAAajiG,UAAU0lG,aAAavlG,KAAKsI,OAG/F85F,EAAmBviG,UAAUgpD,aAAe,SAAuBlP,EAAQz/B,EAAMyhF,EAAM2E,GACrFh4F,KAAK2+C,KAAK4B,aAAevgD,KAAK2+C,KAAK4B,aAAalP,EAAQz/B,EAAMyhF,GAC1DmG,EAAajiG,UAAUgpD,aAAa7oD,KAAKsI,KAAMqxC,EAAQz/B,EAAMyhF,EAAM2E,IAGzE8B,EAAmBviG,UAAUksE,QAAU,WACjCzjE,KAAK2+C,KAAK8kB,SAAWzjE,KAAK2+C,KAAK8kB,UACnC+1B,EAAajiG,UAAUksE,QAAQ/rE,KAAKsI,OAGtC85F,EAAmBviG,UAAUg0E,UAAY,SAAoBjrE,GAC3D,QAAON,KAAK2+C,KAAK4sB,WAAYvrE,KAAK2+C,KAAK4sB,UAAUjrE,IAGnDw5F,EAAmBviG,UAAUihG,eAAiB,SAAyBntB,GACrE,OAAOrrE,KAAK2+C,KAAK65C,eAAiBx4F,KAAK2+C,KAAK65C,eAAentB,GAAYmuB,EAAajiG,UAAUihG,eAAe9gG,KAAKsI,KAAMqrE,IAGnHyuB,EApD6B,CAqDpCN,GA2BF,SAAS+D,EAAev+B,GAClBA,IAAYh/D,KAAKg/D,SAAWA,GAElCu+B,EAAehmG,UAAYD,OAAO4N,OAAO,MAEzC,IAAIs4F,EAAS,CAAC,IAAID,GAElB,SAASR,GAAiBtD,EAAWp7C,EAAMu+C,GACzC,GAAwB,GAApBnD,EAAUthG,OAAe,OAAOqlG,EAIpC,IAFA,IAAIx/B,EAAM4+B,EAAYY,EAAO,GAAK,IAAID,EAAgB1jG,EAAS,CAACmkE,GAEvD/lE,EAAI,EAAGA,EAAIwhG,EAAUthG,OAAQF,IAAK,CACzC,IAAIuqD,EAAQi3C,EAAUxhG,GAAG4G,KAAK2jD,MAAO6S,EAAM2I,EAC3C,GAAKxb,EAIL,IAAK,IAAI5rD,KAHL4rD,EAAMwc,UACNnlE,EAAO0U,KAAK8mD,EAAM,IAAIkoC,EAAe/6C,EAAMwc,WAE9Bxc,EAAO,CACtB,IAAI/qD,EAAM+qD,EAAM5rD,GACL,MAAPa,IACAmlG,GAA8B,GAAjB/iG,EAAO1B,QACpB0B,EAAO0U,KAAK8mD,EAAM2I,EAAM,IAAIu/B,EAAel/C,EAAK0H,SAAW,OAAS,QAC5D,SAARnvD,EAAmBy+D,EAAIiO,OAASjO,EAAIiO,MAAQjO,EAAIiO,MAAQ,IAAM,IAAM7rE,EACvD,SAARb,EAAmBy+D,EAAI3tD,OAAS2tD,EAAI3tD,MAAQ2tD,EAAI3tD,MAAQ,IAAM,IAAMjQ,EAC5D,YAARb,IAAsBy+D,EAAIz+D,GAAQa,KAI/C,OAAOoC,EAGT,SAASijG,GAAeW,EAAUz5B,EAAS05B,EAAcC,GAEvD,GAAID,GAAgBF,GAAUG,GAAeH,EAAU,OAAOx5B,EAG9D,IADA,IAAI45B,EAAS55B,EACJ/rE,EAAI,EAAGA,EAAI0lG,EAAYxlG,OAAQF,IAAK,CAC3C,IAAIqiG,EAAOqD,EAAY1lG,GAAI+7B,EAAO0pE,EAAazlG,GAC/C,GAAIA,EAAG,CACL,IAAIkK,OAAS,EACT6xB,GAAQA,EAAKgrC,UAAYs7B,EAAKt7B,UAAY4+B,GAAUH,IACnDt7F,EAASy7F,EAAOxqF,aAAejR,EAAOsgE,QAAQtwD,eAAiBmoF,EAAKt7B,YAGvE78D,EAASxI,SAASqZ,cAAcsnF,EAAKt7B,WAC9Bo+B,UAAW,EAClBj7F,EAAOyF,YAAYg2F,GACnB5pE,EAAOwpE,EAAO,IALdI,EAASz7F,EASb07F,GAAgBD,EAAQ5pE,GAAQwpE,EAAO,GAAIlD,GAE7C,OAAOsD,EAGT,SAASC,GAAgBjkC,EAAK5lC,EAAMqhC,GAClC,IAAK,IAAIz+D,KAAQo9B,EACD,SAARp9B,GAA2B,SAARA,GAA2B,YAARA,GAAwBA,KAAQy+D,GACxEuE,EAAIsjC,gBAAgBtmG,GAC1B,IAAK,IAAI6jE,KAAUpF,EACD,SAAVoF,GAA+B,SAAVA,GAA+B,YAAVA,GAAwBpF,EAAIoF,IAAWzmC,EAAKymC,IACxFb,EAAIvlD,aAAaomD,EAAQpF,EAAIoF,IACnC,GAAIzmC,EAAKsvC,OAASjO,EAAIiO,MAAO,CAG3B,IAFA,IAAIw6B,EAAW9pE,EAAKsvC,MAAQtvC,EAAKsvC,MAAM3jE,MAAM,KAAOk5F,EAChDkF,EAAU1oC,EAAIiO,MAAQjO,EAAIiO,MAAM3jE,MAAM,KAAOk5F,EACxC5gG,EAAI,EAAGA,EAAI6lG,EAAS3lG,OAAQF,KAA4C,GAAjC8lG,EAAQn/F,QAAQk/F,EAAS7lG,KACrE2hE,EAAIm/B,UAAU7lF,OAAO4qF,EAAS7lG,IAClC,IAAK,IAAI6rD,EAAM,EAAGA,EAAMi6C,EAAQ5lG,OAAQ2rD,KAAgD,GAAnCg6C,EAASl/F,QAAQm/F,EAAQj6C,KAC1E8V,EAAIm/B,UAAUz2F,IAAIy7F,EAAQj6C,IAEhC,GAAI9vB,EAAKtsB,OAAS2tD,EAAI3tD,MAAO,CAC3B,GAAIssB,EAAKtsB,MAEP,IADA,IAA4Fsa,EAAxF3C,EAAO,gFACJ2C,EAAI3C,EAAKrpB,KAAKg+B,EAAKtsB,QACtBkyD,EAAIlyD,MAAMs2F,eAAeh8E,EAAE,IAE7BqzC,EAAI3tD,QACJkyD,EAAIlyD,MAAMsM,SAAWqhD,EAAI3tD,QAIjC,SAASmyF,GAAejgC,EAAK0gC,EAAMj8C,GACjC,OAAOy+C,GAAeljC,EAAKA,EAAK4jC,EAAQT,GAAiBzC,EAAMj8C,EAAsB,GAAhBub,EAAIrX,WAI3E,SAAS03C,GAAc//F,EAAGC,GACxB,GAAID,EAAE/B,QAAUgC,EAAEhC,OAAU,OAAO,EACnC,IAAK,IAAIF,EAAI,EAAGA,EAAIiC,EAAE/B,OAAQF,IAAO,IAAKiC,EAAEjC,GAAG4G,KAAKwoD,GAAGltD,EAAElC,GAAG4G,MAAS,OAAO,EAC5E,OAAO,EAIT,SAASm9F,GAAGpiC,GACV,IAAI1vD,EAAO0vD,EAAIyF,YAEf,OADAzF,EAAIxmD,WAAWC,YAAYumD,GACpB1vD,EAKT,IAAImwF,GAAkB,SAAyBr8B,EAAKigC,GAClDj+F,KAAKg+D,IAAMA,EACXh+D,KAAKk+F,KAAOD,EAGZj+F,KAAKyM,MAAQ,EAGbzM,KAAK2uB,MAAQ,GAEb3uB,KAAKquE,SAAU,EAEf,IAAIhS,EA6JN,SAAkBxG,EAAMgmC,GAEtB,IADA,IAAIhiG,EAAS,GAAImoD,EAAM6T,EAAK5W,WACnBhnD,EAAI4jG,EAAM1jG,OAAS,EAAG6pD,EAAM,GAAK/pD,GAAK,EAAGA,IAAK,CACrD,IAAI+xD,EAAO6xC,EAAM5jG,GAAIomD,EAAO2L,EAAK3L,KACjC,GAAKA,EAAL,CACA,GAAIA,GAAQwX,EAAK5N,MAAMjG,EAAM,GAAM,MACnCnoD,EAAO0U,KAAKy7C,KACVhI,GAEJ,MAAO,CAAC+M,MAAOl1D,EAAO08D,UAAWxnB,OAAQiT,GAtK/Bm8C,CAASngC,EAAI3f,KAAKv3C,QAASk3D,EAAIkoB,UACzClmF,KAAKo+F,WAAa/hC,EAAItN,MACtB/uD,KAAKq+F,eAAiBhiC,EAAIttB,QAuK5B,SAAS+rD,GAAY5gG,EAAGC,GAAK,OAAOD,EAAE2E,KAAKy/C,KAAOnkD,EAAE0E,KAAKy/C,KAyHzD,SAASi7C,GAAaxqC,EAAO5jC,EAAM8L,EAAIqtB,EAAM1wC,GAE3C,IADA,IAAI/Z,EAAS,GACJ5B,EAAI,EAAG+W,EAAM,EAAG/W,EAAI82D,EAAM52D,OAAQF,IAAK,CAC9C,IAAIgwD,EAAQ8G,EAAM92D,GAAI+0D,EAAQh+C,EAAKgzC,EAAMhzC,GAAOi5C,EAAM1uC,KAClDyzC,GAAS/1B,GAAM+qB,GAAO72B,EACxBtxB,EAAO0U,KAAK05C,IAER+E,EAAQ7hC,GAAQtxB,EAAO0U,KAAK05C,EAAMhlD,MAAM,EAAGkoB,EAAO6hC,EAAO1I,IACzD1wC,IACF/Z,EAAO0U,KAAKqF,GACZA,EAAc,MAEZouC,EAAM/qB,GAAMp9B,EAAO0U,KAAK05C,EAAMhlD,MAAMg0B,EAAK+1B,EAAO/E,EAAM1uC,KAAM+qC,KAGpE,OAAOzqD,EAGT,SAASykG,GAAmBt6F,EAAOyL,GACjC,IAAI2vC,EAAMp7C,EAAMi6C,UACZ8B,EAAUX,EAAIW,QACdD,EAAQV,EAAIU,MACZy+C,EAAQ9uF,EAAM,EAAIswC,EAAQ/4B,IAAI84B,GAASC,EAAQ/+C,IAAI8+C,GACnDmQ,EAAUsuC,EAAMp8F,OAAOihD,cAAwBm7C,EAAM1/C,MAAQ76C,EAAM86C,IAAIziC,QAAQ5M,EAAM,EAAI8uF,EAAMr/C,QAAUq/C,EAAMx/C,UAAY,KAApFw/C,EAC3C,OAAOtuC,GAAU,YAAU5N,SAAS4N,EAAQxgD,GAG9C,SAAS1J,GAAMu+C,EAAMyD,GAEnB,OADAzD,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAGqC,aAAawH,GAAK5J,mBACvC,EAGT,SAASqgD,GAAmBl6C,EAAM70C,EAAKgvF,GACrC,IAAI12C,EAAMzD,EAAKtgD,MAAMi6C,UACrB,KAAI8J,aAAe,iBAuBZ,IAAIA,aAAe,iBAAiBA,EAAI1J,KAAK0H,SAClD,OAAOhgD,GAAMu+C,EAAM,IAAI,gBAAc70C,EAAM,EAAIs4C,EAAIzI,IAAMyI,EAAI1I,QAE7D,IAAIiW,EAASgpC,GAAmBh6C,EAAKtgD,MAAOyL,GAC5C,QAAI6lD,GAAiBvvD,GAAMu+C,EAAMgR,GA1BjC,IAAKvN,EAAI1yB,OAASopE,EAAK7/F,QAAQ,MAAQ,EACrC,OAAO,EACF,GAAI0lD,EAAKE,eAAe/0C,EAAM,EAAI,QAAU,QAAS,CAC1D,IAAIvF,EAAOo0F,GAAmBh6C,EAAKtgD,MAAOyL,GAC1C,SAAIvF,GAASA,aAAgB,kBAAyBnE,GAAMu+C,EAAMp6C,GAE7D,KAAMrQ,EAAOo1F,KAAOwP,EAAK7/F,QAAQ,MAAQ,GAAI,CAClD,IAAsGorD,EAAlGlK,EAAQiI,EAAIjI,MAAOzB,EAAOyB,EAAM+Q,WAAa,KAAOphD,EAAM,EAAIqwC,EAAMwB,WAAaxB,EAAMyB,UAC3F,IAAKlD,GAAQA,EAAKwJ,OAAU,OAAO,EACnC,IAAI62C,EAAUjvF,EAAM,EAAIqwC,EAAM5mC,IAAMmlC,EAAKqD,SAAW5B,EAAM5mC,IAC1D,SAAMmlC,EAAKsG,SAAWqF,EAAO1F,EAAKmvB,QAAQgkB,OAAOiH,MAAc10C,EAAKkW,cAChE,gBAAczb,aAAapG,GACtBt4C,GAAMu+C,EAAM,IAAI,gBAAc70C,EAAM,EAAI60C,EAAKtgD,MAAM86C,IAAIziC,QAAQyjC,EAAM5mC,IAAMmlC,EAAKqD,UAAY5B,MAC1FjmD,EAAO81F,QAIT5pF,GAAMu+C,EAAM,IAAI,gBAAcA,EAAKtgD,MAAM86C,IAAIziC,QAAQ5M,EAAM,EAAIivF,EAAUA,EAAUrgD,EAAKqD,cAcvG,SAASi9C,GAAQtgD,GACf,OAAwB,GAAjBA,EAAKkE,SAAgBlE,EAAKwgB,UAAU1mE,OAASkmD,EAAKlqC,WAAWhc,OAGtE,SAASymG,GAAYhlC,GACnB,IAAI5P,EAAO4P,EAAI42B,WACf,OAAOxmC,GAAqB,GAAbA,EAAKzwC,OAAcqgD,EAAIyF,aAA+B,MAAhBzF,EAAIoF,UAK3D,SAAS6/B,GAAqBv6C,GAC5B,IAAIyD,EAAMzD,EAAK+uC,KAAKsC,eAChBt3C,EAAO0J,EAAIguC,UAAWhnD,EAASgZ,EAAIkuC,YACvC,GAAK53C,EAAL,CACA,IAAIygD,EAAUC,EAAY/G,GAAQ,EAKlC,IADIn+F,EAAOw1F,OAA0B,GAAjBhxC,EAAKkE,UAAiBxT,EAAS4vD,GAAQtgD,IAASugD,GAAYvgD,EAAKlqC,WAAW46B,MAAYipD,GAAQ,KAElH,GAAIjpD,EAAS,EAAG,CACd,GAAqB,GAAjBsP,EAAKkE,SACP,MAEA,IAAIxD,EAASV,EAAKlqC,WAAW46B,EAAS,GACtC,GAAI6vD,GAAY7/C,GACd+/C,EAAWzgD,EACX0gD,IAAehwD,MACV,IAAuB,GAAnBgQ,EAAOwD,SAGT,MADPxT,GADAsP,EAAOU,GACO8f,UAAU1mE,YAGvB,IAAI6mG,GAAY3gD,GACrB,MAGA,IADA,IAAIrqB,EAAOqqB,EAAK0gB,gBACT/qC,GAAQ4qE,GAAY5qE,IACzB8qE,EAAWzgD,EAAKjrC,WAChB2rF,EAAa/O,EAASh8D,GACtBA,EAAOA,EAAK+qC,gBAEd,GAAK/qC,EAMH+a,EAAS4vD,GADTtgD,EAAOrqB,OALE,CAET,IADAqqB,EAAOA,EAAKjrC,aACAkxC,EAAKsV,IAAO,MACxB7qB,EAAS,GAOXipD,EAASiH,GAAY36C,EAAMyD,EAAK1J,EAAMtP,GACjC+vD,GAAYG,GAAY36C,EAAMyD,EAAK+2C,EAAUC,IAKxD,SAASG,GAAsB56C,GAC7B,IAAIyD,EAAMzD,EAAK+uC,KAAKsC,eAChBt3C,EAAO0J,EAAIguC,UAAWhnD,EAASgZ,EAAIkuC,YACvC,GAAK53C,EAAL,CAGA,IAFA,IACIygD,EAAUC,EADVlxF,EAAM8wF,GAAQtgD,KAGhB,GAAItP,EAASlhC,EAAK,CAChB,GAAqB,GAAjBwwC,EAAKkE,SAAiB,MAE1B,IAAIq8C,GADQvgD,EAAKlqC,WAAW46B,IAKrB,MAHL+vD,EAAWzgD,EACX0gD,IAAehwD,MAGZ,IAAIiwD,GAAY3gD,GACrB,MAGA,IADA,IAAIn0C,EAAOm0C,EAAKghB,YACTn1D,GAAQ00F,GAAY10F,IACzB40F,EAAW50F,EAAKkJ,WAChB2rF,EAAa/O,EAAS9lF,GAAQ,EAC9BA,EAAOA,EAAKm1D,YAEd,GAAKn1D,EAMH6kC,EAAS,EACTlhC,EAAM8wF,GAFNtgD,EAAOn0C,OALE,CAET,IADAm0C,EAAOA,EAAKjrC,aACAkxC,EAAKsV,IAAO,MACxB7qB,EAASlhC,EAAM,GAQjBixF,GAAYG,GAAY36C,EAAMyD,EAAK+2C,EAAUC,IAGnD,SAASC,GAAYplC,GACnB,IAAI5P,EAAO4P,EAAI42B,WACf,OAAOxmC,GAAQA,EAAK3L,MAAQ2L,EAAK3L,KAAKqC,QAGxC,SAASu+C,GAAY36C,EAAMyD,EAAK1J,EAAMtP,GACpC,GAAI0hD,EAAmB1oC,GAAM,CAC3B,IAAIxI,EAAQ5lD,SAASg7E,cACrBp1B,EAAMq1B,OAAOv2B,EAAMtP,GACnBwQ,EAAMs1B,SAASx2B,EAAMtP,GACrBgZ,EAAIsuC,kBACJtuC,EAAIoI,SAAS5Q,QACJwI,EAAI9tD,QACb8tD,EAAI9tD,OAAOokD,EAAMtP,GAEnBuV,EAAK66C,YAAYC,kBAOnB,SAASC,GAAiB/6C,EAAM70C,EAAKgvF,GACnC,IAAI12C,EAAMzD,EAAKtgD,MAAMi6C,UACrB,GAAI8J,aAAe,kBAAkBA,EAAI1yB,OAASopE,EAAK7/F,QAAQ,MAAQ,EAAK,OAAO,EACnF,GAAI/E,EAAOo1F,KAAOwP,EAAK7/F,QAAQ,MAAQ,EAAK,OAAO,EACnD,IAAIygD,EAAQ0I,EAAI1I,MACZC,EAAMyI,EAAIzI,IAEd,IAAKD,EAAMl9C,OAAOihD,eAAiBkB,EAAKE,eAAe/0C,EAAM,EAAI,KAAO,QAAS,CAC/E,IAAIvF,EAAOo0F,GAAmBh6C,EAAKtgD,MAAOyL,GAC1C,GAAIvF,GAASA,aAAgB,gBACzB,OAAOnE,GAAMu+C,EAAMp6C,GAEzB,IAAKm1C,EAAMl9C,OAAOihD,cAAe,CAC/B,IAAIk8C,EAAS,YAAUj9C,SAAS5yC,EAAM,EAAI4vC,EAAQC,EAAK7vC,GACvD,OAAO6vF,GAASv5F,GAAMu+C,EAAMg7C,GAE9B,OAAO,EAGT,SAASC,GAA2Bj7C,EAAM70C,GACxC,KAAM60C,EAAKtgD,MAAMi6C,qBAAqB,iBAAkB,OAAO,EAC/D,IAAImB,EAAMkF,EAAKtgD,MAAMi6C,UACjB6B,EAAQV,EAAIU,MACZC,EAAUX,EAAIW,QACd1qB,EAAQ+pB,EAAI/pB,MAChB,IAAKyqB,EAAME,WAAWD,GAAY,OAAO,EACzC,IAAK1qB,EAAS,OAAO,EACrB,GAAIivB,EAAKE,eAAe/0C,EAAM,EAAI,UAAY,YAAe,OAAO,EACpE,IAAI+vF,GAAY1/C,EAAM+Q,aAAephD,EAAM,EAAIqwC,EAAMwB,WAAaxB,EAAMyB,WACxE,GAAIi+C,IAAaA,EAAS33C,OAAQ,CAChC,IAAI3J,EAAKoG,EAAKtgD,MAAMk6C,GAIpB,OAHIzuC,EAAM,EAAKyuC,EAAGuD,OAAO3B,EAAM5mC,IAAMsmF,EAAS99C,SAAU5B,EAAM5mC,KACvDglC,EAAGuD,OAAO3B,EAAM5mC,IAAK4mC,EAAM5mC,IAAMsmF,EAAS99C,UACjD4C,EAAKtG,SAASE,IACP,EAET,OAAO,EAGT,SAASuhD,GAAen7C,EAAMjG,EAAMr6C,GAClCsgD,EAAK66C,YAAYxmF,OACjB0lC,EAAKkyC,gBAAkBvsF,EACvBsgD,EAAK66C,YAAYnyC,QAoCnB,SAAS0yC,GAAep7C,EAAMhkD,GAC5B,IAAIye,EAAOze,EAAM0wF,QAASyN,EAV5B,SAAiBn+F,GACf,IAAIzG,EAAS,GAKb,OAJIyG,EAAMq/F,UAAW9lG,GAAU,KAC3ByG,EAAMs/F,UAAW/lG,GAAU,KAC3ByG,EAAMu/F,SAAUhmG,GAAU,KAC1ByG,EAAMw/F,WAAYjmG,GAAU,KACzBA,EAI0BkmG,CAAQz/F,GACzC,OAAY,GAARye,GAAcllB,EAAOo1F,KAAe,IAARlwE,GAAsB,KAAR0/E,EACrCc,GAA2Bj7C,GAAO,IAAMu6C,GAAqBv6C,GACnD,IAARvlC,GAAellB,EAAOo1F,KAAe,IAARlwE,GAAsB,KAAR0/E,EAC7Cc,GAA2Bj7C,EAAM,IAAM46C,GAAsB56C,GACnD,IAARvlC,GAAsB,IAARA,IAEN,IAARA,EACFy/E,GAAmBl6C,GAAO,EAAGm6C,IAASI,GAAqBv6C,GACjD,IAARvlC,EACFy/E,GAAmBl6C,EAAM,EAAGm6C,IAASS,GAAsB56C,GACjD,IAARvlC,EACFsgF,GAAiB/6C,GAAO,EAAGm6C,IAASI,GAAqBv6C,GAC/C,IAARvlC,EA3Cb,SAA4BulC,GAC1B,GAAKzqD,EAAO01F,UAAUjrC,EAAKtgD,MAAMi6C,UAAU6B,MAAMa,aAAe,GAAhE,CACA,IAAIvB,EAAMkF,EAAK+uC,KAAKsC,eAChBI,EAAY32C,EAAI22C,UAChBE,EAAc72C,EAAI62C,YACtB,GAAIF,GAAmC,GAAtBA,EAAUxzC,UAAgC,GAAf0zC,GACxCF,EAAUxhF,YAAsD,SAAxCwhF,EAAUxhF,WAAWg8E,gBAA4B,CAC3E,IAAItoC,EAAQ8tC,EAAUxhF,WACtBkrF,GAAen7C,EAAM2D,GAAO,GAC5B76C,YAAW,WAAc,OAAOqyF,GAAen7C,EAAM2D,GAAO,KAAW,MAmChE+3C,CAAmB17C,IAAS+6C,GAAiB/6C,EAAM,EAAGm6C,IAASS,GAAsB56C,GACnFm6C,IAAS5kG,EAAOo1F,IAAM,IAAM,OACnB,IAARlwE,GAAsB,IAARA,GAAsB,IAARA,GAAsB,IAARA,IAMxD,SAASkhF,GAAiB37C,EAAM47C,GAC9B,IAAIxP,EAASpsC,EAAK+uC,KAAKsC,eAAgB72C,EAAMwF,EAAKtgD,MAAM86C,IACxD,IAAK4xC,EAAOqF,UAAa,OAAO,KAChC,IAAI9B,EAAc3vC,EAAKmvB,QAAQwgB,YAAYvD,EAAOqF,WAAYoK,EAAWlM,GAAmC,GAApBA,EAAY16E,KAChG3H,EAAO0yC,EAAKmvB,QAAQ2gB,WAAW1D,EAAOqF,UAAWrF,EAAOuF,aAC5D,GAAIrkF,EAAO,EAAK,OAAO,KACvB,IAA+BmuC,EAAS9B,EAApC6B,EAAQhB,EAAIziC,QAAQzK,GACxB,GAAI6+E,EAAmBC,GAAS,CAE9B,IADA3wC,EAAUD,EACHm0C,IAAgBA,EAAY51C,MAAQ41C,EAAcA,EAAY9xF,OACrE,GAAI8xF,GAAeA,EAAY51C,KAAKsG,QAAU,gBAAcF,aAAawvC,EAAY51C,OAAS41C,EAAY9xF,UACjG8xF,EAAY51C,KAAK0H,WAtmE9B,SAAkB1H,EAAMtP,EAAQ5sC,GAC9B,IAAK,IAAIqkD,EAAoB,GAAVzX,EAAa6R,EAAQ7R,GAAU2S,EAASrD,GAAOmI,GAAW5F,GAAQ,CACnF,GAAIvC,GAAQl8C,EAAU,OAAO,EAC7B,IAAIsK,EAAQujF,EAAS3xC,GAErB,KADAA,EAAOA,EAAKjrC,YACC,OAAO,EACpBozC,EAAUA,GAAoB,GAAT/5C,EACrBm0C,EAAQA,GAASn0C,GAASi1C,EAASrD,IA+lEG+hD,CAAS1P,EAAOqF,UAAWrF,EAAOuF,YAAahC,EAAYr6B,MAAO,CACtG,IAAI1gD,EAAM+6E,EAAYC,UACtBj2C,EAAY,IAAI,gBAAcrsC,GAAQsH,EAAM4mC,EAAQhB,EAAIziC,QAAQnD,SAE7D,CACL,IAAIm4B,EAASiT,EAAKmvB,QAAQ2gB,WAAW1D,EAAOyH,WAAYzH,EAAO0H,cAC/D,GAAI/mD,EAAS,EAAK,OAAO,KACzB0O,EAAUjB,EAAIziC,QAAQg1B,GAGnB4M,IAEHA,EAAYoiD,GAAiB/7C,EAAMvE,EAASD,EADvB,WAAVogD,GAAwB57C,EAAKtgD,MAAMi6C,UAAUrsC,KAAOkuC,EAAM5mC,MAAQinF,EAAY,GAAK,IAGhG,OAAOliD,EAGT,SAASqiD,GAAeh8C,EAAM0zC,GAC5B,IAAIjwC,EAAMzD,EAAKtgD,MAAMi6C,UAGrB,GAFAsiD,GAAkBj8C,EAAMyD,GAEpBzD,EAAK2gB,SAAY3gB,EAAKk8C,WACpBC,GAAan8C,IAAS3qD,SAASw7F,eAAiBx7F,SAASw7F,cAAcp0B,SAASzc,EAAKsV,KAD3F,CAKA,GAFAtV,EAAK66C,YAAYuB,sBAEbp8C,EAAKq8C,eAwEX,SAA6Br8C,GAC3B,IAAIosC,EAASpsC,EAAK+uC,KAAKsC,eAAgBp2C,EAAQ5lD,SAASg7E,cACpDt2B,EAAOiG,EAAKq8C,cAAc/mC,IAAKgnC,EAAuB,OAAjBviD,EAAK2gB,SAC1C4hC,EAAOrhD,EAAMq1B,OAAOv2B,EAAKjrC,WAAY48E,EAAS3xC,GAAQ,GACnDkB,EAAMq1B,OAAOv2B,EAAM,GAC1BkB,EAAM+4C,UAAS,GACf5H,EAAO2F,kBACP3F,EAAOvgC,SAAS5Q,IAMXqhD,IAAQt8C,EAAKtgD,MAAMi6C,UAAUgJ,SAAWptD,EAAOq1F,IAAMr1F,EAAOs1F,YAAc,KAC7E9wC,EAAKwiD,UAAW,EAChBxiD,EAAKwiD,UAAW,GAtFhBC,CAAoBx8C,OACf,CACL,IAEIy8C,EAAmBC,EAFnB3vD,EAAS0W,EAAI1W,OACbz/B,EAAOm2C,EAAIn2C,MAEXqvF,IAAmCl5C,aAAe,kBAC/CA,EAAI1I,MAAMl9C,OAAOihD,gBAClB29C,EAAoBG,GAAwB58C,EAAMyD,EAAI58B,OACrD48B,EAAI1yB,OAAU0yB,EAAI1I,MAAMl9C,OAAOihD,gBAChC49C,EAAkBE,GAAwB58C,EAAMyD,EAAI9wB,MAE1DqtB,EAAKmvB,QAAQlzB,aAAalP,EAAQz/B,EAAM0yC,EAAK+uC,KAAM2E,GAC/CiJ,KACEF,GAAqBI,GAAcJ,GACnCC,GAAmBG,GAAcH,IAEnCj5C,EAAId,QACN3C,EAAKsV,IAAIm/B,UAAU7lF,OAAO,8BAE1BoxC,EAAKsV,IAAIm/B,UAAUz2F,IAAI,6BACnB,sBAAuB3I,UAsCjC,SAAsC2qD,GACpC,IAAIxF,EAAMwF,EAAKsV,IAAIkG,cACnBhhB,EAAI4kB,oBAAoB,kBAAmBpf,EAAK88C,oBAChD,IAAI1Q,EAASpsC,EAAK+uC,KAAKsC,eACnBt3C,EAAOqyC,EAAOyH,WAAYppD,EAAS2hD,EAAO0H,aAC9Ct5C,EAAI1gC,iBAAiB,kBAAmBkmC,EAAK88C,mBAAqB,WAC5D1Q,EAAOyH,YAAc95C,GAAQqyC,EAAO0H,cAAgBrpD,IACtD+P,EAAI4kB,oBAAoB,kBAAmBpf,EAAK88C,oBAChD98C,EAAKsV,IAAIm/B,UAAU7lF,OAAO,gCA9CamuF,CAA6B/8C,IAIxEA,EAAK66C,YAAYC,kBACjB96C,EAAK66C,YAAYmC,oBA1nBnBjH,GAAgB9iG,UAAUgqG,YAAc,SAAsB90F,GAC5D,OAAOA,GAASzM,KAAKq+F,eAAiBr+F,KAAKo+F,WAAW3xF,EAAQzM,KAAKq+F,gBAAkB,MAKvFhE,GAAgB9iG,UAAUiqG,eAAiB,SAAyBx0C,EAAOhL,GACzE,GAAIgL,GAAShL,EAAb,CACA,IAAK,IAAI/pD,EAAI+0D,EAAO/0D,EAAI+pD,EAAK/pD,IAAO+H,KAAKg+D,IAAIkoB,SAASjuF,GAAGwrE,UACzDzjE,KAAKg+D,IAAIkoB,SAASl6B,OAAOgB,EAAOhL,EAAMgL,GACtChtD,KAAKquE,SAAU,IAIjBgsB,GAAgB9iG,UAAUkkG,YAAc,WACtCz7F,KAAKwhG,eAAexhG,KAAKyM,MAAOzM,KAAKg+D,IAAIkoB,SAAS/tF,SAMpDkiG,GAAgB9iG,UAAU6jG,YAAc,SAAsB33C,EAAOgT,EAAQnS,GAG3E,IAFA,IAAIwd,EAAO,EAAGjjB,EAAQ7+C,KAAK2uB,MAAMx2B,QAAU,EACvCspG,EAAU3qG,KAAKkK,IAAI69C,EAAO4E,EAAMtrD,QAC7B2pE,EAAO2/B,IACN3/B,GAAQjjB,EAAQ,EAAI7+C,KAAKg+D,IAAMh+D,KAAK2uB,MAAOmzC,EAAO,GAAM,IAAI+0B,YAAYpzC,EAAMqe,MAA6C,IAAnCre,EAAMqe,GAAMjjE,KAAK8/C,KAAKqjB,UAClHF,IAEJ,KAAOA,EAAOjjB,GACZ7+C,KAAKy7F,cACLz7F,KAAKg+D,IAAIw4B,MA5/BG,EA6/BZx2F,KAAKyM,MAAQzM,KAAK2uB,MAAM+nC,MACxB12D,KAAKg+D,IAAMh+D,KAAK2uB,MAAM+nC,MACtB7X,IAEF,KAAOA,EAAQ4E,EAAMtrD,QAAQ,CAC3B6H,KAAK2uB,MAAMpgB,KAAKvO,KAAKg+D,IAAKh+D,KAAKyM,MAAQ,GAEvC,IADA,IAAI45C,GAAS,EACJpuD,EAAI+H,KAAKyM,MAAOxU,EAAInB,KAAKkK,IAAIhB,KAAKyM,MAAQ,EAAGzM,KAAKg+D,IAAIkoB,SAAS/tF,QAASF,IAC/E,GAAI+H,KAAKg+D,IAAIkoB,SAASjuF,GAAG4+F,YAAYpzC,EAAM5E,IAAS,CAAEwH,EAAQpuD,EAAG,MAEnE,GAAIouD,GAAS,EACPA,EAAQrmD,KAAKyM,QACfzM,KAAKquE,SAAU,EACfruE,KAAKwhG,eAAexhG,KAAKyM,MAAO45C,IAElCrmD,KAAKg+D,IAAMh+D,KAAKg+D,IAAIkoB,SAASlmF,KAAKyM,WAC7B,CACL,IAAIi1F,EAAWrI,EAAan0F,OAAOlF,KAAKg+D,IAAKva,EAAM5E,GAAQ4X,EAAQnS,GACnEtkD,KAAKg+D,IAAIkoB,SAASl6B,OAAOhsD,KAAKyM,MAAO,EAAGi1F,GACxC1hG,KAAKg+D,IAAM0jC,EACX1hG,KAAKquE,SAAU,EAEjBruE,KAAKyM,MAAQ,EACboyC,MAOJw7C,GAAgB9iG,UAAU+jG,cAAgB,SAAwBj9C,EAAMo7C,EAAWC,EAAWjtF,GAC5F,IAAI45C,GAAS,EAAG83C,EAAW1xF,EAAQ,OAAI7P,EAAYoD,KAAKuhG,YAAY90F,GAAQy5E,EAAWlmF,KAAKg+D,IAAIkoB,SAChG,GAAIiY,GAAYA,EAASrH,YAAYz4C,EAAMo7C,EAAWC,GACpDrzC,EAAQ6/B,EAAStnF,QAAQu/F,QAEzB,IAAK,IAAIlmG,EAAI+H,KAAKyM,MAAOE,EAAI7V,KAAKkK,IAAIklF,EAAS/tF,OAAQF,EAAI,GAAIA,EAAI0U,EAAG1U,IAAK,CACzE,IAAIgwD,EAAQi+B,EAASjuF,GACrB,GAAIgwD,EAAM6uC,YAAYz4C,EAAMo7C,EAAWC,IAAc15F,KAAKo+F,WAAWx/F,QAAQqpD,GAAS,EAAG,CACvF5B,EAAQpuD,EACR,OAIN,QAAIouD,EAAQ,KACZrmD,KAAKwhG,eAAexhG,KAAKyM,MAAO45C,GAChCrmD,KAAKyM,SACE,IAMT4tF,GAAgB9iG,UAAUgkG,eAAiB,SAAyBl9C,EAAMo7C,EAAWC,EAAWp1C,EAAM73C,GACpG,IAAK,IAAIxU,EAAI+H,KAAKyM,MAAOxU,EAAI+H,KAAKg+D,IAAIkoB,SAAS/tF,OAAQF,IAAK,CAC1D,IAAIiS,EAAOlK,KAAKg+D,IAAIkoB,SAASjuF,GAC7B,GAAIiS,aAAgBsvF,EAAc,CAChC,IAAI2E,EAAWn+F,KAAKo+F,WAAWx/F,QAAQsL,GACvC,GAAIi0F,GAAY,GAAKA,EAAWn+F,KAAKq+F,gBAAkB5xF,EAAS,OAAO,EACvE,IAAIk1F,EAAUz3F,EAAK0vD,IAQnB,KAHa55D,KAAKk+F,OAASyD,GAAW3hG,KAAKk+F,MAA4B,GAApByD,EAAQp/C,UAAiBo/C,EAAQ5gC,SAAS/gE,KAAKk+F,KAAK9qF,gBACjGirC,EAAKwJ,QAAU39C,EAAKm0C,MAAQn0C,EAAKm0C,KAAKwJ,QAAU39C,EAAK85D,QAAQnF,WAAaxgB,EAAKjgD,MA7jCvB,GA8jCxD8L,EAAKssF,OAAuByD,GAAcR,EAAWvvF,EAAKuvF,cACjDvvF,EAAK+I,OAAOorC,EAAMo7C,EAAWC,EAAWp1C,GAIrD,OAHAtkD,KAAKwhG,eAAexhG,KAAKyM,MAAOxU,GAC5BiS,EAAK0vD,KAAO+nC,IAAW3hG,KAAKquE,SAAU,GAC1CruE,KAAKyM,SACE,EAET,OAGJ,OAAO,GAKT4tF,GAAgB9iG,UAAU84D,QAAU,SAAkBhS,EAAMo7C,EAAWC,EAAWp1C,EAAMprC,GACtFlZ,KAAKg+D,IAAIkoB,SAASl6B,OAAOhsD,KAAKyM,QAAS,EAAG+sF,EAAat0F,OAAOlF,KAAKg+D,IAAK3f,EAAMo7C,EAAWC,EAAWp1C,EAAMprC,IAC1GlZ,KAAKquE,SAAU,GAGjBgsB,GAAgB9iG,UAAU8jG,YAAc,SAAsBz0B,EAAQtiB,EAAMprC,GAC1E,IAAIhP,EAAOlK,KAAKyM,MAAQzM,KAAKg+D,IAAIkoB,SAAS/tF,OAAS6H,KAAKg+D,IAAIkoB,SAASlmF,KAAKyM,OAAS,KACnF,IAAIvC,IAAQA,EAAK0sF,cAAchwB,IAAYA,GAAU18D,EAAK08D,QAAW18D,EAAK08D,OAAO/nE,KAAK8iE,MAAMvuD,WAErF,CACL,IAAI42C,EAAO,IAAI8uC,EAAe94F,KAAKg+D,IAAK4I,EAAQtiB,EAAMprC,GACtDlZ,KAAKg+D,IAAIkoB,SAASl6B,OAAOhsD,KAAKyM,QAAS,EAAGu9C,GAC1ChqD,KAAKquE,SAAU,OAJfruE,KAAKyM,SAUT4tF,GAAgB9iG,UAAUikG,kBAAoB,WAE5C,IADA,IAAIj9C,EAAYv+C,KAAKg+D,IAAIkoB,SAASlmF,KAAKyM,MAAQ,GACxC8xC,aAAqB86C,GAAgB96C,EAAYA,EAAU2nC,SAAS3nC,EAAU2nC,SAAS/tF,OAAS,GAEvG,IAAKomD,KACCA,aAAqBw7C,IACvB,MAAM5uF,KAAKozC,EAAUF,KAAKjgD,MAC5B,GAAI4B,KAAKyM,MAAQzM,KAAKg+D,IAAIkoB,SAAS/tF,QAAU6H,KAAKg+D,IAAIkoB,SAASlmF,KAAKyM,OAAOsqF,cACzE/2F,KAAKyM,YACA,CACL,IAAImtD,EAAMjgE,SAASqZ,cAAc,MACjChT,KAAKg+D,IAAIkoB,SAASl6B,OAAOhsD,KAAKyM,QAAS,EAAG,IAAI6wF,EAAet9F,KAAKg+D,IAAK66B,EAASj/B,EAAK,OACrF55D,KAAKquE,SAAU,IAofrB,IAAI4yB,GAAgCpnG,EAAOg2F,QAAUh2F,EAAO01F,QAAU11F,EAAO21F,eAAiB,GAE9F,SAAS0R,GAAwB58C,EAAMprC,GACrC,IAAIkmC,EAAMkF,EAAKmvB,QAAQyB,WAAWh8D,GAC9BmlC,EAAOe,EAAIf,KACXtP,EAASqQ,EAAIrQ,OACbmQ,EAAQnQ,EAASsP,EAAKlqC,WAAWhc,OAASkmD,EAAKlqC,WAAW46B,GAAU,KACpEgQ,EAAShQ,EAASsP,EAAKlqC,WAAW46B,EAAS,GAAK,KACpD,GAAIl1C,EAAOg2F,QAAU3wC,GAAkC,SAAzBA,EAAMqxC,gBAA8B,OAAOqR,GAAY1iD,GACrF,KAAMA,GAAkC,SAAzBA,EAAMqxC,iBAAiCxxC,GAAoC,SAA1BA,EAAOwxC,iBAA6B,CAClG,GAAIrxC,EAAS,OAAO0iD,GAAY1iD,GAC3B,GAAIH,EAAU,OAAO6iD,GAAY7iD,IAI1C,SAAS6iD,GAAYp+B,GAGnB,OAFAA,EAAQ+sB,gBAAkB,OACtB12F,EAAOg2F,QAAUrsB,EAAQgI,YAAahI,EAAQgI,WAAY,EAAOhI,EAAQq+B,cAAe,GACrFr+B,EAGT,SAAS29B,GAAc39B,GACrBA,EAAQ+sB,gBAAkB,QACtB/sB,EAAQq+B,eAAgBr+B,EAAQgI,WAAY,EAAMhI,EAAQq+B,aAAe,MAmC/E,SAAStB,GAAkBj8C,EAAMyD,GAC/B,GAAIA,aAAe,gBAAe,CAChC,IAAIiC,EAAO1F,EAAKmvB,QAAQgkB,OAAO1vC,EAAI58B,MAC/B6+B,GAAQ1F,EAAKw9C,uBACfC,GAAmBz9C,GACf0F,GAAQA,EAAKgzC,aACjB14C,EAAKw9C,qBAAuB93C,QAG9B+3C,GAAmBz9C,GAKvB,SAASy9C,GAAmBz9C,GACtBA,EAAKw9C,uBACHx9C,EAAKw9C,qBAAqB3/F,QAC1BmiD,EAAKw9C,qBAAqB7E,eAC9B34C,EAAKw9C,qBAAuB,MAIhC,SAASzB,GAAiB/7C,EAAMvE,EAASD,EAAOwG,GAC9C,OAAOhC,EAAKmtC,SAAS,0BAA0B,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMvE,EAASD,OACjF,gBAAckH,QAAQjH,EAASD,EAAOwG,GAQ7C,SAASm6C,GAAan8C,GACpB,IAAIyD,EAAMzD,EAAK+uC,KAAKsC,eACpB,IAAK5tC,EAAIowC,WAAc,OAAO,EAC9B,IAIE,OAAO7zC,EAAKsV,IAAImH,SAAoC,GAA3BhZ,EAAIowC,WAAW51C,SAAgBwF,EAAIowC,WAAW/kF,WAAa20C,EAAIowC,cACrF7zC,EAAK2gB,UAAY3gB,EAAKsV,IAAImH,SAAmC,GAA1BhZ,EAAIguC,UAAUxzC,SAAgBwF,EAAIguC,UAAU3iF,WAAa20C,EAAIguC,YACnG,MAAMjsC,GACN,OAAO,GA+DX,SAASyV,GAAa3F,GACpB,IAAI5P,EAAO4P,EAAI42B,WACf,GAAIxmC,EACF,OAAOA,EAAKgtC,YACP,GAAoB,MAAhBp9B,EAAIoF,UAAoBpF,EAAIxmD,WAAY,CAIjD,GAAIvZ,EAAOg2F,QAAU,aAAa1kF,KAAKyuD,EAAIxmD,WAAW4rD,UAAW,CAC/D,IAAIQ,EAAO7lE,SAASqZ,cAAc,OAElC,OADAwsD,EAAK53D,YAAYjO,SAASqZ,cAAc,OACjC,CAACwsD,KAAMA,GACT,GAAI5F,EAAIxmD,WAAWmrC,WAAaqb,GAAO//D,EAAOg2F,QAAU,gBAAgB1kF,KAAKyuD,EAAIxmD,WAAW4rD,UACjG,MAAO,CAAClU,QAAQ,QAEb,GAAoB,OAAhB8O,EAAIoF,UAAqBpF,EAAI/oC,aAAa,oBACnD,MAAO,CAACi6B,QAAQ,GAIpB,SAASk3C,GAAc19C,EAAMn5B,EAAM8L,EAAIgrE,EAAUC,GAC/C,GAAI/2E,EAAO,EAAX,CACE,IAAI+0E,EAAS57C,EAAK69C,kBAAoBjyE,KAAKG,MAAQ,GAAKi0B,EAAK89C,oBAAsB,KAC/EC,EAASpC,GAAiB37C,EAAM47C,GACpC,GAAImC,IAAW/9C,EAAKtgD,MAAMi6C,UAAUoJ,GAAGg7C,GAAS,CAC9C,IAAIC,EAAOh+C,EAAKtgD,MAAMk6C,GAAGqC,aAAa8hD,GACxB,WAAVnC,EAAuBoC,EAAK14C,QAAQ,WAAW,GAChC,OAAVs2C,GAAmBoC,EAAKnkD,iBACjCmG,EAAKtG,SAASskD,QAPlB,CAYA,IAAI7xC,EAAUnM,EAAKtgD,MAAM86C,IAAIziC,QAAQ8O,GACjCh1B,EAASs6D,EAAQuB,YAAY/6B,GACjC9L,EAAOslC,EAAQ1R,OAAO5oD,EAAS,GAC/B8gC,EAAKqtB,EAAKtgD,MAAM86C,IAAIziC,QAAQ4a,GAAIioB,MAAM/oD,EAAS,GAE/C,IAIIosG,EAAcC,EAJdz6C,EAAMzD,EAAKtgD,MAAMi6C,UACjB1iC,EAtFN,SAAsB+oC,EAAMm+C,EAAOC,GACjC,IAAItjD,EAAMkF,EAAKmvB,QAAQikB,WAAW+K,EAAOC,GACrCvgG,EAASi9C,EAAIf,KACbs5C,EAAav4C,EAAIu4C,WACjBC,EAAWx4C,EAAIw4C,SACfzsE,EAAOi0B,EAAIj0B,KACX8L,EAAKmoB,EAAInoB,GAETy5D,EAASpsC,EAAK+uC,KAAKsC,eAAgB99E,EAAO,KAAMw5B,EAASq/C,EAAOyH,WAQpE,GAPI9mD,GAAUiT,EAAKsV,IAAImH,SAA4B,GAAnB1vB,EAAOkR,SAAgBlR,EAASA,EAAOj+B,cACrEyE,EAAO,CAAC,CAACwmC,KAAMhN,EAAQtC,OAAQ2hD,EAAO0H,eACjC3H,EAAmBC,IACpB74E,EAAKtJ,KAAK,CAAC8vC,KAAMqyC,EAAOqF,UAAWhnD,OAAQ2hD,EAAOuF,eAIpDp8F,EAAO01F,QAA+B,IAArBjrC,EAAKq+C,YACxB,IAAK,IAAI3zF,EAAM4oF,EAAU5oF,EAAM2oF,EAAY3oF,IAAO,CAChD,IAAIqvC,EAAOl8C,EAAOgS,WAAWnF,EAAM,GAAIg7C,EAAO3L,EAAKmyC,WACnD,GAAqB,MAAjBnyC,EAAKkE,WAAqByH,EAAM,CAAE4tC,EAAW5oF,EAAK,MACtD,IAAKg7C,GAAQA,EAAKzwC,KAAQ,MAG9B,IAAIqpF,EAAWt+C,EAAKtgD,MAAM86C,IACtB0e,EAASlZ,EAAKmtC,SAAS,cAAgB,YAAU/2B,WAAWpW,EAAKtgD,MAAM2lD,QACvEtK,EAAQujD,EAASvmF,QAAQ8O,GAEzB48B,EAAM,KAAMjJ,EAAM0e,EAAOjiD,MAAMpZ,EAAQ,CACzCq2D,QAASnZ,EAAMl9C,OACfy7D,SAAUve,EAAMl9C,OAAOg+C,eAAed,EAAM5yC,SAC5Cq0D,SAAS,EACT31C,KAAMwsE,EACN1gE,GAAI2gE,EACJ96B,oBAAoBzd,EAAMl9C,OAAOtD,KAAK8/C,KAAK5/B,MAAO,OAClD8jF,iBAAiB,EACjBhlC,cAAehmD,EACf0nD,aAAcA,GACdv9D,QAASq9C,IAEX,GAAIxnC,GAAuB,MAAfA,EAAK,GAAGqB,IAAa,CAC/B,IAAI4pF,EAAWjrF,EAAK,GAAGqB,IAAKtH,EAAOiG,EAAK,IAAMA,EAAK,GAAGqB,IAC1C,MAARtH,IAAgBA,EAAOkxF,GAC3B/6C,EAAM,CAAC1W,OAAQyxD,EAAW33E,EAAMvZ,KAAMA,EAAOuZ,GAE/C,MAAO,CAAC2zB,IAAKA,EAAKiJ,IAAKA,EAAK58B,KAAMA,EAAM8L,GAAIA,GA0ChC8rE,CAAaz+C,EAAMn5B,EAAM8L,GAEjC6nB,EAAMwF,EAAKtgD,MAAM86C,IAAKkkD,EAAUlkD,EAAI77C,MAAMsY,EAAM4P,KAAM5P,EAAM0b,IAGvC,IAArBqtB,EAAKq+C,aAAqBzyE,KAAKG,MAAQ,IAAMi0B,EAAK2+C,iBACpDV,EAAej+C,EAAKtgD,MAAMi6C,UAAUhnB,GACpCurE,EAAgB,QAEhBD,EAAej+C,EAAKtgD,MAAMi6C,UAAU9yB,KACpCq3E,EAAgB,SAElBl+C,EAAKq+C,YAAc,KAEnB,IAAIO,EAmMN,SAAkBhpG,EAAGC,EAAG+e,EAAKqpF,EAAcC,GACzC,IAAIx1C,EAAQ9yD,EAAE4zD,cAAc3zD,EAAG+e,GAC/B,GAAa,MAAT8zC,EAAiB,OAAO,KAC5B,IAAI5N,EAAMllD,EAAE+zD,YAAY9zD,EAAG+e,EAAMhf,EAAEqf,KAAML,EAAM/e,EAAEof,MAC7C4pF,EAAO/jD,EAAIllD,EACXkpG,EAAOhkD,EAAIjlD,EACf,GAAqB,OAAjBqoG,EAAwB,CAC1B,IAAIa,EAASvsG,KAAKkwB,IAAI,EAAGgmC,EAAQl2D,KAAKkK,IAAImiG,EAAMC,IAChDb,GAAgBY,EAAOE,EAASr2C,EAElC,GAAIm2C,EAAOn2C,GAAS9yD,EAAEqf,KAAOpf,EAAEof,KAAM,CAGnC6pF,GADAp2C,GADWu1C,GAAgBv1C,GAASu1C,GAAgBY,EAAOn2C,EAAQu1C,EAAe,IAElEa,EAAOD,GACvBA,EAAOn2C,OACF,GAAIo2C,EAAOp2C,EAAO,CAGvBm2C,GADAn2C,GADau1C,GAAgBv1C,GAASu1C,GAAgBa,EAAOp2C,EAAQu1C,EAAe,IAEpEY,EAAOC,GACvBA,EAAOp2C,EAET,MAAO,CAACA,MAAOA,EAAOm2C,KAAMA,EAAMC,KAAMA,GAxN3BE,CAASN,EAAQl8F,QAASyU,EAAMujC,IAAIh4C,QAASyU,EAAM4P,KAAMo3E,EAAcC,GACpF,IAAKU,EAAQ,CACX,KAAIjB,GAAYl6C,aAAe,kBAAkBA,EAAI1yB,OAAS0yB,EAAIjI,MAAME,WAAW+H,EAAIhI,WAClFuE,EAAKmxB,WAAel6D,EAAMwsC,KAAOxsC,EAAMwsC,IAAI1W,QAAU91B,EAAMwsC,IAAIn2C,KAE7D,CACL,GAAI2J,EAAMwsC,IAAK,CACb,IAAIw7C,EAAQzwB,GAAiBxuB,EAAMA,EAAKtgD,MAAM86C,IAAKvjC,EAAMwsC,KACrDw7C,IAAUA,EAAMl8C,GAAG/C,EAAKtgD,MAAMi6C,YAAcqG,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAGqC,aAAagjD,IAE3F,OANAL,EAAS,CAACl2C,MAAOjF,EAAI58B,KAAMg4E,KAAMp7C,EAAI9wB,GAAImsE,KAAMr7C,EAAI9wB,IASvDqtB,EAAKk/C,iBAIDl/C,EAAKtgD,MAAMi6C,UAAU9yB,KAAOm5B,EAAKtgD,MAAMi6C,UAAUhnB,IACjDisE,EAAOl2C,OAASk2C,EAAOE,MACvB9+C,EAAKtgD,MAAMi6C,qBAAqB,kBAC9BilD,EAAOl2C,MAAQ1I,EAAKtgD,MAAMi6C,UAAU9yB,MAAQ+3E,EAAOl2C,OAAS1I,EAAKtgD,MAAMi6C,UAAU9yB,KAAO,EAC1F+3E,EAAOl2C,MAAQ1I,EAAKtgD,MAAMi6C,UAAU9yB,KAC3B+3E,EAAOC,KAAO7+C,EAAKtgD,MAAMi6C,UAAUhnB,IAAMisE,EAAOC,MAAQ7+C,EAAKtgD,MAAMi6C,UAAUhnB,GAAK,IAC3FisE,EAAOE,MAAS9+C,EAAKtgD,MAAMi6C,UAAUhnB,GAAKisE,EAAOC,KACjDD,EAAOC,KAAO7+C,EAAKtgD,MAAMi6C,UAAUhnB,KAOnCp9B,EAAOq1F,IAAMr1F,EAAOs1F,YAAc,IAAM+T,EAAOE,MAAQF,EAAOl2C,MAAQ,GACtEk2C,EAAOC,MAAQD,EAAOl2C,OAASk2C,EAAOl2C,MAAQzxC,EAAM4P,MACmC,MAAvF5P,EAAMujC,IAAIoO,YAAYg2C,EAAOl2C,MAAQzxC,EAAM4P,KAAO,EAAG+3E,EAAOl2C,MAAQzxC,EAAM4P,KAAO,KACnF+3E,EAAOl2C,QACPk2C,EAAOC,OACPD,EAAOE,QAGT,IAGIK,EAHApkD,EAAQ9jC,EAAMujC,IAAIgR,eAAeozC,EAAOl2C,MAAQzxC,EAAM4P,MACtDm0B,EAAM/jC,EAAMujC,IAAIgR,eAAeozC,EAAOE,KAAO7nF,EAAM4P,MACnDu4E,EAAerkD,EAAMW,WAAWV,IAAQD,EAAMl9C,OAAOihD,cAIzD,IAAMvpD,EAAO41F,KAAOnrC,EAAKq/C,aAAezzE,KAAKG,MAAQ,OAC7CqzE,GAAgBxB,EAAWvqF,MAAK,SAAUd,GAAK,MAAqB,OAAdA,EAAEmoD,UAAmC,KAAdnoD,EAAEmoD,eAChF0kC,GAAgBrkD,EAAMnmC,IAAMqC,EAAMujC,IAAIh4C,QAAQyS,OAC9CkqF,EAAU,YAAUphD,SAAS9mC,EAAMujC,IAAIziC,QAAQgjC,EAAMnmC,IAAM,GAAI,GAAG,KACnEuqF,EAAQ7xF,MAAQ0tC,EAAIpmC,MACtBorC,EAAKmtC,SAAS,iBAAiB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMysC,EAAS,GAAI,aAC5EzsC,EAAKq/C,aAAe,OAItB,GAAIr/C,EAAKtgD,MAAMi6C,UAAU5M,OAAS6xD,EAAOl2C,OAqG3C,SAAuB42C,EAAK52C,EAAOhL,EAAK6hD,EAAWC,GACjD,IAAKD,EAAU1hG,OAAOq8C,aAElBwD,EAAMgL,GAAS82C,EAAQ5qF,IAAM2qF,EAAU3qF,KAEvC6qF,GAAsBF,GAAW,GAAM,GAASC,EAAQ5qF,IACxD,OAAO,EAEX,IAAI+2C,EAAS2zC,EAAIvnF,QAAQ2wC,GAEzB,GAAIiD,EAAOtP,aAAesP,EAAO9tD,OAAO2E,QAAQyS,OAAS02C,EAAO9tD,OAAOq8C,YACnE,OAAO,EACX,IAAIwlD,EAAQJ,EAAIvnF,QAAQ0nF,GAAsB9zC,GAAQ,GAAM,IAE5D,IAAK+zC,EAAM7hG,OAAOq8C,aAAewlD,EAAM9qF,IAAM8oC,GACzC+hD,GAAsBC,GAAO,GAAM,GAAShiD,EAC5C,OAAO,EAGX,OAAO6hD,EAAU1hG,OAAO2E,QAAQ2mD,IAAIo2C,EAAUljD,cAAc0G,GAAG28C,EAAM7hG,OAAO2E,SAvHxEm9F,CAAcnlD,EAAKokD,EAAOl2C,MAAOk2C,EAAOC,KAAM9jD,EAAOC,IACrDgF,EAAKmtC,SAAS,iBAAiB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMysC,EAAS,EAAG,iBACvEl3F,EAAO61F,SAAW71F,EAAO01F,QAAUjrC,EAAK66C,YAAY+E,+BAH1D,CAeIrqG,EAAO61F,UAAYgU,GAAgBrkD,EAAM2N,SAAW1N,EAAI0N,SAA+B,GAApB1N,EAAIqB,cAAqBtB,EAAMR,OAASS,EAAIT,OAC/GtjC,EAAMwsC,KAAOxsC,EAAMwsC,IAAI1W,QAAU91B,EAAMwsC,IAAIn2C,MAAQ2J,EAAMwsC,IAAIn2C,MAAQsxF,EAAOC,OAC9ED,EAAOE,MAAQ,EACf9jD,EAAM/jC,EAAMujC,IAAIgR,eAAeozC,EAAOE,KAAO7nF,EAAM4P,MACnD/d,YAAW,WACTk3C,EAAKmtC,SAAS,iBAAiB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMysC,EAAS,GAAI,eACzE,KAGL,IAEI7yC,EAAIsF,EAAa2gD,EAAYC,EAF7BC,EAASnB,EAAOl2C,MAAOs3C,EAAOpB,EAAOC,KAGzC,GAAIO,EACF,GAAIrkD,EAAMnmC,KAAOomC,EAAIpmC,IAGfrf,EAAOq1F,IAAMr1F,EAAOs1F,YAAc,IAA4B,GAAtB9vC,EAAMsB,eAChD2D,EAAK66C,YAAY+E,2BACjB92F,YAAW,WAAc,OAAOkzF,GAAeh8C,KAAU,KAE3DpG,EAAKoG,EAAKtgD,MAAMk6C,GAAGuD,OAAO4iD,EAAQC,GAClC9gD,EAAc1E,EAAIziC,QAAQ6mF,EAAOl2C,OAAO7F,YAAYrI,EAAIziC,QAAQ6mF,EAAOC,YAClE,GACLD,EAAOC,MAAQD,EAAOE,OAASgB,EAAStlD,EAAIziC,QAAQ6mF,EAAOl2C,UAC1Dm3C,EAyCP,SAAsB9uC,EAAKrhC,GAGzB,IAFA,IAC2Cn1B,EAAMsqD,EAAMl2C,EADnDsxF,EAAWlvC,EAAI9gD,WAAWkvC,MAAO+gD,EAAYxwE,EAAKzf,WAAWkvC,MAC7Dm4B,EAAQ2oB,EAAUxwE,EAAUywE,EACvBvsG,EAAI,EAAGA,EAAIusG,EAAUrsG,OAAQF,IAAO2jF,EAAQ4oB,EAAUvsG,GAAGoxD,cAAcuyB,GAChF,IAAK,IAAI93B,EAAM,EAAGA,EAAMygD,EAASpsG,OAAQ2rD,IAAS/vB,EAAUwwE,EAASzgD,GAAKuF,cAAct1B,GACxF,GAAoB,GAAhB6nD,EAAMzjF,QAAiC,GAAlB47B,EAAQ57B,OAC/BgxD,EAAOyyB,EAAM,GACb/8E,EAAO,MACPoU,EAAS,SAAUorC,GAAQ,OAAOA,EAAK8K,KAAKA,EAAKC,SAAS/K,EAAKoF,aAC1D,IAAoB,GAAhBm4B,EAAMzjF,QAAiC,GAAlB47B,EAAQ57B,OAKtC,OAAO,KAJPgxD,EAAOp1B,EAAQ,GACfl1B,EAAO,SACPoU,EAAS,SAAUorC,GAAQ,OAAOA,EAAK8K,KAAKA,EAAKE,cAAchL,EAAKoF,SAKtE,IADA,IAAImF,EAAU,GACL2M,EAAM,EAAGA,EAAMvhC,EAAKirB,WAAYsW,IAAS3M,EAAQr6C,KAAK0E,EAAO+gB,EAAKi0B,MAAMsN,KACjF,GAAI,WAASpqC,KAAKy9B,GAASvB,GAAGgO,GAAQ,MAAO,CAAClM,KAAMA,EAAMtqD,KAAMA,GA3D9C4lG,CAAaplD,EAAMl9C,OAAO2E,QAAQ2mD,IAAIpO,EAAMsB,aAAcrB,EAAIqB,cACjDyjD,EAAOjiG,OAAO2E,QAAQ2mD,IAAI22C,EAAOzjD,aAAcuiD,EAAOC,KAAOiB,EAAOp3C,WAE/F9O,EAAKoG,EAAKtgD,MAAMk6C,GACO,OAAnBimD,EAAWtlG,KAAiBq/C,EAAGiG,QAAQkgD,EAAQC,EAAMH,EAAWh7C,MAC7DjL,EAAGgG,WAAWmgD,EAAQC,EAAMH,EAAWh7C,WACzC,GAAI9J,EAAMl9C,OAAO8lD,MAAM5I,EAAM5yC,SAASo7C,QAAUxI,EAAM5yC,SAAW6yC,EAAI7yC,SAAW6yC,EAAIuR,WAAa,EAAI,GAAI,CAE9G,IAAIzyD,EAAOihD,EAAMl9C,OAAO+qD,YAAY7N,EAAMsB,aAAcrB,EAAIqB,cAC5D,GAAI2D,EAAKmtC,SAAS,mBAAmB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAM+/C,EAAQC,EAAMlmG,MAAa,OAC9F8/C,EAAKoG,EAAKtgD,MAAMk6C,GAAG4G,WAAW1mD,EAAMimG,EAAQC,GAMhD,GAFKpmD,IACDA,EAAKoG,EAAKtgD,MAAMk6C,GAAG3jD,QAAQ8pG,EAAQC,EAAM/oF,EAAMujC,IAAI77C,MAAMigG,EAAOl2C,MAAQzxC,EAAM4P,KAAM+3E,EAAOE,KAAO7nF,EAAM4P,QACxG5P,EAAMwsC,IAAK,CACb,IAAI28C,EAAQ5xB,GAAiBxuB,EAAMpG,EAAGY,IAAKvjC,EAAMwsC,KAM7C28C,KAAW7qG,EAAO01F,QAAU11F,EAAO61F,SAAWprC,EAAKmxB,WAAaivB,EAAMrvE,QAC1DqvE,EAAM9yF,MAAQyyF,GAAUK,EAAM9yF,MAAQssC,EAAG8C,QAAQnxC,IAAIy0F,GAAQ,IAChEzqG,EAAOq1F,IAAMwV,EAAMrvE,OAASqvE,EAAM9yF,MAAQyyF,IACnDnmD,EAAGqC,aAAamkD,GAElBlhD,GAAetF,EAAGkJ,YAAY5D,GAClCc,EAAKtG,SAASE,EAAGC,oBAGnB,SAAS20B,GAAiBxuB,EAAMxF,EAAK6lD,GACnC,OAAI7tG,KAAKkwB,IAAI29E,EAAUtzD,OAAQszD,EAAU/yF,MAAQktC,EAAIh4C,QAAQyS,KAAe,KACrE8mF,GAAiB/7C,EAAMxF,EAAIziC,QAAQsoF,EAAUtzD,QAASyN,EAAIziC,QAAQsoF,EAAU/yF,OAkDrF,SAASmyF,GAAsBrlD,EAAMkmD,EAASC,GAE5C,IADA,IAAIhmD,EAAQH,EAAKG,MAAOmD,EAAM4iD,EAAUlmD,EAAKsD,MAAQtD,EAAKxlC,IACnD2lC,EAAQ,IAAM+lD,GAAWlmD,EAAKwB,WAAWrB,IAAUH,EAAKL,KAAKQ,GAAOI,aACzEJ,IACAmD,IACA4iD,GAAU,EAEZ,GAAIC,EAEF,IADA,IAAI36F,EAAOw0C,EAAKL,KAAKQ,GAAOgP,WAAWnP,EAAKwB,WAAWrB,IAChD30C,IAASA,EAAKojD,QACnBpjD,EAAOA,EAAKqK,WACZytC,IAGJ,OAAOA,EA2BT,SAAS8iD,GAAsBxgD,EAAMrhD,GAKnC,IAJA,IAAIjB,EAAU,GACV8E,EAAU7D,EAAM6D,QAChB4oD,EAAYzsD,EAAMysD,UAClBhK,EAAUziD,EAAMyiD,QACbgK,EAAY,GAAKhK,EAAU,GAA2B,GAAtB5+C,EAAQm4C,YAAoD,GAAjCn4C,EAAQyN,WAAW0qC,YAAiB,CACpGyQ,IACAhK,IACA,IAAIrH,EAAOv3C,EAAQyN,WACnBvS,EAAQuM,KAAK8vC,EAAKx/C,KAAKjI,KAAMynD,EAAKx/C,KAAK+gD,mBAAqBvB,EAAKmE,MAAQ,MACzE17C,EAAUu3C,EAAKv3C,QAGjB,IAAIi+F,EAAazgD,EAAKmtC,SAAS,wBAA0B,gBAAc/2B,WAAWpW,EAAKtgD,MAAM2lD,QACzF7K,EAAMkmD,KAAe/iD,EAAOnD,EAAI9rC,cAAc,OAClDivC,EAAKr6C,YAAYm9F,EAAWnjC,kBAAkB96D,EAAS,CAACnN,SAAUmlD,KAGlE,IADA,IAAkC89C,EAA9BroF,EAAa0tC,EAAK1tC,WACfA,GAAqC,GAAvBA,EAAWguC,WAAkBq6C,EAAYqI,GAAQ1wF,EAAWyqD,SAAS7sD,iBAAiB,CACzG,IAAK,IAAIla,EAAI2kG,EAAUzkG,OAAS,EAAGF,GAAK,EAAGA,IAAK,CAE9C,IADA,IAAIs6B,EAAUusB,EAAI9rC,cAAc4pF,EAAU3kG,IACnCgqD,EAAK1tC,YAAcge,EAAQ3qB,YAAYq6C,EAAK1tC,YACnD0tC,EAAKr6C,YAAY2qB,GAEnBhe,EAAa0tC,EAAK1tC,WASpB,OANIA,GAAqC,GAAvBA,EAAWguC,UACzBhuC,EAAWF,aAAa,gBAAkBq7C,EAAY,IAAMhK,EAAU,IAAOr1C,KAAKC,UAAUtO,IAKzF,CAAC43D,IAAK3X,EAAM7jD,KAHRkmD,EAAKmtC,SAAS,2BAA2B,SAAUv2F,GAAK,OAAOA,EAAE+H,OACxEA,EAAM6D,QAAQomD,YAAY,EAAGjqD,EAAM6D,QAAQyS,KAAM,SAOvD,SAAS2rF,GAAmB5gD,EAAMlmD,EAAMqI,EAAM0+F,EAAW9jC,GACvD,IAAIzH,EAA8C32D,EAAzCmiG,EAAS/jC,EAASl/D,OAAOtD,KAAK8/C,KAAK5/B,KAC5C,IAAKtY,IAASrI,EAAQ,OAAO,KAC7B,IAAIinG,EAASjnG,IAAS+mG,GAAaC,IAAW3+F,GAC9C,GAAI4+F,EAAQ,CAEV,GADA/gD,EAAKmtC,SAAS,uBAAuB,SAAUv2F,GAAKkD,EAAOlD,EAAEkD,EAAMgnG,GAAUD,MACzEC,EAAU,OAAO,IAAI,QAAM,WAASj6E,KAAKm5B,EAAKtgD,MAAM2lD,OAAOvrD,KAAKA,IAAQ,EAAG,GAC/E,IAAI6wB,EAASq1B,EAAKmtC,SAAS,uBAAuB,SAAUv2F,GAAK,OAAOA,EAAEkD,EAAMijE,EAAU8jC,MACtFl2E,EACFhsB,EAAQgsB,GAER2qC,EAAMjgE,SAASqZ,cAAc,OAC7B5U,EAAK/D,OAAOsF,MAAM,iBAAiB7H,SAAQ,SAAU4oE,GACnD9G,EAAIhyD,YAAYjO,SAASqZ,cAAc,MAAM6/C,YAAc6N,WAI/Dpc,EAAKmtC,SAAS,uBAAuB,SAAUv2F,GAAKuL,EAAOvL,EAAEuL,MAC7DmzD,EAyHJ,SAAkBnzD,GAChB,IAAI6+F,EAAQ,qBAAqBtvG,KAAKyQ,GAClC6+F,IAAS7+F,EAAOA,EAAKxD,MAAMqiG,EAAM,GAAGntG,SACxC,IAC+D8pD,EAD3Do/B,EAAM2jB,KAAchyF,cAAc,OAClCuyF,EAAW,oCAAoCvvG,KAAKyQ,GAAao4C,EAAQ,GACzEoD,EAAOsjD,GAAYN,GAAQM,EAAS,GAAGpzF,kBACzC1L,EAAOw7C,EAAKpyC,KAAI,SAAUgH,GAAK,MAAO,IAAMA,EAAI,OAAQ9W,KAAK,IAAM0G,EAAOw7C,EAAKpyC,KAAI,SAAUgH,GAAK,MAAO,KAAOA,EAAI,OAAQ0/C,UAAUx2D,KAAK,IAC3I8+C,EAAQoD,EAAK9pD,QAEfkpF,EAAInO,UAAYzsE,EAChB,IAAK,IAAIxO,EAAI,EAAGA,EAAI4mD,EAAO5mD,IAAOopF,EAAMA,EAAI9sE,WAC5C,OAAO8sE,EApICmkB,CAAS/+F,GAGjB,IAAIg/F,EAAc7rC,GAAOA,EAAIzmD,cAAc,mBACvCuyF,EAAYD,GAAe,oBAAoBzvG,KAAKyvG,EAAY50E,aAAa,kBACjF,IAAK5tB,EAAO,CACV,IAAIu6D,EAASlZ,EAAKmtC,SAAS,oBAAsBntC,EAAKmtC,SAAS,cAAgB,YAAU/2B,WAAWpW,EAAKtgD,MAAM2lD,QAC/G1mD,EAAQu6D,EAAOxD,WAAWJ,EAAK,CAACkD,sBAAuBuoC,IAAUK,GAAY1jG,QAASq/D,IAQxF,OALIp+D,EADAyiG,EA8HN,SAAoBziG,EAAOjB,GACzB,IAAKiB,EAAMsW,KAAQ,OAAOtW,EAC1B,IAAmDiL,EAA/Cy7C,EAAS1mD,EAAM6D,QAAQyN,WAAW1V,KAAK8qD,OAC3C,IAAMz7C,EAAQmC,KAAKkL,MAAMvZ,GACzB,MAAM2K,GAAK,OAAO1J,EAIlB,IAHA,IAAI6D,EAAU7D,EAAM6D,QAChB4oD,EAAYzsD,EAAMysD,UAClBhK,EAAUziD,EAAMyiD,QACXztD,EAAIiW,EAAM/V,OAAS,EAAGF,GAAK,EAAGA,GAAK,EAAG,CAC7C,IAAI4G,EAAO8qD,EAAOoF,MAAM7gD,EAAMjW,IAC9B,IAAK4G,GAAQA,EAAK+gD,mBAAsB,MACxC94C,EAAU,WAASqkB,KAAKtsB,EAAKqG,OAAOgJ,EAAMjW,EAAI,GAAI6O,IAClD4oD,IAAahK,IAEf,OAAO,IAAI,QAAM5+C,EAAS4oD,EAAWhK,GA3IzBigD,CAmFd,SAAoB1iG,EAAOysD,EAAWhK,GAChCgK,EAAYzsD,EAAMysD,YAClBzsD,EAAQ,IAAI,QAAM2iG,GAAW3iG,EAAM6D,SAAU,EAAG4oD,EAAWzsD,EAAMysD,UAAW,EAAGzsD,EAAMyiD,SAAUgK,EAAWzsD,EAAMyiD,UAChHA,EAAUziD,EAAMyiD,UAChBziD,EAAQ,IAAI,QAAM2iG,GAAW3iG,EAAM6D,QAAS,EAAG4+C,EAASziD,EAAMyiD,QAAS,EAAG,GAAIziD,EAAMysD,UAAWhK,IACnG,OAAOziD,EAxFgB4iG,CAAW5iG,GAAQyiG,EAAU,IAAKA,EAAU,IAAKA,EAAU,IAEtE,QAAMn0C,QAcpB,SAA2BP,EAAUqQ,GACnC,GAAIrQ,EAAS/R,WAAa,EAAK,OAAO+R,EAsBtC,IArBA,IAAI9N,EAAO,SAAWxiB,GACpB,IACIx0B,EADSm1D,EAAShjB,KAAK3d,GACRyf,eAAekhB,EAAS50D,MAAMi0B,IAC7ColE,OAAW,EAAUjsG,EAAS,GAelC,GAdAm3D,EAASl5D,SAAQ,SAAUumD,GACzB,GAAKxkD,EAAL,CACA,IAA0CksG,EAAtC9jD,EAAO/1C,EAAM21C,aAAaxD,EAAKx/C,MACnC,IAAKojD,EAAQ,OAAOpoD,EAAS,KAC7B,GAAIksG,EAASlsG,EAAO1B,QAAU2tG,EAAS3tG,QA+B7C,SAAS6tG,EAAa/jD,EAAM6jD,EAAUznD,EAAM4nD,EAASpnD,GACnD,GAAIA,EAAQoD,EAAK9pD,QAAU0mD,EAAQinD,EAAS3tG,QAAU8pD,EAAKpD,IAAUinD,EAASjnD,GAAQ,CACpF,IAAIqH,EAAQ8/C,EAAa/jD,EAAM6jD,EAAUznD,EAAM4nD,EAAQ1nD,UAAWM,EAAQ,GAC1E,GAAIqH,EAAS,OAAO+/C,EAAQ/jD,KAAK+jD,EAAQn/F,QAAQ6mD,aAAas4C,EAAQhnD,WAAa,EAAGiH,IAEtF,GADY+/C,EAAQ9lD,eAAe8lD,EAAQhnD,YACjC6C,UAAUjD,GAASoD,EAAK9pD,OAAS,EAAIkmD,EAAKx/C,KAAOojD,EAAKpD,EAAQ,IACpE,OAAOonD,EAAQ/jD,KAAK+jD,EAAQn/F,QAAQ+lD,OAAO,WAAS1hC,KAAK+6E,GAAa7nD,EAAM4D,EAAMpD,EAAQ,OArC3CmnD,CAAa/jD,EAAM6jD,EAAUznD,EAAMxkD,EAAOA,EAAO1B,OAAS,GAAI,GAC7G0B,EAAOA,EAAO1B,OAAS,GAAK4tG,MACvB,CACDlsG,EAAO1B,SAAU0B,EAAOA,EAAO1B,OAAS,GAsCpD,SAASguG,EAAW9nD,EAAMQ,GACxB,GAAa,GAATA,EAAc,OAAOR,EACzB,IAAI2S,EAAW3S,EAAKv3C,QAAQ6mD,aAAatP,EAAKY,WAAa,EAAGknD,EAAW9nD,EAAKE,UAAWM,EAAQ,IAC7Fse,EAAO9e,EAAK8B,eAAe9B,EAAKY,YAAY8W,WAAW,WAAS1gC,OAAO,GAC3E,OAAOgpB,EAAK6D,KAAK8O,EAASnE,OAAOsQ,IA1CsBgpC,CAAWtsG,EAAOA,EAAO1B,OAAS,GAAI2tG,EAAS3tG,SAChG,IAAIiuG,EAAUF,GAAa7nD,EAAM4D,GACjCpoD,EAAO0U,KAAK63F,GACZl6F,EAAQA,EAAM41C,UAAUskD,EAAQvnG,KAAMunG,EAAQ5jD,OAC9CsjD,EAAW7jD,OAGXpoD,EAAU,MAAO,CAAE+gB,EAAG,WAASuQ,KAAKtxB,KAGjC6mC,EAAI2gC,EAASxiB,MAAOne,GAAK,EAAGA,IAAK,CACxC,IAAI2iB,EAAWH,EAAMxiB,GAErB,GAAK2iB,EAAW,OAAOA,EAASzoC,EAElC,OAAOo2C,EA1CmBq1C,CAAkBpjG,EAAM6D,QAASu6D,IAAW,GAEtE/c,EAAKmtC,SAAS,mBAAmB,SAAUv2F,GAAK+H,EAAQ/H,EAAE+H,MACnDA,EA0CT,SAASijG,GAAa7nD,EAAM4D,EAAM92B,QAClB,IAATA,IAAkBA,EAAO,GAE9B,IAAK,IAAIlzB,EAAIgqD,EAAK9pD,OAAS,EAAGF,GAAKkzB,EAAMlzB,IACrComD,EAAO4D,EAAKhqD,GAAGiN,OAAO,KAAM,WAASimB,KAAKkzB,IAC9C,OAAOA,EAsBT,SAASunD,GAAW50C,EAAU1S,EAAMnzB,EAAM8L,EAAI4nB,EAAO6G,GACnD,IAAIrH,EAAOC,EAAO,EAAI0S,EAASz8C,WAAay8C,EAASzS,UAAW2H,EAAQ7H,EAAKv3C,QAK7E,OAJI+3C,EAAQ5nB,EAAK,IAAKivB,EAAQ0/C,GAAW1/C,EAAO5H,EAAMnzB,EAAM8L,EAAI4nB,EAAQ,EAAG6G,IACvE7G,GAAS1zB,IACT+6B,EAAQ5H,EAAO,EAAID,EAAK8B,eAAe,GAAG4V,WAAW7P,EAAO8K,EAAS/R,WAAa,GAAKyG,GAAW7G,GAAOgO,OAAO3G,GAC9GA,EAAM2G,OAAOxO,EAAK8B,eAAe9B,EAAKY,YAAY8W,WAAW,WAAS1gC,OAAO,KAC5E27B,EAASrD,aAAarP,EAAO,EAAI,EAAI0S,EAAS/R,WAAa,EAAGZ,EAAK6D,KAAKgE,IAcjF,IAAI++C,GAAU,CACZqB,MAAO,CAAC,SACRC,MAAO,CAAC,SACR/pC,MAAO,CAAC,SACRgqC,QAAS,CAAC,SACVC,SAAU,CAAC,SACXC,IAAK,CAAC,QAAS,YACfxoD,GAAI,CAAC,QAAS,SACdyoD,GAAI,CAAC,QAAS,QAAS,MACvBC,GAAI,CAAC,QAAS,QAAS,OAGrBC,GAAe,KACnB,SAAS7B,KACP,OAAO6B,KAAiBA,GAAeltG,SAASmtG,eAAeC,mBAAmB,UAkCpF,IAAIC,GAAiB,CACnBC,WAAW,EACXC,eAAe,EACfC,uBAAuB,EACvB51B,YAAY,EACZ61B,mBAAmB,EACnBC,SAAS,GAGPC,GAAcztG,EAAOq1F,IAAMr1F,EAAOs1F,YAAc,GAEhDoY,GAAiB,WACnBvnG,KAAKm4F,WAAan4F,KAAKo4F,aAAep4F,KAAK+1F,UAAY/1F,KAAKi2F,YAAc,MAG5EsR,GAAehwG,UAAU2L,IAAM,SAAc6kD,GAC3C/nD,KAAKm4F,WAAapwC,EAAIowC,WAAYn4F,KAAKo4F,aAAerwC,EAAIqwC,aAC1Dp4F,KAAK+1F,UAAYhuC,EAAIguC,UAAW/1F,KAAKi2F,YAAcluC,EAAIkuC,aAGzDsR,GAAehwG,UAAU8vD,GAAK,SAAaU,GACzC,OAAOA,EAAIowC,YAAcn4F,KAAKm4F,YAAcpwC,EAAIqwC,cAAgBp4F,KAAKo4F,cACnErwC,EAAIguC,WAAa/1F,KAAK+1F,WAAahuC,EAAIkuC,aAAej2F,KAAKi2F,aAG/D,IAAIuR,GAAc,SAAqBljD,EAAMmjD,GAC3C,IAAIl9C,EAASvqD,KAEbA,KAAKskD,KAAOA,EACZtkD,KAAKynG,gBAAkBA,EACvBznG,KAAKuN,MAAQ,GACbvN,KAAK0nG,cAAgB,EACrB1nG,KAAK0wB,SAAWz5B,OAAO0wG,kBACrB,IAAI1wG,OAAO0wG,kBAAiB,SAAUC,GACpC,IAAK,IAAI3vG,EAAI,EAAGA,EAAI2vG,EAAUzvG,OAAQF,IAAOsyD,EAAOh9C,MAAMgB,KAAKq5F,EAAU3vG,IAKrE4B,EAAOq1F,IAAMr1F,EAAOs1F,YAAc,IAAMyY,EAAUjwF,MACpD,SAAUqK,GAAK,MAAiB,aAAVA,EAAEnjB,MAAuBmjB,EAAE6lF,aAAa1vG,QAC/C,iBAAV6pB,EAAEnjB,MAA2BmjB,EAAEo3E,SAASjhG,OAAS6pB,EAAE9lB,OAAO2iE,UAAU1mE,UACvEoyD,EAAOu9C,YAEPv9C,EAAOw9C,WAEf/nG,KAAKgoG,iBAAmB,IAAIT,GACxBD,KACFtnG,KAAKioG,WAAa,SAAUt7F,GAC1B49C,EAAOh9C,MAAMgB,KAAK,CAACrS,OAAQyQ,EAAEzQ,OAAQ2C,KAAM,gBAAiBu6F,SAAUzsF,EAAEu7F,YACxE39C,EAAOu9C,cAGX9nG,KAAKmoG,kBAAoBnoG,KAAKmoG,kBAAkB/wG,KAAK4I,MACrDA,KAAKooG,6BAA8B,GAGrCZ,GAAYjwG,UAAUuwG,UAAY,WAC9B,IAAIv9C,EAASvqD,KAEXA,KAAK0nG,aAAe,IACpB1nG,KAAK0nG,aAAezwG,OAAOmW,YAAW,WAAcm9C,EAAOm9C,cAAgB,EAAGn9C,EAAOw9C,UAAY,MAGvGP,GAAYjwG,UAAU8wG,WAAa,WAC7BroG,KAAK0nG,cAAgB,IACvBzwG,OAAOoW,aAAarN,KAAK0nG,cACzB1nG,KAAK0nG,cAAgB,EACrB1nG,KAAK+nG,UAITP,GAAYjwG,UAAUy1D,MAAQ,WACxBhtD,KAAK0wB,UACL1wB,KAAK0wB,SAAS43E,QAAQtoG,KAAKskD,KAAKsV,IAAKotC,IACrCM,IACAtnG,KAAKskD,KAAKsV,IAAIx7C,iBAAiB,2BAA4Bpe,KAAKioG,YACpEjoG,KAAKshG,oBAGPkG,GAAYjwG,UAAUohB,KAAO,WACzB,IAAI4xC,EAASvqD,KAEf,GAAIA,KAAK0wB,SAAU,CACjB,IAAI63E,EAAOvoG,KAAK0wB,SAAS83E,cACzB,GAAID,EAAKpwG,OAAQ,CACf,IAAK,IAAIF,EAAI,EAAGA,EAAIswG,EAAKpwG,OAAQF,IAAO+H,KAAKuN,MAAMgB,KAAKg6F,EAAKtwG,IAC7DhB,OAAOmW,YAAW,WAAc,OAAOm9C,EAAOw9C,UAAY,IAE5D/nG,KAAK0wB,SAAS+3E,aAEZnB,IAAetnG,KAAKskD,KAAKsV,IAAI8J,oBAAoB,2BAA4B1jE,KAAKioG,YACtFjoG,KAAK0gG,uBAGP8G,GAAYjwG,UAAU+pG,iBAAmB,WACvCthG,KAAKskD,KAAKsV,IAAIkG,cAAc1hD,iBAAiB,kBAAmBpe,KAAKmoG,oBAGvEX,GAAYjwG,UAAUmpG,oBAAsB,WAC1C1gG,KAAKskD,KAAKsV,IAAIkG,cAAc4D,oBAAoB,kBAAmB1jE,KAAKmoG,oBAG1EX,GAAYjwG,UAAU2sG,yBAA2B,WAC7C,IAAI35C,EAASvqD,KAEfA,KAAKooG,6BAA8B,EACnCh7F,YAAW,WAAc,OAAOm9C,EAAO69C,6BAA8B,IAAU,KAGjFZ,GAAYjwG,UAAU4wG,kBAAoB,WACxC,MA7pB4B7jD,EA6pBFtkD,KAAKskD,MA5pBtB2gB,UAAY3gB,EAAK+uC,KAAK8B,eAAiB7wC,EAAKsV,MAC9C6mC,GAAan8C,GA2pBpB,CA7pBF,IAA8BA,EA8pB5B,GAAItkD,KAAKooG,4BAA+B,OAAO9H,GAAetgG,KAAKskD,MAInE,GAAIzqD,EAAOq1F,IAAMr1F,EAAOs1F,YAAc,KAAOnvF,KAAKskD,KAAKtgD,MAAMi6C,UAAU5oB,MAAO,CAC5E,IAAI0yB,EAAM/nD,KAAKskD,KAAK+uC,KAAKsC,eAEzB,GAAI5tC,EAAIguC,WAAa9F,EAAqBloC,EAAIguC,UAAWhuC,EAAIkuC,YAAaluC,EAAIowC,WAAYpwC,EAAIqwC,cAC1F,OAAOp4F,KAAK8nG,YAElB9nG,KAAK+nG,UAGPP,GAAYjwG,UAAU6nG,gBAAkB,WACtCp/F,KAAKgoG,iBAAiB9kG,IAAIlD,KAAKskD,KAAK+uC,KAAKsC,iBAG3C6R,GAAYjwG,UAAUmxG,sBAAwB,SAAgC3gD,GAC5E,GAAsB,GAAlBA,EAAI8oC,WAAmB,OAAO,EAClC,IAAI8X,EAAY5gD,EAAI+oC,WAAW,GAAG8X,wBAC9B5+C,EAAOhqD,KAAKskD,KAAKmvB,QAAQwgB,YAAY0U,GACzC,OAAI3+C,GAAQA,EAAKwuC,eAAe,CAAC35F,KAAM,YAAa3C,OAA8B,GAAtBysG,EAAUpmD,SAAgBomD,EAAUv1F,WAAau1F,KAC3G3oG,KAAKo/F,mBACE,QAFT,GAMFoI,GAAYjwG,UAAUwwG,MAAQ,WAC5B,GAAK/nG,KAAKskD,KAAKmvB,WAAWzzE,KAAK0nG,cAAgB,GAA/C,CACA,IAAIE,EAAY5nG,KAAK0wB,SAAW1wB,KAAK0wB,SAAS83E,cAAgB,GAC1DxoG,KAAKuN,MAAMpV,SACbyvG,EAAY5nG,KAAKuN,MAAMvK,OAAO4kG,GAC9B5nG,KAAKuN,MAAMpV,OAAS,GAGtB,IAAI4vD,EAAM/nD,KAAKskD,KAAK+uC,KAAKsC,eACrB0M,GAAUriG,KAAKooG,8BAAgCpoG,KAAKgoG,iBAAiB3gD,GAAGU,IAAQ04C,GAAazgG,KAAKskD,QAAUtkD,KAAK0oG,sBAAsB3gD,GAEvI58B,GAAQ,EAAG8L,GAAM,EAAGgrE,GAAW,EAAOrmB,EAAQ,GAClD,GAAI57E,KAAKskD,KAAK2gB,SACZ,IAAK,IAAIhtE,EAAI,EAAGA,EAAI2vG,EAAUzvG,OAAQF,IAAK,CACzC,IAAI4wG,EAAW7oG,KAAK8oG,iBAAiBlB,EAAU3vG,GAAI2jF,GAC/CitB,IACF19E,EAAOA,EAAO,EAAI09E,EAAS19E,KAAOr0B,KAAKkK,IAAI6nG,EAAS19E,KAAMA,GAC1D8L,EAAKA,EAAK,EAAI4xE,EAAS5xE,GAAKngC,KAAKkwB,IAAI6hF,EAAS5xE,GAAIA,GAC9C4xE,EAAS5G,WAAYA,GAAW,IAK1C,GAAIpoG,EAAOw1F,OAASzT,EAAMzjF,OAAS,EAAG,CACpC,IAAI4wG,EAAMntB,EAAM/nE,QAAO,SAAUgD,GAAK,MAAqB,MAAdA,EAAEmoD,YAC/C,GAAkB,GAAd+pC,EAAI5wG,OAAa,CACnB,IAAI+B,EAAI6uG,EAAI,GACN5uG,EAAI4uG,EAAI,GACV7uG,EAAEkZ,YAAclZ,EAAEkZ,WAAWA,YAAcjZ,EAAEiZ,WAAcjZ,EAAE+Y,SAC1DhZ,EAAEgZ,WAITiY,GAAQ,GAAKk3E,KACXl3E,GAAQ,IACVnrB,KAAKskD,KAAKmvB,QAAQglB,UAAUttE,EAAM8L,GA0DxC,SAAkBqtB,GAChB,GAAI0kD,GAAc,OAClBA,IAAa,EACgC,UAAzCrkC,iBAAiBrgB,EAAKsV,KAAKqvC,YAC3BtoG,QAAc,KAAE,4KA7DhBuoG,CAASlpG,KAAKskD,OAEhBtkD,KAAKynG,gBAAgBt8E,EAAM8L,EAAIgrE,EAAUrmB,GACrC57E,KAAKskD,KAAKmvB,QAAQ+iB,MAASx2F,KAAKskD,KAAKgqB,YAAYtuE,KAAKskD,KAAKtgD,OACrDhE,KAAKgoG,iBAAiB3gD,GAAGU,IAAQu4C,GAAetgG,KAAKskD,SAInEkjD,GAAYjwG,UAAUuxG,iBAAmB,SAA2B3P,EAAKvd,GAEvE,GAAIA,EAAMh9E,QAAQu6F,EAAIj9F,SAAW,EAAK,OAAO,KAC7C,IAAI8tD,EAAOhqD,KAAKskD,KAAKmvB,QAAQwgB,YAAYkF,EAAIj9F,QAC7C,GAAgB,cAAZi9F,EAAIt6F,OACHmrD,GAAQhqD,KAAKskD,KAAKmvB,SAAgC,mBAArB0lB,EAAIgQ,eAEX,SAArBhQ,EAAIgQ,gBAA6BhQ,EAAIC,WAAaD,EAAIj9F,OAAO20B,aAAa,UAC5E,OAAO,KACX,IAAKm5B,GAAQA,EAAKwuC,eAAeW,GAAQ,OAAO,KAEhD,GAAgB,aAAZA,EAAIt6F,KAAqB,CAC3B,IAAIm1B,EAAOmlE,EAAIp6B,gBAAiB70D,EAAOivF,EAAI95B,YAC3C,GAAIxlE,EAAOq1F,IAAMr1F,EAAOs1F,YAAc,IAAMgK,EAAI+I,WAAW/pG,OAGzD,IAAK,IAAIF,EAAI,EAAGA,EAAIkhG,EAAI+I,WAAW/pG,OAAQF,IAAK,CAC9C,IAAImnD,EAAM+5C,EAAI+I,WAAWjqG,GACnB8mE,EAAkB3f,EAAI2f,gBACtBM,EAAcjgB,EAAIigB,cACnBN,GAAmBzwD,MAAM/W,UAAUqH,QAAQlH,KAAKyhG,EAAI+I,WAAYnjC,GAAmB,KAAK/qC,EAAO+qC,KAC/FM,GAAe/wD,MAAM/W,UAAUqH,QAAQlH,KAAKyhG,EAAI+I,WAAY7iC,GAAe,KAAKn1D,EAAOm1D,GAQhG,IALA,IAAIs4B,EAAa3jE,GAAQA,EAAK5gB,YAAc+lF,EAAIj9F,OAC1C8zF,EAASh8D,GAAQ,EAAI,EACvB7I,EAAO6+B,EAAKktC,gBAAgBiC,EAAIj9F,OAAQy7F,GAAa,GACrDC,EAAW1tF,GAAQA,EAAKkJ,YAAc+lF,EAAIj9F,OACxC8zF,EAAS9lF,GAAQivF,EAAIj9F,OAAOiY,WAAWhc,OACpC2rD,EAAM,EAAGA,EAAMq1C,EAAI+I,WAAW/pG,OAAQ2rD,IAAS83B,EAAMrtE,KAAK4qF,EAAI+I,WAAWp+C,IAElF,MAAO,CAAC34B,KAAMA,EAAM8L,GADX+yB,EAAKktC,gBAAgBiC,EAAIj9F,OAAQ07F,EAAU,IAE/C,MAAgB,cAAZuB,EAAIt6F,KACN,CAACssB,KAAM6+B,EAAKuqC,WAAavqC,EAAKwqC,OAAQv9D,GAAI+yB,EAAK0sC,SAAW1sC,EAAKwqC,QAE/D,CACLrpE,KAAM6+B,EAAKuqC,WACXt9D,GAAI+yB,EAAK0sC,SAKTuL,SAAU9I,EAAIj9F,OAAO2iE,WAAas6B,EAAIC,WAK5C,IAAI4P,IAAa,EAWjB,IAAIp7E,GAAW,GAAIw7E,GAAe,GA2ClC,SAASC,GAAmB/kD,EAAM47C,GAChC57C,EAAK89C,oBAAsBlC,EAC3B57C,EAAK69C,kBAAoBjyE,KAAKG,MAWhC,SAASi5E,GAAgBhlD,GACvBA,EAAKmtC,SAAS,mBAAmB,SAAU8X,GACzC,IAAK,IAAI1qG,KAAQ0qG,EAAwBjlD,EAAKklD,cAAc3qG,IACxDylD,EAAKsV,IAAIx7C,iBAAiBvf,EAAMylD,EAAKklD,cAAc3qG,GAAQ,SAAUyB,GAAS,OAAOmpG,GAAiBnlD,EAAMhkD,QAIpH,SAASmpG,GAAiBnlD,EAAMhkD,GAC9B,OAAOgkD,EAAKmtC,SAAS,mBAAmB,SAAU7jE,GAChD,IAAI1tB,EAAU0tB,EAASttB,EAAMzB,MAC7B,QAAOqB,IAAUA,EAAQokD,EAAMhkD,IAAUA,EAAMopG,qBAoEnD,SAASC,GAAYrpG,GAAS,MAAO,CAAC6jE,KAAM7jE,EAAM6kE,QAASnH,IAAK19D,EAAM8kE,SAOtE,SAASwkC,GAAoBtlD,EAAMulD,EAAU3wF,EAAKitD,EAAQ7lE,GACxD,IAAe,GAAX6lE,EAAgB,OAAO,EAQ3B,IAPA,IAAIznB,EAAO4F,EAAKtgD,MAAM86C,IAAIziC,QAAQ8pD,GAC9BjjB,EAAO,SAAWjrD,GACpB,GAAIqsD,EAAKmtC,SAASoY,GAAU,SAAU3uG,GAAK,OAAOjD,EAAIymD,EAAKG,MAAQ3jD,EAAEopD,EAAMprC,EAAKwlC,EAAK6C,UAAW7C,EAAKK,OAAO9mD,GAAIqI,GAAO,GACrEpF,EAAEopD,EAAMprC,EAAKwlC,EAAKL,KAAKpmD,GAAIymD,EAAKK,OAAO9mD,GAAIqI,GAAO,MAChG,MAAO,CAAEsa,GAAG,IAGT3iB,EAAIymD,EAAKG,MAAQ,EAAG5mD,EAAI,EAAGA,IAAK,CACvC,IAAIorD,EAAWH,EAAMjrD,GAErB,GAAKorD,EAAW,OAAOA,EAASzoC,EAElC,OAAO,EAGT,SAASkvF,GAAgBxlD,EAAMrG,EAAWiiD,GACnC57C,EAAK+rB,SAAW/rB,EAAKspB,QAC1B,IAAI1vB,EAAKoG,EAAKtgD,MAAMk6C,GAAGqC,aAAatC,GACtB,WAAViiD,GAAuBhiD,EAAG0L,QAAQ,WAAW,GACjDtF,EAAKtG,SAASE,GAuChB,SAAS6rD,GAAkBzlD,EAAMprC,EAAKitD,EAAQ7lE,EAAO08F,GACnD,OAAO4M,GAAoBtlD,EAAM,gBAAiBprC,EAAKitD,EAAQ7lE,IAC7DgkD,EAAKmtC,SAAS,eAAe,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMprC,EAAK5Y,QAC/D08F,EA7BL,SAA2B14C,EAAM6hB,GAC/B,IAAe,GAAXA,EAAgB,OAAO,EAC3B,IAAgC6jC,EAAcC,EAA1CliD,EAAMzD,EAAKtgD,MAAMi6C,UACjB8J,aAAe,kBAAiBiiD,EAAejiD,EAAI1J,MAGvD,IADA,IAAIK,EAAO4F,EAAKtgD,MAAM86C,IAAIziC,QAAQ8pD,GACzBluE,EAAIymD,EAAKG,MAAQ,EAAG5mD,EAAI,EAAGA,IAAK,CACvC,IAAIomD,EAAOpmD,EAAIymD,EAAKG,MAAQH,EAAK6C,UAAY7C,EAAKL,KAAKpmD,GACvD,GAAI,gBAAcwsD,aAAapG,GAAO,CAGhC4rD,EAFAD,GAAgBjiD,EAAI1I,MAAMR,MAAQ,GAClC5mD,GAAK8vD,EAAI1I,MAAMR,OAASH,EAAKK,OAAOgJ,EAAI1I,MAAMR,MAAQ,IAAMkJ,EAAI1I,MAAMnmC,IAC3DwlC,EAAKK,OAAOgJ,EAAI1I,MAAMR,OAEtBH,EAAKK,OAAO9mD,GAC3B,OAIJ,OAAgB,MAAZgyG,IACFH,GAAgBxlD,EAAM,gBAAcp/C,OAAOo/C,EAAKtgD,MAAM86C,IAAKmrD,GAAW,YAC/D,GASOC,CAAkB5lD,EAAM6hB,GAvC1C,SAA2B7hB,EAAM6hB,GAC/B,IAAe,GAAXA,EAAgB,OAAO,EAC3B,IAAIznB,EAAO4F,EAAKtgD,MAAM86C,IAAIziC,QAAQ8pD,GAAS9nB,EAAOK,EAAK6C,UACvD,SAAIlD,GAAQA,EAAKsG,QAAU,gBAAcF,aAAapG,MACpDyrD,GAAgBxlD,EAAM,IAAI,gBAAc5F,GAAO,YACxC,GAkCyCyrD,CAAkB7lD,EAAM6hB,IAG5E,SAASikC,GAAkB9lD,EAAMprC,EAAKitD,EAAQ7lE,GAC5C,OAAOspG,GAAoBtlD,EAAM,sBAAuBprC,EAAKitD,EAAQ7lE,IACnEgkD,EAAKmtC,SAAS,qBAAqB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMprC,EAAK5Y,MAG1E,SAAS+pG,GAAkB/lD,EAAMprC,EAAKitD,EAAQ7lE,GAC5C,OAAOspG,GAAoBtlD,EAAM,sBAAuBprC,EAAKitD,EAAQ7lE,IACnEgkD,EAAKmtC,SAAS,qBAAqB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMprC,EAAK5Y,OAI1E,SAA4BgkD,EAAM6hB,GAChC,IAAIrnB,EAAMwF,EAAKtgD,MAAM86C,IACrB,IAAe,GAAXqnB,EACF,QAAIrnB,EAAIsE,gBACN0mD,GAAgBxlD,EAAM,gBAAcp/C,OAAO45C,EAAK,EAAGA,EAAIh4C,QAAQyS,MAAO,YAC/D,GAMX,IADA,IAAImlC,EAAOI,EAAIziC,QAAQ8pD,GACdluE,EAAIymD,EAAKG,MAAQ,EAAG5mD,EAAI,EAAGA,IAAK,CACvC,IAAIomD,EAAOpmD,EAAIymD,EAAKG,MAAQH,EAAK6C,UAAY7C,EAAKL,KAAKpmD,GACnDymG,EAAUhgD,EAAKK,OAAO9mD,GAC1B,GAAIomD,EAAK+E,cACL0mD,GAAgBxlD,EAAM,gBAAcp/C,OAAO45C,EAAK4/C,EAAU,EAAGA,EAAU,EAAIrgD,EAAKv3C,QAAQyS,MAAO,eAC9F,KAAI,gBAAckrC,aAAapG,GAGhC,SAFAyrD,GAAgBxlD,EAAM,gBAAcp/C,OAAO45C,EAAK4/C,GAAU,WAG9D,OAAO,GAvBP4L,CAAmBhmD,EAAM6hB,GA2B7B,SAASokC,GAAcjmD,GACrB,OAAOkmD,GAAelmD,GA7JxB8kD,GAAaqB,QAAU,SAAUnmD,EAAMhkD,GAErC,GADAgkD,EAAKw7C,SAA4B,IAAjBx/F,EAAM0wF,SAAiB1wF,EAAMw/F,UACzC4K,GAAoBpmD,EAAMhkD,GAQ9B,GAPAgkD,EAAK66C,YAAYkJ,aACjB/jD,EAAKq+C,YAAcriG,EAAM0wF,QACzB1sC,EAAK2+C,gBAAkB/yE,KAAKG,OAKxBx2B,EAAO41F,KAAwB,IAAjBnvF,EAAM0wF,SAAkB1wF,EAAMq/F,SAAYr/F,EAAMu/F,QAAWv/F,EAAMs/F,QASxEt7C,EAAKmtC,SAAS,iBAAiB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMhkD,OAAco/F,GAAep7C,EAAMhkD,GACzGA,EAAMmnF,iBAEN4hB,GAAmB/kD,EAAM,WAZiE,CAC1F,IAAIj0B,EAAMH,KAAKG,MACfi0B,EAAKq/C,aAAetzE,EACpBi0B,EAAKqmD,4BAA8Bv9F,YAAW,WACxCk3C,EAAKq/C,cAAgBtzE,IACvBi0B,EAAKmtC,SAAS,iBAAiB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMysC,EAAS,GAAI,aAC1EzsC,EAAKq/C,aAAe,KAErB,OAQPyF,GAAawB,MAAQ,SAAUtmD,EAAM33C,GAClB,IAAbA,EAAEqkF,UAAiB1sC,EAAKw7C,UAAW,IAGzCsJ,GAAayB,SAAW,SAAUvmD,EAAMhkD,GACtC,KAAIoqG,GAAoBpmD,EAAMhkD,KAAWA,EAAMwqG,UAC3CxqG,EAAMq/F,UAAYr/F,EAAMu/F,QAAUhmG,EAAOo1F,KAAO3uF,EAAMs/F,SAE1D,GAAIt7C,EAAKmtC,SAAS,kBAAkB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMhkD,MAChEA,EAAMmnF,qBADR,CAKA,IAAI1/B,EAAMzD,EAAKtgD,MAAMi6C,UACrB,KAAM8J,aAAe,iBAAmBA,EAAI1I,MAAMW,WAAW+H,EAAIzI,MAAM,CACrE,IAAIlhD,EAAO3D,OAAOyhD,aAAa57C,EAAMwqG,UAChCxmD,EAAKmtC,SAAS,mBAAmB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMyD,EAAI1I,MAAMnmC,IAAK6uC,EAAIzI,IAAIpmC,IAAK9a,OAC5FkmD,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAG4G,WAAW1mD,GAAM+/C,kBACjD79C,EAAMmnF,oBAoHV,IAAIsjB,GAAqBlxG,EAAOo1F,IAAM,UAAY,UAElDrhE,GAASo9E,UAAY,SAAU1mD,EAAMhkD,GACnCgkD,EAAKw7C,SAAWx/F,EAAMw/F,SACtB,IAAImL,EAAUV,GAAcjmD,GACxBj0B,EAAMH,KAAKG,MAAOxxB,EAAO,cACzBwxB,EAAMi0B,EAAK4mD,UAAUn4D,KAAO,KApHlC,SAAgBzyC,EAAO6qG,GACrB,IAAIlY,EAAKkY,EAAM5sE,EAAIj+B,EAAM6kE,QAASimC,EAAKD,EAAMttE,EAAIv9B,EAAM8kE,QACvD,OAAO6tB,EAAKA,EAAKmY,EAAKA,EAAK,IAkHYC,CAAO/qG,EAAOgkD,EAAK4mD,aAAe5qG,EAAMyqG,MAClD,eAAvBzmD,EAAK4mD,UAAUrsG,KAAyBA,EAAO,cACnB,eAAvBylD,EAAK4mD,UAAUrsG,OAAyBA,EAAO,gBAE1DylD,EAAK4mD,UAAY,CAACn4D,KAAM1iB,EAAKkO,EAAGj+B,EAAM6kE,QAAStnC,EAAGv9B,EAAM8kE,QAASvmE,KAAMA,GAEvE,IAAIqa,EAAMorC,EAAK4gB,YAAYykC,GAAYrpG,IAClC4Y,IAEO,eAARra,EACAylD,EAAKgnD,UAAY,IAAIC,GAAUjnD,EAAMprC,EAAK5Y,EAAO2qG,IACnC,eAARpsG,EAAwBurG,GAAoBC,IAAmB/lD,EAAMprC,EAAIA,IAAKA,EAAIitD,OAAQ7lE,GAChGA,EAAMmnF,iBAEN4hB,GAAmB/kD,EAAM,aAG/B,IAAIinD,GAAY,SAAmBjnD,EAAMprC,EAAK5Y,EAAO2qG,GACnD,IAUI/a,EAAYsb,EAVZjhD,EAASvqD,KAWb,GATAA,KAAKskD,KAAOA,EACZtkD,KAAK4iG,SAAWt+C,EAAKtgD,MAAM86C,IAC3B9+C,KAAKkZ,IAAMA,EACXlZ,KAAKM,MAAQA,EACbN,KAAKirG,QAAUA,EACfjrG,KAAKg9F,WAAa18F,EAAMyqG,IACxB/qG,KAAKyrG,aAAenrG,EAAMw/F,SAGtB5mF,EAAIitD,QAAU,EAChB+pB,EAAa5rC,EAAKtgD,MAAM86C,IAAImU,OAAO/5C,EAAIitD,QACvCqlC,EAAYtyF,EAAIitD,WACX,CACL,IAAIznB,EAAO4F,EAAKtgD,MAAM86C,IAAIziC,QAAQnD,EAAIA,KACtCg3E,EAAaxxC,EAAKv8C,OAClBqpG,EAAY9sD,EAAKG,MAAQH,EAAKK,SAAW,EAG3C/+C,KAAK0rG,UAAY,KAEjB,IAAIxvG,EAAS+uG,EAAU,KAAO3qG,EAAMpE,OAChCyvG,EAAazvG,EAASooD,EAAKmvB,QAAQwgB,YAAY/3F,GAAQ,GAAQ,KACnE8D,KAAK9D,OAASyvG,EAAaA,EAAW/xC,IAAM,MAExCs2B,EAAWrxF,KAAK8/C,KAAK6sB,YAAiD,IAApC0kB,EAAWrxF,KAAK8/C,KAAKmJ,YACvDxD,EAAKtgD,MAAMi6C,qBAAqB,iBAAiButD,GAAalnD,EAAKtgD,MAAMi6C,UAAU9yB,QACnFnrB,KAAK0rG,UAAY,CAACrtD,KAAM6xC,EACRh3E,IAAKsyF,EACLI,QAAS5rG,KAAK9D,SAAW8D,KAAK9D,OAAOsvE,UACrCqgC,cAAe7rG,KAAK9D,QAAUrC,EAAOw1F,QAAUrvF,KAAK9D,OAAOswF,aAAa,qBAExFxsF,KAAK9D,QAAU8D,KAAK0rG,YAAc1rG,KAAK0rG,UAAUE,SAAW5rG,KAAK0rG,UAAUG,iBAC7E7rG,KAAKskD,KAAK66C,YAAYxmF,OAClB3Y,KAAK0rG,UAAUE,UAAW5rG,KAAK9D,OAAOsvE,WAAY,GAClDxrE,KAAK0rG,UAAUG,eACfz+F,YAAW,WAAc,OAAOm9C,EAAOruD,OAAOmY,aAAa,kBAAmB,WAAa,IAC/FrU,KAAKskD,KAAK66C,YAAYnyC,SAGxB1I,EAAK+uC,KAAKj1E,iBAAiB,UAAWpe,KAAK8rG,GAAK9rG,KAAK8rG,GAAG10G,KAAK4I,OAC7DskD,EAAK+uC,KAAKj1E,iBAAiB,YAAape,KAAKu+E,KAAOv+E,KAAKu+E,KAAKnnF,KAAK4I,OACnEqpG,GAAmB/kD,EAAM,YA6D3B,SAASomD,GAAoBpmD,EAAMhkD,GACjC,QAAIgkD,EAAKmxB,cAWL57E,EAAOg2F,QAAU/4F,KAAKyhC,IAAIj4B,EAAMyrG,UAAYznD,EAAK0nD,oBAAsB,OACzE1nD,EAAK0nD,oBAAsB,KACpB,GAxEXT,GAAUh0G,UAAUwd,KAAO,WACzB/U,KAAKskD,KAAK+uC,KAAK3vB,oBAAoB,UAAW1jE,KAAK8rG,IACnD9rG,KAAKskD,KAAK+uC,KAAK3vB,oBAAoB,YAAa1jE,KAAKu+E,MACjDv+E,KAAK0rG,WAAa1rG,KAAK9D,SACzB8D,KAAKskD,KAAK66C,YAAYxmF,OAClB3Y,KAAK0rG,UAAUE,SAAW5rG,KAAK9D,OAAOghG,gBAAgB,aACtDl9F,KAAK0rG,UAAUG,eAAiB7rG,KAAK9D,OAAOghG,gBAAgB,mBAChEl9F,KAAKskD,KAAK66C,YAAYnyC,SAExBhtD,KAAKskD,KAAKgnD,UAAY,MAGxBC,GAAUh0G,UAAUu0G,GAAK,SAAaxrG,GAGpC,GAFAN,KAAK+U,OAEA/U,KAAKskD,KAAKsV,IAAImH,SAAkC,GAAzBzgE,EAAMpE,OAAOqmD,SAAgBjiD,EAAMpE,OAAOkX,WAAa9S,EAAMpE,QAAzF,CAGA,IAAIgd,EAAMlZ,KAAKkZ,IACXlZ,KAAKskD,KAAKtgD,MAAM86C,KAAO9+C,KAAK4iG,WAAY1pF,EAAMlZ,KAAKskD,KAAK4gB,YAAYykC,GAAYrpG,KAEhFN,KAAKyrG,eAAiBvyF,EACxBmwF,GAAmBrpG,KAAKskD,KAAM,WACrBylD,GAAkB/pG,KAAKskD,KAAMprC,EAAIA,IAAKA,EAAIitD,OAAQ7lE,EAAON,KAAKg9F,YACvE18F,EAAMmnF,iBACGznF,KAAKirG,SAEJpxG,EAAOg2F,QAAU7vF,KAAK0rG,YAAc1rG,KAAK0rG,UAAUrtD,KAAKsG,QAQxD9qD,EAAO01F,UAAYvvF,KAAKskD,KAAKtgD,MAAMi6C,qBAAqB,mBACvD/kC,EAAIA,KAAOlZ,KAAKskD,KAAKtgD,MAAMi6C,UAAU9yB,MAAQjS,EAAIA,KAAOlZ,KAAKskD,KAAKtgD,MAAMi6C,UAAUhnB,KAC7F6yE,GAAgB9pG,KAAKskD,KAAM,YAAU9D,KAAKxgD,KAAKskD,KAAKtgD,MAAM86C,IAAIziC,QAAQnD,EAAIA,MAAO,WACjF5Y,EAAMmnF,kBAEN4hB,GAAmBrpG,KAAKskD,KAAM,aAIlCinD,GAAUh0G,UAAUgnF,KAAO,SAAej+E,IACnCN,KAAKyrG,eAAiB30G,KAAKyhC,IAAIv4B,KAAKM,MAAMi+B,EAAIj+B,EAAM6kE,SAAW,GACzCruE,KAAKyhC,IAAIv4B,KAAKM,MAAMu9B,EAAIv9B,EAAM8kE,SAAW,KAChEplE,KAAKyrG,cAAe,GACxBpC,GAAmBrpG,KAAKskD,KAAM,YAGhC12B,GAASq+E,UAAY,SAAU3nD,GAC7BimD,GAAcjmD,GACd+kD,GAAmB/kD,EAAM,YAG3B12B,GAASs+E,YAAc,SAAU5nD,GAAQ,OAAOimD,GAAcjmD,IAsB9D,IAAI6nD,GAAqBtyG,EAAO61F,QAAU,KAAQ,EA8ClD,SAAS0c,GAAmB9nD,EAAM4pC,GAChC7gF,aAAai3C,EAAK+nD,kBACdne,GAAS,IAAK5pC,EAAK+nD,iBAAmBj/F,YAAW,WAAc,OAAOo9F,GAAelmD,KAAU4pC,IAGrG,SAASsc,GAAelmD,EAAMgoD,GAG5B,IAFAhoD,EAAK66C,YAAYkJ,aACjB/jD,EAAKmxB,WAAY,EACVnxB,EAAKm4C,iBAAiBtkG,OAAS,GAAKmsD,EAAKm4C,iBAAiB/lC,MAAMkiC,mBACvE,GAAI0T,GAAehoD,EAAKmvB,QAAQ+iB,MAAO,CACrC,IAAIzuC,EAAMk4C,GAAiB37C,GAG3B,OAFIyD,IAAQA,EAAIV,GAAG/C,EAAKtgD,MAAMi6C,WAAcqG,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAGqC,aAAawH,IAC9EzD,EAAKgqB,YAAYhqB,EAAKtgD,QACtB,EAET,OAAO,EA3DTolG,GAAamD,iBAAmBnD,GAAaoD,kBAAoB,SAAUloD,GACzE,IAAKA,EAAKmxB,UAAW,CACnBnxB,EAAK66C,YAAY4I,QACjB,IAAI/jG,EAAQsgD,EAAKtgD,MACb06C,EAAO16C,EAAMi6C,UAAUoB,MAC3B,GAAIr7C,EAAMi6C,UAAU5oB,QACfrxB,EAAMw/C,cAAiB9E,EAAKmS,YAAcnS,EAAKiC,cAAgBjC,EAAK4C,WAAWmC,MAAM9rC,MAAK,SAAUqK,GAAK,OAAiC,IAA1BA,EAAEnjB,KAAK8/C,KAAKoT,cAE/HzN,EAAKmoD,WAAanoD,EAAKtgD,MAAMw/C,aAAe9E,EAAK+E,QACjD+mD,GAAelmD,GAAM,GACrBA,EAAKmoD,WAAa,UAMlB,GAJAjC,GAAelmD,GAIXzqD,EAAOw1F,OAASrrF,EAAMi6C,UAAU5oB,OAASqpB,EAAKiC,eAAiBjC,EAAKmS,YAAcnS,EAAK4C,WAAWmC,MAAMtrD,OAE1G,IADA,IAAI4vD,EAAMzD,EAAK+uC,KAAKsC,eACXt3C,EAAO0J,EAAIguC,UAAWhnD,EAASgZ,EAAIkuC,YAAa53C,GAAyB,GAAjBA,EAAKkE,UAA2B,GAAVxT,GAAc,CACnG,IAAIgQ,EAAShQ,EAAS,EAAIsP,EAAKE,UAAYF,EAAKlqC,WAAW46B,EAAS,GACpE,IAAKgQ,EAAU,MACf,GAAuB,GAAnBA,EAAOwD,SAAe,CACxBwF,EAAIuwC,SAASv5C,EAAQA,EAAO8f,UAAU1mE,QACtC,MAEAkmD,EAAOU,EACPhQ,GAAU,EAKlBuV,EAAKmxB,WAAY,EAEnB22B,GAAmB9nD,EAAM6nD,KAG3B/C,GAAa5pB,eAAiB,SAAUl7B,EAAMhkD,GACxCgkD,EAAKmxB,YACPnxB,EAAKmxB,WAAY,EACjBnxB,EAAK0nD,mBAAqB1rG,EAAMyrG,UAChCK,GAAmB9nD,EAAM,MA8C7B,IAAIooD,GAAsB7yG,EAAOq1F,IAAMr1F,EAAOs1F,WAAa,IACpDt1F,EAAO41F,KAAO51F,EAAOk2F,eAAiB,IA0C7C,SAAS4c,GAAQroD,EAAMlmD,EAAMqI,EAAMkG,GACjC,IAAI1J,EAAQiiG,GAAmB5gD,EAAMlmD,EAAMqI,EAAM69C,EAAKw7C,SAAUx7C,EAAKtgD,MAAMi6C,UAAUoB,OACrF,IAAIiF,EAAKmtC,SAAS,eAAe,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAM33C,EAAG1J,GAAS,QAAMoyB,WAAepyB,EAAhG,CAEA,IAAI2pG,EAvBN,SAAyB3pG,GACvB,OAA0B,GAAnBA,EAAMysD,WAAmC,GAAjBzsD,EAAMyiD,SAA4C,GAA5BziD,EAAM6D,QAAQm4C,WAAkBh8C,EAAM6D,QAAQyN,WAAa,KAsB/Fs4F,CAAgB5pG,GAC7Bi7C,EAAK0uD,EAAatoD,EAAKtgD,MAAMk6C,GAAGsL,qBAAqBojD,EAAYtoD,EAAKw7C,UAAYx7C,EAAKtgD,MAAMk6C,GAAGqL,iBAAiBtmD,GACrHqhD,EAAKtG,SAASE,EAAGC,iBAAiByL,QAAQ,SAAS,GAAMA,QAAQ,UAAW,WA9C9Eh8B,GAASs0B,KAAOknD,GAAa37C,IAAM,SAAUnJ,EAAM33C,GACjD,IAAIo7C,EAAMzD,EAAKtgD,MAAMi6C,UAAWwP,EAAgB,OAAV9gD,EAAE9N,KACxC,IAAIkpD,EAAI1yB,MAAR,CAGA,IAAI9kB,EAAOm8F,GAAqB,KAAO//F,EAAEmgG,cAErC1tD,EAAM0lD,GAAsBxgD,EADpByD,EAAIjhD,WAEZ8yD,EAAMxa,EAAIwa,IACVx7D,EAAOghD,EAAIhhD,KACXmS,GACF5D,EAAE86E,iBACFl3E,EAAKw8F,YACLx8F,EAAKy8F,QAAQ,YAAapzC,EAAIsZ,WAC9B3iE,EAAKy8F,QAAQ,aAAc5uG,IAzC/B,SAAqBkmD,EAAMsV,GAGzB,GAAKtV,EAAKsV,IAAIxmD,WAAd,CACA,IAAI6uC,EAAOqC,EAAKsV,IAAIxmD,WAAWxL,YAAYjO,SAASqZ,cAAc,QAClEivC,EAAKr6C,YAAYgyD,GACjB3X,EAAKv6C,MAAMsM,QAAU,6CACrB,IAAI+zC,EAAM4tC,eAAgBp2C,EAAQ5lD,SAASg7E,cAC3Cp1B,EAAM0tD,mBAAmBrzC,GAIzBtV,EAAKsV,IAAI8X,OACT3pB,EAAIsuC,kBACJtuC,EAAIoI,SAAS5Q,GACbnyC,YAAW,WACL60C,EAAK7uC,YAAc6uC,EAAK7uC,WAAWC,YAAY4uC,GACnDqC,EAAKspB,UACJ,KAyBDs/B,CAAY5oD,EAAMsV,GAEhBnM,GAAOnJ,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAGH,kBAAkBI,iBAAiByL,QAAQ,UAAW,UA+B/Fw/C,GAAa+D,MAAQ,SAAU7oD,EAAM33C,GACnC,IAAI4D,EAAOm8F,GAAqB,KAAO//F,EAAEmgG,cACrCrmG,EAAO8J,GAAQA,EAAK68F,QAAQ,aAAchvG,EAAOmS,GAAQA,EAAK68F,QAAQ,cACtE78F,IAAS9J,GAAQrI,GAAQmS,EAAKg3E,MAAMpvF,SACtCw0G,GAAQroD,EAAMlmD,EAAMqI,EAAMkG,GAC1BA,EAAE86E,kBA7BN,SAAsBnjC,EAAM33C,GAC1B,GAAK23C,EAAKsV,IAAIxmD,WAAd,CACA,IAAI+xF,EAAY7gD,EAAKw7C,UAAYx7C,EAAKtgD,MAAMi6C,UAAUoB,MAAMl9C,OAAOtD,KAAK8/C,KAAK5/B,KACzE7iB,EAASooD,EAAKsV,IAAIxmD,WAAWxL,YAAYjO,SAASqZ,cAAcmyF,EAAY,WAAa,QACxFA,IAAajpG,EAAOq0F,gBAAkB,QAC3Cr0F,EAAOwL,MAAMsM,QAAU,6CACvB9X,EAAO0xE,QACPxgE,YAAW,WACTk3C,EAAKspB,QACD1xE,EAAOkX,YAAclX,EAAOkX,WAAWC,YAAYnX,GACnDipG,EAAawH,GAAQroD,EAAMpoD,EAAOZ,MAAO,KAAMqR,GAC5CggG,GAAQroD,EAAMpoD,EAAO22D,YAAa32D,EAAOg3E,UAAWvmE,KAC1D,KAmBD0gG,CAAa/oD,EAAM33C,IAIvB,IAAI2gG,GAAW,SAAkBrqG,EAAOs7E,GACtCv+E,KAAKiD,MAAQA,EACbjD,KAAKu+E,KAAOA,GAGVgvB,GAAmB1zG,EAAOo1F,IAAM,SAAW,UA2H/C,IAAK,IAAI5vE,MAzHTuO,GAAS4/E,UAAY,SAAUlpD,EAAM33C,GACnC,IAAI2+F,EAAYhnD,EAAKgnD,UAErB,GADIA,GAAaA,EAAUv2F,OACtBpI,EAAE26E,aAAP,CAEA,IAAIv/B,EAAMzD,EAAKtgD,MAAMi6C,UACjB/kC,EAAM6uC,EAAI1yB,MAAQ,KAAOivB,EAAK4gB,YAAYykC,GAAYh9F,IAC1D,GAAIuM,GAAOA,EAAIA,KAAO6uC,EAAI58B,MAAQjS,EAAIA,MAAQ6uC,aAAe,gBAAgBA,EAAI9wB,GAAK,EAAG8wB,EAAI9wB,UAAY,GAAIq0E,GAAaA,EAAUI,UAClIpnD,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAGqC,aAAa,gBAAcr7C,OAAOo/C,EAAKtgD,MAAM86C,IAAKwsD,EAAUI,UAAUxyF,YAC7F,GAAIvM,EAAEzQ,QAA+B,GAArByQ,EAAEzQ,OAAOqmD,SAAe,CAC7C,IAAIyH,EAAO1F,EAAKmvB,QAAQwgB,YAAYtnF,EAAEzQ,QAAQ,GAC9C,IAAK8tD,IAASA,EAAK3L,KAAKx/C,KAAK8/C,KAAK6sB,WAAaxhB,GAAQ1F,EAAKmvB,QAAW,OACvEnvB,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAGqC,aAAa,gBAAcr7C,OAAOo/C,EAAKtgD,MAAM86C,IAAKkL,EAAKkqC,aAErF,IAAIjxF,EAAQqhD,EAAKtgD,MAAMi6C,UAAUn3C,UAC7Bs4C,EAAM0lD,GAAsBxgD,EAAMrhD,GAClC22D,EAAMxa,EAAIwa,IACVx7D,EAAOghD,EAAIhhD,KACfuO,EAAE26E,aAAaylB,YACfpgG,EAAE26E,aAAa0lB,QAAQN,GAAqB,OAAS,YAAa9yC,EAAIsZ,WACjEw5B,IAAsB//F,EAAE26E,aAAa0lB,QAAQ,aAAc5uG,GAChEkmD,EAAK+gB,SAAW,IAAIioC,GAASrqG,GAAQ0J,EAAE4gG,OAGzC3/E,GAAS03C,QAAU,SAAUhhB,GAC3BrtD,OAAOmW,YAAW,WAAc,OAAOk3C,EAAK+gB,SAAW,OAAS,KAGlE+jC,GAAapkC,SAAWokC,GAAaqE,UAAY,SAAU3jD,EAAGn9C,GAAK,OAAOA,EAAE86E,kBAE5E2hB,GAAa7jC,KAAO,SAAUjhB,EAAM33C,GAClC,IAAI04D,EAAW/gB,EAAK+gB,SAGpB,GAFA/gB,EAAK+gB,SAAW,KAEX14D,EAAE26E,aAAP,CAEA,IAAIomB,EAAWppD,EAAK4gB,YAAYykC,GAAYh9F,IAC5C,GAAK+gG,EAAL,CACA,IAAIC,EAASrpD,EAAKtgD,MAAM86C,IAAIziC,QAAQqxF,EAASx0F,KAC7C,GAAKy0F,EAAL,CACA,IAAI1qG,EAAQoiE,GAAYA,EAASpiE,OAC7BiiG,GAAmB5gD,EAAM33C,EAAE26E,aAAa8lB,QAAQV,GAAqB,OAAS,cAC3DA,GAAqB,KAAO//F,EAAE26E,aAAa8lB,QAAQ,cAAc,EAAOO,GAC/F,GAAIrpD,EAAKmtC,SAAS,cAAc,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAM33C,EAAG1J,GAAS,QAAMoyB,MAAOgwC,GAAYA,EAASkZ,SAC1G5xE,EAAE86E,sBAGJ,GAAKxkF,EAAL,CAEA0J,EAAE86E,iBACF,IAAI/M,EAAYz3E,EAAQ,YAAUqhD,EAAKtgD,MAAM86C,IAAK6uD,EAAOz0F,IAAKjW,GAAS0qG,EAAOz0F,IAC7D,MAAbwhE,IAAqBA,EAAYizB,EAAOz0F,KAE5C,IAAIglC,EAAKoG,EAAKtgD,MAAMk6C,GAChBmnB,GAAYA,EAASkZ,MAAQrgC,EAAGH,kBAEpC,IAAI7kC,EAAMglC,EAAG8C,QAAQnxC,IAAI6qE,GACrB5Q,EAA4B,GAAnB7mE,EAAMysD,WAAmC,GAAjBzsD,EAAMyiD,SAA4C,GAA5BziD,EAAM6D,QAAQm4C,WACrE2uD,EAAe1vD,EAAGY,IAKtB,GAJIgrB,EACA5rB,EAAG8H,iBAAiB9sC,EAAKA,EAAKjW,EAAM6D,QAAQyN,YAE5C2pC,EAAG2H,aAAa3sC,EAAKA,EAAKjW,IAC1Bi7C,EAAGY,IAAIuI,GAAGumD,GAAd,CAEA,IAAIlvD,EAAOR,EAAGY,IAAIziC,QAAQnD,GACtB4wD,GAAU,gBAAcrlB,aAAaxhD,EAAM6D,QAAQyN,aACnDmqC,EAAK6C,WAAa7C,EAAK6C,UAAUgM,WAAWtqD,EAAM6D,QAAQyN,YAC1D2pC,EAAGqC,aAAa,IAAI,gBAAc7B,IAElCR,EAAGqC,aAAa8/C,GAAiB/7C,EAAM5F,EAAMR,EAAGY,IAAIziC,QAAQ6hC,EAAG8C,QAAQnxC,IAAI6qE,MAC/Ep2B,EAAKspB,QACLtpB,EAAKtG,SAASE,EAAG0L,QAAQ,UAAW,eAGtCh8B,GAASggD,MAAQ,SAAUtpB,GACpBA,EAAK+rB,UACR/rB,EAAK66C,YAAYxmF,OACjB2rC,EAAKsV,IAAIm/B,UAAUz2F,IAAI,uBACvBgiD,EAAK66C,YAAYnyC,QACjB1I,EAAK+rB,SAAU,EACfjjE,YAAW,WACLk3C,EAAKmvB,SAAWnvB,EAAKk8C,aAAel8C,EAAK66C,YAAY6I,iBAAiB3gD,GAAG/C,EAAK+uC,KAAKsC,iBACnF2K,GAAeh8C,KAClB,MAIP12B,GAAS8jD,KAAO,SAAUptB,GACpBA,EAAK+rB,UACP/rB,EAAK66C,YAAYxmF,OACjB2rC,EAAKsV,IAAIm/B,UAAU7lF,OAAO,uBAC1BoxC,EAAK66C,YAAYnyC,QACjB1I,EAAK66C,YAAY6I,iBAAiB9kG,IAAI,IACtCohD,EAAK+rB,SAAU,IAInBziD,GAASigF,YAAc,SAAUvpD,EAAMhkD,GAMrC,GAAIzG,EAAO01F,QAAU11F,EAAO61F,SAA8B,yBAAnBpvF,EAAMwtG,UAAsC,CACjF,IAAItK,EAAiBl/C,EAAKk/C,eAC1Bp2F,YAAW,WACT,GAAIk3C,EAAKk/C,gBAAkBA,IAE3Bl/C,EAAKsV,IAAI8X,OACTptB,EAAKspB,SACDtpB,EAAKmtC,SAAS,iBAAiB,SAAUv2F,GAAK,OAAOA,EAAEopD,EAAMysC,EAAS,EAAG,kBAA7E,CACA,IACI/tC,EADMsB,EAAKtgD,MAAMi6C,UACH+E,QAEdA,GAAWA,EAAQ9pC,IAAM,GAAKorC,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAGuD,OAAOuB,EAAQ9pC,IAAM,EAAG8pC,EAAQ9pC,KAAKilC,qBAClG,MAKUirD,GAAgBx7E,GAASvO,IAAQ+pF,GAAa/pF,IAE/D,SAAS0uF,GAAY7zG,EAAGC,GACtB,GAAID,GAAKC,EAAK,OAAO,EACrB,IAAK,IAAIusB,KAAKxsB,EAAK,GAAIA,EAAEwsB,KAAOvsB,EAAEusB,GAAM,OAAO,EAC/C,IAAK,IAAIuoC,KAAO90D,EAAK,KAAM80D,KAAO/0D,GAAM,OAAO,EAC/C,OAAO,EAGT,IAAI8zG,GAAa,SAAoBrsC,EAAOhjB,GAC1C3+C,KAAK2+C,KAAOA,GAAQsvD,GACpBjuG,KAAKs+C,KAAOt+C,KAAK2+C,KAAKL,MAAQ,EAC9Bt+C,KAAK2hE,MAAQA,GAGfqsC,GAAWz2G,UAAUsY,IAAM,SAAcmxC,EAASktD,EAAMn/D,EAAQo/D,GAC9D,IAAI/uD,EAAM4B,EAAQ0G,UAAUwmD,EAAK/iF,KAAOgjF,EAAWnuG,KAAKs+C,KAAO,GAAK,EAAI,GAClEplC,EAAMkmC,EAAIlmC,IAEhB,OADgBkmC,EAAIuI,QACH,KAAO,IAAIymD,GAAWl1F,EAAM61B,EAAQ71B,EAAM61B,EAAQ/uC,OAGrEguG,GAAWz2G,UAAUouE,MAAQ,WAAoB,OAAO,GAExDqoC,GAAWz2G,UAAU8vD,GAAK,SAAa1kC,GACrC,OAAO3iB,MAAQ2iB,GACZA,aAAiBqrF,KAChBhuG,KAAK2+C,KAAKvmD,KAAO4H,KAAK2+C,KAAKvmD,KAAOuqB,EAAMg8B,KAAKvmD,KAC7C4H,KAAK2hE,OAASh/C,EAAMg/C,OAASosC,GAAY/tG,KAAK2+C,KAAMh8B,EAAMg8B,QAGhE,IAAI0vD,GAAa,SAAoB7rD,EAAO7D,GAC1C3+C,KAAK2+C,KAAOA,GAAQsvD,GACpBjuG,KAAKwiD,MAAQA,GAGf6rD,GAAW92G,UAAUsY,IAAM,SAAcmxC,EAASktD,EAAMn/D,EAAQo/D,GAC9D,IAAIhjF,EAAO61B,EAAQnxC,IAAIq+F,EAAK/iF,KAAOgjF,EAAWnuG,KAAK2+C,KAAK2vD,gBAAkB,EAAI,GAAKv/D,EAC/E9X,EAAK+pB,EAAQnxC,IAAIq+F,EAAKj3E,GAAKk3E,EAAWnuG,KAAK2+C,KAAK4vD,aAAe,GAAK,GAAKx/D,EAC7E,OAAO5jB,GAAQ8L,EAAK,KAAO,IAAIm3E,GAAWjjF,EAAM8L,EAAIj3B,OAGtDquG,GAAW92G,UAAUouE,MAAQ,SAAgB7b,EAAGokD,GAAQ,OAAOA,EAAK/iF,KAAO+iF,EAAKj3E,IAEhFo3E,GAAW92G,UAAU8vD,GAAK,SAAa1kC,GACrC,OAAO3iB,MAAQ2iB,GACZA,aAAiB0rF,IAAcN,GAAY/tG,KAAKwiD,MAAO7/B,EAAM6/B,QAC7DurD,GAAY/tG,KAAK2+C,KAAMh8B,EAAMg8B,OAGlC0vD,GAAWG,GAAK,SAAaN,GAAQ,OAAOA,EAAKrvG,gBAAgBwvG,IAEjE,IAAIt2C,GAAW,SAAkBvV,EAAO7D,GACtC3+C,KAAK2+C,KAAOA,GAAQsvD,GACpBjuG,KAAKwiD,MAAQA,GAGfuV,GAASxgE,UAAUsY,IAAM,SAAcmxC,EAASktD,EAAMn/D,EAAQo/D,GAC5D,IAAIhjF,EAAO61B,EAAQ0G,UAAUwmD,EAAK/iF,KAAOgjF,EAAW,GACpD,GAAIhjF,EAAKw8B,QAAW,OAAO,KAC3B,IAAI1wB,EAAK+pB,EAAQ0G,UAAUwmD,EAAKj3E,GAAKk3E,GAAY,GACjD,OAAIl3E,EAAG0wB,SAAW1wB,EAAG/d,KAAOiS,EAAKjS,IAAc,KACxC,IAAIk1F,GAAWjjF,EAAKjS,IAAM61B,EAAQ9X,EAAG/d,IAAM61B,EAAQ/uC,OAG5D+3D,GAASxgE,UAAUouE,MAAQ,SAAgBtnB,EAAM6vD,GAC/C,IAAI9uD,EAAMf,EAAKv3C,QAAQgR,UAAUo2F,EAAK/iF,MAChC1e,EAAQ2yC,EAAI3yC,MACZsiC,EAASqQ,EAAIrQ,OACnB,OAAOA,GAAUm/D,EAAK/iF,MAAQ4jB,EAASsP,EAAK4J,MAAMx7C,GAAOi1C,UAAYwsD,EAAKj3E,IAG5E8gC,GAASxgE,UAAU8vD,GAAK,SAAa1kC,GACnC,OAAO3iB,MAAQ2iB,GACZA,aAAiBo1C,IAAYg2C,GAAY/tG,KAAKwiD,MAAO7/B,EAAM6/B,QAC3DurD,GAAY/tG,KAAK2+C,KAAMh8B,EAAMg8B,OAMlC,IAAIyvD,GAAa,SAAoBjjF,EAAM8L,EAAIp4B,GAG7CmB,KAAKmrB,KAAOA,EAIZnrB,KAAKi3B,GAAKA,EACVj3B,KAAKnB,KAAOA,GAGVqoD,GAAuB,CAAEvI,KAAM,CAAEj6C,cAAc,IAEnD0pG,GAAW72G,UAAU2qD,KAAO,SAAe/2B,EAAM8L,GAC/C,OAAO,IAAIm3E,GAAWjjF,EAAM8L,EAAIj3B,KAAKnB,OAGvCuvG,GAAW72G,UAAU8vD,GAAK,SAAa1kC,EAAOosB,GAG5C,YAFkB,IAAXA,IAAoBA,EAAS,GAE7B/uC,KAAKnB,KAAKwoD,GAAG1kC,EAAM9jB,OAASmB,KAAKmrB,KAAO4jB,GAAUpsB,EAAMwI,MAAQnrB,KAAKi3B,GAAK8X,GAAUpsB,EAAMsU,IAGnGm3E,GAAW72G,UAAUsY,IAAM,SAAcmxC,EAASjS,EAAQo/D,GACxD,OAAOnuG,KAAKnB,KAAKgR,IAAImxC,EAAShhD,KAAM+uC,EAAQo/D,IAoD9CC,GAAWxnC,OAAS,SAAiB1tD,EAAKyoD,EAAOhjB,GAC/C,OAAO,IAAIyvD,GAAWl1F,EAAKA,EAAK,IAAI80F,GAAWrsC,EAAOhjB,KAoBxDyvD,GAAW33C,OAAS,SAAiBtrC,EAAM8L,EAAIurB,EAAO7D,GACpD,OAAO,IAAIyvD,GAAWjjF,EAAM8L,EAAI,IAAIo3E,GAAW7rD,EAAO7D,KAYxDyvD,GAAW/vD,KAAO,SAAelzB,EAAM8L,EAAIurB,EAAO7D,GAChD,OAAO,IAAIyvD,GAAWjjF,EAAM8L,EAAI,IAAI8gC,GAASvV,EAAO7D,KAMtDuI,GAAqBvI,KAAK/jD,IAAM,WAAc,OAAOoF,KAAKnB,KAAK8/C,MAE/DrnD,OAAOiP,iBAAkB6nG,GAAW72G,UAAW2vD,IAkB/C,IAAIwC,GAAO,GAAIukD,GAAS,GAMpBQ,GAAgB,SAAuB7+D,EAAOs2C,GAChDlmF,KAAK4vC,MAAQA,GAASA,EAAMz3C,OAASy3C,EAAQ8Z,GAC7C1pD,KAAKkmF,SAAWA,GAAYA,EAAS/tF,OAAS+tF,EAAWx8B,IAM3D+kD,GAAcvpG,OAAS,SAAiB45C,EAAK8qB,GAC3C,OAAOA,EAAYzxE,OAASu2G,GAAU9kC,EAAa9qB,EAAK,EAAGmvD,IAAU54E,IAUvEo5E,GAAcl3G,UAAUsgB,KAAO,SAAem1C,EAAOhL,EAAK2sD,GACxD,IAAI90G,EAAS,GAEb,OADAmG,KAAK4uG,UAAmB,MAAT5hD,EAAgB,EAAIA,EAAc,MAAPhL,EAAc,IAAMA,EAAKnoD,EAAQ,EAAG80G,GACvE90G,GAGT40G,GAAcl3G,UAAUq3G,UAAY,SAAoB5hD,EAAOhL,EAAKnoD,EAAQk1C,EAAQ4/D,GAClF,IAAK,IAAI12G,EAAI,EAAGA,EAAI+H,KAAK4vC,MAAMz3C,OAAQF,IAAK,CAC1C,IAAIi2G,EAAOluG,KAAK4vC,MAAM33C,GAClBi2G,EAAK/iF,MAAQ62B,GAAOksD,EAAKj3E,IAAM+1B,KAAW2hD,GAAaA,EAAUT,EAAKvvD,QACtE9kD,EAAO0U,KAAK2/F,EAAKhsD,KAAKgsD,EAAK/iF,KAAO4jB,EAAQm/D,EAAKj3E,GAAK8X,IAE1D,IAAK,IAAI+U,EAAM,EAAGA,EAAM9jD,KAAKkmF,SAAS/tF,OAAQ2rD,GAAO,EACnD,GAAI9jD,KAAKkmF,SAASpiC,GAAO9B,GAAOhiD,KAAKkmF,SAASpiC,EAAM,GAAKkJ,EAAO,CAC9D,IAAI6hD,EAAW7uG,KAAKkmF,SAASpiC,GAAO,EACpC9jD,KAAKkmF,SAASpiC,EAAM,GAAG8qD,UAAU5hD,EAAQ6hD,EAAU7sD,EAAM6sD,EAAUh1G,EAAQk1C,EAAS8/D,EAAUF,KAepGF,GAAcl3G,UAAUsY,IAAM,SAAcmxC,EAASlC,EAAK9iD,GACxD,OAAIgE,MAAQq1B,IAAgC,GAAvB2rB,EAAQmH,KAAKhwD,OAAsB6H,KACjDA,KAAK8uG,SAAS9tD,EAASlC,EAAK,EAAG,EAAG9iD,GAAWiyG,KAGtDQ,GAAcl3G,UAAUu3G,SAAW,SAAmB9tD,EAAS3C,EAAMtP,EAAQo/D,EAAWnyG,GAEtF,IADA,IAAI+yG,EACK92G,EAAI,EAAGA,EAAI+H,KAAK4vC,MAAMz3C,OAAQF,IAAK,CAC1C,IAAI2iF,EAAS56E,KAAK4vC,MAAM33C,GAAG4X,IAAImxC,EAASjS,EAAQo/D,GAC5CvzB,GAAUA,EAAO/7E,KAAK8mE,MAAMtnB,EAAMu8B,IAAYm0B,IAAaA,EAAW,KAAKxgG,KAAKqsE,GAC3E5+E,EAAQgzG,UAAYhzG,EAAQgzG,SAAShvG,KAAK4vC,MAAM33C,GAAG0mD,MAG9D,OAAI3+C,KAAKkmF,SAAS/tF,OAiMpB,SAAqB82G,EAAaF,EAAU/tD,EAAS3C,EAAMtP,EAAQo/D,EAAWnyG,GAiB5E,IAhBA,IAAIkqF,EAAW+oB,EAAYhsG,QAIvByqB,EAAQ,SAAUqpD,EAAUm4B,EAAQl4B,EAAUm4B,GAChD,IAAK,IAAIl3G,EAAI,EAAGA,EAAIiuF,EAAS/tF,OAAQF,GAAK,EAAG,CAC3C,IAAI+pD,EAAMkkC,EAASjuF,EAAI,GAAIm3G,OAAQ,GACvB,GAARptD,GAAa+0B,EAAW/0B,EAAMmsD,IAC9Be,GAAUhpB,EAASjuF,GAAKk2G,EAC1BjoB,EAASjuF,EAAI,IAAM,EACV++E,GAAYjoC,IAAWqgE,EAASD,EAASn4B,GAAak4B,EAASn4B,MACxEmP,EAASjuF,IAAMm3G,EACflpB,EAASjuF,EAAI,IAAMm3G,MAIhBn3G,EAAI,EAAGA,EAAI+oD,EAAQmH,KAAKhwD,OAAQF,IAAO+oD,EAAQmH,KAAKlwD,GAAGH,QAAQ41B,GAKxE,IADA,IAAI2hF,GAAc,EACTvrD,EAAM,EAAGA,EAAMoiC,EAAS/tF,OAAQ2rD,GAAO,EAAK,IAA0B,GAAtBoiC,EAASpiC,EAAM,GAAU,CAChF,IAAI34B,EAAO61B,EAAQnxC,IAAIq2E,EAASpiC,GAAOqqD,GAAYmB,EAAYnkF,EAAO4jB,EACtE,GAAIugE,EAAY,GAAKA,GAAajxD,EAAKv3C,QAAQyS,KAAM,CACnD81F,GAAc,EACd,SAGF,IAA4DE,EAAnDvuD,EAAQnxC,IAAIo/F,EAAYnrD,EAAM,GAAKqqD,GAAY,GAAmBp/D,EACvEqQ,EAAMf,EAAKv3C,QAAQgR,UAAUw3F,GAC7B7iG,EAAQ2yC,EAAI3yC,MACZ+iG,EAAcpwD,EAAIrQ,OAClB0gE,EAAYpxD,EAAKwP,WAAWphD,GAChC,GAAIgjG,GAAaD,GAAeF,GAAaE,EAAcC,EAAU/tD,UAAY6tD,EAAS,CACxF,IAAI30B,EAASsL,EAASpiC,EAAM,GAAGgrD,SAAS9tD,EAASyuD,EAAWtkF,EAAO,EAAG+6D,EAASpiC,GAAOqqD,EAAY,EAAGnyG,GACjG4+E,GAAUvlD,IACZ6wD,EAASpiC,GAAOwrD,EAChBppB,EAASpiC,EAAM,GAAKyrD,EACpBrpB,EAASpiC,EAAM,GAAK82B,IAEpBsL,EAASpiC,EAAM,IAAM,EACrBurD,GAAc,QAGhBA,GAAc,EAKlB,GAAIA,EAAa,CACf,IAEI53C,EAAQi3C,GA0BhB,SAA0CxoB,EAAU+oB,EAAarlC,EAAa5oB,EAASjS,EAAQo/D,EAAWnyG,GAExG,SAAS0zG,EAAOxsG,EAAKirG,GACnB,IAAK,IAAIl2G,EAAI,EAAGA,EAAIiL,EAAI0sC,MAAMz3C,OAAQF,IAAK,CACzC,IAAI2iF,EAAS13E,EAAI0sC,MAAM33C,GAAG4X,IAAImxC,EAASjS,EAAQo/D,GAC3CvzB,EAAUhR,EAAYr7D,KAAKqsE,GACtB5+E,EAAQgzG,UAAYhzG,EAAQgzG,SAAS9rG,EAAI0sC,MAAM33C,GAAG0mD,MAE7D,IAAK,IAAImF,EAAM,EAAGA,EAAM5gD,EAAIgjF,SAAS/tF,OAAQ2rD,GAAO,EAChD4rD,EAAOxsG,EAAIgjF,SAASpiC,EAAM,GAAI5gD,EAAIgjF,SAASpiC,GAAOqqD,EAAY,GAEpE,IAAK,IAAIl2G,EAAI,EAAGA,EAAIiuF,EAAS/tF,OAAQF,GAAK,GAA6B,GAApBiuF,EAASjuF,EAAI,IAC5Dy3G,EAAOxpB,EAASjuF,EAAI,GAAIg3G,EAAYh3G,GAAKk2G,EAAY,GAEzD,OAAOvkC,EA1Ca+lC,CAAiCzpB,EAAU+oB,EAAaF,GAAY,GAAI/tD,EACvCjS,EAAQo/D,EAAWnyG,GACnCqiD,EAAM,EAAGriD,GAC5C+yG,EAAWt3C,EAAM7nB,MACjB,IAAK,IAAI2lB,EAAM,EAAGA,EAAM2wB,EAAS/tF,OAAQo9D,GAAO,EAAS2wB,EAAS3wB,EAAM,GAAK,IAC3E2wB,EAASl6B,OAAOuJ,EAAK,GACrBA,GAAO,GAET,IAAK,IAAI0lC,EAAM,EAAGroF,EAAI,EAAGqoF,EAAMxjC,EAAMyuB,SAAS/tF,OAAQ8iG,GAAO,EAAG,CAE9D,IADA,IAAI2U,EAASn4C,EAAMyuB,SAAS+U,GACrBroF,EAAIszE,EAAS/tF,QAAU+tF,EAAStzE,GAAKg9F,GAAUh9F,GAAK,EAC3DszE,EAASl6B,OAAOp5C,EAAG,EAAG6kD,EAAMyuB,SAAS+U,GAAMxjC,EAAMyuB,SAAS+U,EAAM,GAAIxjC,EAAMyuB,SAAS+U,EAAM,KAI7F,OAAO,IAAIwT,GAAcM,GAAYA,EAAS3uE,KAAKyvE,IAAQ3pB,GAlQhD4pB,CAAY9vG,KAAKkmF,SAAU6oB,EAAU/tD,EAAS3C,EAAMtP,EAAQo/D,EAAWnyG,GAEvE+yG,EAAW,IAAIN,GAAcM,EAAS3uE,KAAKyvE,KAAUx6E,IAOlEo5E,GAAcl3G,UAAU+K,IAAM,SAAcw8C,EAAK8qB,GAC/C,OAAKA,EAAYzxE,OACb6H,MAAQq1B,GAAgBo5E,GAAcvpG,OAAO45C,EAAK8qB,GAC/C5pE,KAAK+vG,SAASjxD,EAAK8qB,EAAa,GAFL5pE,MAKpCyuG,GAAcl3G,UAAUw4G,SAAW,SAAmBjxD,EAAK8qB,EAAa76B,GACpE,IAEEm3C,EAFE37B,EAASvqD,KAEDgzF,EAAa,EAC3Bl0C,EAAIhnD,SAAQ,SAAU23G,EAAWD,GAC/B,IAAuCnpD,EAAnC2pD,EAAaR,EAAczgE,EAC/B,GAAMsX,EAAQ4pD,GAAiBrmC,EAAa6lC,EAAWO,GAAvD,CAGA,IADK9pB,IAAYA,EAAW37B,EAAO27B,SAASjjF,SACrC+vF,EAAa9M,EAAS/tF,QAAU+tF,EAAS8M,GAAcwc,GAAexc,GAAc,EACvF9M,EAAS8M,IAAewc,EACxBtpB,EAAS8M,EAAa,GAAK9M,EAAS8M,EAAa,GAAG+c,SAASN,EAAWppD,EAAO2pD,EAAa,GAE5F9pB,EAASl6B,OAAOgnC,EAAY,EAAGwc,EAAaA,EAAcC,EAAU/tD,SAAUgtD,GAAUroD,EAAOopD,EAAWO,EAAa,EAAG/B,KAC9Hjb,GAAc,MAGhB,IAAIpjD,EAAQsgE,GAAUld,EAAamd,GAAavmC,GAAeA,GAAc76B,GAC7E,OAAO,IAAI0/D,GAAc7+D,EAAMz3C,OAAS6H,KAAK4vC,MAAM5sC,OAAO4sC,GAAOxP,KAAKyvE,IAAS7vG,KAAK4vC,MAC3Ds2C,GAAYlmF,KAAKkmF,WAM5CuoB,GAAcl3G,UAAU2b,OAAS,SAAiB02D,GAChD,OAA0B,GAAtBA,EAAYzxE,QAAe6H,MAAQq1B,GAAgBr1B,KAChDA,KAAKowG,YAAYxmC,EAAa,IAGvC6kC,GAAcl3G,UAAU64G,YAAc,SAAsBxmC,EAAa76B,GAEvE,IADA,IAAIm3C,EAAWlmF,KAAKkmF,SAAUt2C,EAAQ5vC,KAAK4vC,MAClC33C,EAAI,EAAGA,EAAIiuF,EAAS/tF,OAAQF,GAAK,EAAG,CAE3C,IADA,IAAIouD,OAAQ,EAAUl7B,EAAO+6D,EAASjuF,GAAK82C,EAAQ9X,EAAKivD,EAASjuF,EAAI,GAAK82C,EACjEn8B,EAAI,EAAGs7F,OAAO,EAAUt7F,EAAIg3D,EAAYzxE,OAAQya,KAAWs7F,EAAOtkC,EAAYh3D,KACjFs7F,EAAK/iF,KAAOA,GAAQ+iF,EAAKj3E,GAAKA,IAChC2yC,EAAYh3D,GAAK,MACfyzC,IAAUA,EAAQ,KAAK93C,KAAK2/F,IAGlC,GAAK7nD,EAAL,CACI6/B,GAAYlmF,KAAKkmF,WAAYA,EAAWlmF,KAAKkmF,SAASjjF,SAC1D,IAAI8wB,EAAUmyD,EAASjuF,EAAI,GAAGm4G,YAAY/pD,EAAOl7B,EAAO,GACpD4I,GAAWsB,GACb6wD,EAASjuF,EAAI,GAAK87B,GAElBmyD,EAASl6B,OAAO/zD,EAAG,GACnBA,GAAK,IAGT,GAAI23C,EAAMz3C,OAAU,IAAK,IAAI2rD,EAAM,EAAGusD,OAAS,EAAUvsD,EAAM8lB,EAAYzxE,OAAQ2rD,IAAS,GAAIusD,EAASzmC,EAAY9lB,GACnH,IAAK,IAAIi0C,EAAM,EAAGA,EAAMnoD,EAAMz3C,OAAQ4/F,IAAanoD,EAAMmoD,GAAK1wC,GAAGgpD,EAAQthE,KACnEa,GAAS5vC,KAAK4vC,QAASA,EAAQ5vC,KAAK4vC,MAAM3sC,SAC9C2sC,EAAMoc,OAAO+rC,IAAO,IAGxB,OAAI7R,GAAYlmF,KAAKkmF,UAAYt2C,GAAS5vC,KAAK4vC,MAAgB5vC,KACxD4vC,EAAMz3C,QAAU+tF,EAAS/tF,OAAS,IAAIs2G,GAAc7+D,EAAOs2C,GAAY7wD,IAGhFo5E,GAAcl3G,UAAUkjG,SAAW,SAAmB1rD,EAAQsP,GAC5D,GAAIr+C,MAAQq1B,GAAS,OAAOr1B,KAC5B,GAAIq+C,EAAKiP,OAAU,OAAOmhD,GAAcp5E,MAGxC,IADA,IAAI4yB,EAAOrY,EACF33C,EAAI,EAAGA,EAAI+H,KAAKkmF,SAAS/tF,OAAQF,GAAK,EAAK,GAAI+H,KAAKkmF,SAASjuF,IAAM82C,EAAQ,CAC9E/uC,KAAKkmF,SAASjuF,IAAM82C,IAAUkZ,EAAQjoD,KAAKkmF,SAASjuF,EAAI,IAC5D,MAGF,IADA,IAAI+0D,EAAQje,EAAS,EAAGiT,EAAMgL,EAAQ3O,EAAKv3C,QAAQyS,KAC1CuqC,EAAM,EAAGA,EAAM9jD,KAAK4vC,MAAMz3C,OAAQ2rD,IAAO,CAChD,IAAIwsD,EAAMtwG,KAAK4vC,MAAMkU,GACrB,GAAIwsD,EAAInlF,KAAO62B,GAAOsuD,EAAIr5E,GAAK+1B,GAAUsjD,EAAIzxG,gBAAgBwvG,GAAa,CACxE,IAAIljF,EAAOr0B,KAAKkwB,IAAIgmC,EAAOsjD,EAAInlF,MAAQ6hC,EAAO/1B,EAAKngC,KAAKkK,IAAIghD,EAAKsuD,EAAIr5E,IAAM+1B,EACvE7hC,EAAO8L,IAAO2Y,IAAUA,EAAQ,KAAKrhC,KAAK+hG,EAAIpuD,KAAK/2B,EAAM8L,KAGjE,GAAI2Y,EAAO,CACT,IAAI2gE,EAAW,IAAI9B,GAAc7+D,EAAMxP,KAAKyvE,KAC5C,OAAO5nD,EAAQ,IAAIuoD,GAAgB,CAACD,EAAUtoD,IAAUsoD,EAE1D,OAAOtoD,GAAS5yB,IAGlBo5E,GAAcl3G,UAAU8vD,GAAK,SAAa1kC,GACxC,GAAI3iB,MAAQ2iB,EAAS,OAAO,EAC5B,KAAMA,aAAiB8rF,KACnBzuG,KAAK4vC,MAAMz3C,QAAUwqB,EAAMitB,MAAMz3C,QACjC6H,KAAKkmF,SAAS/tF,QAAUwqB,EAAMujE,SAAS/tF,OAAU,OAAO,EAC5D,IAAK,IAAIF,EAAI,EAAGA,EAAI+H,KAAK4vC,MAAMz3C,OAAQF,IACnC,IAAK+H,KAAK4vC,MAAM33C,GAAGovD,GAAG1kC,EAAMitB,MAAM33C,IAAO,OAAO,EACpD,IAAK,IAAI6rD,EAAM,EAAGA,EAAM9jD,KAAKkmF,SAAS/tF,OAAQ2rD,GAAO,EACjD,GAAI9jD,KAAKkmF,SAASpiC,IAAQnhC,EAAMujE,SAASpiC,IACvC9jD,KAAKkmF,SAASpiC,EAAM,IAAMnhC,EAAMujE,SAASpiC,EAAM,KAC9C9jD,KAAKkmF,SAASpiC,EAAM,GAAGuD,GAAG1kC,EAAMujE,SAASpiC,EAAM,IAAO,OAAO,EACpE,OAAO,GAGT2qD,GAAcl3G,UAAUmpF,OAAS,SAAiBriC,GAChD,OAAOoyD,GAAczwG,KAAK0wG,YAAYryD,KAGxCowD,GAAcl3G,UAAUm5G,YAAc,SAAsBryD,GAC1D,GAAIr+C,MAAQq1B,GAAS,OAAOq0B,GAC5B,GAAIrL,EAAK+E,gBAAkBpjD,KAAK4vC,MAAMj4B,KAAK02F,GAAWG,IAAO,OAAOxuG,KAAK4vC,MAEzE,IADA,IAAI/1C,EAAS,GACJ5B,EAAI,EAAGA,EAAI+H,KAAK4vC,MAAMz3C,OAAQF,IAC/B+H,KAAK4vC,MAAM33C,GAAG4G,gBAAgBwvG,IAChCx0G,EAAO0U,KAAKvO,KAAK4vC,MAAM33C,IAE7B,OAAO4B,GAGT,IAAIw7B,GAAQ,IAAIo5E,GAIhBA,GAAcp5E,MAAQA,GAEtBo5E,GAAcgC,cAAgBA,GAK9B,IAAID,GAAkB,SAAyBG,GAC7C3wG,KAAK2wG,QAAUA,GAyHjB,SAAST,GAAUU,EAAO7hE,GACxB,IAAKA,IAAW6hE,EAAMz4G,OAAU,OAAOy4G,EAEvC,IADA,IAAI/2G,EAAS,GACJ5B,EAAI,EAAGA,EAAI24G,EAAMz4G,OAAQF,IAAK,CACrC,IAAIi2G,EAAO0C,EAAM34G,GACjB4B,EAAO0U,KAAK,IAAI6/F,GAAWF,EAAK/iF,KAAO4jB,EAAQm/D,EAAKj3E,GAAK8X,EAAQm/D,EAAKrvG,OAExE,OAAOhF,EAoBT,SAASo2G,GAAiBW,EAAOvyD,EAAMtP,GACrC,GAAIsP,EAAKiP,OAAU,OAAO,KAE1B,IADA,IAAItL,EAAMjT,EAASsP,EAAKqD,SAAU2E,EAAQ,KACjCpuD,EAAI,EAAGi2G,OAAO,EAAUj2G,EAAI24G,EAAMz4G,OAAQF,KAC5Ci2G,EAAO0C,EAAM34G,KAAOi2G,EAAK/iF,KAAO4jB,GAAUm/D,EAAKj3E,GAAK+qB,KAC5DqE,IAAUA,EAAQ,KAAK93C,KAAK2/F,GACvB0C,EAAM34G,GAAK,MAGf,OAAOouD,EAGT,SAAS8pD,GAAajiG,GAEpB,IADA,IAAIrU,EAAS,GACJ5B,EAAI,EAAGA,EAAIiW,EAAM/V,OAAQF,IACd,MAAZiW,EAAMjW,IAAc4B,EAAO0U,KAAKL,EAAMjW,IAC9C,OAAO4B,EAQT,SAAS60G,GAAUkC,EAAOvyD,EAAMtP,EAAQ/yC,GACtC,IAAIkqF,EAAW,GAAI2qB,GAAW,EAC9BxyD,EAAKvmD,SAAQ,SAAU23G,EAAWqB,GAChC,IAAIzqD,EAAQ4pD,GAAiBW,EAAOnB,EAAWqB,EAAa/hE,GAC5D,GAAIsX,EAAO,CACTwqD,GAAW,EACX,IAAIxJ,EAAUqH,GAAUroD,EAAOopD,EAAW1gE,EAAS+hE,EAAa,EAAG90G,GAC/DqrG,GAAWhyE,IACX6wD,EAAS33E,KAAKuiG,EAAYA,EAAarB,EAAU/tD,SAAU2lD,OAInE,IADA,IAAI3mB,EAASwvB,GAAUW,EAAWV,GAAaS,GAASA,GAAQ7hE,GAAQ3O,KAAKyvE,IACpE53G,EAAI,EAAGA,EAAIyoF,EAAOvoF,OAAQF,IAAYyoF,EAAOzoF,GAAG4G,KAAK8mE,MAAMtnB,EAAMqiC,EAAOzoF,MAC3E+D,EAAQgzG,UAAYhzG,EAAQgzG,SAAStuB,EAAOzoF,GAAG0mD,MACnD+hC,EAAO10B,OAAO/zD,IAAK,IAErB,OAAOyoF,EAAOvoF,QAAU+tF,EAAS/tF,OAAS,IAAIs2G,GAAc/tB,EAAQwF,GAAY7wD,GAOlF,SAASw6E,GAAM31G,EAAGC,GAChB,OAAOD,EAAEixB,KAAOhxB,EAAEgxB,MAAQjxB,EAAE+8B,GAAK98B,EAAE88B,GAQrC,SAASw5E,GAAcG,GAErB,IADA,IAAIG,EAAUH,EACL34G,EAAI,EAAGA,EAAI84G,EAAQ54G,OAAS,EAAGF,IAAK,CAC3C,IAAIi2G,EAAO6C,EAAQ94G,GACnB,GAAIi2G,EAAK/iF,MAAQ+iF,EAAKj3E,GAAM,IAAK,IAAIrkB,EAAI3a,EAAI,EAAG2a,EAAIm+F,EAAQ54G,OAAQya,IAAK,CACvE,IAAI1I,EAAO6mG,EAAQn+F,GACnB,GAAI1I,EAAKihB,MAAQ+iF,EAAK/iF,KAAtB,CAUMjhB,EAAKihB,KAAO+iF,EAAKj3E,KACf85E,GAAWH,IAASG,EAAUH,EAAM3tG,SAGxC8tG,EAAQ94G,GAAKi2G,EAAKhsD,KAAKgsD,EAAK/iF,KAAMjhB,EAAKihB,MACvC6lF,GAAYD,EAASn+F,EAAGs7F,EAAKhsD,KAAKh4C,EAAKihB,KAAM+iF,EAAKj3E,MAEpD,MAhBI/sB,EAAK+sB,IAAMi3E,EAAKj3E,KACd85E,GAAWH,IAASG,EAAUH,EAAM3tG,SAGxC8tG,EAAQn+F,GAAK1I,EAAKg4C,KAAKh4C,EAAKihB,KAAM+iF,EAAKj3E,IACvC+5E,GAAYD,EAASn+F,EAAI,EAAG1I,EAAKg4C,KAAKgsD,EAAKj3E,GAAI/sB,EAAK+sB,OAe5D,OAAO85E,EAGT,SAASC,GAAY9iG,EAAOjW,EAAGqiG,GAC7B,KAAOriG,EAAIiW,EAAM/V,QAAU03G,GAAMvV,EAAMpsF,EAAMjW,IAAM,GAAKA,IACxDiW,EAAM89C,OAAO/zD,EAAG,EAAGqiG,GAKrB,SAAS2W,GAAgB3sD,GACvB,IAAI+B,EAAQ,GAOZ,OANA/B,EAAKmtC,SAAS,eAAe,SAAUv2F,GACrC,IAAIrB,EAASqB,EAAEopD,EAAKtgD,OAChBnK,GAAUA,GAAUw7B,IAASgxB,EAAM93C,KAAK1U,MAE1CyqD,EAAKq8C,eACLt6C,EAAM93C,KAAKkgG,GAAcvpG,OAAOo/C,EAAKtgD,MAAM86C,IAAK,CAACwF,EAAKq8C,cAAcrG,QACjEkW,GAAgBrlF,KAAKk7B,GAtP9BmqD,GAAgBj5G,UAAUkjG,SAAW,SAAmB1rD,EAAQkZ,GAC9D,GAAIA,EAAMqF,OAAU,OAAOmhD,GAAcp5E,MAEzC,IADA,IAAIgxB,EAAQ,GACHpuD,EAAI,EAAGA,EAAI+H,KAAK2wG,QAAQx4G,OAAQF,IAAK,CAC5C,IAAI4B,EAASmG,KAAK2wG,QAAQ14G,GAAGwiG,SAAS1rD,EAAQkZ,GAC1CpuD,GAAUw7B,KACVx7B,aAAkB22G,GAAmBnqD,EAAQA,EAAMrjD,OAAOnJ,EAAO82G,SAC9DtqD,EAAM93C,KAAK1U,IAEpB,OAAO22G,GAAgBrlF,KAAKk7B,IAG9BmqD,GAAgBj5G,UAAU8vD,GAAK,SAAa1kC,GAC1C,KAAMA,aAAiB6tF,KACnB7tF,EAAMguF,QAAQx4G,QAAU6H,KAAK2wG,QAAQx4G,OAAU,OAAO,EAC1D,IAAK,IAAIF,EAAI,EAAGA,EAAI+H,KAAK2wG,QAAQx4G,OAAQF,IACrC,IAAK+H,KAAK2wG,QAAQ14G,GAAGovD,GAAG1kC,EAAMguF,QAAQ14G,IAAO,OAAO,EACxD,OAAO,GAGTu4G,GAAgBj5G,UAAUmpF,OAAS,SAAiBriC,GAElD,IADA,IAAIxkD,EAAQq3G,GAAS,EACZj5G,EAAI,EAAGA,EAAI+H,KAAK2wG,QAAQx4G,OAAQF,IAAK,CAC5C,IAAIyoF,EAAS1gF,KAAK2wG,QAAQ14G,GAAGy4G,YAAYryD,GACzC,GAAKqiC,EAAOvoF,OACZ,GAAK0B,EAEE,CACDq3G,IACFr3G,EAASA,EAAOoJ,QAChBiuG,GAAS,GAEX,IAAK,IAAIt+F,EAAI,EAAGA,EAAI8tE,EAAOvoF,OAAQya,IAAO/Y,EAAO0U,KAAKmyE,EAAO9tE,SAN7D/Y,EAAS6mF,EASb,OAAO7mF,EAAS42G,GAAcS,EAASr3G,EAASA,EAAOumC,KAAKyvE,KAAUnmD,IAMxE8mD,GAAgBrlF,KAAO,SAAewlF,GACpC,OAAQA,EAAQx4G,QACd,KAAK,EAAG,OAAOk9B,GACf,KAAK,EAAG,OAAOs7E,EAAQ,GACvB,QAAS,OAAO,IAAIH,GAAgBG,KA+MxC,IAAIQ,GAAa,SAAoBzkD,EAAOx7B,GAC1ClxB,KAAK8qE,OAAS55C,EAGdlxB,KAAKgE,MAAQktB,EAAMltB,MAEnBhE,KAAKg+C,SAAWh+C,KAAKg+C,SAAS5mD,KAAK4I,MAEnCA,KAAKoxG,MAAQ,KACbpxG,KAAKqwE,SAAU,EAEfrwE,KAAKi8F,YAAc,KAKnBj8F,KAAK45D,IAAOlN,GAASA,EAAM2kD,OAAU13G,SAASqZ,cAAc,OACxD05C,IACEA,EAAM9kD,YAAe8kD,EAAM9kD,YAAY5H,KAAK45D,KACvClN,EAAM3mD,MAAS2mD,EAAM1sD,KAAK45D,KAC1BlN,EAAM2kD,QAASrxG,KAAKsxG,SAAU,IAKzCtxG,KAAKilE,SAAWssC,GAAYvxG,MAC5BA,KAAKysG,WAAa,KAClBzsG,KAAK2gG,cAAgB,KACrB6Q,GAAoBxxG,MACpBA,KAAKqyE,UAAYo/B,GAAezxG,MAChCA,KAAKyzE,QAAU0pB,EAAYn9F,KAAKgE,MAAM86C,IAAK4yD,GAAe1xG,MAAOixG,GAAgBjxG,MAAOA,KAAK45D,IAAK55D,MAElGA,KAAK8hG,qBAAuB,KAK5B9hG,KAAKqlE,SAAW,KA92ClB,SAAmB/gB,GACjBA,EAAKw7C,UAAW,EAChBx7C,EAAKgnD,UAAY,KACjBhnD,EAAKq+C,YAAc,KACnBr+C,EAAK2+C,gBAAkB,EACvB3+C,EAAK4mD,UAAY,CAACn4D,KAAM,EAAGxU,EAAG,EAAGV,EAAG,EAAGh/B,KAAM,IAC7CylD,EAAK89C,oBAAsB,KAC3B99C,EAAK69C,kBAAoB,EAEzB79C,EAAKq/C,aAAe,EACpBr/C,EAAKqmD,4BAA8B,KAEnCrmD,EAAKmxB,WAAY,EACjBnxB,EAAK+nD,iBAAmB,KACxB/nD,EAAKm4C,iBAAmB,GACxBn4C,EAAK0nD,oBAAsB,IAE3B1nD,EAAK66C,YAAc,IAAIqI,GAAYljD,GAAM,SAAUn5B,EAAM8L,EAAIgrE,EAAUrmB,GAAS,OAAOomB,GAAc19C,EAAMn5B,EAAM8L,EAAIgrE,EAAUrmB,MAC/Ht3B,EAAK66C,YAAYnyC,QAEjB1I,EAAKk/C,eAAiB,EAEtBl/C,EAAKklD,cAAgBlyG,OAAO4N,OAAO,MACnC,IAAIg+C,EAAO,SAAW5iD,GACpB,IAAIJ,EAAU0tB,GAASttB,GACvBgkD,EAAKsV,IAAIx7C,iBAAiB9d,EAAOgkD,EAAKklD,cAAclpG,GAAS,SAAUA,IA2C3E,SAA4BgkD,EAAMhkD,GAChC,IAAKA,EAAMqxG,QAAW,OAAO,EAC7B,GAAIrxG,EAAMopG,iBAAoB,OAAO,EACrC,IAAK,IAAIrrD,EAAO/9C,EAAMpE,OAAQmiD,GAAQiG,EAAKsV,IAAKvb,EAAOA,EAAKjrC,WACxD,IAAKirC,GAAyB,IAAjBA,EAAKkE,UACflE,EAAKmyC,YAAcnyC,EAAKmyC,WAAWjlB,UAAUjrE,GAC9C,OAAO,EACb,OAAO,EAjDCsxG,CAAmBttD,EAAMhkD,IAAWmpG,GAAiBnlD,EAAMhkD,KAC1DgkD,EAAK2gB,UAAc3kE,EAAMzB,QAAQuqG,IAClClpG,EAAQokD,EAAMhkD,MAItB,IAAK,IAAIA,KAASstB,GAAUs1B,EAAM5iD,GAI9BzG,EAAOg2F,QAAUvrC,EAAKsV,IAAIx7C,iBAAiB,SAAS,WAAc,OAAO,QAE7EkrF,GAAgBhlD,GA00ChButD,CAAU7xG,MAEVA,KAAK8xG,YAAc,GACnB9xG,KAAK+xG,qBAGHpgD,GAAuB,CAAEzgC,MAAO,CAAExsB,cAAc,GAAO2uF,KAAM,CAAE3uF,cAAc,IA6SjF,SAASgtG,GAAeptD,GACtB,IAAI9B,EAAQlrD,OAAO4N,OAAO,MAc1B,OAbAs9C,EAAM8gB,MAAQ,cACd9gB,EAAMwvD,gBAAkBv3G,OAAO6pD,EAAK2gB,UAEpC3gB,EAAKmtC,SAAS,cAAc,SAAUn2F,GAEpC,GADoB,mBAATA,IAAuBA,EAAQA,EAAMgpD,EAAKtgD,QACjD1I,EAAS,IAAK,IAAIg8D,KAAQh8D,EAChB,SAARg8D,EACA9U,EAAM8gB,OAAS,IAAMhoE,EAAMg8D,GACrB9U,EAAM8U,IAAiB,mBAARA,GAAqC,YAARA,IAClD9U,EAAM8U,GAAQ78D,OAAOa,EAAMg8D,QAI5B,CAAC82C,GAAW/vD,KAAK,EAAGiG,EAAKtgD,MAAM86C,IAAIh4C,QAAQyS,KAAMipC,IAG1D,SAASgvD,GAAoBltD,GAC3B,GAAIA,EAAKmoD,WAAY,CACnB,IAAI7yC,EAAMjgE,SAASqZ,cAAc,OACjC4mD,EAAIvlD,aAAa,mBAAoB,QACrCiwC,EAAKq8C,cAAgB,CAAC/mC,IAAKA,EAAK0gC,KAAM8T,GAAWxnC,OAAOtiB,EAAKtgD,MAAMi6C,UAAUrsC,KAAMgoD,EAAK,CAACz3C,KAAK,EAAMshC,MAAOa,EAAKmoD,mBAEhHnoD,EAAKq8C,cAAgB,KAIzB,SAAS4Q,GAAYjtD,GACnB,OAAQA,EAAKmtC,SAAS,YAAY,SAAUn2F,GAAS,OAA6B,IAAtBA,EAAMgpD,EAAKtgD,UAQzE,SAASytG,GAAentD,GACtB,IAAIzqD,EAAS,GAKb,OAJAyqD,EAAKmtC,SAAS,aAAa,SAAU15F,GACnC,IAAK,IAAIsnB,KAAQtnB,EAAYT,OAAOC,UAAUc,eAAeX,KAAKmC,EAAQwlB,KACtExlB,EAAOwlB,GAAQtnB,EAAIsnB,OAElBxlB,EA/UT83D,GAAqBzgC,MAAMt2B,IAAM,WAC/B,GAAIoF,KAAK8qE,OAAO9mE,OAAShE,KAAKgE,MAAO,CACnC,IAAIgwB,EAAOh0B,KAAK8qE,OAEhB,IAAK,IAAIl0E,KADToJ,KAAK8qE,OAAS,GACG92C,EAAQh0B,KAAK8qE,OAAOl0E,GAAQo9B,EAAKp9B,GAClDoJ,KAAK8qE,OAAO9mE,MAAQhE,KAAKgE,MAE3B,OAAOhE,KAAK8qE,QAMdqmC,GAAW55G,UAAU0b,OAAS,SAAiBie,GACzCA,EAAMugD,iBAAmBzxE,KAAK8qE,OAAO2G,iBAAmB63B,GAAgBtpG,MAC5EA,KAAK8qE,OAAS55C,EACdlxB,KAAKiyG,iBAAiB/gF,EAAMltB,OAAO,IAOrCmtG,GAAW55G,UAAU66E,SAAW,SAAmBlhD,GACjD,IAAI03B,EAAU,GACd,IAAK,IAAIhyD,KAAQoJ,KAAK8qE,OAAUliB,EAAQhyD,GAAQoJ,KAAK8qE,OAAOl0E,GAE5D,IAAK,IAAI6jE,KADT7R,EAAQ5kD,MAAQhE,KAAKgE,MACFktB,EAAS03B,EAAQ6R,GAAUvpC,EAAMupC,GACpDz6D,KAAKiT,OAAO21C,IAMduoD,GAAW55G,UAAU+2E,YAAc,SAAsBtqE,GACvDhE,KAAKiyG,iBAAiBjuG,EAAOhE,KAAKgE,MAAMsmD,SAAWtmD,EAAMsmD,UAG3D6mD,GAAW55G,UAAU06G,iBAAmB,SAA2BjuG,EAAOkuG,GACtE,IAAI3nD,EAASvqD,KAEXg0B,EAAOh0B,KAAKgE,MAAOmuG,GAAS,EAEhC,GADAnyG,KAAKgE,MAAQA,EACTkuG,EAAc,CAChB,IAAI7/B,EAAYo/B,GAAezxG,OAsSnC,SAA0B9F,EAAGC,GAC3B,IAAIi4G,EAAK,EAAGC,EAAK,EACjB,IAAK,IAAIhzF,KAAQnlB,EAAG,CAClB,GAAIA,EAAEmlB,IAASllB,EAAEklB,GAAS,OAAO,EACjC+yF,IAEF,IAAK,IAAItoD,KAAK3vD,EAAKk4G,IACnB,OAAOD,GAAMC,GA5SPC,CAAiBjgC,EAAWryE,KAAKqyE,aACnCryE,KAAKqyE,UAAYA,EACjB8/B,GAAS,GAEX7I,GAAgBtpG,MAGlBA,KAAKilE,SAAWssC,GAAYvxG,MAC5BwxG,GAAoBxxG,MACpB,IAnsE0BskD,EACtB2zC,EACAvH,EA+8E2B6hB,EAAMC,EACjC3zD,EAzmJkBO,EAClBqzD,EACAC,EACA/jF,EAEAgkF,EAq1IAjZ,EAAYuX,GAAgBjxG,MAAOy5F,EAAYiY,GAAe1xG,MAE9D4yG,EAASV,EAAe,QACtBluG,EAAM6uG,kBAAoB7+E,EAAK6+E,kBAAoB,eAAiB,WACtEC,EAAYX,IAAWnyG,KAAKyzE,QAAQqjB,YAAY9yF,EAAM86C,IAAK26C,EAAWC,GACtEqZ,EAAYD,IAAc9uG,EAAMi6C,UAAUoJ,GAAGrzB,EAAKiqB,WAClD+0D,EAAyB,YAAVJ,GAAwBG,GAA8C,MAAjC/yG,KAAK45D,IAAIlyD,MAAMurG,gBA53IzE,SAAwB3uD,GAGtB,IAFA,IACImuD,EAAQC,EADR5uC,EAAOxf,EAAKsV,IAAIqK,wBAAyBkuB,EAASr7F,KAAKkwB,IAAI,EAAG88C,EAAK9F,KAE9Dz/B,GAAKulC,EAAKK,KAAOL,EAAKM,OAAS,EAAGvmC,EAAIs0D,EAAS,EACnDt0D,EAAI/mC,KAAKkK,IAAIkyG,YAAapvC,EAAKI,QAASrmC,GAAK,EAAG,CACnD,IAAI+7B,EAAMtV,EAAK+uC,KAAKO,iBAAiBr1D,EAAGV,GACxC,GAAI+7B,GAAOtV,EAAKsV,KAAQtV,EAAKsV,IAAImH,SAASnH,GAA1C,CACA,IAAIu5C,EAAYv5C,EAAIqK,wBACpB,GAAIkvC,EAAUn1C,KAAOm0B,EAAS,GAAI,CAChCsgB,EAAS74C,EACT84C,EAASS,EAAUn1C,IACnB,QAGJ,MAAO,CAACy0C,OAAQA,EAAQC,OAAQA,EAAQ/jF,MAAO4jE,EAAYjuC,EAAKsV,MA82IiCw5C,CAAepzG,MAEhH,GAAI+yG,EAAW,CACb/yG,KAAKm/F,YAAYxmF,OAMjB,IAAI06F,EAAiBP,IAAcj5G,EAAOq1F,IAAMr1F,EAAO01F,UAAYvvF,KAAKy1E,YACnEzhD,EAAKiqB,UAAU5oB,QAAUrxB,EAAMi6C,UAAU5oB,QA8PjBk9E,EA9PkDv+E,EAAKiqB,UA8PjDu0D,EA9P4DxuG,EAAMi6C,UA+PnGY,EAAQ/nD,KAAKkK,IAAIuxG,EAAKxyD,QAAQiS,YAAYugD,EAAK3gG,MAAO4gG,EAAKzyD,QAAQiS,YAAYwgD,EAAK5gG,OACjF2gG,EAAKxyD,QAAQiN,MAAMnO,IAAU2zD,EAAKzyD,QAAQiN,MAAMnO,IA/PrD,GAAIi0D,EAAW,CAKb,IAAIQ,EAAez5G,EAAO01F,OAAUvvF,KAAKi8F,YAAcj8F,KAAKqzF,KAAKsC,eAAeI,UAAa,MACzFoc,GAAWnyG,KAAKyzE,QAAQxgE,OAAOjP,EAAM86C,IAAK26C,EAAWC,EAAW15F,QAClEA,KAAKyzE,QAAQkpB,gBAAgB,IAC7B38F,KAAKyzE,QAAQhQ,UACbzjE,KAAKyzE,QAAU0pB,EAAYn5F,EAAM86C,IAAK26C,EAAWC,EAAW15F,KAAK45D,IAAK55D,OAEpEszG,IAAiBtzG,KAAKi8F,cAAeoX,GAAiB,GAMxDA,KACErzG,KAAKsrG,WAAatrG,KAAKm/F,YAAY6I,iBAAiB3gD,GAAGrnD,KAAKqzF,KAAKsC,kBAtuE/CrxC,EAsuEqFtkD,KAruE3Gi4F,EAAY3zC,EAAKmvB,QAAQyB,WAAW5wB,EAAKtgD,MAAMi6C,UAAU5M,QACzDq/C,EAASpsC,EAAK+uC,KAAKsC,eAChB1F,EAAqBgI,EAAU55C,KAAM45C,EAAUlpD,OAAQ2hD,EAAOyH,WAAYzH,EAAO0H,gBAouEpFkI,GAAetgG,KAAMqzG,IAErB9S,GAAkBvgG,KAAMgE,EAAMi6C,WAC9Bj+C,KAAKm/F,YAAYC,mBAEnBp/F,KAAKm/F,YAAYnyC,QAKnB,GAFAhtD,KAAK+xG,kBAAkB/9E,GAET,SAAV4+E,EACF5yG,KAAK45D,IAAIw4B,UAAY,OAChB,GAAc,gBAAVwgB,EAA0B,CACnC,IAAIrhB,EAAWvxF,KAAKqzF,KAAKsC,eAAeI,UACpC/1F,KAAKyxF,SAAS,2BAA2B,SAAUv2F,GAAK,OAAOA,EAAEqvD,QAE5DvmD,EAAMi6C,qBAAqB,gBAChCqzC,EAAmBtxF,KAAMA,KAAKyzE,QAAQ2iB,YAAYpyF,EAAMi6C,UAAU9yB,MAAM84C,wBAAyBstB,GAEjGD,EAAmBtxF,KAAMA,KAAKskE,YAAYtgE,EAAMi6C,UAAUrsC,MAAO2/E,SAC5DyhB,IAj5IPP,GADkBrzD,EAm5IL4zD,GAl5IAP,OACbC,EAAStzD,EAAIszD,OACb/jF,EAAQywB,EAAIzwB,MAEZgkF,EAAYF,EAASA,EAAOxuC,wBAAwBjG,IAAM,EAC9Dw0B,EAAmB7jE,EAAoB,GAAbgkF,EAAiB,EAAIA,EAAYD,KAi5I7DvB,GAAW55G,UAAUg8G,mBAAqB,WAExC,IADA,IAAIjvD,EACGA,EAAOtkD,KAAK8xG,YAAYp7C,OAAapS,EAAKmf,SAAWnf,EAAKmf,WAGnE0tC,GAAW55G,UAAUw6G,kBAAoB,SAA4BpuC,GACnE,GAAKA,GAAaA,EAAUrZ,SAAWtqD,KAAKgE,MAAMsmD,QAOhD,IAAK,IAAIxG,EAAM,EAAGA,EAAM9jD,KAAK8xG,YAAY35G,OAAQ2rD,IAAO,CACtD,IAAI0vD,EAAaxzG,KAAK8xG,YAAYhuD,GAC9B0vD,EAAWvgG,QAAUugG,EAAWvgG,OAAOjT,KAAM2jE,OATM,CACzD3jE,KAAKuzG,qBACL,IAAK,IAAIt7G,EAAI,EAAGA,EAAI+H,KAAKgE,MAAMsmD,QAAQnyD,OAAQF,IAAK,CAClD,IAAIyyD,EAAS1qD,KAAKgE,MAAMsmD,QAAQryD,GAC5ByyD,EAAO/L,KAAK2F,MAAQtkD,KAAK8xG,YAAYvjG,KAAKm8C,EAAO/L,KAAK2F,KAAKtkD,UAiBrEmxG,GAAW55G,UAAUk6F,SAAW,SAAmBoY,EAAU3uG,GAC3D,IAAiDI,EAA7C+jB,EAAOrf,KAAK8qE,QAAU9qE,KAAK8qE,OAAO++B,GACtC,GAAY,MAARxqF,IAAiB/jB,EAAQJ,EAAIA,EAAEmkB,GAAQA,GAAS,OAAO/jB,EAC3D,IAAIgvD,EAAUtqD,KAAKgE,MAAMsmD,QACzB,GAAIA,EAAW,IAAK,IAAIryD,EAAI,EAAGA,EAAIqyD,EAAQnyD,OAAQF,IAAK,CACtD,IAAI4gE,EAASvO,EAAQryD,GAAGi5B,MAAM24E,GAC9B,GAAc,MAAVhxC,IAAmBv9D,EAAQJ,EAAIA,EAAE29D,GAAUA,GAAW,OAAOv9D,IAMrE61G,GAAW55G,UAAUipG,SAAW,WAC9B,OAAOxgG,KAAKqzF,KAAK8B,eAAiBn1F,KAAK45D,KAKzCu3C,GAAW55G,UAAUq2E,MAAQ,WAC3B5tE,KAAKm/F,YAAYxmF,OACb3Y,KAAKilE,UA/6IX,SAA4BrL,GAC1B,GAAIA,EAAI65C,UAAa,OAAO75C,EAAI65C,YAChC,GAAI/gB,EAA0B,OAAO94B,EAAIgU,MAAM8kB,GAE/C,IAAIpT,EAASiT,EAAY34B,GACzBA,EAAIgU,MAAgC,MAA1B8kB,EAAiC,CACzC,oBAEE,OADAA,EAAyB,CAACghB,eAAe,IAClC,SAEP92G,GACC81F,IACHA,GAAyB,EACzBF,EAAmBlT,EAAQ,IAk6IRq0B,CAAmB3zG,KAAK45D,KAC7C0mC,GAAetgG,MACfA,KAAKm/F,YAAYnyC,SAQnB2E,GAAqB0hC,KAAKz4F,IAAM,WAC9B,IAAI03D,EAAStyD,KAAKoxG,MAClB,GAAc,MAAV9+C,EAAkB,IAAK,IAAI7iC,EAASzvB,KAAK45D,IAAIxmD,WAAYqc,EAAQA,EAASA,EAAOrc,WACnF,GAAuB,GAAnBqc,EAAO8yB,UAAqC,IAAnB9yB,EAAO8yB,UAAkB9yB,EAAO/xB,KAE3D,OADK+xB,EAAOkmE,eAAgBr+F,OAAO+R,eAAeomB,GAAQkmE,aAAe,WAAc,OAAOh8F,SAASg8F,iBAChG31F,KAAKoxG,MAAQ3hF,EAGxB,OAAO6iC,GAAU34D,UAWnBw3G,GAAW55G,UAAU2tE,YAAc,SAAwBb,GACzD,OAAOa,EAAYllE,KAAMqkE,IAO3B8sC,GAAW55G,UAAU+sE,YAAc,SAAwBprD,GACzD,OAAOorD,EAAYtkE,KAAMkZ,IAQ3Bi4F,GAAW55G,UAAUq8G,SAAW,SAAmB16F,GACjD,OAAOlZ,KAAKyzE,QAAQyB,WAAWh8D,IAYjCi4F,GAAW55G,UAAUysE,QAAU,SAAkB9qD,GAC/C,IAAI8wC,EAAOhqD,KAAKyzE,QAAQgkB,OAAOv+E,GAC/B,OAAO8wC,EAAOA,EAAKga,QAAU,MAY/BmtC,GAAW55G,UAAUy0E,SAAW,SAAmB3tB,EAAMtP,EAAQuX,QAC/C,IAATA,IAAkBA,GAAQ,GAEjC,IAAIptC,EAAMlZ,KAAKyzE,QAAQ2gB,WAAW/1C,EAAMtP,EAAQuX,GAChD,GAAW,MAAPptC,EAAe,MAAM,IAAIytC,WAAW,sCACxC,OAAOztC,GAUTi4F,GAAW55G,UAAUitD,eAAiB,SAA2B/0C,EAAKzL,GACpE,OAAOwgD,EAAexkD,KAAMgE,GAAShE,KAAKgE,MAAOyL,IAMnD0hG,GAAW55G,UAAUksE,QAAU,WACxBzjE,KAAKyzE,WAnlDZ,SAAsBnvB,GAEpB,IAAK,IAAIzlD,KADTylD,EAAK66C,YAAYxmF,OACA2rC,EAAKklD,cAClBllD,EAAKsV,IAAI8J,oBAAoB7kE,EAAMylD,EAAKklD,cAAc3qG,IAC1DwO,aAAai3C,EAAK+nD,kBAClBh/F,aAAai3C,EAAKqmD,6BA+kDlBkJ,CAAa7zG,MACbA,KAAKuzG,qBACDvzG,KAAKsxG,SACPtxG,KAAKyzE,QAAQxgE,OAAOjT,KAAKgE,MAAM86C,IAAK,GAAImyD,GAAgBjxG,MAAOA,MAC/DA,KAAK45D,IAAI/G,YAAc,IACd7yD,KAAK45D,IAAIxmD,YAClBpT,KAAK45D,IAAIxmD,WAAWC,YAAYrT,KAAK45D,KAEvC55D,KAAKyzE,QAAQhQ,UACbzjE,KAAKyzE,QAAU,OAIjB09B,GAAW55G,UAAUu8G,cAAgB,SAA0BxzG,GAC7D,OAlkDF,SAAuBgkD,EAAMhkD,GACtBmpG,GAAiBnlD,EAAMhkD,KAAUstB,GAASttB,EAAMzB,QAChDylD,EAAK2gB,UAAc3kE,EAAMzB,QAAQuqG,IAClCx7E,GAASttB,EAAMzB,MAAMylD,EAAMhkD,GA+jDxBwzG,CAAc9zG,KAAMM,IAW7B6wG,GAAW55G,UAAUymD,SAAW,SAAmBE,GACjD,IAAIi0B,EAAsBnyE,KAAK8qE,OAAOqH,oBAClCA,EAAuBA,EAAoBz6E,KAAKsI,KAAMk+C,GACnDl+C,KAAKsuE,YAAYtuE,KAAKgE,MAAM+B,MAAMm4C,KAG3C5mD,OAAOiP,iBAAkB4qG,GAAW55G,UAAWo6D,K;;;;;;AC7vJ/C,SAASoV,EAAgBhvE,EAAKK,EAAKkD,GAYjC,OAXIlD,KAAOL,EACTT,OAAOqD,eAAe5C,EAAKK,EAAK,CAC9BkD,MAAOA,EACPwE,YAAY,EACZ4E,cAAc,EACdC,UAAU,IAGZ5M,EAAIK,GAAOkD,EAGNvD,EAGT,SAASs0B,EAAQ5wB,EAAQurE,GACvB,IAAIt+D,EAAOpR,OAAOoR,KAAKjN,GAEvB,GAAInE,OAAOgjB,sBAAuB,CAChC,IAAIqO,EAAUrxB,OAAOgjB,sBAAsB7e,GACvCurE,IAAgBr+C,EAAUA,EAAQ9U,QAAO,SAAUozD,GACrD,OAAO3vE,OAAOoE,yBAAyBD,EAAQwrE,GAAKnnE,eAEtD4I,EAAK6F,KAAKxI,MAAM2C,EAAMigB,GAGxB,OAAOjgB,EAGT,SAASw+D,EAAehrE,GACtB,IAAK,IAAIjE,EAAI,EAAGA,EAAI8B,UAAU5B,OAAQF,IAAK,CACzC,IAAIgE,EAAyB,MAAhBlC,UAAU9B,GAAa8B,UAAU9B,GAAK,GAE/CA,EAAI,EACNo0B,EAAQ/0B,OAAO2E,IAAS,GAAMnE,SAAQ,SAAUM,GAC9C2uE,EAAgB7qE,EAAQ9D,EAAK6D,EAAO7D,OAE7Bd,OAAO6vE,0BAChB7vE,OAAOiP,iBAAiBrK,EAAQ5E,OAAO6vE,0BAA0BlrE,IAEjEowB,EAAQ/0B,OAAO2E,IAASnE,SAAQ,SAAUM,GACxCd,OAAOqD,eAAeuB,EAAQ9D,EAAKd,OAAOoE,yBAAyBO,EAAQ7D,OAKjF,OAAO8D,EAGT,SAAS2sE,EAAmBp4C,GAC1B,OAGF,SAA4BA,GAC1B,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOq4C,EAAkBr4C,GAJ1Cs4C,CAAmBt4C,IAO5B,SAA0Bu4C,GACxB,GAAsB,oBAAXvyE,QAA0BA,OAAO+hB,YAAYlhB,OAAO0xE,GAAO,OAAO16D,MAAM6c,KAAK69C,GARtDC,CAAiBx4C,IAWrD,SAAqCgO,EAAG0qC,GACtC,IAAK1qC,EAAG,OACR,GAAiB,iBAANA,EAAgB,OAAOqqC,EAAkBrqC,EAAG0qC,GACvD,IAAItyD,EAAIvf,OAAOC,UAAUF,SAASK,KAAK+mC,GAAGx7B,MAAM,GAAI,GAC1C,WAAN4T,GAAkB4nB,EAAEjmC,cAAaqe,EAAI4nB,EAAEjmC,YAAY5B,MACvD,GAAU,QAANigB,GAAqB,QAANA,EAAa,OAAOvI,MAAM6c,KAAKsT,GAClD,GAAU,cAAN5nB,GAAqB,2CAA2C1L,KAAK0L,GAAI,OAAOiyD,EAAkBrqC,EAAG0qC,GAjB9CR,CAA4Bl4C,IA4BzF,WACE,MAAM,IAAIj2B,UAAU,wIA7B2E0uE,GAoBjG,SAASJ,EAAkBr4C,EAAK5iB,IACnB,MAAPA,GAAeA,EAAM4iB,EAAIt4B,UAAQ0V,EAAM4iB,EAAIt4B,QAE/C,IAAK,IAAIF,EAAI,EAAGmxE,EAAO,IAAI96D,MAAMT,GAAM5V,EAAI4V,EAAK5V,IAAKmxE,EAAKnxE,GAAKw4B,EAAIx4B,GAEnE,OAAOmxE,EAOT,SAASoL,EAAaxwE,EAAOnF,GAC3B,IAAI62E,EAAmB1xE,EAAMi6C,UACzB9yB,EAAOuqD,EAAiBvqD,KACxB8L,EAAKy+C,EAAiBz+C,GACtBwsB,EAAQ,GACZz/C,EAAM86C,IAAI8D,aAAaz3B,EAAM8L,GAAI,SAAUonB,GACzCoF,EAAQ,GAAGzgD,OAAO6lE,EAAmBplB,GAAQolB,EAAmBxqB,EAAKoF,WAEvE,IAAI0F,EAAO1F,EAAM5rC,MAAK,SAAUk8F,GAC9B,OAAOA,EAASl1G,KAAKjI,OAASiI,EAAKjI,QAGrC,OAAIuyD,EACKA,EAAK3G,MAGP,GAGT,SAASiyB,EAAazwE,EAAOnF,GAC3B,IAAI62E,EAAmB1xE,EAAMi6C,UACzB9yB,EAAOuqD,EAAiBvqD,KACxB8L,EAAKy+C,EAAiBz+C,GACtB83B,EAAQ,GACZ/qD,EAAM86C,IAAI8D,aAAaz3B,EAAM8L,GAAI,SAAUonB,GACzC0Q,EAAQ,GAAG/rD,OAAO6lE,EAAmB9Z,GAAQ,CAAC1Q,OAEhD,IAAIA,EAAO0Q,EAAMwH,UAAU1+C,MAAK,SAAUm8F,GACxC,OAAOA,EAASn1G,KAAKjI,OAASiI,EAAKjI,QAGrC,OAAIynD,EACKA,EAAKmE,MAGP,GAGT,SAASyxD,IACP,IAAIv1D,EAAO3kD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAC3E8E,EAAO9E,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAE/E,IAAK2kD,IAAS7/C,EACZ,OAAO,EAGT,IAAImuD,EAAQtO,EAAKv8C,OAAO+wD,WAAWxU,EAAKiC,cAExC,IAAKqM,EAAM3O,KACT,OAAO,EAGT,IAAIr/C,EAAOguD,EAAM3O,KAAKoF,MAAM5rC,MAAK,SAAUsxC,GACzC,OAAOA,EAAKtqD,OAASA,KAGvB,IAAKG,EACH,OAAO,EAQT,IALA,IAAI2xD,EAAajS,EAAKjyC,QAClBqmD,EAAWpU,EAAKsO,QAAUA,EAAMje,OAChC6hB,EAAWD,EAAa,EACxBujD,EAASphD,EAAW9F,EAAM3O,KAAKqD,SAE5BiP,EAAa,GAAK3xD,EAAKukD,QAAQ7E,EAAKv8C,OAAO8lD,MAAM0I,EAAa,GAAGlN,QACtEkN,GAAc,EACdmC,GAAYpU,EAAKv8C,OAAO8lD,MAAM0I,GAAYjP,SAG5C,KAAOkP,EAAWlS,EAAKv8C,OAAO88C,YAAcjgD,EAAKukD,QAAQ7E,EAAKv8C,OAAO8lD,MAAM2I,GAAUnN,QACnFywD,GAAUx1D,EAAKv8C,OAAO8lD,MAAM2I,GAAUlP,SACtCkP,GAAY,EAGd,MAAO,CACLzlC,KAAM2nC,EACN77B,GAAIi9E,GAIR,SAASC,EAAanwG,EAAOnF,GAC3B,IAAI62E,EAAmB1xE,EAAMi6C,UACzB9yB,EAAOuqD,EAAiBvqD,KACxBk0B,EAAQq2B,EAAiBr2B,MACzBpoB,EAAKy+C,EAAiBz+C,GAG1B,OAFYy+C,EAAiBrgD,QAGlBx2B,EAAK0kD,QAAQv/C,EAAMw/C,aAAenE,EAAMoE,WAG1Cz/C,EAAM86C,IAAI+E,aAAa14B,EAAM8L,EAAIp4B,GAG5C,SAASu1G,EAAe3qC,GACtB,IAAI3oB,EAAQ2oB,EAAK3oB,MACbzC,EAAOorB,EAAKprB,KAChB,OAAO/vC,MAAM9W,QAAQspD,IAAUA,EAAMzmC,SAASgkC,EAAKx/C,OAASw/C,EAAKx/C,OAASiiD,EAG5E,SAASuzD,EAAarwG,EAAOnF,GAC3B,IAAI2jD,EAAQzoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAE5E40G,EAAY,SAAmBtwD,GACjC,OAAOA,EAAKx/C,OAASA,GAGnBw/C,EAAO,iCAAuBx/C,EAAvB,CAA6BmF,EAAMi6C,YAAc,yBAAe0wD,EAAf,CAA0B3qG,EAAMi6C,WAE5F,OAAK3mD,OAAOoR,KAAK85C,GAAOrqD,QAAWkmD,EAI5BA,EAAKA,KAAKwE,UAAUhkD,EAAMqoE,EAAeA,EAAe,GAAI7oB,EAAKA,KAAKmE,OAAQA,MAH1EnE,I,6BCxMb/mD,OAAOqD,eAAe5E,EAAS,aAAc,CAAEuF,OAAO,IAEtD,IAAIg5G,EAAmB,EAAQ,KAC3BC,EAAmB,EAAQ,KAC3BC,EAAoB,EAAQ,KA8B5BC,EAA0B,SAAiClyD,EAAUz7C,GACvE,OAAO,SAAUo3C,GACV5vC,MAAM9W,QAAQ+qD,KACjBA,EAAW,CAACA,IAEd,IAAK,IAAItqD,EAAI,EAAG0kF,EAAQp6B,EAASpqD,OAAQF,EAAI0kF,EAAO1kF,IAAK,CACvD,IAAIkK,EAASuyG,EAAqBnyD,EAAStqD,GAA9By8G,CAAkCx2D,EAAGD,WAClD,GAAI97C,EAAQ,CACV,IAAIwyG,EAAQC,EAAiBzyG,EAAO+W,IAAKpS,EAA7B8tG,CAAsC12D,GAClD,GAAIy2D,IAAUz2D,EACZ,OAAOy2D,GAIb,OAAOz2D,IA+BP22D,EAAsB,SAA6B/tG,GACrD,OAAO,SAAUo3C,GACf,GAAI42D,EAAgB52D,EAAGD,WAAY,CACjC,IAAI82D,EAAgB72D,EAAGD,UACnBoB,EAAQ01D,EAAc11D,MACtBC,EAAMy1D,EAAcz1D,IAExB,GAAIx4C,aAAmBytG,EAAiBznD,UAAYzN,EAAMl9C,OAAO8+C,WAAW5B,EAAM5yC,QAAS4yC,EAAMa,aAAcp5C,IAAYu4C,EAAMl9C,OAAOi+C,eAAef,EAAM5yC,QAAS4yC,EAAMa,aAAcp5C,EAAQjI,MAChM,OAAOm2G,EAAQ92D,EAAGmC,YAAYhB,EAAMnmC,IAAKomC,EAAIpmC,IAAKpS,GAEjDy5C,aAAa,IAAI+zD,EAAiB9sD,cAActJ,EAAGY,IAAIziC,QAAQgjC,EAAMnmC,QAG1E,OAAOglC,IAcP+2D,EAAmB,SAA0B37F,GAC/C,IAAI7J,EAAM1V,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC9E,OAAO,SAAUmkD,GACf,IAAIg3D,EAAgBZ,EAAiBjvD,UAAUhD,SAASnE,EAAGY,IAAIziC,QAAQ/C,GAAW7J,GAAK,GACvF,OAAIylG,EACKh3D,EAAGqC,aAAa20D,GAElBh3D,IAIPi3D,EAAmB,SAA0B92D,GAC/C,OAAOA,EAAKx/C,MAAQw/C,EAAKx/C,KAAK8/C,KAAKmJ,YAMjCvH,EAAe,SAAsBlC,EAAMnlC,EAAKglC,GAClD,OALqB,SAA0BG,GAC/C,OAAO82D,EAAiB92D,IAASA,EAAKx/C,KAAKyuD,OAIvC8nD,CAAiB/2D,GACZH,EAAGqC,aAAa,IAAI+zD,EAAiB9sD,cAActJ,EAAGY,IAAIziC,QAAQnD,KAEpE+7F,EAAiB/7F,EAAjB+7F,CAAsB/2D,IAe3Bm3D,EAAa,SAAoBvuG,EAASwS,EAAUg8F,GACtD,OAAO,SAAUp3D,GACf,IAAIq3D,EAAkC,iBAAbj8F,EACrB+lC,EAAQnB,EAAGD,UAAUoB,MAErBm2D,EAAaD,EAAcr3D,EAAGY,IAAIziC,QAAQ/C,GAAYw7F,EAAgB52D,EAAGD,WAAaC,EAAGY,IAAIziC,QAAQgjC,EAAMnmC,IAAM,GAAKmmC,EACtHl9C,EAASqzG,EAAWrzG,OAIxB,GAAI2yG,EAAgB52D,EAAGD,YAAcq3D,GACvBp3D,KACZA,EAAK22D,EAAoB/tG,EAApB+tG,CAA6B32D,IAEhC,OAAOA,EAKX,GAAIu3D,EAAiBtzG,IACN+7C,KACbA,EAAKu2D,EAAwBtyG,EAAOtD,KAAMiI,EAArC2tG,CAA8Cv2D,IAChC,CACjB,IAAIhlC,EAAMi8F,EAAiBruG,GAC3B0uG,EAAWz2D,OAAOy2D,EAAW32D,OAAS22D,EAAWt8F,IACjD,OAAOqnC,EAAaz5C,EAASoS,EAAKglC,GAKtC,GAAIw3D,EAAUF,EAAY1uG,GAAU,CAClCo3C,EAAG6G,OAAOywD,EAAWt8F,IAAKpS,GAC1B,IAAI6uG,EAAOJ,EAAcC,EAAWt8F,IAAMi8F,EAAiBruG,GAC3Do3C,EAAGD,UAAU8B,QAAQ7mC,IAAM,EAAIglC,EAAGD,UAAU8B,QAAQ7mC,IACpD,OAAO87F,EAAQz0D,EAAaz5C,EAAS6uG,EAAMz3D,IAI7C,IAAK,IAAIjmD,EAAIu9G,EAAW32D,MAAO5mD,EAAI,EAAGA,IAAK,CACzC,IAAI29G,EAAQJ,EAAWt2D,MAAMjnD,GACzBymD,EAAOR,EAAGY,IAAIziC,QAAQu5F,GAC1B,GAAIF,EAAUh3D,EAAM53C,GAElB,OADAo3C,EAAG6G,OAAO6wD,EAAO9uG,GACVkuG,EAAQz0D,EAAaz5C,EAAS8uG,EAAO13D,IAGhD,OAAOA,IAmEP42D,EAAkB,SAAyB72D,GAC7C,OAAOA,aAAqBq2D,EAAiB9sD,eAK3CquD,EAAgB,SAAuBtzD,EAAUlE,GACnD,OAAO/vC,MAAM9W,QAAQ+qD,IAAaA,EAAS3jD,QAAQy/C,EAAKx/C,OAAS,GAAKw/C,EAAKx/C,OAAS0jD,GAKlFyyD,EAAU,SAAiB92D,GAC7B,OAAO5mD,OAAO0G,OAAO1G,OAAO4N,OAAOg5C,GAAKA,GAAIvO,QAAQzf,KAAKG,QAOvDukF,EAAmB,SAA0Bt7F,EAAUxS,GACzD,OAAO,SAAUo3C,GACf,IAAIG,EAAOH,EAAGY,IAAImU,OAAO35C,GACrBolC,EAAOR,EAAGY,IAAIziC,QAAQ/C,GAC1B,GAAI2nC,EAAWvC,EAAM53C,GAAU,CAE7B,IAAIkmD,GADJ9O,EAAKA,EAAGmC,YAAY/mC,EAAUA,EAAW+kC,EAAKqD,SAAU56C,IACzCm3C,UAAUoB,MAAMnmC,IAAM,EAKrC,OAHAglC,EAAK+2D,EAAiBn+G,KAAKkwB,IAAIgmC,EAAO,IAAK,EAAtCioD,CAAyC/2D,GAE9CA,EAAK+2D,EAAiB/2D,EAAGD,UAAUoB,MAAM2N,QAApCioD,CAA6C/2D,GAC3C82D,EAAQ92D,GAEjB,OAAOA,IAMP+C,EAAa,SAAoBvC,EAAM53C,GACzC,IAAIu3C,EAAOK,EAAKL,KAAKK,EAAKG,OAC1B,OAAOR,GAAQA,EAAKx/C,KAAKiyD,aAAahqD,aAAmBytG,EAAiBznD,SAAWhmD,EAAUytG,EAAiBznD,SAAS3hC,KAAKrkB,KAM5HgvG,EAAkB,SAAyBx8F,GAC7C,OAAO,SAAU4kC,GACf,IAAIG,EAAOH,EAAGY,IAAImU,OAAO35C,GACzB,OAAO07F,EAAQ92D,EAAGuD,OAAOnoC,EAAUA,EAAW+kC,EAAKqD,aAMnDq0D,EAAiB,SAAwBpsD,GAC3C,GAAIA,EAAO2I,OAAOyjD,eAChB,OAAOpsD,EAAO2I,OAAOyjD,eAEvB,IAAIC,EAAQ,GAQZ,OAPA1+G,OAAOoR,KAAKihD,EAAOoF,OAAOj3D,SAAQ,SAAU+G,GAC1C,IAAI0jD,EAAWoH,EAAOoF,MAAMlwD,GACxB0jD,EAAS5D,KAAKs3D,YAChBD,EAAMzzD,EAAS5D,KAAKs3D,WAAa1zD,MAGrCoH,EAAO2I,OAAOyjD,eAAiBC,EACxBA,GAaLN,EAAY,SAAmBh3D,EAAM53C,GACvC,IAAI2F,EAAQiyC,EAAKjyC,QAEjB,OAAI3F,aAAmBytG,EAAiBznD,SAC/BpO,EAAKv8C,OAAO8+C,WAAWx0C,EAAOA,EAAO3F,GACnCA,aAAmBytG,EAAiB5hD,MACtCjU,EAAKv8C,OAAOi+C,eAAe3zC,EAAOA,EAAO3F,EAAQjI,OAOxD42G,EAAmB,SAA0Bp3D,GAC/C,OAAQA,GAA2B,cAAnBA,EAAKx/C,KAAKjI,MAA0C,IAAlBynD,EAAKqD,UAgBrDw0D,EAAa,SAAoBC,GACnC,IAAIxpB,EAAc5yF,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAEtF,OAAI4yF,EACKwpB,EAAS99C,cAAc,KAAMs0B,GAG/BwpB,EAAS71D,iBAKd81D,EAAiB,SAAwBtyC,GAC3C,OAAO,SAAU7lB,GAMf,IALA,IAAIpuC,EAAM2kG,EAAkB6B,SAASz7G,IAAIqjD,EAAUq4D,YAAYj4D,MAAM,IACjE2O,EAAQ/O,EAAUq4D,YAAYtpD,OAAO,GACrCupD,EAAQ1mG,EAAI2mG,YAAY1yC,GACxB2yC,EAAgB5mG,EAAI2mG,YAAY3mG,EAAI6mG,YAAYz4D,EAAUq4D,YAAYp9F,IAAM8zC,EAAO/O,EAAU04D,UAAUz9F,IAAM8zC,IAExG/0D,EAAI,EAAG0kF,EAAQ45B,EAAMp+G,OAAQF,EAAI0kF,EAAO1kF,IAC/C,IAAyC,IAArCw+G,EAAc73G,QAAQ23G,EAAMt+G,IAC9B,OAAO,EAIX,OAAO,IA0BP2+G,EAAY,SAAmB1oG,GACjC,OAAOA,EAAM,GAAG2B,KAAI,SAAUi6C,EAAG7xD,GAC/B,OAAOiW,EAAM2B,KAAI,SAAUgnG,GACzB,OAAOA,EAAO5+G,UA+BhB6+G,EAAgC,SAAuCC,GAGzE,IAFA,IAAIlnG,EAAM2kG,EAAkB6B,SAASz7G,IAAIm8G,GACrCC,EAAO,GACFC,EAAW,EAAGA,EAAWpnG,EAAIi1D,OAAQmyC,IAAY,CAIxD,IAHA,IAAIC,EAAW,GACX9rD,EAAO,GAEF+rD,EAAW,EAAGA,EAAWtnG,EAAIuzD,MAAO+zC,IAAY,CACvD,IAAIC,EAAUvnG,EAAIA,IAAIonG,EAAWpnG,EAAIuzD,MAAQ+zC,GACzCE,EAAON,EAAU9jD,OAAOmkD,GACxBtzC,EAAOj0D,EAAIynG,SAASF,GACpBhsD,EAAKgsD,IAAYtzC,EAAK9F,MAAQi5C,EAChCC,EAAS3oG,KAAK,OAGhB68C,EAAKgsD,IAAW,EAEhBF,EAAS3oG,KAAK8oG,IAGhBL,EAAKzoG,KAAK2oG,GAGZ,OAAOF,GA6BLO,EAAgC,SAAuCR,EAAWS,GAGpF,IAFA,IAAIC,EAAS,GACT5nG,EAAM2kG,EAAkB6B,SAASz7G,IAAIm8G,GAChCE,EAAW,EAAGA,EAAWpnG,EAAIi1D,OAAQmyC,IAAY,CAIxD,IAHA,IAAIS,EAAMX,EAAU9uD,MAAMgvD,GACtBC,EAAW,GAENC,EAAW,EAAGA,EAAWtnG,EAAIuzD,MAAO+zC,IAC3C,GAAKK,EAAaP,GAAUE,GAA5B,CAGA,IAAIC,EAAUvnG,EAAIA,IAAIonG,EAAWpnG,EAAIuzD,MAAQ+zC,GAEzCE,EAAOG,EAAaP,GAAUE,GAE9BQ,EADUZ,EAAU9jD,OAAOmkD,GACTv4G,KAAKw5D,cAAc/gE,OAAO0G,OAAO,GAAIq5G,EAAK70D,OAAQ60D,EAAKvwG,QAASuwG,EAAK5zD,OAC3FyzD,EAAS3oG,KAAKopG,GAGhBF,EAAOlpG,KAAKmpG,EAAI74G,KAAKw5D,cAAcq/C,EAAIl1D,MAAO00D,EAAUQ,EAAIj0D,QAK9D,OAFeszD,EAAUl4G,KAAKw5D,cAAc0+C,EAAUv0D,MAAOi1D,EAAQV,EAAUtzD,QAsB7Em0D,EAAuB,SAA8BZ,EAAMa,EAAeC,EAAeC,GAC3F,IAAInnE,EAAYinE,EAAc,GAAKC,EAAc,IAAM,EAAI,EAEvDE,EAAgBhB,EAAKhrD,OAAO6rD,EAAc,GAAIA,EAAc1/G,QAC5D8/G,EAAiBD,EAAc7/G,OAAS,GAAM,EAAI,EAAI,EACtD+D,OAAS,EAWb,OAREA,GADyB,IAAvB67G,GAA0C,IAAdnnE,EACrBknE,EAAc,GAAK,EACG,IAAtBC,IAA0C,IAAfnnE,EAC3BknE,EAAcA,EAAc3/G,OAAS,GAAK8/G,EAAiB,GAE5C,IAAfrnE,EAAmBknE,EAAc,GAAKA,EAAcA,EAAc3/G,OAAS,GAAK8/G,EAG3FjB,EAAKhrD,OAAOjmD,MAAMixG,EAAM,CAAC96G,EAAQ,GAAG8G,OAAOg1G,IACpChB,GAGLkB,EAAwB,SAA+BC,EAAaC,EAAaC,EAASx5G,GAC5F,IACIy5G,EAAe,kDAAqDz5G,EAAO,IAAMs5G,EAAc,OAASC,EAAc,8DAE1H,GAAkB,KAHFD,EAAcC,GAAe,EAAI,IAI/C,IAAmE,IAA/DC,EAAQp1G,MAAM,EAAGo1G,EAAQlgH,OAAS,GAAGyG,QAAQw5G,GAC/C,MAAM,IAAIprG,MAAMsrG,QAGlB,IAA+C,IAA3CD,EAAQp1G,MAAM,GAAGrE,QAAQw5G,GAC3B,MAAM,IAAIprG,MAAMsrG,GAIpB,OAAO,GAULC,EAAiB,SAAwB5J,GAC3C,OAAO,SAAUllC,GACf,IAAIpqB,EAAQoqB,EAAKpqB,MACjB,OAAOm5D,EAA2Bn5D,EAAOsvD,KAWzC6J,EAA6B,SAAoC95D,EAAMiwD,GACzE,IAAK,IAAI12G,EAAIymD,EAAKG,MAAO5mD,EAAI,EAAGA,IAAK,CACnC,IAAIomD,EAAOK,EAAKL,KAAKpmD,GACrB,GAAI02G,EAAUtwD,GACZ,MAAO,CACLnlC,IAAKjhB,EAAI,EAAIymD,EAAKK,OAAO9mD,GAAK,EAC9B+0D,MAAOtO,EAAKsO,MAAM/0D,GAClB4mD,MAAO5mD,EACPomD,KAAMA,KAcVo6D,EAAmB,SAA0B9J,EAAWiF,GAC1D,OAAO,SAAU31D,GACf,IAAI97C,EAASo2G,EAAe5J,EAAf4J,CAA0Bt6D,GACvC,GAAI97C,EACF,OAAOu2G,EAAgBv2G,EAAO+W,IAAK06F,KAarC+E,EAAgB,SAAuBhK,GACzC,OAAO,SAAU1wD,GACf,QAASs6D,EAAe5J,EAAf4J,CAA0Bt6D,KAUnCy2D,EAAuB,SAA8BnyD,GACvD,OAAO,SAAUtE,GACf,OAAOs6D,GAAe,SAAUl6D,GAC9B,OAAOw3D,EAActzD,EAAUlE,KAD1Bk6D,CAEJt6D,KA6EH26D,EAA2B,SAAkC36D,GAC/D,IAAIqD,EAAarD,EAAUoB,MAAMiC,WAE7Bu3D,EAAiBvE,EAAiBjvD,UAAUhD,SAASpE,EAAUoB,OAAQ,GAC3E,GAAIw5D,GAAkBv3D,EAAY,CAEhC,IAAIn/C,EAASuyG,EAAqBpzD,EAAWziD,KAAhC61G,CAAsCmE,GACnD,OAAI12G,EACKA,EAAO+W,IAET2/F,EAAex5D,MAAMnmC,MAW5Bw/F,EAAkB,SAAyBp/F,EAAUs6F,GACvD,IAAIh6C,EAAMg6C,EAASt6F,GACf+kC,EAAOub,EAAIvb,KAAKlqC,WAAWylD,EAAI7qB,QAEnC,OAAI6qB,EAAIvb,KAAKkE,WAAaoQ,KAAKmmD,UACtBl/C,EAAIvb,KAAKjrC,WAGbirC,GAAQA,EAAKkE,WAAaoQ,KAAKmmD,UAI7Bz6D,EAHEub,EAAIvb,MAYXkjC,EAAU,SAAiBljC,GAC7B,IAAI06D,IAAUh/G,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,KAAmBA,UAAU,GAE7E,IAAKskD,EACH,MAAM,IAAIrxC,MAAM,4BAElB,IAAInT,EAAS,GAOb,OANAwkD,EAAK4O,aAAY,SAAUhF,EAAO/uC,GAEhC,GADArf,EAAO0U,KAAK,CAAE8vC,KAAM4J,EAAO/uC,IAAKA,KAC3B6/F,EACH,OAAO,KAGJl/G,GASLm/G,EAAe,SAAsB36D,EAAMswD,EAAWoK,GACxD,IAAK16D,EACH,MAAM,IAAIrxC,MAAM,4BACX,IAAK2hG,EACV,MAAM,IAAI3hG,MAAM,iCAElB,OAAOu0E,EAAQljC,EAAM06D,GAASllG,QAAO,SAAUo0C,GAC7C,OAAO0mD,EAAU1mD,EAAM5J,UA0DvB46D,EAAqB,SAA4B56D,EAAMkE,EAAUw2D,GACnE,OAAOC,EAAa36D,GAAM,SAAU4J,GAClC,OAAOA,EAAMppD,OAAS0jD,IACrBw2D,IAmCL,IAAIG,EAAY,SAAmBj7D,GACjC,OAAOs6D,GAAe,SAAUl6D,GAC9B,OAAOA,EAAKx/C,KAAK8/C,KAAKs3D,WAA0C,UAA7B53D,EAAKx/C,KAAK8/C,KAAKs3D,YAD7CsC,CAEJt6D,IAWDk7D,EAAkB,SAAyBl7D,GAC7C,OAAOA,aAAqBu2D,EAAkB4E,eAoE5CC,EAAkB,SAAyBp7D,GAC7C,GAAIk7D,EAAgBl7D,GAAY,CAC9B,IAAIpuC,EAAM2kG,EAAkB6B,SAASz7G,IAAIqjD,EAAUq4D,YAAYj4D,MAAM,IACrE,OAAO+3D,EAAe,CACpBjyC,KAAM,EACNC,MAAOv0D,EAAIuzD,MACXpF,IAAK,EACLkG,OAAQr0D,EAAIi1D,QAJPsxC,CAKJn4D,GAGL,OAAO,GASLq7D,EAAmB,SAA0BC,GAC/C,OAAO,SAAUt7D,GACf,IAAIse,EAAQ28C,EAAUj7D,GACtB,GAAIse,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAE/C,OADc/vC,MAAM9W,QAAQ+hH,GAAeA,EAAcjrG,MAAM6c,KAAK,CAACouF,KACtDpkD,QAAO,SAAUqkD,EAAK/sG,GACnC,GAAIA,GAAS,GAAKA,GAASoD,EAAIuzD,MAAQ,EAAG,CACxC,IAAImzC,EAAQ1mG,EAAI2mG,YAAY,CAC1BryC,KAAM13D,EACN23D,MAAO33D,EAAQ,EACfuxD,IAAK,EACLkG,OAAQr0D,EAAIi1D,SAEd,OAAO00C,EAAIx2G,OAAOuzG,EAAM1mG,KAAI,SAAU6uF,GACpC,IAAIrgD,EAAOke,EAAMle,KAAK4U,OAAOyrC,GACzBxlF,EAAMwlF,EAAUniC,EAAMvP,MAC1B,MAAO,CAAE9zC,IAAKA,EAAK8zC,MAAO9zC,EAAM,EAAGmlC,KAAMA,UAG5C,OAWLo7D,EAAgB,SAAuBxC,GACzC,OAAO,SAAUh5D,GACf,IAAIse,EAAQ28C,EAAUj7D,GACtB,GAAIse,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAE/C,OADc/vC,MAAM9W,QAAQy/G,GAAYA,EAAW3oG,MAAM6c,KAAK,CAAC8rF,KAChD9hD,QAAO,SAAUqkD,EAAK/sG,GACnC,GAAIA,GAAS,GAAKA,GAASoD,EAAIi1D,OAAS,EAAG,CACzC,IAAIyxC,EAAQ1mG,EAAI2mG,YAAY,CAC1BryC,KAAM,EACNC,MAAOv0D,EAAIuzD,MACXpF,IAAKvxD,EACLy3D,OAAQz3D,EAAQ,IAElB,OAAO+sG,EAAIx2G,OAAOuzG,EAAM1mG,KAAI,SAAU6uF,GACpC,IAAIrgD,EAAOke,EAAMle,KAAK4U,OAAOyrC,GACzBxlF,EAAMwlF,EAAUniC,EAAMvP,MAC1B,MAAO,CAAE9zC,IAAKA,EAAK8zC,MAAO9zC,EAAM,EAAGmlC,KAAMA,UAG5C,OA6BLq7D,EAAS,SAAgB76G,GAC3B,OAAO,SAAU4N,EAAOuyE,GACtB,OAAO,SAAU9gC,GACf,IAAIqe,EAAQ28C,EAAUh7D,EAAGD,WACrB07D,EAA0B,QAAT96G,EACrB,GAAI09D,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAG/C,GAAI5xC,GAAS,GAAKA,GAASktG,EAAiB9pG,EAAIi1D,OAASj1D,EAAIuzD,OAAQ,CACnE,IAAIe,EAAOw1C,EAAiB,EAAIltG,EAC5BuxD,EAAM27C,EAAiBltG,EAAQ,EAC/B23D,EAAQu1C,EAAiB9pG,EAAIuzD,MAAQ32D,EAAQ,EAC7Cy3D,EAASy1C,EAAiBltG,EAAQ,EAAIoD,EAAIi1D,OAE9C,GAAIka,EAAQ,CACV,IAAIq4B,EAAOuC,EAAqB17D,EAAGD,UAAUoB,OAC7C,IAAKg4D,EACH,OAAOn5D,EAGT,IAAI27D,EAAUhqG,EAAIynG,SAASD,EAAKn+F,IAAMqjD,EAAMvP,OACxC2sD,GACF37C,EAAMlnE,KAAKkK,IAAIg9D,EAAK67C,EAAQ77C,KAC5BkG,EAASptE,KAAKkwB,IAAIk9C,EAAQ21C,EAAQ31C,UAElCC,EAAOrtE,KAAKkK,IAAImjE,EAAM01C,EAAQ11C,MAC9BC,EAAQttE,KAAKkwB,IAAIo9C,EAAOy1C,EAAQz1C,QAIpC,IAAI01C,EAAkBjqG,EAAI2mG,YAAY,CACpCryC,KAAMA,EACNnG,IAAKA,EACLoG,MAAOu1C,EAAiBv1C,EAAQD,EAAO,EACvCD,OAAQy1C,EAAiB37C,EAAM,EAAIkG,IAGjC61C,EAAiB71C,EAASlG,GAAQ,EAAI87C,EAAkBjqG,EAAI2mG,YAAY,CAC1EryC,KAAMw1C,EAAiBx1C,EAAOC,EAAQ,EACtCpG,IAAK27C,EAAiBz1C,EAAS,EAAIlG,EACnCoG,MAAOA,EACPF,OAAQA,IAGNtyD,EAAO2qD,EAAMvP,MAAQ8sD,EAAgB,GACrCzoE,EAASkrB,EAAMvP,MAAQ+sD,EAAeA,EAAe5hH,OAAS,GAC9D2nD,EAAQ5B,EAAGY,IAAIziC,QAAQzK,GACvBmuC,EAAU7B,EAAGY,IAAIziC,QAAQg1B,GAE7B,OAAO2jE,EAAQ92D,EAAGqC,aAAa,IAAIi0D,EAAkB4E,cAAcr5D,EAASD,MAGhF,OAAO5B,KAcT87D,EAAeN,EAAO,UAWtBO,EAAYP,EAAO,OAmcnBQ,EAAa,SAAoBjD,GACnC,OAAO,SAAU/4D,GACf,IAAIqe,EAAQ28C,EAAUh7D,EAAGD,WACzB,GAAIse,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAE/C,GAAI44D,GAAY,GAAKA,GAAYpnG,EAAIi1D,OAAQ,CAK3C,IAJA,IAAIiyC,EAAYx6C,EAAMle,KAClB87D,EAAapE,EAAegB,EAAUl4G,KAAK8qD,QAE3CywD,EAAS79C,EAAMvP,MACV/0D,EAAI,EAAGA,EAAIg/G,EAAW,EAAGh/G,IAChCmiH,GAAUrD,EAAU9uD,MAAMhwD,GAAGypD,SAG/B,IAAI24D,EAAWtD,EAAU9uD,MAAMgvD,GAE3BV,EAAQ,GACR+D,EAAW,EAWf,GAVAD,EAASviH,SAAQ,SAAUu/G,GAGE,IAAvBA,EAAK70D,MAAM+3D,UACbD,GAAYjD,EAAK70D,MAAMg4D,QACvBjE,EAAMhoG,KAAK4rG,EAAW9C,EAAKx4G,KAAK8/C,KAAKs3D,WAAW31D,cAAc+2D,EAAK70D,MAAO60D,EAAK5zD,YAK/E62D,EAAWzqG,EAAIuzD,MAAO,CAYxB,IAXA,IAAIq3C,EAAe,GAEfC,EAAQ,SAAe1pG,GACzB,IAAI2pG,EAAaC,EAAiB5pG,GAAI,SAAUqmG,EAAMn5D,GACpD,IAAIq8D,EAAUlD,EAAKh5D,KAAKmE,MAAM+3D,QAE9B,OAAOA,EAAU,GADDvpG,EAAKupG,EACatD,IAHnB2D,CAId18D,GACHu8D,EAAalsG,KAAKxI,MAAM00G,EAtvBpC,SAA4BhqF,GAAO,GAAIniB,MAAM9W,QAAQi5B,GAAM,CAAE,IAAK,IAAIx4B,EAAI,EAAGmxE,EAAO96D,MAAMmiB,EAAIt4B,QAASF,EAAIw4B,EAAIt4B,OAAQF,IAAOmxE,EAAKnxE,GAAKw4B,EAAIx4B,GAAM,OAAOmxE,EAAe,OAAO96D,MAAM6c,KAAKsF,GAsvBxIo4C,CAAmB8xC,KAGlD3pG,EAAKimG,EAAUjmG,GAAM,EAAGA,IAC/B0pG,EAAM1pG,GAGJypG,EAAatiH,QACfsiH,EAAa3iH,SAAQ,SAAUu/G,GAC7Bn5D,EAAK28D,EAAaxD,EAAM,CACtBkD,QAASlD,EAAKh5D,KAAKmE,MAAM+3D,QAAU,GADhCM,CAEF38D,MAKT,OAAOm3D,EAAW8E,EAAWzC,IAAIxyG,OAAOm1G,EAAS73D,MAAO+zD,GAAQ6D,EAAzD/E,CAAiEn3D,IAG5E,OAAOA,IAYP48D,EAAiB,SAAwBvB,GAC3C,OAAO,SAAUr7D,GACf,IAAIqe,EAAQ28C,EAAUh7D,EAAGD,WACzB,GAAIse,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAC/C,GAAoB,IAAhBk7D,GAAmC,IAAd1pG,EAAIuzD,MAC3B,OAAO23C,EAAY78D,GACd,GAAIq7D,GAAe,GAAKA,GAAe1pG,EAAIuzD,MAMhD,OALAoxC,EAAkBwG,aAAa98D,EAAI,CACjCruC,IAAKA,EACLorG,WAAY1+C,EAAMvP,MAClBuP,MAAOA,EAAMle,MACZk7D,GACIvE,EAAQ92D,GAGnB,OAAOA,IAYPg9D,EAAc,SAAqBjE,GACrC,OAAO,SAAU/4D,GACf,IAAIqe,EAAQ28C,EAAUh7D,EAAGD,WACzB,GAAIse,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAC/C,GAAiB,IAAb44D,GAAiC,IAAfpnG,EAAIi1D,OACxB,OAAOi2C,EAAY78D,GACd,GAAI+4D,GAAY,GAAKA,GAAYpnG,EAAIi1D,OAM1C,OALA0vC,EAAkB2G,UAAUj9D,EAAI,CAC9BruC,IAAKA,EACLorG,WAAY1+C,EAAMvP,MAClBuP,MAAOA,EAAMle,MACZ44D,GACIjC,EAAQ92D,GAGnB,OAAOA,IAYP68D,EAAc,SAAqB78D,GAGrC,IAFA,IAAImB,EAAQnB,EAAGD,UAAUoB,MAEhBR,EAAQQ,EAAMR,MAAOA,EAAQ,EAAGA,IAAS,CAEhD,GAAiC,UADtBQ,EAAMhB,KAAKQ,GACbhgD,KAAK8/C,KAAKs3D,UACjB,OAAOjB,EAAQ92D,EAAGuD,OAAOpC,EAAMN,OAAOF,GAAQQ,EAAMH,MAAML,KAG9D,OAAOX,GA2LL28D,EAAe,SAAsBxD,EAAM70D,GAC7C,OAAO,SAAUtE,GACf,OAAIm5D,GACFn5D,EAAGgD,cAAcm2D,EAAKn+F,IAAK,KAAM5hB,OAAO0G,OAAO,GAAIq5G,EAAKh5D,KAAKmE,MAAOA,IAC7DwyD,EAAQ92D,IAEVA,IAmDP07D,EAAuB,SAA8Bl7D,GAIvD,OAAO85D,EAA2B95D,GAHlB,SAAmBL,GACjC,OAAOA,EAAKx/C,KAAK8/C,KAAKs3D,WAAa,QAAQ9qG,KAAKkzC,EAAKx/C,KAAK8/C,KAAKs3D,eAa/DmF,EAA2B,SAAkC18D,GAC/D,IAAI24D,EAAOuC,EAAqBl7D,GAChC,GAAI24D,EAAM,CACR,IAAI96C,EApnDoB,SAA+B7d,GAIzD,OAAO85D,EAA2B95D,GAHlB,SAAmBL,GACjC,OAAOA,EAAKx/C,KAAK8/C,KAAKs3D,WAAa,SAAS9qG,KAAKkzC,EAAKx/C,KAAK8/C,KAAKs3D,cAknDpDoF,CAAsB38D,GAC9B7uC,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAC3C+4D,EAAUC,EAAKn+F,IAAMqjD,EAAMvP,MAC/B,OAAOn9C,EAAI6mG,YAAYU,EAASA,KAIhCwD,EAAmB,SAA0B3D,EAAUtI,GACzD,OAAO,SAAUzwD,GACf,IAAIy8D,EAAa,GACbpE,EAAQkD,EAAcxC,EAAdwC,CAAwBv7D,EAAGD,WACvC,GAAIs4D,EACF,IAAK,IAAI3jG,EAAI2jG,EAAMp+G,OAAS,EAAGya,GAAK,EAAGA,IACjC+7F,EAAU4H,EAAM3jG,GAAIsrC,IACtBy8D,EAAWpsG,KAAKgoG,EAAM3jG,IAK5B,OAAO+nG,IAUPW,GAA4B,SAAmC/B,GACjE,OAAO,SAAUr7D,GAqBf,IApBA,IAAIyS,EAAa4oD,EACb3oD,EAAW2oD,EAIXgC,EAAS,SAAgBtjH,GAC3B,IAAIs+G,EAAQ+C,EAAiBrhH,EAAjBqhH,CAAoBp7D,EAAGD,WAC/Bs4D,GACFA,EAAMz+G,SAAQ,SAAUu/G,GACtB,IAAImE,EAAgBnE,EAAKh5D,KAAKmE,MAAMg4D,QAAUviH,EAAI,EAC9CujH,GAAiB7qD,IACnBA,EAAa14D,GAEXujH,EAAgB5qD,IAClBA,EAAW4qD,OAMVvjH,EAAIshH,EAAathH,GAAK,EAAGA,IAChCsjH,EAAOtjH,GAIT,IAAIwjH,EAAS,SAAgBxjH,GAC3B,IAAIs+G,EAAQ+C,EAAiBrhH,EAAjBqhH,CAAoBp7D,EAAGD,WAC/Bs4D,GACFA,EAAMz+G,SAAQ,SAAUu/G,GACtB,IAAImE,EAAgBnE,EAAKh5D,KAAKmE,MAAMg4D,QAAUviH,EAAI,EAC9Co/G,EAAKh5D,KAAKmE,MAAMg4D,QAAU,GAAKgB,EAAgB5qD,IACjDA,EAAW4qD,OAMnB,IAASvjH,EAAIshH,EAAathH,GAAK24D,EAAU34D,IACvCwjH,EAAOxjH,GAIT,IAAIyjH,EAAU,GACd,IAASzjH,EAAI04D,EAAY14D,GAAK24D,EAAU34D,IAAK,CAC3C,IAAI0jH,EAAarC,EAAiBrhH,EAAjBqhH,CAAoBp7D,EAAGD,WACpC09D,GAAcA,EAAWxjH,QAC3BujH,EAAQntG,KAAKtW,GAGjB04D,EAAa+qD,EAAQ,GACrB9qD,EAAW8qD,EAAQA,EAAQvjH,OAAS,GAOpC,IALA,IAAIyjH,EAA2BtC,EAAiB3oD,EAAjB2oD,CAA6Bp7D,EAAGD,WAC3D49D,EAAgBpC,EAAc,EAAdA,CAAiBv7D,EAAGD,WACpC8B,EAAU7B,EAAGY,IAAIziC,QAAQu/F,EAAyBA,EAAyBzjH,OAAS,GAAG+gB,KAEvF4iG,OAAW,EACNC,EAAMnrD,EAAUmrD,GAAOprD,EAAYorD,IAAO,CACjD,IAAIC,EAAc1C,EAAiByC,EAAjBzC,CAAsBp7D,EAAGD,WAC3C,GAAI+9D,GAAeA,EAAY7jH,OAAQ,CACrC,IAAK,IAAIya,EAAIipG,EAAc1jH,OAAS,EAAGya,GAAK,EAAGA,IAC7C,GAAIipG,EAAcjpG,GAAGsG,MAAQ8iG,EAAY,GAAG9iG,IAAK,CAC/C4iG,EAAWE,EAAY,GACvB,MAGJ,GAAIF,EACF,OAMN,MAAO,CAAE/7D,QAASA,EAASD,MADf5B,EAAGY,IAAIziC,QAAQy/F,EAAS5iG,KACKwiG,QAASA,KAUlDO,GAAyB,SAAgChF,GAC3D,OAAO,SAAU/4D,GAkBf,IAjBA,IAAIyS,EAAasmD,EACbrmD,EAAWqmD,EAGXiF,EAAS,SAAgBjkH,GACfwhH,EAAcxhH,EAAdwhH,CAAiBv7D,EAAGD,WAC1BnmD,SAAQ,SAAUu/G,GACtB,IAAImE,EAAgBnE,EAAKh5D,KAAKmE,MAAM+3D,QAAUtiH,EAAI,EAC9CujH,GAAiB7qD,IACnBA,EAAa14D,GAEXujH,EAAgB5qD,IAClBA,EAAW4qD,OAKRvjH,EAAIg/G,EAAUh/G,GAAK,EAAGA,IAC7BikH,EAAOjkH,GAIT,IAAIkkH,EAAS,SAAgBlkH,GACfwhH,EAAcxhH,EAAdwhH,CAAiBv7D,EAAGD,WAC1BnmD,SAAQ,SAAUu/G,GACtB,IAAImE,EAAgBnE,EAAKh5D,KAAKmE,MAAM+3D,QAAUtiH,EAAI,EAC9Co/G,EAAKh5D,KAAKmE,MAAM+3D,QAAU,GAAKiB,EAAgB5qD,IACjDA,EAAW4qD,OAKjB,IAASvjH,EAAIg/G,EAAUh/G,GAAK24D,EAAU34D,IACpCkkH,EAAOlkH,GAIT,IAAIyjH,EAAU,GACd,IAASzjH,EAAI04D,EAAY14D,GAAK24D,EAAU34D,IAAK,CAC3C,IAAI0jH,EAAalC,EAAcxhH,EAAdwhH,CAAiBv7D,EAAGD,WACjC09D,GAAcA,EAAWxjH,QAC3BujH,EAAQntG,KAAKtW,GAGjB04D,EAAa+qD,EAAQ,GACrB9qD,EAAW8qD,EAAQA,EAAQvjH,OAAS,GAOpC,IALA,IAAIikH,EAAwB3C,EAAc9oD,EAAd8oD,CAA0Bv7D,EAAGD,WACrDo+D,EAAmB/C,EAAiB,EAAjBA,CAAoBp7D,EAAGD,WAC1C8B,EAAU7B,EAAGY,IAAIziC,QAAQ+/F,EAAsBA,EAAsBjkH,OAAS,GAAG+gB,KAEjF4iG,OAAW,EACNQ,EAAM1rD,EAAU0rD,GAAO3rD,EAAY2rD,IAAO,CACjD,IAAIpF,EAAWuC,EAAc6C,EAAd7C,CAAmBv7D,EAAGD,WACrC,GAAIi5D,GAAYA,EAAS/+G,OAAQ,CAC/B,IAAK,IAAIya,EAAIypG,EAAiBlkH,OAAS,EAAGya,GAAK,EAAGA,IAChD,GAAIypG,EAAiBzpG,GAAGsG,MAAQg+F,EAAS,GAAGh+F,IAAK,CAC/C4iG,EAAW5E,EAAS,GACpB,MAGJ,GAAI4E,EACF,OAMN,MAAO,CAAE/7D,QAASA,EAASD,MADf5B,EAAGY,IAAIziC,QAAQy/F,EAAS5iG,KACKwiG,QAASA,KAItD3lH,EAAQ++G,gBAAkBA,EAC1B/+G,EAAQ2/G,UAAYA,EACpB3/G,EAAQ+gH,8BAAgCA,EACxC/gH,EAAQwhH,8BAAgCA,EACxCxhH,EAAQwiH,eAAiBA,EACzBxiH,EAAQyiH,2BAA6BA,EACrCziH,EAAQ0iH,iBAAmBA,EAC3B1iH,EAAQ4iH,cAAgBA,EACxB5iH,EAAQ2+G,qBAAuBA,EAC/B3+G,EAAQwmH,iCAngD+B,SAA0C79D,EAAM6D,GACrF,OAAOi2D,EAA2B95D,GAAM,SAAUL,GAChD,OAAOw3D,EAActzD,EAAUlE,OAkgDnCtoD,EAAQymH,oBAt/CkB,SAA6Bj6D,GACrD,OAAO,SAAUtE,GACf,OAAO06D,GAAc,SAAUt6D,GAC7B,OAAOw3D,EAActzD,EAAUlE,KAD1Bs6D,CAEJ16D,KAm/CPloD,EAAQ0mH,uBAx+CqB,SAAgCl6D,EAAUqxD,GACrE,OAAO,SAAU31D,GACf,OAAOw6D,GAAiB,SAAUp6D,GAChC,OAAOw3D,EAActzD,EAAUlE,KAC9Bu1D,EAFI6E,CAEMx6D,KAq+CjBloD,EAAQ2mH,uBAt9CqB,SAAgCn6D,GAC3D,OAAO,SAAUtE,GACf,GAAI62D,EAAgB72D,GAAY,CAC9B,IAAII,EAAOJ,EAAUI,KACjBgB,EAAQpB,EAAUoB,MAEtB,GAAIw2D,EAActzD,EAAUlE,GAC1B,MAAO,CAAEA,KAAMA,EAAMnlC,IAAKmmC,EAAMnmC,IAAK2lC,MAAOQ,EAAMR,UAg9C1D9oD,EAAQ6iH,yBAA2BA,EACnC7iH,EAAQ2iH,gBAAkBA,EAC1B3iH,EAAQwrF,QAAUA,EAClBxrF,EAAQijH,aAAeA,EACvBjjH,EAAQ4mH,cAv3CY,SAAuBt+D,EAAM06D,GAC/C,OAAOC,EAAa36D,GAAM,SAAU4J,GAClC,OAAOA,EAAMJ,SACZkxD,IAq3CLhjH,EAAQ6mH,gBA52Cc,SAAyBv+D,EAAM06D,GACnD,OAAOC,EAAa36D,GAAM,SAAU4J,GAClC,OAAOA,EAAMlC,WACZgzD,IA02CLhjH,EAAQ8mH,eAj2Ca,SAAwBx+D,EAAM06D,GACjD,OAAOC,EAAa36D,GAAM,SAAU4J,GAClC,OAAOA,EAAMvH,UACZq4D,IA+1CLhjH,EAAQ+mH,mBAt1CiB,SAA4Bz+D,EAAMswD,EAAWoK,GACpE,OAAOC,EAAa36D,GAAM,SAAU4J,GAClC,QAAS0mD,EAAU1mD,EAAMzF,SACxBu2D,IAo1CLhjH,EAAQkjH,mBAAqBA,EAC7BljH,EAAQgnH,mBAh0CiB,SAA4B1+D,EAAM0E,EAAUg2D,GACnE,OAAOC,EAAa36D,GAAM,SAAU4J,GAClC,OAAOlF,EAASQ,QAAQ0E,EAAMxE,SAC7Bs1D,IA8zCLhjH,EAAQgrE,SAnzCO,SAAkB1iB,EAAMkE,GACrC,QAAS02D,EAAmB56D,EAAMkE,GAAUpqD,QAmzC9CpC,EAAQmjH,UAAYA,EACpBnjH,EAAQojH,gBAAkBA,EAC1BpjH,EAAQinH,iBAlxCe,SAA0B/+D,GAC/C,GAAKk7D,EAAgBl7D,GAArB,CAGA,IAAI+O,EAAQ/O,EAAUq4D,YAAYtpD,OAAO,GAEzC,OADUwnD,EAAkB6B,SAASz7G,IAAIqjD,EAAUq4D,YAAYj4D,MAAM,IAC1Dq4D,YAAYz4D,EAAUq4D,YAAYp9F,IAAM8zC,EAAO/O,EAAU04D,UAAUz9F,IAAM8zC,KA6wCtFj3D,EAAQknH,iBApwCe,SAA0B1D,GAC/C,OAAO,SAAUt7D,GACf,GAAIk7D,EAAgBl7D,GAAY,CAC9B,IAAIpuC,EAAM2kG,EAAkB6B,SAASz7G,IAAIqjD,EAAUq4D,YAAYj4D,MAAM,IACrE,OAAO+3D,EAAe,CACpBjyC,KAAMo1C,EACNn1C,MAAOm1C,EAAc,EACrBv7C,IAAK,EACLkG,OAAQr0D,EAAIi1D,QAJPsxC,CAKJn4D,GAGL,OAAO,IAyvCXloD,EAAQmnH,cA/uCY,SAAuBjG,GACzC,OAAO,SAAUh5D,GACf,GAAIk7D,EAAgBl7D,GAAY,CAC9B,IAAIpuC,EAAM2kG,EAAkB6B,SAASz7G,IAAIqjD,EAAUq4D,YAAYj4D,MAAM,IACrE,OAAO+3D,EAAe,CACpBjyC,KAAM,EACNC,MAAOv0D,EAAIuzD,MACXpF,IAAKi5C,EACL/yC,OAAQ+yC,EAAW,GAJdb,CAKJn4D,GAGL,OAAO,IAouCXloD,EAAQsjH,gBAAkBA,EAC1BtjH,EAAQujH,iBAAmBA,EAC3BvjH,EAAQ0jH,cAAgBA,EACxB1jH,EAAQonH,gBA3oCc,SAAyBl/D,GAC7C,IAAIse,EAAQ28C,EAAUj7D,GACtB,GAAIse,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAO/C,OANYxuC,EAAI2mG,YAAY,CAC1BryC,KAAM,EACNC,MAAOv0D,EAAIuzD,MACXpF,IAAK,EACLkG,OAAQr0D,EAAIi1D,SAEDj1D,KAAI,SAAU6uF,GACzB,IAAIrgD,EAAOke,EAAMle,KAAK4U,OAAOyrC,GACzBxlF,EAAMwlF,EAAUniC,EAAMvP,MAC1B,MAAO,CAAE9zC,IAAKA,EAAK8zC,MAAO9zC,EAAM,EAAGmlC,KAAMA,QA+nC/CtoD,EAAQikH,aAAeA,EACvBjkH,EAAQkkH,UAAYA,EACpBlkH,EAAQqnH,YApiCU,SAAqBl/D,GACrC,IAAIqe,EAAQ28C,EAAUh7D,EAAGD,WACzB,GAAIse,EAAO,CACT,IACI1sD,EADgB2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MACjCxuC,IAExB,GAAIA,GAAOA,EAAI1X,OAAQ,CACrB,IAAIyZ,EAAO2qD,EAAMvP,MAAQn9C,EAAI,GACzBwhC,EAASkrB,EAAMvP,MAAQn9C,EAAIA,EAAI1X,OAAS,GACxC2nD,EAAQ5B,EAAGY,IAAIziC,QAAQzK,GACvBmuC,EAAU7B,EAAGY,IAAIziC,QAAQg1B,GAE7B,OAAO2jE,EAAQ92D,EAAGqC,aAAa,IAAIi0D,EAAkB4E,cAAcr5D,EAASD,MAGhF,OAAO5B,GAshCTnoD,EAAQsnH,UA1gCQ,SAAmBhG,EAAM1tD,GACvC,OAAO,SAAUzL,GACf,GAAIm5D,EAAM,CACR,IACIvwG,EADwBivG,EAAepsD,GAAQ0tD,KAAK/2D,gBACpBx5C,QAEpC,IAAKuwG,EAAKh5D,KAAKv3C,QAAQugD,GAAGvgD,GAExB,OADAo3C,EAAGmC,YAAYg3D,EAAKn+F,IAAM,EAAGm+F,EAAKn+F,IAAMm+F,EAAKh5D,KAAKqD,SAAU56C,GACrDkuG,EAAQ92D,GAGnB,OAAOA,IAggCXnoD,EAAQunH,YAp/BU,SAAqB/D,GACrC,OAAO,SAAUr7D,GACf,IAAIqe,EAAQ28C,EAAUh7D,EAAGD,WACzB,GAAIse,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAC/C,GAAIk7D,GAAe,GAAKA,GAAe1pG,EAAIuzD,MACzC,OAAO4xC,EAAQR,EAAkB+I,UAAUr/D,EAAI,CAC7CruC,IAAKA,EACLorG,WAAY1+C,EAAMvP,MAClBuP,MAAOA,EAAMle,MACZk7D,IAGP,OAAOr7D,IAw+BXnoD,EAAQynH,QAr1BM,SAAiBC,EAAgBC,EAAgBC,GAC7D,OAAO,SAAUz/D,GACf,IACIliD,EAAU1E,OAAO0G,OADA,CAAE4/G,UAAU,EAAOhtE,UAAW,GACP+sE,GACxCphD,EAAQ28C,EAAUh7D,EAAGD,WACzB,IAAKse,EACH,OAAOre,EAGT,IACI2/D,EADwB5B,GAAuBwB,EAAvBxB,CAAuC/9D,GACtBw9D,QAGzCoC,EADyB7B,GAAuByB,EAAvBzB,CAAuC/9D,GACtBw9D,QAE9C,GAAImC,EAAiBj/G,QAAQ8+G,IAAmB,EAC9C,OAAOx/D,GAGJliD,EAAQ4hH,UAAYE,EAAiB3lH,OAAS,GACjD+/G,EAAsBuF,EAAgBC,EAAgBI,EAAkB,OAG1E,IAAIC,EAp2BW,SAAsBxhD,EAAOs7C,EAAeC,EAAelnE,GAC5E,IAAIomE,EAAOF,EAA8Bv6C,EAAMle,MAI/C,OAFA24D,EAAOY,EAAqBZ,EAAMa,EAAeC,EAAelnE,GAEzD2mE,EAA8Bh7C,EAAMle,KAAM24D,GA+1BhCgH,CAAazhD,EAAOshD,EAAkBC,EAAkB9hH,EAAQ40C,WAE/E,OAAOokE,EAAQ92D,GAAImC,YAAYkc,EAAMrjD,IAAKqjD,EAAMrjD,IAAMqjD,EAAMle,KAAKqD,SAAUq8D,KA6zB/EhoH,EAAQkoH,WAzrBS,SAAoBC,EAAmBC,EAAmBR,GACzE,OAAO,SAAUz/D,GACf,IACIliD,EAAU1E,OAAO0G,OADA,CAAE4/G,UAAU,EAAOhtE,UAAW,GACP+sE,GACxCphD,EAAQ28C,EAAUh7D,EAAGD,WACzB,IAAKse,EACH,OAAOre,EAGT,IACIkgE,EADwB9C,GAA0B4C,EAA1B5C,CAA6Cp9D,GACzBw9D,QAG5C2C,EADyB/C,GAA0B6C,EAA1B7C,CAA6Cp9D,GACzBw9D,QAEjD,GAAI0C,EAAoBx/G,QAAQu/G,IAAsB,EACpD,OAAOjgE,GAGJliD,EAAQ4hH,UAAYS,EAAoBlmH,OAAS,GACpD+/G,EAAsBgG,EAAmBC,EAAmBE,EAAqB,UAGnF,IAAIN,EA1gCc,SAAyBxhD,EAAOs7C,EAAeC,EAAelnE,GAClF,IAAIomE,EAAOJ,EAAUE,EAA8Bv6C,EAAMle,OAKzD,OAHA24D,EAAOY,EAAqBZ,EAAMa,EAAeC,EAAelnE,GAChEomE,EAAOJ,EAAUI,GAEVO,EAA8Bh7C,EAAMle,KAAM24D,GAogChCsH,CAAgB/hD,EAAO6hD,EAAqBC,EAAqBriH,EAAQ40C,WAExF,OAAOokE,EAAQ92D,GAAImC,YAAYkc,EAAMrjD,IAAKqjD,EAAMrjD,IAAMqjD,EAAMle,KAAKqD,SAAUq8D,KAiqB/EhoH,EAAQwoH,SA/oBO,SAAkBtH,EAAUuH,GACzC,OAAO,SAAUtgE,GACf,IAAIqe,EAAQ28C,EAAUh7D,EAAGD,WACzB,GAAIse,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAC3CogE,EAAgBxH,EAAW,EAE/B,GAAIuH,GAAoBC,GAAiB,EACvC,OAAOzJ,EAAQkF,EAAWuE,EAAXvE,CAA0Bh8D,IAG3C,GAAI+4D,GAAY,GAAKA,GAAYpnG,EAAIi1D,OACnC,OAAOkwC,EAAQR,EAAkBkK,OAAOxgE,EAAI,CAC1CruC,IAAKA,EACLorG,WAAY1+C,EAAMvP,MAClBuP,MAAOA,EAAMle,MACZ44D,IAGP,OAAO/4D,IA6nBXnoD,EAAQmkH,WAAaA,EACrBnkH,EAAQ+kH,eAAiBA,EACzB/kH,EAAQmlH,YAAcA,EACtBnlH,EAAQglH,YAAcA,EACtBhlH,EAAQ4oH,sBApeoB,SAA+BzgE,GACzD,IAAID,EAAYC,EAAGD,UAEnB,GAAIo7D,EAAgBp7D,GAClB,OAAO88D,EAAY78D,GAErB,GAAIi7D,EAAgBl7D,GAAY,CAC9B,IAAIse,EAAQ28C,EAAUj7D,GACtB,GAAIse,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAC3CylB,EAAOj0D,EAAI6mG,YAAYz4D,EAAUq4D,YAAYp9F,IAAMqjD,EAAMvP,MAAO/O,EAAU04D,UAAUz9F,IAAMqjD,EAAMvP,OAEpG,GAAiB,GAAb8W,EAAKK,MAAaL,EAAKM,OAASv0D,EAAIuzD,MACtC,OAAO,EAST,IANA,IAAIw7C,EAActnH,OAAO0G,OAAO,GAAI8lE,EAAM,CACxCj0D,IAAKA,EACL0sD,MAAOA,EAAMle,KACb48D,WAAY1+C,EAAMvP,QAGX/0D,EAAI2mH,EAAYx6C,MAAQ,EAC/BowC,EAAkBwG,aAAa98D,EAAI0gE,EAAa3mH,GAC5CA,IAAM2mH,EAAYz6C,KAFalsE,IAKnC2mH,EAAYriD,MAAQqiD,EAAY3D,WAAa/8D,EAAGY,IAAImU,OAAO2rD,EAAY3D,WAAa,GAAK/8D,EAAGY,IAC5F8/D,EAAY/uG,IAAM2kG,EAAkB6B,SAASz7G,IAAIgkH,EAAYriD,OAE/D,OAAOy4C,EAAQ92D,IAGnB,OAAOA,GAocTnoD,EAAQ8oH,mBAzbiB,SAA4B3gE,GACnD,IAAID,EAAYC,EAAGD,UAEnB,GAAIo7D,EAAgBp7D,GAClB,OAAO88D,EAAY78D,GAErB,GAAIi7D,EAAgBl7D,GAAY,CAC9B,IAAIse,EAAQ28C,EAAUj7D,GACtB,GAAIse,EAAO,CACT,IAAI1sD,EAAM2kG,EAAkB6B,SAASz7G,IAAI2hE,EAAMle,MAC3CylB,EAAOj0D,EAAI6mG,YAAYz4D,EAAUq4D,YAAYp9F,IAAMqjD,EAAMvP,MAAO/O,EAAU04D,UAAUz9F,IAAMqjD,EAAMvP,OAEpG,GAAgB,GAAZ8W,EAAK9F,KAAY8F,EAAKI,QAAUr0D,EAAIi1D,OACtC,OAAO,EAST,IANA,IAAI85C,EAActnH,OAAO0G,OAAO,GAAI8lE,EAAM,CACxCj0D,IAAKA,EACL0sD,MAAOA,EAAMle,KACb48D,WAAY1+C,EAAMvP,QAGX/0D,EAAI2mH,EAAY16C,OAAS,EAChCswC,EAAkB2G,UAAUj9D,EAAI0gE,EAAa3mH,GACzCA,IAAM2mH,EAAY5gD,IAFc/lE,IAKpC2mH,EAAYriD,MAAQqiD,EAAY3D,WAAa/8D,EAAGY,IAAImU,OAAO2rD,EAAY3D,WAAa,GAAK/8D,EAAGY,IAC5F8/D,EAAY/uG,IAAM2kG,EAAkB6B,SAASz7G,IAAIgkH,EAAYriD,OAG/D,OAAOy4C,EAAQ92D,IAGnB,OAAOA,GAwZTnoD,EAAQ+oH,yBA7YuB,SAAkCpgE,GAC/D,OAAO,SAAUR,GACf,IAAI4lB,EAAOs3C,EAAyB18D,GACpC,OAAIolB,EACKg3C,EAAeh3C,EAAKK,KAApB22C,CAA0B7F,EAAiBv2D,EAAKxlC,IAAtB+7F,CAA2B/2D,IAEvDA,IAwYXnoD,EAAQgpH,sBA5XoB,SAA+BrgE,GACzD,OAAO,SAAUR,GACf,IAAI4lB,EAAOs3C,EAAyB18D,GACpC,OAAIolB,EACKo3C,EAAYp3C,EAAK9F,IAAjBk9C,CAAsBjG,EAAiBv2D,EAAKxlC,IAAtB+7F,CAA2B/2D,IAEnDA,IAuXXnoD,EAAQipH,oBA1WkB,SAA6BzF,EAAa0F,EAAeC,GACjF,OAAO,SAAUhhE,GACf,IAAIq4D,EAAQ+C,EAAiBC,EAAjBD,CAA8Bp7D,EAAGD,WAC7C,GAAIs4D,EAAO,CACT,IAAK,IAAIt+G,EAAIs+G,EAAMp+G,OAAS,EAAGF,GAAK,EAAGA,IACrCimD,EAAK+gE,EAAc1I,EAAMt+G,GAAIimD,GAE/B,GAAIghE,EAAqB,CACvB,IAAIxgE,EAAOR,EAAGY,IAAIziC,QAAQ6hC,EAAG8C,QAAQnxC,IAAI0mG,EAAMA,EAAMp+G,OAAS,GAAG+gB,MACjEglC,EAAGqC,aAAa+zD,EAAiBjvD,UAAU7E,KAAK9B,IAElD,OAAOs2D,EAAQ92D,GAEjB,OAAOA,IA8VXnoD,EAAQopH,iBAjVe,SAA0BlI,EAAUgI,EAAeC,GACxE,OAAO,SAAUhhE,GACf,IAAIq4D,EAAQkD,EAAcxC,EAAdwC,CAAwBv7D,EAAGD,WACvC,GAAIs4D,EAAO,CACT,IAAK,IAAIt+G,EAAIs+G,EAAMp+G,OAAS,EAAGF,GAAK,EAAGA,IACrCimD,EAAK+gE,EAAc1I,EAAMt+G,GAAIimD,GAE/B,GAAIghE,EAAqB,CACvB,IAAIxgE,EAAOR,EAAGY,IAAIziC,QAAQ6hC,EAAG8C,QAAQnxC,IAAI0mG,EAAMA,EAAMp+G,OAAS,GAAG+gB,MACjEglC,EAAGqC,aAAa+zD,EAAiBjvD,UAAU7E,KAAK9B,KAGpD,OAAOR,IAsUXnoD,EAAQ8kH,aAAeA,EACvB9kH,EAAQqpH,YAtSU,SAAqBz1D,GAcrC,IAbA,IAAI01D,EAAYtlH,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAChFulH,EAAYvlH,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAChFwlH,IAAgBxlH,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,KAAmBA,UAAU,GAC/E4yF,EAAc5yF,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAElFylH,EAAkBzJ,EAAepsD,GACjC81D,EAAYD,EAAgBnI,KAC5BqI,EAAcF,EAAgBG,YAC9BC,EAAWJ,EAAgB9H,IAC3Bn7C,EAAQijD,EAAgBjjD,MAExBg6C,EAAQ,GACRsJ,EAAc,GACT5nH,EAAI,EAAGA,EAAIqnH,EAAWrnH,IAC7Bs+G,EAAMhoG,KAAK2nG,EAAWuJ,EAAW9yB,IAE7B4yB,GACFM,EAAYtxG,KAAK2nG,EAAWwJ,EAAa/yB,IAK7C,IADA,IAAIqqB,EAAO,GACF8I,EAAM,EAAGA,EAAMT,EAAWS,IACjC9I,EAAKzoG,KAAKqxG,EAASvnD,cAAc,KAAMknD,GAAyB,IAARO,EAAYD,EAActJ,IAGpF,OAAOh6C,EAAMlE,cAAc,KAAM2+C,IA4QnCjhH,EAAQ6jH,qBAAuBA,EAC/B7jH,EAAQqlH,yBAA2BA,EACnCrlH,EAAQulH,0BAA4BA,GACpCvlH,EAAQkmH,uBAAyBA,GACjClmH,EAAQgqH,uBAnsEqB,SAAgCx9D,GAC3D,OAAO,SAAUrE,GACf,IAAI/7C,EAASuyG,EAAqBnyD,EAArBmyD,CAA+Bx2D,EAAGD,WAC/C,OAAI97C,EACK2zG,EAAgB3zG,EAAO+W,IAAvB48F,CAA4B53D,GAE9BA,IA8rEXnoD,EAAQ0+G,wBAA0BA,EAClC1+G,EAAQiqH,mBAvpEiB,SAA4B9hE,GACnD,GAAI42D,EAAgB52D,EAAGD,WAAY,CACjC,IAAI9yB,EAAO+yB,EAAGD,UAAUoB,MAAMnmC,IAC1B+d,EAAKinB,EAAGD,UAAUqB,IAAIpmC,IAC1B,OAAO87F,EAAQ92D,EAAGuD,OAAOt2B,EAAM8L,IAEjC,OAAOinB,GAkpETnoD,EAAQ8+G,oBAAsBA,EAC9B9+G,EAAQk/G,iBAAmBA,EAC3Bl/G,EAAQs/G,WAAaA,EACrBt/G,EAAQkqH,oBA7gEkB,SAA6B19D,EAAU1jD,EAAM2jD,EAAOiB,GAC5E,OAAO,SAAUvF,GACf,IAAI/7C,EAASuyG,EAAqBnyD,EAArBmyD,CAA+Bx2D,EAAGD,WAC/C,OAAI97C,EACK6yG,EAAQ92D,EAAGgD,cAAc/+C,EAAO+W,IAAKra,EAAMvH,OAAO0G,OAAO,GAAImE,EAAOk8C,KAAKmE,MAAOA,GAAQiB,IAE1FvF,IAwgEXnoD,EAAQmqH,uBA5/DqB,SAAgC39D,GAC3D,OAAO,SAAUrE,GACf,IAAK42D,EAAgB52D,EAAGD,WAAY,CAClC,IAAI97C,EAASuyG,EAAqBnyD,EAArBmyD,CAA+Bx2D,EAAGD,WAC/C,GAAI97C,EACF,OAAO6yG,EAAQ92D,EAAGqC,aAAa+zD,EAAiB9sD,cAActiD,OAAOg5C,EAAGY,IAAK38C,EAAO+W,OAGxF,OAAOglC,IAq/DXnoD,EAAQoqH,iBAz+De,SAA0BjiE,GAC/C,IAAI5kC,EAAWs/F,EAAyB16D,EAAGD,WAC3C,MAAwB,iBAAb3kC,EACFw8F,EAAgBx8F,EAAhBw8F,CAA0B53D,GAE5BA,I,qxBCnLT,SAASkiE,EAAWC,EAAU79D,GAC5B,OAAO,SAASx+C,EAAOg6C,GACrB,IAAIoB,EAAMp7C,EAAMi6C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVC,EAAQF,EAAMG,WAAWF,GAAMghE,GAAS,EAAOC,EAAahhE,EAChE,IAAKA,EAAS,OAAO,EAErB,GAAIA,EAAMV,OAAS,GAAKQ,EAAMhB,KAAKkB,EAAMV,MAAQ,GAAGhgD,KAAK2iD,kBAAkB6+D,IAAiC,GAApB9gE,EAAMoR,WAAiB,CAE7G,GAAoC,GAAhCtR,EAAM5yC,MAAM8yC,EAAMV,MAAQ,GAAW,OAAO,EAChD,IAAI2hE,EAAUx8G,EAAM86C,IAAIziC,QAAQkjC,EAAMyN,MAAQ,GAC9CuzD,EAAa,IAAI,YAAUC,EAASA,EAASjhE,EAAMV,OAC/CU,EAAMqR,SAAWrR,EAAMp9C,OAAO88C,aAC9BM,EAAQ,IAAI,YAAUF,EAAOr7C,EAAM86C,IAAIziC,QAAQijC,EAAI0C,IAAIzC,EAAMV,QAASU,EAAMV,QAChFyhE,GAAS,EAEX,IAAIr+D,EAAO,YAAas+D,EAAYF,EAAU79D,EAAOjD,GACrD,QAAK0C,IACDjE,GAAYA,EAKpB,SAAsBE,EAAIqB,EAAO27B,EAAUulC,EAAYJ,GAErD,IADA,IAAIv5G,EAAU,WAASuuB,MACdp9B,EAAIijF,EAAS/iF,OAAS,EAAGF,GAAK,EAAGA,IACtC6O,EAAU,WAASqkB,KAAK+vD,EAASjjF,GAAG4G,KAAKqG,OAAOg2E,EAASjjF,GAAGuqD,MAAO17C,IAEvEo3C,EAAGxlC,KAAK,IAAI,IAAkB6mC,EAAMyN,OAASyzD,EAAa,EAAI,GAAIlhE,EAAMyC,IAAKzC,EAAMyN,MAAOzN,EAAMyC,IAClE,IAAI,QAAMl7C,EAAS,EAAG,GAAIo0E,EAAS/iF,QAAQ,IAGzE,IADA,IAAIkuD,EAAQ,EACHvC,EAAM,EAAGA,EAAMo3B,EAAS/iF,OAAQ2rD,IAAao3B,EAASp3B,GAAKjlD,MAAQwhH,IAAYh6D,EAAQvC,EAAM,GAItG,IAHA,IAAI48D,EAAaxlC,EAAS/iF,OAASkuD,EAE/Bs6D,EAAWphE,EAAMyN,MAAQkuB,EAAS/iF,QAAUsoH,EAAa,EAAI,GAAIt+G,EAASo9C,EAAMp9C,OAC3EozD,EAAMhW,EAAMoR,WAAYhkD,EAAI4yC,EAAMqR,SAAUz3C,GAAQ,EAAMo8C,EAAM5oD,EAAG4oD,IAAOp8C,GAAQ,GACpFA,GAAS,YAAS+kC,EAAGY,IAAK6hE,EAAUD,KACvCxiE,EAAGv+C,MAAMghH,EAAUD,GACnBC,GAAY,EAAID,GAElBC,GAAYx+G,EAAO8lD,MAAMsN,GAAK7T,SAEhC,OAAOxD,EAzBoB0iE,CAAa58G,EAAMk6C,GAAIqB,EAAO0C,EAAMq+D,EAAQD,GAAUliE,mBACxE,IA8BX,SAAS0iE,EAAcC,GACrB,OAAO,SAAS98G,EAAOg6C,GACrB,IAAIoB,EAAMp7C,EAAMi6C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVjB,EAAOe,EAAIf,KACf,GAAKA,GAAQA,EAAKqC,SAAYrB,EAAMR,MAAQ,IAAMQ,EAAMW,WAAWV,GAAQ,OAAO,EAClF,IAAIyhE,EAAc1hE,EAAMhB,MAAM,GAC9B,GAAI0iE,EAAYliH,MAAQiiH,EAAY,OAAO,EAC3C,GAAiC,GAA7BzhE,EAAMl9C,OAAO2E,QAAQyS,MAAa8lC,EAAMhB,MAAM,GAAGY,YAAcI,EAAMa,YAAY,GAAI,CAIvF,GAAmB,GAAfb,EAAMR,OAAcQ,EAAMhB,MAAM,GAAGx/C,MAAQiiH,GAC3CzhE,EAAM5yC,OAAO,IAAM4yC,EAAMhB,MAAM,GAAGY,WAAa,EAAK,OAAO,EAC/D,GAAIjB,EAAU,CAIZ,IAHA,IAAIiE,EAAO,WAAS5sB,MAAO2rF,EAAW3hE,EAAM5yC,OAAO,GAAK,EAG/Ci0B,EAAI2e,EAAMR,OAASmiE,EAAW,EAAI,GAAItgF,GAAK2e,EAAMR,MAAQ,EAAGne,IACjEuhB,EAAO,WAAS92B,KAAKk0B,EAAMhB,KAAK3d,GAAGwhB,KAAKD,IAE5CA,EAAOA,EAAK4K,OAAO,WAAS1hC,KAAK21F,EAASxgE,kBAC1C,IAAIgiD,EAAOt+F,EAAMk6C,GAAG3jD,QAAQ8kD,EAAMN,OAAOiiE,EAAW,MAAQ,GAAI3hE,EAAMH,OAAO,GAAI,IAAI,QAAM+C,EAAM++D,EAAW,EAAI,EAAG,IACnH1e,EAAK/hD,aAAav8C,EAAMi6C,UAAUzlD,YAAYgoD,KAAK8hD,EAAKxjD,IAAIziC,QAAQgjC,EAAMnmC,KAAO8nG,EAAW,EAAI,MAChGhjE,EAASskD,EAAKnkD,kBAEhB,OAAO,EAET,IAAIkf,EAAW/d,EAAIpmC,KAAOmmC,EAAM2C,MAAQ++D,EAAY5gE,eAAe,GAAG6T,YAAc,KAChF9V,EAAKl6C,EAAMk6C,GAAGuD,OAAOpC,EAAMnmC,IAAKomC,EAAIpmC,KACpC4nC,EAAQuc,GAAY,CAAC,KAAM,CAACx+D,KAAMw+D,IACtC,QAAK,YAASnf,EAAGY,IAAKO,EAAMnmC,IAAK,EAAG4nC,KAChC9C,GAAYA,EAASE,EAAGv+C,MAAM0/C,EAAMnmC,IAAK,EAAG4nC,GAAO3C,mBAChD,IAOX,SAAS8iE,EAAaH,GACpB,OAAO,SAAS98G,EAAOg6C,GACrB,IAAIoB,EAAMp7C,EAAMi6C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVC,EAAQF,EAAMG,WAAWF,GAAK,SAAUjB,GAAQ,OAAOA,EAAKY,YAAcZ,EAAK9pC,WAAW1V,MAAQiiH,KACtG,QAAKvhE,KACAvB,IACDqB,EAAMhB,KAAKkB,EAAMV,MAAQ,GAAGhgD,MAAQiiH,EAO5C,SAAyB98G,EAAOg6C,EAAU8iE,EAAUvhE,GAClD,IAAIrB,EAAKl6C,EAAMk6C,GAAI8D,EAAMzC,EAAMyC,IAAKk/D,EAAY3hE,EAAMD,IAAI0C,IAAIzC,EAAMV,OAChEmD,EAAMk/D,IAGRhjE,EAAGxlC,KAAK,IAAI,IAAkBspC,EAAM,EAAGk/D,EAAWl/D,EAAKk/D,EACzB,IAAI,QAAM,WAAS/1F,KAAK21F,EAAS57G,OAAO,KAAMq6C,EAAMp9C,OAAO+/C,SAAU,EAAG,GAAI,GAAG,IAC7G3C,EAAQ,IAAI,YAAUrB,EAAGY,IAAIziC,QAAQkjC,EAAMF,MAAMnmC,KAAMglC,EAAGY,IAAIziC,QAAQ6kG,GAAY3hE,EAAMV,QAG1F,OADAb,EAASE,EAAGiB,KAAKI,EAAO,YAAWA,IAAQpB,mBACpC,EAhBMgjE,CAAgBn9G,EAAOg6C,EAAU8iE,EAAUvhE,GAmB1D,SAAuBv7C,EAAOg6C,EAAUuB,GAGtC,IAFA,IAAIrB,EAAKl6C,EAAMk6C,GAAItuC,EAAO2vC,EAAMp9C,OAEvB+W,EAAMqmC,EAAMyC,IAAK/pD,EAAIsnD,EAAMqR,SAAW,EAAGjkD,EAAI4yC,EAAMoR,WAAY14D,EAAI0U,EAAG1U,IAC7EihB,GAAOtJ,EAAKq4C,MAAMhwD,GAAGypD,SACrBxD,EAAGuD,OAAOvoC,EAAM,EAAGA,EAAM,GAE3B,IAAI+2C,EAAS/R,EAAGY,IAAIziC,QAAQkjC,EAAMyN,OAAQl9C,EAAOmgD,EAAO1O,UACpDiF,EAA8B,GAApBjH,EAAMoR,WAAiB/P,EAAQrB,EAAMqR,UAAYhhD,EAAKqvC,WAChE98C,EAAS8tD,EAAO5R,MAAM,GAAI+iE,EAAcnxD,EAAOxjD,OAAO,GAC1D,IAAKtK,EAAO8+C,WAAWmgE,GAAe56D,EAAU,EAAI,GAAI46D,EAAc,EAC/CtxG,EAAKhJ,QAAQ+lD,OAAOjM,EAAQ,WAASvrB,MAAQ,WAASlK,KAAKvb,KAC9E,OAAO,EACX,IAAIo9C,EAAQiD,EAAO/2C,IAAK8oC,EAAMgL,EAAQl9C,EAAK4xC,SAS3C,OALAxD,EAAGxlC,KAAK,IAAI,IAAkBs0C,GAASxG,EAAU,EAAI,GAAIxE,GAAOpB,EAAQ,EAAI,GAAIoM,EAAQ,EAAGhL,EAAM,EACnE,IAAI,SAAOwE,EAAU,WAASnxB,MAAQ,WAASlK,KAAKvb,EAAKsyC,KAAK,WAAS7sB,SAC5Dw3B,OAAOjM,EAAQ,WAASvrB,MAAQ,WAASlK,KAAKvb,EAAKsyC,KAAK,WAAS7sB,SAClEmxB,EAAU,EAAI,EAAG5F,EAAQ,EAAI,GAAI4F,EAAU,EAAI,IACvFxI,EAASE,EAAGC,mBACL,EAvCMkjE,CAAcr9G,EAAOg6C,EAAUuB,MA6C9C,SAAS+hE,EAAaR,GACpB,OAAO,SAAS98G,EAAOg6C,GACrB,IAAIoB,EAAMp7C,EAAMi6C,UACZoB,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACVC,EAAQF,EAAMG,WAAWF,GAAK,SAAUjB,GAAQ,OAAOA,EAAKY,YAAcZ,EAAK9pC,WAAW1V,MAAQiiH,KACtG,IAAKvhE,EAAS,OAAO,EACrB,IAAIoR,EAAapR,EAAMoR,WACvB,GAAkB,GAAdA,EAAmB,OAAO,EAC9B,IAAIxuD,EAASo9C,EAAMp9C,OAAQm/C,EAAan/C,EAAO8lD,MAAM0I,EAAa,GAClE,GAAIrP,EAAWziD,MAAQiiH,EAAY,OAAO,EAE1C,GAAI9iE,EAAU,CACZ,IAAIujE,EAAejgE,EAAW/C,WAAa+C,EAAW/C,UAAU1/C,MAAQsD,EAAOtD,KAC3EqnD,EAAQ,WAAS/6B,KAAKo2F,EAAeT,EAAS57G,SAAW,MACzDjC,EAAQ,IAAI,QAAM,WAASkoB,KAAK21F,EAAS57G,OAAO,KAAM,WAASimB,KAAKhpB,EAAOtD,KAAKqG,OAAO,KAAMghD,MAC3Eq7D,EAAe,EAAI,EAAG,GACxCxiE,EAASQ,EAAMyN,MAAO9N,EAAQK,EAAMyC,IACxChE,EAASh6C,EAAMk6C,GAAGxlC,KAAK,IAAI,IAAkBqmC,GAAUwiE,EAAe,EAAI,GAAIriE,EACjCH,EAAQG,EAAOj8C,EAAO,GAAG,IAC5Dk7C,kBAEZ,OAAO,G;;;;;;AC3NX,SAAS2G,IACP,IAAI1mD,EAAOrE,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAC/E,OAAO,SAAUiK,EAAOg6C,GACtB,IACI9kC,EADQlV,EAAMi6C,UAAUoB,MACZnmC,IAAIA,IAEpB,OADA8kC,EAASh6C,EAAMk6C,GAAG4G,WAAW1mD,EAAM8a,KAC5B,GAIX,SAAS2vD,EAAmBp4C,GAC1B,OAGF,SAA4BA,GAC1B,GAAIniB,MAAM9W,QAAQi5B,GAAM,OAAOq4C,EAAkBr4C,GAJ1Cs4C,CAAmBt4C,IAO5B,SAA0Bu4C,GACxB,GAAsB,oBAAXvyE,QAA0BA,OAAO+hB,YAAYlhB,OAAO0xE,GAAO,OAAO16D,MAAM6c,KAAK69C,GARtDC,CAAiBx4C,IAWrD,SAAqCgO,EAAG0qC,GACtC,IAAK1qC,EAAG,OACR,GAAiB,iBAANA,EAAgB,OAAOqqC,EAAkBrqC,EAAG0qC,GACvD,IAAItyD,EAAIvf,OAAOC,UAAUF,SAASK,KAAK+mC,GAAGx7B,MAAM,GAAI,GAC1C,WAAN4T,GAAkB4nB,EAAEjmC,cAAaqe,EAAI4nB,EAAEjmC,YAAY5B,MACvD,GAAU,QAANigB,GAAqB,QAANA,EAAa,OAAOvI,MAAM6c,KAAKsT,GAClD,GAAU,cAAN5nB,GAAqB,2CAA2C1L,KAAK0L,GAAI,OAAOiyD,EAAkBrqC,EAAG0qC,GAjB9CR,CAA4Bl4C,IA4BzF,WACE,MAAM,IAAIj2B,UAAU,wIA7B2E0uE,GAoBjG,SAASJ,EAAkBr4C,EAAK5iB,IACnB,MAAPA,GAAeA,EAAM4iB,EAAIt4B,UAAQ0V,EAAM4iB,EAAIt4B,QAE/C,IAAK,IAAIF,EAAI,EAAGmxE,EAAO,IAAI96D,MAAMT,GAAM5V,EAAI4V,EAAK5V,IAAKmxE,EAAKnxE,GAAKw4B,EAAIx4B,GAEnE,OAAOmxE,EAqBT,SAASo4C,EAAe55F,EAAQm7B,EAAUqX,GACxC,OAAO,IAAI,IAAUxyC,GAAQ,SAAU5jB,EAAOkI,EAAO8gD,EAAOhL,GAC1D,IAAIQ,EAAQ4X,aAAoBjjE,SAAWijE,EAASluD,GAASkuD,EACzDlc,EAAKl6C,EAAMk6C,GACXl8B,EAAI9V,EAAM/T,OAAS,EACnBspH,EAAUz/D,EACV0/D,EAAY10D,EAEhB,GAAI9gD,EAAM8V,GAAI,CACZ,IAAI2/F,EAAa30D,EAAQ9gD,EAAM,GAAGtN,QAAQsN,EAAM8V,EAAI,IAChD4/F,EAAWD,EAAaz1G,EAAM8V,EAAI,GAAG7pB,OAAS,EAC9C0pH,EAAYF,EAAaz1G,EAAM8V,EAAI,GAAGw/C,YAAYt1D,EAAM8V,IACxD8/F,EAAUD,EAAY31G,EAAM8V,GAAG7pB,OAUnC,GApCN,SAAyB60D,EAAOhL,EAAKh+C,GACnC,IAAIy/C,EAAQ,GAUZ,OATAz/C,EAAM86C,IAAI8D,aAAaoK,EAAOhL,GAAK,SAAU3D,EAAMnlC,GACjDuqC,EAAQ,GAAGzgD,OAAO6lE,EAAmBplB,GAAQolB,EAAmBxqB,EAAKoF,MAAM5zC,KAAI,SAAUs5C,GACvF,MAAO,CACL6D,MAAO9zC,EACP8oC,IAAK9oC,EAAMmlC,EAAKqD,SAChByH,KAAMA,WAIL1F,EAgBiBs+D,CAAgB/0D,EAAOhL,EAAKh+C,GAAO6P,QAAO,SAAU/D,GAEtE,OADeA,EAAKq5C,KAAKtqD,KAAK85D,SACd9gD,MAAK,SAAUhZ,GAC7B,OAAOA,EAAKjI,OAASmsD,EAASnsD,WAE/Bid,QAAO,SAAU/D,GAClB,OAAOA,EAAKkyC,IAAM2/D,KAGFxpH,OAChB,OAAO,EAGL2pH,EAAUF,GACZ1jE,EAAGuD,OAAOqgE,EAASF,GAGjBC,EAAYF,GACdzjE,EAAGuD,OAAOkgE,EAAYE,GAIxBJ,GADAC,EAAYC,GACUz1G,EAAM8V,GAAG7pB,OAKjC,OAFA+lD,EAAGiG,QAAQu9D,EAAWD,EAAS1+D,EAAS79C,OAAOs9C,IAC/CtE,EAAGwF,iBAAiBX,GACb7E,KAIX,SAAS8jE,EAAep6F,EAAQ/oB,EAAMu7D,GACpC,OAAO,IAAI,IAAUxyC,GAAQ,SAAU5jB,EAAOkI,EAAO8gD,EAAOhL,GAC1D,IAAIQ,EAAQ4X,aAAoBjjE,SAAWijE,EAASluD,GAASkuD,EACzDlc,EAAKl6C,EAAMk6C,GAMf,OAJIhyC,EAAM,IACRgyC,EAAGmC,YAAY2M,EAAQ,EAAGhL,EAAKnjD,EAAKqG,OAAOs9C,IAGtCtE,KAIX,SAAS+jE,EAAWr6F,EAAQ/oB,EAAMu7D,GAoChC,OAAO,IAAI,SAAO,CAChBlpC,MAAO,CACLgxF,gBAAiB,SAAyBj/G,GACxC,OAAO,IAAI,QAtCH,SAAS/C,EAAQ8wD,GAC7B,IAAIjC,EAAQ,GA+BZ,OA9BAiC,EAASl5D,SAAQ,SAAUmwD,GACzB,GAAIA,EAAMJ,OAAQ,CAChB,IAEI37C,EAFA9N,EAAO6pD,EAAM7pD,KACb8a,EAAM,EAGV,GAGE,GAFAhN,EAAQ0b,EAAO5xB,KAAKoI,GAET,CACT,IAAI4uD,EAAQ9gD,EAAMO,MACdu1C,EAAMgL,EAAQ9gD,EAAM,GAAG/T,OACvBqqD,EAAQ4X,aAAoBjjE,SAAWijE,EAASluD,EAAM,IAAMkuD,EAE5DpN,EAAQ,GACV+B,EAAMxgD,KAAK05C,EAAMwF,IAAIv0C,EAAK8zC,IAG5B+B,EAAMxgD,KAAK05C,EAAMwF,IAAIT,EAAOhL,GAAKmH,KAAKtqD,EAAKqG,OAAOs9C,GAAO4G,SAASnB,EAAMxE,SACxEvqC,EAAM8oC,SAED91C,GAELgN,EAAM9a,EAAKjG,QACb42D,EAAMxgD,KAAK05C,EAAMwF,IAAIv0C,SAGvB61C,EAAMxgD,KAAK05C,EAAM/F,KAAKhiD,EAAQ+nD,EAAMnhD,cAGjC,WAAS+nD,UAAUE,GAML7uD,CAAQ+C,EAAM6D,SAAU7D,EAAMysD,UAAWzsD,EAAMyiD,aAMxE,SAASy8D,EAAev6F,EAAQ/oB,EAAMu7D,GA0CpC,OAAO,IAAI,SAAO,CAChBlpC,MAAO,CACLgxF,gBAAiB,SAAyBj/G,GACxC,OAAO,IAAI,QA5CH,SAAS/C,EAAQ8wD,EAAU7uD,GACvC,IAAI4sD,EAAQ,GAqCZ,OApCAiC,EAASl5D,SAAQ,SAAUmwD,GACzB,GAAIA,EAAMJ,OAAQ,CAShB,IARA,IAGI37C,EAHA9N,EAAO6pD,EAAM7pD,KAEb8a,EAAM,EAENkpG,IAHQn6D,EAAMxE,MAGG5vC,QAAO,SAAU0qB,GACpC,MAAuB,SAAhBA,EAAE1/B,KAAKjI,QACb,IAEKwrH,GAA0C,QAA/Bl2G,EAAQ0b,EAAO5xB,KAAKoI,KACrC,GAAI+D,GAAUA,EAAOtD,KAAKskD,eAAetkD,IAASqN,EAAM,GAAI,CAC1D,IAAI8gD,EAAQ9gD,EAAMO,MACdu1C,EAAMgL,EAAQ9gD,EAAM,GAAG/T,OACvB0pH,EAAY70D,EAAQ9gD,EAAM,GAAGtN,QAAQsN,EAAM,IAC3C41G,EAAUD,EAAY31G,EAAM,GAAG/T,OAC/BqqD,EAAQ4X,aAAoBjjE,SAAWijE,EAASluD,GAASkuD,EAEzDpN,EAAQ,GACV+B,EAAMxgD,KAAK05C,EAAMwF,IAAIv0C,EAAK8zC,IAI5B+B,EAAMxgD,KAAK05C,EAAMwF,IAAIo0D,EAAWC,GAAS34D,KAAKtqD,EAAKqG,OAAOs9C,GAAO4G,SAASnB,EAAMxE,SAChFvqC,EAAM8oC,EAKN9oC,EAAM9a,EAAKjG,QACb42D,EAAMxgD,KAAK05C,EAAMwF,IAAIv0C,SAGvB61C,EAAMxgD,KAAK05C,EAAM/F,KAAKhiD,EAAQ+nD,EAAMnhD,QAASmhD,QAG1C,WAAS4G,UAAUE,GAML7uD,CAAQ+C,EAAM6D,SAAU7D,EAAMysD,UAAWzsD,EAAMyiD,aAMxE,SAASxB,EAAYrlD,GACnB,OAAO,SAAUmF,EAAOg6C,GACtB,IAAIE,EAAKl6C,EAAMk6C,GACXD,EAAYj6C,EAAMi6C,UAClB9yB,EAAO8yB,EAAU9yB,KACjB8L,EAAKgnB,EAAUhnB,GACfooB,EAAQpB,EAAUoB,MAGtB,GAFYpB,EAAU5oB,MAEX,CACT,IAAIkqB,EAAQ,YAAaF,EAAOxgD,GAChCssB,EAAOo0B,EAAMp0B,KACb8L,EAAKsoB,EAAMtoB,GAIb,OADAinB,EAAGgG,WAAW/4B,EAAM8L,EAAIp4B,GACjBm/C,EAASE,IAIpB,SAASvqC,IACP,IAAI4rC,EAAQxlD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,KAC5E8E,EAAO9E,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EAC7C4lD,EAAQzoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAO,SAAUiK,EAAOg6C,GACtB,IAAI03B,EAAmB1xE,EAAMi6C,UACzBoB,EAAQq2B,EAAiBr2B,MACzBC,EAAMo2B,EAAiBp2B,IACvB7yC,EAAQ4yC,EAAM5yC,QACd0e,EAAOo0B,EAAQA,EAAMp0B,KAAOk0B,EAAMnmC,IAClC+d,EAAKsoB,EAAQA,EAAMtoB,GAAKqoB,EAAIpmC,IAEhC,QAAKmmC,EAAMl9C,OAAOi+C,eAAe3zC,EAAOA,EAAO5N,KAI3Cm/C,GACFA,EAASh6C,EAAMk6C,GAAGmC,YAAYl1B,EAAM8L,EAAIp4B,EAAKqG,OAAOs9C,MAG/C,IA2DX,SAAS6/D,EAAuBvB,GAC9B,OAAO,SAAU98G,EAAOg6C,GACtB,IAAI03B,EAAmB1xE,EAAMi6C,UACzBoB,EAAQq2B,EAAiBr2B,MACzBC,EAAMo2B,EAAiBp2B,IACvBjB,EAAOq3B,EAAiBr3B,KAC5B,GAAIA,GAAQA,EAAKqC,SAAWrB,EAAMR,MAAQ,IAAMQ,EAAMW,WAAWV,GAAM,OAAO,EAC9E,IAAIyhE,EAAc1hE,EAAMhB,MAAM,GAC9B,GAAI0iE,EAAYliH,MAAQiiH,EAAU,OAAO,EAEzC,GAAiC,GAA7BzhE,EAAMl9C,OAAO2E,QAAQyS,KAAW,CAIlC,GAAmB,GAAf8lC,EAAMR,OAAcQ,EAAMhB,MAAM,GAAGx/C,MAAQiiH,GAAYzhE,EAAM5yC,OAAO,IAAM4yC,EAAMhB,MAAM,GAAGY,WAAa,EAAG,OAAO,EAEpH,GAAIjB,EAAU,CAKZ,IAJA,IAAIiE,EAAO,WAAS5sB,MAChB2rF,EAAW3hE,EAAM5yC,OAAO,GAAK,EAGxBi0B,EAAI2e,EAAMR,OAASmiE,EAAW,EAAI,GAAItgF,GAAK2e,EAAMR,MAAQ,EAAGne,IACnEuhB,EAAO,WAAS92B,KAAKk0B,EAAMhB,KAAK3d,GAAGwhB,KAAKD,IAI1CA,EAAOA,EAAK4K,OAAO,WAAS1hC,KAAK21F,EAASxgE,kBAE1C,IAAIgiE,EAAMt+G,EAAMk6C,GAAG3jD,QAAQ8kD,EAAMN,OAAOiiE,EAAW,MAAQ,GAAI3hE,EAAMH,OAAO,GAAI,IAAI,QAAM+C,EAAM++D,EAAW,EAAI,EAAG,IAElHsB,EAAI/hE,aAAav8C,EAAMi6C,UAAUzlD,YAAYgoD,KAAK8hE,EAAIxjE,IAAIziC,QAAQgjC,EAAMnmC,KAAO8nG,EAAW,EAAI,MAE9FhjE,EAASskE,EAAInkE,kBAGf,OAAO,EAGT,IAAIkf,EAAW/d,EAAIpmC,KAAOmmC,EAAM2C,MAAQ++D,EAAY5gE,eAAed,EAAMa,YAAY,IAAI8T,YAAc,KACnG9V,EAAKl6C,EAAMk6C,GAAGuD,OAAOpC,EAAMnmC,IAAKomC,EAAIpmC,KAIpC4nC,EAAQuc,GAAY,CAAC,CACvBx+D,KAAMiiH,GACL,CACDjiH,KAAMw+D,IAOR,OALKvc,IAAOA,EAAQ,CAAC,CACnBjiD,KAAMiiH,GACL,SAlFP,SAAkBhiE,EAAK5lC,GACrB,IAAI2lC,EAAQ9kD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,EAC5EkgF,EAAalgF,UAAU5B,OAAS,EAAI4B,UAAU,QAAK6C,EACnD8hD,EAAOI,EAAIziC,QAAQnD,GACnBm3B,EAAOqO,EAAKG,MAAQA,EACpBq7B,EAAYD,GAAcA,EAAWA,EAAW9hF,OAAS,IAAMumD,EAAKv8C,OACxE,GAAIkuC,EAAO,GAAKqO,EAAKv8C,OAAOtD,KAAK8/C,KAAKC,YAAcF,EAAKv8C,OAAO8+C,WAAWvC,EAAKjyC,QAASiyC,EAAKv8C,OAAO88C,cAAgBi7B,EAAUr7E,KAAKiyD,aAAapS,EAAKv8C,OAAO2E,QAAQ4mD,WAAWhP,EAAKjyC,QAASiyC,EAAKv8C,OAAO88C,aAAc,OAAO,EAE/N,IAAK,IAAIve,EAAIge,EAAKG,MAAQ,EAAG5mD,EAAI4mD,EAAQ,EAAGne,EAAI2P,EAAM3P,IAAKzoC,IAAK,CAC9D,IAAIomD,EAAOK,EAAKL,KAAK3d,GAEjB6hF,EAAS7jE,EAAKjyC,MAAMi0B,GAExB,GAAI2d,EAAKx/C,KAAK8/C,KAAKC,UAAW,OAAO,EACrC,IAAIw7B,EAAO/7B,EAAKv3C,QAAQ4mD,WAAW60D,EAAQlkE,EAAKY,YAC5CC,EAAQ+6B,GAAcA,EAAWhiF,IAAMomD,EAM3C,GALIa,GAASb,IAAM+7B,EAAOA,EAAKzsB,aAAa,EAAGzO,EAAMrgD,KAAKqG,OAAOg6C,EAAMsD,UAKlEnE,EAAK4C,WAAWshE,EAAS,EAAGlkE,EAAKY,YAAa,OAAO,EAI5D,IAAIxyC,EAAQiyC,EAAKwB,WAAW7P,GACxBgqC,EAAWJ,GAAcA,EAAW,GACxC,OAAOv7B,EAAKL,KAAKhO,GAAM+P,eAAe3zC,EAAOA,EAAO4tE,EAAWA,EAASx7E,KAAO6/C,EAAKL,KAAKhO,EAAO,GAAGxxC,MA0D5Fm7E,CAAS97B,EAAGY,IAAKO,EAAMnmC,IAAK,EAAG4nC,KAChC9C,GAAUA,EAASE,EAAGv+C,MAAM0/C,EAAMnmC,IAAK,EAAG4nC,GAAO3C,mBAC9C,IAKX,SAASqkE,EAAiB3jH,EAAM4jH,GAC9B,IAAIjgE,EAAQzoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAO,SAAUiK,EAAOg6C,EAAUsG,GAGhC,OAFe,YAAatgD,EAAOnF,EAAM2jD,GAGhC,YAAaigE,EAAb,CAAyBz+G,EAAOg6C,EAAUsG,GAG5C,YAAazlD,EAAM2jD,EAAnB,CAA0Bx+C,EAAOg6C,EAAUsG,IAItD,SAASo+D,EAAOrkE,EAAMsL,GACpB,OAAOtL,EAAKx/C,OAAS8qD,EAAOoF,MAAM4zD,aAAetkE,EAAKx/C,OAAS8qD,EAAOoF,MAAM6zD,cAAgBvkE,EAAKx/C,OAAS8qD,EAAOoF,MAAM8zD,UAGzH,SAASC,EAAWzC,EAAUS,GAC5B,OAAO,SAAU98G,EAAOg6C,EAAUsG,GAChC,IAAIqF,EAAS3lD,EAAM2lD,OACf1L,EAAYj6C,EAAMi6C,UAClBoB,EAAQpB,EAAUoB,MAClBC,EAAMrB,EAAUqB,IAChBC,EAAQF,EAAMG,WAAWF,GAE7B,IAAKC,EACH,OAAO,EAGT,IAAIwjE,EAAa,0BAAe,SAAU1kE,GACxC,OAAOqkE,EAAOrkE,EAAMsL,KADL,CAEd1L,GAEH,GAAIsB,EAAMV,OAAS,GAAKkkE,GAAcxjE,EAAMV,MAAQkkE,EAAWlkE,OAAS,EAAG,CACzE,GAAIkkE,EAAW1kE,KAAKx/C,OAASwhH,EAC3B,OAAOY,EAAaH,EAAbG,CAAuBj9G,EAAOg6C,EAAUsG,GAGjD,GAAIo+D,EAAOK,EAAW1kE,KAAMsL,IAAW02D,EAASvvD,aAAaiyD,EAAW1kE,KAAKv3C,SAAU,CACrF,IAAIo3C,EAAKl6C,EAAMk6C,GAOf,OANAA,EAAGgD,cAAc6hE,EAAW7pG,IAAKmnG,GAE7BriE,GACFA,EAASE,IAGJ,GAIX,OAAOkiE,EAAWC,EAAXD,CAAqBp8G,EAAOg6C,EAAUsG,IAIjD,SAAS0+D,EAAYnkH,GACnB,IAAI2jD,EAAQzoD,UAAU5B,OAAS,QAAsByE,IAAjB7C,UAAU,GAAmBA,UAAU,GAAK,GAChF,OAAO,SAAUiK,EAAOg6C,EAAUsG,GAGhC,OAFe,YAAatgD,EAAOnF,EAAM2jD,GAGhC,YAAKx+C,EAAOg6C,GAGd,YAAOn/C,EAAM2jD,EAAb,CAAoBx+C,EAAOg6C,EAAUsG,IAIhD,SAAS2+D,EAAYpkH,EAAM2jD,GACzB,OAAO,SAAUx+C,EAAOg6C,GACtB,IAAIE,EAAKl6C,EAAMk6C,GACXD,EAAYj6C,EAAMi6C,UAClBa,EAAM96C,EAAM86C,IACZ3zB,EAAO8yB,EAAU9yB,KACjB8L,EAAKgnB,EAAUhnB,GACfooB,EAAQpB,EAAUoB,MAGtB,GAFYpB,EAAU5oB,MAEX,CACT,IAAIkqB,EAAQ,YAAaF,EAAOxgD,GAChCssB,EAAOo0B,EAAMp0B,KACb8L,EAAKsoB,EAAMtoB,GAUb,OAPc6nB,EAAI+E,aAAa14B,EAAM8L,EAAIp4B,IAGvCq/C,EAAGgG,WAAW/4B,EAAM8L,EAAIp4B,GAG1Bq/C,EAAGiG,QAAQh5B,EAAM8L,EAAIp4B,EAAKqG,OAAOs9C,IAC1BxE,EAASE,M,8BC7dpB,qJAEIglE,EAAa,SAAoBxqG,EAAM49D,EAAU4pB,GACnDlgG,KAAK0Y,KAAOA,EACZ1Y,KAAKs2E,SAAWA,EAChBt2E,KAAKkgG,OAASA,GA0BhB,IAAIijB,EAAc,SAAqBvlH,EAASwlH,GAM9CpjH,KAAKpC,QAAUA,EAKfoC,KAAKojH,YAAcA,GAYrB,IAAIC,EAAY,IAAI,YAAU,UAgB9B,SAASC,EAAO5kH,GAMd,YALgB,IAAXA,IAAoBA,EAAS,IAElCA,EAAS,CAACd,QAASc,EAAOd,SAAW,EAC3BqwF,SAA6B,MAAnBvvF,EAAOuvF,SAAmBn3F,KAAKyN,MAAsB,WAAhBzN,KAAK+R,UAAyBnK,EAAOuvF,UAEvF,IAAI,SAAO,CAChB71F,IAAKirH,EAELr/G,MAAO,CACLiuB,KAAM,WAAc,OAAO,IAAIkxF,EAAYzkH,EAAOd,QAAS,KAC3DmI,MAAO,SAAem4C,EAAIolE,GACxB,IAAIp4D,EAAWhN,EAAG2L,QAAQw5D,GAC1B,OAAIn4D,IAEAhN,EAAGw0B,WACI,IAAIywC,EAAYG,EAAO1lH,QAAS0lH,EAAOF,YAAYpgH,OAzCtE,SAAyB28E,GAEvB,IADA,IAAI9lF,EAAS,GACJ5B,EAAI,EAAGA,EAAI0nF,EAAU/5B,MAAMztD,OAAQF,IACxC4B,EAAO0U,KAAK,IAAI20G,EAAWvjC,EAAU/5B,MAAM3tD,GAClB0nF,EAAU/5B,MAAM3tD,GAAGg/E,OAAO0I,EAAU3H,KAAK//E,IACzC0nF,IAC7B,OAAO9lF,EAmCoE0pH,CAAgBrlE,KAC9EolE,KAIX5kH,OAAQA,EAGR6lF,sBAAsB,IAkB1B,SAASi/B,EAAmBx/G,EAAO4hD,EAAO69D,EAAWznH,GAYnD,IANA,IAAI0nH,EAAcL,EAAUl3D,SAASnoD,GACjCpG,EAAU8lH,EAAY9lH,QAAUgoD,EAAMztD,OACtCwrH,EAAQN,EAAUzoH,IAAIoJ,GAAO26C,KAAKjgD,OAAOuvF,SAGzC21B,EAAO,EACJA,EAAOH,EAAUtrH,QAAUsrH,EAAUG,IAASD,KAAWC,EAChE,IAAIR,EAAcM,EAAYN,YAAYngH,MAAM2gH,GAIhD,KAHAh+D,EAAQg+D,EAAOh+D,EAAM3iD,MAAM2gH,GAAQh+D,GAGxBztD,OACP,OAAO6L,EAAMk6C,GAAG0L,QAAQy5D,EAAW,IAAIF,EAAYvlH,EAASwlH,IAEhE,IAAIS,EAAeT,EAAYjrH,OAC3B+lD,EAAKl6C,EAAMk6C,GACf,GAAI2lE,EACFT,EA5HJ,SAAqBx9D,EAAOk+D,EAAMnkC,GAChC,IAAK,IAAI1nF,EAAI2tD,EAAMztD,OAAS,EAAGF,GAAK,EAAGA,IAAO0nF,EAAUjnE,KAAKktC,EAAM3tD,GAAGq+E,UACtE,IAAK,IAAIxyB,EAAM,EAAGA,EAAMggE,EAAK3rH,OAAQ2rD,IAAS67B,EAAUjnE,KAAKorG,EAAKhgE,IAElE,IADA,IAAIjqD,EAAS,GACJ07D,EAAM,EAAG5P,EAAUC,EAAMztD,OAAQo9D,EAAM3P,EAAMztD,OAAQo9D,IAAO,CACnE,IAAIqlB,EAASh1B,EAAM2P,GAAK78C,KAAK7I,IAAI8vE,EAAU3+B,QAAQ/9C,MAAM0iD,IACzDA,IACIi1B,IAAW+E,EAAUzH,UAAU0C,GAAQzC,SACzCwH,EAAU3+B,QAAQu2B,UAAU5xB,EAASg6B,EAAU/5B,MAAMztD,OAAS,GAC9D0B,EAAO0U,KAAK,IAAI20G,EAAWtoC,EAAQA,EAAO3D,OAAO0I,EAAU3H,KAAK2H,EAAU3H,KAAK7/E,OAAS,IAAKytD,EAAM2P,GAAK2qC,UAG5G,OAAOrmG,EAgHSkqH,CAAYX,EAAax9D,EAAO1H,OACzC,CACL,IAAK,IAAIjmD,EAAI,EAAGA,EAAI2tD,EAAMztD,OAAQF,IAAOimD,EAAGxlC,KAAKktC,EAAM3tD,IACvDmrH,EAAc,GAGhB,IAAIY,EAAiB,IAAIb,EAAYvlH,EAASwlH,GAM9C,OALIpnH,GAAWA,EAAQioH,sBAAwBjgH,EAAMi6C,qBAAqB,kBACxEC,EAAGqC,aAAa,gBAAcyG,QAAQ9I,EAAGY,IAAIziC,QAAQ6hC,EAAG8C,QAAQnxC,IAAI7L,EAAMi6C,UAAU5M,QAAS,IACvD6M,EAAGY,IAAIziC,QAAQ6hC,EAAG8C,QAAQnxC,IAAI7L,EAAMi6C,UAAUrsC,MAAO,KAAM,IACjGssC,EAAG0K,UAAW,GAET1K,EAAG0L,QAAQ,UAAWi6D,GAAcj6D,QAAQ,gBAAgB,GAAOA,QAAQy5D,EAAWW,GAa/F,SAASE,EAAclgH,GACrB,IAAI0/G,EAAcL,EAAUl3D,SAASnoD,GACrC,OAAsC,GAAlC0/G,EAAYN,YAAYjrH,OAAsB,KAC3C,CACLyF,QAAS8lH,EAAY9lH,QACrBgoD,MAAO89D,EAAYN,YAAYvzG,KAAI,SAAU6kB,GAAK,OAAOA,EAAEhc,QAC3Du1E,SAAUo1B,EAAUzoH,IAAIoJ,GAAO26C,KAAKjgD,OAAOuvF,SAC3C,cAAgB,OAAOjuF,KAAKmkH,WAAankH,KAAKmkH,SAAWT,EAAYN,YAAYvzG,KAAI,SAAU6kB,GAAK,OAAOA,EAAEwrE,aAOjH,SAAS7uE,EAAWrtB,GAClB,OAAOq/G,EAAUl3D,SAASnoD,GAAOpG,U,eC/KnC9H,EAAOC,QAAQ,s2D,6BCuBf,SAASquH,IAUPpkH,KAAKqkH,UAAY,GAOjBrkH,KAAKskH,UAAY,KASnBF,EAAM7sH,UAAUgtH,SAAW,SAAU3tH,GACnC,IAAK,IAAIqB,EAAI,EAAGA,EAAI+H,KAAKqkH,UAAUlsH,OAAQF,IACzC,GAAI+H,KAAKqkH,UAAUpsH,GAAGrB,OAASA,EAC7B,OAAOqB,EAGX,OAAQ,GAMVmsH,EAAM7sH,UAAUitH,YAAc,WAC5B,IAAIttH,EAAO8I,KACPykH,EAAS,CAAE,IAGfvtH,EAAKmtH,UAAUvsH,SAAQ,SAAU4hE,GAC1BA,EAAKgrD,SAEVhrD,EAAK2tB,IAAIvvF,SAAQ,SAAU6sH,GACrBF,EAAO7lH,QAAQ+lH,GAAW,GAC5BF,EAAOl2G,KAAKo2G,SAKlBztH,EAAKotH,UAAY,GAEjBG,EAAO3sH,SAAQ,SAAUu1B,GACvBn2B,EAAKotH,UAAUj3F,GAAS,GACxBn2B,EAAKmtH,UAAUvsH,SAAQ,SAAU4hE,GAC1BA,EAAKgrD,UAENr3F,GAASqsC,EAAK2tB,IAAIzoF,QAAQyuB,GAAS,GAEvCn2B,EAAKotH,UAAUj3F,GAAO9e,KAAKmrD,EAAK1hE,YA+BtCosH,EAAM7sH,UAAUqtH,GAAK,SAAUhuH,EAAMoB,EAAIgE,GACvC,IAAIyQ,EAAQzM,KAAKukH,SAAS3tH,GACtBiuH,EAAM7oH,GAAW,GAErB,IAAe,IAAXyQ,EAAgB,MAAM,IAAIO,MAAM,0BAA4BpW,GAEhEoJ,KAAKqkH,UAAU53G,GAAOzU,GAAKA,EAC3BgI,KAAKqkH,UAAU53G,GAAO46E,IAAMw9B,EAAIx9B,KAAO,GACvCrnF,KAAKskH,UAAY,MA4BnBF,EAAM7sH,UAAUwnD,OAAS,SAAU+lE,EAAYC,EAAU/sH,EAAIgE,GAC3D,IAAIyQ,EAAQzM,KAAKukH,SAASO,GACtBD,EAAM7oH,GAAW,GAErB,IAAe,IAAXyQ,EAAgB,MAAM,IAAIO,MAAM,0BAA4B83G,GAEhE9kH,KAAKqkH,UAAUr4D,OAAOv/C,EAAO,EAAG,CAC9B7V,KAAMmuH,EACNL,SAAS,EACT1sH,GAAIA,EACJqvF,IAAKw9B,EAAIx9B,KAAO,KAGlBrnF,KAAKskH,UAAY,MA4BnBF,EAAM7sH,UAAU2nD,MAAQ,SAAU8lE,EAAWD,EAAU/sH,EAAIgE,GACzD,IAAIyQ,EAAQzM,KAAKukH,SAASS,GACtBH,EAAM7oH,GAAW,GAErB,IAAe,IAAXyQ,EAAgB,MAAM,IAAIO,MAAM,0BAA4Bg4G,GAEhEhlH,KAAKqkH,UAAUr4D,OAAOv/C,EAAQ,EAAG,EAAG,CAClC7V,KAAMmuH,EACNL,SAAS,EACT1sH,GAAIA,EACJqvF,IAAKw9B,EAAIx9B,KAAO,KAGlBrnF,KAAKskH,UAAY,MA0BnBF,EAAM7sH,UAAUgX,KAAO,SAAUw2G,EAAU/sH,EAAIgE,GAC7C,IAAI6oH,EAAM7oH,GAAW,GAErBgE,KAAKqkH,UAAU91G,KAAK,CAClB3X,KAAMmuH,EACNL,SAAS,EACT1sH,GAAIA,EACJqvF,IAAKw9B,EAAIx9B,KAAO,KAGlBrnF,KAAKskH,UAAY,MAgBnBF,EAAM7sH,UAAU0tH,OAAS,SAAUr1G,EAAMs1G,GAClC52G,MAAM9W,QAAQoY,KAASA,EAAO,CAAEA,IAErC,IAAI/V,EAAS,GAeb,OAZA+V,EAAK9X,SAAQ,SAAUlB,GACrB,IAAIuuH,EAAMnlH,KAAKukH,SAAS3tH,GAExB,GAAIuuH,EAAM,EAAG,CACX,GAAID,EAAiB,OACrB,MAAM,IAAIl4G,MAAM,oCAAsCpW,GAExDoJ,KAAKqkH,UAAUc,GAAKT,SAAU,EAC9B7qH,EAAO0U,KAAK3X,KACXoJ,MAEHA,KAAKskH,UAAY,KACVzqH,GAcTuqH,EAAM7sH,UAAU6tH,WAAa,SAAUx1G,EAAMs1G,GACtC52G,MAAM9W,QAAQoY,KAASA,EAAO,CAAEA,IAErC5P,KAAKqkH,UAAUvsH,SAAQ,SAAU4hE,GAAQA,EAAKgrD,SAAU,KAExD1kH,KAAKilH,OAAOr1G,EAAMs1G,IAgBpBd,EAAM7sH,UAAU8tH,QAAU,SAAUz1G,EAAMs1G,GACnC52G,MAAM9W,QAAQoY,KAASA,EAAO,CAAEA,IAErC,IAAI/V,EAAS,GAeb,OAZA+V,EAAK9X,SAAQ,SAAUlB,GACrB,IAAIuuH,EAAMnlH,KAAKukH,SAAS3tH,GAExB,GAAIuuH,EAAM,EAAG,CACX,GAAID,EAAiB,OACrB,MAAM,IAAIl4G,MAAM,oCAAsCpW,GAExDoJ,KAAKqkH,UAAUc,GAAKT,SAAU,EAC9B7qH,EAAO0U,KAAK3X,KACXoJ,MAEHA,KAAKskH,UAAY,KACVzqH,GAaTuqH,EAAM7sH,UAAU+tH,SAAW,SAAUC,GAMnC,OALuB,OAAnBvlH,KAAKskH,WACPtkH,KAAKwkH,cAIAxkH,KAAKskH,UAAUiB,IAAc,IAGtCzvH,EAAOC,QAAUquH,G,6BCjVjB,SAASoB,EAAM3mH,EAAMia,EAAK2sG,GAMxBzlH,KAAKnB,KAAWA,EAOhBmB,KAAK8Y,IAAWA,EAOhB9Y,KAAKwiD,MAAW,KAOhBxiD,KAAK6P,IAAW,KAWhB7P,KAAKylH,QAAWA,EAOhBzlH,KAAKuhE,MAAW,EAOhBvhE,KAAKkmF,SAAW,KAQhBlmF,KAAK8G,QAAW,GAOhB9G,KAAK0lH,OAAW,GAOhB1lH,KAAKulF,KAAW,GAOhBvlF,KAAK+jB,KAAW,KAQhB/jB,KAAK0gE,OAAW,EAQhB1gE,KAAK2lH,QAAW,EASlBH,EAAMjuH,UAAUquH,UAAY,SAAmBhvH,GAC7C,IAAI4rD,EAAOvqD,EAAG4V,EAEd,IAAK7N,KAAKwiD,MAAS,OAAQ,EAI3B,IAAKvqD,EAAI,EAAG4V,GAFZ20C,EAAQxiD,KAAKwiD,OAEWrqD,OAAQF,EAAI4V,EAAK5V,IACvC,GAAIuqD,EAAMvqD,GAAG,KAAOrB,EAAQ,OAAOqB,EAErC,OAAQ,GASVutH,EAAMjuH,UAAUsuH,SAAW,SAAkBC,GACvC9lH,KAAKwiD,MACPxiD,KAAKwiD,MAAMj0C,KAAKu3G,GAEhB9lH,KAAKwiD,MAAQ,CAAEsjE,IAUnBN,EAAMjuH,UAAUwuH,QAAU,SAAiBnvH,EAAM0E,GAC/C,IAAI6pH,EAAMnlH,KAAK4lH,UAAUhvH,GACrBkvH,EAAW,CAAElvH,EAAM0E,GAEnB6pH,EAAM,EACRnlH,KAAK6lH,SAASC,GAEd9lH,KAAKwiD,MAAM2iE,GAAOW,GAUtBN,EAAMjuH,UAAUyuH,QAAU,SAAiBpvH,GACzC,IAAIuuH,EAAMnlH,KAAK4lH,UAAUhvH,GAAO0E,EAAQ,KAIxC,OAHI6pH,GAAO,IACT7pH,EAAQ0E,KAAKwiD,MAAM2iE,GAAK,IAEnB7pH,GAUTkqH,EAAMjuH,UAAU0uH,SAAW,SAAkBrvH,EAAM0E,GACjD,IAAI6pH,EAAMnlH,KAAK4lH,UAAUhvH,GAErBuuH,EAAM,EACRnlH,KAAK6lH,SAAS,CAAEjvH,EAAM0E,IAEtB0E,KAAKwiD,MAAM2iE,GAAK,GAAKnlH,KAAKwiD,MAAM2iE,GAAK,GAAK,IAAM7pH,GAKpDxF,EAAOC,QAAUyvH,G,oHCxGjB,IA5FO,IAAIn1E,EAAO,CAChB61E,EAAG,YACHC,EAAG,MACHC,GAAI,QACJC,GAAI,UACJlmC,GAAI,QACJmmC,GAAI,QACJC,GAAI,UACJC,GAAI,MACJC,GAAI,WACJC,GAAI,SACJC,GAAI,IACJC,GAAI,SACJC,GAAI,WACJC,GAAI,MACJC,GAAI,OACJC,GAAI,YACJC,GAAI,UACJC,GAAI,aACJC,GAAI,YACJxmC,GAAI,cACJymC,GAAI,SACJC,GAAI,SACJC,GAAI,IACJC,GAAI,IACJC,GAAI,OACJC,GAAI,OACJC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,UACLC,IAAK,aACLC,IAAK,QACLC,IAAK,QACLC,IAAK,UACLC,IAAK,UACLC,IAAK,MACLC,IAAK,MACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,KACLC,IAAK,IACLC,IAAK,IACLC,IAAK,KAGI17F,EAAQ,CACjB27F,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJC,GAAI,IACJxC,GAAI,IACJC,GAAI,IACJiB,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,IACLC,IAAK,KAGH75B,EAA6B,oBAAb91F,WAA4B,gBAAgBzD,KAAKyD,UAAUyY,WAC3E29E,EAA6B,oBAAbp2F,WAA4B,iBAAiB0R,KAAK1R,UAAUq2F,QAC5ET,EAA4B,oBAAb51F,WAA4B,aAAa0R,KAAK1R,UAAUyY,WACvE+8E,EAA0B,oBAAbx1F,WAA4B,MAAM0R,KAAK1R,UAAUyrD,UAC9DgqC,EAAyB,oBAAbz1F,WAA4B,gDAAgDzD,KAAKyD,UAAUyY,WACvG63G,EAAsBx6B,IAAWN,IAAQM,EAAO,GAAK,KAAOF,GAASJ,EAGhEh3F,EAAI,EAAGA,EAAI,GAAIA,IAAKo4C,EAAK,GAAKp4C,GAAKo4C,EAAK,GAAKp4C,GAAKwC,OAAOxC,GAGlE,IAASA,EAAI,EAAGA,GAAK,GAAIA,IAAKo4C,EAAKp4C,EAAI,KAAO,IAAMA,EAGpD,IAASA,EAAI,GAAIA,GAAK,GAAIA,IACxBo4C,EAAKp4C,GAAKwC,OAAOyhD,aAAajkD,EAAI,IAClCy1B,EAAMz1B,GAAKwC,OAAOyhD,aAAajkD,GAIjC,IAAK,IAAI8mB,KAAQsxB,EAAW3iB,EAAMr1B,eAAe0mB,KAAO2O,EAAM3O,GAAQsxB,EAAKtxB,I,aCnGvE,EAA0B,oBAAbtlB,WAA2B,MAAM0R,KAAK1R,UAAUyrD,UAEjE,SAAS8kE,EAAiBpzH,GACxB,IAEIywF,EAAK4iC,EAAMv8F,EAAO3J,EAFlBvS,EAAQ5a,EAAK+I,MAAM,UAAW9F,EAAS2X,EAAMA,EAAMrZ,OAAS,GAClD,SAAV0B,IAAqBA,EAAS,KAElC,IAAK,IAAI5B,EAAI,EAAGA,EAAIuZ,EAAMrZ,OAAS,EAAGF,IAAK,CACzC,IAAIiyH,EAAM14G,EAAMvZ,GAChB,GAAI,kBAAkBkT,KAAK++G,GAAQnmG,GAAO,OACrC,GAAI,YAAY5Y,KAAK++G,GAAQ7iC,GAAM,OACnC,GAAI,sBAAsBl8E,KAAK++G,GAAQD,GAAO,OAC9C,GAAI,cAAc9+G,KAAK++G,GAAQx8F,GAAQ,MACvC,KAAI,SAASviB,KAAK++G,GAChB,MAAM,IAAIl9G,MAAM,+BAAiCk9G,GADrB,EAAOnmG,GAAO,EAAekmG,GAAO,GAOzE,OAJI5iC,IAAOxtF,EAAS,OAASA,GACzBowH,IAAQpwH,EAAS,QAAUA,GAC3BkqB,IAAQlqB,EAAS,QAAUA,GAC3B6zB,IAAS7zB,EAAS,SAAWA,GAC1BA,EAST,SAASswH,EAAUvzH,EAAM0J,EAAOotB,GAK9B,OAJIptB,EAAMu/F,SAAUjpG,EAAO,OAASA,GAChC0J,EAAMq/F,UAAW/oG,EAAO,QAAUA,GAClC0J,EAAMs/F,UAAWhpG,EAAO,QAAUA,IACxB,IAAV82B,GAAmBptB,EAAMw/F,WAAYlpG,EAAO,SAAWA,GACpDA,EAiCT,SAASwzH,EAAOC,GACd,OAAO,IAAI,SAAO,CAACn5F,MAAO,CAACm1C,cAAeikD,EAAeD,MAO3D,SAASC,EAAeD,GACtB,IAAIx6G,EArDN,SAAmBA,GACjB,IAAIqyC,EAAO5qD,OAAO4N,OAAO,MACzB,IAAK,IAAIma,KAAQxP,EAAOqyC,EAAK8nE,EAAiB3qG,IAASxP,EAAIwP,GAC3D,OAAO6iC,EAkDG7sC,CAAUg1G,GACpB,OAAO,SAAS/lE,EAAMhkD,GACpB,IAAqEiqH,EAAjE3zH,EDwBD,SAAiB0J,GAGtB,IAEI1J,IAFYmzH,IAAwBzpH,EAAMq/F,SAAWr/F,EAAMu/F,QAAUv/F,EAAMs/F,WAC5E/P,GAAUX,IAAO5uF,EAAMw/F,UAAYx/F,EAAMlI,KAA2B,GAApBkI,EAAMlI,IAAID,SACnCmI,EAAMlI,MAC7BkI,EAAMw/F,SAAWpyE,EAAQ2iB,GAAM/vC,EAAM0wF,UACtC1wF,EAAMlI,KAAO,eASf,MAPY,OAARxB,IAAeA,EAAO,UACd,OAARA,IAAeA,EAAO,UAEd,QAARA,IAAgBA,EAAO,aACf,MAARA,IAAcA,EAAO,WACb,SAARA,IAAiBA,EAAO,cAChB,QAARA,IAAgBA,EAAO,aACpBA,ECxCM4zH,CAAQlqH,GAAQmqH,EAAwB,GAAf7zH,EAAKuB,QAAuB,KAARvB,EACpD8zH,EAAS76G,EAAIs6G,EAAUvzH,EAAM0J,GAAQmqH,IACzC,GAAIC,GAAUA,EAAOpmE,EAAKtgD,MAAOsgD,EAAKtG,SAAUsG,GAAS,OAAO,EAChE,GAAImmE,IAAWnqH,EAAMw/F,UAAYx/F,EAAMu/F,QAAUv/F,EAAMs/F,SAAWhpG,EAAK4iB,WAAW,GAAK,OAClF+wG,EAAWl6E,EAAK/vC,EAAM0wF,WAAau5B,GAAY3zH,EAAM,CAKxD,IAAI+zH,EAAW96G,EAAIs6G,EAAUI,EAAUjqH,GAAO,IAC9C,GAAIqqH,GAAYA,EAASrmE,EAAKtgD,MAAOsgD,EAAKtG,SAAUsG,GAAS,OAAO,OAC/D,GAAImmE,GAAUnqH,EAAMw/F,SAAU,CAGnC,IAAI8qB,EAAY/6G,EAAIs6G,EAAUvzH,EAAM0J,GAAO,IAC3C,GAAIsqH,GAAaA,EAAUtmE,EAAKtgD,MAAOsgD,EAAKtG,SAAUsG,GAAS,OAAO,EAExE,OAAO,K;;;;;;;GCpFX,IAAIumE,EAAkB,UAOtB/0H,EAAOC,QAUP,SAAoByf,GAClB,IAOIvX,EAPA3D,EAAM,GAAKkb,EACXtJ,EAAQ2+G,EAAgB70H,KAAKsE,GAEjC,IAAK4R,EACH,OAAO5R,EAIT,IAAImM,EAAO,GACPgG,EAAQ,EACRZ,EAAY,EAEhB,IAAKY,EAAQP,EAAMO,MAAOA,EAAQnS,EAAInC,OAAQsU,IAAS,CACrD,OAAQnS,EAAIkf,WAAW/M,IACrB,KAAK,GACHxO,EAAS,SACT,MACF,KAAK,GACHA,EAAS,QACT,MACF,KAAK,GACHA,EAAS,QACT,MACF,KAAK,GACHA,EAAS,OACT,MACF,KAAK,GACHA,EAAS,OACT,MACF,QACE,SAGA4N,IAAcY,IAChBhG,GAAQnM,EAAI2E,UAAU4M,EAAWY,IAGnCZ,EAAYY,EAAQ,EACpBhG,GAAQxI,EAGV,OAAO4N,IAAcY,EACjBhG,EAAOnM,EAAI2E,UAAU4M,EAAWY,GAChChG,I,cC5EN3Q,EAAOC,QAAU,SAASD,GAoBzB,OAnBKA,EAAOg1H,kBACXh1H,EAAO6iC,UAAY,aACnB7iC,EAAOi1H,MAAQ,GAEVj1H,EAAOowF,WAAUpwF,EAAOowF,SAAW,IACxC5uF,OAAOqD,eAAe7E,EAAQ,SAAU,CACvCgK,YAAY,EACZlF,IAAK,WACJ,OAAO9E,EAAOoC,KAGhBZ,OAAOqD,eAAe7E,EAAQ,KAAM,CACnCgK,YAAY,EACZlF,IAAK,WACJ,OAAO9E,EAAOmC,KAGhBnC,EAAOg1H,gBAAkB,GAEnBh1H,I,iBCbA,SAAWk1H,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,8FAA8Fh/B,MAAM,KAC7G++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,4DAA4D5iC,MAAM,KAC7E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C8mC,cAAe,SACf7B,KAAO,SAAUv8B,GACb,MAAO,QAAQ8C,KAAK9C,IAExB2tB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,KAAO,KAEjBA,EAAU,KAAO,MAGhC5c,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,eACVC,SAAW,eACXC,QAAU,iBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,YACPxR,EAAI,mBACJyR,GAAK,cACLnkB,EAAI,YACJokB,GAAK,YACLvjC,EAAI,SACJwjC,GAAK,SACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,WACJ4F,GAAK,YACL1I,EAAI,UACJ2I,GAAK,WAETT,uBAAwB,kBACxBjL,QAAU,SAAUvM,GAChB,OAAOA,GAAsB,IAAXA,GAA2B,IAAXA,GAAgBA,GAAU,GAAM,MAAQ,OAE9EkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA7DwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KACNC,EAAa,SAAUt0G,GACtB,OAAa,IAANA,EAAU,EAAU,IAANA,EAAU,EAAU,IAANA,EAAU,EAAIA,EAAI,KAAO,GAAKA,EAAI,KAAO,GAAK,EAAIA,EAAI,KAAO,GAAK,EAAI,GAC1Gu0G,EAAU,CACT12F,EAAI,CAAC,eAAgB,cAAe,CAAC,UAAW,WAAY,UAAW,WAAY,YACnF1S,EAAI,CAAC,eAAgB,cAAe,CAAC,UAAW,WAAY,WAAY,WAAY,YACpFnf,EAAI,CAAC,cAAe,aAAc,CAAC,SAAU,UAAW,WAAY,UAAW,WAC/E69B,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,UAAW,WAAY,UAC1EC,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,UAAW,UAAW,UACzE9C,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,WAAY,WAAY,WAC5EwtF,EAAY,SAAUz2E,GACrB,OAAO,SAAUrmB,EAAQolB,EAAen+B,EAAQ+hC,GAC5C,IAAIr8C,EAAIiwH,EAAW58F,GACfj0B,EAAM8wH,EAAQx2E,GAAGu2E,EAAW58F,IAIhC,OAHU,IAANrzB,IACAZ,EAAMA,EAAIq5C,EAAgB,EAAI,IAE3Br5C,EAAIC,QAAQ,MAAOg0B,KAE/BoQ,EAAS,CACR,QACA,SACA,OACA,QACA,OACA,QACA,QACA,QACA,SACA,SACA,SACA,UAGKqsF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcC,EACd4D,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,wCAAwC3iC,MAAM,KAC9D0iC,YAAc,gBAAgB1iC,MAAM,KACpC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXW,cAAe,MACf7B,KAAO,SAAUv8B,GACb,MAAO,MAAQA,GAEnB2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,IAEA,KAGf/G,SAAW,CACPC,QAAS,wBACTC,QAAS,uBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SACTC,KAAO,SACPxR,EAAI22F,EAAU,KACdllF,GAAKklF,EAAU,KACfrpG,EAAIqpG,EAAU,KACdjlF,GAAKilF,EAAU,KACfxoH,EAAIwoH,EAAU,KACdhlF,GAAKglF,EAAU,KACf3qF,EAAI2qF,EAAU,KACd/kF,GAAK+kF,EAAU,KACf1qF,EAAI0qF,EAAU,KACd9kF,GAAK8kF,EAAU,KACfxtF,EAAIwtF,EAAU,KACd7kF,GAAK6kF,EAAU,MAEnB9+E,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,MAClB3R,QAAQ,KAAM,MAErBm5C,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,MAClB3R,QAAQ,KAAM,MAErBknC,KAAO,CACHL,IAAM,EACNC,IAAM,MA3HwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,yEAAyEh/B,MAAM,KACxF++B,YAAc,yEAAyE/+B,MAAM,KAC7F4iC,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,wCAAwC3iC,MAAM,KAC9D0iC,YAAc,wBAAwB1iC,MAAM,KAC5C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,wBACTC,QAAS,sBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,WACLnkB,EAAI,QACJokB,GAAK,WACLvjC,EAAI,OACJwjC,GAAK,WACL3F,EAAI,MACJ4F,GAAK,UACL3F,EAAI,MACJ4F,GAAK,UACL1I,EAAI,MACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA/CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wEAAwEh/B,MAAM,KACvF++B,YAAc,wEAAwE/+B,MAAM,KAC5F4iC,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,wCAAwC3iC,MAAM,KAC9D0iC,YAAc,gBAAgB1iC,MAAM,KACpC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,wBACTC,QAAS,sBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,WACLnkB,EAAI,QACJokB,GAAK,WACLvjC,EAAI,OACJwjC,GAAK,WACL3F,EAAI,MACJ4F,GAAK,UACL3F,EAAI,MACJ4F,GAAK,UACL1I,EAAI,MACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,MA/CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNE,EAAa,SAAUt0G,GACtB,OAAa,IAANA,EAAU,EAAU,IAANA,EAAU,EAAU,IAANA,EAAU,EAAIA,EAAI,KAAO,GAAKA,EAAI,KAAO,GAAK,EAAIA,EAAI,KAAO,GAAK,EAAI,GAC1Gu0G,EAAU,CACT12F,EAAI,CAAC,eAAgB,cAAe,CAAC,UAAW,WAAY,UAAW,WAAY,YACnF1S,EAAI,CAAC,eAAgB,cAAe,CAAC,UAAW,WAAY,WAAY,WAAY,YACpFnf,EAAI,CAAC,cAAe,aAAc,CAAC,SAAU,UAAW,WAAY,UAAW,WAC/E69B,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,UAAW,WAAY,UAC1EC,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,UAAW,UAAW,UACzE9C,EAAI,CAAC,aAAc,WAAY,CAAC,QAAS,SAAU,WAAY,WAAY,WAC5EwtF,EAAY,SAAUz2E,GACrB,OAAO,SAAUrmB,EAAQolB,EAAen+B,EAAQ+hC,GAC5C,IAAIr8C,EAAIiwH,EAAW58F,GACfj0B,EAAM8wH,EAAQx2E,GAAGu2E,EAAW58F,IAIhC,OAHU,IAANrzB,IACAZ,EAAMA,EAAIq5C,EAAgB,EAAI,IAE3Br5C,EAAIC,QAAQ,MAAOg0B,KAE/BoQ,EAAS,CACR,QACA,SACA,OACA,QACA,OACA,QACA,QACA,QACA,SACA,SACA,SACA,UAGOqsF,EAAO9jF,aAAa,QAAS,CACpCvI,OAASA,EACTD,YAAcC,EACd4D,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,wCAAwC3iC,MAAM,KAC9D0iC,YAAc,gBAAgB1iC,MAAM,KACpC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXW,cAAe,MACf7B,KAAO,SAAUv8B,GACb,MAAO,MAAQA,GAEnB2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,IAEA,KAGf/G,SAAW,CACPC,QAAS,wBACTC,QAAS,uBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SACTC,KAAO,SACPxR,EAAI22F,EAAU,KACdllF,GAAKklF,EAAU,KACfrpG,EAAIqpG,EAAU,KACdjlF,GAAKilF,EAAU,KACfxoH,EAAIwoH,EAAU,KACdhlF,GAAKglF,EAAU,KACf3qF,EAAI2qF,EAAU,KACd/kF,GAAK+kF,EAAU,KACf1qF,EAAI0qF,EAAU,KACd9kF,GAAK8kF,EAAU,KACfxtF,EAAIwtF,EAAU,KACd7kF,GAAK6kF,EAAU,MAEnB9+E,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCm5C,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,MAClB3R,QAAQ,KAAM,MAErBknC,KAAO,CACHL,IAAM,EACNC,IAAM,MA9GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wEAAwEh/B,MAAM,KACvF++B,YAAc,wEAAwE/+B,MAAM,KAC5F4iC,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,wCAAwC3iC,MAAM,KAC9D0iC,YAAc,gBAAgB1iC,MAAM,KACpC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,wBACTC,QAAS,sBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,WACLnkB,EAAI,QACJokB,GAAK,WACLvjC,EAAI,OACJwjC,GAAK,WACL3F,EAAI,MACJ4F,GAAK,UACL3F,EAAI,MACJ4F,GAAK,UACL1I,EAAI,MACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,MA/CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGEF,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,6EAA6Eh/B,MAAM,KAC5F++B,YAAc,6EAA6E/+B,MAAM,KACjG4iC,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,wCAAwC3iC,MAAM,KAC9D0iC,YAAc,gBAAgB1iC,MAAM,KACpC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXW,cAAe,MACf7B,KAAO,SAAUv8B,GACb,MAAO,MAAQA,GAEnB2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,IAEA,KAGf/G,SAAW,CACPC,QAAS,wBACTC,QAAS,sBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,WACLnkB,EAAI,QACJokB,GAAK,WACLvjC,EAAI,OACJwjC,GAAK,WACL3F,EAAI,MACJ4F,GAAK,UACL3F,EAAI,MACJ4F,GAAK,UACL1I,EAAI,MACJ2I,GAAK,YAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,MAClB3R,QAAQ,KAAM,MAErBm5C,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,MAClB3R,QAAQ,KAAM,MAErBknC,KAAO,CACHL,IAAM,EACNC,IAAM,KA5FwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAQ,yEAAyEh/B,MAAM,KACvF++B,YAAa,yEAAyE/+B,MAAM,KAC5F4iC,SAAU,sDAAsD5iC,MAAM,KACtE2iC,cAAe,wCAAwC3iC,MAAM,KAC7D0iC,YAAa,gBAAgB1iC,MAAM,KACnC2rH,oBAAqB,EACrB9vF,eAAgB,CACZz0B,GAAI,QACJ2+B,IAAK,WACLC,EAAG,aACHC,GAAI,cACJC,IAAK,oBACLC,KAAM,0BAEVX,SAAU,CACNC,QAAS,wBACTC,QAAS,sBACTC,SAAU,uBACVC,QAAS,sBACTC,SAAU,uBACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,QACRC,KAAM,SACNxR,EAAG,OACHyR,GAAK,WACLnkB,EAAG,QACHokB,GAAI,WACJvjC,EAAG,OACHwjC,GAAI,WACJ3F,EAAG,MACH4F,GAAI,UACJ3F,EAAG,MACH4F,GAAI,UACJ1I,EAAG,MACH2I,GAAI,YAER/E,KAAM,CACFL,IAAK,EACLC,IAAK,KA/CyBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIO,EAAW,CACX3xG,EAAG,QACH4xG,EAAG,QACHtF,EAAG,QACHuF,GAAI,QACJC,GAAI,QACJnrC,EAAG,OACHorC,EAAG,OACHlF,GAAI,OACJ8C,GAAI,OACJqC,EAAG,QACHprC,EAAG,QACHqrC,IAAK,QACLC,EAAG,OACH3F,EAAG,QACHC,GAAI,QACJ2F,GAAI,QACJC,GAAI,QACJC,GAAI,SAGCjB,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,+EAA+Eh/B,MAAM,KAC9F++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,qEAAqE5iC,MAAM,KACtF2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,kBACVC,QAAU,kBACVC,SAAW,+BACXC,QAAU,aACVC,SAAW,+BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,WACPxR,EAAI,iBACJyR,GAAK,YACLnkB,EAAI,aACJokB,GAAK,YACLvjC,EAAI,WACJwjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,SACJ2I,GAAK,SAETC,cAAe,0BACf7B,KAAO,SAAUv8B,GACb,MAAO,mBAAmB8C,KAAK9C,IAEnC2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,QACAA,EAAO,GACP,SAEA,SAGfnG,uBAAwB,wCACxBjL,QAAU,SAAUvM,GAChB,GAAe,IAAXA,EACA,OAAOA,EAAS,QAEpB,IAAIr0B,EAAIq0B,EAAS,GAGjB,OAAOA,GAAUg9F,EAASrxH,IAAMqxH,EAFxBh9F,EAAS,IAAMr0B,IAEwBqxH,EADvCh9F,GAAU,IAAM,IAAM,QAGlCkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA7FwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAOzB,SAASkB,EAAuB39F,EAAQolB,EAAev7C,GACnD,IALkBoqB,EACd2pG,EAYJ,MAAY,MAAR/zH,EACOu7C,EAAgB,UAAY,UAEtB,MAARv7C,EACEu7C,EAAgB,UAAY,UAG5BplB,EAAS,KApBF/L,GAoB6B+L,EAnB3C49F,EAIS,CACT,GAAMx4E,EAAgB,yBAA2B,yBACjD,GAAMA,EAAgB,yBAA2B,yBACjD,GAAMA,EAAgB,yBAA2B,yBACjD,GAAM,iBACN,GAAM,uBACN,GAAM,kBAS8Bv7C,GAnBvBuH,MAAM,KAChB6iB,EAAM,IAAO,GAAKA,EAAM,KAAQ,GAAK2pG,EAAM,GAAM3pG,EAAM,IAAM,GAAKA,EAAM,IAAM,IAAMA,EAAM,IAAM,IAAMA,EAAM,KAAO,IAAM2pG,EAAM,GAAKA,EAAM,IAsB5InB,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,CACLjc,OAAQ,uGAAuG/iB,MAAM,KACrHysH,WAAY,qGAAqGzsH,MAAM,MAE3H++B,YAAc,0DAA0D/+B,MAAM,KAC9E4iC,SAAW,CACP7f,OAAQ,0DAA0D/iB,MAAM,KACxEysH,WAAY,0DAA0DzsH,MAAM,KAC5E+3C,SAAU,+CAEdpV,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,iBACLC,IAAM,wBACNC,KAAO,+BAEXX,SAAW,CACPC,QAAS,eACTC,QAAS,gBACTE,QAAS,eACTD,SAAU,WACN,MAAO,mBAEXE,SAAU,WACN,OAAQxlC,KAAKojC,OACT,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,0BACX,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,2BAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,UACTC,KAAO,UACPxR,EAAI,kBACJ1S,EAAIkqG,EACJ9lF,GAAK8lF,EACLrpH,EAAIqpH,EACJ7lF,GAAK6lF,EACLxrF,EAAI,QACJ4F,GAAK4lF,EACLvrF,EAAI,QACJ4F,GAAK2lF,EACLruF,EAAI,MACJ2I,GAAK0lF,GAETzlF,cAAe,yBACf7B,KAAO,SAAUv8B,GACb,MAAO,iBAAiB8C,KAAK9C,IAEjC2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,SACAA,EAAO,GACP,MAEA,UAGfnG,uBAAwB,mBACxBjL,QAAS,SAAUvM,EAAQsiB,GACvB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACL,IAAK,IACD,OAAQtiB,EAAS,IAAO,GAAKA,EAAS,IAAO,GAAOA,EAAS,KAAQ,IAAMA,EAAS,KAAQ,GAAsBA,EAAS,KAAzBA,EAAS,KAC/G,IAAK,IACD,OAAOA,EAAS,MACpB,QACI,OAAOA,IAGnBkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAxHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,oFAAoFh/B,MAAM,KACnG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,yDAAyD5iC,MAAM,KAC1E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,YACJC,GAAK,cACLC,IAAM,mBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,cACVC,QAAU,cACVC,SAAW,cACXC,QAAU,eACVC,SAAW,WACP,OAAQxlC,KAAKojC,OACT,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,6BACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,8BAGnBqC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,WACPxR,EAAI,kBACJyR,GAAK,aACLnkB,EAAI,SACJokB,GAAK,YACLvjC,EAAI,MACJwjC,GAAK,UACL3F,EAAI,MACJ4F,GAAK,SACL3F,EAAI,QACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,aAETT,uBAAwB,8BACxBjL,QAAU,SAAUvM,GAChB,IAAI89F,EAAY99F,EAAS,GACrB+9F,EAAc/9F,EAAS,IAC3B,OAAe,IAAXA,EACOA,EAAS,MACO,IAAhB+9F,EACA/9F,EAAS,MACT+9F,EAAc,IAAMA,EAAc,GAClC/9F,EAAS,MACK,IAAd89F,EACA99F,EAAS,MACK,IAAd89F,EACA99F,EAAS,MACK,IAAd89F,GAAiC,IAAdA,EACnB99F,EAAS,MAETA,EAAS,OAGxBkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA9EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,8IAA8Ih/B,MAAM,KAC7J++B,YAAc,iDAAiD/+B,MAAM,KACrE4iC,SAAW,+CAA+C5iC,MAAM,KAChE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,2BACLC,IAAM,wCACNC,KAAO,8CAEXX,SAAW,CACPC,QAAU,eACVC,QAAU,iBACVC,SAAW,qBACXC,QAAU,iBACVC,SAAW,yBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,aACPxR,EAAI,kBACJyR,GAAK,aACLnkB,EAAI,eACJokB,GAAK,YACLvjC,EAAI,aACJwjC,GAAK,UACL3F,EAAI,aACJ4F,GAAK,UACL3F,EAAI,aACJ4F,GAAK,UACL1I,EAAI,YACJ2I,GAAK,UAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA9CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,0FAA0Fh/B,MAAM,KACzG++B,YAAc,yDAAyD/+B,MAAM,KAC7E4iC,SAAW,4DAA4D5iC,MAAM,KAC7E2iC,cAAgB,uCAAuC3iC,MAAM,KAC7D0iC,YAAc,kCAAkC1iC,MAAM,KACtD67B,eAAiB,CACbz0B,GAAK,aACL2+B,IAAM,gBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAU,UACVC,QAAU,gBACVC,SAAW,WACXC,QAAU,aACVC,SAAW,gBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,SACPxR,EAAI,eACJyR,GAAK,aACLnkB,EAAI,WACJokB,GAAK,WACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,SACL1I,EAAI,SACJ2I,GAAK,UAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAGzBu6B,cAAe,2BACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEO,QAAblW,GAAsBkW,GAAQ,GACb,UAAblW,GAAwBkW,EAAO,GACnB,UAAblW,EACGkW,EAAO,GAEPA,GAGflW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,MACAA,EAAO,GACP,OACAA,EAAO,GACP,QACAA,EAAO,GACP,QAEA,OAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KA3GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,qJAAqJh/B,MAAM,KACpK++B,YAAc,qJAAqJ/+B,MAAM,KACzK4iC,SAAW,gFAAgF5iC,MAAM,KACjG2iC,cAAgB,oDAAoD3iC,MAAM,KAC1E0iC,YAAc,oDAAoD1iC,MAAM,KACxE67B,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,sBACNC,KAAO,6BAEXX,SAAW,CACPC,QAAU,cACVC,QAAU,cACVC,SAAW,wBACXC,QAAU,YACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,WACPxR,EAAI,QACJyR,GAAK,YACLnkB,EAAI,aACJokB,GAAK,WACLvjC,EAAI,cACJwjC,GAAK,YACL3F,EAAI,WACJ4F,GAAK,UACL3F,EAAI,YACJ4F,GAAK,UACL1I,EAAI,UACJ2I,GAAK,SAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAGzBu6B,cAAe,wCACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEO,WAAblW,GAAyBkW,GAAQ,GAChB,YAAblW,GAA0BkW,EAAO,GACrB,YAAblW,EACGkW,EAAO,GAEPA,GAGflW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,SACAA,EAAO,GACP,UACAA,EAAO,GACP,UACAA,EAAO,GACP,UAEA,UAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KA3GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAASuB,EAAyBh+F,EAAQolB,EAAev7C,GAMrD,OAAOm2B,EAAS,IAoBpB,SAAkBnwB,EAAMmwB,GACpB,OAAe,IAAXA,EAKR,SAAsBnwB,GAClB,IAAIouH,EAAgB,CAChB,EAAK,IACL,EAAK,IACL,EAAK,KAET,YAAsC5vH,IAAlC4vH,EAAcpuH,EAAKI,OAAO,IACnBJ,EAEJouH,EAAcpuH,EAAKI,OAAO,IAAMJ,EAAKa,UAAU,GAb3CwtH,CAAaruH,GAEjBA,EAxBeitE,CALT,CACT,GAAM,WACN,GAAM,MACN,GAAM,UAE4BjzE,GAAMm2B,GAsCvCy8F,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,gFAAiFh/B,MAAM,KAChG++B,YAAc,mDAAoD/+B,MAAM,KACxE4iC,SAAW,6CAA8C5iC,MAAM,KAC/D2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,wBAAwB1iC,MAAM,KAC5C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,WACL2+B,IAAM,cACNC,EAAI,aACJC,GAAK,sBACLC,IAAM,+BACNC,KAAO,sCAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,qBACVC,SAAW,eACXC,QAAU,gBACVC,SAAW,qBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,SACPxR,EAAI,wBACJyR,GAAK,YACLnkB,EAAI,cACJokB,GAAKmmF,EACL1pH,EAAI,SACJwjC,GAAK,SACL3F,EAAI,YACJ4F,GAAKimF,EACL5rF,EAAI,SACJ4F,GAAKgmF,EACL1uF,EAAI,WACJ2I,GAzER,SAAiCjY,GAC7B,OAWJ,SAASm+F,EAAWn+F,GAChB,OAAIA,EAAS,EACFm+F,EAAWn+F,EAAS,IAExBA,EAfCm+F,CAAWn+F,IACf,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,OAAOA,EAAS,SACpB,QACI,OAAOA,EAAS,YAkExBwX,uBAAwB,kBACxBjL,QAAU,SAAUvM,GAEhB,OAAOA,GADkB,IAAXA,EAAgB,KAAO,QAGzCkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAhGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAAS2B,EAAUp+F,EAAQolB,EAAev7C,GACtC,IAAIyB,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,KAQD,OANIyB,GADW,IAAX00B,EACU,UACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,UAEA,UAGlB,IAAK,IACD,OAAOolB,EAAgB,eAAiB,eAC5C,IAAK,KAQD,OANI95C,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,SAEA,SAGlB,IAAK,IACD,OAAOolB,EAAgB,YAAc,cACzC,IAAK,KAQD,OANI95C,GADW,IAAX00B,EACU,MACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,OAEA,OAGlB,IAAK,KAMD,OAJI10B,GADW,IAAX00B,EACU,MAEA,OAGlB,IAAK,KAQD,OANI10B,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,UAEA,UAGlB,IAAK,KAQD,OANI10B,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,SAEA,UAMjBy8F,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqFh/B,MAAM,KACpG++B,YAAc,8DAA8D/+B,MAAM,KAClFitH,kBAAkB,EAClBrqF,SAAW,4DAA4D5iC,MAAM,KAC7E2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAW,eACXC,QAAW,eACXC,SAAW,WACP,OAAQtlC,KAAKojC,OACT,KAAK,EACD,MAAO,wBACX,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,oBAGnBmC,QAAW,eACXC,SAAW,WACP,OAAQxlC,KAAKojC,OACT,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,2BACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,yBAGnBqC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAS,WACTxR,EAAS,cACTyR,GAASwmF,EACT3qG,EAAS2qG,EACTvmF,GAASumF,EACT9pH,EAAS8pH,EACTtmF,GAASsmF,EACTjsF,EAAS,MACT4F,GAASqmF,EACThsF,EAAS,SACT4F,GAASomF,EACT9uF,EAAS,SACT2I,GAASmmF,GAEb5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA3IwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,CACLytF,WAAY,oFAAoFzsH,MAAM,KACtG+iB,OAAQ,qHAAwH/iB,MAAM,KACtI+3C,SAAU,mBAEdhZ,YAAc,8DAA8D/+B,MAAM,KAClFitH,kBAAmB,EACnBrqF,SAAW,8DAA8D5iC,MAAM,KAC/E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,aACJC,GAAK,mBACLinF,GAAK,aACLhnF,IAAM,gCACNinF,IAAM,mBACNhnF,KAAO,qCACPinF,KAAO,wBAEX5nF,SAAW,CACPC,QAAU,WACN,MAAO,YAAgC,IAAjBplC,KAAKokC,QAAiB,MAAQ,MAAQ,QAEhEiB,QAAU,WACN,MAAO,YAAgC,IAAjBrlC,KAAKokC,QAAiB,MAAQ,MAAQ,QAEhEkB,SAAW,WACP,MAAO,YAAgC,IAAjBtlC,KAAKokC,QAAiB,MAAQ,MAAQ,QAEhEmB,QAAU,WACN,MAAO,YAAgC,IAAjBvlC,KAAKokC,QAAiB,MAAQ,MAAQ,QAEhEoB,SAAW,WACP,MAAO,wBAA4C,IAAjBxlC,KAAKokC,QAAiB,MAAQ,MAAQ,QAE5EqB,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,QACPxR,EAAI,aACJyR,GAAK,YACLnkB,EAAI,WACJokB,GAAK,YACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAwB,qBACxBjL,QAAU,SAAUvM,EAAQsiB,GACxB,IAAIzV,EAAqB,IAAX7M,EAAgB,IACd,IAAXA,EAAgB,IACL,IAAXA,EAAgB,IACL,IAAXA,EAAgB,IAAM,IAI3B,MAHe,MAAXsiB,GAA6B,MAAXA,IAClBzV,EAAS,KAEN7M,EAAS6M,GAEpBqG,KAAO,CACHL,IAAM,EACNC,IAAM,KA5EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIrsF,EAAS,oFAAoFh/B,MAAM,KACnG++B,EAAc,kDAAkD/+B,MAAM,KAEtEm/B,EAAc,CAAC,QAAS,QAAS,QAAS,QAAS,QAAS,yBAA0B,4BAA6B,QAAS,QAAS,QAAS,QAAS,SAGvJD,EAAc,wJAElB,SAASmuF,EAAOn2G,GACZ,OAAQA,EAAI,GAAOA,EAAI,GAAsB,MAAZA,EAAI,IAEzC,SAAS81G,EAAUp+F,EAAQolB,EAAev7C,EAAKm/C,GAC3C,IAAI19C,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,IACD,OAAQu7C,GAAiB4D,EAAY,aAAe,gBACxD,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,UAAY,UAEvC10B,EAAS,YAGxB,IAAK,IACD,OAAO85C,EAAgB,SAAY4D,EAAW,SAAW,UAC7D,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,SAAW,SAEtC10B,EAAS,WAGxB,IAAK,IACD,OAAO85C,EAAgB,SAAY4D,EAAW,SAAW,UAC7D,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,SAAW,SAEtC10B,EAAS,WAGxB,IAAK,IACD,OAAQ85C,GAAiB4D,EAAY,MAAQ,OACjD,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,MAAQ,OAEnC10B,EAAS,MAGxB,IAAK,IACD,OAAQ85C,GAAiB4D,EAAY,QAAU,UACnD,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,SAAW,UAEtC10B,EAAS,SAGxB,IAAK,IACD,OAAQ85C,GAAiB4D,EAAY,MAAQ,QACjD,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,OAAS,OAEpC10B,EAAS,QAMvBmxH,EAAO9jF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcA,EACdG,YAAcA,EACdD,iBAAmBC,EAGnBouF,kBAAoB,uKACpBC,uBAAyB,sDACzBpuF,YAAcA,EACdquF,gBAAkBruF,EAClBsuF,iBAAmBtuF,EACnByD,SAAW,mDAAmD5iC,MAAM,KACpE2iC,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAI,OACJ2+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,oBACNC,KAAO,yBACP5tC,EAAI,cAERitC,SAAW,CACPC,QAAS,cACTC,QAAS,eACTC,SAAU,WACN,OAAQtlC,KAAKojC,OACT,KAAK,EACD,MAAO,kBACX,KAAK,EACL,KAAK,EACD,MAAO,kBACX,KAAK,EACD,MAAO,mBACX,KAAK,EACD,MAAO,oBACX,KAAK,EACD,MAAO,iBACX,KAAK,EACD,MAAO,oBAGnBmC,QAAS,eACTC,SAAU,WACN,OAAQxlC,KAAKojC,OACT,KAAK,EACD,MAAO,wBACX,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,wBACX,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,0BAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAIi4F,EACJxmF,GAAKwmF,EACL3qG,EAAI2qG,EACJvmF,GAAKumF,EACL9pH,EAAI8pH,EACJtmF,GAAKsmF,EACLjsF,EAAIisF,EACJrmF,GAAKqmF,EACLhsF,EAAIgsF,EACJpmF,GAAKomF,EACL9uF,EAAI8uF,EACJnmF,GAAKmmF,GAET5mF,uBAAyB,YACzBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhKwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,gEAAgEh/B,MAAM,KAC/E++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,oEAAoE5iC,MAAM,KACrF2iC,cAAgB,6BAA6B3iC,MAAM,KACnD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,sCACLC,IAAM,6CACNC,KAAO,oDAEXX,SAAW,CACPC,QAAS,sBACTC,QAAS,sBACTE,QAAS,sBACTD,SAAU,4BACVE,SAAU,4BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SAAU7K,GAEf,OAAOA,GADK,UAAUplC,KAAKolC,GAAU,MAAQ,QAAQplC,KAAKolC,GAAU,MAAQ,QAGhF8K,KAAO,YACPxR,EAAI,iBACJyR,GAAK,aACLnkB,EAAI,YACJokB,GAAK,WACLvjC,EAAI,YACJwjC,GAAK,WACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,WACJ4F,GAAK,UACL1I,EAAI,UACJ2I,GAAK,UAETT,uBAAwB,cACxBjL,QAAU,SACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAnDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAQ,yFAAyFh/B,MAAM,KACvG++B,YAAa,qDAAqD/+B,MAAM,KACxE4iC,SAAU,+EAA+E5iC,MAAM,KAC/F2iC,cAAe,+BAA+B3iC,MAAM,KACpD0iC,YAAa,uBAAuB1iC,MAAM,KAC1C2rH,oBAAqB,EAErB9vF,eAAgB,CACZz0B,GAAI,QACJ2+B,IAAM,WACNC,EAAG,aACHC,GAAI,cACJC,IAAK,oBACLC,KAAM,2BAEVX,SAAU,CACNC,QAAS,iBACTC,QAAS,gBACTC,SAAU,eACVC,QAAS,eACTC,SAAU,wBACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,UACRC,KAAM,WACNxR,EAAG,mBACHyR,GAAI,YACJnkB,EAAG,QACHokB,GAAI,WACJvjC,EAAG,MACHwjC,GAAI,SACJ3F,EAAG,UACH4F,GAAI,aACJ3F,EAAG,MACH4F,GAAI,SACJ1I,EAAG,WACH2I,GAAI,cAERT,uBAAwB,mCAExBjL,QAAS,SAAUvM,GACf,IACI6M,EAAS,GAcb,OAfQ7M,EAMA,GAEA6M,EADM,KAPN7M,GAOkB,KAPlBA,GAO8B,KAP9BA,GAO0C,KAP1CA,GAOsD,MAPtDA,EAQS,MAEA,MAVTA,EAYO,IACX6M,EAXS,CACL,GAAI,KAAM,KAAM,MAAO,MAAO,KAAM,KAAM,KAAM,MAAO,MAAO,MAC9D,KAAM,MAAO,KAAM,KAAM,MAAO,KAAM,KAAM,MAAO,KAAM,OAJzD7M,IAeDA,EAAS6M,GAEpBqG,KAAO,CACHL,IAAM,EACNC,IAAM,KApEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,sFAAsFh/B,MAAM,KACrG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,qDAAqD5iC,MAAM,KACtE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,eACLC,IAAM,qBACNC,KAAO,sCAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,oBACVC,SAAW,mBACXC,QAAU,iBACVC,SAAW,qBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,WACPxR,EAAI,cACJyR,GAAK,cACLnkB,EAAI,WACJokB,GAAK,cACLvjC,EAAI,UACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,WACJ4F,GAAK,aACL1I,EAAI,QACJ2I,GAAK,SAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAASqC,EAAoB9+F,EAAQolB,EAAev7C,EAAKm/C,GACrD,IAAI70B,EAAS,CACT,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,UAAW,aACjB,GAAM,CAAC6L,EAAS,QAASA,EAAS,UAClC,EAAK,CAAC,YAAa,eACnB,GAAM,CAACA,EAAS,UAAWA,EAAS,YACpC,EAAK,CAAC,WAAY,cAClB,GAAM,CAACA,EAAS,SAAUA,EAAS,YAEvC,OAAOolB,EAAgBjxB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAG/C4yH,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqFh/B,MAAM,KACpG++B,YAAc,6DAA6D/+B,MAAM,KACjFitH,kBAAmB,EACnBrqF,SAAW,8DAA8D5iC,MAAM,KAC/E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAI,QACJ2+B,IAAK,WACLC,EAAI,aACJC,GAAK,eACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAS,sBACTK,SAAU,IACVJ,QAAS,uBACTC,SAAU,qBACVC,QAAS,wBACTC,SAAU,gCAEdQ,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,oBACJyR,GAAK,cACLnkB,EAAIqrG,EACJjnF,GAAK,aACLvjC,EAAIwqH,EACJhnF,GAAK,aACL3F,EAAI2sF,EACJ/mF,GAAK+mF,EACL1sF,EAAI0sF,EACJ9mF,GAAK8mF,EACLxvF,EAAIwvF,EACJ7mF,GAAK6mF,GAETtnF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAASqC,EAAoB9+F,EAAQolB,EAAev7C,EAAKm/C,GACrD,IAAI70B,EAAS,CACT,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,UAAW,aACjB,GAAM,CAAC6L,EAAS,QAASA,EAAS,UAClC,EAAK,CAAC,YAAa,eACnB,GAAM,CAACA,EAAS,UAAWA,EAAS,YACpC,EAAK,CAAC,WAAY,cAClB,GAAM,CAACA,EAAS,SAAUA,EAAS,YAEvC,OAAOolB,EAAgBjxB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAG7C4yH,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,qFAAqFh/B,MAAM,KACpG++B,YAAc,6DAA6D/+B,MAAM,KACjFitH,kBAAmB,EACnBrqF,SAAW,8DAA8D5iC,MAAM,KAC/E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAI,QACJ2+B,IAAK,WACLC,EAAI,aACJC,GAAK,eACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAS,sBACTK,SAAU,IACVJ,QAAS,uBACTC,SAAU,qBACVC,QAAS,wBACTC,SAAU,gCAEdQ,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,oBACJyR,GAAK,cACLnkB,EAAIqrG,EACJjnF,GAAK,aACLvjC,EAAIwqH,EACJhnF,GAAK,aACL3F,EAAI2sF,EACJ/mF,GAAK+mF,EACL1sF,EAAI0sF,EACJ9mF,GAAK8mF,EACLxvF,EAAIwvF,EACJ7mF,GAAK6mF,GAETtnF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAASqC,EAAoB9+F,EAAQolB,EAAev7C,EAAKm/C,GACrD,IAAI70B,EAAS,CACT,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,cAAe,gBACrB,EAAK,CAAC,UAAW,aACjB,GAAM,CAAC6L,EAAS,QAASA,EAAS,UAClC,EAAK,CAAC,YAAa,eACnB,GAAM,CAACA,EAAS,UAAWA,EAAS,YACpC,EAAK,CAAC,WAAY,cAClB,GAAM,CAACA,EAAS,SAAUA,EAAS,YAEvC,OAAOolB,EAAgBjxB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAG7C4yH,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,qFAAqFh/B,MAAM,KACpG++B,YAAc,6DAA6D/+B,MAAM,KACjFitH,kBAAmB,EACnBrqF,SAAW,8DAA8D5iC,MAAM,KAC/E2iC,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAI,QACJ2+B,IAAK,WACLC,EAAI,aACJC,GAAK,eACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAS,sBACTK,SAAU,IACVJ,QAAS,uBACTC,SAAU,qBACVC,QAAS,wBACTC,SAAU,gCAEdQ,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,oBACJyR,GAAK,cACLnkB,EAAIqrG,EACJjnF,GAAK,aACLvjC,EAAIwqH,EACJhnF,GAAK,aACL3F,EAAI2sF,EACJ/mF,GAAK+mF,EACL1sF,EAAI0sF,EACJ9mF,GAAK8mF,EACLxvF,EAAIwvF,EACJ7mF,GAAK6mF,GAETtnF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIrsF,EAAS,CACT,WACA,aACA,SACA,WACA,KACA,OACA,SACA,WACA,eACA,aACA,aACA,cACD4D,EAAW,CACV,WACA,OACA,WACA,OACA,aACA,SACA,YAGKyoF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcC,EACd4D,SAAWA,EACXD,cAAgBC,EAChBF,YAAc,qCAAqC1iC,MAAM,KACzD67B,eAAiB,CAEbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,WACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXW,cAAe,QACf7B,KAAO,SAAUv8B,GACb,MAAO,OAASA,GAEpB2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,KAEA,MAGf/G,SAAW,CACPC,QAAU,cACVC,QAAU,cACVC,SAAW,UACXC,QAAU,cACVC,SAAW,qBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,cACTC,KAAO,YACPxR,EAAI,iBACJyR,GAAK,cACLnkB,EAAI,WACJokB,GAAK,YACLvjC,EAAI,aACJwjC,GAAK,cACL3F,EAAI,WACJ4F,GAAK,YACL3F,EAAI,SACJ4F,GAAK,UACL1I,EAAI,WACJ2I,GAAK,aAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCm5C,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCknC,KAAO,CACHL,IAAM,EACNC,IAAM,MAvFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAOhBA,EAAO9jF,aAAa,KAAM,CAC/BomF,mBAAqB,qHAAqH3tH,MAAM,KAChJ4tH,iBAAmB,qHAAqH5tH,MAAM,KAC9Ig/B,OAAS,SAAU6uF,EAAgB9qG,GAC/B,OAAK8qG,EAEwB,iBAAX9qG,GAAuB,IAAIvX,KAAKuX,EAAOzjB,UAAU,EAAGyjB,EAAO9jB,QAAQ,UAC1EoB,KAAKytH,kBAAkBD,EAAepvF,SAEtCp+B,KAAK0tH,oBAAoBF,EAAepvF,SAJxCp+B,KAAK0tH,qBAOpBhvF,YAAc,oDAAoD/+B,MAAM,KACxE4iC,SAAW,yDAAyD5iC,MAAM,KAC1E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3Cq2B,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,KAAO,KAEjBA,EAAU,KAAO,MAGhCxT,KAAO,SAAUv8B,GACb,MAA0C,OAAjCA,EAAQ,IAAI8J,cAAc,IAEvCs0B,cAAgB,gBAChBjL,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEX6nF,WAAa,CACTvoF,QAAU,iBACVC,QAAU,gBACVC,SAAW,eACXC,QAAU,eACVC,SAAW,WACP,OAAQxlC,KAAKojC,OACT,KAAK,EACD,MAAO,gCACX,QACI,MAAO,mCAGnBqC,SAAW,KAEfN,SAAW,SAAU/sC,EAAK+iC,GACtB,IAxDY9yB,EAwDR+yB,EAASp7B,KAAK4tH,YAAYx1H,GAC1BgsC,EAAQjJ,GAAOA,EAAIiJ,QAIvB,QA7DY/7B,EA0DG+yB,aAzDKjkC,UAAsD,sBAA1CG,OAAOC,UAAUF,SAASK,KAAK2Q,MA0D3D+yB,EAASA,EAAOr1B,MAAMo1B,IAEnBC,EAAO7gC,QAAQ,KAAO6pC,EAAQ,IAAO,EAAI,MAAQ,SAE5D4B,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,oBACJyR,GAAK,kBACLnkB,EAAI,YACJokB,GAAK,WACLvjC,EAAI,UACJwjC,GAAK,UACL3F,EAAI,WACJ4F,GAAK,WACL3F,EAAI,aACJ4F,GAAK,WACL1I,EAAI,cACJ2I,GAAK,aAETT,uBAAwB,WACxBjL,QAAS,MACT2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAxFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLnkB,EAAI,WACJokB,GAAK,aACLvjC,EAAI,UACJwjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BsnC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLnkB,EAAI,WACJokB,GAAK,aACLvjC,EAAI,UACJwjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BsnC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,eACLC,IAAM,sBACNC,KAAO,6BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLnkB,EAAI,WACJokB,GAAK,aACLvjC,EAAI,UACJwjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,SAlDOyhD,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLnkB,EAAI,WACJokB,GAAK,aACLvjC,EAAI,UACJwjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BsnC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLnkB,EAAI,WACJokB,GAAK,aACLvjC,EAAI,UACJwjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BsnC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJ1S,EAAI,WACJokB,GAAK,aACLvjC,EAAI,UACJwjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,SAjDOyhD,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,mBACVC,SAAW,eACXC,QAAU,oBACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,gBACJyR,GAAK,aACLnkB,EAAI,WACJokB,GAAK,aACLvjC,EAAI,UACJwjC,GAAK,WACL3F,EAAI,QACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BsnC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,6FAA6Fh/B,MAAM,KAC5G++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,qDAAqD5iC,MAAM,KACtE2iC,cAAgB,gCAAgC3iC,MAAM,KACtD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,sBACLC,IAAM,4BACNC,KAAO,wCAEXW,cAAe,cACf7B,KAAM,SAAUv8B,GACZ,MAAyC,MAAlCA,EAAM7J,OAAO,GAAG2T,eAE3B6jB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,SAAW,SAErBA,EAAU,SAAW,UAGpCjT,SAAW,CACPC,QAAU,iBACVC,QAAU,iBACVC,SAAW,eACXC,QAAU,iBACVC,SAAW,yBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,WACPxR,EAAI,WACJyR,GAAK,cACLnkB,EAAI,SACJokB,GAAK,aACLvjC,EAAI,OACJwjC,GAAK,WACL3F,EAAI,OACJ4F,GAAK,WACL3F,EAAI,SACJ4F,GAAK,aACL1I,EAAI,OACJ2I,GAAK,YAETT,uBAAwB,WACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA3DwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAI6C,EAAiB,8DAA8DluH,MAAM,KACrF++B,EAAc,kDAAkD/+B,MAAM,KAEtEm/B,EAAc,CAAC,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,SAClHD,EAAc,mLAETmsF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,2FAA2Fh/B,MAAM,KAC1G++B,YAAc,SAAU1c,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACbgc,EAAY1c,EAAEoc,SAEdyvF,EAAe7rG,EAAEoc,SAJjByvF,GAOfhvF,YAAcA,EACdD,iBAAmBC,EACnBouF,kBAAoB,+FACpBC,uBAAyB,0FACzBpuF,YAAcA,EACdquF,gBAAkBruF,EAClBsuF,iBAAmBtuF,EACnByD,SAAW,uDAAuD5iC,MAAM,KACxE2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,6BACNC,KAAO,oCAEXX,SAAW,CACPC,QAAU,WACN,MAAO,aAAiC,IAAjBplC,KAAKokC,QAAiB,IAAM,IAAM,QAE7DiB,QAAU,WACN,MAAO,gBAAoC,IAAjBrlC,KAAKokC,QAAiB,IAAM,IAAM,QAEhEkB,SAAW,WACP,MAAO,cAAkC,IAAjBtlC,KAAKokC,QAAiB,IAAM,IAAM,QAE9DmB,QAAU,WACN,MAAO,cAAkC,IAAjBvlC,KAAKokC,QAAiB,IAAM,IAAM,QAE9DoB,SAAW,WACP,MAAO,0BAA8C,IAAjBxlC,KAAKokC,QAAiB,IAAM,IAAM,QAE1EqB,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,gBACJyR,GAAK,cACLnkB,EAAI,YACJokB,GAAK,aACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAI6C,EAAiB,8DAA8DluH,MAAM,KACrF++B,EAAc,kDAAkD/+B,MAAM,KAEtEm/B,EAAc,CAAC,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,SAClHD,EAAc,mLAEPmsF,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,2FAA2Fh/B,MAAM,KAC1G++B,YAAc,SAAU1c,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACbgc,EAAY1c,EAAEoc,SAEdyvF,EAAe7rG,EAAEoc,SAJjByvF,GAOfhvF,YAAaA,EACbD,iBAAkBC,EAClBouF,kBAAmB,+FACnBC,uBAAwB,0FACxBpuF,YAAaA,EACbquF,gBAAiBruF,EACjBsuF,iBAAkBtuF,EAClByD,SAAW,uDAAuD5iC,MAAM,KACxE2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,+BACNC,KAAO,sCAEXX,SAAW,CACPC,QAAU,WACN,MAAO,aAAiC,IAAjBplC,KAAKokC,QAAiB,IAAM,IAAM,QAE7DiB,QAAU,WACN,MAAO,gBAAoC,IAAjBrlC,KAAKokC,QAAiB,IAAM,IAAM,QAEhEkB,SAAW,WACP,MAAO,cAAkC,IAAjBtlC,KAAKokC,QAAiB,IAAM,IAAM,QAE9DmB,QAAU,WACN,MAAO,cAAkC,IAAjBvlC,KAAKokC,QAAiB,IAAM,IAAM,QAE9DoB,SAAW,WACP,MAAO,0BAA8C,IAAjBxlC,KAAKokC,QAAiB,IAAM,IAAM,QAE1EqB,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,gBACJyR,GAAK,cACLnkB,EAAI,YACJokB,GAAK,aACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAI6C,EAAiB,8DAA8DluH,MAAM,KACrF++B,EAAc,kDAAkD/+B,MAAM,KAEtEm/B,EAAc,CAAC,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,SAClHD,EAAc,mLAEPmsF,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,2FAA2Fh/B,MAAM,KAC1G++B,YAAc,SAAU1c,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACbgc,EAAY1c,EAAEoc,SAEdyvF,EAAe7rG,EAAEoc,SAJjByvF,GAOfhvF,YAAaA,EACbD,iBAAkBC,EAClBouF,kBAAmB,+FACnBC,uBAAwB,0FACxBpuF,YAAaA,EACbquF,gBAAiBruF,EACjBsuF,iBAAkBtuF,EAClByD,SAAW,uDAAuD5iC,MAAM,KACxE2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,+BACNC,KAAO,sCAEXX,SAAW,CACPC,QAAU,WACN,MAAO,aAAiC,IAAjBplC,KAAKokC,QAAiB,IAAM,IAAM,QAE7DiB,QAAU,WACN,MAAO,gBAAoC,IAAjBrlC,KAAKokC,QAAiB,IAAM,IAAM,QAEhEkB,SAAW,WACP,MAAO,cAAkC,IAAjBtlC,KAAKokC,QAAiB,IAAM,IAAM,QAE9DmB,QAAU,WACN,MAAO,cAAkC,IAAjBvlC,KAAKokC,QAAiB,IAAM,IAAM,QAE9DoB,SAAW,WACP,MAAO,0BAA8C,IAAjBxlC,KAAKokC,QAAiB,IAAM,IAAM,QAE1EqB,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,gBACJyR,GAAK,cACLnkB,EAAI,YACJokB,GAAK,aACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAASqC,EAAoB9+F,EAAQolB,EAAev7C,EAAKm/C,GACrD,IAAI70B,EAAS,CACT,EAAM,CAAC,eAAgB,cAAe,iBACtC,GAAM,CAAC6L,EAAS,UAAWA,EAAS,YACpC,EAAM,CAAC,aAAc,aACrB,GAAM,CAACA,EAAS,UAAWA,EAAS,YACpC,EAAM,CAAC,YAAa,YAAa,YACjC,GAAM,CAACA,EAAS,SAAUA,EAAS,UACnC,EAAM,CAAC,YAAa,YACpB,EAAM,CAAC,UAAW,WAAY,WAC9B,GAAM,CAACA,EAAS,OAAQA,EAAS,SACjC,EAAM,CAAC,YAAa,QAAS,aAC7B,GAAM,CAACA,EAAS,SAAUA,EAAS,YAEvC,OAAIolB,EACOjxB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAElDm/C,EAAW70B,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAG1C4yH,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAgB,6FAA6Fh/B,MAAM,KACnH++B,YAAgB,6DAA6D/+B,MAAM,KACnF4iC,SAAgB,iEAAiE5iC,MAAM,KACvF2iC,cAAgB,gBAAgB3iC,MAAM,KACtC0iC,YAAgB,gBAAgB1iC,MAAM,KACtC67B,eAAiB,CACbz0B,GAAO,OACP2+B,IAAM,UACNC,EAAO,aACPC,GAAO,eACPC,IAAO,oBACPC,KAAO,2BAEXX,SAAW,CACPC,QAAW,aACXC,QAAW,cACXC,SAAW,qBACXC,QAAW,aACXC,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAS,YACTxR,EAAS24F,EACTlnF,GAASknF,EACTrrG,EAASqrG,EACTjnF,GAASinF,EACTxqH,EAASwqH,EACThnF,GAASgnF,EACT3sF,EAAS2sF,EACT/mF,GAAS,WACT3F,EAAS0sF,EACT9mF,GAAS8mF,EACTxvF,EAASwvF,EACT7mF,GAAS6mF,GAEbtnF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KApEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,+FAA+Fh/B,MAAM,KAC9G++B,YAAc,8DAA8D/+B,MAAM,KAClFitH,kBAAmB,EACnBrqF,SAAW,sEAAsE5iC,MAAM,KACvF2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,0BACLC,IAAM,gCACNC,KAAO,sCACP5tC,EAAI,WACJ20H,GAAK,oBACLC,IAAM,0BACNC,KAAO,gCAEX5nF,SAAW,CACPC,QAAU,kBACVC,QAAU,mBACVC,SAAW,gBACXC,QAAU,kBACVC,SAAW,0BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,WACPxR,EAAI,iBACJyR,GAAK,aACLnkB,EAAI,aACJokB,GAAK,YACLvjC,EAAI,WACJwjC,GAAK,UACL3F,EAAI,WACJ4F,GAAK,UACL3F,EAAI,eACJ4F,GAAK,cACL1I,EAAI,WACJ2I,GAAK,WAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAtDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,wEAAwEh/B,MAAM,KACvF++B,YAAc,wEAAwE/+B,MAAM,KAC5F4iC,SAAW,qDAAoE5iC,MAAM,KACrF2iC,cAAgB,qDAAoE3iC,MAAM,KAC1F0iC,YAAc,gBAAgB1iC,MAAM,KACpC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXW,cAAe,wBACf7B,KAAM,SAAUv8B,GACZ,MAAO,aAAa8C,KAAK9C,IAE7B2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,aAEA,cAGf/G,SAAW,CACPC,QAAU,kBACVC,QAAU,iBACVC,SAAW,iBACXC,QAAU,kBACVC,SAAW,uBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,YACJyR,GAAK,WACLnkB,EAAI,WACJokB,GAAK,WACLvjC,EAAI,UACJwjC,GAAK,UACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,SACL1I,EAAI,SACJ2I,GAAK,UAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,UAAU,SAAU2R,GACtC,OAAOg/G,EAAUh/G,MAClB3R,QAAQ,KAAM,MAErBm5C,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,MAClB3R,QAAQ,KAAM,MAErBwrC,uBAAwB,WACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,MA9FwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAI8C,EAAc,wEAAwEnuH,MAAM,KAC5FouH,EAAgB,CACZ,QAAS,QAAS,SAAU,SAAU,SAAU,SAAU,SAC1DD,EAAY,GAAIA,EAAY,GAAIA,EAAY,IAEpD,SAASnB,EAAUp+F,EAAQolB,EAAev7C,EAAKm/C,GAC3C,IAAI19C,EAAS,GACb,OAAQzB,GACJ,IAAK,IACD,OAAOm/C,EAAW,oBAAsB,kBAC5C,IAAK,KACD,OAAOA,EAAW,WAAa,WACnC,IAAK,IACD,OAAOA,EAAW,WAAa,WACnC,IAAK,KACD19C,EAAS09C,EAAW,WAAa,YACjC,MACJ,IAAK,IACD,OAAOA,EAAW,SAAW,QACjC,IAAK,KACD19C,EAAS09C,EAAW,SAAW,SAC/B,MACJ,IAAK,IACD,OAAOA,EAAW,SAAW,QACjC,IAAK,KACD19C,EAAS09C,EAAW,SAAW,SAC/B,MACJ,IAAK,IACD,OAAOA,EAAW,YAAc,WACpC,IAAK,KACD19C,EAAS09C,EAAW,YAAc,YAClC,MACJ,IAAK,IACD,OAAOA,EAAW,SAAW,QACjC,IAAK,KACD19C,EAAS09C,EAAW,SAAW,SAIvC,OADA19C,EAGJ,SAAsB00B,EAAQgpB,GAC1B,OAAOhpB,EAAS,GAAMgpB,EAAWw2E,EAAcx/F,GAAUu/F,EAAYv/F,GAAWA,EAJvEy/F,CAAaz/F,EAAQgpB,GAAY,IAAM19C,EAO3CmxH,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,2GAA2Gh/B,MAAM,KAC1H++B,YAAc,uEAAuE/+B,MAAM,KAC3F4iC,SAAW,qEAAqE5iC,MAAM,KACtF2iC,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,mBACLC,IAAM,gCACNC,KAAO,sCACP5tC,EAAI,WACJ20H,GAAK,cACLC,IAAM,2BACNC,KAAO,iCAEX5nF,SAAW,CACPC,QAAU,oBACVC,QAAU,sBACVC,SAAW,gBACXC,QAAU,mBACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,YACPxR,EAAIi4F,EACJxmF,GAAKwmF,EACL3qG,EAAI2qG,EACJvmF,GAAKumF,EACL9pH,EAAI8pH,EACJtmF,GAAKsmF,EACLjsF,EAAIisF,EACJrmF,GAAKqmF,EACLhsF,EAAIgsF,EACJpmF,GAAKomF,EACL9uF,EAAI8uF,EACJnmF,GAAKmmF,GAET5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAjGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqFh/B,MAAM,KACpG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,4EAA4E5iC,MAAM,KAC7F2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,oBACVC,SAAW,gBACXC,QAAU,kBACVC,SAAW,wBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,YACPxR,EAAI,YACJyR,GAAK,cACLnkB,EAAI,eACJokB,GAAK,cACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,YACJ4F,GAAK,WACL3F,EAAI,cACJ4F,GAAK,aACL1I,EAAI,UACJ2I,GAAK,SAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,uFAAuFh/B,MAAM,KACtG++B,YAAc,iEAAiE/+B,MAAM,KACrFitH,kBAAmB,EACnBrqF,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,qBACVC,QAAU,gBACVC,SAAW,cACXC,QAAU,cACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,YACPxR,EAAI,oBACJyR,GAAK,cACLnkB,EAAI,aACJokB,GAAK,aACLvjC,EAAI,YACJwjC,GAAK,YACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,UACJ4F,GAAK,UACL1I,EAAI,QACJ2I,GAAK,UAETT,uBAAwB,eACxBjL,QAAU,SAAUvM,EAAQsiB,GACxB,OAAQA,GAIJ,IAAK,IACD,OAAOtiB,GAAqB,IAAXA,EAAe,KAAO,IAG3C,QACA,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACD,OAAOA,GAAqB,IAAXA,EAAe,KAAO,KAG3C,IAAK,IACL,IAAK,IACD,OAAOA,GAAqB,IAAXA,EAAe,KAAO,OAGnDkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAvEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,uFAAuFh/B,MAAM,KACtG++B,YAAc,iEAAiE/+B,MAAM,KACrFitH,kBAAmB,EACnBrqF,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,qBACVC,QAAU,gBACVC,SAAW,cACXC,QAAU,cACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,YACPxR,EAAI,oBACJyR,GAAK,cACLnkB,EAAI,aACJokB,GAAK,aACLvjC,EAAI,YACJwjC,GAAK,YACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,UACJ4F,GAAK,UACL1I,EAAI,QACJ2I,GAAK,UAETT,uBAAwB,gBACxBjL,QAAU,SAAUvM,EAAQsiB,GACxB,OAAQA,GAEJ,QACA,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACD,OAAOtiB,GAAqB,IAAXA,EAAe,KAAO,KAG3C,IAAK,IACL,IAAK,IACD,OAAOA,GAAqB,IAAXA,EAAe,KAAO,SA7DjBqtB,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,uFAAuFh/B,MAAM,KACtG++B,YAAc,iEAAiE/+B,MAAM,KACrFitH,kBAAmB,EACnBrqF,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,qBACVC,QAAU,gBACVC,SAAW,cACXC,QAAU,cACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,YACPxR,EAAI,oBACJyR,GAAK,cACLnkB,EAAI,aACJokB,GAAK,aACLvjC,EAAI,YACJwjC,GAAK,YACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,UACJ4F,GAAK,UACL1I,EAAI,QACJ2I,GAAK,UAETT,uBAAwB,gBACxBjL,QAAU,SAAUvM,EAAQsiB,GACxB,OAAQA,GAEJ,QACA,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACD,OAAOtiB,GAAqB,IAAXA,EAAe,KAAO,KAG3C,IAAK,IACL,IAAK,IACD,OAAOA,GAAqB,IAAXA,EAAe,KAAO,OAGnDkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAlEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIiD,EAAsB,6DAA6DtuH,MAAM,KACzFuuH,EAAyB,kDAAkDvuH,MAAM,KAE5EqrH,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,iGAAiGh/B,MAAM,KAChH++B,YAAc,SAAU1c,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACbwrG,EAAuBlsG,EAAEoc,SAEzB6vF,EAAoBjsG,EAAEoc,SAJtB6vF,GAOfrB,kBAAmB,EACnBrqF,SAAW,wDAAwD5iC,MAAM,KACzE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,gBACTC,QAAS,gBACTC,SAAU,eACVC,QAAS,iBACTC,SAAU,wBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SACTC,KAAO,SACPxR,EAAI,mBACJyR,GAAK,cACLnkB,EAAI,YACJokB,GAAK,aACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,aACJ4F,GAAK,aACL1I,EAAI,WACJ2I,GAAK,cAETT,uBAAwB,kBACxBjL,QAAU,SAAUvM,GAChB,OAAOA,GAAsB,IAAXA,GAA2B,IAAXA,GAAgBA,GAAU,GAAM,MAAQ,OAE9EkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA/DwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAgBhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAbS,CACT,SAAU,UAAW,QAAS,UAAW,YAAa,YAAa,OAAQ,SAAU,eAAgB,oBAAqB,UAAW,WAarID,YAVc,CAAC,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,QAWvGkuF,kBAAkB,EAClBrqF,SAVW,CAAC,eAAgB,WAAY,WAAY,cAAe,YAAa,YAAa,cAW7FD,cATgB,CAAC,MAAO,MAAO,MAAO,MAAO,MAAO,MAAO,OAU3DD,YARc,CAAC,KAAM,KAAM,KAAM,KAAM,KAAM,KAAM,MASnD7G,eAAgB,CACZz0B,GAAI,QACJ2+B,IAAK,WACLC,EAAG,aACHC,GAAI,cACJC,IAAK,oBACLC,KAAM,2BAEVX,SAAU,CACNC,QAAS,gBACTC,QAAS,kBACTC,SAAU,eACVC,QAAS,gBACTC,SAAU,2BACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,OACRC,KAAM,YACNxR,EAAG,gBACHyR,GAAI,aACJnkB,EAAG,UACHokB,GAAI,aACJvjC,EAAG,iBACHwjC,GAAI,oBACJ3F,EAAG,KACH4F,GAAI,QACJ3F,EAAG,KACH4F,GAAI,QACJ1I,EAAG,SACH2I,GAAI,aAERT,uBAAwB,mBACxBjL,QAAS,SAAUvM,GAEf,OAAOA,GADiB,IAAXA,EAAe,IAAMA,EAAS,IAAO,EAAI,KAAO,OAGjEkT,KAAM,CACFL,IAAK,EACLC,IAAK,KAjEyBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAehBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAbS,CACT,gBAAiB,aAAc,UAAW,aAAc,aAAc,eAAgB,cAAe,cAAe,eAAgB,aAAc,eAAgB,gBAalKD,YAVc,CAAC,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,MAAO,OAAQ,OAAQ,OAAQ,QAWtGkuF,kBAAmB,EACnBrqF,SAVW,CAAC,cAAe,UAAW,UAAW,YAAa,YAAa,WAAY,eAWvFD,cATgB,CAAC,MAAO,MAAO,MAAO,MAAO,MAAO,MAAO,OAU3DD,YARc,CAAC,KAAM,KAAM,KAAM,KAAM,KAAM,KAAM,MASnD7G,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,oBACVC,QAAU,sBACVC,SAAW,gBACXC,QAAU,iBACVC,SAAW,6BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,gBACPxR,EAAI,gBACJyR,GAAK,YACLnkB,EAAI,UACJokB,GAAK,gBACLvjC,EAAI,OACJwjC,GAAK,aACL3F,EAAI,QACJ4F,GAAK,WACL3F,EAAI,OACJ4F,GAAK,YACL1I,EAAI,WACJ2I,GAAK,eAETT,uBAAyB,mBACzBjL,QAAU,SAAUvM,GAEhB,OAAOA,GADiB,IAAXA,EAAe,IAAMA,EAAS,IAAO,EAAI,KAAO,OAGjEkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAhEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,yFAAyFh/B,MAAM,KACxG++B,YAAc,8DAA8D/+B,MAAM,KAClFitH,kBAAkB,EAClBrqF,SAAW,mDAAmD5iC,MAAM,KACpE2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,6BACNC,KAAO,oCAEXX,SAAW,CACPC,QAAU,WACN,MAAO,UAA8B,IAAjBplC,KAAKokC,QAAiB,KAAO,KAAO,QAE5DiB,QAAU,WACN,MAAO,UAA8B,IAAjBrlC,KAAKokC,QAAiB,KAAO,KAAO,QAE5DkB,SAAW,WACP,MAAO,UAA8B,IAAjBtlC,KAAKokC,QAAiB,KAAO,KAAO,QAE5DmB,QAAU,WACN,MAAO,UAA8B,IAAjBvlC,KAAKokC,QAAiB,IAAM,KAAO,QAE3DoB,SAAW,WACP,MAAO,qBAAyC,IAAjBxlC,KAAKokC,QAAiB,KAAO,KAAO,QAEvEqB,SAAW,KAEfO,aAAe,CACXC,OAAS,SAAU3rC,GACf,OAA0B,IAAtBA,EAAIsE,QAAQ,MACL,IAAMtE,EAEV,MAAQA,GAEnB4rC,KAAO,SACPxR,EAAI,eACJyR,GAAK,cACLnkB,EAAI,YACJokB,GAAK,aACLvjC,EAAI,YACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAjEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAASqC,EAAoB9+F,EAAQolB,EAAev7C,EAAKm/C,GACrD,IAAI70B,EAAS,CACT,EAAK,CAAC,oBAAqB,iBAC3B,GAAM,CAAC6L,EAAS,cAAeA,EAAS,WACxC,EAAK,CAAC,aAAc,aACpB,GAAM,CAACA,EAAS,YAAaA,EAAS,WACtC,EAAK,CAAC,YAAa,UACnB,GAAM,CAACA,EAAS,WAAYA,EAAS,UACrC,EAAK,CAAC,YAAa,UACnB,GAAM,CAACA,EAAS,WAAYA,EAAS,QACrC,EAAK,CAAC,eAAgB,aACtB,GAAM,CAACA,EAAS,cAAeA,EAAS,WACxC,EAAK,CAAC,aAAc,YACpB,GAAM,CAACA,EAAS,YAAaA,EAAS,YAE1C,OAAOolB,EAAgBjxB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAG1C4yH,EAAO9jF,aAAa,WAAY,CAC1CvI,OAAS,4EAA4Eh/B,MAAM,KAC3F++B,YAAc,4DAA4D/+B,MAAM,KAChFitH,kBAAmB,EACnBrqF,SAAW,qDAAsD5iC,MAAM,KACvE2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,iBACL2+B,IAAM,oBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,6BACNC,KAAO,6CACPinF,KAAM,mCAEV5nF,SAAW,CACPC,QAAS,WACTC,QAAS,cACTC,SAAU,uBACVC,QAAS,WACTC,SAAU,qBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,KACTC,KAAO,UACPxR,EAAI24F,EACJlnF,GAAKknF,EACLrrG,EAAIqrG,EACJjnF,GAAKinF,EACLxqH,EAAIwqH,EACJhnF,GAAKgnF,EACL3sF,EAAI2sF,EACJ/mF,GAAK+mF,EACL1sF,EAAI0sF,EACJ9mF,GAAK8mF,EACLxvF,EAAIwvF,EACJ7mF,GAAK6mF,GAETtnF,uBAAyB,cACzBjL,QAAU,SAAUvM,EAAQsiB,GACxB,OAAQA,GAEJ,IAAK,IACD,OAAOtiB,EAAS,KACpB,QACA,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACL,IAAK,IACL,IAAK,IACD,OAAOA,IAGnBkT,KAAO,CACHL,IAAM,EACNC,IAAM,GAEVoF,cAAe,8BACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,SAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,YAAblW,EACAkW,EACa,aAAblW,EACAkW,EAAO,GAAKA,EAAOA,EAAO,GACb,UAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,UACAA,EAAO,GACP,WACAA,EAAO,GACP,QAEA,UA9GmB0P,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACR,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGJF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAQ,yFAAyFh/B,MAAM,KACvG++B,YAAa,yEAAyE/+B,MAAM,KAC5FitH,kBAAkB,EAClBrqF,SAAU,wDAAwD5iC,MAAM,KACxE2iC,cAAe,mCAAmC3iC,MAAM,KACxD0iC,YAAa,qBAAqB1iC,MAAM,KACxC67B,eAAgB,CACZz0B,GAAI,gBACJ2+B,IAAK,mBACLC,EAAG,aACHC,GAAI,cACJC,IAAK,6BACLC,KAAM,oCAEVX,SAAU,CACNC,QAAS,UACTC,QAAS,YACTC,SAAU,WACVC,QAAS,cACTC,SAAU,mBACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,QACRC,KAAM,WACNxR,EAAG,WACHyR,GAAI,WACJnkB,EAAG,WACHokB,GAAI,WACJvjC,EAAG,UACHwjC,GAAI,UACJ3F,EAAG,UACH4F,GAAI,UACJ3F,EAAG,WACH4F,GAAI,WACJ1I,EAAG,UACH2I,GAAI,WAER+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAKzBu6B,cAAe,qBACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAEM,QAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,SAAblW,EACAkW,EACa,SAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,SAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAU,SAAUkW,EAAMc,EAAQoL,GAC9B,OAAIlM,EAAO,EACA,MACAA,EAAO,GACP,OACAA,EAAO,GACP,OACAA,EAAO,GACP,OAEA,OAGfzK,KAAM,CACFL,IAAK,EACLC,IAAK,KAhHyBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,0EAA0Eh/B,MAAM,KACzF++B,YAAc,4DAA4D/+B,MAAM,KAChF4iC,SAAW,uCAAuC5iC,MAAM,KACxD2iC,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,gBAAgB1iC,MAAM,KACpC67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,iBACLC,IAAM,uBACNC,KAAO,6BACP5tC,EAAI,WACJ20H,GAAK,aACLC,IAAM,mBACNC,KAAO,yBAEX5nF,SAAW,CACPC,QAAU,cACVC,QAAU,aACVC,SAAW,iBACXC,QAAU,eACVC,SAAW,+BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,UACPxR,EAAI,aACJyR,GAAK,WACLnkB,EAAI,MACJokB,GAAK,UACLvjC,EAAI,MACJwjC,GAAK,SAAU9X,GACX,OAAe,IAAXA,EACO,SAEJA,EAAS,SAEpBmS,EAAI,MACJ4F,GAAK,SAAU/X,GACX,OAAe,IAAXA,EACO,SAEJA,EAAS,SAEpBoS,EAAI,OACJ4F,GAAK,SAAUhY,GACX,OAAe,IAAXA,EACO,UAEJA,EAAS,WAEpBsP,EAAI,MACJ2I,GAAK,SAAUjY,GACX,OAAe,IAAXA,EACO,SACAA,EAAS,IAAO,GAAgB,KAAXA,EACrBA,EAAS,OAEbA,EAAS,UAGxBkY,cAAe,gEACf7B,KAAO,SAAUv8B,GACb,MAAO,8BAA8B8C,KAAK9C,IAE9C2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,aACAA,EAAO,GACP,QACAA,EAAO,GACPkM,EAAU,SAAW,eACrBlM,EAAO,GACPkM,EAAU,QAAU,eAEpB,UApFmBwD,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,8EAA8Eh/B,MAAM,KAC7F++B,YAAc,6DAA6D/+B,MAAM,KACjFitH,kBAAkB,EAClBrqF,SAAW,uDAAuD5iC,MAAM,KACxE2iC,cAAgB,kCAAkC3iC,MAAM,KACxD0iC,YAAc,qBAAqB1iC,MAAM,KACzC67B,eAAiB,CACbz0B,GAAK,aACL2+B,IAAM,gBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAU,UACVC,QAAU,UACVC,SAAW,WACXC,QAAU,UACVC,SAAW,mBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,UACPxR,EAAI,cACJyR,GAAK,WACLnkB,EAAI,UACJokB,GAAK,UACLvjC,EAAI,UACJwjC,GAAK,UACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,WACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,WAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAKzBu6B,cAAe,qBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,QAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,SAAblW,EACAkW,EACa,UAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,QAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,MACAA,EAAO,GACP,OACAA,EAAO,GACP,QACAA,EAAO,GACP,MAEA,OAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KAhHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAAS2B,EAAUp+F,EAAQolB,EAAev7C,GACtC,IAAIyB,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,KAQD,OANIyB,GADW,IAAX00B,EACU,UACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,UAEA,UAGlB,IAAK,IACD,OAAOolB,EAAgB,eAAiB,eAC5C,IAAK,KAQD,OANI95C,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,SAEA,SAGlB,IAAK,IACD,OAAOolB,EAAgB,YAAc,cACzC,IAAK,KAQD,OANI95C,GADW,IAAX00B,EACU,MACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,OAEA,OAGlB,IAAK,KAMD,OAJI10B,GADW,IAAX00B,EACU,MAEA,OAGlB,IAAK,KAQD,OANI10B,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,UAEA,UAGlB,IAAK,KAQD,OANI10B,GADW,IAAX00B,EACU,SACQ,IAAXA,GAA2B,IAAXA,GAA2B,IAAXA,EAC7B,SAEA,UAMjBy8F,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,CACLjc,OAAQ,oGAAoG/iB,MAAM,KAClHysH,WAAY,gGAAgGzsH,MAAM,MAEtH++B,YAAc,+DAA+D/+B,MAAM,KACnFitH,kBAAkB,EAClBrqF,SAAW,4DAA4D5iC,MAAM,KAC7E2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAW,eACXC,QAAW,eACXC,SAAW,WACP,OAAQtlC,KAAKojC,OACT,KAAK,EACD,MAAO,wBACX,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,oBAGnBmC,QAAW,eACXC,SAAW,WACP,OAAQxlC,KAAKojC,OACT,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,2BACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,yBAGnBqC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAS,WACTxR,EAAS,cACTyR,GAASwmF,EACT3qG,EAAS2qG,EACTvmF,GAASumF,EACT9pH,EAAS8pH,EACTtmF,GAASsmF,EACTjsF,EAAS,MACT4F,GAASqmF,EACThsF,EAAS,SACT4F,GAASomF,EACT9uF,EAAS,SACT2I,GAASmmF,GAEb5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA9IwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAImD,EAAc,gEAAgExuH,MAAM,KACxF,SAASgtH,EAAUp+F,EAAQolB,EAAev7C,EAAKm/C,GAC3C,IAAI/0B,EAAM+L,EACV,OAAQn2B,GACJ,IAAK,IACD,OAAQm/C,GAAY5D,EAAiB,mBAAqB,oBAC9D,IAAK,KACD,OAAOnxB,GAAO+0B,GAAY5D,GAAiB,aAAe,cAC9D,IAAK,IACD,MAAO,OAAS4D,GAAY5D,EAAgB,QAAU,UAC1D,IAAK,KACD,OAAOnxB,GAAO+0B,GAAY5D,EAAgB,QAAU,UACxD,IAAK,IACD,MAAO,OAAS4D,GAAY5D,EAAgB,OAAS,UACzD,IAAK,KACD,OAAOnxB,GAAO+0B,GAAY5D,EAAgB,OAAS,UACvD,IAAK,IACD,MAAO,OAAS4D,GAAY5D,EAAgB,OAAS,UACzD,IAAK,KACD,OAAOnxB,GAAO+0B,GAAY5D,EAAgB,OAAS,UACvD,IAAK,IACD,MAAO,OAAS4D,GAAY5D,EAAgB,SAAW,YAC3D,IAAK,KACD,OAAOnxB,GAAO+0B,GAAY5D,EAAgB,SAAW,YACzD,IAAK,IACD,MAAO,OAAS4D,GAAY5D,EAAgB,MAAQ,QACxD,IAAK,KACD,OAAOnxB,GAAO+0B,GAAY5D,EAAgB,MAAQ,QAE1D,MAAO,GAEX,SAASlS,EAAK8V,GACV,OAAQA,EAAW,GAAK,WAAa,IAAM42E,EAAYnuH,KAAKojC,OAAS,aAGhE4nF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,oGAAoGh/B,MAAM,KACnH++B,YAAc,qDAAqD/+B,MAAM,KACzE4iC,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,gCAAgC3iC,MAAM,KACtD0iC,YAAc,qBAAqB1iC,MAAM,KACzC67B,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,cACJC,GAAK,gBACLC,IAAM,qBACNC,KAAO,4BAEXW,cAAe,SACf7B,KAAM,SAAUv8B,GACZ,MAAyC,MAAlCA,EAAM7J,OAAO,GAAG2T,eAE3B6jB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,IACW,IAAZgU,EAAmB,KAAO,MAEd,IAAZA,EAAmB,KAAO,MAGzCjT,SAAW,CACPC,QAAU,gBACVC,QAAU,oBACVC,SAAW,WACP,OAAO7D,EAAK/pC,KAAKsI,MAAM,IAE3BulC,QAAU,oBACVC,SAAW,WACP,OAAO/D,EAAK/pC,KAAKsI,MAAM,IAE3BylC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,KACPxR,EAAIi4F,EACJxmF,GAAKwmF,EACL3qG,EAAI2qG,EACJvmF,GAAKumF,EACL9pH,EAAI8pH,EACJtmF,GAAKsmF,EACLjsF,EAAIisF,EACJrmF,GAAKqmF,EACLhsF,EAAIgsF,EACJpmF,GAAKomF,EACL9uF,EAAI8uF,EACJnmF,GAAKmmF,GAET5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAlGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,CACLjc,OAAQ,4GAA4G/iB,MAAM,KAC1HysH,WAAY,gGAAgGzsH,MAAM,MAEtH++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,gEAAgE5iC,MAAM,KACjF2iC,cAAgB,+BAA+B3iC,MAAM,KACrD0iC,YAAc,+BAA+B1iC,MAAM,KACnD67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,iBACLC,IAAM,wBACNC,KAAO,+BAEXX,SAAW,CACPC,QAAS,aACTC,QAAS,YACTE,QAAS,YACTD,SAAU,WACN,MAAO,sBAEXE,SAAU,WACN,MAAO,8BAEXC,SAAU,KAEdO,aAAe,CACXC,OAAS,UACTC,KAAO,UACPxR,EAAI,mBACJyR,GAAK,cACLnkB,EAAI,OACJokB,GAAK,UACLvjC,EAAI,MACJwjC,GAAK,SACL3F,EAAI,KACJ4F,GAAK,QACL3F,EAAI,OACJ4F,GAAK,UACL1I,EAAI,OACJ2I,GAAK,WAETC,cAAe,oCACf7B,KAAM,SAAUv8B,GACZ,MAAO,uBAAuB8C,KAAK9C,IAEvC2tB,SAAW,SAAUkW,GACjB,OAAIA,EAAO,EACA,UACAA,EAAO,GACP,WACAA,EAAO,GACP,UAEA,YAGfnG,uBAAwB,0BACxBjL,QAAS,SAAUvM,EAAQsiB,GACvB,OAAQA,GACJ,IAAK,MACL,IAAK,IACL,IAAK,IACL,IAAK,OACD,OAAe,IAAXtiB,EACOA,EAAS,MAEbA,EAAS,MACpB,QACI,OAAOA,IAGnBkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAnFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,yFAAyFh/B,MAAM,KACxG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,6CAA6C5iC,MAAM,KAC9D2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXW,cAAe,wBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,SAAblW,EACOkW,EACa,UAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,SAAblW,GAAoC,UAAbA,EACvBkW,EAAO,QADX,GAIXlW,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACD,OACAA,EAAQ,GACR,QACAA,EAAQ,GACR,OAEA,SAGfe,SAAW,CACPC,QAAU,sBACVC,QAAU,mBACVC,SAAW,kBACXC,QAAU,qBACVC,SAAW,uBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,eACPxR,EAAI,iBACJyR,GAAK,WACLnkB,EAAI,UACJokB,GAAK,WACLvjC,EAAI,QACJwjC,GAAK,SACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KAtEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAASgC,EAAOn2G,GACZ,OAAIA,EAAI,KAAQ,IAELA,EAAI,IAAO,EAK1B,SAAS81G,EAAUp+F,EAAQolB,EAAev7C,EAAKm/C,GAC3C,IAAI19C,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,IACD,OAAOu7C,GAAiB4D,EAAW,mBAAqB,mBAC5D,IAAK,KACD,OAAIy1E,EAAOz+F,GACA10B,GAAU85C,GAAiB4D,EAAW,WAAa,YAEvD19C,EAAS,UACpB,IAAK,IACD,OAAO85C,EAAgB,SAAW,SACtC,IAAK,KACD,OAAIq5E,EAAOz+F,GACA10B,GAAU85C,GAAiB4D,EAAW,UAAY,WAClD5D,EACA95C,EAAS,SAEbA,EAAS,SACpB,IAAK,KACD,OAAImzH,EAAOz+F,GACA10B,GAAU85C,GAAiB4D,EAAW,gBAAkB,iBAE5D19C,EAAS,cACpB,IAAK,IACD,OAAI85C,EACO,QAEJ4D,EAAW,MAAQ,OAC9B,IAAK,KACD,OAAIy1E,EAAOz+F,GACHolB,EACO95C,EAAS,QAEbA,GAAU09C,EAAW,OAAS,SAC9B5D,EACA95C,EAAS,QAEbA,GAAU09C,EAAW,MAAQ,QACxC,IAAK,IACD,OAAI5D,EACO,UAEJ4D,EAAW,QAAU,SAChC,IAAK,KACD,OAAIy1E,EAAOz+F,GACHolB,EACO95C,EAAS,UAEbA,GAAU09C,EAAW,SAAW,WAChC5D,EACA95C,EAAS,UAEbA,GAAU09C,EAAW,QAAU,UAC1C,IAAK,IACD,OAAO5D,GAAiB4D,EAAW,KAAO,MAC9C,IAAK,KACD,OAAIy1E,EAAOz+F,GACA10B,GAAU85C,GAAiB4D,EAAW,KAAO,QAEjD19C,GAAU85C,GAAiB4D,EAAW,KAAO,QAIvDyzE,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,oFAAoFh/B,MAAM,KACnG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,mFAAmF5iC,MAAM,KACpG2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,oBACVC,SAAW,gBACXC,QAAU,iBACVC,SAAW,0BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,iBACPxR,EAAIi4F,EACJxmF,GAAKwmF,EACL3qG,EAAI2qG,EACJvmF,GAAKumF,EACL9pH,EAAI,cACJwjC,GAAKsmF,EACLjsF,EAAIisF,EACJrmF,GAAKqmF,EACLhsF,EAAIgsF,EACJpmF,GAAKomF,EACL9uF,EAAI8uF,EACJnmF,GAAKmmF,GAET5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAxHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,gGAAgGh/B,MAAM,KAC/G++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,iBACTC,QAAS,mBACTC,SAAU,iBACVC,QAAS,iBACTC,SAAU,WACN,OAAQxlC,KAAKojC,OACT,KAAK,EACD,MAAO,6BACX,QACI,MAAO,+BAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,SAAUvR,GACf,OAAQ,YAAcvpB,KAAKupB,GAAK,MAAQ,MAAQ,IAAMA,GAE1DwR,KAAO,QACPxR,EAAI,iBACJyR,GAAK,aACLnkB,EAAI,YACJokB,GAAK,YACLvjC,EAAI,SACJwjC,GAAK,SACL3F,EAAI,YACJ4F,GAAK,YACL3F,EAAI,UACJ4F,GAAK,UACL1I,EAAI,UACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAS,MACT2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAzDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,gGAAgGh/B,MAAM,KAC/G++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,iBACTC,QAAS,mBACTC,SAAU,iBACVC,QAAS,iBACTC,SAAU,WACN,OAAQxlC,KAAKojC,OACT,KAAK,EACD,MAAO,6BACX,QACI,MAAO,+BAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,SAAUvR,GACf,OAAQ,YAAcvpB,KAAKupB,GAAK,MAAQ,MAAQ,IAAMA,GAE1DwR,KAAO,QACPxR,EAAI,iBACJyR,GAAK,aACLnkB,EAAI,YACJokB,GAAK,YACLvjC,EAAI,SACJwjC,GAAK,SACL3F,EAAI,YACJ4F,GAAK,YACL3F,EAAI,UACJ4F,GAAK,UACL1I,EAAI,UACJ2I,GAAK,WAETT,uBAAyB,WACzBjL,QAAS,MACT2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAzDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,wCAAwCh/B,MAAM,KACvD++B,YAAc,yCAAyC/+B,MAAM,KAC7D4iC,SAAW,8BAA8B5iC,MAAM,KAC/C2iC,cAAgB,gBAAgB3iC,MAAM,KACtC0iC,YAAc,gBAAgB1iC,MAAM,KACpC67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,YACLC,IAAM,kBACNC,KAAO,uBACP5tC,EAAI,aACJ20H,GAAK,YACLC,IAAM,kBACNC,KAAO,wBAEXtmF,cAAe,SACf7B,KAAO,SAAUv8B,GACb,MAAiB,OAAVA,GAEX2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,KAEA,MAGf/G,SAAW,CACPC,QAAU,UACVC,QAAU,UACVC,SAAW,SAAUjV,GACjB,OAAIA,EAAIoR,OAASzhC,KAAKyhC,OACX,cAEA,WAGf8D,QAAU,UACVC,SAAW,SAAUnV,GACjB,OAAIrwB,KAAKyhC,OAASpR,EAAIoR,OACX,cAEA,WAGfgE,SAAW,KAEfM,uBAAyB,WACzBjL,QAAU,SAAUvM,EAAQsiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOtiB,EAAS,IACpB,QACI,OAAOA,IAGnByX,aAAe,CACXC,OAAS,MACTC,KAAO,MACPxR,EAAI,KACJyR,GAAK,MACLnkB,EAAI,KACJokB,GAAK,MACLvjC,EAAI,MACJwjC,GAAK,OACL3F,EAAI,KACJ4F,GAAK,MACL3F,EAAI,MACJ4F,GAAK,OACL1I,EAAI,KACJ2I,GAAK,SAhFyBoV,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,yFAAyFh/B,MAAM,KACxG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,+CAA+C5iC,MAAM,KAChE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXW,cAAe,6BACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,WAAblW,EACOkW,EACa,WAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,WAAblW,GAAsC,UAAbA,EACzBkW,EAAO,QADX,GAIXlW,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACD,SACAA,EAAQ,GACR,SACAA,EAAQ,GACR,SAEA,SAGfe,SAAW,CACPC,QAAU,2BACVC,QAAU,sBACVC,SAAW,kBACXC,QAAU,wBACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,gBACTC,KAAO,uBACPxR,EAAI,kBACJyR,GAAK,WACLnkB,EAAI,kBACJokB,GAAK,WACLvjC,EAAI,gBACJwjC,GAAK,SACL3F,EAAI,WACJ4F,GAAK,YACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KAtEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,CACLytF,WAAY,qGAAqGzsH,MAAM,KACvH+iB,OAAQ,sGAAsG/iB,MAAM,MAExH++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,CACP6pF,WAAY,gEAAgEzsH,MAAM,KAClF+iB,OAAQ,iEAAiE/iB,MAAM,KAC/E+3C,SAAU,iBAEdpV,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,iBACVE,QAAU,kBACVD,SAAW,wBACXE,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SAAUvR,GACf,MAAO,yBAA2BvpB,KAAKupB,GACnCA,EAAEn6B,QAAQ,KAAM,MAChBm6B,EAAI,MAEZwR,KAAO,SAAUxR,GACb,MAAI,4BAA8BvpB,KAAKupB,GAC5BA,EAAEn6B,QAAQ,SAAU,UAE3B,OAAS4Q,KAAKupB,GACPA,EAAEn6B,QAAQ,QAAS,iBAD9B,GAIJm6B,EAAI,iBACJyR,GAAK,UACLnkB,EAAI,OACJokB,GAAK,UACLvjC,EAAI,QACJwjC,GAAK,WACL3F,EAAI,MACJ4F,GAAK,SACL3F,EAAI,MACJ4F,GAAK,SACL1I,EAAI,OACJ2I,GAAK,WAETT,uBAAwB,8BACxBjL,QAAU,SAAUvM,GAChB,OAAe,IAAXA,EACOA,EAEI,IAAXA,EACOA,EAAS,MAEfA,EAAS,IAAQA,GAAU,KAAQA,EAAS,IAAO,GAAQA,EAAS,KAAQ,EACtE,MAAQA,EAEZA,EAAS,MAEpBkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA7EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIO,EAAW,CACX6C,EAAG,MACHx0G,EAAG,MACH2mE,EAAG,MACHqrC,EAAG,MACHprC,EAAG,MACHgrC,EAAG,MACHM,EAAG,MACHH,EAAG,MACHzF,EAAG,MACHC,EAAG,MACHC,GAAI,MACJK,GAAI,MACJsF,GAAI,MACJ5E,GAAI,MACJoC,GAAI,MACJyC,GAAI,MACJP,GAAI,MACJC,GAAI,MACJO,GAAI,MACJJ,IAAK,OAGAb,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqFh/B,MAAM,KACpG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,0DAA0D5iC,MAAM,KAC3E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,mBACVC,QAAU,mBACVC,SAAW,kBACXC,QAAU,kBACVC,SAAW,kCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,WACPxR,EAAI,iBACJyR,GAAK,YACLnkB,EAAI,YACJokB,GAAK,WACLvjC,EAAI,YACJwjC,GAAK,WACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,UACJ2I,GAAK,UAETT,uBAAwB,kBACxBjL,QAAU,SAAUvM,GAGhB,OAAOA,GAAUg9F,EAASh9F,IAAWg9F,EAF7Bh9F,EAAS,KAEmCg9F,EAD5Ch9F,GAAU,IAAM,IAAM,QAGlCkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA3EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAQ,yEAAyEh/B,MAC7E,KAEJ++B,YAAa,yEAAyE/+B,MAClF,KAEJ4iC,SAAU,iDAAiD5iC,MAAM,KACjE2iC,cAAe,oBAAoB3iC,MAAM,KACzC0iC,YAAa,oBAAoB1iC,MAAM,KACvC2rH,oBAAoB,EACpB9vF,eAAgB,CACZz0B,GAAI,QACJ2+B,IAAK,WACLC,EAAG,aACHC,GAAI,cACJC,IAAK,oBACLC,KAAM,2BAEVW,cAAe,cACf7B,KAAM,SAAUv8B,GACZ,MAAiB,UAAVA,GAEX2tB,SAAU,SAAUkW,EAAMc,EAAQoL,GAC9B,OAAIlM,EAAO,GACA,QAEA,SAGf/G,SAAU,CACNC,QAAS,oBACTC,QAAS,kBACTC,SAAU,iBACVC,QAAS,qBACTC,SAAU,8BACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,QACRC,KAAM,QACNxR,EAAG,iBACHyR,GAAI,YACJnkB,EAAG,UACHokB,GAAI,UACJvjC,EAAG,UACHwjC,GAAI,UACJ3F,EAAG,UACH4F,GAAI,UACJ3F,EAAG,QACH4F,GAAI,QACJ1I,EAAG,WACH2I,GAAI,YAERT,uBAAyB,YACzBjL,QAAU,OACVyR,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAGzBu1B,KAAM,CACFL,IAAK,EACLC,IAAK,KAlGyBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,6FAA6Fh/B,MAAM,KAC5G++B,YAAc,2EAA2E/+B,MAAM,KAC/FitH,kBAAkB,EAClBrqF,SAAW,0DAA0D5iC,MAAM,KAC3E2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,wBAAwB1iC,MAAM,KAC5C67B,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,sBACNC,KAAO,6BAEXX,SAAW,CACPC,QAAU,YACVC,QAAU,YACVC,SAAW,WACXC,QAAU,cACVC,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,WACPxR,EAAI,gBACJyR,GAAK,gBACLnkB,EAAI,aACJokB,GAAK,WACLvjC,EAAI,YACJwjC,GAAK,UACL3F,EAAI,WACJ4F,GAAK,SACL3F,EAAI,cACJ4F,GAAK,YACL1I,EAAI,YACJ2I,GAAK,WAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAGzBu6B,cAAe,gCACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,WAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,aAAblW,EACAkW,EACa,aAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,SAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,SACAA,EAAO,GACP,WACAA,EAAO,GACP,WACAA,EAAO,GACP,OAEA,UAGfnG,uBAAwB,eACxBjL,QAAU,SAAUvM,GAChB,OAAOA,EAAS,OAEpBkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAlHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,yCAAyCh/B,MAAM,KACxD++B,YAAc,yCAAyC/+B,MAAM,KAC7D4iC,SAAW,8BAA8B5iC,MAAM,KAC/C2iC,cAAgB,gBAAgB3iC,MAAM,KACtC0iC,YAAc,gBAAgB1iC,MAAM,KACpC67B,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,cACJC,GAAK,gBACLC,IAAM,uBACNC,KAAO,4BACP5tC,EAAI,cACJ20H,GAAK,gBACLC,IAAM,uBACNC,KAAO,6BAEX5nF,SAAW,CACPC,QAAU,QACVC,QAAU,QACVC,SAAW,UACXC,QAAU,QACVC,SAAW,cACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,OACTC,KAAO,OACPxR,EAAI,MACJyR,GAAK,MACLnkB,EAAI,KACJokB,GAAK,MACLvjC,EAAI,OACJwjC,GAAK,OACL3F,EAAI,KACJ4F,GAAK,MACL3F,EAAI,MACJ4F,GAAK,MACL1I,EAAI,MACJ2I,GAAK,OAETT,uBAAyB,iBACzBjL,QAAU,SAAUvM,EAAQsiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOtiB,EAAS,IACpB,IAAK,IACD,OAAOA,EAAS,IACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,IACpB,QACI,OAAOA,IAGnBkY,cAAgB,QAChB7B,KAAO,SAAUl/B,GACb,MAAiB,OAAVA,GAEXswB,SAAW,SAAUkW,EAAMc,EAAQqhF,GAC/B,OAAOniF,EAAO,GAAK,KAAO,QArEI0P,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAETvsF,EAAS,CACL,eACA,QACA,QACA,QACA,QACA,WACA,SACA,MACA,UACA,eACA,eACA,gBAIKqsF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcC,EACd4D,SAAW,0EAA0E5iC,MAAM,KAC3F2iC,cAAgB,2DAA2D3iC,MAAM,KACjF0iC,YAAc,gBAAgB1iC,MAAM,KACpC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXW,cAAe,kBACf7B,KAAM,SAAUv8B,GACZ,MAAO,UAAU8C,KAAK9C,IAE1B2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,UAEA,WAGf/G,SAAW,CACPC,QAAU,sBACVC,QAAU,uBACVC,SAAW,oBACXC,QAAU,qBACVC,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,KACPxR,EAAI,kBACJyR,GAAK,WACLnkB,EAAI,cACJokB,GAAK,YACLvjC,EAAI,eACJwjC,GAAK,aACL3F,EAAI,WACJ4F,GAAK,SACL3F,EAAI,YACJ4F,GAAK,UACL1I,EAAI,WACJ2I,GAAK,UAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,MAClB3R,QAAQ,KAAM,MAErBm5C,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,MAClB3R,QAAQ,KAAM,MAErBknC,KAAO,CACHL,IAAM,EACNC,IAAM,MA3GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIO,EAAW,CACX6C,EAAG,MACHx0G,EAAG,MACH2mE,EAAG,MACHqrC,EAAG,MACHprC,EAAG,MACHgrC,EAAG,MACHM,EAAG,MACHH,EAAG,MACHzF,EAAG,MACHC,EAAG,MACHC,GAAI,MACJK,GAAI,MACJsF,GAAI,MACJ5E,GAAI,MACJoC,GAAI,MACJyC,GAAI,MACJP,GAAI,MACJC,GAAI,MACJO,GAAI,MACJJ,IAAK,OAGAb,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,kFAAkFh/B,MAAM,KACjG++B,YAAc,qDAAqD/+B,MAAM,KACzE4iC,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,kBACVC,QAAU,kBACVC,SAAW,iBACXC,QAAU,kBACVC,SAAW,wCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,WACPxR,EAAI,iBACJyR,GAAK,YACLnkB,EAAI,YACJokB,GAAK,WACLvjC,EAAI,WACJwjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,UACJ2I,GAAK,UAETT,uBAAwB,wBACxBjL,QAAU,SAAUvM,GAGhB,OAAOA,GAAUg9F,EAASh9F,IAAWg9F,EAF7Bh9F,EAAS,KAEmCg9F,EAD5Ch9F,GAAU,IAAM,IAAM,QAGlCkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA3EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAASqC,EAAoB9+F,EAAQolB,EAAev7C,EAAKm/C,GACrD,IAAI70B,EAAS,CACT,EAAK,CAAC,aAAc,gBACpB,EAAK,CAAC,YAAa,eACnB,EAAK,CAAC,UAAW,aACjB,EAAK,CAAC,WAAY,eAClB,EAAK,CAAC,UAAW,eAErB,OAAOixB,EAAgBjxB,EAAOtqB,GAAK,GAAKsqB,EAAOtqB,GAAK,GAuBxD,SAASk2H,EAA4B//F,GAEjC,GADAA,EAASwP,SAASxP,EAAQ,IACtB/pB,MAAM+pB,GACN,OAAO,EAEX,GAAIA,EAAS,EAET,OAAO,EACJ,GAAIA,EAAS,GAEhB,OAAI,GAAKA,GAAUA,GAAU,EAI1B,GAAIA,EAAS,IAAK,CAErB,IAAI89F,EAAY99F,EAAS,GACzB,OACW+/F,EADO,IAAdjC,EADsC99F,EAAS,GAIhB89F,GAChC,GAAI99F,EAAS,IAAO,CAEvB,KAAOA,GAAU,IACbA,GAAkB,GAEtB,OAAO+/F,EAA4B//F,GAInC,OAAO+/F,EADP//F,GAAkB,KAKjBy8F,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAQ,uFAAuFh/B,MAAM,KACrG++B,YAAa,+DAA+D/+B,MAAM,KAClFitH,kBAAmB,EACnBrqF,SAAU,mEAAmE5iC,MAAM,KACnF2iC,cAAe,8BAA8B3iC,MAAM,KACnD0iC,YAAa,uBAAuB1iC,MAAM,KAC1C2rH,oBAAqB,EACrB9vF,eAAgB,CACZz0B,GAAI,cACJ2+B,IAAK,iBACLC,EAAG,aACHC,GAAI,eACJC,IAAK,2BACLC,KAAM,kCAEVX,SAAU,CACNC,QAAS,eACTK,SAAU,IACVJ,QAAS,eACTC,SAAU,eACVC,QAAS,mBACTC,SAAU,WAEN,OAAQxlC,KAAKojC,OACT,KAAK,EACL,KAAK,EACD,MAAO,0BACX,QACI,MAAO,4BAIvB4C,aAAe,CACXC,OAzFR,SAA2BzwB,GAEvB,OAAI84G,EADS94G,EAAO2Z,OAAO,EAAG3Z,EAAO5W,QAAQ,OAElC,KAAO4W,EAEX,MAAQA,GAqFX0wB,KAnFR,SAAyB1wB,GAErB,OAAI84G,EADS94G,EAAO2Z,OAAO,EAAG3Z,EAAO5W,QAAQ,OAElC,QAAU4W,EAEd,SAAWA,GA+Edkf,EAAI,kBACJyR,GAAK,cACLnkB,EAAIqrG,EACJjnF,GAAK,cACLvjC,EAAIwqH,EACJhnF,GAAK,aACL3F,EAAI2sF,EACJ/mF,GAAK,UACL3F,EAAI0sF,EACJ9mF,GAAK,WACL1I,EAAIwvF,EACJ7mF,GAAK,WAETT,uBAAwB,YACxBjL,QAAS,MACT2G,KAAM,CACFL,IAAK,EACLC,IAAK,KA5HyBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,6EAA6Eh/B,MAAM,KAC5F++B,YAAc,6EAA6E/+B,MAAM,KACjG4iC,SAAW,sCAAsC5iC,MAAM,KACvD2iC,cAAgB,oCAAoC3iC,MAAM,KAC1D0iC,YAAc,mBAAmB1iC,MAAM,KACvC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,6BAEXW,cAAe,kBACf7B,KAAM,SAAUv8B,GACZ,MAAiB,WAAVA,GAEX2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,WAEA,UAGf/G,SAAW,CACPC,QAAU,kBACVC,QAAU,mBACVC,SAAW,wBACXC,QAAU,qBACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EAAI,mBACJyR,GAAK,YACLnkB,EAAI,SACJokB,GAAK,UACLvjC,EAAI,YACJwjC,GAAK,aACL3F,EAAI,QACJ4F,GAAK,SACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,OACJ2I,GAAK,SAETT,uBAAwB,eACxBjL,QAAU,SAAUvM,GAChB,MAAO,MAAQA,KA1DeqtB,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAItxF,EAAQ,CACR,GAAO,6BACP,EAAM,wBACN,GAAM,0BACN,EAAM,2BACN,GAAM,4BACN,EAAM,qBACN,GAAM,sBACN,EAAM,uBACN,GAAM,4BACN,EAAM,mBACN,GAAM,oBASV,SAAS60F,EAAkBhgG,EAAQolB,EAAev7C,EAAKm/C,GACnD,OAAO5D,EAAgBw4E,EAAM/zH,GAAK,GAAMm/C,EAAW40E,EAAM/zH,GAAK,GAAK+zH,EAAM/zH,GAAK,GAElF,SAASo2H,EAAQjgG,GACb,OAAOA,EAAS,IAAO,GAAMA,EAAS,IAAMA,EAAS,GAEzD,SAAS49F,EAAM/zH,GACX,OAAOshC,EAAMthC,GAAKuH,MAAM,KAE5B,SAASgtH,EAAUp+F,EAAQolB,EAAev7C,EAAKm/C,GAC3C,IAAI19C,EAAS00B,EAAS,IACtB,OAAe,IAAXA,EACO10B,EAAS00H,EAAkBhgG,EAAQolB,EAAev7C,EAAI,GAAIm/C,GAC1D5D,EACA95C,GAAU20H,EAAQjgG,GAAU49F,EAAM/zH,GAAK,GAAK+zH,EAAM/zH,GAAK,IAE1Dm/C,EACO19C,EAASsyH,EAAM/zH,GAAK,GAEpByB,GAAU20H,EAAQjgG,GAAU49F,EAAM/zH,GAAK,GAAK+zH,EAAM/zH,GAAK,IAIjE4yH,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,CACLjc,OAAQ,oGAAoG/iB,MAAM,KAClHysH,WAAY,kGAAkGzsH,MAAM,KACpH+3C,SAAU,+DAEdhZ,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,CACP7f,OAAQ,oFAAoF/iB,MAAM,KAClGysH,WAAY,2FAA2FzsH,MAAM,KAC7G+3C,SAAU,cAEdpV,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,iBAAiB1iC,MAAM,KACrC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,sCACNC,KAAO,4CACP5tC,EAAI,aACJ20H,GAAK,wBACLC,IAAM,sCACNC,KAAO,4CAEX5nF,SAAW,CACPC,QAAU,gBACVC,QAAU,aACVC,SAAW,UACXC,QAAU,aACVC,SAAW,qBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,WACPxR,EApER,SAA0BnG,EAAQolB,EAAev7C,EAAKm/C,GAClD,OAAI5D,EACO,kBAEA4D,EAAW,kBAAoB,mBAiEtCpR,GAAKwmF,EACL3qG,EAAIusG,EACJnoF,GAAKumF,EACL9pH,EAAI0rH,EACJloF,GAAKsmF,EACLjsF,EAAI6tF,EACJjoF,GAAKqmF,EACLhsF,EAAI4tF,EACJhoF,GAAKomF,EACL9uF,EAAI0wF,EACJ/nF,GAAKmmF,GAET5mF,uBAAwB,cACxBjL,QAAU,SAAUvM,GAChB,OAAOA,EAAS,QAEpBkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA1GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAItxF,EAAQ,CACR,GAAM,qCAAqC/5B,MAAM,KACjD,EAAK,iCAAiCA,MAAM,KAC5C,GAAM,iCAAiCA,MAAM,KAC7C,EAAK,iCAAiCA,MAAM,KAC5C,GAAM,iCAAiCA,MAAM,KAC7C,EAAK,6BAA6BA,MAAM,KACxC,GAAM,6BAA6BA,MAAM,KACzC,EAAK,iCAAiCA,MAAM,KAC5C,GAAM,iCAAiCA,MAAM,KAC7C,EAAK,wBAAwBA,MAAM,KACnC,GAAM,wBAAwBA,MAAM,MAKxC,SAAS+iB,EAAOypG,EAAO59F,EAAQolB,GAC3B,OAAIA,EAEOplB,EAAS,IAAO,GAAKA,EAAS,KAAQ,GAAK49F,EAAM,GAAKA,EAAM,GAI5D59F,EAAS,IAAO,GAAKA,EAAS,KAAQ,GAAK49F,EAAM,GAAKA,EAAM,GAG3E,SAASD,EAAuB39F,EAAQolB,EAAev7C,GACnD,OAAOm2B,EAAS,IAAM7L,EAAOgX,EAAMthC,GAAMm2B,EAAQolB,GAErD,SAAS86E,EAAyBlgG,EAAQolB,EAAev7C,GACrD,OAAOsqB,EAAOgX,EAAMthC,GAAMm2B,EAAQolB,GAM7Bq3E,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,uGAAuGh/B,MAAM,KACtH++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,0EAA0E5iC,MAAM,KAC3F2iC,cAAgB,kBAAkB3iC,MAAM,KACxC0iC,YAAc,kBAAkB1iC,MAAM,KACtC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,cACJC,GAAK,uBACLC,IAAM,8BACNC,KAAO,qCAEXX,SAAW,CACPC,QAAU,uBACVC,QAAU,oBACVC,SAAW,qBACXC,QAAU,sBACVC,SAAW,gCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EA9BR,SAAyBnG,EAAQolB,GAC7B,OAAOA,EAAgB,iBAAmB,kBA8BtCxN,GAAK+lF,EACLlqG,EAAIysG,EACJroF,GAAK8lF,EACLrpH,EAAI4rH,EACJpoF,GAAK6lF,EACLxrF,EAAI+tF,EACJnoF,GAAK4lF,EACLvrF,EAAI8tF,EACJloF,GAAK2lF,EACLruF,EAAI4wF,EACJjoF,GAAK0lF,GAETnmF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KArFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAI0D,EAAa,CACbC,MAAO,CACHxoF,GAAI,CAAC,SAAU,UAAW,WAC1BnkB,EAAG,CAAC,cAAe,iBACnBokB,GAAI,CAAC,QAAS,SAAU,UACxBvjC,EAAG,CAAC,YAAa,eACjBwjC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,SAAU,UAAW,WAC1BC,GAAI,CAAC,SAAU,SAAU,WAE7BooF,uBAAwB,SAAUrgG,EAAQsgG,GACtC,OAAkB,IAAXtgG,EAAesgG,EAAQ,GAAMtgG,GAAU,GAAKA,GAAU,EAAIsgG,EAAQ,GAAKA,EAAQ,IAE1FlC,UAAW,SAAUp+F,EAAQolB,EAAev7C,GACxC,IAAIy2H,EAAUH,EAAWC,MAAMv2H,GAC/B,OAAmB,IAAfA,EAAID,OACGw7C,EAAgBk7E,EAAQ,GAAKA,EAAQ,GAErCtgG,EAAS,IAAMmgG,EAAWE,uBAAuBrgG,EAAQsgG,KAKnE7D,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAQ,mFAAmFh/B,MAAM,KACjG++B,YAAa,2DAA2D/+B,MAAM,KAC9EitH,kBAAmB,EACnBrqF,SAAU,4DAA4D5iC,MAAM,KAC5E2iC,cAAe,qCAAqC3iC,MAAM,KAC1D0iC,YAAa,uBAAuB1iC,MAAM,KAC1C2rH,oBAAqB,EACrB9vF,eAAgB,CACZz0B,GAAI,OACJ2+B,IAAM,UACNC,EAAG,aACHC,GAAI,eACJC,IAAK,oBACLC,KAAM,2BAEVX,SAAU,CACNC,QAAS,eACTC,QAAS,gBAETC,SAAU,WACN,OAAQtlC,KAAKojC,OACT,KAAK,EACD,MAAO,wBACX,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,oBAGnBmC,QAAW,cACXC,SAAW,WAUP,MATmB,CACf,6BACA,iCACA,4BACA,4BACA,8BACA,2BACA,4BAEgBxlC,KAAKojC,QAE7BqC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAS,WACTxR,EAAS,mBACTyR,GAASuoF,EAAW/B,UACpB3qG,EAAS0sG,EAAW/B,UACpBvmF,GAASsoF,EAAW/B,UACpB9pH,EAAS6rH,EAAW/B,UACpBtmF,GAASqoF,EAAW/B,UACpBjsF,EAAS,MACT4F,GAASooF,EAAW/B,UACpBhsF,EAAS,SACT4F,GAASmoF,EAAW/B,UACpB9uF,EAAS,SACT2I,GAASkoF,EAAW/B,WAExB5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KApGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAQ,8IAA8Ih/B,MAAM,KAC5J++B,YAAa,iEAAiE/+B,MAAM,KACpFk/B,YAAa,yCACbouF,kBAAmB,yCACnBruF,iBAAkB,yCAClBsuF,uBAAwB,yCACxB3qF,SAAU,kDAAkD5iC,MAAM,KAClE2iC,cAAe,wBAAwB3iC,MAAM,KAC7C0iC,YAAa,wBAAwB1iC,MAAM,KAC3C67B,eAAgB,CACZz0B,GAAI,QACJ2+B,IAAK,WACLC,EAAG,aACHC,GAAI,cACJC,IAAK,wBACLC,KAAM,+BAEVX,SAAU,CACNC,QAAS,wBACTC,QAAS,eACTC,SAAU,cACVC,QAAS,iBACTC,SAAU,2BACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,cACRC,KAAM,WACNxR,EAAG,mBACHyR,GAAI,YACJnkB,EAAG,YACHokB,GAAI,YACJvjC,EAAG,WACHwjC,GAAI,WACJ3F,EAAG,QACH4F,GAAI,QACJ3F,EAAG,YACH4F,GAAI,YACJ1I,EAAG,SACH2I,GAAI,UAERT,uBAAwB,WACxBjL,QAAS,MACT2G,KAAO,CACHL,IAAM,EACNC,IAAM,KApDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,uFAAuFh/B,MAAM,KACtG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,wDAAwD5iC,MAAM,KACzE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,YACJC,GAAK,cACLC,IAAM,mBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,eACVC,SAAW,oBACXC,QAAU,gBACVC,SAAW,WACP,OAAQxlC,KAAKojC,OACT,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,4BACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,8BAGnBqC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,UACPxR,EAAI,kBACJyR,GAAK,aACLnkB,EAAI,SACJokB,GAAK,YACLvjC,EAAI,MACJwjC,GAAK,UACL3F,EAAI,MACJ4F,GAAK,UACL3F,EAAI,QACJ4F,GAAK,YACL1I,EAAI,SACJ2I,GAAK,aAETT,uBAAwB,8BACxBjL,QAAU,SAAUvM,GAChB,IAAI89F,EAAY99F,EAAS,GACrB+9F,EAAc/9F,EAAS,IAC3B,OAAe,IAAXA,EACOA,EAAS,MACO,IAAhB+9F,EACA/9F,EAAS,MACT+9F,EAAc,IAAMA,EAAc,GAClC/9F,EAAS,MACK,IAAd89F,EACA99F,EAAS,MACK,IAAd89F,EACA99F,EAAS,MACK,IAAd89F,GAAiC,IAAdA,EACnB99F,EAAS,MAETA,EAAS,OAGxBkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA9EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,yFAAyFh/B,MAAM,KACxG++B,YAAc,yEAAyE/+B,MAAM,KAC7FitH,kBAAmB,EACnBrqF,SAAW,wEAAwE5iC,MAAM,KACzF2iC,cAAgB,2CAA2C3iC,MAAM,KACjE0iC,YAAc,wBAAwB1iC,MAAM,KAC5C67B,eAAiB,CACbz0B,GAAK,aACL2+B,IAAM,gBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAU,aACVC,QAAU,YACVC,SAAW,WACXC,QAAU,cACVC,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,aACTC,KAAO,WACPxR,EAAI,gBACJyR,GAAK,cACLnkB,EAAI,eACJokB,GAAK,cACLvjC,EAAI,eACJwjC,GAAK,cACL3F,EAAI,YACJ4F,GAAK,WACL3F,EAAI,WACJ4F,GAAK,UACL1I,EAAI,WACJ2I,GAAK,WAETC,cAAe,gDACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEO,WAAblW,GAAyBkW,GAAQ,GACjB,iBAAblW,GACa,eAAbA,EACGkW,EAAO,GAEPA,GAGflW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,SACAA,EAAO,GACP,SACAA,EAAO,GACP,eACAA,EAAO,GACP,aAEA,YApEmB0P,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAAS2B,EAAUp+F,EAAQolB,EAAev7C,EAAKm/C,GAC3C,OAAQn/C,GACJ,IAAK,IACD,OAAOu7C,EAAgB,gBAAkB,kBAC7C,IAAK,KACD,OAAOplB,GAAUolB,EAAgB,UAAY,aACjD,IAAK,IACL,IAAK,KACD,OAAOplB,GAAUolB,EAAgB,SAAW,YAChD,IAAK,IACL,IAAK,KACD,OAAOplB,GAAUolB,EAAgB,OAAS,WAC9C,IAAK,IACL,IAAK,KACD,OAAOplB,GAAUolB,EAAgB,QAAU,WAC/C,IAAK,IACL,IAAK,KACD,OAAOplB,GAAUolB,EAAgB,OAAS,UAC9C,IAAK,IACL,IAAK,KACD,OAAOplB,GAAUolB,EAAgB,OAAS,WAC9C,QACI,OAAOplB,GAIVy8F,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,+LAA+Lh/B,MAAM,KAC9M++B,YAAc,6EAA6E/+B,MAAM,KACjGitH,kBAAmB,EACnBrqF,SAAW,6CAA6C5iC,MAAM,KAC9D2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,oBACLC,IAAM,0BACNC,KAAO,iCAEXW,cAAe,SACf7B,KAAO,SAAUv8B,GACb,MAAiB,OAAVA,GAEX2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,KAEA,MAGf/G,SAAW,CACPC,QAAU,eACVC,QAAU,eACVC,SAAW,iBACXC,QAAU,eACVC,SAAW,qBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,UACPxR,EAAIi4F,EACJxmF,GAAKwmF,EACL3qG,EAAI2qG,EACJvmF,GAAKumF,EACL9pH,EAAI8pH,EACJtmF,GAAKsmF,EACLjsF,EAAIisF,EACJrmF,GAAKqmF,EACLhsF,EAAIgsF,EACJpmF,GAAKomF,EACL9uF,EAAI8uF,EACJnmF,GAAKmmF,GAET5mF,uBAAwB,eACxBjL,QAAU,SAAUvM,EAAQsiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOtiB,EAAS,QACpB,QACI,OAAOA,MA3FeqtB,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGT,SAAS4D,EAAevgG,EAAQolB,EAAen+B,EAAQ+hC,GAEnD,IAAInc,EAAS,GACb,GAAIuY,EACA,OAAQn+B,GACJ,IAAK,IAAK4lB,EAAS,aAAc,MACjC,IAAK,KAAMA,EAAS,WAAY,MAChC,IAAK,IAAKA,EAAS,WAAY,MAC/B,IAAK,KAAMA,EAAS,YAAa,MACjC,IAAK,IAAKA,EAAS,SAAU,MAC7B,IAAK,KAAMA,EAAS,SAAU,MAC9B,IAAK,IAAKA,EAAS,UAAW,MAC9B,IAAK,KAAMA,EAAS,UAAW,MAC/B,IAAK,IAAKA,EAAS,WAAY,MAC/B,IAAK,KAAMA,EAAS,WAAY,MAChC,IAAK,IAAKA,EAAS,UAAW,MAC9B,IAAK,KAAMA,EAAS,gBAIxB,OAAQ5lB,GACJ,IAAK,IAAK4lB,EAAS,eAAgB,MACnC,IAAK,KAAMA,EAAS,aAAc,MAClC,IAAK,IAAKA,EAAS,aAAc,MACjC,IAAK,KAAMA,EAAS,aAAc,MAClC,IAAK,IAAKA,EAAS,WAAY,MAC/B,IAAK,KAAMA,EAAS,WAAY,MAChC,IAAK,IAAKA,EAAS,YAAa,MAChC,IAAK,KAAMA,EAAS,YAAa,MACjC,IAAK,IAAKA,EAAS,cAAe,MAClC,IAAK,KAAMA,EAAS,cAAe,MACnC,IAAK,IAAKA,EAAS,YAAa,MAChC,IAAK,KAAMA,EAAS,YAG5B,OAAOA,EAAO7gC,QAAQ,MAAOg0B,GAGxBy8F,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAa,gFAAgF/+B,MAAM,KACnGitH,kBAAmB,EACnBrqF,SAAW,uDAAuD5iC,MAAM,KACxE2iC,cAAgB,kCAAkC3iC,MAAM,KACxD0iC,YAAc,qBAAqB1iC,MAAM,KACzC67B,eAAiB,CACbz0B,GAAK,eACL2+B,IAAM,kBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXX,SAAW,CACPC,QAAU,UACVC,QAAU,aACVC,SAAW,WACXC,QAAU,WACVC,SAAU,mBACVC,SAAW,KAEfO,aAAe,CACXC,OAAQ,UACRC,KAAM,WACNxR,EAAGo6F,EACH3oF,GAAI2oF,EACJ9sG,EAAG8sG,EACH1oF,GAAI0oF,EACJjsH,EAAGisH,EACHzoF,GAAIyoF,EACJpuF,EAAGouF,EACHxoF,GAAIwoF,EACJnuF,EAAGmuF,EACHvoF,GAAIuoF,EACJjxF,EAAGixF,EACHtoF,GAAIsoF,GAERviF,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAGzBu6B,cAAe,+BACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,WAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,UAAblW,EACAkW,EACa,WAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,aAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAU,SAAUkW,EAAMc,EAAQoL,GAC9B,OAAIlM,EAAO,EACA,SACAA,EAAO,GACP,QACAA,EAAO,GACP,SACAA,EAAO,GACP,WAEA,UAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KApJwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,oFAAoFh/B,MAAM,KACnG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,6CAA6C5iC,MAAM,KAC9D2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXW,cAAe,8BACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAEM,SAAblW,EACOkW,EACa,cAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,WAAblW,GAAsC,UAAbA,EACzBkW,EAAO,QADX,GAIXlW,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACD,OACAA,EAAQ,GACR,YACAA,EAAQ,GACR,SAEA,SAGfe,SAAW,CACPC,QAAU,sBACVC,QAAU,kBACVC,SAAW,kBACXC,QAAU,sBACVC,SAAW,wBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,gBACPxR,EAAI,gBACJyR,GAAK,UACLnkB,EAAI,UACJokB,GAAK,WACLvjC,EAAI,QACJwjC,GAAK,SACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KAtEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,oFAAoFh/B,MAAM,KACnG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,6CAA6C5iC,MAAM,KAC9D2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXW,cAAe,8BACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAEM,SAAblW,EACOkW,EACa,cAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,WAAblW,GAAsC,UAAbA,EACzBkW,EAAO,QADX,GAIXlW,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACD,OACAA,EAAQ,GACR,YACAA,EAAQ,GACR,SAEA,SAGfe,SAAW,CACPC,QAAU,sBACVC,QAAU,kBACVC,SAAW,kBACXC,QAAU,sBACVC,SAAW,wBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,gBACPxR,EAAI,gBACJyR,GAAK,UACLnkB,EAAI,UACJokB,GAAK,WACLvjC,EAAI,QACJwjC,GAAK,SACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KAtEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,iEAAiE5iC,MAAM,KAClF2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,iBACVC,QAAU,iBACVC,SAAW,gBACXC,QAAU,qBACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,SACPxR,EAAI,eACJyR,GAAK,aACLnkB,EAAI,SACJokB,GAAK,YACLvjC,EAAI,SACJwjC,GAAK,aACL3F,EAAI,UACJ4F,GAAK,YACL3F,EAAI,QACJ4F,GAAK,UACL1I,EAAI,OACJ2I,GAAK,UAETT,uBAAyB,WACzBjL,QAAS,MACT2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAQ,2FAA2Fh/B,MAAM,KACzG++B,YAAa,mDAAmD/+B,MAAM,KACtE4iC,SAAU,wDAAwD5iC,MAAM,KACxE2iC,cAAe,2BAA2B3iC,MAAM,KAChD0iC,YAAa,2BAA2B1iC,MAAM,KAE9C67B,eAAgB,CACZz0B,GAAI,QACJ2+B,IAAK,WACLC,EAAG,aACHC,GAAI,cACJC,IAAK,oBACLC,KAAM,0BAEVX,SAAU,CACNC,QAAS,kBACTC,QAAS,sBACTC,SAAU,gBACVC,QAAS,mBACTC,SAAU,6BACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,gBACRC,KAAM,kBACNxR,EAAG,kBACHyR,GAAK,aACLnkB,EAAG,WACHokB,GAAI,WACJvjC,EAAG,UACHwjC,GAAI,UACJ3F,EAAG,SACH4F,GAAI,SACJ3F,EAAG,OACH4F,GAAI,OACJ1I,EAAG,UACH2I,GAAI,WAER+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAGzBu1B,KAAM,CACFL,IAAK,EACLC,IAAK,KAjFyBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqFh/B,MAAM,KACpG++B,YAAc,8DAA8D/+B,MAAM,KAClFitH,kBAAmB,EACnBrqF,SAAW,qDAAqD5iC,MAAM,KACtE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,eACLC,IAAM,2BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAS,iBACTC,QAAS,oBACTC,SAAU,gBACVC,QAAS,iBACTC,SAAU,0BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,WACPxR,EAAI,gBACJyR,GAAK,cACLnkB,EAAI,aACJokB,GAAK,cACLvjC,EAAI,UACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,WACL3F,EAAI,WACJ4F,GAAK,aACL1I,EAAI,SACJ2I,GAAK,SAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAlDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,uFAAuFh/B,MAAM,KACtG++B,YAAc,uEAAuE/+B,MAAM,KAC3FitH,kBAAmB,EACnBrqF,SAAW,wDAAwD5iC,MAAM,KACzE2iC,cAAgB,0CAA0C3iC,MAAM,KAChE0iC,YAAc,4BAA4B1iC,MAAM,KAChD2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,eACL2+B,IAAM,kBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,4BACNC,KAAO,mCAEXyG,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAGzBu6B,cAAe,yBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,SAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,UAAblW,EACAkW,EACa,WAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,SAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,QACAA,EAAO,GACP,SACAA,EAAO,GACP,OAEA,QAGf/G,SAAW,CACPC,QAAU,UACVC,QAAU,YACVC,SAAW,qBACXC,QAAU,YACVC,SAAW,oBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,OACTC,KAAO,WACPxR,EAAI,YACJyR,GAAK,aACLnkB,EAAI,WACJokB,GAAK,WACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,WACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,WAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA/GwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIiD,EAAsB,6DAA6DtuH,MAAM,KACzFuuH,EAAyB,kDAAkDvuH,MAAM,KAEjFm/B,EAAc,CAAC,QAAS,QAAS,iBAAkB,QAAS,SAAU,cAAe,cAAe,QAAS,QAAS,QAAS,QAAS,SACxID,EAAc,qKAETmsF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,0FAA0Fh/B,MAAM,KACzG++B,YAAc,SAAU1c,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACbwrG,EAAuBlsG,EAAEoc,SAEzB6vF,EAAoBjsG,EAAEoc,SAJtB6vF,GAQfpvF,YAAaA,EACbD,iBAAkBC,EAClBouF,kBAAmB,4FACnBC,uBAAwB,mFAExBpuF,YAAcA,EACdquF,gBAAkBruF,EAClBsuF,iBAAmBtuF,EAEnByD,SAAW,6DAA6D5iC,MAAM,KAC9E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,kBACTC,QAAS,iBACTC,SAAU,eACVC,QAAS,mBACTC,SAAU,2BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,UACTC,KAAO,aACPxR,EAAI,oBACJyR,GAAK,cACLnkB,EAAI,aACJokB,GAAK,aACLvjC,EAAI,UACJwjC,GAAK,SACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,YACJ4F,GAAK,aACL1I,EAAI,WACJ2I,GAAK,WAETT,uBAAwB,kBACxBjL,QAAU,SAAUvM,GAChB,OAAOA,GAAsB,IAAXA,GAA2B,IAAXA,GAAgBA,GAAU,GAAM,MAAQ,OAE9EkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA3EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIiD,EAAsB,6DAA6DtuH,MAAM,KACzFuuH,EAAyB,kDAAkDvuH,MAAM,KAEjFm/B,EAAc,CAAC,QAAS,QAAS,iBAAkB,QAAS,SAAU,cAAe,cAAe,QAAS,QAAS,QAAS,QAAS,SACxID,EAAc,qKAEPmsF,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,0FAA0Fh/B,MAAM,KACzG++B,YAAc,SAAU1c,EAAGU,GACvB,OAAKV,EAEM,QAAQ7W,KAAKuX,GACbwrG,EAAuBlsG,EAAEoc,SAEzB6vF,EAAoBjsG,EAAEoc,SAJtB6vF,GAQfpvF,YAAaA,EACbD,iBAAkBC,EAClBouF,kBAAmB,4FACnBC,uBAAwB,mFAExBpuF,YAAcA,EACdquF,gBAAkBruF,EAClBsuF,iBAAmBtuF,EAEnByD,SAAW,6DAA6D5iC,MAAM,KAC9E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,kBACTC,QAAS,iBACTC,SAAU,eACVC,QAAS,mBACTC,SAAU,2BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,UACTC,KAAO,aACPxR,EAAI,oBACJyR,GAAK,cACLnkB,EAAI,aACJokB,GAAK,aACLvjC,EAAI,UACJwjC,GAAK,SACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,YACJ4F,GAAK,aACL1I,EAAI,WACJ2I,GAAK,WAETT,uBAAwB,kBACxBjL,QAAU,SAAUvM,GAChB,OAAOA,GAAsB,IAAXA,GAA2B,IAAXA,GAAgBA,GAAU,GAAM,MAAQ,OAE9EkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA3EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,qFAAqFh/B,MAAM,KACpG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,qDAAqD5iC,MAAM,KACtE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,eACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAS,oBACTC,QAAS,uBACTC,SAAU,mBACVC,QAAS,oBACTC,SAAU,gCACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,WACPxR,EAAI,eACJyR,GAAK,YACLnkB,EAAI,aACJokB,GAAK,YACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,UACJ4F,GAAK,WACL3F,EAAI,YACJ4F,GAAK,aACL1I,EAAI,SACJ2I,GAAK,SAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KAETC,EAAY,CACR,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGEF,EAAO9jF,aAAa,QAAS,CAEpCvI,OAAS,sEAAsEh/B,MAAM,KACrF++B,YAAc,sEAAsE/+B,MAAM,KAC1F4iC,SAAW,yDAAyD5iC,MAAM,KAC1E2iC,cAAgB,iCAAiC3iC,MAAM,KACvD0iC,YAAc,iCAAiC1iC,MAAM,KACrD67B,eAAiB,CACbz0B,GAAK,aACL2+B,IAAM,gBACNC,EAAI,aACJC,GAAK,cACLC,IAAM,0BACNC,KAAO,iCAEXX,SAAW,CACPC,QAAU,UACVC,QAAU,UACVC,SAAW,kBACXC,QAAU,UACVC,SAAW,mBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,UACTC,KAAO,WACPxR,EAAI,YACJyR,GAAK,WACLnkB,EAAI,UACJokB,GAAK,UACLvjC,EAAI,WACJwjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,YACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,UAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAKzBu6B,cAAe,uBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,QAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,SAAblW,EACAkW,EACa,WAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,SAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,MACAA,EAAO,GACP,OACAA,EAAO,GACP,SACAA,EAAO,GACP,OAEA,OAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KAhHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAI+D,EAAmB,mGAAmGpvH,MAAM,KAC5HqvH,EAAmB,qGAAqGrvH,MAAM,KAClI,SAASqtH,EAAOn2G,GACZ,OAAQA,EAAI,GAAK,GAAOA,EAAI,GAAK,MAAWA,EAAI,IAAM,IAAQ,EAElE,SAAS81G,EAAUp+F,EAAQolB,EAAev7C,GACtC,IAAIyB,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,KACD,OAAOyB,GAAUmzH,EAAOz+F,GAAU,UAAY,UAClD,IAAK,IACD,OAAOolB,EAAgB,SAAW,SACtC,IAAK,KACD,OAAO95C,GAAUmzH,EAAOz+F,GAAU,SAAW,SACjD,IAAK,IACD,OAAOolB,EAAiB,UAAa,UACzC,IAAK,KACD,OAAO95C,GAAUmzH,EAAOz+F,GAAU,UAAY,UAClD,IAAK,KACD,OAAO10B,GAAUmzH,EAAOz+F,GAAU,WAAa,YACnD,IAAK,KACD,OAAO10B,GAAUmzH,EAAOz+F,GAAU,OAAS,QAI9Cy8F,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,SAAU6uF,EAAgB9qG,GAC/B,OAAK8qG,EAEiB,KAAX9qG,EAIA,IAAMssG,EAAiBxB,EAAepvF,SAAW,IAAM2wF,EAAiBvB,EAAepvF,SAAW,IAClG,SAASjzB,KAAKuX,GACdssG,EAAiBxB,EAAepvF,SAEhC2wF,EAAiBvB,EAAepvF,SAThC2wF,GAYfrwF,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,6DAA6D5iC,MAAM,KAC9E2iC,cAAgB,2BAA2B3iC,MAAM,KACjD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAS,cACTC,QAAS,eACTC,SAAU,WACN,OAAQtlC,KAAKojC,OACT,KAAK,EACD,MAAO,qBAEX,KAAK,EACD,MAAO,mBAEX,KAAK,EACD,MAAO,iBAEX,KAAK,EACD,MAAO,kBAEX,QACI,MAAO,oBAGnBmC,QAAS,iBACTC,SAAU,WACN,OAAQxlC,KAAKojC,OACT,KAAK,EACD,MAAO,4BACX,KAAK,EACD,MAAO,wBACX,KAAK,EACD,MAAO,yBACX,QACI,MAAO,2BAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,eACJyR,GAAKwmF,EACL3qG,EAAI2qG,EACJvmF,GAAKumF,EACL9pH,EAAI8pH,EACJtmF,GAAKsmF,EACLjsF,EAAI,UACJ4F,GAAK,SACL3F,EAAI,UACJ4F,GAAKomF,EACL9uF,EAAI,MACJ2I,GAAKmmF,GAET5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAlHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,2FAA2Fh/B,MAAM,KAC1G++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,iFAAiF5iC,MAAM,KAClG2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,8BACNC,KAAO,qCAEXX,SAAW,CACPC,QAAS,eACTC,QAAS,iBACTC,SAAU,eACVC,QAAS,gBACTC,SAAU,WACN,OAAuB,IAAfxlC,KAAKojC,OAA8B,IAAfpjC,KAAKojC,MAC7B,wBACA,yBAERqC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,QACPxR,EAAI,WACJyR,GAAK,cACLnkB,EAAI,YACJokB,GAAK,aACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAwB,WACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KArDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,2FAA2Fh/B,MAAM,KAC1G++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,iFAAiF5iC,MAAM,KAClG2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,mCACNC,KAAO,0CAEXX,SAAW,CACPC,QAAS,eACTC,QAAS,iBACTC,SAAU,eACVC,QAAS,gBACTC,SAAU,WACN,OAAuB,IAAfxlC,KAAKojC,OAA8B,IAAfpjC,KAAKojC,MAC7B,wBACA,yBAERqC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,QACPxR,EAAI,kBACJyR,GAAK,cACLnkB,EAAI,YACJokB,GAAK,aACLvjC,EAAI,WACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,UACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,WAETT,uBAAwB,WACxBjL,QAAU,QAlDwB8gB,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAASkB,EAAuB39F,EAAQolB,EAAev7C,GACnD,IAQI42C,EAAY,IAIhB,OAHIzgB,EAAS,KAAO,IAAOA,GAAU,KAAOA,EAAS,KAAQ,KACzDygB,EAAY,QAETzgB,EAASygB,EAZH,CACL,GAAM,UACN,GAAM,SACN,GAAM,MACN,GAAM,OACN,GAAM,OACN,GAAM,OAMqB52C,GAG9B4yH,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,oGAAoGh/B,MAAM,KACnH++B,YAAc,gEAAgE/+B,MAAM,KACpFitH,kBAAkB,EAClBrqF,SAAW,kDAAkD5iC,MAAM,KACnE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,aACJC,GAAK,cACLC,IAAM,mBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,cACTC,QAAS,gBACTC,SAAU,eACVC,QAAS,eACTC,SAAU,uBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,WACTC,KAAO,aACPxR,EAAI,iBACJyR,GAAK+lF,EACLlqG,EAAI,WACJokB,GAAK8lF,EACLrpH,EAAI,QACJwjC,GAAK6lF,EACLxrF,EAAI,OACJ4F,GAAK4lF,EACLvrF,EAAI,SACJ4F,GAAK2lF,EACLruF,EAAI,QACJ2I,GAAK0lF,GAETzqF,KAAO,CACHL,IAAM,EACNC,IAAM,KA/DwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAOzB,SAASkB,EAAuB39F,EAAQolB,EAAev7C,GACnD,IALkBoqB,EACd2pG,EAYJ,MAAY,MAAR/zH,EACOu7C,EAAgB,SAAW,SAG3BplB,EAAS,KAjBF/L,GAiB6B+L,EAhB3C49F,EAIS,CACT,GAAMx4E,EAAgB,yBAA2B,yBACjD,GAAMA,EAAgB,sBAAwB,sBAC9C,GAAM,iBACN,GAAM,gBACN,GAAM,uBACN,GAAM,gBAM8Bv7C,GAhBvBuH,MAAM,KAChB6iB,EAAM,IAAO,GAAKA,EAAM,KAAQ,GAAK2pG,EAAM,GAAM3pG,EAAM,IAAM,GAAKA,EAAM,IAAM,IAAMA,EAAM,IAAM,IAAMA,EAAM,KAAO,IAAM2pG,EAAM,GAAKA,EAAM,IAkBrJ,IAAIrtF,EAAc,CAAC,QAAS,QAAS,QAAS,QAAS,WAAY,QAAS,QAAS,QAAS,QAAS,QAAS,QAAS,SAKhHksF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,CACLjc,OAAQ,oFAAoF/iB,MAAM,KAClGysH,WAAY,kFAAkFzsH,MAAM,MAExG++B,YAAc,CAEVhc,OAAQ,gEAAgE/iB,MAAM,KAC9EysH,WAAY,gEAAgEzsH,MAAM,MAEtF4iC,SAAW,CACP6pF,WAAY,gEAAgEzsH,MAAM,KAClF+iB,OAAQ,gEAAgE/iB,MAAM,KAC9E+3C,SAAU,kDAEdpV,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,uBAAuB1iC,MAAM,KAC3Cm/B,YAAcA,EACdquF,gBAAkBruF,EAClBsuF,iBAAmBtuF,EAGnBD,YAAa,2MAGbD,iBAAkB,2MAGlBquF,kBAAmB,wHAGnBC,uBAAwB,6FACxB1xF,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,aACJC,GAAK,iBACLC,IAAM,uBACNC,KAAO,8BAEXX,SAAW,CACPC,QAAS,kBACTC,QAAS,iBACTE,QAAS,gBACTD,SAAU,SAAUjV,GAChB,GAAIA,EAAIoR,SAAWzhC,KAAKyhC,OAcpB,OAAmB,IAAfzhC,KAAKojC,MACE,oBAEA,mBAhBX,OAAQpjC,KAAKojC,OACT,KAAK,EACD,MAAO,6BACX,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,6BACX,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,+BAUvBoC,SAAU,SAAUnV,GAChB,GAAIA,EAAIoR,SAAWzhC,KAAKyhC,OAcpB,OAAmB,IAAfzhC,KAAKojC,MACE,oBAEA,mBAhBX,OAAQpjC,KAAKojC,OACT,KAAK,EACD,MAAO,2BACX,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,2BACX,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,6BAUvBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,WACTC,KAAO,WACPxR,EAAI,mBACJyR,GAAK+lF,EACLlqG,EAAIkqG,EACJ9lF,GAAK8lF,EACLrpH,EAAI,MACJwjC,GAAK6lF,EACLxrF,EAAI,OACJ4F,GAAK4lF,EACLvrF,EAAI,QACJ4F,GAAK2lF,EACLruF,EAAI,MACJ2I,GAAK0lF,GAETzlF,cAAe,wBACf7B,KAAO,SAAUv8B,GACb,MAAO,iBAAiB8C,KAAK9C,IAEjC2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,OACAA,EAAO,GACP,MAEA,UAGfnG,uBAAwB,mBACxBjL,QAAS,SAAUvM,EAAQsiB,GACvB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOtiB,EAAS,KACpB,IAAK,IACD,OAAOA,EAAS,MACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,KACpB,QACI,OAAOA,IAGnBkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA1KwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIrsF,EAAS,CACT,QACA,UACA,OACA,QACA,MACA,MACA,SACA,OACA,UACA,SACA,QACA,SAEAuP,EAAO,CACP,MACA,OACA,QACA,OACA,OACA,MACA,QAGK88E,EAAO9jF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcC,EACd4D,SAAW2L,EACX5L,cAAgB4L,EAChB7L,YAAc6L,EACd1S,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXW,cAAe,UACf7B,KAAO,SAAUv8B,GACb,MAAO,QAAUA,GAErB2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,MAEJ,OAEX/G,SAAW,CACPC,QAAU,UACVC,QAAU,aACVC,SAAW,yBACXC,QAAU,aACVC,SAAW,4BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,QACPxR,EAAI,YACJyR,GAAK,WACLnkB,EAAI,SACJokB,GAAK,SACLvjC,EAAI,UACJwjC,GAAK,UACL3F,EAAI,WACJ4F,GAAK,WACL3F,EAAI,WACJ4F,GAAK,WACL1I,EAAI,SACJ2I,GAAK,UAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCm5C,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCknC,KAAO,CACHL,IAAM,EACNC,IAAM,KAtFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,mJAAmJh/B,MAAM,KAClK++B,YAAc,6DAA6D/+B,MAAM,KACjF4iC,SAAW,6EAA6E5iC,MAAM,KAC9F2iC,cAAgB,mCAAmC3iC,MAAM,KACzD0iC,YAAc,gBAAgB1iC,MAAM,KACpC67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,oBACLC,IAAM,gCACNC,KAAO,uCAEXX,SAAW,CACPC,QAAS,eACTC,QAAS,iBACTC,SAAU,eACVC,QAAS,eACTC,SAAU,wBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,YACTC,KAAO,WACPxR,EAAI,mBACJyR,GAAI,eACJnkB,EAAI,eACJokB,GAAK,cACLvjC,EAAI,cACJwjC,GAAK,aACL3F,EAAI,cACJ4F,GAAK,cACL3F,EAAI,aACJ4F,GAAK,WACL1I,EAAI,aACJ2I,GAAK,YAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAIhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,kGAAkGh/B,MAAM,KACjH++B,YAAc,wDAAwD/+B,MAAM,KAC5E4iC,SAAW,gEAAgE5iC,MAAM,KACjF2iC,cAAgB,gCAAgC3iC,MAAM,KACtD0iC,YAAc,qBAAqB1iC,MAAM,KACzC2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,sBACNC,KAAO,sCAEXX,SAAW,CACPC,QAAU,aACVC,QAAU,cACVC,SAAW,aACXC,QAAU,cACVC,SAAW,sBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EAAI,eACJyR,GAAK,WACLnkB,EAAI,YACJokB,GAAK,cACLvjC,EAAI,MACJwjC,GAAK,SACL3F,EAAI,OACJ4F,GAAK,SACL3F,EAAI,OACJ4F,GAAK,SACL1I,EAAI,MACJ2I,GAAK,UAETT,uBAAwB,eACxBjL,QAAU,SAAUvM,GAChB,OAAOA,EAAS,SAEpBkY,cAAgB,4BAChB7B,KAAO,SAAUv8B,GACb,MAAiB,SAAVA,GAA8B,YAAVA,GAE/B2tB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,OAAS,UAEnBA,EAAU,QAAU,aA1DDwD,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIrsF,EAAS,oFAAoFh/B,MAAM,KACnG++B,EAAc,kDAAkD/+B,MAAM,KAC1E,SAASqtH,EAAOn2G,GACZ,OAAQA,EAAI,GAAOA,EAAI,EAE3B,SAAS81G,EAAUp+F,EAAQolB,EAAev7C,EAAKm/C,GAC3C,IAAI19C,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,IACD,OAAQu7C,GAAiB4D,EAAY,aAAe,gBACxD,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,UAAY,UAEvC10B,EAAS,YAGxB,IAAK,IACD,OAAO85C,EAAgB,SAAY4D,EAAW,SAAW,UAC7D,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,SAAW,SAEtC10B,EAAS,WAGxB,IAAK,IACD,OAAO85C,EAAgB,SAAY4D,EAAW,SAAW,UAC7D,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,SAAW,SAEtC10B,EAAS,WAGxB,IAAK,IACD,OAAQ85C,GAAiB4D,EAAY,MAAQ,OACjD,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,MAAQ,OAEnC10B,EAAS,QAGxB,IAAK,IACD,OAAQ85C,GAAiB4D,EAAY,SAAW,WACpD,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,UAAY,YAEvC10B,EAAS,WAGxB,IAAK,IACD,OAAQ85C,GAAiB4D,EAAY,MAAQ,QACjD,IAAK,KACD,OAAI5D,GAAiB4D,EACV19C,GAAUmzH,EAAOz+F,GAAU,OAAS,SAEpC10B,EAAS,SAMvBmxH,EAAO9jF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcA,EACd6D,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAI,OACJ2+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,cACTC,QAAS,gBACTC,SAAU,WACN,OAAQtlC,KAAKojC,OACT,KAAK,EACD,MAAO,kBACX,KAAK,EACL,KAAK,EACD,MAAO,kBACX,KAAK,EACD,MAAO,kBACX,KAAK,EACD,MAAO,oBACX,KAAK,EACD,MAAO,kBACX,KAAK,EACD,MAAO,oBAGnBmC,QAAS,eACTC,SAAU,WACN,OAAQxlC,KAAKojC,OACT,KAAK,EACD,MAAO,uBACX,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,uBACX,KAAK,EACL,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,yBAGnBqC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAIi4F,EACJxmF,GAAKwmF,EACL3qG,EAAI2qG,EACJvmF,GAAKumF,EACL9pH,EAAI8pH,EACJtmF,GAAKsmF,EACLjsF,EAAIisF,EACJrmF,GAAKqmF,EACLhsF,EAAIgsF,EACJpmF,GAAKomF,EACL9uF,EAAI8uF,EACJnmF,GAAKmmF,GAET5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhJwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,SAASqC,EAAoB9+F,EAAQolB,EAAev7C,EAAKm/C,GACrD,IAAI19C,EAAS00B,EAAS,IACtB,OAAQn2B,GACJ,IAAK,IACD,OAAOu7C,GAAiB4D,EAAW,eAAiB,kBACxD,IAAK,KAUD,OARI19C,GADW,IAAX00B,EACUolB,EAAgB,UAAY,UACpB,IAAXplB,EACGolB,GAAiB4D,EAAW,UAAY,WAC3ChpB,EAAS,EACNolB,GAAiB4D,EAAW,UAAY,WAExC,SAGlB,IAAK,IACD,OAAO5D,EAAgB,aAAe,aAC1C,IAAK,KAUD,OARI95C,GADW,IAAX00B,EACUolB,EAAgB,SAAW,SACnB,IAAXplB,EACGolB,GAAiB4D,EAAW,SAAW,WAC1ChpB,EAAS,EACNolB,GAAiB4D,EAAW,SAAW,WAEvC5D,GAAiB4D,EAAW,QAAU,WAGxD,IAAK,IACD,OAAO5D,EAAgB,UAAY,UACvC,IAAK,KAUD,OARI95C,GADW,IAAX00B,EACUolB,EAAgB,MAAQ,MAChB,IAAXplB,EACGolB,GAAiB4D,EAAW,MAAQ,QACvChpB,EAAS,EACNolB,GAAiB4D,EAAW,MAAQ,QAEpC5D,GAAiB4D,EAAW,KAAO,QAGrD,IAAK,IACD,OAAO5D,GAAiB4D,EAAW,SAAW,YAClD,IAAK,KAQD,OANI19C,GADW,IAAX00B,EACUolB,GAAiB4D,EAAW,MAAQ,OAC5B,IAAXhpB,EACGolB,GAAiB4D,EAAW,MAAQ,UAEpC5D,GAAiB4D,EAAW,MAAQ,QAGtD,IAAK,IACD,OAAO5D,GAAiB4D,EAAW,WAAa,eACpD,IAAK,KAUD,OARI19C,GADW,IAAX00B,EACUolB,GAAiB4D,EAAW,QAAU,UAC9B,IAAXhpB,EACGolB,GAAiB4D,EAAW,SAAW,WAC1ChpB,EAAS,EACNolB,GAAiB4D,EAAW,SAAW,SAEvC5D,GAAiB4D,EAAW,UAAY,SAG1D,IAAK,IACD,OAAO5D,GAAiB4D,EAAW,WAAa,aACpD,IAAK,KAUD,OARI19C,GADW,IAAX00B,EACUolB,GAAiB4D,EAAW,OAAS,QAC7B,IAAXhpB,EACGolB,GAAiB4D,EAAW,OAAS,SACxChpB,EAAS,EACNolB,GAAiB4D,EAAW,OAAS,OAErC5D,GAAiB4D,EAAW,MAAQ,QAMrDyzE,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,8DAA8D/+B,MAAM,KAClFitH,kBAAkB,EAClBrqF,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,aACJC,GAAK,eACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAW,gBACXC,QAAW,gBAEXC,SAAW,WACP,OAAQtlC,KAAKojC,OACT,KAAK,EACD,MAAO,wBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACD,MAAO,uBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,qBAGnBmC,QAAW,iBACXC,SAAW,WACP,OAAQxlC,KAAKojC,OACT,KAAK,EACD,MAAO,+BACX,KAAK,EACD,MAAO,6BACX,KAAK,EACD,MAAO,8BACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,4BAGnBqC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAS,UACTxR,EAAS24F,EACTlnF,GAASknF,EACTrrG,EAASqrG,EACTjnF,GAASinF,EACTxqH,EAASwqH,EACThnF,GAASgnF,EACT3sF,EAAS2sF,EACT/mF,GAAS+mF,EACT1sF,EAAS0sF,EACT9mF,GAAS8mF,EACTxvF,EAASwvF,EACT7mF,GAAS6mF,GAEbtnF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAjKwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,gFAAgFh/B,MAAM,KAC/F++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,4DAA4D5iC,MAAM,KAC7E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,mBAAmB1iC,MAAM,KACvC2rH,oBAAqB,EACrB7kF,cAAe,QACf7B,KAAM,SAAUv8B,GACZ,MAA2B,MAApBA,EAAM7J,OAAO,IAExBw3B,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAOhU,EAAQ,GAAK,KAAO,MAE/B5I,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,cACVC,QAAU,gBACVC,SAAW,eACXC,QAAU,cACVC,SAAW,wBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,aACPxR,EAAI,eACJyR,GAAK,aACLnkB,EAAI,aACJokB,GAAK,YACLvjC,EAAI,UACJwjC,GAAK,SACL3F,EAAI,WACJ4F,GAAK,UACL3F,EAAI,WACJ4F,GAAK,UACL1I,EAAI,UACJ2I,GAAK,WAETT,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAxDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAI0D,EAAa,CACbC,MAAO,CACHxoF,GAAI,CAAC,UAAW,UAAW,WAC3BnkB,EAAG,CAAC,cAAe,gBACnBokB,GAAI,CAAC,QAAS,SAAU,UACxBvjC,EAAG,CAAC,YAAa,eACjBwjC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,QAAS,SAAU,UACxBC,GAAI,CAAC,SAAU,SAAU,WAE7BooF,uBAAwB,SAAUrgG,EAAQsgG,GACtC,OAAkB,IAAXtgG,EAAesgG,EAAQ,GAAMtgG,GAAU,GAAKA,GAAU,EAAIsgG,EAAQ,GAAKA,EAAQ,IAE1FlC,UAAW,SAAUp+F,EAAQolB,EAAev7C,GACxC,IAAIy2H,EAAUH,EAAWC,MAAMv2H,GAC/B,OAAmB,IAAfA,EAAID,OACGw7C,EAAgBk7E,EAAQ,GAAKA,EAAQ,GAErCtgG,EAAS,IAAMmgG,EAAWE,uBAAuBrgG,EAAQsgG,KAKnE7D,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAQ,mFAAmFh/B,MAAM,KACjG++B,YAAa,2DAA2D/+B,MAAM,KAC9EitH,kBAAkB,EAClBrqF,SAAU,wDAAwD5iC,MAAM,KACxE2iC,cAAe,qCAAqC3iC,MAAM,KAC1D0iC,YAAa,uBAAuB1iC,MAAM,KAC1C2rH,oBAAqB,EACrB9vF,eAAgB,CACZz0B,GAAI,OACJ2+B,IAAM,UACNC,EAAG,aACHC,GAAI,eACJC,IAAK,oBACLC,KAAM,2BAEVX,SAAU,CACNC,QAAS,eACTC,QAAS,eACTC,SAAU,WACN,OAAQtlC,KAAKojC,OACT,KAAK,EACD,MAAO,uBACX,KAAK,EACD,MAAO,qBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,oBAGnBmC,QAAW,cACXC,SAAW,WAUP,MATmB,CACf,4BACA,gCACA,4BACA,0BACA,8BACA,2BACA,4BAEgBxlC,KAAKojC,QAE7BqC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAS,SACTxR,EAAS,mBACTyR,GAASuoF,EAAW/B,UACpB3qG,EAAS0sG,EAAW/B,UACpBvmF,GAASsoF,EAAW/B,UACpB9pH,EAAS6rH,EAAW/B,UACpBtmF,GAASqoF,EAAW/B,UACpBjsF,EAAS,MACT4F,GAASooF,EAAW/B,UACpBhsF,EAAS,QACT4F,GAASmoF,EAAW/B,UACpB9uF,EAAS,SACT2I,GAASkoF,EAAW/B,WAExB5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAnGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAI0D,EAAa,CACbC,MAAO,CACHxoF,GAAI,CAAC,UAAW,UAAW,WAC3BnkB,EAAG,CAAC,cAAe,gBACnBokB,GAAI,CAAC,QAAS,SAAU,UACxBvjC,EAAG,CAAC,YAAa,eACjBwjC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,MAAO,OAAQ,QACpBC,GAAI,CAAC,QAAS,SAAU,UACxBC,GAAI,CAAC,SAAU,SAAU,WAE7BooF,uBAAwB,SAAUrgG,EAAQsgG,GACtC,OAAkB,IAAXtgG,EAAesgG,EAAQ,GAAMtgG,GAAU,GAAKA,GAAU,EAAIsgG,EAAQ,GAAKA,EAAQ,IAE1FlC,UAAW,SAAUp+F,EAAQolB,EAAev7C,GACxC,IAAIy2H,EAAUH,EAAWC,MAAMv2H,GAC/B,OAAmB,IAAfA,EAAID,OACGw7C,EAAgBk7E,EAAQ,GAAKA,EAAQ,GAErCtgG,EAAS,IAAMmgG,EAAWE,uBAAuBrgG,EAAQsgG,KAK/D7D,EAAO9jF,aAAa,UAAW,CACxCvI,OAAQ,mFAAmFh/B,MAAM,KACjG++B,YAAa,2DAA2D/+B,MAAM,KAC9EitH,kBAAkB,EAClBrqF,SAAU,sDAAsD5iC,MAAM,KACtE2iC,cAAe,qCAAqC3iC,MAAM,KAC1D0iC,YAAa,uBAAuB1iC,MAAM,KAC1C2rH,oBAAqB,EACrB9vF,eAAgB,CACZz0B,GAAI,OACJ2+B,IAAM,UACNC,EAAG,aACHC,GAAI,eACJC,IAAK,oBACLC,KAAM,2BAEVX,SAAU,CACNC,QAAS,eACTC,QAAS,eACTC,SAAU,WACN,OAAQtlC,KAAKojC,OACT,KAAK,EACD,MAAO,sBACX,KAAK,EACD,MAAO,qBACX,KAAK,EACD,MAAO,sBACX,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,MAAO,oBAGnBmC,QAAW,cACXC,SAAW,WAUP,MATmB,CACf,2BACA,+BACA,4BACA,0BACA,8BACA,2BACA,4BAEgBxlC,KAAKojC,QAE7BqC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAS,SACTxR,EAAS,mBACTyR,GAASuoF,EAAW/B,UACpB3qG,EAAS0sG,EAAW/B,UACpBvmF,GAASsoF,EAAW/B,UACpB9pH,EAAS6rH,EAAW/B,UACpBtmF,GAASqoF,EAAW/B,UACpBjsF,EAAS,MACT4F,GAASooF,EAAW/B,UACpBhsF,EAAS,QACT4F,GAASmoF,EAAW/B,UACpB9uF,EAAS,SACT2I,GAASkoF,EAAW/B,WAExB5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAnGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,mHAAmHh/B,MAAM,KAClI++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,sEAAsE5iC,MAAM,KACvF2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,mBACVC,QAAU,kBACVC,SAAW,gBACXC,QAAU,iBACVC,SAAW,8BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,iBACPxR,EAAI,qBACJyR,GAAK,cACLnkB,EAAI,SACJokB,GAAK,aACLvjC,EAAI,SACJwjC,GAAK,aACL3F,EAAI,UACJ4F,GAAK,cACL3F,EAAI,UACJ4F,GAAK,cACL1I,EAAI,UACJ2I,GAAK,eAETC,cAAe,mCACfzQ,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACD,UACAA,EAAQ,GACR,QACAA,EAAQ,GACR,aAEA,WAGfgI,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,YAAblW,EACOkW,EACa,UAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,eAAblW,GAA0C,YAAbA,EACvB,IAATkW,EACO,EAEJA,EAAO,QAJX,GAOXnG,uBAAwB,UACxBjL,QAAU,KACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA5EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,oDAAoD5iC,MAAM,KACrE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,0BACNC,KAAO,+BACPgnF,IAAM,mBACNC,KAAO,wBAEX5nF,SAAW,CACPC,QAAS,YACTC,QAAS,eACTE,QAAS,YACTD,SAAU,eACVE,SAAU,iBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,eACPxR,EAAI,iBACJyR,GAAK,cACLnkB,EAAI,WACJokB,GAAK,aACLvjC,EAAI,WACJwjC,GAAK,YACL3F,EAAI,SACJ4F,GAAK,WACL3F,EAAI,WACJ4F,GAAK,aACL1I,EAAI,SACJ2I,GAAK,SAETT,uBAAwB,eACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,IAClC,IAANp0B,GACM,IAANA,EADW,IAEA,MAGpBsnC,KAAO,CACHL,IAAM,EACNC,IAAM,KAzDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,sFAAsFh/B,MAAM,KACrG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,8DAA8D5iC,MAAM,KAC/E2iC,cAAgB,kCAAkC3iC,MAAM,KACxD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,eACVC,QAAU,iBACVC,SAAW,8BACXC,QAAU,YACVC,SAAW,kCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,aACTC,KAAO,WACPxR,EAAI,aACJyR,GAAK,aACLnkB,EAAI,cACJokB,GAAK,YACLvjC,EAAI,aACJwjC,GAAK,WACL3F,EAAI,YACJ4F,GAAK,YACL3F,EAAI,cACJ4F,GAAK,WACL1I,EAAI,cACJ2I,GAAK,YAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA/CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIC,EAAY,CACZ,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,IACL,EAAK,KACNC,EAAY,CACX,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,IACL,IAAK,KAGAF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,0FAA0Fh/B,MAAM,KACzG++B,YAAc,0FAA0F/+B,MAAM,KAC9G4iC,SAAW,8FAA8F5iC,MAAM,KAC/G2iC,cAAgB,mDAAmD3iC,MAAM,KACzE0iC,YAAc,sBAAsB1iC,MAAM,KAC1C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,aACVC,QAAU,YACVC,SAAW,WACXC,QAAU,cACVC,SAAW,yBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,UACPxR,EAAI,oBACJyR,GAAK,eACLnkB,EAAI,cACJokB,GAAK,gBACLvjC,EAAI,gBACJwjC,GAAK,eACL3F,EAAI,WACJ4F,GAAK,aACL3F,EAAI,YACJ4F,GAAK,cACL1I,EAAI,aACJ2I,GAAK,eAETT,uBAAwB,aACxBjL,QAAU,SAAUvM,GAChB,OAAOA,EAAS,OAEpBge,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,iBAAiB,SAAU2R,GAC7C,OAAOg/G,EAAUh/G,OAGzBwnC,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,OAAO,SAAU2R,GACnC,OAAO++G,EAAU/+G,OAIzBu6B,cAAe,wCACfzQ,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,SACAA,EAAO,EACP,SACAA,EAAO,GACP,QACAA,EAAO,GACP,WACAA,EAAO,GACP,WACAA,EAAO,GACP,QAEA,UAGfE,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,UAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,UAAblW,GAAqC,SAAbA,GAEX,YAAbA,GACAkW,GAAQ,GAFRA,EAIAA,EAAO,IAGtBzK,KAAO,CACHL,IAAM,EACNC,IAAM,KArHwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,mEAAmE/+B,MAAM,KACvFitH,kBAAmB,EACnBrqF,SAAW,8DAA8D5iC,MAAM,KAC/E2iC,cAAgB,kCAAkC3iC,MAAM,KACxD0iC,YAAc,qBAAqB1iC,MAAM,KACzC67B,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,sBACNC,KAAO,6BAEXX,SAAW,CACPC,QAAU,YACVC,QAAU,YACVC,SAAW,WACXC,QAAU,aACVC,SAAW,gBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,QACTC,KAAO,YACPxR,EAAI,iBACJyR,GAAK,aACLnkB,EAAI,YACJokB,GAAK,cACLvjC,EAAI,SACJwjC,GAAK,WACL3F,EAAI,UACJ4F,GAAK,YACL3F,EAAI,SACJ4F,GAAK,WACL1I,EAAI,cACJ2I,GAAK,iBAETT,uBAAyB,WACzBjL,QAAU,MACV2L,cAAe,iCACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,WAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,SAAblW,EACAkW,EACa,cAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,aAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,SACAA,EAAO,GACP,OACAA,EAAO,GACP,YACAA,EAAO,GACP,WAEA,UAGfzK,KAAO,CACHL,IAAM,EACNC,IAAM,KA7EwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGfA,EAAO9jF,aAAa,MAAO,CACjCvI,OAAS,0FAA0Fh/B,MAAM,KACzG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,kDAAkD5iC,MAAM,KACnE2iC,cAAgB,iCAAiC3iC,MAAM,KACvD0iC,YAAc,yBAAyB1iC,MAAM,KAC7C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAS,gBACTC,QAAS,gBACTC,SAAU,gBACVC,QAAS,oBACTC,SAAU,+BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EAAI,eACJyR,GAAK,YACLnkB,EAAI,aACJokB,GAAK,YACLvjC,EAAI,WACJwjC,GAAK,UACL3F,EAAI,YACJ4F,GAAK,WACL3F,EAAI,YACJ4F,GAAK,WACL1I,EAAI,YACJ2I,GAAK,YAETT,uBAAwB,uBACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BsnC,KAAO,CACHL,IAAM,EACNC,IAAM,KAvDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIO,EAAW,CACX6C,EAAG,MACHx0G,EAAG,MACH2mE,EAAG,MACHqrC,EAAG,MACHprC,EAAG,MACHgrC,EAAG,MACHM,EAAG,MACHH,EAAG,MACHzF,EAAG,MACHC,EAAG,MACHC,GAAI,MACJC,GAAI,MACJlmC,GAAI,MACJsmC,GAAI,MACJsF,GAAI,MACJ5E,GAAI,MACJoC,GAAI,MACJyC,GAAI,MACJP,GAAI,MACJC,GAAI,MACJO,GAAI,MACJJ,IAAK,OAGAb,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,yEAAyEh/B,MAAM,KACxF++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,yDAAyD5iC,MAAM,KAC1E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,mBACVC,QAAU,mBACVE,QAAU,mBACVD,SAAW,kCACXE,SAAW,oCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,SACPxR,EAAI,eACJ1S,EAAI,YACJokB,GAAK,YACLvjC,EAAI,UACJwjC,GAAK,UACL3F,EAAI,SACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,SACL1I,EAAI,SACJ2I,GAAK,UAETC,cAAe,qBACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAEM,QAAblW,EACOkW,EAAO,EAAIA,EAAOA,EAAO,GACZ,SAAblW,EACAkW,EACa,QAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,UAAblW,EACAkW,EAAO,QADX,GAIXlW,SAAU,SAAUkW,EAAMc,EAAQoL,GAC9B,OAAIlM,EAAO,EACA,MACAA,EAAO,GACP,OACAA,EAAO,GACP,MACAA,EAAO,GACP,QAEA,OAGfnG,uBAAwB,kBACxBjL,QAAS,SAAUvM,GAGf,OAAOA,GAAUg9F,EAASh9F,IAAWg9F,EAF7Bh9F,EAAS,KAEmCg9F,EAD5Ch9F,GAAU,IAAM,IAAM,QAGlCkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAxGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,oGAAoGh/B,MAAM,KACnH++B,YAAc,iEAAiE/+B,MAAM,KACrFitH,kBAAkB,EAClBrqF,SAAW,iDAAiD5iC,MAAM,KAClE2iC,cAAgB,8CAA8C3iC,MAAM,KACpE0iC,YAAc,yBAAyB1iC,MAAM,KAC7C2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,OACL2+B,IAAM,UACNC,EAAI,aACJC,GAAK,cACLC,IAAM,wBACNC,KAAO,oCAEXW,cAAe,wBACf7B,KAAM,SAAUv8B,GACZ,MAAiB,eAAVA,GAEX2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,aAEA,cAGf/G,SAAW,CACPC,QAAU,mBACVC,QAAU,qBACVC,SAAW,qBACXC,QAAU,wBACVC,SAAW,6BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,YACPxR,EAAI,eACJyR,GAAK,YACLnkB,EAAI,SACJokB,GAAK,UACLvjC,EAAI,YACJwjC,GAAK,aACL3F,EAAI,QACJ4F,GAAK,SACL3F,EAAI,UACJ4F,GAAK,WACL1I,EAAI,OACJ2I,GAAK,WAvDyBoV,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,0FAA0Fh/B,MAAM,KACzG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,yDAAyD5iC,MAAM,KAC1E2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,wBAAwB1iC,MAAM,KAC5C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,YACJC,GAAK,eACLC,IAAM,qBACNC,KAAO,6BAEXX,SAAW,CACPC,QAAS,oBACTC,QAAS,gBACTC,SAAU,0BACVC,QAAS,eACTC,SAAU,4BACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,gBACTC,KAAO,mBACPxR,EAAI,gBACJyR,GAAK,aACLnkB,EAAI,eACJokB,GAAK,YACLvjC,EAAI,aACJwjC,GAAK,UACL3F,EAAI,aACJ4F,GAAK,UACL3F,EAAI,cACJ4F,GAAK,WACL1I,EAAI,aACJ2I,GAAK,WAETT,uBAAwB,UACxBjL,QAAU,SAAUvM,GAChB,OAAOA,GAEXkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAlDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIiE,EAAe,iDAAiDtvH,MAAM,KA0B1E,SAASgtH,EAAUp+F,EAAQolB,EAAen+B,EAAQ+hC,GAC9C,IAAI23E,EAiBR,SAAsB3gG,GAClB,IAAI4gG,EAAUr4H,KAAKyN,MAAOgqB,EAAS,IAAQ,KAC3C6gG,EAAMt4H,KAAKyN,MAAOgqB,EAAS,IAAO,IAClCilC,EAAMjlC,EAAS,GACf+6C,EAAO,GAUP,OATI6lD,EAAU,IACV7lD,GAAQ2lD,EAAaE,GAAW,SAEhCC,EAAM,IACN9lD,IAAmB,KAATA,EAAe,IAAM,IAAM2lD,EAAaG,GAAO,OAEzD57D,EAAM,IACN8V,IAAmB,KAATA,EAAe,IAAM,IAAM2lD,EAAaz7D,IAErC,KAAT8V,EAAe,OAASA,EA/Bf+lD,CAAa9gG,GAC9B,OAAQ/Y,GACJ,IAAK,KACD,OAAO05G,EAAa,OACxB,IAAK,KACD,OAAOA,EAAa,OACxB,IAAK,KACD,OAAOA,EAAa,OACxB,IAAK,KACD,OAAOA,EAAa,OACxB,IAAK,KACD,OAAOA,EAAa,OACxB,IAAK,KACD,OAAOA,EAAa,QAqBtBlE,EAAO9jF,aAAa,MAAO,CACjCvI,OAAS,kMAAkMh/B,MAAM,KACjN++B,YAAc,0HAA0H/+B,MAAM,KAC9IitH,kBAAmB,EACnBrqF,SAAW,2DAA2D5iC,MAAM,KAC5E2iC,cAAgB,2DAA2D3iC,MAAM,KACjF0iC,YAAc,2DAA2D1iC,MAAM,KAC/E67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAS,cACTC,QAAS,cACTC,SAAU,MACVC,QAAS,cACTC,SAAU,MACVC,SAAU,KAEdO,aAAe,CACXC,OAnFR,SAAyB7K,GACrB,IAAI2X,EAAO3X,EAQX,OAPA2X,GAAmC,IAA3B3X,EAAOx8B,QAAQ,OACvBm0C,EAAK9vC,MAAM,GAAI,GAAK,OACQ,IAA3Bm4B,EAAOx8B,QAAQ,OAChBm0C,EAAK9vC,MAAM,GAAI,GAAK,OACQ,IAA3Bm4B,EAAOx8B,QAAQ,OAChBm0C,EAAK9vC,MAAM,GAAI,GAAK,MACpB8vC,EAAO,QA4EH7M,KAxER,SAAuB9K,GACnB,IAAI2X,EAAO3X,EAQX,OAPA2X,GAAmC,IAA3B3X,EAAOx8B,QAAQ,OACvBm0C,EAAK9vC,MAAM,GAAI,GAAK,OACQ,IAA3Bm4B,EAAOx8B,QAAQ,OAChBm0C,EAAK9vC,MAAM,GAAI,GAAK,OACQ,IAA3Bm4B,EAAOx8B,QAAQ,OAChBm0C,EAAK9vC,MAAM,GAAI,GAAK,MACpB8vC,EAAO,QAiEHre,EAAI,UACJyR,GAAKwmF,EACL3qG,EAAI,UACJokB,GAAKumF,EACL9pH,EAAI,UACJwjC,GAAKsmF,EACLjsF,EAAI,UACJ4F,GAAKqmF,EACLhsF,EAAI,UACJ4F,GAAKomF,EACL9uF,EAAI,UACJ2I,GAAKmmF,GAET5mF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA9GwBua,CAAQ,EAAQ,O,iBCElD,SAAWovE,GAAU,aAEzB,IAAIO,EAAW,CACX3xG,EAAG,QACH4xG,EAAG,QACHtF,EAAG,QACHuF,GAAI,QACJC,GAAI,QACJnrC,EAAG,OACHorC,EAAG,OACHlF,GAAI,OACJ8C,GAAI,OACJqC,EAAG,QACHprC,EAAG,QACHqrC,IAAK,QACLC,EAAG,OACH3F,EAAG,QACHC,GAAI,QACJ2F,GAAI,QACJC,GAAI,QACJC,GAAI,SAGCjB,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,6EAA6Eh/B,MAAM,KAC5F++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,wDAAwD5iC,MAAM,KACzE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,kBACVC,QAAU,kBACVC,SAAW,2BACXC,QAAU,WACVC,SAAW,yBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,WACTC,KAAO,UACPxR,EAAI,gBACJyR,GAAK,YACLnkB,EAAI,aACJokB,GAAK,YACLvjC,EAAI,WACJwjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,UACJ2I,GAAK,UAET1L,QAAS,SAAUvM,EAAQsiB,GACvB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,KACL,IAAK,KACD,OAAOtiB,EACX,QACI,GAAe,IAAXA,EACA,OAAOA,EAAS,QAEpB,IAAIr0B,EAAIq0B,EAAS,GAGjB,OAAOA,GAAUg9F,EAASrxH,IAAMqxH,EAFxBh9F,EAAS,IAAMr0B,IAEwBqxH,EADvCh9F,GAAU,IAAM,IAAM,SAI1CkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAnFwBua,CAAQ,EAAQ,O,iBCIlD,SAAWovE,GAAU,aA8DzB,SAASqC,EAAoB9+F,EAAQolB,EAAev7C,EAAKm/C,GACrD,IAAI70B,EAAS,CACT,EAAK,CAAC,kBAAmB,mBACzB,GAAM,CAAC6L,EAAS,WAAiBA,EAAS,YAC1C,EAAK,CAAC,UAAY,cAClB,GAAM,CAACA,EAAS,SAAeA,EAAS,UACxC,EAAK,CAAC,UAAY,eAClB,GAAM,CAACA,EAAS,SAAeA,EAAS,UACxC,EAAK,CAAC,UAAY,eAClB,GAAM,CAACA,EAAS,SAAeA,EAAS,UACxC,EAAK,CAAC,SAAW,aACjB,GAAM,CAACA,EAAS,SAAeA,EAAS,UACxC,EAAK,CAAC,QAAU,YAChB,GAAM,CAACA,EAAS,OAAaA,EAAS,SAE1C,OAAOgpB,GAA6B5D,EAAlBjxB,EAAOtqB,GAAK,GAAuCsqB,EAAOtqB,GAAK,GAxE3E4yH,EAAO9jF,aAAa,MAAO,CACjCvI,OAAS,sFAAsFh/B,MAAM,KACrG++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,sDAAsD5iC,MAAM,KACvE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,wBACLC,IAAM,8BACNC,KAAO,0CAEXW,cAAe,aACf7B,KAAO,SAAUv8B,GACb,MAAO,QAAWA,EAAM8J,eAE5B6jB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,MAAS,MAEnBA,EAAU,MAAS,OAGlCjT,SAAW,CACPC,QAAU,cACVC,QAAU,cACVC,SAAW,cACXC,QAAU,eACVC,SAAW,8BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,YACTC,KAAO,OACPxR,EAAI24F,EACJlnF,GAAKknF,EACLrrG,EAAIqrG,EACJjnF,GAAKinF,EACLxqH,EAAIwqH,EACJhnF,GAAKgnF,EACL3sF,EAAI2sF,EACJ/mF,GAAK+mF,EACL1sF,EAAI0sF,EACJ9mF,GAAK8mF,EACLxvF,EAAIwvF,EACJ7mF,GAAK6mF,GAETtnF,uBAAwB,YACxBjL,QAAU,MACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KA7DwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGfA,EAAO9jF,aAAa,MAAO,CACjCvI,OAAS,kFAAkFh/B,MAAM,KACjG++B,YAAc,kFAAkF/+B,MAAM,KACtG4iC,SAAW,kDAAkD5iC,MAAM,KACnE2iC,cAAgB,kDAAkD3iC,MAAM,KACxE0iC,YAAc,kDAAkD1iC,MAAM,KACtE67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAK,WACLC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,cACTC,QAAS,cACTC,SAAU,cACVC,QAAS,eACTC,SAAU,cACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,gBACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,UACLnkB,EAAI,QACJokB,GAAK,WACLvjC,EAAI,OACJwjC,GAAK,cACL3F,EAAI,MACJ4F,GAAK,WACL3F,EAAI,QACJ4F,GAAK,YACL1I,EAAI,QACJ2I,GAAK,aAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,MA9CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGXA,EAAO9jF,aAAa,WAAY,CAC1CvI,OAAS,wFAAwFh/B,MAAM,KACvG++B,YAAc,wFAAwF/+B,MAAM,KAC5G4iC,SAAW,kDAAkD5iC,MAAM,KACnE2iC,cAAgB,kDAAkD3iC,MAAM,KACxE0iC,YAAc,kDAAkD1iC,MAAM,KACtE67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,0BAEXX,SAAW,CACPC,QAAS,eACTC,QAAS,cACTC,SAAU,cACVC,QAAS,gBACTC,SAAU,cACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,iBACTC,KAAO,SACPxR,EAAI,OACJyR,GAAK,UACLnkB,EAAI,QACJokB,GAAK,WACLvjC,EAAI,OACJwjC,GAAK,cACL3F,EAAI,MACJ4F,GAAK,WACL3F,EAAI,QACJ4F,GAAK,YACL1I,EAAI,QACJ2I,GAAK,aAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,MA9CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAQ,sFAAsFh/B,MAC1F,KAEJ++B,YAAa,sFAAsF/+B,MAC/F,KAEJ4iC,SAAU,yDAAyD5iC,MAC/D,KAEJ2iC,cAAe,uBAAuB3iC,MAAM,KAC5C0iC,YAAa,uBAAuB1iC,MAAM,KAC1C67B,eAAgB,CACZz0B,GAAI,QACJ2+B,IAAK,WACLC,EAAG,aACHC,GAAI,0BACJC,IAAK,iCACLC,KAAM,wCAEVW,cAAe,qDACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAGM,eAAblW,GACa,UAAbA,GACa,iBAAbA,EAEOkW,EACa,iBAAblW,GAA4C,QAAbA,EAC/BkW,EAAO,GAEPA,GAAQ,GAAKA,EAAOA,EAAO,IAG1ClW,SAAU,SAAUkW,EAAMc,EAAQoL,GAC9B,IAAIzM,EAAY,IAAPO,EAAac,EACtB,OAAIrB,EAAK,IACE,aACAA,EAAK,IACL,QACAA,EAAK,KACL,eACAA,EAAK,KACL,MACAA,EAAK,KACL,eAEA,OAGfxG,SAAU,CACNC,QAAS,mBACTC,QAAS,kBACTC,SAAU,4BACVC,QAAS,eACTC,SAAU,6BACVC,SAAU,KAEdO,aAAc,CACVC,OAAQ,WACRC,KAAM,WACNxR,EAAG,eACHyR,GAAI,YACJnkB,EAAG,YACHokB,GAAI,WACJvjC,EAAG,YACHwjC,GAAI,WACJ3F,EAAG,UACH4F,GAAI,SACJ3F,EAAG,UACH4F,GAAI,SACJ1I,EAAG,UACH2I,GAAI,UAGRT,uBAAwB,6BACxBjL,QAAS,SAAUvM,EAAQsiB,GACvB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOtiB,EAAS,QACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,SACpB,QACI,OAAOA,IAGnBge,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCm5C,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCknC,KAAM,CAEFL,IAAK,EACLC,IAAK,KA3GyBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAOzB,SAASkB,EAAuB39F,EAAQolB,EAAev7C,GACnD,IALkBoqB,EACd2pG,EAYJ,MAAY,MAAR/zH,EACOu7C,EAAgB,UAAY,UAEtB,MAARv7C,EACEu7C,EAAgB,SAAW,SAG3BplB,EAAS,KApBF/L,GAoB6B+L,EAnB3C49F,EAIS,CACT,GAAMx4E,EAAgB,yBAA2B,yBACjD,GAAMA,EAAgB,yBAA2B,yBACjD,GAAMA,EAAgB,sBAAwB,sBAC9C,GAAM,gBACN,GAAM,wBACN,GAAM,kBAS8Bv7C,GAnBvBuH,MAAM,KAChB6iB,EAAM,IAAO,GAAKA,EAAM,KAAQ,GAAK2pG,EAAM,GAAM3pG,EAAM,IAAM,GAAKA,EAAM,IAAM,IAAMA,EAAM,IAAM,IAAMA,EAAM,KAAO,IAAM2pG,EAAM,GAAKA,EAAM,IA0CrJ,SAASmD,EAAqBh1H,GAC1B,OAAO,WACH,OAAOA,EAAM,KAAwB,KAAjB0F,KAAKokC,QAAiB,IAAM,IAAM,QAIrD4mF,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,CACL,OAAU,yFAAyFh/B,MAAM,KACzG,WAAc,iGAAiGA,MAAM,MAEzH++B,YAAc,yDAAyD/+B,MAAM,KAC7E4iC,SAjCJ,SAA6BvgB,EAAGU,GAC5B,IAAI6f,EAAW,CACX,WAAc,0DAA0D5iC,MAAM,KAC9E,WAAc,0DAA0DA,MAAM,KAC9E,SAAY,4DAA4DA,MAAM,MAGlF,OAAU,IAANqiB,EACOugB,EAAqB,WAAEt/B,MAAM,EAAG,GAAGD,OAAOu/B,EAAqB,WAAEt/B,MAAM,EAAG,IAEhF+e,EASEugB,EALQ,qBAAuBp3B,KAAKuX,GACvC,aACC,sCAAwCvX,KAAKuX,GAC1C,WACA,cACkBV,EAAEohB,OARjBb,EAAqB,YAuBhCD,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,iBACLC,IAAM,wBACNC,KAAO,+BAEXX,SAAW,CACPC,QAASkqF,EAAqB,cAC9BjqF,QAASiqF,EAAqB,YAC9B/pF,QAAS+pF,EAAqB,WAC9BhqF,SAAUgqF,EAAqB,cAC/B9pF,SAAU,WACN,OAAQxlC,KAAKojC,OACT,KAAK,EACL,KAAK,EACL,KAAK,EACL,KAAK,EACD,OAAOksF,EAAqB,oBAAoB53H,KAAKsI,MACzD,KAAK,EACL,KAAK,EACL,KAAK,EACD,OAAOsvH,EAAqB,qBAAqB53H,KAAKsI,QAGlEylC,SAAU,KAEdO,aAAe,CACXC,OAAS,QACTC,KAAO,UACPxR,EAAI,kBACJyR,GAAK+lF,EACLlqG,EAAIkqG,EACJ9lF,GAAK8lF,EACLrpH,EAAI,SACJwjC,GAAK6lF,EACLxrF,EAAI,OACJ4F,GAAK4lF,EACLvrF,EAAI,SACJ4F,GAAK2lF,EACLruF,EAAI,MACJ2I,GAAK0lF,GAGTzlF,cAAe,wBACf7B,KAAM,SAAUv8B,GACZ,MAAO,iBAAiB8C,KAAK9C,IAEjC2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,EACA,OACAA,EAAO,GACP,QACAA,EAAO,GACP,MAEA,UAGfnG,uBAAwB,iBACxBjL,QAAS,SAAUvM,EAAQsiB,GACvB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACL,IAAK,IACL,IAAK,IACD,OAAOtiB,EAAS,KACpB,IAAK,IACD,OAAOA,EAAS,MACpB,QACI,OAAOA,IAGnBkT,KAAO,CACHL,IAAM,EACNC,IAAM,KA9IwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGzB,IAAIrsF,EAAS,CACT,QACA,QACA,OACA,QACA,MACA,MACA,SACA,OACA,QACA,SACA,QACA,SAEAuP,EAAO,CACP,QACA,MACA,OACA,MACA,SACA,OACA,QAGK88E,EAAO9jF,aAAa,KAAM,CAC/BvI,OAASA,EACTD,YAAcC,EACd4D,SAAW2L,EACX5L,cAAgB4L,EAChB7L,YAAc6L,EACd1S,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXW,cAAe,UACf7B,KAAO,SAAUv8B,GACb,MAAO,QAAUA,GAErB2tB,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,OAAIlM,EAAO,GACA,MAEJ,OAEX/G,SAAW,CACPC,QAAU,eACVC,QAAU,eACVC,SAAW,iBACXC,QAAU,sBACVC,SAAW,yBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,SACPxR,EAAI,YACJyR,GAAK,WACLnkB,EAAI,UACJokB,GAAK,SACLvjC,EAAI,YACJwjC,GAAK,WACL3F,EAAI,SACJ4F,GAAK,QACL3F,EAAI,UACJ4F,GAAK,SACL1I,EAAI,UACJ2I,GAAK,UAET+F,SAAU,SAAU/2B,GAChB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCm5C,WAAY,SAAUl+B,GAClB,OAAOA,EAAOjb,QAAQ,KAAM,MAEhCknC,KAAO,CACHL,IAAM,EACNC,IAAM,KAtFwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,yEAAyEh/B,MAAM,KACxF++B,YAAc,kDAAkD/+B,MAAM,KACtE4iC,SAAW,uDAAuD5iC,MAAM,KACxE2iC,cAAgB,8BAA8B3iC,MAAM,KACpD0iC,YAAc,uBAAuB1iC,MAAM,KAC3C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,uBACVC,QAAU,mBACVC,SAAW,2BACXC,QAAU,sBACVC,SAAW,mCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,gBACTC,KAAO,oBACPxR,EAAI,SACJyR,GAAK,YACLnkB,EAAI,aACJokB,GAAK,YACLvjC,EAAI,WACJwjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,UACJ2I,GAAK,UAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA9CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGZA,EAAO9jF,aAAa,UAAW,CACxCvI,OAAS,6EAA6Eh/B,MAAM,KAC5F++B,YAAc,oDAAoD/+B,MAAM,KACxE4iC,SAAW,+DAA+D5iC,MAAM,KAChF2iC,cAAgB,kCAAkC3iC,MAAM,KACxD0iC,YAAc,yBAAyB1iC,MAAM,KAC7C67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,uBACVC,QAAU,mBACVC,SAAW,2BACXC,QAAU,uBACVC,SAAW,oCACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,kBACTC,KAAO,qBACPxR,EAAI,SACJyR,GAAK,YACLnkB,EAAI,aACJokB,GAAK,YACLvjC,EAAI,WACJwjC,GAAK,UACL3F,EAAI,UACJ4F,GAAK,SACL3F,EAAI,SACJ4F,GAAK,QACL1I,EAAI,UACJ2I,GAAK,UAET/E,KAAO,CACHL,IAAM,EACNC,IAAM,KA9CwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,qGAAqGh/B,MAAM,KACpH++B,YAAc,8DAA8D/+B,MAAM,KAClFitH,kBAAmB,EACnBrqF,SAAW,yDAAyD5iC,MAAM,KAC1E2iC,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,uBAAuB1iC,MAAM,KAC3C2rH,oBAAqB,EACrB7kF,cAAe,SACf7B,KAAO,SAAUv8B,GACb,MAAO,QAAQ8C,KAAK9C,IAExB2tB,SAAW,SAAUoO,EAAOE,EAAS8T,GACjC,OAAIhU,EAAQ,GACDgU,EAAU,KAAO,KAEjBA,EAAU,KAAO,MAGhC5c,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,oBACLC,IAAM,0BACNC,KAAO,gCACP5tC,EAAI,YACJ20H,GAAK,aACLC,IAAM,mBACNC,KAAO,yBAEX5nF,SAAW,CACPC,QAAS,mBACTC,QAAS,oBACTC,SAAU,yBACVC,QAAS,mBACTC,SAAU,yBACVC,SAAU,KAEdO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EAAI,WACJyR,GAAK,UACLnkB,EAAI,WACJokB,GAAK,UACLvjC,EAAI,UACJwjC,GAAK,SACL3F,EAAI,WACJ4F,GAAK,UACL3F,EAAI,YACJ4F,GAAK,WACL1I,EAAI,UACJ2I,GAAK,UAETT,uBAAwB,UACxBjL,QAAU,SAAUvM,GAChB,OAAOA,GAEXkT,KAAO,CACHL,IAAM,EACNC,IAAM,KAnEwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGXA,EAAO9jF,aAAa,WAAY,CAC1CvI,OAAS,6GAA6Gh/B,MAAM,KAC5H++B,YAAc,8DAA8D/+B,MAAM,KAClFitH,kBAAmB,EACnBrqF,SAAW,yEAAyE5iC,MAAM,KAC1F2iC,cAAgB,qCAAqC3iC,MAAM,KAC3D0iC,YAAc,4BAA4B1iC,MAAM,KAChD2rH,oBAAqB,EACrB9vF,eAAiB,CACbz0B,GAAK,QACL4+B,EAAI,aACJC,GAAK,cACLC,IAAM,oBACNC,KAAO,2BAEXX,SAAW,CACPC,QAAU,kBACVC,QAAU,sBACVC,SAAW,eACXC,QAAU,uBACVC,SAAW,uBACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,UACPxR,EAAI,mBACJyR,GAAK,eACLnkB,EAAI,aACJokB,GAAK,eACLvjC,EAAI,YACJwjC,GAAK,YACL3F,EAAI,SACJ4F,GAAK,WACL3F,EAAI,YACJ4F,GAAK,cACL1I,EAAI,UACJ2I,GAAK,aAETT,uBAAwB,uBACxBjL,QAAU,SAAUvM,GAChB,IAAIp0B,EAAIo0B,EAAS,GAKjB,OAAOA,GAJiC,MAAvBA,EAAS,IAAM,IAAa,KAClC,IAANp0B,EAAW,KACL,IAANA,EAAW,KACL,IAANA,EAAW,KAAO,OAG3BsnC,KAAO,CACHL,IAAM,EACNC,IAAM,KAxDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGhBA,EAAO9jF,aAAa,KAAM,CAC/BvI,OAAS,0FAA0Fh/B,MAAM,KACzG++B,YAAc,gEAAgE/+B,MAAM,KACpF4iC,SAAW,uDAAuD5iC,MAAM,KACxE2iC,cAAgB,sCAAsC3iC,MAAM,KAC5D0iC,YAAc,2BAA2B1iC,MAAM,KAC/C67B,eAAiB,CACbz0B,GAAK,SACL2+B,IAAM,YACNC,EAAI,aACJC,GAAK,cACLC,IAAM,qBACNC,KAAO,4BAEXX,SAAW,CACPC,QAAU,gBACVC,QAAU,eACVC,SAAW,8BACXC,QAAU,eACVC,SAAW,6BACXC,SAAW,KAEfO,aAAe,CACXC,OAAS,SACTC,KAAO,WACPxR,EAAI,oBACJyR,GAAI,WACJnkB,EAAI,cACJokB,GAAK,aACLvjC,EAAI,cACJwjC,GAAK,aACL3F,EAAI,WACJ4F,GAAK,UACL3F,EAAI,WACJ4F,GAAK,UACL1I,EAAI,YACJ2I,GAAK,YAETT,uBAAyB,gBACzBjL,QAAU,UACV2G,KAAO,CACHL,IAAM,EACNC,IAAM,KAhDwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wCAAwCh/B,MAAM,KACvD++B,YAAc,yCAAyC/+B,MAAM,KAC7D4iC,SAAW,8BAA8B5iC,MAAM,KAC/C2iC,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,gBAAgB1iC,MAAM,KACpC67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,YACLC,IAAM,kBACNC,KAAO,sBACP5tC,EAAI,WACJ20H,GAAK,YACLC,IAAM,kBACNC,KAAO,uBAEXtmF,cAAe,oBACf2F,aAAc,SAAUF,EAAMlW,GAI1B,OAHa,KAATkW,IACAA,EAAO,GAEM,OAAblW,GAAkC,OAAbA,GACJ,OAAbA,EACGkW,EACa,OAAblW,GAAkC,OAAbA,EACrBkW,EAAO,GAGPA,GAAQ,GAAKA,EAAOA,EAAO,IAG1ClW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,IAAIzM,EAAY,IAAPO,EAAac,EACtB,OAAIrB,EAAK,IACE,KACAA,EAAK,IACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KAEA,MAGfxG,SAAW,CACPC,QAAU,SACVC,QAAU,SACVC,SAAW,YACXC,QAAU,SACVC,SAAW,YACXC,SAAW,KAEfM,uBAAwB,iBACxBjL,QAAU,SAAUvM,EAAQsiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOtiB,EAAS,IACpB,IAAK,IACD,OAAOA,EAAS,IACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,IACpB,QACI,OAAOA,IAGnByX,aAAe,CACXC,OAAS,MACTC,KAAO,MACPxR,EAAI,KACJyR,GAAK,OACLnkB,EAAI,OACJokB,GAAK,QACLvjC,EAAI,OACJwjC,GAAK,QACL3F,EAAI,MACJ4F,GAAK,OACL3F,EAAI,OACJ4F,GAAK,QACL1I,EAAI,MACJ2I,GAAK,QAET/E,KAAO,CAEHL,IAAM,EACNC,IAAM,KAlGwBua,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wCAAwCh/B,MAAM,KACvD++B,YAAc,yCAAyC/+B,MAAM,KAC7D4iC,SAAW,8BAA8B5iC,MAAM,KAC/C2iC,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,gBAAgB1iC,MAAM,KACpC67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,YACLC,IAAM,kBACNC,KAAO,sBACP5tC,EAAI,WACJ20H,GAAK,YACLC,IAAM,kBACNC,KAAO,uBAEXtmF,cAAe,oBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,OAAblW,GAAkC,OAAbA,GAAkC,OAAbA,EACnCkW,EACa,OAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,OAAblW,GAAkC,OAAbA,EACrBkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,IAAIzM,EAAY,IAAPO,EAAac,EACtB,OAAIrB,EAAK,IACE,KACAA,EAAK,IACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KAEA,MAGfxG,SAAW,CACPC,QAAU,SACVC,QAAU,SACVC,SAAW,YACXC,QAAU,SACVC,SAAW,YACXC,SAAW,KAEfM,uBAAwB,iBACxBjL,QAAU,SAAUvM,EAAQsiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOtiB,EAAS,IACpB,IAAK,IACD,OAAOA,EAAS,IACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,IACpB,QACI,OAAOA,IAGnByX,aAAe,CACXC,OAAS,MACTC,KAAO,MACPxR,EAAI,KACJyR,GAAK,OACLnkB,EAAI,OACJokB,GAAK,QACLvjC,EAAI,OACJwjC,GAAK,QACL3F,EAAI,MACJ4F,GAAK,OACL3F,EAAI,OACJ4F,GAAK,QACL1I,EAAI,MACJ2I,GAAK,UA3FyBoV,CAAQ,EAAQ,O,iBCGlD,SAAWovE,GAAU,aAGdA,EAAO9jF,aAAa,QAAS,CACpCvI,OAAS,wCAAwCh/B,MAAM,KACvD++B,YAAc,yCAAyC/+B,MAAM,KAC7D4iC,SAAW,8BAA8B5iC,MAAM,KAC/C2iC,cAAgB,uBAAuB3iC,MAAM,KAC7C0iC,YAAc,gBAAgB1iC,MAAM,KACpC67B,eAAiB,CACbz0B,GAAK,QACL2+B,IAAM,WACNC,EAAI,aACJC,GAAK,YACLC,IAAM,kBACNC,KAAO,sBACP5tC,EAAI,WACJ20H,GAAK,YACLC,IAAM,kBACNC,KAAO,uBAEXtmF,cAAe,oBACf2F,aAAe,SAAUF,EAAMlW,GAI3B,OAHa,KAATkW,IACAA,EAAO,GAEM,OAAblW,GAAkC,OAAbA,GAAkC,OAAbA,EACnCkW,EACa,OAAblW,EACAkW,GAAQ,GAAKA,EAAOA,EAAO,GACd,OAAblW,GAAkC,OAAbA,EACrBkW,EAAO,QADX,GAIXlW,SAAW,SAAUkW,EAAMc,EAAQoL,GAC/B,IAAIzM,EAAY,IAAPO,EAAac,EACtB,OAAIrB,EAAK,IACE,KACAA,EAAK,IACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KACAA,EAAK,KACL,KAEA,MAGfxG,SAAW,CACPC,QAAU,UACVC,QAAU,UACVC,SAAW,aACXC,QAAU,UACVC,SAAW,aACXC,SAAW,KAEfM,uBAAwB,iBACxBjL,QAAU,SAAUvM,EAAQsiB,GACxB,OAAQA,GACJ,IAAK,IACL,IAAK,IACL,IAAK,MACD,OAAOtiB,EAAS,IACpB,IAAK,IACD,OAAOA,EAAS,IACpB,IAAK,IACL,IAAK,IACD,OAAOA,EAAS,IACpB,QACI,OAAOA,IAGnByX,aAAe,CACXC,OAAS,MACTC,KAAO,MACPxR,EAAI,KACJyR,GAAK,OACLnkB,EAAI,OACJokB,GAAK,QACLvjC,EAAI,OACJwjC,GAAK,QACL3F,EAAI,MACJ4F,GAAK,OACL3F,EAAI,OACJ4F,GAAK,QACL1I,EAAI,MACJ2I,GAAK,UA3FyBoV,CAAQ,EAAQ,O,6BCJ1D,4xEAiBI2zE,EAAeC,EAjBnB,6CAoBA,GAAsB,oBAAXjsH,QAAwB,CACjC,IAAIyC,EAAQ,IAAIzC,QAChBgsH,EAAgB,SAAUn3H,GAAO,OAAO4N,EAAMpL,IAAIxC,IAClDo3H,EAAa,SAAUp3H,EAAKkD,GAE1B,OADA0K,EAAM9C,IAAI9K,EAAKkD,GACRA,OAEJ,CACL,IAAIm0H,EAAU,GAAoBC,EAAW,EAC7CH,EAAgB,SAAUn3H,GACxB,IAAK,IAAIH,EAAI,EAAGA,EAAIw3H,EAAQt3H,OAAQF,GAAK,EACrC,GAAIw3H,EAAQx3H,IAAMG,EAAO,OAAOq3H,EAAQx3H,EAAI,IAElDu3H,EAAa,SAAUp3H,EAAKkD,GAG1B,OAR4B,IAMxBo0H,IAAyBA,EAAW,GACxCD,EAAQC,KAAct3H,EACfq3H,EAAQC,KAAcp0H,GAIjC,IAAIq0H,EAAO,SAAcxrD,EAAMnG,EAAKoG,EAAOF,GACzClkE,KAAKmkE,KAAOA,EAAMnkE,KAAKg+D,IAAMA,EAAKh+D,KAAKokE,MAAQA,EAAOpkE,KAAKkkE,OAASA,GAOlEmyC,EAAW,SAAkBjzC,EAAO0B,EAAQj1D,EAAK+/G,GAEnD5vH,KAAKojE,MAAQA,EAEbpjE,KAAK8kE,OAASA,EAGd9kE,KAAK6P,IAAMA,EAGX7P,KAAK4vH,SAAWA,GA2MlB,SAASC,EAAcrtE,GACrB,GAAIA,EAAMstE,SAAY,OAAOttE,EAAMstE,SAAS7sH,QAE5C,IADA,IAAIpJ,EAAS,GACJ5B,EAAI,EAAGA,EAAIuqD,EAAMg4D,QAASviH,IAAO4B,EAAO0U,KAAK,GACtD,OAAO1U,EAKT,SAASk2H,EAAan2D,EAAKo2D,GACzB,IAAIC,EAAYr2D,EAAI/oC,aAAa,iBAC7Bq/F,EAASD,GAAa,eAAe9kH,KAAK8kH,GAAaA,EAAUtwH,MAAM,KAAKkQ,KAAI,SAAU6kB,GAAK,OAAO3rB,OAAO2rB,MAAS,KACtH8lF,EAAUzxG,OAAO6wD,EAAI/oC,aAAa,YAAc,GAChDh3B,EAAS,CACX2gH,QAASA,EACTD,QAASxxG,OAAO6wD,EAAI/oC,aAAa,YAAc,GAC/Ci/F,SAAUI,GAAUA,EAAO/3H,QAAUqiH,EAAU0V,EAAS,MAE1D,IAAK,IAAI7wG,KAAQ2wG,EAAY,CAC3B,IAAIh+E,EAASg+E,EAAW3wG,GAAMytE,WAC1BxxF,EAAQ02C,GAAUA,EAAO4nB,GAChB,MAATt+D,IAAiBzB,EAAOwlB,GAAQ/jB,GAEtC,OAAOzB,EAGT,SAASghH,EAAax8D,EAAM2xE,GAC1B,IAAIxtE,EAAQ,GAKZ,IAAK,IAAInjC,KAJiB,GAAtBg/B,EAAKmE,MAAMg4D,UAAgBh4D,EAAMg4D,QAAUn8D,EAAKmE,MAAMg4D,SAChC,GAAtBn8D,EAAKmE,MAAM+3D,UAAgB/3D,EAAM+3D,QAAUl8D,EAAKmE,MAAM+3D,SACtDl8D,EAAKmE,MAAMstE,WACXttE,EAAM,iBAAmBnE,EAAKmE,MAAMstE,SAAS/vH,KAAK,MACrCiwH,EAAY,CAC3B,IAAI1sG,EAAS0sG,EAAW3wG,GAAM2tE,WAC1B1pE,GAAUA,EAAO+6B,EAAKmE,MAAMnjC,GAAOmjC,GAEzC,OAAOA,EAiCT,SAAS23D,EAAWn+G,GAClB,IAAIg0H,EAAah0H,EAAQ4wF,gBAAkB,GACvCujC,EAAY,CACd3V,QAAS,CAAC31G,QAAS,GACnB01G,QAAS,CAAC11G,QAAS,GACnBirH,SAAU,CAACjrH,QAAS,OAEtB,IAAK,IAAIwa,KAAQ2wG,EACbG,EAAU9wG,GAAQ,CAACxa,QAASmrH,EAAW3wG,GAAMxa,SAEjD,MAAO,CACL03D,MAAO,CACLz1D,QAAS,aACTmvG,UAAW,QACXr3D,WAAW,EACXoZ,MAAOh8D,EAAQ0wF,WACfnyB,SAAU,CAAC,CAACzhD,IAAK,UACjB6oD,MAAO,WAAmB,MAAO,CAAC,QAAS,CAAC,QAAS,MAEvDyuD,UAAW,CACTtpH,QAAS,+BACTmvG,UAAW,MACX17C,SAAU,CAAC,CAACzhD,IAAK,OACjB6oD,MAAO,WAAmB,MAAO,CAAC,KAAM,KAE1C0uD,WAAY,CACVvpH,QAAS9K,EAAQ2wF,YACjBnqC,MAAO2tE,EACPla,UAAW,OACXr3D,WAAW,EACX2b,SAAU,CAAC,CAACzhD,IAAK,KAAMshD,SAAU,SAAUR,GAAO,OAAOm2D,EAAan2D,EAAKo2D,MAC3EruD,MAAO,SAAetjB,GAAQ,MAAO,CAAC,KAAMw8D,EAAax8D,EAAM2xE,GAAa,KAE9EM,aAAc,CACZxpH,QAAS9K,EAAQ2wF,YACjBnqC,MAAO2tE,EACPla,UAAW,cACXr3D,WAAW,EACX2b,SAAU,CAAC,CAACzhD,IAAK,KAAMshD,SAAU,SAAUR,GAAO,OAAOm2D,EAAan2D,EAAKo2D,MAC3EruD,MAAO,SAAetjB,GAAQ,MAAO,CAAC,KAAMw8D,EAAax8D,EAAM2xE,GAAa,MAKlF,SAASja,EAAepsD,GACtB,IAAI9vD,EAAS8vD,EAAO2I,OAAOyjD,eAC3B,IAAKl8G,EAEH,IAAK,IAAIjD,KADTiD,EAAS8vD,EAAO2I,OAAOyjD,eAAiB,GACvBpsD,EAAOoF,MAAO,CAC7B,IAAIlwD,EAAO8qD,EAAOoF,MAAMn4D,GAAO25H,EAAO1xH,EAAK8/C,KAAKs3D,UAC5Csa,IAAQ12H,EAAO02H,GAAQ1xH,GAG/B,OAAOhF,EAhUTw8G,EAAS9+G,UAAU+/G,SAAW,SAAmBp+F,GAC/C,IAAK,IAAIjhB,EAAI,EAAGA,EAAI+H,KAAK6P,IAAI1X,OAAQF,IAAK,CACxC,IAAIy2D,EAAS1uD,KAAK6P,IAAI5X,GACtB,GAAIy2D,GAAUx1C,EAAd,CAGA,IAFA,IAAIirD,EAAOlsE,EAAI+H,KAAKojE,MAAOpF,EAAO/lE,EAAI+H,KAAKojE,MAAS,EAChDgB,EAAQD,EAAO,EAAGD,EAASlG,EAAM,EAC5BprD,EAAI,EAAGwxD,EAAQpkE,KAAKojE,OAASpjE,KAAK6P,IAAI5X,EAAI2a,IAAM87C,EAAQ97C,IAAOwxD,IACxE,IAAK,IAAI2zB,EAAM,EAAG7zB,EAASlkE,KAAK8kE,QAAU9kE,KAAK6P,IAAI5X,EAAK+H,KAAKojE,MAAQ20B,IAASrpC,EAAQqpC,IAAS7zB,IAC/F,OAAO,IAAIyrD,EAAKxrD,EAAMnG,EAAKoG,EAAOF,IAEpC,MAAM,IAAIvd,WAAW,uBAAyBztC,EAAM,WAKtDm9F,EAAS9+G,UAAUi5H,SAAW,SAAmBt3G,GAC/C,IAAK,IAAIjhB,EAAI,EAAGA,EAAI+H,KAAK6P,IAAI1X,OAAQF,IACjC,GAAI+H,KAAK6P,IAAI5X,IAAMihB,EAAO,OAAOjhB,EAAI+H,KAAKojE,MAC9C,MAAM,IAAIzc,WAAW,uBAAyBztC,EAAM,WAMtDm9F,EAAS9+G,UAAUk5H,SAAW,SAAmBv3G,EAAKqtD,EAAM92D,GAC1D,IAAI2vC,EAAMp/C,KAAKs3G,SAASp+F,GAClBirD,EAAO/kB,EAAI+kB,KACXC,EAAQhlB,EAAIglB,MACZpG,EAAM5e,EAAI4e,IACVkG,EAAS9kB,EAAI8kB,OACnB,MAAY,SAARqC,GACE92D,EAAM,EAAY,GAAR00D,EAAYC,GAASpkE,KAAKojE,OAAgB,KACjDpjE,KAAK6P,IAAImuD,EAAMh+D,KAAKojE,OAAS3zD,EAAM,EAAI00D,EAAO,EAAIC,KAErD30D,EAAM,EAAW,GAAPuuD,EAAWkG,GAAUlkE,KAAK8kE,QAAiB,KAClD9kE,KAAK6P,IAAIs0D,EAAOnkE,KAAKojE,OAAS3zD,EAAM,EAAIuuD,EAAM,EAAIkG,KAM7DmyC,EAAS9+G,UAAUm/G,YAAc,SAAsBx8G,EAAGC,GACxD,IAAIilD,EAAMp/C,KAAKs3G,SAASp9G,GAClBw2H,EAAQtxE,EAAI+kB,KACZwsD,EAASvxE,EAAIglB,MACbwsD,EAAOxxE,EAAI4e,IACX6yD,EAAUzxE,EAAI8kB,OAChBtgB,EAAQ5jD,KAAKs3G,SAASn9G,GACpB22H,EAAQltE,EAAMugB,KACd4sD,EAASntE,EAAMwgB,MACf4sD,EAAOptE,EAAMoa,IACbizD,EAAUrtE,EAAMsgB,OACtB,OAAO,IAAIyrD,EAAK74H,KAAKkK,IAAI0vH,EAAOI,GAAQh6H,KAAKkK,IAAI4vH,EAAMI,GACvCl6H,KAAKkwB,IAAI2pG,EAAQI,GAASj6H,KAAKkwB,IAAI6pG,EAASI,KAM9D5a,EAAS9+G,UAAUi/G,YAAc,SAAsB1yC,GAErD,IADA,IAAIjqE,EAAS,GAAIuxD,EAAO,GACfssD,EAAM5zC,EAAK9F,IAAK05C,EAAM5zC,EAAKI,OAAQwzC,IAC1C,IAAK,IAAIhR,EAAM5iC,EAAKK,KAAMuiC,EAAM5iC,EAAKM,MAAOsiC,IAAO,CACjD,IAAIj6F,EAAQirG,EAAM13G,KAAKojE,MAAQsjC,EAAKxtF,EAAMlZ,KAAK6P,IAAIpD,GAC/C2+C,EAAKlyC,KACTkyC,EAAKlyC,IAAO,EACPwtF,GAAO5iC,EAAKK,MAASuiC,GAAO1mG,KAAK6P,IAAIpD,EAAQ,IAAMyM,GACnDw+F,GAAO5zC,EAAK9F,KAAQ05C,GAAO13G,KAAK6P,IAAIpD,EAAQzM,KAAKojE,QAAUlqD,GAC5Drf,EAAO0U,KAAK2K,IAGpB,OAAOrf,GAMTw8G,EAAS9+G,UAAU25H,WAAa,SAAqBxZ,EAAKhR,EAAKnqC,GAC7D,IAAK,IAAItkE,EAAI,EAAGk5H,EAAW,GAAIl5H,IAAK,CAClC,IAAIm5H,EAASD,EAAW50D,EAAMtU,MAAMhwD,GAAGypD,SACvC,GAAIzpD,GAAKy/G,EAAK,CAGZ,IAFA,IAAIjrG,EAAQi6F,EAAMgR,EAAM13G,KAAKojE,MAAOiuD,GAAe3Z,EAAM,GAAK13G,KAAKojE,MAE5D32D,EAAQ4kH,GAAerxH,KAAK6P,IAAIpD,GAAS0kH,GAAY1kH,IAC5D,OAAOA,GAAS4kH,EAAcD,EAAS,EAAIpxH,KAAK6P,IAAIpD,GAEtD0kH,EAAWC,IAMf/a,EAASz7G,IAAM,SAAc2hE,GAC3B,OAAOgzD,EAAchzD,IAAUizD,EAAWjzD,EAI5C,SAAoBA,GAClB,GAAiC,SAA7BA,EAAM19D,KAAK8/C,KAAKs3D,UAAwB,MAAM,IAAItvD,WAAW,qBAAuB4V,EAAM19D,KAAKjI,MAGnG,IAFA,IAAIwsE,EA2DN,SAAmB7G,GAEjB,IADA,IAAI6G,GAAS,EAAGkuD,GAAa,EACpB5Z,EAAM,EAAGA,EAAMn7C,EAAMtd,WAAYy4D,IAAO,CAC/C,IAAI6Z,EAAUh1D,EAAMtU,MAAMyvD,GAAM4C,EAAW,EAC3C,GAAIgX,EAAc,IAAK,IAAI1+G,EAAI,EAAGA,EAAI8kG,EAAK9kG,IAEzC,IADA,IAAI4+G,EAAUj1D,EAAMtU,MAAMr1C,GACjB3a,EAAI,EAAGA,EAAIu5H,EAAQvyE,WAAYhnD,IAAK,CAC3C,IAAIo/G,EAAOma,EAAQvpE,MAAMhwD,GACrB2a,EAAIykG,EAAK70D,MAAM+3D,QAAU7C,IAAO4C,GAAYjD,EAAK70D,MAAMg4D,SAG/D,IAAK,IAAI12D,EAAM,EAAGA,EAAMytE,EAAQtyE,WAAY6E,IAAO,CACjD,IAAI2tE,EAASF,EAAQtpE,MAAMnE,GAC3Bw2D,GAAYmX,EAAOjvE,MAAMg4D,QACrBiX,EAAOjvE,MAAM+3D,QAAU,IAAK+W,GAAa,IAEjC,GAAVluD,EACAA,EAAQk3C,EACHl3C,GAASk3C,IACdl3C,EAAQtsE,KAAKkwB,IAAIo8C,EAAOk3C,IAE9B,OAAOl3C,EAhFKsuD,CAAUn1D,GAAQuI,EAASvI,EAAMtd,WACzCpvC,EAAM,GAAI8hH,EAAS,EAAG/B,EAAW,KAAMgC,EAAY,GAC9C35H,EAAI,EAAG0U,EAAIy2D,EAAQ0B,EAAQ7sE,EAAI0U,EAAG1U,IAAO4X,EAAI5X,GAAK,EAE3D,IAAK,IAAIy/G,EAAM,EAAGx+F,EAAM,EAAGw+F,EAAM5yC,EAAQ4yC,IAAO,CAC9C,IAAI6Z,EAAUh1D,EAAMtU,MAAMyvD,GAC1Bx+F,IACA,IAAK,IAAI4qC,EAAM,GAAIA,IAAO,CACxB,KAAO6tE,EAAS9hH,EAAI1X,QAAyB,GAAf0X,EAAI8hH,IAAgBA,IAClD,GAAI7tE,GAAOytE,EAAQtyE,WAAc,MAMjC,IALA,IAAI4yE,EAAWN,EAAQtpE,MAAMnE,GACzB1E,EAAMyyE,EAASrvE,MACfg4D,EAAUp7D,EAAIo7D,QACdD,EAAUn7D,EAAIm7D,QACduV,EAAW1wE,EAAI0wE,SACVjtH,EAAI,EAAGA,EAAI03G,EAAS13G,IAAK,CAChC,GAAIA,EAAI60G,GAAO5yC,EAAQ,EACpB8qD,IAAaA,EAAW,KAAKrhH,KAAK,CAAC1P,KAAM,mBAAoBqa,IAAKA,EAAKrC,EAAG0jG,EAAU13G,IACrF,MAGF,IADA,IAAImqD,EAAQ2kE,EAAU9uH,EAAIugE,EACjB96B,EAAI,EAAGA,EAAIkyE,EAASlyE,IAAK,CACV,GAAlBz4B,EAAIm9C,EAAQ1kB,GACZz4B,EAAIm9C,EAAQ1kB,GAAKpvB,GAEhB02G,IAAaA,EAAW,KAAKrhH,KAAK,CAAC1P,KAAM,YAAa64G,IAAKA,EAAKx+F,IAAKA,EAAKrC,EAAG2jG,EAAUlyE,IAC5F,IAAIwpF,EAAOhC,GAAYA,EAASxnF,GAChC,GAAIwpF,EAAM,CACR,IAAIC,GAAe/kE,EAAQ1kB,GAAK86B,EAAS,EAAGpvC,EAAO49F,EAAUG,GACjD,MAAR/9F,GAAiBA,GAAQ89F,GAAqC,GAA7BF,EAAUG,EAAa,IAC1DH,EAAUG,GAAcD,EACxBF,EAAUG,EAAa,GAAK,GACnB/9F,GAAQ89F,GACjBF,EAAUG,EAAa,OAK/BJ,GAAUnX,EACVthG,GAAO24G,EAASnwE,SAGlB,IADA,IAAIswE,GAAeta,EAAM,GAAKt0C,EAAO6uD,EAAU,EACxCN,EAASK,GAAoC,GAAjBniH,EAAI8hH,MAAkBM,IACrDA,IAAYrC,IAAaA,EAAW,KAAKrhH,KAAK,CAAC1P,KAAM,UAAW64G,IAAKA,EAAK7gG,EAAGo7G,IACjF/4G,IAQF,IALA,IAAIg5G,EAAW,IAAI7b,EAASjzC,EAAO0B,EAAQj1D,EAAK+/G,GAAWuC,GAAY,EAK9D58D,EAAM,GAAI48D,GAAa58D,EAAMq8D,EAAUz5H,OAAQo9D,GAAO,EACrC,MAAlBq8D,EAAUr8D,IAAgBq8D,EAAUr8D,EAAM,GAAKuP,IAAUqtD,GAAY,GACzEA,GA6BN,SAA0BtiH,EAAK+hH,EAAWr1D,GACnC1sD,EAAI+/G,WAAY//G,EAAI+/G,SAAW,IACpC,IAAK,IAAI33H,EAAI,EAAGmzD,EAAO,GAAInzD,EAAI4X,EAAIA,IAAI1X,OAAQF,IAAK,CAClD,IAAIihB,EAAMrJ,EAAIA,IAAI5X,GAClB,IAAImzD,EAAKlyC,GAAT,CACAkyC,EAAKlyC,IAAO,EAEZ,IADA,IAAImlC,EAAOke,EAAMtJ,OAAO/5C,GAAM0vC,EAAU,KAC/Bh2C,EAAI,EAAGA,EAAIyrC,EAAKmE,MAAMg4D,QAAS5nG,IAAK,CAC3C,IAAI8zF,GAAOzuG,EAAI2a,GAAK/C,EAAIuzD,MAAOgvD,EAAWR,EAAgB,EAANlrB,GACpC,MAAZ0rB,GAAsB/zE,EAAKmE,MAAMstE,UAAYzxE,EAAKmE,MAAMstE,SAASl9G,IAAMw/G,KACtExpE,IAAYA,EAAUinE,EAAcxxE,EAAKmE,SAAS5vC,GAAKw/G,GAE1DxpE,GAAW/4C,EAAI+/G,SAASriG,QAAQ,CAAC1uB,KAAM,oBAAqBqa,IAAKA,EAAK42G,SAAUlnE,MAzCrEypE,CAAiBH,EAAUN,EAAWr1D,GAEvD,OAAO21D,EA9D0CI,CAAW/1D,KAwO9D,IAAInkE,EAAM,IAAI,YAAU,kBAExB,SAASm6H,EAAW7zE,GAClB,IAAK,IAAIhe,EAAIge,EAAKG,MAAQ,EAAGne,EAAI,EAAGA,IAChC,GAAwC,OAApCge,EAAKL,KAAK3d,GAAG7hC,KAAK8/C,KAAKs3D,UAAsB,OAAOv3D,EAAKL,KAAK,GAAGhiC,QAAQqiC,EAAKK,OAAOre,EAAI,IACjG,OAAO,KAWT,SAAS8xF,EAAUxuH,GAEjB,IADA,IAAI87C,EAAQ97C,EAAMi6C,UAAU6B,MACnBpf,EAAIof,EAAMjB,MAAOne,EAAI,EAAGA,IAAO,GAAyC,OAArCof,EAAMzB,KAAK3d,GAAG7hC,KAAK8/C,KAAKs3D,UAAsB,OAAO,EACjG,OAAO,EAGT,SAASwc,EAAczuH,GACrB,IAAI+jD,EAAM/jD,EAAMi6C,UAChB,OAAI8J,EAAIuuD,YACCvuD,EAAIuuD,YAAYp9F,IAAM6uC,EAAI4uD,UAAUz9F,IAAM6uC,EAAIuuD,YAAcvuD,EAAI4uD,UAC9D5uD,EAAI1J,MAAwC,QAAhC0J,EAAI1J,KAAKx/C,KAAK8/C,KAAKs3D,UACjCluD,EAAIhI,QAENwyE,EAAWxqE,EAAIjI,QAGxB,SAAkBpB,GAChB,IAAK,IAAIQ,EAAQR,EAAK6C,UAAWroC,EAAMwlC,EAAKxlC,IAAKgmC,EAAOA,EAAQA,EAAM3qC,WAAY2E,IAAO,CACvF,IAAIq3G,EAAOrxE,EAAMrgD,KAAK8/C,KAAKs3D,UAC3B,GAAY,QAARsa,GAA0B,eAARA,EAAyB,OAAO7xE,EAAKI,IAAIziC,QAAQnD,GAEzE,IAAK,IAAI6lC,EAASL,EAAK4C,WAAYiyC,EAAQ70C,EAAKxlC,IAAK6lC,EAAQA,EAASA,EAAOR,UAAWg1C,IAAS,CAC/F,IAAIm/B,EAAS3zE,EAAOlgD,KAAK8/C,KAAKs3D,UAC9B,GAAc,QAAVyc,GAA8B,eAAVA,EAA2B,OAAOh0E,EAAKI,IAAIziC,QAAQk3E,EAAQx0C,EAAO2C,WAV5DixE,CAAS5qE,EAAIjI,OAc/C,SAAS8yE,EAAal0E,GACpB,MAA0C,OAAnCA,EAAKv8C,OAAOtD,KAAK8/C,KAAKs3D,WAAsBv3D,EAAK6C,UAG1D,SAASsxE,EAAgBn0E,GACvB,OAAOA,EAAKL,KAAK,GAAGhiC,QAAQqiC,EAAKxlC,IAAMwlC,EAAK6C,UAAUG,UAGxD,SAASoxE,EAAYC,EAAIC,GACvB,OAAOD,EAAGl0E,OAASm0E,EAAGn0E,OAASk0E,EAAG75G,KAAO85G,EAAGhmE,OAAO,IAAM+lE,EAAG75G,KAAO85G,EAAGhxE,KAAK,GAG7E,SAASs1D,EAAS54D,GAChB,OAAO23D,EAASz7G,IAAI8jD,EAAKL,MAAM,IAAIi5D,SAAS54D,EAAKxlC,IAAMwlC,EAAKsO,OAAO,IAGrE,SAASwjE,EAAS9xE,GAChB,OAAO23D,EAASz7G,IAAI8jD,EAAKL,MAAM,IAAImyE,SAAS9xE,EAAKxlC,IAAMwlC,EAAKsO,OAAO,IAGrE,SAASyjE,EAAS/xE,EAAM6nB,EAAM92D,GAC5B,IAAIu9C,EAAQtO,EAAKsO,OAAO,GACpB+8B,EAD8BssB,EAASz7G,IAAI8jD,EAAKL,MAAM,IAC1CoyE,SAAS/xE,EAAKxlC,IAAM8zC,EAAOuZ,EAAM92D,GACjD,OAAgB,MAATs6E,EAAgB,KAAOrrC,EAAKL,KAAK,GAAGhiC,QAAQ2wC,EAAQ+8B,GAG7D,SAASkpC,EAAQzwE,EAAO5rD,EAAM0E,GAC5B,IAAIzB,EAAS,GACb,IAAK,IAAIwlB,KAAQmjC,EAAS3oD,EAAOwlB,GAAQmjC,EAAMnjC,GAE/C,OADAxlB,EAAOjD,GAAQ0E,EACRzB,EAGT,SAASq5H,EAAc1wE,EAAOtpC,EAAKrC,QACtB,IAANA,IAAeA,EAAE,GAEtB,IAAIhd,EAASo5H,EAAQzwE,EAAO,UAAWA,EAAMg4D,QAAU3jG,GAMvD,OALIhd,EAAOi2H,WACTj2H,EAAOi2H,SAAWj2H,EAAOi2H,SAAS7sH,QAClCpJ,EAAOi2H,SAAS9jE,OAAO9yC,EAAKrC,GACvBhd,EAAOi2H,SAASn4G,MAAK,SAAU2wB,GAAK,OAAOA,EAAI,OAASzuC,EAAOi2H,SAAW,OAE1Ej2H,EAGT,SAASs5H,EAAW3wE,EAAOtpC,EAAKrC,QACnB,IAANA,IAAeA,EAAE,GAEtB,IAAIhd,EAASo5H,EAAQzwE,EAAO,UAAWA,EAAMg4D,QAAU3jG,GACvD,GAAIhd,EAAOi2H,SAAU,CACnBj2H,EAAOi2H,SAAWj2H,EAAOi2H,SAAS7sH,QAClC,IAAK,IAAIhL,EAAI,EAAGA,EAAI4e,EAAG5e,IAAO4B,EAAOi2H,SAAS9jE,OAAO9yC,EAAK,EAAG,GAE/D,OAAOrf,EAGT,SAASu5H,EAAevjH,EAAK0sD,EAAOmqC,GAElC,IADA,IAAI2sB,EAAatd,EAAex5C,EAAM19D,KAAK8qD,QAAQg2D,YAC1CjI,EAAM,EAAGA,EAAM7nG,EAAIi1D,OAAQ4yC,IAChC,GAAIn7C,EAAMtJ,OAAOpjD,EAAIA,IAAI62F,EAAMgR,EAAM7nG,EAAIuzD,QAAQvkE,MAAQw0H,EACvD,OAAO,EACb,OAAO,EAUT,IAAIja,EAA8B,SAAU/zD,GAC1C,SAAS+zD,EAAc9C,EAAaK,QACf,IAAdA,IAAuBA,EAAYL,GAExC,IAAI/5C,EAAQ+5C,EAAYj4D,MAAM,GAAIxuC,EAAMwmG,EAASz7G,IAAI2hE,GAAQvP,EAAQspD,EAAYtpD,OAAO,GACpF8W,EAAOj0D,EAAI6mG,YAAYJ,EAAYp9F,IAAM8zC,EAAO2pD,EAAUz9F,IAAM8zC,GAChElO,EAAMw3D,EAAYj4D,KAAK,GACvBk4D,EAAQ1mG,EAAI2mG,YAAY1yC,GAAMjwD,QAAO,SAAU6S,GAAK,OAAOA,GAAKiwF,EAAUz9F,IAAM8zC,KAGpFupD,EAAMhpF,QAAQopF,EAAUz9F,IAAM8zC,GAC9B,IAAI/J,EAASszD,EAAM1mG,KAAI,SAAUqJ,GAC/B,IAAIm+F,EAAO96C,EAAMtJ,OAAO/5C,GAAMiS,EAAOjS,EAAM8zC,EAAQ,EACnD,OAAO,IAAI,iBAAelO,EAAIziC,QAAQ8O,GAAO2zB,EAAIziC,QAAQ8O,EAAOksF,EAAKvwG,QAAQyS,UAE/E8rC,EAAU3tD,KAAKsI,KAAMijD,EAAO,GAAG5D,MAAO4D,EAAO,GAAG3D,IAAK2D,GAIrDjjD,KAAKs2G,YAAcA,EAInBt2G,KAAK22G,UAAYA,EAiLnB,OA9KKtxD,IAAY+zD,EAAc51F,UAAY6hC,GAC3C+zD,EAAc7hH,UAAYD,OAAO4N,OAAQmgD,GAAaA,EAAU9tD,WAChE6hH,EAAc7hH,UAAUiB,YAAc4gH,EAEtCA,EAAc7hH,UAAUsY,IAAM,SAAcivC,EAAKkC,GAC/C,IAAIs1D,EAAcx3D,EAAIziC,QAAQ2kC,EAAQnxC,IAAI7P,KAAKs2G,YAAYp9F,MACvDy9F,EAAY73D,EAAIziC,QAAQ2kC,EAAQnxC,IAAI7P,KAAK22G,UAAUz9F,MACvD,GAAI05G,EAAatc,IAAgBsc,EAAajc,IAAcmc,EAAYxc,EAAaK,GAAY,CAC/F,IAAI2c,EAAetzH,KAAKs2G,YAAYj4D,MAAM,IAAMi4D,EAAYj4D,MAAM,GAClE,OAAIi1E,GAAgBtzH,KAAK25G,iBACdP,EAAcma,aAAajd,EAAaK,GAC1C2c,GAAgBtzH,KAAKwzH,iBACnBpa,EAAcqa,aAAand,EAAaK,GAExC,IAAIyC,EAAc9C,EAAaK,GAE5C,OAAO,gBAAc3vD,QAAQsvD,EAAaK,IAM5CyC,EAAc7hH,UAAUuP,QAAU,WAIhC,IAHA,IAAIy1D,EAAQv8D,KAAKs2G,YAAYj4D,MAAM,GAAIxuC,EAAMwmG,EAASz7G,IAAI2hE,GAAQvP,EAAQhtD,KAAKs2G,YAAYtpD,OAAO,GAC9F8W,EAAOj0D,EAAI6mG,YAAY12G,KAAKs2G,YAAYp9F,IAAM8zC,EAAOhtD,KAAK22G,UAAUz9F,IAAM8zC,GAC1E5B,EAAO,GAAI4rD,EAAO,GACbU,EAAM5zC,EAAK9F,IAAK05C,EAAM5zC,EAAKI,OAAQwzC,IAAO,CAEjD,IADA,IAAIgc,EAAa,GACRjnH,EAAQirG,EAAM7nG,EAAIuzD,MAAQU,EAAKK,KAAMuiC,EAAM5iC,EAAKK,KAAMuiC,EAAM5iC,EAAKM,MAAOsiC,IAAOj6F,IAAS,CAC/F,IAAIyM,EAAMrJ,EAAIA,IAAIpD,GAClB,IAAK2+C,EAAKlyC,GAAM,CACdkyC,EAAKlyC,IAAO,EACZ,IAAIy6G,EAAW9jH,EAAIynG,SAASp+F,GAAMm+F,EAAO96C,EAAMtJ,OAAO/5C,GAClD06G,EAAY9vD,EAAKK,KAAOwvD,EAASxvD,KAAM0vD,EAAaF,EAASvvD,MAAQN,EAAKM,MAC9E,GAAIwvD,EAAY,GAAKC,EAAa,EAAG,CACnC,IAAIrxE,EAAQ60D,EAAK70D,MACboxE,EAAY,IAAKpxE,EAAQ0wE,EAAc1wE,EAAO,EAAGoxE,IACjDC,EAAa,IAAKrxE,EAAQ0wE,EAAc1wE,EAAOA,EAAMg4D,QAAUqZ,EAAYA,IAC9Cxc,EAA7Bsc,EAASxvD,KAAOL,EAAKK,KAAekzC,EAAKx4G,KAAKyhD,cAAckC,GAClD60D,EAAKx4G,KAAKqG,OAAOs9C,EAAO60D,EAAKvwG,SAE7C,GAAI6sH,EAAS31D,IAAM8F,EAAK9F,KAAO21D,EAASzvD,OAASJ,EAAKI,OAAQ,CAC5D,IAAI4vD,EAAUb,EAAQ5b,EAAK70D,MAAO,UAAW1rD,KAAKkK,IAAI2yH,EAASzvD,OAAQJ,EAAKI,QAAUptE,KAAKkwB,IAAI2sG,EAAS31D,IAAK8F,EAAK9F,MACnFq5C,EAA3Bsc,EAAS31D,IAAM8F,EAAK9F,IAAcq5C,EAAKx4G,KAAKyhD,cAAcwzE,GAChDzc,EAAKx4G,KAAKqG,OAAO4uH,EAASzc,EAAKvwG,SAE/C4sH,EAAWnlH,KAAK8oG,IAGpBL,EAAKzoG,KAAKguD,EAAMtU,MAAMyvD,GAAKx1D,KAAK,WAAS/2B,KAAKuoG,KAGhD,IAAI1iE,EAAWhxD,KAAKwzH,kBAAoBxzH,KAAK25G,iBAAmBp9C,EAAQy6C,EACxE,OAAO,IAAI,QAAM,WAAS7rF,KAAK6lC,GAAW,EAAG,IAG/CooD,EAAc7hH,UAAUgD,QAAU,SAAkB2jD,EAAIp3C,QACrC,IAAZA,IAAqBA,EAAU,QAAMuuB,OAG1C,IADA,IAAIswB,EAAUzH,EAAG0H,MAAMztD,OAAQ8qD,EAASjjD,KAAKijD,OACpChrD,EAAI,EAAGA,EAAIgrD,EAAO9qD,OAAQF,IAAK,CACtC,IAAImnD,EAAM6D,EAAOhrD,GACbonD,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IACV0B,EAAU9C,EAAG8C,QAAQ/9C,MAAM0iD,GAC/BzH,EAAG3jD,QAAQymD,EAAQnxC,IAAIwvC,EAAMnmC,KAAM8nC,EAAQnxC,IAAIyvC,EAAIpmC,KAAMjhB,EAAI,QAAMo9B,MAAQvuB,GAE7E,IAAIihD,EAAM1C,EAAUhD,SAASnE,EAAGY,IAAIziC,QAAQ6hC,EAAG8C,QAAQ/9C,MAAM0iD,GAAS91C,IAAI7P,KAAKi3B,MAAO,GAClF8wB,GAAO7J,EAAGqC,aAAawH,IAG7BqxD,EAAc7hH,UAAU8oD,YAAc,SAAsBnC,EAAIG,GAC9Dr+C,KAAKzF,QAAQ2jD,EAAI,IAAI,QAAM,WAAS/yB,KAAKkzB,GAAO,EAAG,KAGrD+6D,EAAc7hH,UAAUw8H,YAAc,SAAsB74H,GAG1D,IAFA,IAAIqhE,EAAQv8D,KAAKs2G,YAAYj4D,MAAM,GAAIxuC,EAAMwmG,EAASz7G,IAAI2hE,GAAQvP,EAAQhtD,KAAKs2G,YAAYtpD,OAAO,GAC9FupD,EAAQ1mG,EAAI2mG,YAAY3mG,EAAI6mG,YAAY12G,KAAKs2G,YAAYp9F,IAAM8zC,EAAOhtD,KAAK22G,UAAUz9F,IAAM8zC,IACtF/0D,EAAI,EAAGA,EAAIs+G,EAAMp+G,OAAQF,IAC9BiD,EAAEqhE,EAAMtJ,OAAOsjD,EAAMt+G,IAAK+0D,EAAQupD,EAAMt+G,KAM9CmhH,EAAc7hH,UAAUi8H,eAAiB,WACvC,IAAIQ,EAAYh0H,KAAKs2G,YAAY7pG,OAAO,GAAIwnH,EAAUj0H,KAAK22G,UAAUlqG,OAAO,GAC5E,GAAI3V,KAAKkK,IAAIgzH,EAAWC,GAAW,EAAK,OAAO,EAC/C,IAAIC,EAAYF,EAAYh0H,KAAKs2G,YAAY/0D,UAAUiB,MAAM+3D,QACzD4Z,EAAUF,EAAUj0H,KAAK22G,UAAUp1D,UAAUiB,MAAM+3D,QACvD,OAAOzjH,KAAKkwB,IAAIktG,EAAWC,IAAYn0H,KAAK22G,UAAUt4D,MAAM,GAAGY,YAMjEm6D,EAAcqa,aAAe,SAAuBnd,EAAaK,QAC5C,IAAdA,IAAuBA,EAAYL,GAExC,IAAIzmG,EAAMwmG,EAASz7G,IAAI07G,EAAYj4D,MAAM,IAAK2O,EAAQspD,EAAYtpD,OAAO,GACrEonE,EAAavkH,EAAIynG,SAAShB,EAAYp9F,IAAM8zC,GAAQqnE,EAAWxkH,EAAIynG,SAASX,EAAUz9F,IAAM8zC,GAC5FlO,EAAMw3D,EAAYj4D,KAAK,GAY3B,OAXI+1E,EAAWp2D,KAAOq2D,EAASr2D,KACzBo2D,EAAWp2D,IAAM,IACjBs4C,EAAcx3D,EAAIziC,QAAQ2wC,EAAQn9C,EAAIA,IAAIukH,EAAWjwD,QACrDkwD,EAASnwD,OAASr0D,EAAIi1D,SACtB6xC,EAAY73D,EAAIziC,QAAQ2wC,EAAQn9C,EAAIA,IAAIA,EAAIuzD,OAASvzD,EAAIi1D,OAAS,GAAKuvD,EAASjwD,MAAQ,OAExFiwD,EAASr2D,IAAM,IACf24C,EAAY73D,EAAIziC,QAAQ2wC,EAAQn9C,EAAIA,IAAIwkH,EAASlwD,QACjDiwD,EAAWlwD,OAASr0D,EAAIi1D,SACxBwxC,EAAcx3D,EAAIziC,QAAQ2wC,EAAQn9C,EAAIA,IAAIA,EAAIuzD,OAASvzD,EAAIi1D,OAAS,GAAKsvD,EAAWhwD,MAAQ,MAE3F,IAAIg1C,EAAc9C,EAAaK,IAMxCyC,EAAc7hH,UAAUoiH,eAAiB,WACvC,IAAI9pG,EAAMwmG,EAASz7G,IAAIoF,KAAKs2G,YAAYj4D,MAAM,IAAK2O,EAAQhtD,KAAKs2G,YAAYtpD,OAAO,GAC/EsnE,EAAazkH,EAAI2gH,SAASxwH,KAAKs2G,YAAYp9F,IAAM8zC,GACjDunE,EAAW1kH,EAAI2gH,SAASxwH,KAAK22G,UAAUz9F,IAAM8zC,GACjD,GAAIl2D,KAAKkK,IAAIszH,EAAYC,GAAY,EAAK,OAAO,EACjD,IAAIC,EAAcF,EAAat0H,KAAKs2G,YAAY/0D,UAAUiB,MAAMg4D,QAC5Dia,EAAYF,EAAWv0H,KAAK22G,UAAUp1D,UAAUiB,MAAMg4D,QAC1D,OAAO1jH,KAAKkwB,IAAIwtG,EAAaC,IAAc5kH,EAAIuzD,OAGjDg2C,EAAc7hH,UAAU8vD,GAAK,SAAa1kC,GACxC,OAAOA,aAAiBy2F,GAAiBz2F,EAAM2zF,YAAYp9F,KAAOlZ,KAAKs2G,YAAYp9F,KACjFyJ,EAAMg0F,UAAUz9F,KAAOlZ,KAAK22G,UAAUz9F,KAM1CkgG,EAAcma,aAAe,SAAuBjd,EAAaK,QAC5C,IAAdA,IAAuBA,EAAYL,GAExC,IAAIzmG,EAAMwmG,EAASz7G,IAAI07G,EAAYj4D,MAAM,IAAK2O,EAAQspD,EAAYtpD,OAAO,GACrEonE,EAAavkH,EAAIynG,SAAShB,EAAYp9F,IAAM8zC,GAAQqnE,EAAWxkH,EAAIynG,SAASX,EAAUz9F,IAAM8zC,GAC5FlO,EAAMw3D,EAAYj4D,KAAK,GAY3B,OAXI+1E,EAAWjwD,MAAQkwD,EAASlwD,MAC1BiwD,EAAWjwD,KAAO,IAClBmyC,EAAcx3D,EAAIziC,QAAQ2wC,EAAQn9C,EAAIA,IAAIukH,EAAWp2D,IAAMnuD,EAAIuzD,SAC/DixD,EAASjwD,MAAQv0D,EAAIuzD,QACrBuzC,EAAY73D,EAAIziC,QAAQ2wC,EAAQn9C,EAAIA,IAAIA,EAAIuzD,OAASixD,EAASr2D,IAAM,GAAK,OAEzEq2D,EAASlwD,KAAO,IAChBwyC,EAAY73D,EAAIziC,QAAQ2wC,EAAQn9C,EAAIA,IAAIwkH,EAASr2D,IAAMnuD,EAAIuzD,SAC3DgxD,EAAWhwD,MAAQv0D,EAAIuzD,QACvBkzC,EAAcx3D,EAAIziC,QAAQ2wC,EAAQn9C,EAAIA,IAAIA,EAAIuzD,OAASgxD,EAAWp2D,IAAM,GAAK,MAE5E,IAAIo7C,EAAc9C,EAAaK,IAGxCyC,EAAc7hH,UAAU82B,OAAS,WAC/B,MAAO,CAACxvB,KAAM,OAAQwyC,OAAQrxC,KAAKs2G,YAAYp9F,IAAKtH,KAAM5R,KAAK22G,UAAUz9F,MAG3EkgG,EAAc3yD,SAAW,SAAmB3H,EAAK4H,GAC/C,OAAO,IAAI0yD,EAAct6D,EAAIziC,QAAQqqC,EAAKrV,QAASyN,EAAIziC,QAAQqqC,EAAK90C,QAItEwnG,EAAcl0G,OAAS,SAAiB45C,EAAK41E,EAAY5Y,GAGvD,YAFkB,IAAbA,IAAsBA,EAAW4Y,GAE/B,IAAItb,EAAct6D,EAAIziC,QAAQq4G,GAAa51E,EAAIziC,QAAQy/F,KAGhE1C,EAAc7hH,UAAUwvD,YAAc,WAA0B,OAAO,IAAI4tE,EAAa30H,KAAKs2G,YAAYp9F,IAAKlZ,KAAK22G,UAAUz9F,MAEtHkgG,EAxMwB,CAyM/B,aAEFA,EAAc7hH,UAAU0vD,SAAU,EAElC,YAAUJ,OAAO,OAAQuyD,GAEzB,IAAIub,EAAe,SAAsBtjF,EAAQz/B,GAC/C5R,KAAKqxC,OAASA,EACdrxC,KAAK4R,KAAOA,GAiBd,SAASgjH,EAAkB5wH,GACzB,KAAMA,EAAMi6C,qBAAqBm7D,GAAkB,OAAO,KAC1D,IAAI7C,EAAQ,GAIZ,OAHAvyG,EAAMi6C,UAAU81E,aAAY,SAAU11E,EAAMnlC,GAC1Cq9F,EAAMhoG,KAAK,IAAW8vC,KAAKnlC,EAAKA,EAAMmlC,EAAKqD,SAAU,CAAC4hB,MAAO,qBAExD,IAAcp+D,OAAOlB,EAAM86C,IAAKy3D,GAwEzC,SAASse,EAAY5xH,GACnB,IAAKA,EAAMsW,KAAQ,OAAO,KAI1B,IAHA,IAAIzS,EAAU7D,EAAM6D,QAChB4oD,EAAYzsD,EAAMysD,UAClBhK,EAAUziD,EAAMyiD,QACS,GAAtB5+C,EAAQm4C,aAAoByQ,EAAY,GAAKhK,EAAU,GAA+C,SAA1C5+C,EAAQyN,WAAW1V,KAAK8/C,KAAKs3D,YAC9FvmD,IACAhK,IACA5+C,EAAUA,EAAQyN,WAAWzN,QAE/B,IAAIqS,EAAQrS,EAAQyN,WAAYg8G,EAAOp3G,EAAMta,KAAK8/C,KAAKs3D,UACnDtsD,EAASxwC,EAAMta,KAAK8qD,OAAQqtD,EAAO,GACvC,GAAY,OAARuZ,EACF,IAAK,IAAIt4H,EAAI,EAAGA,EAAI6O,EAAQm4C,WAAYhnD,IAAK,CAC3C,IAAIs+G,EAAQzvG,EAAQmhD,MAAMhwD,GAAG6O,QACzBq9D,EAAOlsE,EAAI,EAAInB,KAAKkwB,IAAI,EAAG0oC,EAAY,GACvC0U,EAAQnsE,EAAI6O,EAAQm4C,WAAa,EAAI,EAAInoD,KAAKkwB,IAAI,EAAG0+B,EAAU,IAC/Dye,GAAQC,KAASmyC,EAAQue,EAAS/e,EAAepsD,GAAQ+tD,IAAK,IAAI,QAAMnB,EAAOpyC,EAAMC,IAAQt9D,SACjGkwG,EAAKzoG,KAAKgoG,OAEP,IAAY,QAARga,GAA0B,eAARA,EAG3B,OAAO,KAFPvZ,EAAKzoG,KAAKmhD,GAAahK,EAAUovE,EAAS/e,EAAepsD,GAAQ+tD,IAAK,IAAI,QAAM5wG,EAAS4oD,EAAWhK,IAAU5+C,QAAUA,GAI1H,OAMF,SAA2B6iD,EAAQqtD,GAEjC,IADA,IAAIkZ,EAAS,GACJj4H,EAAI,EAAGA,EAAI++G,EAAK7+G,OAAQF,IAE/B,IADA,IAAIy/G,EAAMV,EAAK/+G,GACN2a,EAAI8kG,EAAIz4D,WAAa,EAAGrsC,GAAK,EAAGA,IAIvC,IAHA,IAAIwsC,EAAMs4D,EAAIzvD,MAAMr1C,GAAG4vC,MACnB+3D,EAAUn7D,EAAIm7D,QACdC,EAAUp7D,EAAIo7D,QACTl8G,EAAIrG,EAAGqG,EAAIrG,EAAIsiH,EAASj8G,IAC7B4xH,EAAO5xH,IAAM4xH,EAAO5xH,IAAM,GAAKk8G,EAIvC,IADA,IAAIp3C,EAAQ,EACH2xD,EAAM,EAAGA,EAAM7E,EAAO/3H,OAAQ48H,IAAS3xD,EAAQtsE,KAAKkwB,IAAIo8C,EAAO8sD,EAAO6E,IAC/E,IAAK,IAAIC,EAAM,EAAGA,EAAM9E,EAAO/3H,OAAQ68H,IAErC,GADIA,GAAOhe,EAAK7+G,QAAU6+G,EAAKzoG,KAAK,WAAS8mB,OACzC66F,EAAO8E,GAAO5xD,EAAO,CAEvB,IADA,IAAI/tC,EAAQ0gF,EAAepsD,GAAQ0tD,KAAK/2D,gBAAiBi2D,EAAQ,GACxDzyD,EAAMosE,EAAO8E,GAAMlxE,EAAMsf,EAAOtf,IAASyyD,EAAMhoG,KAAK8mB,GAC7D2hF,EAAKge,GAAOhe,EAAKge,GAAKnoE,OAAO,WAAS1hC,KAAKorF,IAG/C,MAAO,CAACzxC,OAAQkyC,EAAK7+G,OAAQirE,MAAOA,EAAO4zC,KAAMA,GA5B1Cie,CAAkBtrE,EAAQqtD,GA+BnC,SAAS8d,EAASvyE,EAAUt/C,GAC1B,IAAIo7C,EAAOkE,EAASjC,gBAEpB,OADS,IAAI,IAAUjC,GAAM9jD,QAAQ,EAAG8jD,EAAKv3C,QAAQyS,KAAMtW,GACjD67C,IAOZ,SAASo2E,EAAU91E,EAAK+1E,EAAUC,GAChC,IAAIhyD,EAAQhkB,EAAIgkB,MACZ0B,EAAS1lB,EAAI0lB,OACbkyC,EAAO53D,EAAI43D,KAEf,GAAI5zC,GAAS+xD,EAAU,CAErB,IADA,IAAIv5C,EAAQ,GAAIy5C,EAAU,GACjB3d,EAAM,EAAGA,EAAMV,EAAK7+G,OAAQu/G,IAAO,CAE1C,IADA,IAAI7hD,EAAOmhD,EAAKU,GAAMnB,EAAQ,GACrB7P,EAAM9qB,EAAM87B,IAAQ,EAAGz/G,EAAI,EAAGyuG,EAAMyuB,EAAUl9H,IAAK,CAC1D,IAAIo/G,EAAOxhD,EAAK5N,MAAMhwD,EAAI49D,EAAK5W,YAC3BynD,EAAM2Q,EAAK70D,MAAMg4D,QAAU2a,IAC3B9d,EAAOA,EAAKx4G,KAAKqG,OAAOguH,EAAc7b,EAAK70D,MAAO60D,EAAK70D,MAAMg4D,QAAS9T,EAAM2Q,EAAK70D,MAAMg4D,QAAU2a,GAAW9d,EAAKvwG,UACrHyvG,EAAMhoG,KAAK8oG,GACX3Q,GAAO2Q,EAAK70D,MAAMg4D,QAClB,IAAK,IAAI5nG,EAAI,EAAGA,EAAIykG,EAAK70D,MAAM+3D,QAAS3nG,IACpCgpE,EAAM87B,EAAM9kG,IAAMgpE,EAAM87B,EAAM9kG,IAAM,GAAKykG,EAAK70D,MAAMg4D,QAE1D6a,EAAQ9mH,KAAK,WAAS4c,KAAKorF,IAE7BS,EAAOqe,EACPjyD,EAAQ+xD,EAGV,GAAIrwD,GAAUswD,EAAW,CAEvB,IADA,IAAIE,EAAY,GACPC,EAAQ,EAAGzxE,EAAM,EAAGyxE,EAAQH,EAAWG,IAASzxE,IAAO,CAE9D,IADA,IAAI0xE,EAAU,GAAIv5H,EAAS+6G,EAAKlzD,EAAMghB,GAC7BizB,EAAM,EAAGA,EAAM97F,EAAOgjD,WAAY84C,IAAO,CAChD,IAAI05B,EAASx1H,EAAOgsD,MAAM8vC,GACtBw9B,EAAQ9D,EAAOjvE,MAAM+3D,QAAU6a,IAC/B3D,EAASA,EAAO5yH,KAAKqG,OAAO+tH,EAAQxB,EAAOjvE,MAAO,UAAW1rD,KAAKkwB,IAAI,EAAGouG,EAAY3D,EAAOjvE,MAAM+3D,UAAWkX,EAAO3qH,UACxH0uH,EAAQjnH,KAAKkjH,GAEf6D,EAAU/mH,KAAK,WAAS4c,KAAKqqG,IAE/Bxe,EAAOse,EACPxwD,EAASswD,EAGX,MAAO,CAAChyD,MAAOA,EAAO0B,OAAQA,EAAQkyC,KAAMA,GAwC9C,SAASye,EAAkBv3E,EAAIruC,EAAK0sD,EAAOvP,EAAOmX,EAAMC,EAAOpG,EAAKrY,GAClE,GAAW,GAAPqY,GAAYA,GAAOnuD,EAAIi1D,OAAU,OAAO,EAE5C,IADA,IAAIze,GAAQ,EACHqgD,EAAMviC,EAAMuiC,EAAMtiC,EAAOsiC,IAAO,CACvC,IAAIj6F,EAAQuxD,EAAMnuD,EAAIuzD,MAAQsjC,EAAKxtF,EAAMrJ,EAAIA,IAAIpD,GACjD,GAAIoD,EAAIA,IAAIpD,EAAQoD,EAAIuzD,QAAUlqD,EAAK,CACrCmtC,GAAQ,EACR,IAAIgxD,EAAO96C,EAAMtJ,OAAO/5C,GACpBkmC,EAAMvvC,EAAIynG,SAASp+F,GACnBw8G,EAAUt2E,EAAI4e,IACd23D,EAAWv2E,EAAI+kB,KACnBjmB,EAAGgD,cAAchD,EAAG8C,QAAQ/9C,MAAM0iD,GAAS91C,IAAIqJ,EAAM8zC,GAAQ,KAAMimE,EAAQ5b,EAAK70D,MAAO,UAAWwb,EAAM03D,IACxGx3E,EAAG6G,OAAO7G,EAAG8C,QAAQ/9C,MAAM0iD,GAAS91C,IAAIA,EAAIqhH,WAAWlzD,EAAK23D,EAAUp5D,IAC5D86C,EAAKx4G,KAAKyhD,cAAc2yE,EAAQ5b,EAAK70D,MAAO,UAAYkzE,EAAUre,EAAK70D,MAAM+3D,QAAWv8C,KAClG0oC,GAAO2Q,EAAK70D,MAAMg4D,QAAU,GAGhC,OAAOn0D,EAMT,SAASuvE,EAAgB13E,EAAIruC,EAAK0sD,EAAOvP,EAAOgR,EAAKkG,EAAQC,EAAMxe,GACjE,GAAY,GAARwe,GAAaA,GAAQt0D,EAAIuzD,MAAS,OAAO,EAE7C,IADA,IAAI/c,GAAQ,EACHqxD,EAAM15C,EAAK05C,EAAMxzC,EAAQwzC,IAAO,CACvC,IAAIjrG,EAAQirG,EAAM7nG,EAAIuzD,MAAQe,EAAMjrD,EAAMrJ,EAAIA,IAAIpD,GAClD,GAAIoD,EAAIA,IAAIpD,EAAQ,IAAMyM,EAAK,CAC7BmtC,GAAQ,EACR,IAAIgxD,EAAO96C,EAAMtJ,OAAO/5C,GAAMy8G,EAAW9lH,EAAI2gH,SAASt3G,GAClD28G,EAAY33E,EAAG8C,QAAQ/9C,MAAM0iD,GAAS91C,IAAIqJ,EAAM8zC,GACpD9O,EAAGgD,cAAc20E,EAAW,KAAM3C,EAAc7b,EAAK70D,MAAO2hB,EAAOwxD,EAAUte,EAAK70D,MAAMg4D,SAAWr2C,EAAOwxD,KAC1Gz3E,EAAG6G,OAAO8wE,EAAYxe,EAAK31D,SAAU21D,EAAKx4G,KAAKyhD,cAAc4yE,EAAc7b,EAAK70D,MAAO,EAAG2hB,EAAOwxD,KACjGje,GAAOL,EAAK70D,MAAM+3D,QAAU,GAGhC,OAAOl0D,EAKT,SAASyvE,EAAY9xH,EAAOg6C,EAAUi9D,EAAYn3C,EAAMyyC,GACtD,IAAIh6C,EAAQ0+C,EAAaj3G,EAAM86C,IAAImU,OAAOgoD,EAAa,GAAKj3G,EAAM86C,IAAKjvC,EAAMwmG,EAASz7G,IAAI2hE,GACtFyB,EAAM8F,EAAK9F,IACXmG,EAAOL,EAAKK,KACZC,EAAQD,EAAOoyC,EAAMnzC,MAAOc,EAASlG,EAAMu4C,EAAMzxC,OACjD5mB,EAAKl6C,EAAMk6C,GAAIyH,EAAU,EAC7B,SAASowE,IACPx5D,EAAQ0+C,EAAa/8D,EAAGY,IAAImU,OAAOgoD,EAAa,GAAK/8D,EAAGY,IACxDjvC,EAAMwmG,EAASz7G,IAAI2hE,GACnB5W,EAAUzH,EAAG8C,QAAQmH,KAAKhwD,QAtF9B,SAAmB+lD,EAAIruC,EAAK0sD,EAAOvP,EAAOoW,EAAO0B,EAAQnf,GACvD,IAAiEtwB,EAAO2gG,EAAvCl1E,EAAQi1D,EAA5B73D,EAAGY,IAAIjgD,KAAK8qD,QACzB,GAAIyZ,EAAQvzD,EAAIuzD,MACd,IAAK,IAAIs0C,EAAM,EAAG0Z,EAAS,EAAG1Z,EAAM7nG,EAAIi1D,OAAQ4yC,IAAO,CACrD,IAAI6Z,EAAUh1D,EAAMtU,MAAMyvD,GAC1B0Z,GAAUG,EAAQ7vE,SAClB,IAAI60D,EAAQ,GAAIj0G,OAAM,EAElBA,EADqB,MAArBivH,EAAQhzE,WAAqBgzE,EAAQhzE,UAAU1/C,MAAQiiD,EAAMu2D,KACvDhiF,IAAUA,EAAQyrB,EAAMu2D,KAAK/2D,iBAE7B01E,IAAcA,EAAYl1E,EAAM6+D,YAAYr/D,iBACtD,IAAK,IAAIroD,EAAI4X,EAAIuzD,MAAOnrE,EAAImrE,EAAOnrE,IAAOs+G,EAAMhoG,KAAKjM,GACrD47C,EAAG6G,OAAO7G,EAAG8C,QAAQ/9C,MAAM0iD,GAAS91C,IAAIuhH,EAAS,EAAIpkE,GAAQupD,GAGjE,GAAIzxC,EAASj1D,EAAIi1D,OAAQ,CAEvB,IADA,IAAI0wD,EAAU,GACL1xE,EAAM,EAAGmyE,GAAWpmH,EAAIi1D,OAAS,GAAKj1D,EAAIuzD,MAAOtf,EAAMhtD,KAAKkwB,IAAInX,EAAIuzD,MAAOA,GAAQtf,IAAO,CACjG,IAAIiY,IAASjY,GAAOj0C,EAAIuzD,QACpB7G,EAAMtJ,OAAOpjD,EAAIA,IAAIomH,EAAUnyE,IAAMjlD,MAAQiiD,EAAM6+D,YACvD6V,EAAQjnH,KAAKwtD,EACCi6D,IAAcA,EAAYl1E,EAAM6+D,YAAYr/D,iBAC5CjrB,IAAUA,EAAQyrB,EAAMu2D,KAAK/2D,kBAI7C,IADA,IAAI41E,EAAWp1E,EAAM42D,IAAIxyG,OAAO,KAAM,WAASimB,KAAKqqG,IAAWxe,EAAO,GAC7DzhD,EAAM1lD,EAAIi1D,OAAQvP,EAAMuP,EAAQvP,IAASyhD,EAAKzoG,KAAK2nH,GAC5Dh4E,EAAG6G,OAAO7G,EAAG8C,QAAQ/9C,MAAM0iD,GAAS91C,IAAIm9C,EAAQuP,EAAM7a,SAAW,GAAIs1D,GAEvE,SAAU3hF,IAAS2gG,IA+DfG,CAAUj4E,EAAIruC,EAAK0sD,EAAO0+C,EAAY72C,EAAOF,EAAQve,IAAYowE,IACjEN,EAAkBv3E,EAAIruC,EAAK0sD,EAAO0+C,EAAY92C,EAAMC,EAAOpG,EAAKrY,IAAYowE,IAC5EN,EAAkBv3E,EAAIruC,EAAK0sD,EAAO0+C,EAAY92C,EAAMC,EAAOF,EAAQve,IAAYowE,IAC/EH,EAAgB13E,EAAIruC,EAAK0sD,EAAO0+C,EAAYj9C,EAAKkG,EAAQC,EAAMxe,IAAYowE,IAC3EH,EAAgB13E,EAAIruC,EAAK0sD,EAAO0+C,EAAYj9C,EAAKkG,EAAQE,EAAOze,IAAYowE,IAEhF,IAAK,IAAIre,EAAM15C,EAAK05C,EAAMxzC,EAAQwzC,IAAO,CACvC,IAAIvsF,EAAOtb,EAAIqhH,WAAWxZ,EAAKvzC,EAAM5H,GAAQtlC,EAAKpnB,EAAIqhH,WAAWxZ,EAAKtzC,EAAO7H,GAC7Ere,EAAG3jD,QAAQ2jD,EAAG8C,QAAQ/9C,MAAM0iD,GAAS91C,IAAIsb,EAAO8vF,GAAa/8D,EAAG8C,QAAQ/9C,MAAM0iD,GAAS91C,IAAIonB,EAAKgkF,GACrF,IAAI,QAAM1E,EAAMS,KAAKU,EAAM15C,GAAM,EAAG,IAEjD+3D,IACA73E,EAAGqC,aAAa,IAAI64D,EAAcl7D,EAAGY,IAAIziC,QAAQ4+F,EAAaprG,EAAIqhH,WAAWlzD,EAAKmG,EAAM5H,IACtDre,EAAGY,IAAIziC,QAAQ4+F,EAAaprG,EAAIqhH,WAAWhtD,EAAS,EAAGE,EAAQ,EAAG7H,MACpGve,EAASE,GAtTXy2E,EAAap9H,UAAUsY,IAAM,SAAcmxC,GACzC,OAAO,IAAI2zE,EAAa3zE,EAAQnxC,IAAI7P,KAAKqxC,QAAS2P,EAAQnxC,IAAI7P,KAAK4R,QAErE+iH,EAAap9H,UAAU8kB,QAAU,SAAkByiC,GACjD,IAAIw3D,EAAcx3D,EAAIziC,QAAQrc,KAAKqxC,QAASslE,EAAY73D,EAAIziC,QAAQrc,KAAK4R,MACzE,MAA8C,OAA1C0kG,EAAYn0G,OAAOtD,KAAK8/C,KAAKs3D,WACW,OAAxCU,EAAUx0G,OAAOtD,KAAK8/C,KAAKs3D,WAC3BK,EAAY7pG,QAAU6pG,EAAYn0G,OAAO88C,YACzC03D,EAAUlqG,QAAUkqG,EAAUx0G,OAAO88C,YACrC6zE,EAAYxc,EAAaK,GAClB,IAAIyC,EAAc9C,EAAaK,GAE/B,YAAUn2D,KAAKm2D,EAAW,IA+SvC,IAAItwC,EAAgB,YAAe,CACjC,UAAaC,EAAM,SAAU,GAC7B,WAAcA,EAAM,QAAS,GAC7B,QAAWA,EAAM,QAAS,GAC1B,UAAaA,EAAM,OAAQ,GAE3B,kBAAmB8vD,EAAW,SAAU,GACxC,mBAAoBA,EAAW,QAAS,GACxC,gBAAiBA,EAAW,QAAS,GACrC,kBAAmBA,EAAW,OAAQ,GAEtC,UAAaC,EACb,gBAAiBA,EACjB,OAAUA,EACV,aAAcA,IAGhB,SAASC,EAAkBtyH,EAAOg6C,EAAUC,GAC1C,OAAIA,EAAUoJ,GAAGrjD,EAAMi6C,aACnBD,GAAYA,EAASh6C,EAAMk6C,GAAGqC,aAAatC,GAAWE,mBACnD,GAGT,SAASmoB,EAAMC,EAAM92D,GACnB,OAAO,SAAUzL,EAAOg6C,EAAUsG,GAChC,IAAIyD,EAAM/jD,EAAMi6C,UAChB,GAAI8J,aAAeqxD,EACjB,OAAOkd,EAAkBtyH,EAAOg6C,EAAU,YAAUwC,KAAKuH,EAAI4uD,UAAWlnG,IAE1E,GAAY,SAAR82D,IAAoBxe,EAAI1yB,MAAS,OAAO,EAC5C,IAAI2sB,EAAMu0E,EAAYjyE,EAAMiiB,EAAM92D,GAClC,GAAW,MAAPuyC,EAAe,OAAO,EAC1B,GAAY,SAARukB,EACF,OAAO+vD,EAAkBtyH,EAAOg6C,EAAU,YAAUwC,KAAKx8C,EAAM86C,IAAIziC,QAAQ0rC,EAAIn2C,KAAOnC,GAAMA,IAE5F,IAAwE4yF,EAApEm0B,EAAQxyH,EAAM86C,IAAIziC,QAAQ2lC,GAAMgiD,EAAQysB,EAAS+F,EAAOjwD,EAAM92D,GAIlE,OAHa4yF,EAAT2B,EAAkB,YAAUxjD,KAAKwjD,EAAO,GACnCv0F,EAAM,EAAc,YAAU+wC,KAAKx8C,EAAM86C,IAAIziC,QAAQm6G,EAAMz3E,QAAQ,KAAM,GAClE,YAAUyB,KAAKx8C,EAAM86C,IAAIziC,QAAQm6G,EAAMt3E,OAAO,IAAK,GAC5Do3E,EAAkBtyH,EAAOg6C,EAAUqkD,IAKhD,SAAS+zB,EAAW7vD,EAAM92D,GACxB,OAAO,SAAUzL,EAAOg6C,EAAUsG,GAChC,IAAIyD,EAAM/jD,EAAMi6C,UAChB,KAAM8J,aAAeqxD,GAAgB,CACnC,IAAIp3D,EAAMu0E,EAAYjyE,EAAMiiB,EAAM92D,GAClC,GAAW,MAAPuyC,EAAe,OAAO,EAC1B+F,EAAM,IAAIqxD,EAAcp1G,EAAM86C,IAAIziC,QAAQ2lC,IAE5C,IAAIlC,EAAQ2wE,EAAS1oE,EAAI4uD,UAAWpwC,EAAM92D,GAC1C,QAAKqwC,GACEw2E,EAAkBtyH,EAAOg6C,EAAU,IAAIo7D,EAAcrxD,EAAIuuD,YAAax2D,KAIjF,SAASu2E,EAAoBryH,EAAOg6C,GAClC,IAAI+J,EAAM/jD,EAAMi6C,UAChB,KAAM8J,aAAeqxD,GAAkB,OAAO,EAC9C,GAAIp7D,EAAU,CACZ,IAAIE,EAAKl6C,EAAMk6C,GAAIu4E,EAAc1gB,EAAe/xG,EAAM2lD,QAAQ0tD,KAAK/2D,gBAAgBx5C,QACnFihD,EAAIgsE,aAAY,SAAU1c,EAAMn+F,GACzBm+F,EAAKvwG,QAAQugD,GAAGovE,IACjBv4E,EAAG3jD,QAAQ2jD,EAAG8C,QAAQnxC,IAAIqJ,EAAM,GAAIglC,EAAG8C,QAAQnxC,IAAIqJ,EAAMm+F,EAAK31D,SAAW,GAChE,IAAI,QAAM+0E,EAAa,EAAG,OAErCv4E,EAAGw0B,YAAc10B,EAASE,GAEhC,OAAO,EAGT,SAASmsD,EAAkB/lD,EAAMprC,GAC/B,IAA0Bs9G,EAAQjE,EAAxBjuE,EAAKtgD,MAAM86C,IAA4BziC,QAAQnD,IACzD,QAAKs9G,IACLlyE,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAGqC,aAAa,IAAI64D,EAAcod,MACpD,GAGT,SAASzkD,EAAYztB,EAAMwF,EAAG7mD,GAC5B,IAAKuvH,EAAUluE,EAAKtgD,OAAU,OAAO,EACrC,IAAIuyG,EAAQse,EAAY5xH,GAAQ8kD,EAAMzD,EAAKtgD,MAAMi6C,UACjD,GAAI8J,aAAeqxD,EAAe,CAC3B7C,IAASA,EAAQ,CAACnzC,MAAO,EAAG0B,OAAQ,EAAGkyC,KAAM,CAAC,WAAS7rF,KAAK2pG,EAAS/e,EAAezxD,EAAKtgD,MAAM2lD,QAAQ0tD,KAAMp0G,OAClH,IAAIs5D,EAAQxU,EAAIuuD,YAAYj4D,MAAM,GAAI2O,EAAQjF,EAAIuuD,YAAYtpD,OAAO,GACjE8W,EAAOuyC,EAASz7G,IAAI2hE,GAAOm6C,YAAY3uD,EAAIuuD,YAAYp9F,IAAM8zC,EAAOjF,EAAI4uD,UAAUz9F,IAAM8zC,GAG5F,OAFAupD,EAAQ2e,EAAU3e,EAAOzyC,EAAKM,MAAQN,EAAKK,KAAML,EAAKI,OAASJ,EAAK9F,KACpE83D,EAAYxxE,EAAKtgD,MAAOsgD,EAAKtG,SAAUgP,EAAO8W,EAAMyyC,IAC7C,EACF,GAAIA,EAAO,CAChB,IAAIigB,EAAQ/D,EAAcnuE,EAAKtgD,OAAQiyH,EAAUO,EAAMxpE,OAAO,GAE9D,OADA8oE,EAAYxxE,EAAKtgD,MAAOsgD,EAAKtG,SAAUi4E,EAAS5f,EAASz7G,IAAI47H,EAAMn4E,MAAM,IAAIi5D,SAASkf,EAAMt9G,IAAM+8G,GAAU1f,IACrG,EAEP,OAAO,EAIX,SAASmgB,EAAgBpyE,EAAMqyE,GAC7B,IAAIA,EAAWh3B,UAAWg3B,EAAW/2B,QAArC,CAEA,IAAuD7/C,EAAnD62E,EAAeC,EAAUvyE,EAAMqyE,EAAWz6H,QAC9C,GAAIy6H,EAAW72B,UAAax7C,EAAKtgD,MAAMi6C,qBAAqBm7D,EAE1D0d,EAAiBxyE,EAAKtgD,MAAMi6C,UAAUq4D,YAAaqgB,GACnDA,EAAWlvC,sBACN,GAAIkvC,EAAW72B,UAAY82B,GACiC,OAAvD72E,EAAUwyE,EAAWjuE,EAAKtgD,MAAMi6C,UAAU8B,WAC3Cg3E,EAAezyE,EAAMqyE,GAAYz9G,KAAO6mC,EAAQ7mC,IAGzD49G,EAAiB/2E,EAAS42E,GAC1BA,EAAWlvC,sBACN,IAAKmvC,EAEV,OAwCFtyE,EAAK+uC,KAAKj1E,iBAAiB,UAAWzF,GACtC2rC,EAAK+uC,KAAKj1E,iBAAiB,YAAazF,GACxC2rC,EAAK+uC,KAAKj1E,iBAAiB,YAAamgE,GArCxC,SAASu4C,EAAiB/2E,EAASz/C,GACjC,IAAIw/C,EAAQi3E,EAAezyE,EAAMhkD,GAC7B02H,EAAuC,MAA5B5+H,EAAI+zD,SAAS7H,EAAKtgD,OACjC,IAAK87C,IAAUgzE,EAAY/yE,EAASD,GAAQ,CAC1C,IAAIk3E,EACG,OADSl3E,EAAQC,EAG1B,IAAI9B,EAAY,IAAIm7D,EAAcr5D,EAASD,GAC3C,GAAIk3E,IAAa1yE,EAAKtgD,MAAMi6C,UAAUoJ,GAAGpJ,GAAY,CACnD,IAAIC,EAAKoG,EAAKtgD,MAAMk6C,GAAGqC,aAAatC,GAChC+4E,GAAY94E,EAAG0L,QAAQxxD,EAAK2nD,EAAQ7mC,KACxCorC,EAAKtG,SAASE,IAKlB,SAASvlC,IACP2rC,EAAK+uC,KAAK3vB,oBAAoB,UAAW/qD,GACzC2rC,EAAK+uC,KAAK3vB,oBAAoB,YAAa/qD,GAC3C2rC,EAAK+uC,KAAK3vB,oBAAoB,YAAa6a,GACX,MAA5BnmF,EAAI+zD,SAAS7H,EAAKtgD,QAAkBsgD,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAG0L,QAAQxxD,GAAM,IAGpF,SAASmmF,EAAKj+E,GACZ,IAAuCy/C,EAAnC1O,EAASj5C,EAAI+zD,SAAS7H,EAAKtgD,OAC/B,GAAc,MAAVqtC,EAEF0O,EAAUuE,EAAKtgD,MAAM86C,IAAIziC,QAAQg1B,QAC5B,GAAIwlF,EAAUvyE,EAAMhkD,EAAMpE,SAAW06H,KAE1C72E,EAAUg3E,EAAezyE,EAAMqyE,IACf,OAAOh+G,IAErBonC,GAAW+2E,EAAiB/2E,EAASz/C,IAS7C,SAASi2H,EAAYjyE,EAAMiiB,EAAM92D,GAC/B,KAAM60C,EAAKtgD,MAAMi6C,qBAAqB,iBAAkB,OAAO,KAG/D,IAFA,IACI6B,EADMwE,EAAKtgD,MAAMi6C,UACL6B,MACPpf,EAAIof,EAAMjB,MAAQ,EAAGne,GAAK,EAAGA,IAAK,CACzC,IAAIv+B,EAAS29C,EAAMzB,KAAK3d,GACxB,IADoCjxB,EAAM,EAAIqwC,EAAMrzC,MAAMi0B,GAAKof,EAAMI,WAAWxf,MAClEjxB,EAAM,EAAI,EAAItN,EAAO88C,YAAe,OAAO,KACzD,GAAkC,QAA9B98C,EAAOtD,KAAK8/C,KAAKs3D,WAAqD,eAA9B9zG,EAAOtD,KAAK8/C,KAAKs3D,UAA4B,CACvF,IAAImB,EAAUt3D,EAAMf,OAAOre,GACvB8lC,EAAiB,QAARD,EAAkB92D,EAAM,EAAI,OAAS,KAASA,EAAM,EAAI,QAAU,OAC/E,OAAO60C,EAAKE,eAAegiB,GAAU4wC,EAAU,MAGnD,OAAO,KAGT,SAASyf,EAAUvyE,EAAMsV,GACvB,KAAOA,GAAOA,GAAOtV,EAAKsV,IAAKA,EAAMA,EAAIxmD,WACrC,GAAoB,MAAhBwmD,EAAIoF,UAAoC,MAAhBpF,EAAIoF,SAAoB,OAAOpF,EAGjE,SAASm9D,EAAezyE,EAAMhkD,GAC5B,IAAI22H,EAAW3yE,EAAK4gB,YAAY,CAACf,KAAM7jE,EAAM6kE,QAASnH,IAAK19D,EAAM8kE,UACjE,OAAK6xD,GACEA,EAAW1E,EAAWjuE,EAAKtgD,MAAM86C,IAAIziC,QAAQ46G,EAAS/9G,MADrC,KAM1B,IAAIg+G,GAAe,IAAI,YAAU,cA+BjC,SAASC,GAAUnzH,EAAOunD,GACxB,IAAIrN,EAAInnD,EAAQ,SAAUsnD,EAAMnlC,GACE,SAA5BmlC,EAAKx/C,KAAK8/C,KAAKs3D,YAAwB/3D,EAU/C,SAAkBl6C,EAAOu4D,EAAO66D,EAAUl5E,GACxC,IAAIruC,EAAMwmG,EAASz7G,IAAI2hE,GACvB,IAAK1sD,EAAI+/G,SAAY,OAAO1xE,EACvBA,IAAMA,EAAKl6C,EAAMk6C,IAKtB,IADA,IAkBI/kC,EAAOua,EAlBP2jG,EAAU,GACLp/H,EAAI,EAAGA,EAAI4X,EAAIi1D,OAAQ7sE,IAAOo/H,EAAQ9oH,KAAK,GACpD,IAAK,IAAIu1C,EAAM,EAAGA,EAAMj0C,EAAI+/G,SAASz3H,OAAQ2rD,IAAO,CAClD,IAAIwzE,EAAOznH,EAAI+/G,SAAS9rE,GACxB,GAAiB,aAAbwzE,EAAKz4H,KAAqB,CAE5B,IADA,IAAIw4G,EAAO96C,EAAMtJ,OAAOqkE,EAAKp+G,KACpBtG,EAAI,EAAGA,EAAIykG,EAAK70D,MAAM+3D,QAAS3nG,IAAOykH,EAAQC,EAAK5f,IAAM9kG,IAAM0kH,EAAKzgH,EAC7EqnC,EAAGgD,cAAchD,EAAG8C,QAAQnxC,IAAIunH,EAAW,EAAIE,EAAKp+G,KAAM,KAAMg6G,EAAc7b,EAAK70D,MAAO60D,EAAK70D,MAAMg4D,QAAU8c,EAAKzgH,EAAGygH,EAAKzgH,SACvH,GAAiB,WAAbygH,EAAKz4H,KACdw4H,EAAQC,EAAK5f,MAAQ4f,EAAKzgH,OACrB,GAAiB,oBAAbygH,EAAKz4H,KAA4B,CAC1C,IAAI4yH,EAASl1D,EAAMtJ,OAAOqkE,EAAKp+G,KAC/BglC,EAAGgD,cAAchD,EAAG8C,QAAQnxC,IAAIunH,EAAW,EAAIE,EAAKp+G,KAAM,KAAM+5G,EAAQxB,EAAOjvE,MAAO,UAAWivE,EAAOjvE,MAAM+3D,QAAU+c,EAAKzgH,SACxH,GAAiB,qBAAbygH,EAAKz4H,KAA6B,CAC3C,IAAI04H,EAASh7D,EAAMtJ,OAAOqkE,EAAKp+G,KAC/BglC,EAAGgD,cAAchD,EAAG8C,QAAQnxC,IAAIunH,EAAW,EAAIE,EAAKp+G,KAAM,KAAM+5G,EAAQsE,EAAO/0E,MAAO,WAAY80E,EAAKxH,YAI3G,IAAK,IAAIv6D,EAAM,EAAGA,EAAM8hE,EAAQl/H,OAAQo9D,IAAa8hE,EAAQ9hE,KAC9C,MAATp8C,IAAiBA,EAAQo8C,GAC7B7hC,EAAO6hC,GAMT,IAAK,IAAI0lC,EAAM,EAAG/hF,EAAMk+G,EAAW,EAAGn8B,EAAMprF,EAAIi1D,OAAQm2B,IAAO,CAC7D,IAAIyc,EAAMn7C,EAAMtU,MAAMgzC,GAClBj5C,EAAM9oC,EAAMw+F,EAAIh2D,SAChBp/C,EAAM+0H,EAAQp8B,GAClB,GAAI34F,EAAM,EAAG,CACX,IAAIk1H,EAAgB,OAChB9f,EAAInjG,aACNijH,EAAgB9f,EAAInjG,WAAW1V,KAAK8/C,KAAKs3D,WAG3C,IADA,IAAIlnD,EAAQ,GACHgpC,EAAM,EAAGA,EAAMz1F,EAAKy1F,IACzBhpC,EAAMxgD,KAAKwnG,EAAe/xG,EAAM2lD,QAAQ6tE,GAAel3E,iBAC3D,IAAIhC,EAAe,GAAP28C,GAAY9hF,GAAS8hF,EAAM,GAAMvnE,GAAQunE,EAAgBj5C,EAAM,EAAhB9oC,EAAM,EACjEglC,EAAG6G,OAAO7G,EAAG8C,QAAQnxC,IAAIyuC,GAAOyQ,GAElC71C,EAAM8oC,EAER,OAAO9D,EAAG0L,QAAQstE,GAAc,CAAEC,WAAW,IA7DKM,CAASzzH,EAAOq6C,EAAMnlC,EAAKglC,KAI7E,OAFKqN,EACIA,EAASzM,KAAO96C,EAAM86C,KA/BjC,SAAS44E,EAAmB9zB,EAAKvuC,EAAKtmB,EAAQ7zC,GAC5C,IAAI07E,EAAUgtB,EAAI3kD,WAAY04E,EAAUtiE,EAAIpW,WAC5C06B,EAAO,IAAK,IAAI1hF,EAAI,EAAG2a,EAAI,EAAG3a,EAAI0/H,EAAS1/H,IAAK,CAE9C,IADA,IAAIgwD,EAAQoN,EAAIpN,MAAMhwD,GACbu+D,EAAO5jD,EAAGjG,EAAI7V,KAAKkK,IAAI41E,EAAS3+E,EAAI,GAAIu+D,EAAO7pD,EAAG6pD,IACzD,GAAIotC,EAAI37C,MAAMuO,IAASvO,EAAO,CAC5Br1C,EAAI4jD,EAAO,EACXznB,GAAUkZ,EAAMvG,SAChB,SAASi4B,EAGbz+E,EAAE+sD,EAAOlZ,GACLn8B,EAAIgkE,GAAWgtB,EAAI37C,MAAMr1C,GAAG26C,WAAWtF,GACvCyvE,EAAmB9zB,EAAI37C,MAAMr1C,GAAIq1C,EAAOlZ,EAAS,EAAG7zC,GAEpD+sD,EAAMrF,aAAa,EAAGqF,EAAMnhD,QAAQyS,KAAMre,EAAG6zC,EAAS,GAC1DA,GAAUkZ,EAAMvG,UAeoBg2E,CAAmBnsE,EAASzM,IAAK96C,EAAM86C,IAAK,EAAG/nD,GADpEiN,EAAM86C,IAAImO,YAAYl2D,GAEhCmnD,EAiET,SAAS05E,GAAa5zH,GACpB,IAEI8/D,EAFA/b,EAAM/jD,EAAMi6C,UAAWS,EAAO+zE,EAAczuH,GAC5Cu4D,EAAQ7d,EAAKL,MAAM,GAAI48D,EAAav8D,EAAKsO,OAAO,GAAIn9C,EAAMwmG,EAASz7G,IAAI2hE,GAS3E,OANIuH,EADA/b,aAAeqxD,EACRvpG,EAAI6mG,YAAY3uD,EAAIuuD,YAAYp9F,IAAM+hG,EAAYlzD,EAAI4uD,UAAUz9F,IAAM+hG,GAEtEprG,EAAIynG,SAAS54D,EAAKxlC,IAAM+hG,IAC9BA,WAAaA,EAClBn3C,EAAKj0D,IAAMA,EACXi0D,EAAKvH,MAAQA,EACNuH,EAIT,SAASy5C,GAAUr/D,EAAIkB,EAAKsnD,GAC1B,IAAI72F,EAAMuvC,EAAIvvC,IACVorG,EAAa77D,EAAI67D,WACjB1+C,EAAQnd,EAAImd,MAEZs7D,EAAYnxB,EAAM,GAAK,EAAI,EAC3B0sB,EAAevjH,EAAK0sD,EAAOmqC,EAAMmxB,KACjCA,EAAmB,GAAPnxB,GAAYA,GAAO72F,EAAIuzD,MAAQ,KAAO,GAEtD,IAAK,IAAIs0C,EAAM,EAAGA,EAAM7nG,EAAIi1D,OAAQ4yC,IAAO,CACzC,IAAIjrG,EAAQirG,EAAM7nG,EAAIuzD,MAAQsjC,EAE9B,GAAIA,EAAM,GAAKA,EAAM72F,EAAIuzD,OAASvzD,EAAIA,IAAIpD,EAAQ,IAAMoD,EAAIA,IAAIpD,GAAQ,CACtE,IAAIyM,EAAMrJ,EAAIA,IAAIpD,GAAQ4qG,EAAO96C,EAAMtJ,OAAO/5C,GAC9CglC,EAAGgD,cAAchD,EAAG8C,QAAQnxC,IAAIorG,EAAa/hG,GAAM,KAClCi6G,EAAW9b,EAAK70D,MAAOkkD,EAAM72F,EAAI2gH,SAASt3G,KAE3Dw+F,GAAOL,EAAK70D,MAAM+3D,QAAU,MACvB,CACL,IAAI17G,EAAoB,MAAbg5H,EAAoB9hB,EAAex5C,EAAM19D,KAAK8qD,QAAQ0tD,KAC3D96C,EAAMtJ,OAAOpjD,EAAIA,IAAIpD,EAAQorH,IAAYh5H,KAC3C00F,EAAQ1jF,EAAIqhH,WAAWxZ,EAAKhR,EAAKnqC,GACrCre,EAAG6G,OAAO7G,EAAG8C,QAAQnxC,IAAIorG,EAAa1nB,GAAQ10F,EAAKyhD,kBAGvD,OAAOpC,EAKT,SAAS45E,GAAgB9zH,EAAOg6C,GAC9B,IAAKw0E,EAAUxuH,GAAU,OAAO,EAChC,GAAIg6C,EAAU,CACZ,IAAI8lB,EAAO8zD,GAAa5zH,GACxBg6C,EAASu/D,GAAUv5G,EAAMk6C,GAAI4lB,EAAMA,EAAKK,OAE1C,OAAO,EAKT,SAAS4zD,GAAe/zH,EAAOg6C,GAC7B,IAAKw0E,EAAUxuH,GAAU,OAAO,EAChC,GAAIg6C,EAAU,CACZ,IAAI8lB,EAAO8zD,GAAa5zH,GACxBg6C,EAASu/D,GAAUv5G,EAAMk6C,GAAI4lB,EAAMA,EAAKM,QAE1C,OAAO,EAGT,SAAS42C,GAAa98D,EAAIkB,EAAKsnD,GAM7B,IALA,IAAI72F,EAAMuvC,EAAIvvC,IACV0sD,EAAQnd,EAAImd,MACZ0+C,EAAa77D,EAAI67D,WAEjB+c,EAAW95E,EAAG8C,QAAQmH,KAAKhwD,OACtBu/G,EAAM,EAAGA,EAAM7nG,EAAIi1D,QAAS,CACnC,IAAIr4D,EAAQirG,EAAM7nG,EAAIuzD,MAAQsjC,EAAKxtF,EAAMrJ,EAAIA,IAAIpD,GAAQ4qG,EAAO96C,EAAMtJ,OAAO/5C,GAE7E,GAAKwtF,EAAM,GAAK72F,EAAIA,IAAIpD,EAAQ,IAAMyM,GAASwtF,EAAM72F,EAAIuzD,MAAQ,GAAKvzD,EAAIA,IAAIpD,EAAQ,IAAMyM,EAC1FglC,EAAGgD,cAAchD,EAAG8C,QAAQ/9C,MAAM+0H,GAAUnoH,IAAIorG,EAAa/hG,GAAM,KAClDg6G,EAAc7b,EAAK70D,MAAOkkD,EAAM72F,EAAI2gH,SAASt3G,SACzD,CACL,IAAI8zC,EAAQ9O,EAAG8C,QAAQ/9C,MAAM+0H,GAAUnoH,IAAIorG,EAAa/hG,GACxDglC,EAAGuD,OAAOuL,EAAOA,EAAQqqD,EAAK31D,UAEhCg2D,GAAOL,EAAK70D,MAAM+3D,SAMtB,SAAS0d,GAAaj0H,EAAOg6C,GAC3B,IAAKw0E,EAAUxuH,GAAU,OAAO,EAChC,GAAIg6C,EAAU,CACZ,IAAI8lB,EAAO8zD,GAAa5zH,GAAQk6C,EAAKl6C,EAAMk6C,GAC3C,GAAiB,GAAb4lB,EAAKK,MAAaL,EAAKM,OAASN,EAAKj0D,IAAIuzD,MAAS,OAAO,EAC7D,IAAK,IAAInrE,EAAI6rE,EAAKM,MAAQ,EACxB42C,GAAa98D,EAAI4lB,EAAM7rE,GACnBA,GAAK6rE,EAAKK,KAFclsE,IAG5B6rE,EAAKvH,MAAQuH,EAAKm3C,WAAa/8D,EAAGY,IAAImU,OAAO6Q,EAAKm3C,WAAa,GAAK/8D,EAAGY,IACvEglB,EAAKj0D,IAAMwmG,EAASz7G,IAAIkpE,EAAKvH,OAE/Bve,EAASE,GAEX,OAAO,EAGT,SAASg6E,GAAYroH,EAAK0sD,EAAOm7C,GAE/B,IADA,IAAI2b,EAAatd,EAAex5C,EAAM19D,KAAK8qD,QAAQg2D,YAC1CjZ,EAAM,EAAGA,EAAM72F,EAAIuzD,MAAOsjC,IAC/B,GAAInqC,EAAMtJ,OAAOpjD,EAAIA,IAAI62F,EAAMgR,EAAM7nG,EAAIuzD,QAAQvkE,MAAQw0H,EACvD,OAAO,EACb,OAAO,EAGT,SAAS3U,GAAOxgE,EAAIkB,EAAKs4D,GAMvB,IALA,IAAI7nG,EAAMuvC,EAAIvvC,IACVorG,EAAa77D,EAAI67D,WACjB1+C,EAAQnd,EAAImd,MAEZ69C,EAASa,EACJhjH,EAAI,EAAGA,EAAIy/G,EAAKz/G,IAAOmiH,GAAU79C,EAAMtU,MAAMhwD,GAAGypD,SACzD,IAAI60D,EAAQ,GAAI4hB,EAASzgB,EAAM,GAAK,EAAI,EACpCwgB,GAAYroH,EAAK0sD,EAAOm7C,EAAMygB,KAC9BA,EAAgB,GAAPzgB,GAAYA,GAAO7nG,EAAIi1D,OAAS,KAAO,GACpD,IAAK,IAAI4hC,EAAM,EAAGj6F,EAAQoD,EAAIuzD,MAAQs0C,EAAKhR,EAAM72F,EAAIuzD,MAAOsjC,IAAOj6F,IAEjE,GAAIirG,EAAM,GAAKA,EAAM7nG,EAAIi1D,QAAUj1D,EAAIA,IAAIpD,IAAUoD,EAAIA,IAAIpD,EAAQoD,EAAIuzD,OAAQ,CAC/E,IAAIlqD,EAAMrJ,EAAIA,IAAIpD,GAAQ+1C,EAAQ+Z,EAAMtJ,OAAO/5C,GAAKspC,MACpDtE,EAAGgD,cAAc+5D,EAAa/hG,EAAK,KAAM+5G,EAAQzwE,EAAO,UAAWA,EAAM+3D,QAAU,IACnF7T,GAAOlkD,EAAMg4D,QAAU,MAClB,CACL,IAAI37G,EAAiB,MAAVs5H,EAAiBpiB,EAAex5C,EAAM19D,KAAK8qD,QAAQ0tD,KACxD96C,EAAMtJ,OAAOpjD,EAAIA,IAAIpD,EAAQ0rH,EAAStoH,EAAIuzD,QAAQvkE,KACxD03G,EAAMhoG,KAAK1P,EAAKyhD,iBAIpB,OADApC,EAAG6G,OAAOq1D,EAAQrE,EAAex5C,EAAM19D,KAAK8qD,QAAQ+tD,IAAIxyG,OAAO,KAAMqxG,IAC9Dr4D,EAKT,SAASk6E,GAAap0H,EAAOg6C,GAC3B,IAAKw0E,EAAUxuH,GAAU,OAAO,EAChC,GAAIg6C,EAAU,CACZ,IAAI8lB,EAAO8zD,GAAa5zH,GACxBg6C,EAAS0gE,GAAO16G,EAAMk6C,GAAI4lB,EAAMA,EAAK9F,MAEvC,OAAO,EAKT,SAASq6D,GAAYr0H,EAAOg6C,GAC1B,IAAKw0E,EAAUxuH,GAAU,OAAO,EAChC,GAAIg6C,EAAU,CACZ,IAAI8lB,EAAO8zD,GAAa5zH,GACxBg6C,EAAS0gE,GAAO16G,EAAMk6C,GAAI4lB,EAAMA,EAAKI,SAEvC,OAAO,EAGT,SAASi3C,GAAUj9D,EAAIkB,EAAKs4D,GAM1B,IALA,IAAI7nG,EAAMuvC,EAAIvvC,IACV0sD,EAAQnd,EAAImd,MACZ0+C,EAAa77D,EAAI67D,WAEjBb,EAAS,EACJniH,EAAI,EAAGA,EAAIy/G,EAAKz/G,IAAOmiH,GAAU79C,EAAMtU,MAAMhwD,GAAGypD,SACzD,IAAI42E,EAAUle,EAAS79C,EAAMtU,MAAMyvD,GAAKh2D,SAEpCiE,EAAUzH,EAAG8C,QAAQmH,KAAKhwD,OAC9B+lD,EAAGuD,OAAO24D,EAASa,EAAYqd,EAAUrd,GAEzC,IAAK,IAAIvU,EAAM,EAAGj6F,EAAQirG,EAAM7nG,EAAIuzD,MAAOsjC,EAAM72F,EAAIuzD,MAAOsjC,IAAOj6F,IAAS,CAC1E,IAAIyM,EAAMrJ,EAAIA,IAAIpD,GAClB,GAAIirG,EAAM,GAAKx+F,GAAOrJ,EAAIA,IAAIpD,EAAQoD,EAAIuzD,OAAQ,CAEhD,IAAI5gB,EAAQ+Z,EAAMtJ,OAAO/5C,GAAKspC,MAC9BtE,EAAGgD,cAAchD,EAAG8C,QAAQ/9C,MAAM0iD,GAAS91C,IAAIqJ,EAAM+hG,GAAa,KAAMgY,EAAQzwE,EAAO,UAAWA,EAAM+3D,QAAU,IAClH7T,GAAOlkD,EAAMg4D,QAAU,OAClB,GAAI9C,EAAM7nG,EAAIuzD,OAASlqD,GAAOrJ,EAAIA,IAAIpD,EAAQoD,EAAIuzD,OAAQ,CAE/D,IAAIi0C,EAAO96C,EAAMtJ,OAAO/5C,GACpBgpC,EAAOm1D,EAAKx4G,KAAKqG,OAAO+tH,EAAQ5b,EAAK70D,MAAO,UAAW60D,EAAK70D,MAAM+3D,QAAU,GAAIlD,EAAKvwG,SACrFyxH,EAAS1oH,EAAIqhH,WAAWxZ,EAAM,EAAGhR,EAAKnqC,GAC1Cre,EAAG6G,OAAO7G,EAAG8C,QAAQ/9C,MAAM0iD,GAAS91C,IAAIorG,EAAasd,GAASr2E,GAC9DwkD,GAAO2Q,EAAK70D,MAAMg4D,QAAU,IAOlC,SAASge,GAAUx0H,EAAOg6C,GACxB,IAAKw0E,EAAUxuH,GAAU,OAAO,EAChC,GAAIg6C,EAAU,CACZ,IAAI8lB,EAAO8zD,GAAa5zH,GAAQk6C,EAAKl6C,EAAMk6C,GAC3C,GAAgB,GAAZ4lB,EAAK9F,KAAY8F,EAAKI,QAAUJ,EAAKj0D,IAAIi1D,OAAU,OAAO,EAC9D,IAAK,IAAI7sE,EAAI6rE,EAAKI,OAAS,EACzBi3C,GAAUj9D,EAAI4lB,EAAM7rE,GAChBA,GAAK6rE,EAAK9F,IAFe/lE,IAG7B6rE,EAAKvH,MAAQuH,EAAKm3C,WAAa/8D,EAAGY,IAAImU,OAAO6Q,EAAKm3C,WAAa,GAAK/8D,EAAGY,IACvEglB,EAAKj0D,IAAMwmG,EAASz7G,IAAIkpE,EAAKvH,OAE/Bve,EAASE,GAEX,OAAO,EAGT,SAASu6E,GAAQphB,GACf,IAAIvxG,EAAIuxG,EAAKvwG,QACb,OAAuB,GAAhBhB,EAAEm5C,YAAmBn5C,EAAEyO,WAAWiqC,aAA0C,GAA3B14C,EAAEyO,WAAW0qC,WA0BvE,SAASy5E,GAAW10H,EAAOg6C,GACzB,IAAI+J,EAAM/jD,EAAMi6C,UAChB,KAAM8J,aAAeqxD,IAAkBrxD,EAAIuuD,YAAYp9F,KAAO6uC,EAAI4uD,UAAUz9F,IAAO,OAAO,EAC1F,IAAI4qD,EAAO8zD,GAAa5zH,GACpB6L,EAAMi0D,EAAKj0D,IACf,GA5BF,SAA+BuvC,EAAK0kB,GAOlC,IANA,IAAIV,EAAQhkB,EAAIgkB,MACZ0B,EAAS1lB,EAAI0lB,OACbj1D,EAAMuvC,EAAIvvC,IAEV8oH,EAAW70D,EAAK9F,IAAMoF,EAAQU,EAAKK,KAAMy0D,EAAYD,EACrDE,GAAe/0D,EAAKI,OAAS,GAAKd,EAAQU,EAAKK,KAAM20D,EAAaH,GAAY70D,EAAKM,MAAQN,EAAKK,KAAO,GAClGlsE,EAAI6rE,EAAK9F,IAAK/lE,EAAI6rE,EAAKI,OAAQjsE,IAAK,CAC3C,GAAI6rE,EAAKK,KAAO,GAAKt0D,EAAI+oH,IAAc/oH,EAAI+oH,EAAY,IACnD90D,EAAKM,MAAQhB,GAASvzD,EAAIipH,IAAejpH,EAAIipH,EAAa,GAAM,OAAO,EAC3EF,GAAax1D,EAAO01D,GAAc11D,EAEpC,IAAK,IAAItf,EAAMggB,EAAKK,KAAMrgB,EAAMggB,EAAKM,MAAOtgB,IAAO,CACjD,GAAIggB,EAAK9F,IAAM,GAAKnuD,EAAI8oH,IAAa9oH,EAAI8oH,EAAWv1D,IAChDU,EAAKI,OAASY,GAAUj1D,EAAIgpH,IAAgBhpH,EAAIgpH,EAAcz1D,GAAU,OAAO,EACnFu1D,IAAYE,IAEd,OAAO,EAWHE,CAAsBlpH,EAAKi0D,GAAS,OAAO,EAC/C,GAAI9lB,EAAU,CAEZ,IADA,IAAwDg7E,EAAWC,EAA/D/6E,EAAKl6C,EAAMk6C,GAAIkN,EAAO,GAAItkD,EAAU,WAASuuB,MACxCqiF,EAAM5zC,EAAK9F,IAAK05C,EAAM5zC,EAAKI,OAAQwzC,IAC1C,IAAK,IAAIhR,EAAM5iC,EAAKK,KAAMuiC,EAAM5iC,EAAKM,MAAOsiC,IAAO,CACjD,IAAI0Q,EAAUvnG,EAAIA,IAAI6nG,EAAM7nG,EAAIuzD,MAAQsjC,GAAM2Q,EAAOvzC,EAAKvH,MAAMtJ,OAAOmkD,GACvE,IAAIhsD,EAAKgsD,GAET,GADAhsD,EAAKgsD,IAAW,EACC,MAAb4hB,EACFA,EAAY5hB,EACZ6hB,EAAa5hB,MACR,CACAohB,GAAQphB,KAASvwG,EAAUA,EAAQ+lD,OAAOwqD,EAAKvwG,UACpD,IAAI8zE,EAAS18B,EAAG8C,QAAQnxC,IAAIunG,EAAUtzC,EAAKm3C,YAC3C/8D,EAAGuD,OAAOm5B,EAAQA,EAASy8B,EAAK31D,WAOtC,GAHAxD,EAAGgD,cAAc83E,EAAYl1D,EAAKm3C,WAAY,KAC7BgY,EAAQE,EAAW8F,EAAWz2E,MAAOy2E,EAAWz2E,MAAMg4D,QAAU12C,EAAKM,MAAQN,EAAKK,KAAQ80D,EAAWz2E,MAAMg4D,SACnG,UAAW12C,EAAKI,OAASJ,EAAK9F,MACnDl3D,EAAQyS,KAAM,CAChB,IAAIyoC,EAAMg3E,EAAY,EAAIC,EAAWnyH,QAAQyS,KACzCyzC,EAAQyrE,GAAQQ,GAAcD,EAAY,EAAIh3E,EAClD9D,EAAGmC,YAAY2M,EAAQ8W,EAAKm3C,WAAYj5D,EAAM8hB,EAAKm3C,WAAYn0G,GAEjEo3C,EAAGqC,aAAa,IAAI64D,EAAcl7D,EAAGY,IAAIziC,QAAQ28G,EAAYl1D,EAAKm3C,cAClEj9D,EAASE,GAEX,OAAO,EAKT,SAASg7E,GAAUl1H,EAAOg6C,GACxB,IAAIiW,EAAY8hD,EAAe/xG,EAAM2lD,QACrC,OAAOwvE,IAAkB,SAAU/5E,GACjC,IAAIf,EAAOe,EAAIf,KAEf,OAAO4V,EAAU5V,EAAKx/C,KAAK8/C,KAAKs3D,aAH3BkjB,CAIJn1H,EAAOg6C,GAMZ,SAASm7E,GAAkBC,GACzB,OAAO,SAAUp1H,EAAOg6C,GACtB,IACI6zE,EAAUza,EADVrvD,EAAM/jD,EAAMi6C,UAEhB,GAAM8J,aAAeqxD,EAId,CACL,GAAIrxD,EAAIuuD,YAAYp9F,KAAO6uC,EAAI4uD,UAAUz9F,IAAO,OAAO,EACvD24G,EAAW9pE,EAAIuuD,YAAY/0D,UAC3B61D,EAAUrvD,EAAIuuD,YAAYp9F,QAPS,CAEnC,KADA24G,EAjsCN,SAAsBnzE,GACpB,IAAK,IAAIhe,EAAIge,EAAKG,MAAOne,EAAI,EAAGA,IAAK,CACnC,IAAI6vF,EAAO7xE,EAAKL,KAAK3d,GAAG7hC,KAAK8/C,KAAKs3D,UAClC,GAAa,SAATsa,GAA4B,gBAATA,EAA0B,OAAO7xE,EAAKL,KAAK3d,GAEpE,OAAO,KA4rCQ24F,CAAatxE,EAAI1I,QACX,OAAO,EACxB+3D,EAAUmb,EAAWxqE,EAAI1I,OAAOnmC,IAMlC,GAA8B,GAA1B24G,EAASrvE,MAAMg4D,SAA0C,GAA1BqX,EAASrvE,MAAM+3D,QAAe,OAAO,EACxE,GAAIv8D,EAAU,CACZ,IAAIs7E,EAAYzH,EAASrvE,MAAOA,EAAQ,GAAIstE,EAAWwJ,EAAUxJ,SAC7DwJ,EAAU/e,QAAU,IAAK+e,EAAYrG,EAAQqG,EAAW,UAAW,IACnEA,EAAU9e,QAAU,IAAK8e,EAAYrG,EAAQqG,EAAW,UAAW,IAEvE,IADA,IAGIC,EAHAz1D,EAAO8zD,GAAa5zH,GAAQk6C,EAAKl6C,EAAMk6C,GAClCjmD,EAAI,EAAGA,EAAI6rE,EAAKM,MAAQN,EAAKK,KAAMlsE,IACxCuqD,EAAMj0C,KAAKuhH,EAAWmD,EAAQqG,EAAW,WAAYxJ,GAAYA,EAAS73H,GAAK,CAAC63H,EAAS73H,IAAM,MAAQqhI,GAE3G,IAAK,IAAI5hB,EAAM5zC,EAAK9F,IAAK05C,EAAM5zC,EAAKI,OAAQwzC,IAAO,CACjD,IAAIx+F,EAAM4qD,EAAKj0D,IAAIqhH,WAAWxZ,EAAK5zC,EAAKK,KAAML,EAAKvH,OAC/Cm7C,GAAO5zC,EAAK9F,MAAO9kD,GAAO24G,EAASnwE,UACvC,IAAK,IAAIglD,EAAM5iC,EAAKK,KAAMrgB,EAAM,EAAG4iD,EAAM5iC,EAAKM,MAAOsiC,IAAO5iD,IACtD4iD,GAAO5iC,EAAKK,MAAQuzC,GAAO5zC,EAAK9F,KACpC9f,EAAG6G,OAAOw0E,EAAWr7E,EAAG8C,QAAQnxC,IAAIqJ,EAAM4qD,EAAKm3C,WAAY,GAAIme,EAAY,CAAE/6E,KAAMwzE,EAAUna,IAAKA,EAAKhR,IAAKA,IAAMpmD,cAAckC,EAAMsB,KAG1I5F,EAAGgD,cAAck2D,EAASgiB,EAAY,CAAE/6E,KAAMwzE,EAAUna,IAAK5zC,EAAK9F,IAAK0oC,IAAK5iC,EAAKK,OAAQ3hB,EAAM,IAC3FuF,aAAeqxD,GACfl7D,EAAGqC,aAAa,IAAI64D,EAAcl7D,EAAGY,IAAIziC,QAAQ0rC,EAAIuuD,YAAYp9F,KACjCqgH,GAAYr7E,EAAGY,IAAIziC,QAAQk9G,KAC/Dv7E,EAASE,GAEX,OAAO,GAQX,SAASs7E,GAAY5iI,EAAM0E,GACzB,OAAO,SAAS0I,EAAOg6C,GACrB,IAAKw0E,EAAUxuH,GAAU,OAAO,EAChC,IAAIwyH,EAAQ/D,EAAczuH,GAC1B,GAAIwyH,EAAMj1E,UAAUiB,MAAM5rD,KAAU0E,EAAS,OAAO,EACpD,GAAI0iD,EAAU,CACZ,IAAIE,EAAKl6C,EAAMk6C,GACXl6C,EAAMi6C,qBAAqBm7D,EAC3Bp1G,EAAMi6C,UAAU81E,aAAY,SAAU11E,EAAMnlC,GACxCmlC,EAAKmE,MAAM5rD,KAAU0E,GACrB4iD,EAAGgD,cAAchoC,EAAK,KAAM+5G,EAAQ50E,EAAKmE,MAAO5rD,EAAM0E,OAG1D4iD,EAAGgD,cAAcs1E,EAAMt9G,IAAK,KAAM+5G,EAAQuD,EAAMj1E,UAAUiB,MAAO5rD,EAAM0E,IAC3E0iD,EAASE,GAEX,OAAO,GAwBX,SAASu7E,GAAsB56H,EAAMilE,EAAMhjB,GASzC,IAPA,IAAI44E,EAAgB51D,EAAKj0D,IAAI2mG,YAAY,CACvCryC,KAAM,EACNnG,IAAK,EACLoG,MAAe,OAARvlE,EAAgBilE,EAAKj0D,IAAIuzD,MAAQ,EACxCc,OAAgB,UAARrlE,EAAmBilE,EAAKj0D,IAAIi1D,OAAS,IAGtC7sE,EAAI,EAAGA,EAAIyhI,EAAcvhI,OAAQF,IAAK,CAC7C,IAAIo/G,EAAOvzC,EAAKvH,MAAMtJ,OAAOymE,EAAczhI,IAC3C,GAAIo/G,GAAQA,EAAKx4G,OAASiiD,EAAM6+D,YAC9B,OAAO,EAIX,OAAO,EAMT,SAASga,GAAa96H,EAAM7C,GAG1B,OAFAA,EAAUA,GAAW,CAAE49H,oBAAoB,IAE/BA,mBA7Cd,SAAiC/6H,GAC/B,OAAO,SAASmF,EAAOg6C,GACrB,IAAKw0E,EAAUxuH,GAAU,OAAO,EAChC,GAAIg6C,EAAU,CAMZ,IALA,IAAI8C,EAAQi1D,EAAe/xG,EAAM2lD,QAC7Bma,EAAO8zD,GAAa5zH,GAAQk6C,EAAKl6C,EAAMk6C,GACvCq4D,EAAQzyC,EAAKj0D,IAAI2mG,YAAoB,UAAR33G,EAAmB,IAAI8wH,EAAK7rD,EAAKK,KAAM,EAAGL,EAAKM,MAAON,EAAKj0D,IAAIi1D,QACvD,OAARjmE,EAAgB,IAAI8wH,EAAK,EAAG7rD,EAAK9F,IAAK8F,EAAKj0D,IAAIuzD,MAAOU,EAAKI,QAAUJ,GAClG/U,EAAQwnD,EAAM1mG,KAAI,SAAUqJ,GAAO,OAAO4qD,EAAKvH,MAAMtJ,OAAO/5C,MACvDjhB,EAAI,EAAGA,EAAIs+G,EAAMp+G,OAAQF,IAC1B82D,EAAM92D,GAAG4G,MAAQiiD,EAAM6+D,aACzBzhE,EAAGgD,cAAc4iB,EAAKm3C,WAAa1E,EAAMt+G,GAAI6oD,EAAMu2D,KAAMtoD,EAAM92D,GAAGuqD,OACxE,GAAuB,GAAnBtE,EAAG0H,MAAMztD,OAAe,IAAK,IAAI2rD,EAAM,EAAGA,EAAMyyD,EAAMp+G,OAAQ2rD,IAC9D5F,EAAGgD,cAAc4iB,EAAKm3C,WAAa1E,EAAMzyD,GAAMhD,EAAM6+D,YAAa5wD,EAAMjL,GAAKtB,OACjFxE,EAASE,GAEX,OAAO,GA8BE27E,CAAwBh7H,GAE5B,SAASmF,EAAOg6C,GACrB,IAAKw0E,EAAUxuH,GAAU,OAAO,EAChC,GAAIg6C,EAAU,CACZ,IAAI8C,EAAQi1D,EAAe/xG,EAAM2lD,QAC7Bma,EAAO8zD,GAAa5zH,GAAQk6C,EAAKl6C,EAAMk6C,GAEvC47E,EAAqBL,GAAsB,MAAO31D,EAAMhjB,GACxDi5E,EAAwBN,GAAsB,SAAU31D,EAAMhjB,GAK9Dk5E,GAH2B,WAATn7H,EAAoBi7H,EACX,QAATj7H,GAAoBk7H,GAEA,EAAI,EAE1CE,EAAoB,UAARp7H,EAAmB,IAAI8wH,EAAK,EAAGqK,EAAmB,EAAGl2D,EAAKj0D,IAAIi1D,QACtD,OAARjmE,EAAgB,IAAI8wH,EAAKqK,EAAmB,EAAGl2D,EAAKj0D,IAAIuzD,MAAO,GAAKU,EAEhFo2D,EAAkB,UAARr7H,EAAmBk7H,EAAwBj5E,EAAMu2D,KAAOv2D,EAAM6+D,YACtD,OAAR9gH,EAAgBi7H,EAAqBh5E,EAAMu2D,KAAOv2D,EAAM6+D,YAAc7+D,EAAMu2D,KAE1FvzC,EAAKj0D,IAAI2mG,YAAYyjB,GAAWniI,SAAQ,SAAUqiI,GAChD,IAAI/iB,EAAU+iB,EAAkBr2D,EAAKm3C,WACjC5D,EAAOn5D,EAAGY,IAAImU,OAAOmkD,GAErBC,GACFn5D,EAAGgD,cAAck2D,EAAS8iB,EAAS7iB,EAAK70D,UAI5CxE,EAASE,GAEX,OAAO,GAMX,IAAIk8E,GAAkBT,GAAa,MAAO,CAAEC,oBAAoB,IAI5DS,GAAqBV,GAAa,SAAU,CAAEC,oBAAoB,IAIlEU,GAAmBX,GAAa,OAAQ,CAAEC,oBAAoB,IAyBlE,SAASW,GAAa3pF,GACpB,OAAO,SAAS5sC,EAAOg6C,GACrB,IAAKw0E,EAAUxuH,GAAU,OAAO,EAChC,IAAIqzG,EA1BR,SAAsBmf,EAAO/mH,GAC3B,GAAIA,EAAM,EAAG,CACX,IAAIsvC,EAASy3E,EAAMl1E,WACnB,GAAIvC,EAAU,OAAOy3E,EAAMt9G,IAAM6lC,EAAO2C,SACxC,IAAK,IAAIg2D,EAAM8e,EAAM/pH,OAAO,GAAK,EAAG2kH,EAASoF,EAAMz3E,SAAU24D,GAAO,EAAGA,IAAO,CAC5E,IAAI6Z,EAAUiF,EAAMn4E,MAAM,GAAG4J,MAAMyvD,GACnC,GAAI6Z,EAAQtyE,WAAc,OAAOmyE,EAAS,EAAIG,EAAQhzE,UAAUmD,SAChE0vE,GAAUG,EAAQ7vE,cAEf,CACL,GAAI80E,EAAM/pH,QAAU+pH,EAAMr0H,OAAO88C,WAAa,EAAK,OAAOu3E,EAAMt9G,IAAMs9G,EAAMj1E,UAAUG,SAEtF,IADA,IAAI6a,EAAQi6D,EAAMn4E,MAAM,GACfk3E,EAAQiB,EAAMt2E,YAAY,GAAIixE,EAAWqF,EAAMt3E,QAASq2E,EAAQh5D,EAAMtd,WAAYs2E,IAAS,CAClG,IAAIiF,EAAYj+D,EAAMtU,MAAMstE,GAC5B,GAAIiF,EAAUv7E,WAAc,OAAOkyE,EAAW,EAC9CA,GAAYqJ,EAAU94E,WAWb+4E,CAAahI,EAAczuH,GAAQ4sC,GAC9C,GAAY,MAARymE,EAAJ,CACA,GAAIr5D,EAAU,CACZ,IAAIw4E,EAAQxyH,EAAM86C,IAAIziC,QAAQg7F,GAC9Br5D,EAASh6C,EAAMk6C,GAAGqC,aAAa,gBAAcyG,QAAQwvE,EAAO3D,EAAgB2D,KAASr4E,kBAEvF,OAAO,IAMX,SAASu8E,GAAY12H,EAAOg6C,GAE1B,IADA,IAAIU,EAAO16C,EAAMi6C,UAAU8B,QAClBrf,EAAIge,EAAKG,MAAOne,EAAI,EAAGA,IAAK,CAEnC,GAAgC,SADrBge,EAAKL,KAAK3d,GACZ7hC,KAAK8/C,KAAKs3D,UAEjB,OADIj4D,GAAYA,EAASh6C,EAAMk6C,GAAGuD,OAAO/C,EAAKK,OAAOre,GAAIge,EAAKQ,MAAMxe,IAAIyd,mBACjE,EAGX,OAAO,EAGT,IAAIw8E,GAAY,SAAmBt8E,EAAMu8E,GACvC56H,KAAKq+C,KAAOA,EACZr+C,KAAK46H,aAAeA,EACpB56H,KAAK45D,IAAMjgE,SAASqZ,cAAc,OAClChT,KAAK45D,IAAI4K,UAAY,eACrBxkE,KAAKu8D,MAAQv8D,KAAK45D,IAAIhyD,YAAYjO,SAASqZ,cAAc,UACzDhT,KAAKymG,SAAWzmG,KAAKu8D,MAAM30D,YAAYjO,SAASqZ,cAAc,aAC9D6nH,GAAcx8E,EAAMr+C,KAAKymG,SAAUzmG,KAAKu8D,MAAOq+D,GAC/C56H,KAAKkgE,WAAalgE,KAAKu8D,MAAM30D,YAAYjO,SAASqZ,cAAc,WAclE,SAAS6nH,GAAcx8E,EAAMooD,EAAUlqC,EAAOq+D,EAAcE,EAAaC,GAGvE,IAFA,IAAIC,EAAa,EAAGC,GAAa,EAC7Bt5B,EAAU8E,EAASlyF,WAAYmjG,EAAMr5D,EAAK9pC,WACrCtc,EAAI,EAAGyuG,EAAM,EAAGzuG,EAAIy/G,EAAIz4D,WAAYhnD,IAI3C,IAHA,IAAImnD,EAAMs4D,EAAIzvD,MAAMhwD,GAAGuqD,MACnBg4D,EAAUp7D,EAAIo7D,QACdsV,EAAW1wE,EAAI0wE,SACVl9G,EAAI,EAAGA,EAAI4nG,EAAS5nG,IAAK8zF,IAAO,CACvC,IAAIw0B,EAAWJ,GAAep0B,EAAMq0B,EAAgBjL,GAAYA,EAASl9G,GACrEuoH,EAAWD,EAAWA,EAAW,KAAO,GAC5CF,GAAcE,GAAYN,EACrBM,IAAYD,GAAa,GACzBt5B,GAGCA,EAAQj6F,MAAM07D,OAAS+3D,IAAYx5B,EAAQj6F,MAAM07D,MAAQ+3D,GAC7Dx5B,EAAUA,EAAQtiC,aAHlBonC,EAAS7+F,YAAYjO,SAASqZ,cAAc,QAAQtL,MAAM07D,MAAQ+3D,EAQxE,KAAOx5B,GAAS,CACd,IAAIziD,EAAQyiD,EAAQtiC,YACpBsiC,EAAQvuF,WAAWC,YAAYsuF,GAC/BA,EAAUziD,EAGR+7E,GACF1+D,EAAM70D,MAAM07D,MAAQ43D,EAAa,KACjCz+D,EAAM70D,MAAM0zH,SAAW,KAEvB7+D,EAAM70D,MAAM07D,MAAQ,GACpB7G,EAAM70D,MAAM0zH,SAAWJ,EAAa,MA3CxCL,GAAUpjI,UAAU0b,OAAS,SAAiBorC,GAC5C,OAAIA,EAAKx/C,MAAQmB,KAAKq+C,KAAKx/C,OAC3BmB,KAAKq+C,KAAOA,EACZw8E,GAAcx8E,EAAMr+C,KAAKymG,SAAUzmG,KAAKu8D,MAAOv8D,KAAK46H,eAC7C,IAGTD,GAAUpjI,UAAUihG,eAAiB,SAAyB6iC,GAC5D,MAAsB,cAAfA,EAAOx8H,OAAyBw8H,EAAOn/H,QAAU8D,KAAKu8D,OAASv8D,KAAKymG,SAAS1lC,SAASs6D,EAAOn/H,UAuCtG,IAAIo/H,GAAQ,IAAI,YAAU,uBAE1B,SAASC,GAAen8E,QACT,IAARA,IAAiBA,EAAM,IAC5B,IAAIo8E,EAAcp8E,EAAIo8E,iBAAkC,IAAhBA,IAAyBA,EAAc,GAC/E,IAAIZ,EAAex7E,EAAIw7E,kBAAoC,IAAjBA,IAA0BA,EAAe,IACnF,IAAIa,EAAOr8E,EAAIq8E,UAAoB,IAATA,IAAkBA,EAAOd,IACnD,IAAIe,EAAsBt8E,EAAIs8E,oBAkC9B,YAlCgF,IAAxBA,IAAiCA,GAAsB,GAElG,IAAI,SAAO,CACtBtjI,IAAKkjI,GACLt3H,MAAO,CACLiuB,KAAM,SAAc63B,EAAG9lD,GAGrB,OAFAhE,KAAK2+C,KAAKztB,MAAMmhD,UAAU0jC,EAAe/xG,EAAM2lD,QAAQ4S,MAAM3lE,MAC3D,SAAUynD,EAAMiG,GAAQ,OAAO,IAAIm3E,EAAKp9E,EAAMu8E,EAAct2E,IACvD,IAAIq3E,IAAa,GAAG,IAE7B51H,MAAO,SAAem4C,EAAIlqB,GACxB,OAAOA,EAAKjuB,MAAMm4C,KAGtBhtB,MAAO,CACLqgD,WAAY,SAAoBvtE,GAE9B,OADkBs3H,GAAMnvE,SAASnoD,GACd43H,cAAgB,EAAI,CAACt4D,MAAO,iBAAmB,MAGpEmO,gBAAiB,CACfoqD,UAAW,SAAmBv3E,EAAMhkD,IAmC5C,SAAyBgkD,EAAMhkD,EAAOk7H,EAAaZ,EAAcc,GAC/D,IAAII,EAAcR,GAAMnvE,SAAS7H,EAAKtgD,OAEtC,IAAK83H,EAAYz2D,SAAU,CACzB,IAAInpE,EA8ER,SAAuBA,GACrB,KAAOA,GAA6B,MAAnBA,EAAO8iE,UAAuC,MAAnB9iE,EAAO8iE,UAC/C9iE,EAASA,EAAO68F,UAAUh4B,SAAS,eAAiB,KAAO7kE,EAAOkX,WACtE,OAAOlX,EAjFQ6/H,CAAcz7H,EAAMpE,QAASm7G,GAAQ,EAClD,GAAIn7G,EAAQ,CACV,IAAIkjD,EAAMljD,EAAO+nE,wBACbE,EAAO/kB,EAAI+kB,KACXC,EAAQhlB,EAAIglB,MACZ9jE,EAAM6kE,QAAUhB,GAAQq3D,EACxBnkB,EAAO2kB,GAAS13E,EAAMhkD,EAAO,QACxB8jE,EAAQ9jE,EAAM6kE,SAAWq2D,IAC9BnkB,EAAO2kB,GAAS13E,EAAMhkD,EAAO,UAGnC,GAAI+2G,GAAQykB,EAAYF,aAAc,CACpC,IAAKF,IAAiC,IAAVrkB,EAAa,CACvC,IAAImf,EAAQlyE,EAAKtgD,MAAM86C,IAAIziC,QAAQg7F,GAC/B96C,EAAQi6D,EAAMn4E,MAAM,GAAIxuC,EAAMwmG,EAASz7G,IAAI2hE,GAAQvP,EAAQwpE,EAAMxpE,OAAO,GAG5E,GAFUn9C,EAAI2gH,SAASgG,EAAMt9G,IAAM8zC,GAASwpE,EAAMj1E,UAAUiB,MAAMg4D,QAAU,GAEjE3qG,EAAIuzD,MAAQ,EACrB,OAIJ64D,GAAa33E,EAAM+yD,KA7D4B6kB,CAAgB53E,EAAMhkD,EAAOk7H,EAAaZ,EAAcc,IACrGS,WAAY,SAAoB73E,IAiExC,SAA0BA,GACxB,IAAIw3E,EAAcR,GAAMnvE,SAAS7H,EAAKtgD,OAClC83H,EAAYF,cAAgB,IAAME,EAAYz2D,UAAY42D,GAAa33E,GAAO,GAnEpC83E,CAAiB93E,IACzD0mD,UAAW,SAAmB1mD,EAAMhkD,IAqE5C,SAA2BgkD,EAAMhkD,EAAOs6H,GACtC,IAAIkB,EAAcR,GAAMnvE,SAAS7H,EAAKtgD,OACtC,IAAiC,GAA7B83H,EAAYF,cAAsBE,EAAYz2D,SAAY,OAAO,EAErE,IAAIgyC,EAAO/yD,EAAKtgD,MAAM86C,IAAImU,OAAO6oE,EAAYF,cACzCx4D,EAyBN,SAAyB9e,EAAM8yD,EAASh4D,GACtC,IAAIo7D,EAAUp7D,EAAIo7D,QACdsV,EAAW1wE,EAAI0wE,SAEf1sD,EAAQ0sD,GAAYA,EAASA,EAAS33H,OAAS,GACnD,GAAIirE,EAAS,OAAOA,EACpB,IAAIxJ,EAAMtV,EAAKsvD,SAASwD,GAEpBilB,EADOziE,EAAIvb,KAAKlqC,WAAWylD,EAAI7qB,QACfutF,YAAa9qH,EAAQgpG,EACzC,GAAIsV,EAAY,IAAK,IAAI73H,EAAI,EAAGA,EAAIuiH,EAASviH,IAAW63H,EAAS73H,KAC/DokI,GAAYvM,EAAS73H,GACrBuZ,KAEF,OAAO6qH,EAAW7qH,EAtCN+qH,CAAgBj4E,EAAMw3E,EAAYF,aAAcvkB,EAAK70D,OAGjE,SAASuX,EAAOz5D,GACdrJ,OAAOysE,oBAAoB,UAAW3J,GACtC9iE,OAAOysE,oBAAoB,YAAa6a,GACxC,IAAIu9C,EAAcR,GAAMnvE,SAAS7H,EAAKtgD,OAClC83H,EAAYz2D,YA6DpB,SAA2B/gB,EAAM+yD,EAAMj0C,GAKrC,IAJA,IAAIozD,EAAQlyE,EAAKtgD,MAAM86C,IAAIziC,QAAQg7F,GAC/B96C,EAAQi6D,EAAMn4E,MAAM,GAAIxuC,EAAMwmG,EAASz7G,IAAI2hE,GAAQvP,EAAQwpE,EAAMxpE,OAAO,GACxE05C,EAAM72F,EAAI2gH,SAASgG,EAAMt9G,IAAM8zC,GAASwpE,EAAMj1E,UAAUiB,MAAMg4D,QAAU,EACxEt8D,EAAKoG,EAAKtgD,MAAMk6C,GACXw5D,EAAM,EAAGA,EAAM7nG,EAAIi1D,OAAQ4yC,IAAO,CACzC,IAAI8kB,EAAW9kB,EAAM7nG,EAAIuzD,MAAQsjC,EAEjC,IAAIgR,GAAO7nG,EAAIA,IAAI2sH,IAAa3sH,EAAIA,IAAI2sH,EAAW3sH,EAAIuzD,OAAvD,CACA,IAAIlqD,EAAMrJ,EAAIA,IAAI2sH,GAEdh6E,EADM+Z,EAAMtJ,OAAO/5C,GACPspC,MACZ/1C,EAAyB,GAAjB+1C,EAAMg4D,QAAe,EAAI9T,EAAM72F,EAAI2gH,SAASt3G,GACxD,IAAIspC,EAAMstE,UAAYttE,EAAMstE,SAASrjH,IAAU22D,EAA/C,CACA,IAAI0sD,EAAWttE,EAAMstE,SAAWttE,EAAMstE,SAAS7sH,QAAUw5H,GAAOj6E,EAAMg4D,SACtEsV,EAASrjH,GAAS22D,EAClBllB,EAAGgD,cAAc8L,EAAQ9zC,EAAK,KAAM+5G,EAAQzwE,EAAO,WAAYstE,MAE7D5xE,EAAGw0B,YAAcpuB,EAAKtG,SAASE,GA9E/Bw+E,CAAkBp4E,EAAMw3E,EAAYF,aAAce,GAAab,EAAYz2D,SAAU/kE,EAAOs6H,IAC5Ft2E,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAG0L,QAAQ0xE,GAAO,CAACsB,YAAa,SAG7D,SAASr+C,EAAKj+E,GACZ,IAAKA,EAAMu8H,MAAS,OAAO9iE,EAAOz5D,GAClC,IAAIw7H,EAAcR,GAAMnvE,SAAS7H,EAAKtgD,OAClC84H,EAAUH,GAAab,EAAYz2D,SAAU/kE,EAAOs6H,IA0E5D,SAA4Bt2E,EAAM+yD,EAAMj0C,EAAOw3D,GAC7C,IAAIpE,EAAQlyE,EAAKtgD,MAAM86C,IAAIziC,QAAQg7F,GAC/B96C,EAAQi6D,EAAMn4E,MAAM,GAAI2O,EAAQwpE,EAAMxpE,OAAO,GAC7C05C,EAAM2P,EAASz7G,IAAI2hE,GAAOi0D,SAASgG,EAAMt9G,IAAM8zC,GAASwpE,EAAMj1E,UAAUiB,MAAMg4D,QAAU,EACxF5gD,EAAMtV,EAAKsvD,SAAS4iB,EAAMxpE,OAAO,IAAI3O,KACzC,KAAuB,SAAhBub,EAAIoF,UAAuBpF,EAAMA,EAAIxmD,WAC5CynH,GAAct+D,EAAO3C,EAAIrlD,WAAYqlD,EAAKghE,EAAcl0B,EAAKtjC,GA/E3D25D,CAAmBz4E,EAAMw3E,EAAYF,aAAckB,EAASlC,GAf9Dt2E,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAG0L,QAAQ0xE,GAAO,CAACsB,YAAa,CAAC3qC,OAAQ3xF,EAAM6kE,QAAS63D,WAAY55D,MAkB7FnsE,OAAOmnB,iBAAiB,UAAW27C,GACnC9iE,OAAOmnB,iBAAiB,YAAamgE,GACrCj+E,EAAMmnF,iBA/F6Cw1C,CAAkB34E,EAAMhkD,EAAOs6H,KAG9EhxD,YAAa,SAAqB5lE,GAChC,IAAI83H,EAAcR,GAAMnvE,SAASnoD,GACjC,GAAI83H,EAAYF,cAAgB,EAAK,OA6K7C,SAA2B53H,EAAOqzG,GAKhC,IAJA,IAAIztC,EAAc,GACd4sD,EAAQxyH,EAAM86C,IAAIziC,QAAQg7F,GAC1B96C,EAAQi6D,EAAMn4E,MAAM,GAAIxuC,EAAMwmG,EAASz7G,IAAI2hE,GAAQvP,EAAQwpE,EAAMxpE,OAAO,GACxE05C,EAAM72F,EAAI2gH,SAASgG,EAAMt9G,IAAM8zC,GAASwpE,EAAMj1E,UAAUiB,MAAMg4D,QACzD9C,EAAM,EAAGA,EAAM7nG,EAAIi1D,OAAQ4yC,IAAO,CACzC,IAAIjrG,EAAQi6F,EAAMgR,EAAM7nG,EAAIuzD,MAAQ,EAIpC,KAAKsjC,GAAO72F,EAAIuzD,OAASvzD,EAAIA,IAAIpD,IAAUoD,EAAIA,IAAIpD,EAAQ,IAC/C,GAAPirG,GAAY7nG,EAAIA,IAAIpD,EAAQ,IAAMoD,EAAIA,IAAIpD,EAAQ,EAAIoD,EAAIuzD,QAAS,CACtE,IAAIg0C,EAAUvnG,EAAIA,IAAIpD,GAClByM,EAAM8zC,EAAQoqD,EAAU76C,EAAMtJ,OAAOmkD,GAAS11D,SAAW,EACzDkY,EAAMjgE,SAASqZ,cAAc,OACjC4mD,EAAI4K,UAAY,uBAChBoF,EAAYr7D,KAAK,IAAWq4D,OAAO1tD,EAAK0gD,KAG5C,OAAO,IAAc10D,OAAOlB,EAAM86C,IAAK8qB,GAhMWszD,CAAkBl5H,EAAO83H,EAAYF,eAGnFvpD,UAAW,MAMjB,IAAIspD,GAAc,SAAqBC,EAAcv2D,GACnDrlE,KAAK47H,aAAeA,EACpB57H,KAAKqlE,SAAWA,GAyGlB,SAAS22D,GAAS13E,EAAMhkD,EAAOg+C,GAC7B,IAAI+H,EAAQ/B,EAAK4gB,YAAY,CAACf,KAAM7jE,EAAM6kE,QAASnH,IAAK19D,EAAM8kE,UAC9D,IAAK/e,EAAS,OAAQ,EACtB,IAAIntC,EAAMmtC,EAAMntC,IACZs9G,EAAQjE,EAAWjuE,EAAKtgD,MAAM86C,IAAIziC,QAAQnD,IAC9C,IAAKs9G,EAAS,OAAQ,EACtB,GAAY,SAARl4E,EAAmB,OAAOk4E,EAAMt9G,IACpC,IAAIrJ,EAAMwmG,EAASz7G,IAAI47H,EAAMn4E,MAAM,IAAK2O,EAAQwpE,EAAMxpE,OAAO,GACzDvgD,EAAQoD,EAAIA,IAAIjR,QAAQ43H,EAAMt9G,IAAM8zC,GACxC,OAAOvgD,EAAQoD,EAAIuzD,OAAS,GAAK,EAAIpW,EAAQn9C,EAAIA,IAAIpD,EAAQ,GAG/D,SAASkwH,GAAat3D,EAAU/kE,EAAOs6H,GACrC,IAAI7rF,EAASzuC,EAAM6kE,QAAUE,EAAS4sB,OACtC,OAAOn7F,KAAKkwB,IAAI4zG,EAAcv1D,EAAS23D,WAAajuF,GAGtD,SAASktF,GAAa33E,EAAMhpD,GAC1BgpD,EAAKtG,SAASsG,EAAKtgD,MAAMk6C,GAAG0L,QAAQ0xE,GAAO,CAAC6B,UAAW7hI,KAiCzD,SAASmhI,GAAO5lH,GAEd,IADA,IAAIhd,EAAS,GACJ5B,EAAI,EAAGA,EAAI4e,EAAG5e,IAAO4B,EAAO0U,KAAK,GAC1C,OAAO1U,EAuCT,SAASujI,GAAah+E,QACP,IAARA,IAAiBA,EAAM,IAC5B,IAAIi+E,EAA0Bj+E,EAAIi+E,wBAElC,YAF4F,IAA5BA,IAAqCA,GAA0B,GAExH,IAAI,SAAO,CAChBjlI,IAAKA,EAKL4L,MAAO,CACLiuB,KAAM,WAAkB,OAAO,MAC/BlsB,MAAO,SAAem4C,EAAImX,GACxB,IAAInyD,EAAMg7C,EAAG2L,QAAQzxD,GACrB,GAAW,MAAP8K,EAAe,OAAe,GAARA,EAAY,KAAOA,EAC7C,GAAW,MAAPmyD,IAAgBnX,EAAGw0B,WAAc,OAAOrd,EAC5C,IAAIjW,EAAMlB,EAAG8C,QAAQ0G,UAAU2N,GAC3B1N,EAAUvI,EAAIuI,QACdzuC,EAAMkmC,EAAIlmC,IACd,OAAOyuC,EAAU,KAAOzuC,IAI5BgY,MAAO,CACL04C,YAAagrD,EAEbnjD,gBAAiB,CACfu5B,UAAW0rB,GAGbrlD,uBAAwB,SAAgC/sB,GACtD,GAAgC,MAA5BlsD,EAAI+zD,SAAS7H,EAAKtgD,OAAkB,OAAOsgD,EAAKtgD,MAAMi6C,WAG5DosD,kBAAmBA,EAEnBhkC,cAAeA,EAEf0L,YAAaA,GAGfzmB,kBAAmB,SAA2BxB,EAAGyB,EAAUvnD,GACzD,OAz2CN,SAA4BA,EAAOk6C,EAAIm/E,GACrC,IAA4DhoH,EAAWk7G,EAAnExoE,GAAO7J,GAAMl6C,GAAOi6C,UAAWa,GAAOZ,GAAMl6C,GAAO86C,IACvD,GAAIiJ,aAAe,kBAAkBwoE,EAAOxoE,EAAI1J,KAAKx/C,KAAK8/C,KAAKs3D,YAC7D,GAAY,QAARsa,GAA0B,eAARA,EACpBl7G,EAAY+jG,EAAcl0G,OAAO45C,EAAKiJ,EAAI58B,WACrC,GAAY,OAARolG,EAAe,CACxB,IAAIiG,EAAQ13E,EAAIziC,QAAQ0rC,EAAI58B,KAAO,GACnC9V,EAAY+jG,EAAcma,aAAaiD,EAAOA,QACzC,IAAK6G,EAAyB,CACnC,IAAIxtH,EAAMwmG,EAASz7G,IAAImtD,EAAI1J,MAAO2O,EAAQjF,EAAI58B,KAAO,EACjDouG,EAAWvsE,EAAQn9C,EAAIA,IAAIA,EAAIuzD,MAAQvzD,EAAIi1D,OAAS,GACxDzvD,EAAY+jG,EAAcl0G,OAAO45C,EAAKkO,EAAQ,EAAGusE,SAE1CxxE,aAAe,iBApD5B,SAAiC3I,GAC/B,IAAIC,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IAEd,GAAID,EAAMnmC,KAAOomC,EAAIpmC,KAAOmmC,EAAMnmC,IAAMmmC,EAAMnmC,IAAM,EAAK,OAAO,EAEhE,IADA,IAAIokH,EAAYj+E,EAAMnmC,IAAKqkH,EAAWj+E,EAAIpmC,IAAK2lC,EAAQQ,EAAMR,MACtDA,GAAS,KACRQ,EAAMH,MAAML,EAAQ,GAAKQ,EAAM2C,IAAInD,IADxBA,IAASy+E,KAE5B,IAAK,IAAI58F,EAAI4e,EAAIT,MAAOne,GAAK,KACrB4e,EAAIP,OAAOre,EAAI,GAAK4e,EAAI0N,MAAMtsB,IADNA,IAAK68F,KAErC,OAAOD,GAAaC,GAAY,YAAYpyH,KAAKk0C,EAAMhB,KAAKQ,GAAOhgD,KAAK8/C,KAAKs3D,WA0ClCunB,CAAwBz1E,GACjE1yC,EAAY,gBAAcnQ,OAAO45C,EAAKiJ,EAAI58B,MACjC48B,aAAe,iBAzC5B,SAAoC3I,GAOlC,IANA,IAGIq+E,EACAC,EAJAr+E,EAAQD,EAAIC,MACZC,EAAMF,EAAIE,IAKLrnD,EAAIonD,EAAMR,MAAO5mD,EAAI,EAAGA,IAAK,CACpC,IAAIomD,EAAOgB,EAAMhB,KAAKpmD,GACtB,GAAiC,SAA7BomD,EAAKx/C,KAAK8/C,KAAKs3D,WAAqD,gBAA7B53D,EAAKx/C,KAAK8/C,KAAKs3D,UAA6B,CACrFwnB,EAAuBp/E,EACvB,OAIJ,IAAK,IAAIyF,EAAMxE,EAAIT,MAAOiF,EAAM,EAAGA,IAAO,CACxC,IAAI65E,EAASr+E,EAAIjB,KAAKyF,GACtB,GAAmC,SAA/B65E,EAAO9+H,KAAK8/C,KAAKs3D,WAAuD,gBAA/B0nB,EAAO9+H,KAAK8/C,KAAKs3D,UAA6B,CACzFynB,EAAqBC,EACrB,OAIJ,OAAOF,IAAyBC,GAA2C,IAArBp+E,EAAIqB,aAkBfi9E,CAA2B71E,KACpE1yC,EAAY,gBAAcnQ,OAAO45C,EAAKiJ,EAAI1I,MAAM2N,QAASjF,EAAI1I,MAAM2C,QAIrE,OAFI3sC,IACC6oC,IAAOA,EAAKl6C,EAAMk6C,KAAKqC,aAAalrC,GAClC6oC,EAq1CI2/E,CAAmB75H,EAAOmzH,GAAUnzH,EAAOunD,GAAW8xE,MA7OnE1B,GAAYpkI,UAAUwO,MAAQ,SAAgBm4C,GAC5C,IAAIl6C,EAAQhE,KAAM89H,EAAS5/E,EAAG2L,QAAQyxE,IACtC,GAAIwC,GAA8B,MAApBA,EAAOX,UACjB,OAAO,IAAIxB,GAAYmC,EAAOX,UAAW,MAC7C,GAAIW,QAAiClhI,IAAvBkhI,EAAOlB,YACjB,OAAO,IAAIjB,GAAY33H,EAAM43H,aAAckC,EAAOlB,aACtD,GAAI54H,EAAM43H,cAAgB,GAAK19E,EAAGw0B,WAAY,CAC5C,IAAI7E,EAAS3vB,EAAG8C,QAAQnxC,IAAI7L,EAAM43H,cAAe,GAC5ChJ,EAAa10E,EAAGY,IAAIziC,QAAQwxD,MAAYA,EAAS,MACtD7pE,EAAQ,IAAI23H,GAAY9tD,EAAQ7pE,EAAMqhE,UAExC,OAAOrhE,I,gBCx4DT,IAAI+5H,EAAW,SAAUhoI,GACvB,aAEA,IAAIioI,EAAK1mI,OAAOC,UACZ0mI,EAASD,EAAG3lI,eAEZ6lI,EAA4B,mBAAXznI,OAAwBA,OAAS,GAClD0nI,EAAiBD,EAAQ1lH,UAAY,aACrC4lH,EAAsBF,EAAQG,eAAiB,kBAC/CC,EAAoBJ,EAAQh+C,aAAe,gBAE/C,SAASvsD,EAAO57B,EAAKK,EAAKkD,GAOxB,OANAhE,OAAOqD,eAAe5C,EAAKK,EAAK,CAC9BkD,MAAOA,EACPwE,YAAY,EACZ4E,cAAc,EACdC,UAAU,IAEL5M,EAAIK,GAEb,IAEEu7B,EAAO,GAAI,IACX,MAAOy7B,GACPz7B,EAAS,SAAS57B,EAAKK,EAAKkD,GAC1B,OAAOvD,EAAIK,GAAOkD,GAItB,SAAS2mD,EAAKs8E,EAASC,EAAStnI,EAAMunI,GAEpC,IAAIC,EAAiBF,GAAWA,EAAQjnI,qBAAqBonI,EAAYH,EAAUG,EAC/EC,EAAYtnI,OAAO4N,OAAOw5H,EAAennI,WACzCyK,EAAU,IAAI68H,EAAQJ,GAAe,IAMzC,OAFAG,EAAUE,QAsMZ,SAA0BP,EAASrnI,EAAM8K,GACvC,IAAIgC,EA/KuB,iBAiL3B,OAAO,SAAgBK,EAAQoS,GAC7B,GAhLoB,cAgLhBzS,EACF,MAAM,IAAIgJ,MAAM,gCAGlB,GAnLoB,cAmLhBhJ,EAA6B,CAC/B,GAAe,UAAXK,EACF,MAAMoS,EAKR,OAAOsoH,IAMT,IAHA/8H,EAAQqC,OAASA,EACjBrC,EAAQyU,IAAMA,IAED,CACX,IAAIuoH,EAAWh9H,EAAQg9H,SACvB,GAAIA,EAAU,CACZ,IAAIC,EAAiBC,EAAoBF,EAAUh9H,GACnD,GAAIi9H,EAAgB,CAClB,GAAIA,IAAmBE,EAAkB,SACzC,OAAOF,GAIX,GAAuB,SAAnBj9H,EAAQqC,OAGVrC,EAAQmpF,KAAOnpF,EAAQo9H,MAAQp9H,EAAQyU,SAElC,GAAuB,UAAnBzU,EAAQqC,OAAoB,CACrC,GAnNqB,mBAmNjBL,EAEF,MADAA,EAjNc,YAkNRhC,EAAQyU,IAGhBzU,EAAQq9H,kBAAkBr9H,EAAQyU,SAEN,WAAnBzU,EAAQqC,QACjBrC,EAAQuoF,OAAO,SAAUvoF,EAAQyU,KAGnCzS,EA5NkB,YA8NlB,IAAIq3H,EAASiE,EAASf,EAASrnI,EAAM8K,GACrC,GAAoB,WAAhBq5H,EAAOx8H,KAAmB,CAO5B,GAJAmF,EAAQhC,EAAQ+S,KAjOA,YAFK,iBAuOjBsmH,EAAO5kH,MAAQ0oH,EACjB,SAGF,MAAO,CACL7jI,MAAO+/H,EAAO5kH,IACd1B,KAAM/S,EAAQ+S,MAGS,UAAhBsmH,EAAOx8H,OAChBmF,EA/OgB,YAkPhBhC,EAAQqC,OAAS,QACjBrC,EAAQyU,IAAM4kH,EAAO5kH,OA9QP8oH,CAAiBhB,EAASrnI,EAAM8K,GAE7C48H,EAcT,SAASU,EAAStnI,EAAID,EAAK0e,GACzB,IACE,MAAO,CAAE5X,KAAM,SAAU4X,IAAKze,EAAGN,KAAKK,EAAK0e,IAC3C,MAAO24C,GACP,MAAO,CAAEvwD,KAAM,QAAS4X,IAAK24C,IAhBjCr5D,EAAQksD,KAAOA,EAoBf,IAOIk9E,EAAmB,GAMvB,SAASR,KACT,SAASa,KACT,SAASC,KAIT,IAAI91H,EAAoB,GACxBA,EAAkBw0H,GAAkB,WAClC,OAAOn+H,MAGT,IAAI0/H,EAAWpoI,OAAO+R,eAClBs2H,EAA0BD,GAAYA,EAASA,EAASz0H,EAAO,MAC/D00H,GACAA,IAA4B3B,GAC5BC,EAAOvmI,KAAKioI,EAAyBxB,KAGvCx0H,EAAoBg2H,GAGtB,IAAIC,EAAKH,EAA2BloI,UAClConI,EAAUpnI,UAAYD,OAAO4N,OAAOyE,GAWtC,SAASk2H,EAAsBtoI,GAC7B,CAAC,OAAQ,QAAS,UAAUO,SAAQ,SAASuM,GAC3CsvB,EAAOp8B,EAAW8M,GAAQ,SAASoS,GACjC,OAAOzW,KAAK8+H,QAAQz6H,EAAQoS,SAkClC,SAASqpH,EAAclB,EAAWmB,GAgChC,IAAIC,EAgCJhgI,KAAK8+H,QA9BL,SAAiBz6H,EAAQoS,GACvB,SAASwpH,IACP,OAAO,IAAIF,GAAY,SAAS1jH,EAASC,IAnC7C,SAAS4jH,EAAO77H,EAAQoS,EAAK4F,EAASC,GACpC,IAAI++G,EAASiE,EAASV,EAAUv6H,GAASu6H,EAAWnoH,GACpD,GAAoB,UAAhB4kH,EAAOx8H,KAEJ,CACL,IAAIhF,EAASwhI,EAAO5kH,IAChBnb,EAAQzB,EAAOyB,MACnB,OAAIA,GACiB,iBAAVA,GACP2iI,EAAOvmI,KAAK4D,EAAO,WACdykI,EAAY1jH,QAAQ/gB,EAAM6kI,SAAS1hH,MAAK,SAASnjB,GACtD4kI,EAAO,OAAQ5kI,EAAO+gB,EAASC,MAC9B,SAAS8yC,GACV8wE,EAAO,QAAS9wE,EAAK/yC,EAASC,MAI3ByjH,EAAY1jH,QAAQ/gB,GAAOmjB,MAAK,SAAS2hH,GAI9CvmI,EAAOyB,MAAQ8kI,EACf/jH,EAAQxiB,MACP,SAAS5D,GAGV,OAAOiqI,EAAO,QAASjqI,EAAOomB,EAASC,MAvBzCA,EAAO++G,EAAO5kH,KAiCZypH,CAAO77H,EAAQoS,EAAK4F,EAASC,MAIjC,OAAO0jH,EAaLA,EAAkBA,EAAgBvhH,KAChCwhH,EAGAA,GACEA,KAkHV,SAASf,EAAoBF,EAAUh9H,GACrC,IAAIqC,EAAS26H,EAASxmH,SAASxW,EAAQqC,QACvC,QA1TEzH,IA0TEyH,EAAsB,CAKxB,GAFArC,EAAQg9H,SAAW,KAEI,UAAnBh9H,EAAQqC,OAAoB,CAE9B,GAAI26H,EAASxmH,SAAiB,SAG5BxW,EAAQqC,OAAS,SACjBrC,EAAQyU,SArUZ7Z,EAsUIsiI,EAAoBF,EAAUh9H,GAEP,UAAnBA,EAAQqC,QAGV,OAAO86H,EAIXn9H,EAAQqC,OAAS,QACjBrC,EAAQyU,IAAM,IAAIjc,UAChB,kDAGJ,OAAO2kI,EAGT,IAAI9D,EAASiE,EAASj7H,EAAQ26H,EAASxmH,SAAUxW,EAAQyU,KAEzD,GAAoB,UAAhB4kH,EAAOx8H,KAIT,OAHAmD,EAAQqC,OAAS,QACjBrC,EAAQyU,IAAM4kH,EAAO5kH,IACrBzU,EAAQg9H,SAAW,KACZG,EAGT,IAAI55C,EAAO81C,EAAO5kH,IAElB,OAAM8uE,EAOFA,EAAKxwE,MAGP/S,EAAQg9H,EAASqB,YAAc96C,EAAKjqF,MAGpC0G,EAAQkI,KAAO80H,EAASsB,QAQD,WAAnBt+H,EAAQqC,SACVrC,EAAQqC,OAAS,OACjBrC,EAAQyU,SAzXV7Z,GAmYFoF,EAAQg9H,SAAW,KACZG,GANE55C,GA3BPvjF,EAAQqC,OAAS,QACjBrC,EAAQyU,IAAM,IAAIjc,UAAU,oCAC5BwH,EAAQg9H,SAAW,KACZG,GAoDX,SAASoB,EAAaC,GACpB,IAAI3sG,EAAQ,CAAE4sG,OAAQD,EAAK,IAEvB,KAAKA,IACP3sG,EAAM6sG,SAAWF,EAAK,IAGpB,KAAKA,IACP3sG,EAAM8sG,WAAaH,EAAK,GACxB3sG,EAAM+sG,SAAWJ,EAAK,IAGxBxgI,KAAK6gI,WAAWtyH,KAAKslB,GAGvB,SAASitG,EAAcjtG,GACrB,IAAIwnG,EAASxnG,EAAMktG,YAAc,GACjC1F,EAAOx8H,KAAO,gBACPw8H,EAAO5kH,IACdod,EAAMktG,WAAa1F,EAGrB,SAASwD,EAAQJ,GAIfz+H,KAAK6gI,WAAa,CAAC,CAAEJ,OAAQ,SAC7BhC,EAAY3mI,QAAQyoI,EAAcvgI,MAClCA,KAAKghI,OAAM,GA8Bb,SAAS/1H,EAAOoN,GACd,GAAIA,EAAU,CACZ,IAAI4oH,EAAiB5oH,EAAS8lH,GAC9B,GAAI8C,EACF,OAAOA,EAAevpI,KAAK2gB,GAG7B,GAA6B,mBAAlBA,EAASnO,KAClB,OAAOmO,EAGT,IAAK7T,MAAM6T,EAASlgB,QAAS,CAC3B,IAAIF,GAAK,EAAGiS,EAAO,SAASA,IAC1B,OAASjS,EAAIogB,EAASlgB,QACpB,GAAI8lI,EAAOvmI,KAAK2gB,EAAUpgB,GAGxB,OAFAiS,EAAK5O,MAAQ+c,EAASpgB,GACtBiS,EAAK6K,MAAO,EACL7K,EAOX,OAHAA,EAAK5O,WAzeTsB,EA0eIsN,EAAK6K,MAAO,EAEL7K,GAGT,OAAOA,EAAKA,KAAOA,GAKvB,MAAO,CAAEA,KAAM60H,GAIjB,SAASA,IACP,MAAO,CAAEzjI,WAzfPsB,EAyfyBmY,MAAM,GA+MnC,OA5mBAyqH,EAAkBjoI,UAAYqoI,EAAGpnI,YAAcinI,EAC/CA,EAA2BjnI,YAAcgnI,EACzCA,EAAkBprG,YAAcT,EAC9B8rG,EACAnB,EACA,qBAaFvoI,EAAQmrI,oBAAsB,SAASC,GACrC,IAAIC,EAAyB,mBAAXD,GAAyBA,EAAO3oI,YAClD,QAAO4oI,IACHA,IAAS5B,GAG2B,uBAAnC4B,EAAKhtG,aAAegtG,EAAKxqI,QAIhCb,EAAQozD,KAAO,SAASg4E,GAQtB,OAPI7pI,OAAOgS,eACThS,OAAOgS,eAAe63H,EAAQ1B,IAE9B0B,EAAO39G,UAAYi8G,EACnB9rG,EAAOwtG,EAAQ7C,EAAmB,sBAEpC6C,EAAO5pI,UAAYD,OAAO4N,OAAO06H,GAC1BuB,GAOTprI,EAAQsrI,MAAQ,SAAS5qH,GACvB,MAAO,CAAE0pH,QAAS1pH,IAsEpBopH,EAAsBC,EAAcvoI,WACpCuoI,EAAcvoI,UAAU6mI,GAAuB,WAC7C,OAAOp+H,MAETjK,EAAQ+pI,cAAgBA,EAKxB/pI,EAAQurI,MAAQ,SAAS/C,EAASC,EAAStnI,EAAMunI,EAAasB,QACxC,IAAhBA,IAAwBA,EAAc3jH,SAE1C,IAAI4sD,EAAO,IAAI82D,EACb79E,EAAKs8E,EAASC,EAAStnI,EAAMunI,GAC7BsB,GAGF,OAAOhqI,EAAQmrI,oBAAoB1C,GAC/Bx1D,EACAA,EAAK9+D,OAAOuU,MAAK,SAAS5kB,GACxB,OAAOA,EAAOkb,KAAOlb,EAAOyB,MAAQ0tE,EAAK9+D,WAuKjD21H,EAAsBD,GAEtBjsG,EAAOisG,EAAItB,EAAmB,aAO9BsB,EAAGzB,GAAkB,WACnB,OAAOn+H,MAGT4/H,EAAGvoI,SAAW,WACZ,MAAO,sBAkCTtB,EAAQ2S,KAAO,SAASjN,GACtB,IAAIiN,EAAO,GACX,IAAK,IAAItQ,KAAOqD,EACdiN,EAAK6F,KAAKnW,GAMZ,OAJAsQ,EAAK6tD,UAIE,SAASrsD,IACd,KAAOxB,EAAKvQ,QAAQ,CAClB,IAAIC,EAAMsQ,EAAKguD,MACf,GAAIt+D,KAAOqD,EAGT,OAFAyO,EAAK5O,MAAQlD,EACb8R,EAAK6K,MAAO,EACL7K,EAQX,OADAA,EAAK6K,MAAO,EACL7K,IAsCXnU,EAAQkV,OAASA,EAMjB4zH,EAAQtnI,UAAY,CAClBiB,YAAaqmI,EAEbmC,MAAO,SAASO,GAcd,GAbAvhI,KAAKg0B,KAAO,EACZh0B,KAAKkK,KAAO,EAGZlK,KAAKmrF,KAAOnrF,KAAKo/H,WApgBjBxiI,EAqgBAoD,KAAK+U,MAAO,EACZ/U,KAAKg/H,SAAW,KAEhBh/H,KAAKqE,OAAS,OACdrE,KAAKyW,SAzgBL7Z,EA2gBAoD,KAAK6gI,WAAW/oI,QAAQgpI,IAEnBS,EACH,IAAK,IAAI3qI,KAAQoJ,KAEQ,MAAnBpJ,EAAK4H,OAAO,IACZy/H,EAAOvmI,KAAKsI,KAAMpJ,KACjB4N,OAAO5N,EAAKqM,MAAM,MACrBjD,KAAKpJ,QAnhBXgG,IAyhBF+b,KAAM,WACJ3Y,KAAK+U,MAAO,EAEZ,IACIysH,EADYxhI,KAAK6gI,WAAW,GACLE,WAC3B,GAAwB,UAApBS,EAAW3iI,KACb,MAAM2iI,EAAW/qH,IAGnB,OAAOzW,KAAKyhI,MAGdpC,kBAAmB,SAASqC,GAC1B,GAAI1hI,KAAK+U,KACP,MAAM2sH,EAGR,IAAI1/H,EAAUhC,KACd,SAAS6tE,EAAO8zD,EAAKC,GAYnB,OAXAvG,EAAOx8H,KAAO,QACdw8H,EAAO5kH,IAAMirH,EACb1/H,EAAQkI,KAAOy3H,EAEXC,IAGF5/H,EAAQqC,OAAS,OACjBrC,EAAQyU,SApjBZ7Z,KAujBYglI,EAGZ,IAAK,IAAI3pI,EAAI+H,KAAK6gI,WAAW1oI,OAAS,EAAGF,GAAK,IAAKA,EAAG,CACpD,IAAI47B,EAAQ7zB,KAAK6gI,WAAW5oI,GACxBojI,EAASxnG,EAAMktG,WAEnB,GAAqB,SAAjBltG,EAAM4sG,OAIR,OAAO5yD,EAAO,OAGhB,GAAIh6C,EAAM4sG,QAAUzgI,KAAKg0B,KAAM,CAC7B,IAAI6tG,EAAW5D,EAAOvmI,KAAKm8B,EAAO,YAC9BiuG,EAAa7D,EAAOvmI,KAAKm8B,EAAO,cAEpC,GAAIguG,GAAYC,EAAY,CAC1B,GAAI9hI,KAAKg0B,KAAOH,EAAM6sG,SACpB,OAAO7yD,EAAOh6C,EAAM6sG,UAAU,GACzB,GAAI1gI,KAAKg0B,KAAOH,EAAM8sG,WAC3B,OAAO9yD,EAAOh6C,EAAM8sG,iBAGjB,GAAIkB,GACT,GAAI7hI,KAAKg0B,KAAOH,EAAM6sG,SACpB,OAAO7yD,EAAOh6C,EAAM6sG,UAAU,OAG3B,KAAIoB,EAMT,MAAM,IAAI90H,MAAM,0CALhB,GAAIhN,KAAKg0B,KAAOH,EAAM8sG,WACpB,OAAO9yD,EAAOh6C,EAAM8sG,gBAU9Bp2C,OAAQ,SAAS1rF,EAAM4X,GACrB,IAAK,IAAIxe,EAAI+H,KAAK6gI,WAAW1oI,OAAS,EAAGF,GAAK,IAAKA,EAAG,CACpD,IAAI47B,EAAQ7zB,KAAK6gI,WAAW5oI,GAC5B,GAAI47B,EAAM4sG,QAAUzgI,KAAKg0B,MACrBiqG,EAAOvmI,KAAKm8B,EAAO,eACnB7zB,KAAKg0B,KAAOH,EAAM8sG,WAAY,CAChC,IAAIoB,EAAeluG,EACnB,OAIAkuG,IACU,UAATljI,GACS,aAATA,IACDkjI,EAAatB,QAAUhqH,GACvBA,GAAOsrH,EAAapB,aAGtBoB,EAAe,MAGjB,IAAI1G,EAAS0G,EAAeA,EAAahB,WAAa,GAItD,OAHA1F,EAAOx8H,KAAOA,EACdw8H,EAAO5kH,IAAMA,EAETsrH,GACF/hI,KAAKqE,OAAS,OACdrE,KAAKkK,KAAO63H,EAAapB,WAClBxB,GAGFn/H,KAAKgiI,SAAS3G,IAGvB2G,SAAU,SAAS3G,EAAQuF,GACzB,GAAoB,UAAhBvF,EAAOx8H,KACT,MAAMw8H,EAAO5kH,IAcf,MAXoB,UAAhB4kH,EAAOx8H,MACS,aAAhBw8H,EAAOx8H,KACTmB,KAAKkK,KAAOmxH,EAAO5kH,IACM,WAAhB4kH,EAAOx8H,MAChBmB,KAAKyhI,KAAOzhI,KAAKyW,IAAM4kH,EAAO5kH,IAC9BzW,KAAKqE,OAAS,SACdrE,KAAKkK,KAAO,OACa,WAAhBmxH,EAAOx8H,MAAqB+hI,IACrC5gI,KAAKkK,KAAO02H,GAGPzB,GAGTplE,OAAQ,SAAS4mE,GACf,IAAK,IAAI1oI,EAAI+H,KAAK6gI,WAAW1oI,OAAS,EAAGF,GAAK,IAAKA,EAAG,CACpD,IAAI47B,EAAQ7zB,KAAK6gI,WAAW5oI,GAC5B,GAAI47B,EAAM8sG,aAAeA,EAGvB,OAFA3gI,KAAKgiI,SAASnuG,EAAMktG,WAAYltG,EAAM+sG,UACtCE,EAAcjtG,GACPsrG,IAKb,MAAS,SAASsB,GAChB,IAAK,IAAIxoI,EAAI+H,KAAK6gI,WAAW1oI,OAAS,EAAGF,GAAK,IAAKA,EAAG,CACpD,IAAI47B,EAAQ7zB,KAAK6gI,WAAW5oI,GAC5B,GAAI47B,EAAM4sG,SAAWA,EAAQ,CAC3B,IAAIpF,EAASxnG,EAAMktG,WACnB,GAAoB,UAAhB1F,EAAOx8H,KAAkB,CAC3B,IAAIojI,EAAS5G,EAAO5kH,IACpBqqH,EAAcjtG,GAEhB,OAAOouG,GAMX,MAAM,IAAIj1H,MAAM,0BAGlBk1H,cAAe,SAAS7pH,EAAUgoH,EAAYC,GAa5C,OAZAtgI,KAAKg/H,SAAW,CACdxmH,SAAUvN,EAAOoN,GACjBgoH,WAAYA,EACZC,QAASA,GAGS,SAAhBtgI,KAAKqE,SAGPrE,KAAKyW,SA7rBP7Z,GAgsBOuiI,IAQJppI,EA7sBK,CAotBiBD,EAAOC,SAGtC,IACE8zF,mBAAqBk0C,EACrB,MAAOoE,GAUPhrI,SAAS,IAAK,yBAAdA,CAAwC4mI,K,6BCvuB1CjoI,EAAOC,QAAU,EAAQ,M,6BCEzBD,EAAOC,QAAU,EAAQ,M,6BCFzBD,EAAOC,QAAQ0kB,OAAS,EAAQ,KAChC3kB,EAAOC,QAAQqsI,OAAS,EAAQ,KAChCtsI,EAAOC,QAAQ2sB,OAAS,EAAQ,KAChC5sB,EAAOC,QAAQwlB,MAAS,EAAQ,M,cCNhCzlB,EAAOC,QAAQ,oI,cCAfD,EAAOC,QAAQ,sB,cCAfD,EAAOC,QAAQ,4D,6BCIf,IAUIssI,EAAc,kIAEdC,EAAc,mCAMdC,EAAc,IAAI92H,OAAO,OAAS42H,EAAW,IAAMC,EAA1B,qHAEzBE,EAAyB,IAAI/2H,OAAO,OAAS42H,EAAW,IAAMC,EAAY,KAE9ExsI,EAAOC,QAAQwsI,YAAcA,EAC7BzsI,EAAOC,QAAQysI,uBAAyBA,G,6BCsBxC,SAASC,EAAYz+H,EAAO0+H,GAC1B,IAAIzqI,EAAG2a,EACH+vH,EACAC,EACAl9H,EACAm9H,EAAc,GACd77G,EAAM07G,EAAWvqI,OAErB,IAAKF,EAAI,EAAGA,EAAI+uB,EAAK/uB,IAGO,OAF1B0qI,EAAaD,EAAWzqI,IAET8V,SAIS,IAApB40H,EAAW3gF,MAIf4gF,EAAWF,EAAWC,EAAW3gF,MAEjCt8C,EAAgB1B,EAAMo5B,OAAOulG,EAAWj9H,QAClC7G,KAAU,SAChB6G,EAAMoT,IAAU,IAChBpT,EAAM+/G,QAAU,EAChB//G,EAAMggH,OAAU,KAChBhgH,EAAMoB,QAAU,IAEhBpB,EAAgB1B,EAAMo5B,OAAOwlG,EAASl9H,QAChC7G,KAAU,UAChB6G,EAAMoT,IAAU,IAChBpT,EAAM+/G,SAAW,EACjB//G,EAAMggH,OAAU,KAChBhgH,EAAMoB,QAAU,GAE8B,SAA1C9C,EAAMo5B,OAAOwlG,EAASl9H,MAAQ,GAAG7G,MACY,MAA7CmF,EAAMo5B,OAAOwlG,EAASl9H,MAAQ,GAAGoB,SAEnC+7H,EAAYt0H,KAAKq0H,EAASl9H,MAAQ,IAUtC,KAAOm9H,EAAY1qI,QAAQ,CAIzB,IAFAya,GADA3a,EAAI4qI,EAAYnsE,OACR,EAED9jD,EAAI5O,EAAMo5B,OAAOjlC,QAAmC,YAAzB6L,EAAMo5B,OAAOxqB,GAAG/T,MAChD+T,IAKE3a,MAFJ2a,IAGElN,EAAQ1B,EAAMo5B,OAAOxqB,GACrB5O,EAAMo5B,OAAOxqB,GAAK5O,EAAMo5B,OAAOnlC,GAC/B+L,EAAMo5B,OAAOnlC,GAAKyN,IAvGxB5P,EAAOC,QAAQ+sI,SAAW,SAAuB9+H,EAAOgnE,GACtD,IAAI/yE,EAAG8qI,EAAgBl1H,EAAK+a,EACxBokC,EAAQhpD,EAAMkV,IACdnL,EAAS/J,EAAM6D,IAAI2R,WAAWwzC,GAElC,GAAIge,EAAU,OAAO,EAErB,GAAe,MAAXj9D,EAA0B,OAAO,EAMrC,GAHAF,GADAk1H,EAAU/+H,EAAMg/H,WAAWh/H,EAAMkV,KAAK,IACxB/gB,OACdywB,EAAKnuB,OAAOyhD,aAAanuC,GAErBF,EAAM,EAAK,OAAO,EAQtB,IANIA,EAAM,IACQ7J,EAAMuK,KAAK,OAAQ,GAAI,GACjCzH,QAAU8hB,EAChB/a,KAGG5V,EAAI,EAAGA,EAAI4V,EAAK5V,GAAK,EACR+L,EAAMuK,KAAK,OAAQ,GAAI,GACjCzH,QAAU8hB,EAAKA,EAErB5kB,EAAM0+H,WAAWn0H,KAAK,CACpBR,OAAQA,EACR5V,OAAQ,EACR8qI,KAAQhrI,EACRyN,MAAQ1B,EAAMo5B,OAAOjlC,OAAS,EAC9B6pD,KAAS,EACTj6C,KAAQg7H,EAAQG,SAChB57H,MAAQy7H,EAAQI,YAMpB,OAFAn/H,EAAMkV,KAAO6pH,EAAQ5qI,QAEd,GAyETrC,EAAOC,QAAQ0sI,YAAc,SAAuBz+H,GAClD,IAAIo/H,EACAC,EAAcr/H,EAAMq/H,YACpBr8G,EAAMhjB,EAAMq/H,YAAYlrI,OAI5B,IAFAsqI,EAAYz+H,EAAOA,EAAM0+H,YAEpBU,EAAO,EAAGA,EAAOp8G,EAAKo8G,IACrBC,EAAYD,IAASC,EAAYD,GAAMV,YACzCD,EAAYz+H,EAAOq/H,EAAYD,GAAMV,c,6BChE3C,SAASD,EAAYz+H,EAAO0+H,GAC1B,IAAIzqI,EACA0qI,EACAC,EACAl9H,EACAkjB,EACA06G,EAGJ,IAAKrrI,EAFKyqI,EAAWvqI,OAEN,EAAGF,GAAK,EAAGA,IAGE,MAF1B0qI,EAAaD,EAAWzqI,IAET8V,QAAgD,KAAtB40H,EAAW50H,SAK5B,IAApB40H,EAAW3gF,MAIf4gF,EAAWF,EAAWC,EAAW3gF,KAOjCshF,EAAWrrI,EAAI,GACJyqI,EAAWzqI,EAAI,GAAG+pD,MAAQ2gF,EAAW3gF,IAAM,GAC3C0gF,EAAWzqI,EAAI,GAAGyN,QAAUi9H,EAAWj9H,MAAQ,GAC/Cg9H,EAAWC,EAAW3gF,IAAM,GAAGt8C,QAAUk9H,EAASl9H,MAAQ,GAC1Dg9H,EAAWzqI,EAAI,GAAG8V,SAAW40H,EAAW50H,OAEnD6a,EAAKnuB,OAAOyhD,aAAaymF,EAAW50H,SAEpCrI,EAAgB1B,EAAMo5B,OAAOulG,EAAWj9H,QAClC7G,KAAUykI,EAAW,cAAgB,UAC3C59H,EAAMoT,IAAUwqH,EAAW,SAAW,KACtC59H,EAAM+/G,QAAU,EAChB//G,EAAMggH,OAAU4d,EAAW16G,EAAKA,EAAKA,EACrCljB,EAAMoB,QAAU,IAEhBpB,EAAgB1B,EAAMo5B,OAAOwlG,EAASl9H,QAChC7G,KAAUykI,EAAW,eAAiB,WAC5C59H,EAAMoT,IAAUwqH,EAAW,SAAW,KACtC59H,EAAM+/G,SAAW,EACjB//G,EAAMggH,OAAU4d,EAAW16G,EAAKA,EAAKA,EACrCljB,EAAMoB,QAAU,GAEZw8H,IACFt/H,EAAMo5B,OAAOslG,EAAWzqI,EAAI,GAAGyN,OAAOoB,QAAU,GAChD9C,EAAMo5B,OAAOslG,EAAWC,EAAW3gF,IAAM,GAAGt8C,OAAOoB,QAAU,GAC7D7O,MA7GNnC,EAAOC,QAAQ+sI,SAAW,SAAkB9+H,EAAOgnE,GACjD,IAAI/yE,EAAG8qI,EACH/1E,EAAQhpD,EAAMkV,IACdnL,EAAS/J,EAAM6D,IAAI2R,WAAWwzC,GAElC,GAAIge,EAAU,OAAO,EAErB,GAAe,KAAXj9D,GAAsC,KAAXA,EAA2B,OAAO,EAIjE,IAFAg1H,EAAU/+H,EAAMg/H,WAAWh/H,EAAMkV,IAAgB,KAAXnL,GAEjC9V,EAAI,EAAGA,EAAI8qI,EAAQ5qI,OAAQF,IACd+L,EAAMuK,KAAK,OAAQ,GAAI,GACjCzH,QAAUrM,OAAOyhD,aAAanuC,GAEpC/J,EAAM0+H,WAAWn0H,KAAK,CAGpBR,OAAQA,EAIR5V,OAAQ4qI,EAAQ5qI,OAShB8qI,KAAQhrI,EAIRyN,MAAQ1B,EAAMo5B,OAAOjlC,OAAS,EAK9B6pD,KAAS,EAKTj6C,KAAQg7H,EAAQG,SAChB57H,MAAQy7H,EAAQI,YAMpB,OAFAn/H,EAAMkV,KAAO6pH,EAAQ5qI,QAEd,GAiETrC,EAAOC,QAAQ0sI,YAAc,SAAkBz+H,GAC7C,IAAIo/H,EACAC,EAAcr/H,EAAMq/H,YACpBr8G,EAAMhjB,EAAMq/H,YAAYlrI,OAI5B,IAFAsqI,EAAYz+H,EAAOA,EAAM0+H,YAEpBU,EAAO,EAAGA,EAAOp8G,EAAKo8G,IACrBC,EAAYD,IAASC,EAAYD,GAAMV,YACzCD,EAAYz+H,EAAOq/H,EAAYD,GAAMV,c,gNCrIwKzrI,OAAlJnB,EAAOC,QAAqK,SAASmE,GAAG,IAAI2c,EAAE,GAAG,SAASlK,EAAE+nB,GAAG,GAAG7d,EAAE6d,GAAG,OAAO7d,EAAE6d,GAAG3+B,QAAQ,IAAIgqB,EAAElJ,EAAE6d,GAAG,CAACz8B,EAAEy8B,EAAEx8B,GAAE,EAAGnC,QAAQ,IAAI,OAAOmE,EAAEw6B,GAAGh9B,KAAKqoB,EAAEhqB,QAAQgqB,EAAEA,EAAEhqB,QAAQ4W,GAAGoT,EAAE7nB,GAAE,EAAG6nB,EAAEhqB,QAAQ,OAAO4W,EAAEqV,EAAE9nB,EAAEyS,EAAE7G,EAAE+Q,EAAElK,EAAE+zB,EAAE,SAASxmC,EAAE2c,EAAE6d,GAAG/nB,EAAE8xB,EAAEvkC,EAAE2c,IAAIvf,OAAOqD,eAAeT,EAAE2c,EAAE,CAAC/W,YAAW,EAAGlF,IAAI85B,KAAK/nB,EAAErO,EAAE,SAASpE,GAAG,oBAAoBzD,QAAQA,OAAOypF,aAAa5oF,OAAOqD,eAAeT,EAAEzD,OAAOypF,YAAY,CAAC5kF,MAAM,WAAWhE,OAAOqD,eAAeT,EAAE,aAAa,CAACoB,OAAM,KAAMqR,EAAEoT,EAAE,SAAS7lB,EAAE2c,GAAG,GAAG,EAAEA,IAAI3c,EAAEyS,EAAEzS,IAAI,EAAE2c,EAAE,OAAO3c,EAAE,GAAG,EAAE2c,GAAG,iBAAiB3c,GAAGA,GAAGA,EAAE6K,WAAW,OAAO7K,EAAE,IAAIw6B,EAAEp9B,OAAO4N,OAAO,MAAM,GAAGyH,EAAErO,EAAEo2B,GAAGp9B,OAAOqD,eAAe+5B,EAAE,UAAU,CAAC50B,YAAW,EAAGxE,MAAMpB,IAAI,EAAE2c,GAAG,iBAAiB3c,EAAE,IAAI,IAAI6lB,KAAK7lB,EAAEyS,EAAE+zB,EAAEhM,EAAE3U,EAAE,SAASlJ,GAAG,OAAO3c,EAAE2c,IAAIzf,KAAK,KAAK2oB,IAAI,OAAO2U,GAAG/nB,EAAEkK,EAAE,SAAS3c,GAAG,IAAI2c,EAAE3c,GAAGA,EAAE6K,WAAW,WAAW,OAAO7K,EAAE2K,SAAS,WAAW,OAAO3K,GAAG,OAAOyS,EAAE+zB,EAAE7pB,EAAE,IAAIA,GAAGA,GAAGlK,EAAE8xB,EAAE,SAASvkC,EAAE2c,GAAG,OAAOvf,OAAOC,UAAUc,eAAeX,KAAKwC,EAAE2c,IAAIlK,EAAE+Z,EAAE,GAAG/Z,EAAEA,EAAE+nB,EAAE,GAAj5B,CAAq5B,CAAC,SAASx6B,EAAE2c,GAAG3c,EAAEnE,QAAQ,EAAQ,MAAW,SAASmE,EAAE2c,GAAG3c,EAAEnE,QAAQ,EAAQ,MAAiB,SAASmE,EAAE2c,GAAG3c,EAAEnE,QAAQ,EAAQ,MAAoB,SAASmE,EAAE2c,EAAElK,GAAG,aAAaA,EAAErO,EAAEuY,GAAG,IAAI6d,EAAE/nB,EAAE,GAAGoT,EAAEpT,EAAEkK,EAAE6d,GAAGp2B,EAAEqO,EAAE,GAAGzU,EAAEyU,EAAEkK,EAAEvY,GAAGmgC,EAAE9xB,EAAE,GAAGqV,EAAE,IAAI9pB,EAAEgC,EAAE06C,EAAEt9C,OAAOmnC,EAAEwI,UAAT3vC,GAAsB,CAAC,CAACy9B,OAAO,MAAM2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,oCAAoC,gBAAgB,kEAAkE,eAAe,4BAA4Bq+H,SAAS,MAAM,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,qDAAqDkV,OAAO,CAAC,6OAA6On/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,QAAQ2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,gDAAgD,gBAAgB,kFAAkF,eAAe,4BAA4Bq+H,SAAS,QAAQ,eAAe,gHAAgHC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,iEAAiEkV,OAAO,CAAC,2VAA2Vn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,eAAe,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,+DAA+D,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,wEAAwEkV,OAAO,CAAC,4PAA4Pn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,QAAQ2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,4EAA4E,eAAe,4BAA4Bq+H,SAAS,QAAQ,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,wEAAwEkV,OAAO,CAAC,4QAA4Qn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,0CAA0C,gBAAgB,8DAA8D,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,2DAA2DkV,OAAO,CAAC,8OAA8On/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,qBAAqB,CAAC7uG,OAAO,QAAQ2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,oFAAoF,eAAe,4BAA4Bq+H,SAAS,QAAQ,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,wEAAwEkV,OAAO,CAAC,oRAAoRn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,gEAAgE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,gEAAgEkV,OAAO,CAAC,qPAAqPn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,6DAA6D,gBAAgB,+DAA+D,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,8EAA8EkV,OAAO,CAAC,kQAAkQn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,wCAAwC,gBAAgB,+DAA+D,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,+BAA+BC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,yDAAyDkV,OAAO,CAAC,4OAA4On/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,iDAAiD,gBAAgB,iEAAiE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,kEAAkEkV,OAAO,CAAC,wPAAwPn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,8CAA8C,gBAAgB,+DAA+D,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,8HAA8HC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,+DAA+DkV,OAAO,CAAC,iVAAiVn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,cAAc,CAAC7uG,OAAO,QAAQ2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,6CAA6C,gBAAgB,+EAA+E,eAAe,4BAA4Bq+H,SAAS,QAAQ,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,8DAA8DkV,OAAO,CAAC,qQAAqQn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,kBAAkB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,yCAAyC,gBAAgB,kEAAkE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,sDAAsDC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,0DAA0DkV,OAAO,CAAC,uQAAuQn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,iBAAiB,gBAAgB,gEAAgE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,kCAAkCkV,OAAO,CAAC,uNAAuNn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC7uG,OAAO,QAAQ2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,4EAA4E,eAAe,4BAA4Bq+H,SAAS,QAAQ,eAAe,yBAAyBC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,gEAAgEkV,OAAO,CAAC,6PAA6Pn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,UAAU,CAAC7uG,OAAO,QAAQ2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,YAAY,gBAAgB,kFAAkF,eAAe,4BAA4Bq+H,SAAS,QAAQ,eAAe,mKAAmKC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,6BAA6BkV,OAAO,CAAC,0WAA0Wn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,aAAa,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,mCAAmC,gBAAgB,gEAAgE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,mEAAmEC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,oDAAoDkV,OAAO,CAAC,4QAA4Qn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,mEAAmE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,8DAA8DC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,uCAAuCkV,OAAO,CAAC,6PAA6Pn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,iDAAiD,gBAAgB,8DAA8D,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,kEAAkEkV,OAAO,CAAC,qPAAqPn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,4EAA4E,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,+BAA+BC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,uCAAuCkV,OAAO,CAAC,uOAAuOn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,yDAAyD,gBAAgB,+DAA+D,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,kLAAkLC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,0EAA0EkV,OAAO,CAAC,gZAAgZn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC7uG,OAAO,QAAQ2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,oDAAoD,gBAAgB,+EAA+E,eAAe,4BAA4Bq+H,SAAS,QAAQ,eAAe,+BAA+BC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,qEAAqEkV,OAAO,CAAC,2QAA2Qn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,QAAQ2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,sCAAsC,gBAAgB,iFAAiF,eAAe,4BAA4Bq+H,SAAS,QAAQ,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,uDAAuDkV,OAAO,CAAC,gQAAgQn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,6CAA6C,gBAAgB,gEAAgE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,0KAA0KC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,8DAA8DkV,OAAO,CAAC,6XAA6Xn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,cAAc,gBAAgB,iEAAiE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,+BAA+BkV,OAAO,CAAC,qNAAqNn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,2CAA2C,gBAAgB,gEAAgE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,0GAA0GC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,4DAA4DkV,OAAO,CAAC,2TAA2Tn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,uBAAuB,gBAAgB,gEAAgE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,gCAAgCC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,wCAAwCkV,OAAO,CAAC,6NAA6Nn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,iBAAiB,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,gDAAgD,gBAAgB,gEAAgE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,+BAA+BC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,iEAAiEkV,OAAO,CAAC,qPAAqPn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,eAAe,CAAC7uG,OAAO,KAAK2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,kEAAkE,eAAe,4BAA4Bq+H,SAAS,KAAK,eAAe,8PAA8PC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,wEAAwEkV,OAAO,CAAC,6dAA6dn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,gBAAgB,CAAC7uG,OAAO,QAAQ2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,sCAAsC,gBAAgB,2EAA2E,eAAe,4BAA4Bq+H,SAAS,QAAQ,eAAe,yBAAyBC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,uDAAuDkV,OAAO,CAAC,mPAAmPn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,UAAU,CAAC7uG,OAAO,QAAQ2xB,KAAK,CAAC68E,QAAQ,QAAQp+H,QAAQ,CAAC,kBAAkB,2CAA2C,gBAAgB,4EAA4E,eAAe,4BAA4Bq+H,SAAS,QAAQ,eAAe,yBAAyBC,aAAa,CAAC,GAAG,CAAC,GAAG,CAACC,MAAM,GAAGC,SAAS,CAACjV,WAAW,4DAA4DkV,OAAO,CAAC,yPAAyPn/F,QAAQ,CAACi/F,MAAM,UAAUC,SAAS,CAACE,UAAU,mBAAmBD,OAAO,CAAC,WAAW/zH,KAAI,SAAU3V,GAAG8nB,EAAE8hH,gBAAgB5pI,EAAE66B,OAAO,WAAW76B,EAAEwsD,SAAS1kC,EAAE+hH,UAAUnvF,GAAG70B,EAAE7lB,EAAE66B,OAAO6f,GAAG70B,EAAE7lB,EAAEwgD,aAAa36B,EAAE7lB,EAAE66B,SAAS,CAACsS,aAAatnB,EAAE7lB,EAAE66B,SAASiR,aAAa1uC,OAAO0G,OAAO+hB,EAAE7lB,EAAE8gC,WAAWjb,EAAE7lB,EAAE66B,UAAUyiB,cAAc,CAAC9iB,EAAE1S,EAAEgiH,QAAQ,eAAentH,EAAEhS,QAAQkb,EAAE7lB,M,gCCEjl0B,IAAI+pI,EAAO,EAAQ,KACfC,EAAQ,EAAQ,KAEpBnuI,EAAQouI,UAAYA,EACpBpuI,EAAQowF,cA0DR,SAAuB7qF,EAAOU,GAC5B,IAKInC,EACAuqI,EACAx2E,EACAh3D,EARAytI,EAAWroI,GAAW,GACtBsoI,EAASD,EAASC,QAAUL,EAAKM,gBACjCnvF,EAASivF,EAASjvF,OAClBj9C,EAASmsI,EAAOnsI,OAChBsU,GAAS,EAMT2oC,UACFA,EAxDgB,SA2DlB,GAAqB,iBAAV95C,EACT,MAAM4oI,EAAM,wCAAyC5oI,GAGvD8oI,EAAa,CAACI,UAAW,EAAGC,SAAU,KAAMnpI,MAAO,IACnDzB,EAAS,CAAC2qI,UAAW,EAAGC,SAAU,KAAMnpI,MAAO,IAE/C,OAASmR,EAAQtU,GACfvB,EAAO0tI,EAAO73H,GAETw3H,EAAKS,YAAY9tI,MAItBg3D,EAAUu2E,EAAUvtI,EAAM0E,EAAOU,IACzByoI,SAAW7tI,EAEfg3D,EAAQ42E,UAAYJ,EAAWI,YACjCJ,EAAax2E,GAGXA,EAAQ42E,UAAY3qI,EAAO2qI,YAC7BJ,EAAavqI,EACbA,EAAS+zD,IAITw2E,EAAWK,WACb5qI,EAAOuqI,WAAaA,GAGtB,OAAOvqI,GAvGT9D,EAAQwwF,iBA2GR,SAA0B3vF,EAAM+tI,GAC9BV,EAAK19C,iBAAiB3vF,EAAM+tI,IA3G9B5uI,EAAQwuI,cA+GR,WACE,OAAON,EAAKM,iBA/GdxuI,EAAQ6uI,cAmHR,SAAuBhuI,EAAMgiD,GAC3B,IACIxgD,EADAyX,EAAMjZ,EAGNgiD,KACF/oC,EAAM,IACFjZ,GAAQgiD,GAGd,IAAKxgD,KAAOyX,EACVo0H,EAAKY,gBAAgBh1H,EAAIzX,GAAM,CAAC0sI,aAAc1sI,KA3HlD+zE,EAAQ50E,UAAUwtI,QA2JlB,SAAczpI,GACZ,IACIsyD,EACAo3E,EAFAr2G,EAAQ3uB,KAAK2uB,MAIjB,GAAc,KAAVrzB,EAAc,OAElBsyD,EAAUj/B,EAAMA,EAAMx2B,OAAS,IAC/B6sI,EAAOp3E,EAAQs4B,SAASt4B,EAAQs4B,SAAS/tF,OAAS,KAExB,SAAd6sI,EAAKnmI,KACfmmI,EAAK1pI,OAASA,EAEdsyD,EAAQs4B,SAAS33E,KAAK,CAAC1P,KAAM,OAAQvD,MAAOA,KAvKhD6wE,EAAQ50E,UAAU0tI,WAoIlB,SAAoB3pI,EAAO1E,GACzBoJ,KAAKklI,SAAStuI,GACdoJ,KAAK+kI,QAAQzpI,GACb0E,KAAKmlI,aAtIPh5D,EAAQ50E,UAAU6tI,eAyIlB,SAAwBziH,EAAO/rB,GAC7B,IAAI+3B,EAAQ3uB,KAAK2uB,MACbi/B,EAAUj/B,EAAMA,EAAMx2B,OAAS,GAC/B8vB,EAAUtF,EAAM0iH,SAASn/C,SACzB7nC,EAAOznD,EACP,CACEiI,KAAM,UACN4jE,QAAS,OACTwjB,WAAY,CAACzhB,UAAW,CAAC5tE,IACzBsvF,SAAUj+D,GAEZA,EAEJ2lC,EAAQs4B,SAAWt4B,EAAQs4B,SAASljF,OAAOq7C,IArJ7C8tB,EAAQ50E,UAAU2tI,SAyKlB,SAActuI,GACZ,IAAI+3B,EAAQ3uB,KAAK2uB,MACb61C,EAAYxkE,KAAKhE,QAAQspI,YAAc1uI,EACvCg3D,EAAUj/B,EAAMA,EAAMx2B,OAAS,GAC/B8vD,EAAQ,CACVppD,KAAM,UACN4jE,QAAS,OACTwjB,WAAY,CAACzhB,UAAW,CAACA,IACzB0hB,SAAU,IAGZt4B,EAAQs4B,SAAS33E,KAAK05C,GACtBt5B,EAAMpgB,KAAK05C,IApLbkkB,EAAQ50E,UAAU4tI,UAuLlB,WACEnlI,KAAK2uB,MAAM+nC,OAvLbyV,EAAQ50E,UAAUguI,cAAgBp3H,EAClCg+D,EAAQ50E,UAAUiuI,SAAWr3H,EAC7Bg+D,EAAQ50E,UAAUkuI,OAwLlB,WACE,MAAO,IApLT,SAAStB,EAAUvtI,EAAM0E,EAAOU,GAC9B,IAGInC,EAHAklD,EAASklF,EAAKyB,UAAU,IAExBtwF,GADWp5C,GAAW,IACJo5C,OAGtB,GAAoB,iBAATx+C,EACT,MAAMstI,EAAM,uCAAwCttI,GAGtD,IAAKqtI,EAAKS,YAAY9tI,GACpB,MAAMstI,EAAM,2CAA4CttI,GAG1D,GAAqB,iBAAV0E,EACT,MAAM4oI,EAAM,wCAAyC5oI,GAevD,GAZI85C,UACFA,EAtBgB,SAyBlB6uF,EAAKyB,UAAU,CAACC,UAAWx5D,EAASm5D,YAAalwF,IAEjDv7C,EAASoqI,EAAKE,UAAUvtI,EAAM0E,GAAO,GAErC2oI,EAAKyB,UAAU3mF,GAIXllD,EAAO+rI,YACT,MAAM/rI,EAAO+rI,YAGf,MAAO,CACLpB,UAAW3qI,EAAO2qI,UAClBC,SAAU5qI,EAAO4qI,SACjBnpI,MAAOzB,EAAOgsI,QAAQR,SAASn/C,UA8EnC,SAAS/Z,EAAQnwE,GACfgE,KAAKhE,QAAUA,EACfgE,KAAKqlI,SAAW,CAACn/C,SAAU,IAC3BlmF,KAAK2uB,MAAQ,CAAC3uB,KAAKqlI,UAiErB,SAASl3H,O,cC5MT,SAAS23H,EAAW/tI,GAClBT,OAAOyuI,OAAOhuI,GAEd,IAAIiuI,EAA+B,mBAARjuI,EAc3B,OAZAT,OAAOmyB,oBAAoB1xB,GAAKD,SAAQ,SAASunB,IAC3C/nB,OAAOe,eAAeX,KAAKK,EAAKsnB,IACnB,OAAdtnB,EAAIsnB,IACkB,iBAAdtnB,EAAIsnB,IAA2C,mBAAdtnB,EAAIsnB,IAG5C2mH,IAAyB,WAAT3mH,GAA8B,WAATA,GAA8B,cAATA,IAC1D/nB,OAAOs/B,SAAS7+B,EAAIsnB,KACtBymH,EAAW/tI,EAAIsnB,OAIZtnB,EAGT,MAAMkuI,EAIJ,YAAYnsH,QAEQld,IAAdkd,EAAKvJ,OAAoBuJ,EAAKvJ,KAAO,IAEzCvQ,KAAKuQ,KAAOuJ,EAAKvJ,KAGnB,cACEvQ,KAAK8qD,QAAS,GAQlB,SAASo7E,EAAW5qI,GAClB,OAAOA,EACJf,QAAQ,KAAM,SACdA,QAAQ,KAAM,QACdA,QAAQ,KAAM,QACdA,QAAQ,KAAM,UACdA,QAAQ,KAAM,UAWnB,SAAS4rI,EAAQC,KAAaC,GAE5B,IAAIxsI,EAAS,GAEb,IAAK,MAAMzB,KAAOguI,EAChBvsI,EAAOzB,GAAOguI,EAAShuI,GAOzB,OALAiuI,EAAQvuI,SAAQ,SAASC,GACvB,IAAK,MAAMK,KAAOL,EAChB8B,EAAOzB,GAAOL,EAAIK,MAGE,EAe1B,SAAS0gB,EAAIulC,GACX,OAAOA,EAAK2gB,SAAS7sD,cAgIvB,IAAIqI,EAAqBljB,OAAOyuI,OAAO,CACrCviH,UAAW,KACX0iH,WAAYA,EACZC,QAASA,EACTG,WA9HF,SAAoBjoF,GAElB,IAAIxkD,EAAS,GA0Bb,OAzBA,SAAU0sI,EAAYloF,EAAMtP,GAC1B,IAAK,IAAIkZ,EAAQ5J,EAAK9pC,WAAY0zC,EAAOA,EAAQA,EAAMoX,YAC9B,IAAnBpX,EAAM1F,SACRxT,GAAUkZ,EAAM4W,UAAU1mE,OACE,IAAnB8vD,EAAM1F,WACf1oD,EAAO0U,KAAK,CACVjO,MAAO,QACPyuC,OAAQA,EACRsP,KAAM4J,IAERlZ,EAASw3F,EAAYt+E,EAAOlZ,GAIvBj2B,EAAImvC,GAAO/7C,MAAM,oBACpBrS,EAAO0U,KAAK,CACVjO,MAAO,OACPyuC,OAAQA,EACRsP,KAAM4J,KAKd,OAAOlZ,EAvBT,CAwBGsP,EAAM,GACFxkD,GAmGP2sI,aA3FF,SAAsBJ,EAAUK,EAAanrI,GAC3C,IAAIorI,EAAY,EACZ7sI,EAAS,GACT8sI,EAAY,GAEhB,SAASC,IACP,OAAKR,EAASjuI,QAAWsuI,EAAYtuI,OAGjCiuI,EAAS,GAAGr3F,SAAW03F,EAAY,GAAG13F,OAChCq3F,EAAS,GAAGr3F,OAAS03F,EAAY,GAAG13F,OAAUq3F,EAAWK,EAkBnC,UAAzBA,EAAY,GAAGnmI,MAAoB8lI,EAAWK,EArB5CL,EAASjuI,OAASiuI,EAAWK,EA2BxC,SAAS1+H,EAAKs2C,GAMZxkD,GAAU,IAAMif,EAAIulC,GAAQ,GAAGxuC,IAAInY,KAAK2mD,EAAKkzB,YAJ7C,SAAkBja,GAChB,MAAO,IAAMA,EAAK0H,SAAW,KAAOknE,EAAW5uE,EAAKh8D,OAAS,OAGIyE,KAAK,IAAM,IAMhF,SAASuH,EAAM+2C,GACbxkD,GAAU,KAAOif,EAAIulC,GAAQ,IAM/B,SAASh9C,EAAOf,IACG,UAAhBA,EAAMA,MAAoByH,EAAOT,GAAOhH,EAAM+9C,MAGjD,KAAO+nF,EAASjuI,QAAUsuI,EAAYtuI,QAAQ,CAC5C,IAAI+7D,EAAS0yE,IAGb,GAFA/sI,GAAUqsI,EAAW5qI,EAAM2D,UAAUynI,EAAWxyE,EAAO,GAAGnlB,SAC1D23F,EAAYxyE,EAAO,GAAGnlB,OAClBmlB,IAAWkyE,EAAU,CAOvBO,EAAUpwE,UAAUz+D,QAAQwP,GAC5B,GACEjG,EAAO6yD,EAAOlI,OAAO,EAAG,GAAG,IAC3BkI,EAAS0yE,UACF1yE,IAAWkyE,GAAYlyE,EAAO/7D,QAAU+7D,EAAO,GAAGnlB,SAAW23F,GACtEC,EAAUpwE,UAAUz+D,QAAQiQ,OAEJ,UAApBmsD,EAAO,GAAG5zD,MACZqmI,EAAUp4H,KAAK2lD,EAAO,GAAG7V,MAEzBsoF,EAAUjwE,MAEZr1D,EAAO6yD,EAAOlI,OAAO,EAAG,GAAG,IAG/B,OAAOnyD,EAASqsI,EAAW5qI,EAAM6zB,OAAOu3G,OAuB1C,MAMMG,EAAqBxoF,KAChBA,EAAKvpC,KAIhB,MAAMgyH,EAOJ,YAAYC,EAAW/qI,GACrBgE,KAAKlH,OAAS,GACdkH,KAAKslI,YAActpI,EAAQspI,YAC3ByB,EAAUC,KAAKhnI,MAOjB,QAAQ5B,GACN4B,KAAKlH,QAAUotI,EAAW9nI,GAO5B,SAASigD,GACP,IAAKwoF,EAAkBxoF,GAAO,OAE9B,IAAImmB,EAAYnmB,EAAKvpC,KAChBupC,EAAK4oF,cACRziE,EAAY,GAAGxkE,KAAKslI,cAAc9gE,KAEpCxkE,KAAKkuG,KAAK1pC,GAOZ,UAAUnmB,GACHwoF,EAAkBxoF,KAEvBr+C,KAAKlH,QArDU,WA2DjB,QACE,OAAOkH,KAAKlH,OASd,KAAK0rE,GACHxkE,KAAKlH,QAAU,gBAAgB0rE,OAQnC,MAAM0iE,EACJ,cAEElnI,KAAKqlI,SAAW,CAAEn/C,SAAU,IAC5BlmF,KAAK2uB,MAAQ,CAAC3uB,KAAKqlI,UAGrB,UACE,OAAOrlI,KAAK2uB,MAAM3uB,KAAK2uB,MAAMx2B,OAAS,GAGxC,WAAa,OAAO6H,KAAKqlI,SAGzB,IAAIhnF,GACFr+C,KAAKg+D,IAAIkoB,SAAS33E,KAAK8vC,GAIzB,SAASvpC,GAEP,MAAMupC,EAAO,CAAEvpC,OAAMoxE,SAAU,IAC/BlmF,KAAKsC,IAAI+7C,GACTr+C,KAAK2uB,MAAMpgB,KAAK8vC,GAGlB,YACE,GAAIr+C,KAAK2uB,MAAMx2B,OAAS,EACtB,OAAO6H,KAAK2uB,MAAM+nC,MAMtB,gBACE,KAAO12D,KAAKmlI,eAGd,SACE,OAAO90H,KAAKC,UAAUtQ,KAAKqlI,SAAU,KAAM,GAO7C,KAAK8B,GAEH,OAAOnnI,KAAKxH,YAAY4uI,MAAMD,EAASnnI,KAAKqlI,UAS9C,aAAa8B,EAAS9oF,GAQpB,MAPoB,iBAATA,EACT8oF,EAAQpC,QAAQ1mF,GACPA,EAAK6nC,WACdihD,EAAQjC,SAAS7mF,GACjBA,EAAK6nC,SAASpuF,QAASmwD,GAAUjoD,KAAKonI,MAAMD,EAASl/E,IACrDk/E,EAAQhC,UAAU9mF,IAEb8oF,EAMT,iBAAiB9oF,GACK,iBAATA,GACNA,EAAK6nC,WAEN7nC,EAAK6nC,SAAStuE,MAAMuC,GAAoB,iBAAPA,GAGnCkkC,EAAK6nC,SAAW,CAAC7nC,EAAK6nC,SAASnmF,KAAK,KAEpCs+C,EAAK6nC,SAASpuF,QAASmwD,IACrBi/E,EAAUG,UAAUp/E,OA0B5B,MAAMq/E,UAAyBJ,EAI7B,YAAYlrI,GACVurI,QACAvnI,KAAKhE,QAAUA,EAOjB,WAAWoC,EAAM0W,GACF,KAAT1W,IAEJ4B,KAAKklI,SAASpwH,GACd9U,KAAK+kI,QAAQ3mI,GACb4B,KAAKmlI,aAMP,QAAQ/mI,GACO,KAATA,GAEJ4B,KAAKsC,IAAIlE,GAOX,eAAeynI,EAASjvI,GAEtB,MAAMynD,EAAOwnF,EAAQxyC,KACrBh1C,EAAKvpC,KAAOle,EACZynD,EAAK4oF,aAAc,EACnBjnI,KAAKsC,IAAI+7C,GAGX,SAEE,OADiB,IAAIyoF,EAAa9mI,KAAMA,KAAKhE,SAC7BV,QAGlB,WACE,OAAO,GAgBX,SAASW,EAAOkQ,GACd,OAAKA,EACa,iBAAPA,EAAwBA,EAE5BA,EAAGlQ,OAHM,KAsFlB,MAGMurI,EAAc,yEA6BdC,EAAmB,CACvBC,MAAO,eAAgBlD,UAAW,GAE9BmD,EAAmB,CACvBnjE,UAAW,SACXkjE,MAAO,IACP1lF,IAAK,IACL4lF,QAAS,MACT7mE,SAAU,CAAC0mE,IAEPI,EAAoB,CACxBrjE,UAAW,SACXkjE,MAAO,IACP1lF,IAAK,IACL4lF,QAAS,MACT7mE,SAAU,CAAC0mE,IAEPK,EAAqB,CACzBJ,MAAO,8IAUHK,EAAU,SAASL,EAAO1lF,EAAKgmF,EAAc,IACjD,IAAIluH,EAAOqsH,EACT,CACE3hE,UAAW,UACXkjE,QACA1lF,MACA+e,SAAU,IAEZinE,GAQF,OANAluH,EAAKinD,SAASxyD,KAAKu5H,GACnBhuH,EAAKinD,SAASxyD,KAAK,CACjBi2D,UAAW,SACXkjE,MAAO,6CACPlD,UAAW,IAEN1qH,GAEHmuH,EAAsBF,EAAQ,KAAM,KACpCG,EAAuBH,EAAQ,OAAQ,QACvCI,EAAoBJ,EAAQ,IAAK,KACjCK,EAAc,CAClB5jE,UAAW,SACXkjE,MAjFgB,oBAkFhBlD,UAAW,GAEP6D,EAAgB,CACpB7jE,UAAW,SACXkjE,MAAOF,EACPhD,UAAW,GAEP8D,EAAqB,CACzB9jE,UAAW,SACXkjE,MAzFuB,eA0FvBlD,UAAW,GAEP+D,EAAkB,CACtB/jE,UAAW,SACXkjE,MAAOc,mHASPhE,UAAW,GAEPiE,EAAc,CAOlBf,MAAO,kBACP3mE,SAAU,CAAC,CACTyD,UAAW,SACXkjE,MAAO,KACP1lF,IAAK,aACL4lF,QAAS,KACT7mE,SAAU,CACR0mE,EACA,CACEC,MAAO,KACP1lF,IAAK,KACLwiF,UAAW,EACXzjE,SAAU,CAAC0mE,QAKbiB,EAAa,CACjBlkE,UAAW,QACXkjE,MAvIe,eAwIflD,UAAW,GAEPmE,EAAwB,CAC5BnkE,UAAW,QACXkjE,MA3I0B,gBA4I1BlD,UAAW,GAEPoE,EAAe,CAEnBlB,MAAO,uBACPlD,UAAW,GAoBb,IAAIqE,EAAqBvxI,OAAOyuI,OAAO,CACrCviH,UAAW,KACXslH,SAxKe,eAyKfC,oBAxK0B,gBAyK1BP,UAxKgB,oBAyKhBhB,YAAaA,EACbwB,iBAxKuB,eAyKvBC,eAxKqB,+IAyKrBC,QApKc,CAACvrB,EAAO,MACtB,MAAMwrB,EAAe,YAQrB,OAPIxrB,EAAKyrB,SACPzrB,EAAK+pB,MAzFT,YAAmBr5H,GAEjB,OADeA,EAAKwB,IAAK0uB,GAAMtiC,EAAOsiC,IAAIx+B,KAAK,IAwFhCiD,CACXmmI,EACA,OACAxrB,EAAKyrB,OACL,SAEGjD,EAAQ,CACb3hE,UAAW,OACXkjE,MAAOyB,EACPnnF,IAAK,IACLwiF,UAAW,EAEX,WAAY,CAACxiH,EAAGqnH,KACE,IAAZrnH,EAAEvV,OAAa48H,EAAKC,gBAEzB3rB,IAmJH8pB,iBAAkBA,EAClBE,iBAAkBA,EAClBE,kBAAmBA,EACnBC,mBAAoBA,EACpBC,QAASA,EACTE,oBAAqBA,EACrBC,qBAAsBA,EACtBC,kBAAmBA,EACnBC,YAAaA,EACbC,cAAeA,EACfC,mBAAoBA,EACpBC,gBAAiBA,EACjBE,YAAaA,EACbC,WAAYA,EACZC,sBAAuBA,EACvBC,aAAcA,EACdW,kBAnCwB,SAASzvH,GACjC,OAAOxiB,OAAO0G,OAAO8b,EACnB,CAEE,WAAY,CAACkI,EAAGqnH,KAAWA,EAAK94H,KAAKi5H,YAAcxnH,EAAE,IAErD,SAAU,CAACA,EAAGqnH,KAAeA,EAAK94H,KAAKi5H,cAAgBxnH,EAAE,IAAIqnH,EAAKC,oBAiCpEG,EAAkB,+BAA+B9pI,MAAM,KAY3D,SAAS+pI,EAAgBjF,GAOvB,SAASkF,EAAOruI,EAAOpF,GACrB,OAAO,IAAIuV,OACTxP,EAAOX,GACP,KAAOmpI,EAASmF,iBAAmB,IAAM,KAAO1zI,EAAS,IAAM,KAiBnE,MAAM2zI,EACJ,cACE7pI,KAAK8pI,aAAe,GAEpB9pI,KAAKy8B,QAAU,GACfz8B,KAAK+pI,QAAU,EACf/pI,KAAKsZ,SAAW,EAIlB,QAAQnN,EAAIwxG,GACVA,EAAKrkG,SAAWtZ,KAAKsZ,WAErBtZ,KAAK8pI,aAAa9pI,KAAK+pI,SAAWpsB,EAClC39G,KAAKy8B,QAAQluB,KAAK,CAACovG,EAAMxxG,IACzBnM,KAAK+pI,SA5TX,SAA0B59H,GACxB,OAAO,IAAKV,OAAOU,EAAG9U,WAAa,KAAMrB,KAAK,IAAImC,OAAS,EA2TvC6xI,CAAiB79H,GAAM,EAGzC,UAC8B,IAAxBnM,KAAKy8B,QAAQtkC,SAGf6H,KAAKhK,KAAO,IAAM,MAEpB,MAAMi0I,EAAcjqI,KAAKy8B,QAAQ5sB,IAAIsK,GAAMA,EAAG,IAC9Cna,KAAKkqI,UAAYP,EA9SvB,SAAcQ,EAASn7F,EAAY,KAWjC,IAHA,IAAIo7F,EAAkB,iDAClBC,EAAc,EACdl6F,EAAM,GACDl4C,EAAI,EAAGA,EAAIkyI,EAAQhyI,OAAQF,IAAK,CAEvC,IAAI82C,EADJs7F,GAAe,EAEXl+H,EAAKlQ,EAAOkuI,EAAQlyI,IAKxB,IAJIA,EAAI,IACNk4C,GAAOnB,GAETmB,GAAO,IACAhkC,EAAGhU,OAAS,GAAG,CACpB,IAAI+T,EAAQk+H,EAAgBp0I,KAAKmW,GACjC,GAAa,MAATD,EAAe,CACjBikC,GAAOhkC,EACP,MAEFgkC,GAAOhkC,EAAGlN,UAAU,EAAGiN,EAAMO,OAC7BN,EAAKA,EAAGlN,UAAUiN,EAAMO,MAAQP,EAAM,GAAG/T,QACrB,OAAhB+T,EAAM,GAAG,IAAeA,EAAM,GAEhCikC,GAAO,KAAO11C,OAAOsO,OAAOmD,EAAM,IAAM6iC,IAExCoB,GAAOjkC,EAAM,GACI,MAAbA,EAAM,IACRm+H,KAINl6F,GAAO,IAET,OAAOA,EAuQqBpwC,CAAKkqI,IAAc,GAC3CjqI,KAAK6L,UAAY,EAInB,KAAK6oB,GACH10B,KAAKkqI,UAAUr+H,UAAY7L,KAAK6L,UAChC,MAAMK,EAAQlM,KAAKkqI,UAAUl0I,KAAK0+B,GAClC,IAAKxoB,EAAS,OAAO,KAGrB,MAAMjU,EAAIiU,EAAM4L,UAAU,CAACqC,EAAIliB,IAAMA,EAAI,QAAY2E,IAAPud,GAExCmwH,EAAYtqI,KAAK8pI,aAAa7xI,GAKpC,OAFAiU,EAAM8/C,OAAO,EAAG/zD,GAETX,OAAO0G,OAAOkO,EAAOo+H,IAmChC,MAAMC,EACJ,cAEEvqI,KAAKw5D,MAAQ,GAEbx5D,KAAKwqI,aAAe,GACpBxqI,KAAK28E,MAAQ,EAEb38E,KAAK6L,UAAY,EACjB7L,KAAKyqI,WAAa,EAIpB,WAAWh+H,GACT,GAAIzM,KAAKwqI,aAAa/9H,GAAQ,OAAOzM,KAAKwqI,aAAa/9H,GAEvD,MAAM4iC,EAAU,IAAIw6F,EAIpB,OAHA7pI,KAAKw5D,MAAMv2D,MAAMwJ,GAAO3U,QAAQ,EAAEqU,EAAIwxG,KAAUtuE,EAAQq7F,QAAQv+H,EAAIwxG,IACpEtuE,EAAQ4lB,UACRj1D,KAAKwqI,aAAa/9H,GAAS4iC,EACpBA,EAGT,cACErvC,KAAKyqI,WAAa,EAIpB,QAAQt+H,EAAIwxG,GACV39G,KAAKw5D,MAAMjrD,KAAK,CAACpC,EAAIwxG,IACH,UAAdA,EAAK9+G,MAAkBmB,KAAK28E,QAIlC,KAAKjoD,GACH,MAAM1S,EAAIhiB,KAAK2qI,WAAW3qI,KAAKyqI,YAC/BzoH,EAAEnW,UAAY7L,KAAK6L,UACnB,MAAMhS,EAASmoB,EAAEhsB,KAAK0+B,GAStB,OARI76B,IACFmG,KAAKyqI,YAAc5wI,EAAOyf,SAAW,EACjCtZ,KAAKyqI,aAAezqI,KAAK28E,QAC3B38E,KAAKyqI,WAAa,IAKf5wI,GAoCX,SAAS+wI,EAAgC1+H,EAAOoR,GAC9C,MAAMyhC,EAAS7yC,EAAM7D,MAAM6D,EAAMO,MAAQ,GACnCyyC,EAAQhzC,EAAM7D,MAAM6D,EAAMO,MAAQP,EAAM,GAAG/T,QAClC,MAAX4mD,GAA4B,MAAVG,GACpB5hC,EAASgsH,cA+Gb,GAAI7E,EAAS1jE,UAAY0jE,EAAS1jE,SAAS1mD,SAAS,QAClD,MAAM,IAAIrN,MAAM,6FAElB,OAvEA,SAAS69H,EAAY/wH,EAAM3X,GACzB,MAAM2oI,EAAkC,EACxC,GAAIhxH,EAAKixH,SAAU,OAAOD,EAC1BhxH,EAAKixH,UAAW,EAGhBjxH,EAAKkxH,cAAgB,KAErBlxH,EAAKmxH,SAAWnxH,EAAKmxH,UAAYnxH,EAAKoxH,cAEtC,IAAIC,EAAa,KAWjB,GAV6B,iBAAlBrxH,EAAKmxH,WACdE,EAAarxH,EAAKmxH,SAASG,gBACpBtxH,EAAKmxH,SAASG,UAGnBtxH,EAAKmxH,WACPnxH,EAAKmxH,SA4HX,SAAyBI,EAAazB,GAEpC,IAAI0B,EAAoB,GAEG,iBAAhBD,EACTE,EAAgB,UAAWF,GAE3B/zI,OAAOoR,KAAK2iI,GAAavzI,SAAQ,SAAS0sE,GACxC+mE,EAAgB/mE,EAAW6mE,EAAY7mE,OAG3C,OAAO8mE,EAYP,SAASC,EAAgB/mE,EAAWgnE,GAC9B5B,IACF4B,EAAcA,EAAYr5H,eAE5Bq5H,EAAY7rI,MAAM,KAAK7H,SAAQ,SAAS2zI,GACtC,IAAIC,EAAOD,EAAQ9rI,MAAM,KACzB2rI,EAAkBI,EAAK,IAAM,CAAClnE,EAAWmnE,EAAgBD,EAAK,GAAIA,EAAK,SAzJvDE,CAAgB9xH,EAAKmxH,SAAUxG,EAASmF,mBAItD9vH,EAAK+xH,SAAWV,EAClB,MAAM,IAAIn+H,MAAM,kGA0ClB,OArCA89H,EAAMgB,iBAAmBnC,EAAO7vH,EAAK+xH,SAAWV,GAAc,OAAO,GAEjEhpI,IACE2X,EAAKoxH,gBAMPpxH,EAAK4tH,MAAQ,OAAS5tH,EAAKoxH,cAAcvrI,MAAM,KAAKI,KAAK,KAAO,eAChE+Z,EAAKkxH,cAAgBJ,GAElB9wH,EAAK4tH,QAAO5tH,EAAK4tH,MAAQ,SAC9BoD,EAAMiB,QAAUpC,EAAO7vH,EAAK4tH,OACxB5tH,EAAKkyH,iBAAgBlyH,EAAKkoC,IAAMloC,EAAK4tH,OACpC5tH,EAAKkoC,KAAQloC,EAAKmyH,iBAAgBnyH,EAAKkoC,IAAM,SAC9CloC,EAAKkoC,MAAK8oF,EAAMoB,MAAQvC,EAAO7vH,EAAKkoC,MACxC8oF,EAAMqB,eAAiBlwI,EAAO6d,EAAKkoC,MAAQ,GACvCloC,EAAKmyH,gBAAkB9pI,EAAOgqI,iBAChCrB,EAAMqB,iBAAmBryH,EAAKkoC,IAAM,IAAM,IAAM7/C,EAAOgqI,iBAGvDryH,EAAK8tH,UAASkD,EAAMsB,UAAYzC,EAAO7vH,EAAK8tH,eAEzBhrI,IAAnBkd,EAAK0qH,YAAyB1qH,EAAK0qH,UAAY,GAC9C1qH,EAAKinD,WAAUjnD,EAAKinD,SAAW,IAEpCjnD,EAAKinD,SAAW,GAAG/9D,UAAU8W,EAAKinD,SAASlxD,KAAI,SAAS/J,GACtD,OA8CN,SAA8BgU,GACxBA,EAAKuyH,WAAavyH,EAAKwyH,kBACzBxyH,EAAKwyH,gBAAkBxyH,EAAKuyH,SAASx8H,KAAI,SAAS08H,GAChD,OAAOpG,EAAQrsH,EAAM,CAAEuyH,SAAU,MAAQE,OAO7C,GAAIzyH,EAAKwyH,gBACP,OAAOxyH,EAAKwyH,gBAOd,GAlCF,SAASE,EAAmB1yH,GAC1B,QAAKA,IAEEA,EAAKmyH,gBAAkBO,EAAmB1yH,EAAK2yH,SA+BlDD,CAAmB1yH,GACrB,OAAOqsH,EAAQrsH,EAAM,CAAE2yH,OAAQ3yH,EAAK2yH,OAAStG,EAAQrsH,EAAK2yH,QAAU,OAGtE,GAAIn1I,OAAOs/B,SAAS9c,GAClB,OAAOqsH,EAAQrsH,GAIjB,OAAOA,EAzEI4yH,CAA2B,SAAN5mI,EAAegU,EAAOhU,OAEpDgU,EAAKinD,SAASjpE,SAAQ,SAASgO,GAAK+kI,EAA8B,EAAKC,MAEnEhxH,EAAK2yH,QACP5B,EAAY/wH,EAAK2yH,OAAQtqI,GAG3B2oI,EAAMz7F,QAvIR,SAAwBv1B,GACtB,MAAMssB,EAAK,IAAImkG,EAWf,OATAzwH,EAAKinD,SAASjpE,QAAQ48D,GAAQtuB,EAAGskG,QAAQh2E,EAAKgzE,MAAO,CAAEhuE,KAAMhF,EAAM71D,KAAM,WAErEib,EAAKqyH,gBACP/lG,EAAGskG,QAAQ5wH,EAAKqyH,eAAgB,CAAEttI,KAAM,QAEtCib,EAAK8tH,SACPxhG,EAAGskG,QAAQ5wH,EAAK8tH,QAAS,CAAE/oI,KAAM,YAG5BunC,EA2HSumG,CAAe7B,GACxBA,EAOFD,CAA8B,GAgHvC,SAASc,EAAgBF,EAASmB,GAGhC,OAAIA,EACK7jI,OAAO6jI,GAUlB,SAAuBnB,GACrB,OAAOhC,EAAgBpvH,SAASoxH,EAAQt5H,eARjC06H,CAAcpB,GAAW,EAAI,EAkBtC,MAAMqB,EAAW5G,EACX6G,EAAY5G,GAEVG,WAAY0G,EAAcxG,aAAcyG,GAAmBzyH,EAC7D0yH,EAAWz2I,OAAO,WAq1BxB,IAAI0tI,EA/0BS,SAASgJ,GAGpB,IAAIC,EAAa,GAIb9mD,EAAYhvF,OAAO4N,OAAO,MAE1Bk0B,EAAU9hC,OAAO4N,OAAO,MAExBolD,EAAU,GAIV+iF,GAAY,EACZC,EAAc,yBACdC,EAAqB,sFAEzB,MAAMC,EAAqB,CAAEC,mBAAmB,EAAM72I,KAAM,aAAcmqE,SAAU,IAKpF,IAAI/kE,EAAU,CACZ0xI,cAAe,qBACfC,iBAAkB,8BAClBrI,YAAa,QACbsI,WAAY,KACZC,OAAO,EACPvnD,UAAW,KAGXq/C,UAAW2B,GASb,SAASwG,EAAmBhJ,GAC1B,OAAO9oI,EAAQ0xI,cAAcviI,KAAK25H,GA2CpC,SAASX,EAAUW,EAAc/lH,EAAMgvH,EAAgBC,GAErD,IAAIhsI,EAAU,CACZ+c,OACA0lH,SAAUK,GAIZmJ,EAAK,mBAAoBjsI,GAIzB,IAAInI,EAASmI,EAAQnI,OACnBmI,EAAQnI,OACRq0I,EAAWlsI,EAAQyiI,SAAUziI,EAAQ+c,KAAMgvH,EAAgBC,GAM7D,OAJAn0I,EAAOklB,KAAO/c,EAAQ+c,KAEtBkvH,EAAK,kBAAmBp0I,GAEjBA,EAWT,SAASq0I,EAAWpJ,EAAc/lH,EAAMgvH,EAAgBC,GACtD,IAAIG,EAAkBpvH,EAQtB,SAASqvH,EAAYt0H,EAAM5N,GACzB,IAAImiI,EAAY5J,EAASmF,iBAAmB19H,EAAM,GAAGiG,cAAgBjG,EAAM,GAC3E,OAAO5U,OAAOC,UAAUc,eAAeX,KAAKoiB,EAAKmxH,SAAUoD,IAAcv0H,EAAKmxH,SAASoD,GA4DzF,SAASC,IACgB,MAAnBtwE,EAAIuwE,YA3BV,WACE,GAAoB,KAAhBC,EAAJ,CAEA,IAAI30I,EAAS,KAEb,GAA+B,iBAApBmkE,EAAIuwE,YAA0B,CACvC,IAAKjoD,EAAUtoB,EAAIuwE,aAEjB,YADA1I,EAAQd,QAAQyJ,GAGlB30I,EAASq0I,EAAWlwE,EAAIuwE,YAAaC,GAAa,EAAMC,EAAczwE,EAAIuwE,cAC1EE,EAAczwE,EAAIuwE,aAAe10I,EAAOmkE,SAExCnkE,EAASssF,EAAcqoD,EAAaxwE,EAAIuwE,YAAYp2I,OAAS6lE,EAAIuwE,YAAc,MAO7EvwE,EAAIwmE,UAAY,IAClBA,GAAa3qI,EAAO2qI,WAEtBqB,EAAQT,eAAevrI,EAAOgsI,QAAShsI,EAAO4qI,WAK5CiK,GA3DJ,WACE,IAAK1wE,EAAIitE,SAEP,YADApF,EAAQd,QAAQyJ,GAIlB,IAAIG,EAAa,EACjB3wE,EAAI8tE,iBAAiBjgI,UAAY,EACjC,IAAIK,EAAQ8xD,EAAI8tE,iBAAiB91I,KAAKw4I,GAClCI,EAAM,GAEV,KAAO1iI,GAAO,CACZ0iI,GAAOJ,EAAYvvI,UAAU0vI,EAAYziI,EAAMO,OAC/C,MAAM8D,EAAO69H,EAAYpwE,EAAK9xD,GAC9B,GAAIqE,EAAM,CACR,MAAOuE,EAAM+5H,GAAoBt+H,EACjCs1H,EAAQd,QAAQ6J,GAChBA,EAAM,GAENpK,GAAaqK,EACbhJ,EAAQZ,WAAW/4H,EAAM,GAAI4I,QAE7B85H,GAAO1iI,EAAM,GAEfyiI,EAAa3wE,EAAI8tE,iBAAiBjgI,UAClCK,EAAQ8xD,EAAI8tE,iBAAiB91I,KAAKw4I,GAEpCI,GAAOJ,EAAYr/G,OAAOw/G,GAC1B9I,EAAQd,QAAQ6J,GAiCdE,GAEFN,EAAc,GAMhB,SAASO,EAAaj1H,GAKpB,OAJIA,EAAK0qD,WACPqhE,EAAQX,SAASprH,EAAK0qD,WAExBxG,EAAM1mE,OAAO4N,OAAO4U,EAAM,CAAE3X,OAAQ,CAAE7G,MAAO0iE,KAuC/C,SAASgxE,EAASC,GAChB,OAA+B,IAA3BjxE,EAAI3uB,QAAQo7F,YAGd+D,GAAeS,EAAO,GACf,IAIPC,GAA6B,EACtB,GAUX,SAASC,EAAajjI,GACpB,IAAI+iI,EAAS/iI,EAAM,GACfkjI,EAAWljI,EAAMwtD,KAErB,MAAM2vE,EAAO,IAAIpD,EAASmJ,GAEpBC,EAAkB,CAACD,EAASpE,cAAeoE,EAAS,aAC1D,IAAK,MAAM5iE,KAAM6iE,EACf,GAAK7iE,IACLA,EAAGtgE,EAAOm9H,GACNA,EAAKv+E,QAAQ,OAAOkkF,EAASC,GAuBnC,OApBIG,GAAYA,EAASpD,iBACvBoD,EAASlD,MAtgCR,IAAIzgI,OAsgCmBwjI,EAtgCN10I,QAAQ,wBAAyB,QAAS,MAygC1D60I,EAAS5vE,KACXgvE,GAAeS,GAEXG,EAASE,eACXd,GAAeS,GAEjBX,IACKc,EAASG,aAAgBH,EAASE,eACrCd,EAAcS,IAGlBF,EAAaK,GAKNA,EAASG,YAAc,EAAIN,EAAO92I,OAQ3C,SAASq3I,EAAWtjI,GAClB,IAAI+iI,EAAS/iI,EAAM,GACfujI,EAAqBtB,EAAgBh/G,OAAOjjB,EAAMO,OAElDijI,EA9FN,SAASC,EAAU71H,EAAM5N,EAAOujI,GAC9B,IAAIrnH,EAp6BV,SAAoBjc,EAAI8iI,GACtB,IAAI/iI,EAAQC,GAAMA,EAAGnW,KAAKi5I,GAC1B,OAAO/iI,GAAyB,IAAhBA,EAAMO,MAk6BJs/D,CAAWjyD,EAAKoyH,MAAOuD,GAErC,GAAIrnH,EAAS,CACX,GAAItO,EAAK,UAAW,CAClB,MAAMuvH,EAAO,IAAIpD,EAASnsH,GAC1BA,EAAK,UAAU5N,EAAOm9H,GAClBA,EAAKv+E,SAAQ1iC,GAAU,GAG7B,GAAIA,EAAS,CACX,KAAOtO,EAAK81H,YAAc91H,EAAK3X,QAC7B2X,EAAOA,EAAK3X,OAEd,OAAO2X,GAKX,GAAIA,EAAKmyH,eACP,OAAO0D,EAAU71H,EAAK3X,OAAQ+J,EAAOujI,GA0ExBE,CAAU3xE,EAAK9xD,EAAOujI,GACrC,IAAKC,EAAY,OAAOxC,EAExB,IAAIhtC,EAASliC,EACTkiC,EAAO1gC,KACTgvE,GAAeS,GAET/uC,EAAO2vC,WAAa3vC,EAAO4vC,aAC/BtB,GAAeS,GAEjBX,IACIpuC,EAAO4vC,aACTtB,EAAcS,IAGlB,GACMjxE,EAAIwG,WACNqhE,EAAQV,YAELnnE,EAAIwB,MAASxB,EAAIuwE,cACpB/J,GAAaxmE,EAAIwmE,WAEnBxmE,EAAMA,EAAI77D,aACH67D,IAAQ0xE,EAASvtI,QAO1B,OANIutI,EAASjD,SACPiD,EAAS1D,iBACX0D,EAASjD,OAAOP,MAAQwD,EAASxD,OAEnC6C,EAAaW,EAASjD,SAEjBvsC,EAAO2vC,UAAY,EAAIZ,EAAO92I,OAcvC,IAAI43I,EAAY,GAQhB,SAASC,EAAcC,EAAiB/jI,GACtC,IAAI+iI,EAAS/iI,GAASA,EAAM,GAK5B,GAFAsiI,GAAeyB,EAED,MAAVhB,EAEF,OADAX,IACO,EAOT,GAAuB,UAAnByB,EAAUlxI,MAAmC,QAAfqN,EAAMrN,MAAkBkxI,EAAUtjI,QAAUP,EAAMO,OAAoB,KAAXwiI,EAAe,CAG1G,GADAT,GAAeL,EAAgBlrI,MAAMiJ,EAAMO,MAAOP,EAAMO,MAAQ,IAC3D4gI,EAAW,CAEd,MAAMj+E,EAAM,IAAIpiD,MAAM,uBAGtB,MAFAoiD,EAAI01E,aAAeA,EACnB11E,EAAI8gF,QAAUH,EAAUr2E,KAClBtK,EAER,OAAO,EAIT,GAFA2gF,EAAY7jI,EAEO,UAAfA,EAAMrN,KACR,OAAOswI,EAAajjI,GACf,GAAmB,YAAfA,EAAMrN,OAAuBkvI,EAAgB,CAGtD,MAAM3+E,EAAM,IAAIpiD,MAAM,mBAAqBiiI,EAAS,gBAAkBjxE,EAAIwG,WAAa,aAAe,KAEtG,MADApV,EAAIt1C,KAAOkkD,EACL5O,EACD,GAAmB,QAAfljD,EAAMrN,KAAgB,CAC/B,IAAI6nI,EAAY8I,EAAWtjI,GAC3B,GAAIw6H,IAAcwG,EAChB,OAAOxG,EAOX,GAAmB,YAAfx6H,EAAMrN,MAAiC,KAAXowI,EAE9B,OAAO,EAOT,GAAIkB,EAAa,KAAUA,EAA2B,EAAdjkI,EAAMO,MAAW,CAEvD,MADY,IAAIO,MAAM,6DAiBxB,OADAwhI,GAAeS,EACRA,EAAO92I,OAGhB,IAAIssI,EAAWC,EAAYI,GAC3B,IAAKL,EAEH,MADA9jI,QAAQ1K,MAAMs3I,EAAmBhzI,QAAQ,KAAMuqI,IACzC,IAAI93H,MAAM,sBAAwB83H,EAAe,KAGzD,IAAIsL,EAAK1G,EAAgBjF,GACrB5qI,EAAS,GAETmkE,EAAMgwE,GAAgBoC,EAEtB3B,EAAgB,GAChB5I,EAAU,IAAI7pI,EAAQ2pI,UAAU3pI,IA5GpC,WAEE,IADA,IAAI4T,EAAO,GACFg+C,EAAUoQ,EAAKpQ,IAAY62E,EAAU72E,EAAUA,EAAQzrD,OAC1DyrD,EAAQ4W,WACV50D,EAAK2d,QAAQqgC,EAAQ4W,WAGzB50D,EAAK9X,QAAQgY,GAAQ+1H,EAAQX,SAASp1H,IAsGxCugI,GACA,IAAI7B,EAAc,GACdhK,EAAY,EACZ/3H,EAAQ,EACR0jI,EAAa,EACbjB,GAA6B,EAEjC,IAGE,IAFAlxE,EAAI3uB,QAAQihG,gBAEH,CACPH,IACIjB,EAGFA,GAA6B,GAE7BlxE,EAAI3uB,QAAQxjC,UAAYY,EACxBuxD,EAAI3uB,QAAQihG,eAEd,MAAMpkI,EAAQ8xD,EAAI3uB,QAAQr5C,KAAKm4I,GAE/B,IAAKjiI,EAAO,MAEZ,MACMqkI,EAAiBP,EADH7B,EAAgBlvI,UAAUwN,EAAOP,EAAMO,OACTP,GAClDO,EAAQP,EAAMO,MAAQ8jI,EAOxB,OALAP,EAAc7B,EAAgBh/G,OAAO1iB,IACrCo5H,EAAQN,gBACRM,EAAQL,WACR3rI,EAASgsI,EAAQJ,SAEV,CACLjB,UAAWA,EACXlpI,MAAOzB,EACP4qI,SAAUK,EACV8C,SAAS,EACT/B,QAASA,EACT7nE,IAAKA,GAEP,MAAO5O,GACP,GAAIA,EAAItwC,SAAWswC,EAAItwC,QAAQzE,SAAS,WACtC,MAAO,CACLutH,SAAS,EACT4I,UAAW,CACT/3G,IAAK22B,EAAItwC,QACT9c,QAASmsI,EAAgBlrI,MAAMwJ,EAAQ,IAAKA,EAAQ,KACpDqN,KAAMs1C,EAAIt1C,MAEZ22H,MAAO52I,EACP2qI,UAAW,EACXlpI,MAAOwxI,EAASqB,GAChBtI,QAASA,GAEN,GAAIwH,EACT,MAAO,CACLzF,SAAS,EACTpD,UAAW,EACXlpI,MAAOwxI,EAASqB,GAChBtI,QAASA,EACTpB,SAAUK,EACV9mE,IAAKA,EACL4nE,YAAax2E,GAGf,MAAMA,GAsCZ,SAAS+2B,EAAcpnE,EAAM2xH,GAC3BA,EAAiBA,GAAkB10I,EAAQsqF,WAAahvF,OAAOoR,KAAK49E,GACpE,IAAIzsF,EA5BN,SAAiCklB,GAC/B,MAAMllB,EAAS,CACb2qI,UAAW,EACXqB,QAAS,IAAI7pI,EAAQ2pI,UAAU3pI,GAC/BV,MAAOwxI,EAAS/tH,GAChB6oH,SAAS,EACT5pE,IAAKwvE,GAGP,OADA3zI,EAAOgsI,QAAQd,QAAQhmH,GAChBllB,EAmBM82I,CAAwB5xH,GACjCqlH,EAAavqI,EAgBjB,OAfA62I,EAAe78H,OAAO6wH,GAAa7wH,OAAO+8H,GAAe94I,SAAQ,SAASlB,GACxE,IAAIg3D,EAAUsgF,EAAWt3I,EAAMmoB,GAAM,GACrC6uC,EAAQ62E,SAAW7tI,EACfg3D,EAAQ42E,UAAYJ,EAAWI,YACjCJ,EAAax2E,GAEXA,EAAQ42E,UAAY3qI,EAAO2qI,YAC7BJ,EAAavqI,EACbA,EAAS+zD,MAGTw2E,EAAWK,WAEb5qI,EAAOg3I,YAAczM,GAEhBvqI,EAYT,SAASi3I,EAAUrqI,GACjB,OAAMzK,EAAQ4xI,YAAc5xI,EAAQ6xI,MAI7BpnI,EAAKlM,QAAQ+yI,EAAaphI,GACjB,OAAVA,EACKlQ,EAAQ6xI,MAAQ,OAAS3hI,EACvBlQ,EAAQ4xI,WACV1hI,EAAM3R,QAAQ,MAAOyB,EAAQ4xI,YAE/B1hI,GATAzF,EAyCX,SAASsqI,EAAevtE,GAEtB,IAAInlB,EAAO,KACX,MAAMomF,EAtkBR,SAAuB/jE,GACrB,IAAIslB,EAAUtlB,EAAM8D,UAAY,IAEhCwhB,GAAWtlB,EAAMttD,WAAastD,EAAMttD,WAAWoxD,UAAY,GAG3D,MAAMt4D,EAAQlQ,EAAQ2xI,iBAAiB33I,KAAKgwF,GAC5C,GAAI95E,EAAO,CACT,IAAIu4H,EAAWC,EAAYx4H,EAAM,IAKjC,OAJKu4H,IACH9jI,QAAQC,KAAK2sI,EAAmBhzI,QAAQ,KAAM2R,EAAM,KACpDvL,QAAQC,KAAK,oDAAqD8/D,IAE7D+jE,EAAWv4H,EAAM,GAAK,eAG/B,OAAO85E,EACJrmF,MAAM,OACNkY,KAAMmlC,GAAW8wF,EAAmB9wF,IAAW0nF,EAAY1nF,IAojB7Cg0F,CAAcxtE,GAE/B,GAAIsqE,EAAmBrJ,GAAW,OAElCwJ,EAAK,wBACH,CAAEvtE,MAAO8C,EAASihE,SAAUA,IAE1BzoI,EAAQ6xI,OACVxvF,EAAO1kD,SAASqZ,cAAc,OAC9BqrC,EAAK60B,UAAY1P,EAAQ0P,UAAU34E,QAAQ,MAAO,IAAIA,QAAQ,aAAc,OAE5E8jD,EAAOmlB,EAET,MAAMplE,EAAOigD,EAAKwU,YACZh5D,EAAS4qI,EAAWN,EAAUM,EAAUrmI,GAAM,GAAQ+nF,EAAc/nF,GAEpE6yI,EAAiBjE,EAAa3uF,GACpC,GAAI4yF,EAAe94I,OAAQ,CACzB,MAAM+4I,EAAav3I,SAASqZ,cAAc,OAC1Ck+H,EAAWh+D,UAAYr5E,EAAOyB,MAC9BzB,EAAOyB,MAAQ2xI,EAAegE,EAAgBjE,EAAakE,GAAa9yI,GAE1EvE,EAAOyB,MAAQw1I,EAAUj3I,EAAOyB,OAEhC2yI,EAAK,uBAAwB,CAAEvtE,MAAO8C,EAAS3pE,OAAQA,IAEvD2pE,EAAQ0P,UAAYr5E,EAAOyB,MAC3BkoE,EAAQgB,UAnDV,SAAwB2sE,EAAeC,EAAaC,GAClD,IAAI5M,EAAW2M,EAAch4G,EAAQg4G,GAAeC,EAChDx3I,EAAS,CAACs3I,EAAc92I,QAU5B,OARK82I,EAAcjlI,MAAM,aACvBrS,EAAO0U,KAAK,QAGT4iI,EAAc92H,SAASoqH,IAC1B5qI,EAAO0U,KAAKk2H,GAGP5qI,EAAOkG,KAAK,KAAK1F,OAuCJi3I,CAAe9tE,EAAQgB,UAAWigE,EAAU5qI,EAAO4qI,UACvEjhE,EAAQ3pE,OAAS,CACf4qI,SAAU5qI,EAAO4qI,SAEjBt4H,GAAItS,EAAO2qI,UACX+M,UAAW13I,EAAO2qI,WAEhB3qI,EAAOg3I,cACTrtE,EAAQqtE,YAAc,CACpBpM,SAAU5qI,EAAOg3I,YAAYpM,SAE7Bt4H,GAAItS,EAAOg3I,YAAYrM,UACvB+M,UAAW13I,EAAOg3I,YAAYrM,YAmBpC,MAAMgN,EAAmB,KACvB,IAAIA,EAAiBvmH,OAArB,CACAumH,EAAiBvmH,QAAS,EAE1B,IAAI66D,EAASnsF,SAAS83I,iBAAiB,YACvCrE,EAAWt1I,QAAQJ,KAAKouF,EAAQirD,KAmElC,SAASrM,EAAY9tI,GAEnB,OADAA,GAAQA,GAAQ,IAAIub,cACbm0E,EAAU1vF,IAAS0vF,EAAUltD,EAAQxiC,IAQ9C,SAASiuI,EAAgB6M,GAAW,aAAE5M,IACX,iBAAd4M,IACTA,EAAY,CAACA,IAEfA,EAAU55I,QAAQ8gD,IAAWxf,EAAQwf,GAASksF,IAOhD,SAAS8L,EAAch6I,GACrB,IAAI66C,EAAOizF,EAAY9tI,GACvB,OAAO66C,IAASA,EAAKg8F,kBAevB,SAASQ,EAAK3tI,EAAO+N,GACnB,IAAIm+D,EAAKlsE,EACTgqD,EAAQxyD,SAAQ,SAAS4yD,GACnBA,EAAO8hB,IACT9hB,EAAO8hB,GAAIn+D,MAOjB/W,OAAO0G,OAAOmvI,EAAM,CAClBhJ,YACAh+C,gBACA2qD,YACAC,iBACArL,UAvIF,SAAmBiM,GACjB31I,EAAU+wI,EAAU/wI,EAAS21I,IAuI7BH,mBACAI,uBAvHF,WAEE36I,OAAOmnB,iBAAiB,mBAAoBozH,GAAkB,IAsH9DjrD,iBA7GF,SAA0Bu+C,EAAc+M,GACtC,IAAIpgG,EAAO,KACX,IACEA,EAAOogG,EAAmB1E,GAC1B,MAAOl3I,GAGP,GAFA0K,QAAQ1K,MAAM,wDAAwDsE,QAAQ,KAAMuqI,KAE/EuI,EAAa,MAAMp3I,EAAgB0K,QAAQ1K,MAAMA,GAKtDw7C,EAAO+7F,EAGJ/7F,EAAK76C,OAAM66C,EAAK76C,KAAOkuI,GAC5Bx+C,EAAUw+C,GAAgBrzF,EAC1BA,EAAKqgG,cAAgBD,EAAmBz6I,KAAK,KAAM+1I,GAE/C17F,EAAKrY,SACPyrG,EAAgBpzF,EAAKrY,QAAS,CAAE0rG,kBA0FlCP,cAnFF,WACE,OAAOjtI,OAAOoR,KAAK49E,IAmFnBo+C,cACAG,kBACAkN,gBAzEF,SAAyBn7I,GACvB,IAAI66C,EAAOizF,EAAY9tI,GACvB,GAAI66C,EAAQ,OAAOA,EAGnB,MADU,IAAIzkC,MAAM,iDAAmDzS,QAAQ,KAAM3D,KAsErFg6I,gBACAzK,QAAS4G,EACTiF,UAnCF,SAAmBtnF,GACjBJ,EAAQ/7C,KAAKm8C,MAqCfyiF,EAAK8E,UAAY,WAAa5E,GAAY,GAC1CF,EAAK+E,SAAW,WAAa7E,GAAY,GACzCF,EAAKgF,cA/0BO,SAi1BZ,IAAK,MAAM/5I,KAAOywI,EAEU,iBAAfA,EAAMzwI,IAEf0tI,EAAW+C,EAAMzwI,IAOrB,OAFAd,OAAO0G,OAAOmvI,EAAMtE,GAEbsE,EAIOiF,CAAK,IAErBt8I,EAAOC,QAAUouI,G,6BC7hEjB,IAAIkO,EAAY,EAAQ,KAEpBnO,EAAQh/H,EAAO8H,OAcnB,SAAS9H,EAAOotI,GAGd,OAFAC,EAAen+G,YAAck+G,EAAal+G,aAAek+G,EAAa17I,KAE/D27I,EAEP,SAASA,EAAe7vH,GAKtB,OAJIA,IACFA,EAAS2vH,EAAUtsI,MAAM,KAAMhM,YAG1B,IAAIu4I,EAAa5vH,IAtB5B5sB,EAAOC,QAAUmuI,EAEjBA,EAAMsO,KAAOttI,EAAOutI,WACpBvO,EAAM3kF,MAAQr6C,EAAOyhD,YACrBu9E,EAAML,UAAY3+H,EAAOwiE,gBACzBw8D,EAAMS,OAASz/H,EAAO4yD,aACtBosE,EAAMrlI,KAAOqG,EAAO1K,WACpB0pI,EAAMwO,IAAMxtI,EAAOytI,UAEnBzO,EAAMh/H,OAASA,G,iBCJb,WAGA,IAAId,EA4BJ,SAASse,EAAOkwH,GAsBd,IArBA,IAKI9sI,EAEA2Q,EACAq6B,EAEA+hG,EAVAC,EAAW,EACXzkI,EAAO,GAAGpL,MAAMvL,KAAKqC,WACrB9B,EAAI,EACJ4e,EAAI+7H,EAAIz6I,OACR0B,EAAS,GAETsjD,GAAU,EAGV41F,GAAc,EAEdC,EAAU,WAAa,OAAO3kI,EAAKykI,MACnCG,EAAc,WAEZ,IADA,IAAIC,EAAS,GACN,KAAK/nI,KAAKynI,EAAI36I,KACnBi7I,GAAUN,EAAI36I,KACd6N,EAAI8sI,EAAI36I,GAEV,OAAOi7I,EAAO/6I,OAAS,EAAI4lC,SAASm1G,GAAU,MAG7Cj7I,EAAI4e,IAAK5e,EAEd,GADA6N,EAAI8sI,EAAI36I,GACJklD,EAeF,OAdAA,GAAU,EACD,KAALr3C,GACFitI,GAAc,EACdjtI,EAAI8sI,IAAM36I,IAEE,KAAL6N,GAA0B,KAAd8sI,EAAI36I,EAAI,IAC3B86I,GAAc,EAEdjtI,EAAI8sI,EADJ36I,GAAK,IAIL86I,GAAc,EAEhBF,EAAYI,IACJntI,GACR,IAAK,IACHjM,GAAUkkC,SAASi1G,IAAW,IAAI37I,SAAS,GAC3C,MACF,IAAK,IAGDwC,GADiB,iBADnB4c,EAAMu8H,MACyBv8H,aAAehc,OAClCgc,EAEAhc,OAAOyhD,aAAane,SAAStnB,EAAK,KAC9C,MACF,IAAK,IACH5c,GAAUkkC,SAASi1G,IAAW,IAC9B,MACF,IAAK,IACHliG,EAAMr2C,OAAO4zC,WAAW2kG,KAAWl5F,QAAQ+4F,GAAa,IACxDh5I,GAAUk5I,EAAcjiG,EAAMA,EAAIv2C,QAAQ,KAAM,IAChD,MACF,IAAK,IACHV,GAAUwW,KAAKC,UAAU0iI,KACzB,MACF,IAAK,IACHn5I,GAAU,IAAMkkC,SAASi1G,IAAW,IAAI37I,SAAS,GACjD,MACF,IAAK,IACHwC,GAAUm5I,IACV,MACF,IAAK,IACHn5I,GAAU,KAAOkkC,SAASi1G,IAAW,IAAI37I,SAAS,IAClD,MACF,IAAK,IACHwC,GAAU,KAAOkkC,SAASi1G,IAAW,IAAI37I,SAAS,IAAI2lB,cACtD,MACF,QACEnjB,GAAUiM,MAGG,MAANA,EACTq3C,GAAU,EAEVtjD,GAAUiM,EAGd,OAAOjM,GA1GPuK,EAAYtO,EAAOC,QAAU2sB,GASrBA,OAASA,EACnBte,EAAU+uI,SAUV,SAAkBP,EAAKQ,GACrB,OAAO1wH,EAAO3c,MAAM,KAAM,CAAC6sI,GAAK5vI,OAAOowI,KATlB,oBAAZzyI,SAAkD,mBAAhBA,QAAQ0yI,MACnDjvI,EAAUkvI,OAGZ,WACE3yI,QAAQ0yI,IAAI3wH,EAAO3c,MAAM,KAAMhM,cAxBlC,I,iBCXD,YA4BA,SAASw5I,EAAe/hI,EAAOgiI,GAG7B,IADA,IAAI1nC,EAAK,EACA7zG,EAAIuZ,EAAMrZ,OAAS,EAAGF,GAAK,EAAGA,IAAK,CAC1C,IAAIy7B,EAAOliB,EAAMvZ,GACJ,MAATy7B,EACFliB,EAAMw6C,OAAO/zD,EAAG,GACE,OAATy7B,GACTliB,EAAMw6C,OAAO/zD,EAAG,GAChB6zG,KACSA,IACTt6F,EAAMw6C,OAAO/zD,EAAG,GAChB6zG,KAKJ,GAAI0nC,EACF,KAAO1nC,IAAMA,EACXt6F,EAAM+b,QAAQ,MAIlB,OAAO/b,EA0OT,SAASqC,EAAQ4/H,EAAIv4I,GACjB,GAAIu4I,EAAG5/H,OAAQ,OAAO4/H,EAAG5/H,OAAO3Y,GAEhC,IADA,IAAI2sB,EAAM,GACD5vB,EAAI,EAAGA,EAAIw7I,EAAGt7I,OAAQF,IACvBiD,EAAEu4I,EAAGx7I,GAAIA,EAAGw7I,IAAK5rH,EAAItZ,KAAKklI,EAAGx7I,IAErC,OAAO4vB,EA3OX9xB,EAAQsmB,QAAU,WAIhB,IAHA,IAAIq3H,EAAe,GACfC,GAAmB,EAEd17I,EAAI8B,UAAU5B,OAAS,EAAGF,IAAM,IAAM07I,EAAkB17I,IAAK,CACpE,IAAIgM,EAAQhM,GAAK,EAAK8B,UAAU9B,GAAK6U,EAAQyC,MAG7C,GAAoB,iBAATtL,EACT,MAAM,IAAIzJ,UAAU,6CACVyJ,IAIZyvI,EAAezvI,EAAO,IAAMyvI,EAC5BC,EAAsC,MAAnB1vI,EAAKzF,OAAO,IAWjC,OAASm1I,EAAmB,IAAM,KAJlCD,EAAeH,EAAe1/H,EAAO6/H,EAAa/zI,MAAM,MAAM,SAAS+mB,GACrE,QAASA,MACNitH,GAAkB5zI,KAAK,OAE6B,KAK3DhK,EAAQsf,UAAY,SAASpR,GAC3B,IAAI2vI,EAAa79I,EAAQ69I,WAAW3vI,GAChC4vI,EAAqC,MAArB1kH,EAAOlrB,GAAO,GAclC,OAXAA,EAAOsvI,EAAe1/H,EAAO5P,EAAKtE,MAAM,MAAM,SAAS+mB,GACrD,QAASA,MACNktH,GAAY7zI,KAAK,OAER6zI,IACZ3vI,EAAO,KAELA,GAAQ4vI,IACV5vI,GAAQ,MAGF2vI,EAAa,IAAM,IAAM3vI,GAInClO,EAAQ69I,WAAa,SAAS3vI,GAC5B,MAA0B,MAAnBA,EAAKzF,OAAO,IAIrBzI,EAAQgK,KAAO,WACb,IAAIgrH,EAAQz8G,MAAM/W,UAAU0L,MAAMvL,KAAKqC,UAAW,GAClD,OAAOhE,EAAQsf,UAAUxB,EAAOk3G,GAAO,SAASrkG,EAAGja,GACjD,GAAiB,iBAANia,EACT,MAAM,IAAIlsB,UAAU,0CAEtB,OAAOksB,KACN3mB,KAAK,OAMVhK,EAAQ+9I,SAAW,SAAS3oH,EAAM8L,GAIhC,SAAS58B,EAAKo2B,GAEZ,IADA,IAAIu8B,EAAQ,EACLA,EAAQv8B,EAAIt4B,QACE,KAAfs4B,EAAIu8B,GADiBA,KAK3B,IADA,IAAIhL,EAAMvxB,EAAIt4B,OAAS,EAChB6pD,GAAO,GACK,KAAbvxB,EAAIuxB,GADOA,KAIjB,OAAIgL,EAAQhL,EAAY,GACjBvxB,EAAIxtB,MAAM+pD,EAAOhL,EAAMgL,EAAQ,GAfxC7hC,EAAOp1B,EAAQsmB,QAAQ8O,GAAMgE,OAAO,GACpC8H,EAAKlhC,EAAQsmB,QAAQ4a,GAAI9H,OAAO,GAsBhC,IALA,IAAI4kH,EAAY15I,EAAK8wB,EAAKxrB,MAAM,MAC5Bq0I,EAAU35I,EAAK48B,EAAGt3B,MAAM,MAExBxH,EAASrB,KAAKkK,IAAI+yI,EAAU57I,OAAQ67I,EAAQ77I,QAC5C87I,EAAkB97I,EACbF,EAAI,EAAGA,EAAIE,EAAQF,IAC1B,GAAI87I,EAAU97I,KAAO+7I,EAAQ/7I,GAAI,CAC/Bg8I,EAAkBh8I,EAClB,MAIJ,IAAIi8I,EAAc,GAClB,IAASj8I,EAAIg8I,EAAiBh8I,EAAI87I,EAAU57I,OAAQF,IAClDi8I,EAAY3lI,KAAK,MAKnB,OAFA2lI,EAAcA,EAAYlxI,OAAOgxI,EAAQ/wI,MAAMgxI,KAE5Bl0I,KAAK,MAG1BhK,EAAQo+I,IAAM,IACdp+I,EAAQq+I,UAAY,IAEpBr+I,EAAQs+I,QAAU,SAAUpwI,GAE1B,GADoB,iBAATA,IAAmBA,GAAc,IACxB,IAAhBA,EAAK9L,OAAc,MAAO,IAK9B,IAJA,IAAI4mB,EAAO9a,EAAKuV,WAAW,GACvB86H,EAAmB,KAATv1H,EACVijC,GAAO,EACPuyF,GAAe,EACVt8I,EAAIgM,EAAK9L,OAAS,EAAGF,GAAK,IAAKA,EAEtC,GAAa,MADb8mB,EAAO9a,EAAKuV,WAAWvhB,KAEnB,IAAKs8I,EAAc,CACjBvyF,EAAM/pD,EACN,YAIJs8I,GAAe,EAInB,OAAa,IAATvyF,EAAmBsyF,EAAU,IAAM,IACnCA,GAAmB,IAARtyF,EAGN,IAEF/9C,EAAKhB,MAAM,EAAG++C,IAiCvBjsD,EAAQy+I,SAAW,SAAUvwI,EAAMwwI,GACjC,IAAIv5I,EA/BN,SAAkB+I,GACI,iBAATA,IAAmBA,GAAc,IAE5C,IAGIhM,EAHA+0D,EAAQ,EACRhL,GAAO,EACPuyF,GAAe,EAGnB,IAAKt8I,EAAIgM,EAAK9L,OAAS,EAAGF,GAAK,IAAKA,EAClC,GAA2B,KAAvBgM,EAAKuV,WAAWvhB,IAGhB,IAAKs8I,EAAc,CACjBvnF,EAAQ/0D,EAAI,EACZ,YAEgB,IAAT+pD,IAGXuyF,GAAe,EACfvyF,EAAM/pD,EAAI,GAId,OAAa,IAAT+pD,EAAmB,GAChB/9C,EAAKhB,MAAM+pD,EAAOhL,GAMjBwyF,CAASvwI,GAIjB,OAHIwwI,GAAOv5I,EAAEi0B,QAAQ,EAAIslH,EAAIt8I,UAAYs8I,IACvCv5I,EAAIA,EAAEi0B,OAAO,EAAGj0B,EAAE/C,OAASs8I,EAAIt8I,SAE1B+C,GAGTnF,EAAQ2+I,QAAU,SAAUzwI,GACN,iBAATA,IAAmBA,GAAc,IAQ5C,IAPA,IAAI0wI,GAAY,EACZC,EAAY,EACZ5yF,GAAO,EACPuyF,GAAe,EAGfM,EAAc,EACT58I,EAAIgM,EAAK9L,OAAS,EAAGF,GAAK,IAAKA,EAAG,CACzC,IAAI8mB,EAAO9a,EAAKuV,WAAWvhB,GAC3B,GAAa,KAAT8mB,GASS,IAATijC,IAGFuyF,GAAe,EACfvyF,EAAM/pD,EAAI,GAEC,KAAT8mB,GAEkB,IAAd41H,EACFA,EAAW18I,EACY,IAAhB48I,IACPA,EAAc,IACK,IAAdF,IAGTE,GAAe,QArBb,IAAKN,EAAc,CACjBK,EAAY38I,EAAI,EAChB,OAuBR,OAAkB,IAAd08I,IAA4B,IAAT3yF,GAEH,IAAhB6yF,GAEgB,IAAhBA,GAAqBF,IAAa3yF,EAAM,GAAK2yF,IAAaC,EAAY,EACjE,GAEF3wI,EAAKhB,MAAM0xI,EAAU3yF,IAa9B,IAAI7yB,EAA6B,MAApB,KAAKA,QAAQ,GACpB,SAAU70B,EAAK0yD,EAAOn/C,GAAO,OAAOvT,EAAI60B,OAAO69B,EAAOn/C,IACtD,SAAUvT,EAAK0yD,EAAOn/C,GAEpB,OADIm/C,EAAQ,IAAGA,EAAQ1yD,EAAInC,OAAS60D,GAC7B1yD,EAAI60B,OAAO69B,EAAOn/C,M,iDCtSjC,IAAI2M,EAAe,EAAQ,KACvBs6H,EAAe,EAAQ,KACvBC,EAAe,EAAQ,KACvBC,EAAe,EAAQ,KACvBC,EAAe,EAAQ,KACvBC,EAAe,EAAQ,KACvBC,EAAe,EAAQ,KACvBr4F,EAAe,EAAQ,KACvBs4F,EAAe,EAAQ,KAGvB12I,EAAS,CACX,QAAW,EAAQ,KACnB22I,KAAM,EAAQ,KACdC,WAAY,EAAQ,MAYlBC,EAAe,oCACfC,EAAe,oCAEnB,SAASC,EAAa53I,GAEpB,IAAIvD,EAAMuD,EAAIxD,OAAO8X,cAErB,OAAOojI,EAAapqI,KAAK7Q,MAAQk7I,EAAarqI,KAAK7Q,GAMrD,IAAIo7I,EAAsB,CAAE,QAAS,SAAU,WAE/C,SAASC,EAAc93I,GACrB,IAAIoxB,EAAS6tB,EAAMvhC,MAAM1d,GAAK,GAE9B,GAAIoxB,EAAOU,YAOJV,EAAOxxB,UAAYi4I,EAAoB92I,QAAQqwB,EAAOxxB,WAAa,GACtE,IACEwxB,EAAOU,SAAWylH,EAASQ,QAAQ3mH,EAAOU,UAC1C,MAAO6B,IAIb,OAAOsrB,EAAMriC,OAAOqiC,EAAMp6B,OAAOuM,IAGnC,SAAS4mH,EAAkBh4I,GACzB,IAAIoxB,EAAS6tB,EAAMvhC,MAAM1d,GAAK,GAE9B,GAAIoxB,EAAOU,YAOJV,EAAOxxB,UAAYi4I,EAAoB92I,QAAQqwB,EAAOxxB,WAAa,GACtE,IACEwxB,EAAOU,SAAWylH,EAASU,UAAU7mH,EAAOU,UAC5C,MAAO6B,IAIb,OAAOsrB,EAAMslF,OAAOtlF,EAAMp6B,OAAOuM,IAyInC,SAAS8mH,EAAWC,EAAYh6I,GAC9B,KAAMgE,gBAAgB+1I,GACpB,OAAO,IAAIA,EAAWC,EAAYh6I,GAG/BA,GACEwe,EAAMzhB,SAASi9I,KAClBh6I,EAAUg6I,GAAc,GACxBA,EAAa,WAWjBh2I,KAAKy2D,OAAS,IAAIy+E,EASlBl1I,KAAK0gE,MAAQ,IAAIu0E,EASjBj1I,KAAKi2I,KAAO,IAAIjB,EAuBhBh1I,KAAKk2I,SAAW,IAAInB,EASpB/0I,KAAKm2I,QAAU,IAAIhB,EAiBnBn1I,KAAKy1I,aAAeA,EAQpBz1I,KAAK21I,cAAgBA,EAOrB31I,KAAK61I,kBAAoBA,EAWzB71I,KAAKwa,MAAQA,EAQbxa,KAAK80I,QAAUt6H,EAAMxc,OAAO,GAAI82I,GAGhC90I,KAAKhE,QAAU,GACfgE,KAAK0lI,UAAUsQ,GAEXh6I,GAAWgE,KAAKkD,IAAIlH,GAuB1B+5I,EAAWx+I,UAAU2L,IAAM,SAAUlH,GAEnC,OADAwe,EAAMxc,OAAOgC,KAAKhE,QAASA,GACpBgE,MAcT+1I,EAAWx+I,UAAUmuI,UAAY,SAAU0Q,GACzC,IAAiBJ,EAAb9+I,EAAO8I,KAEX,GAAIwa,EAAMzhB,SAASq9I,MAEjBA,EAAU13I,EADVs3I,EAAaI,IAEG,MAAM,IAAIppI,MAAM,+BAAiCgpI,EAAa,iBAGhF,IAAKI,EAAW,MAAM,IAAIppI,MAAM,8CAchC,OAZIopI,EAAQp6I,SAAW9E,EAAKgM,IAAIkzI,EAAQp6I,SAEpCo6I,EAAQC,YACV/+I,OAAOoR,KAAK0tI,EAAQC,YAAYv+I,SAAQ,SAAUlB,GAC5Cw/I,EAAQC,WAAWz/I,GAAM4iE,OAC3BtiE,EAAKN,GAAM0/I,MAAMlxB,WAAWgxB,EAAQC,WAAWz/I,GAAM4iE,OAEnD48E,EAAQC,WAAWz/I,GAAM2/I,QAC3Br/I,EAAKN,GAAM4/I,OAAOpxB,WAAWgxB,EAAQC,WAAWz/I,GAAM2/I,WAIrDv2I,MAqBT+1I,EAAWx+I,UAAU0tH,OAAS,SAAUr1G,EAAMs1G,GAC5C,IAAIrrH,EAAS,GAERyU,MAAM9W,QAAQoY,KAASA,EAAO,CAAEA,IAErC,CAAE,OAAQ,QAAS,UAAW9X,SAAQ,SAAUu1B,GAC9CxzB,EAASA,EAAOmJ,OAAOhD,KAAKqtB,GAAOipH,MAAMrxB,OAAOr1G,GAAM,MACrD5P,MAEHnG,EAASA,EAAOmJ,OAAOhD,KAAKy2D,OAAO+/E,OAAOvxB,OAAOr1G,GAAM,IAEvD,IAAI6mI,EAAS7mI,EAAKiE,QAAO,SAAUjd,GAAQ,OAAOiD,EAAO+E,QAAQhI,GAAQ,KAEzE,GAAI6/I,EAAOt+I,SAAW+sH,EACpB,MAAM,IAAIl4G,MAAM,iDAAmDypI,GAGrE,OAAOz2I,MAWT+1I,EAAWx+I,UAAU8tH,QAAU,SAAUz1G,EAAMs1G,GAC7C,IAAIrrH,EAAS,GAERyU,MAAM9W,QAAQoY,KAASA,EAAO,CAAEA,IAErC,CAAE,OAAQ,QAAS,UAAW9X,SAAQ,SAAUu1B,GAC9CxzB,EAASA,EAAOmJ,OAAOhD,KAAKqtB,GAAOipH,MAAMjxB,QAAQz1G,GAAM,MACtD5P,MAEHnG,EAASA,EAAOmJ,OAAOhD,KAAKy2D,OAAO+/E,OAAOnxB,QAAQz1G,GAAM,IAExD,IAAI6mI,EAAS7mI,EAAKiE,QAAO,SAAUjd,GAAQ,OAAOiD,EAAO+E,QAAQhI,GAAQ,KAEzE,GAAI6/I,EAAOt+I,SAAW+sH,EACpB,MAAM,IAAIl4G,MAAM,kDAAoDypI,GAEtE,OAAOz2I,MAoBT+1I,EAAWx+I,UAAUs2B,IAAM,SAAU68B,GACnC,IAAIr8C,EAAO,CAAErO,MAAOgD,OAAOsL,MAAM/W,UAAU0L,MAAMvL,KAAKqC,UAAW,IAEjE,OADA2wD,EAAO3kD,MAAM2kD,EAAQr8C,GACdrO,MAmBT+1I,EAAWx+I,UAAUgkB,MAAQ,SAAU1T,EAAK6G,GAC1C,GAAmB,iBAAR7G,EACT,MAAM,IAAImF,MAAM,iCAGlB,IAAIhJ,EAAQ,IAAIhE,KAAKi2I,KAAKS,MAAM7uI,EAAK7H,KAAM0O,GAI3C,OAFA1O,KAAKi2I,KAAKnpI,QAAQ9I,GAEXA,EAAMo5B,QAef24G,EAAWx+I,UAAU8J,OAAS,SAAUwG,EAAK6G,GAG3C,OAFAA,EAAMA,GAAO,GAEN1O,KAAKk2I,SAAS70I,OAAOrB,KAAKub,MAAM1T,EAAK6G,GAAM1O,KAAKhE,QAAS0S,IAalEqnI,EAAWx+I,UAAUo/I,YAAc,SAAU9uI,EAAK6G,GAChD,IAAI1K,EAAQ,IAAIhE,KAAKi2I,KAAKS,MAAM7uI,EAAK7H,KAAM0O,GAK3C,OAHA1K,EAAM4yI,YAAa,EACnB52I,KAAKi2I,KAAKnpI,QAAQ9I,GAEXA,EAAMo5B,QAYf24G,EAAWx+I,UAAUs/I,aAAe,SAAUhvI,EAAK6G,GAGjD,OAFAA,EAAMA,GAAO,GAEN1O,KAAKk2I,SAAS70I,OAAOrB,KAAK22I,YAAY9uI,EAAK6G,GAAM1O,KAAKhE,QAAS0S,IAIxE5Y,EAAOC,QAAUggJ,G,ig5BChkBjB,IAAIe,EAAc,GAsClB,SAASr8H,EAAOjF,EAAQuhI,EAASC,GAC/B,IAAI/+I,EAAGC,EAAG6mB,EAAMk4H,EAAUjxI,EACtBnM,EAAS,GAcb,IAZuB,iBAAZk9I,IAETC,EAAeD,EACfA,EAAUt8H,EAAOy8H,mBAGQ,IAAhBF,IACTA,GAAc,GAGhBhxI,EA9CF,SAAwB+wI,GACtB,IAAI9+I,EAAG2wB,EAAI5iB,EAAQ8wI,EAAYC,GAC/B,GAAI/wI,EAAS,OAAOA,EAIpB,IAFAA,EAAQ8wI,EAAYC,GAAW,GAE1B9+I,EAAI,EAAGA,EAAI,IAAKA,IACnB2wB,EAAKnuB,OAAOyhD,aAAajkD,GAErB,cAAckT,KAAKyd,GAErB5iB,EAAMuI,KAAKqa,GAEX5iB,EAAMuI,KAAK,KAAO,IAAMtW,EAAEZ,SAAS,IAAI2lB,eAAe/Z,OAAO,IAIjE,IAAKhL,EAAI,EAAGA,EAAI8+I,EAAQ5+I,OAAQF,IAC9B+N,EAAM+wI,EAAQv9H,WAAWvhB,IAAM8+I,EAAQ9+I,GAGzC,OAAO+N,EAyBCmxI,CAAeJ,GAElB9+I,EAAI,EAAGC,EAAIsd,EAAOrd,OAAQF,EAAIC,EAAGD,IAGpC,GAFA8mB,EAAOvJ,EAAOgE,WAAWvhB,GAErB++I,GAAwB,KAATj4H,GAAyB9mB,EAAI,EAAIC,GAC9C,iBAAiBiT,KAAKqK,EAAOvS,MAAMhL,EAAI,EAAGA,EAAI,IAChD4B,GAAU2b,EAAOvS,MAAMhL,EAAGA,EAAI,GAC9BA,GAAK,OAKT,GAAI8mB,EAAO,IACTllB,GAAUmM,EAAM+Y,QAIlB,GAAIA,GAAQ,OAAUA,GAAQ,MAA9B,CACE,GAAIA,GAAQ,OAAUA,GAAQ,OAAU9mB,EAAI,EAAIC,IAC9C++I,EAAWzhI,EAAOgE,WAAWvhB,EAAI,KACjB,OAAUg/I,GAAY,MAAQ,CAC5Cp9I,GAAU0E,mBAAmBiX,EAAOvd,GAAKud,EAAOvd,EAAI,IACpDA,IACA,SAGJ4B,GAAU,iBAIZA,GAAU0E,mBAAmBiX,EAAOvd,IAGtC,OAAO4B,EAGT4gB,EAAOy8H,aAAiB,uBACxBz8H,EAAO28H,eAAiB,YAGxBthJ,EAAOC,QAAU0kB,G,6BC3FjB,IAAI48H,EAAc,GAwBlB,SAASjV,EAAO5sH,EAAQuhI,GACtB,IAAI/wI,EAQJ,MANuB,iBAAZ+wI,IACTA,EAAU3U,EAAO8U,cAGnBlxI,EA7BF,SAAwB+wI,GACtB,IAAI9+I,EAAG2wB,EAAI5iB,EAAQqxI,EAAYN,GAC/B,GAAI/wI,EAAS,OAAOA,EAIpB,IAFAA,EAAQqxI,EAAYN,GAAW,GAE1B9+I,EAAI,EAAGA,EAAI,IAAKA,IACnB2wB,EAAKnuB,OAAOyhD,aAAajkD,GACzB+N,EAAMuI,KAAKqa,GAGb,IAAK3wB,EAAI,EAAGA,EAAI8+I,EAAQ5+I,OAAQF,IAE9B+N,EADA4iB,EAAKmuH,EAAQv9H,WAAWvhB,IACZ,KAAO,IAAM2wB,EAAGvxB,SAAS,IAAI2lB,eAAe/Z,OAAO,GAGjE,OAAO+C,EAaCsxI,CAAeP,GAEhBvhI,EAAOjb,QAAQ,qBAAqB,SAASg9I,GAClD,IAAIt/I,EAAGC,EAAGs/I,EAAIC,EAAIC,EAAIC,EAAIltH,EACtB5wB,EAAS,GAEb,IAAK5B,EAAI,EAAGC,EAAIq/I,EAAIp/I,OAAQF,EAAIC,EAAGD,GAAK,GACtCu/I,EAAKz5G,SAASw5G,EAAIt0I,MAAMhL,EAAI,EAAGA,EAAI,GAAI,KAE9B,IACP4B,GAAUmM,EAAMwxI,GAIE,MAAV,IAALA,IAAwBv/I,EAAI,EAAIC,GAIf,MAAV,KAFVu/I,EAAK15G,SAASw5G,EAAIt0I,MAAMhL,EAAI,EAAGA,EAAI,GAAI,OAMnC4B,IAHF4wB,EAAQ+sH,GAAM,EAAK,KAAe,GAALC,GAEnB,IACE,KAEAh9I,OAAOyhD,aAAazxB,GAGhCxyB,GAAK,GAKW,MAAV,IAALu/I,IAAwBv/I,EAAI,EAAIC,IAEnCu/I,EAAK15G,SAASw5G,EAAIt0I,MAAMhL,EAAI,EAAGA,EAAI,GAAI,IACvCy/I,EAAK35G,SAASw5G,EAAIt0I,MAAMhL,EAAI,EAAGA,EAAI,GAAI,IAEnB,MAAV,IAALw/I,IAAuC,MAAV,IAALC,KAIzB79I,IAHF4wB,EAAQ+sH,GAAM,GAAM,MAAYC,GAAM,EAAK,KAAe,GAALC,GAE3C,MAAUjtH,GAAO,OAAUA,GAAO,MAChC,MAEAhwB,OAAOyhD,aAAazxB,GAGhCxyB,GAAK,GAKW,MAAV,IAALu/I,IAAwBv/I,EAAI,EAAIC,IAEnCu/I,EAAK15G,SAASw5G,EAAIt0I,MAAMhL,EAAI,EAAGA,EAAI,GAAI,IACvCy/I,EAAK35G,SAASw5G,EAAIt0I,MAAMhL,EAAI,EAAGA,EAAI,GAAI,IACvC0/I,EAAK55G,SAASw5G,EAAIt0I,MAAMhL,EAAI,GAAIA,EAAI,IAAK,IAErB,MAAV,IAALw/I,IAAuC,MAAV,IAALC,IAAuC,MAAV,IAALC,MACnDltH,EAAQ+sH,GAAM,GAAM,QAAcC,GAAM,GAAM,OAAaC,GAAM,EAAK,KAAe,GAALC,GAEtE,OAAWltH,EAAM,QACzB5wB,GAAU,QAEV4wB,GAAO,MACP5wB,GAAUY,OAAOyhD,aAAa,OAAUzxB,GAAO,IAAK,OAAgB,KAANA,KAGhExyB,GAAK,GAKT4B,GAAU,IAGZ,OAAOA,KAKXuoI,EAAO8U,aAAiB,cACxB9U,EAAOgV,eAAiB,GAGxBthJ,EAAOC,QAAUqsI,G,6BCrHjBtsI,EAAOC,QAAU,SAAgB8H,GAC/B,IAAIhE,EAAS,GAkBb,OAhBAA,GAAUgE,EAAIJ,UAAY,GAC1B5D,GAAUgE,EAAI+5I,QAAU,KAAO,GAC/B/9I,GAAUgE,EAAI6e,KAAO7e,EAAI6e,KAAO,IAAM,GAElC7e,EAAI8xB,WAA2C,IAA/B9xB,EAAI8xB,SAAS/wB,QAAQ,KAEvC/E,GAAU,IAAMgE,EAAI8xB,SAAW,IAE/B91B,GAAUgE,EAAI8xB,UAAY,GAG5B91B,GAAUgE,EAAI+xB,KAAO,IAAM/xB,EAAI+xB,KAAO,GACtC/1B,GAAUgE,EAAIgyB,UAAY,GAC1Bh2B,GAAUgE,EAAI4xB,QAAU,GACxB51B,GAAUgE,EAAI6xB,MAAQ,K,6BCwBxB,SAASmoH,IACP73I,KAAKvC,SAAW,KAChBuC,KAAK43I,QAAU,KACf53I,KAAK0c,KAAO,KACZ1c,KAAK4vB,KAAO,KACZ5vB,KAAK2vB,SAAW,KAChB3vB,KAAK0vB,KAAO,KACZ1vB,KAAKyvB,OAAS,KACdzvB,KAAK6vB,SAAW,KAOlB,IAAIioH,EAAkB,oBAClBC,EAAc,WAGdC,EAAoB,qCAOpBC,EAAS,CAAE,IAAK,IAAK,IAAK,KAAM,IAAK,KAAMj1I,OAHlC,CAAE,IAAK,IAAK,IAAK,IAAK,IAAK,KAAM,KAAM,OAMhDk1I,EAAa,CAAE,KAAOl1I,OAAOi1I,GAK7BE,EAAe,CAAE,IAAK,IAAK,IAAK,IAAK,KAAMn1I,OAAOk1I,GAClDE,EAAkB,CAAE,IAAK,IAAK,KAE9BC,EAAsB,yBACtBC,EAAoB,+BAIpBC,EAAmB,CACjB,YAAc,EACd,eAAe,GAGjBC,EAAkB,CAChB,MAAQ,EACR,OAAS,EACT,KAAO,EACP,QAAU,EACV,MAAQ,EACR,SAAS,EACT,UAAU,EACV,QAAQ,EACR,WAAW,EACX,SAAS,GAYfX,EAAItgJ,UAAUgkB,MAAQ,SAAS1d,EAAK46I,GAClC,IAAIxgJ,EAAGC,EAAGwgJ,EAAYC,EAAKf,EACvBx9D,EAAOv8E,EAMX,GAFAu8E,EAAOA,EAAK//E,QAEPo+I,GAA+C,IAA1B56I,EAAI8B,MAAM,KAAKxH,OAAc,CAErD,IAAIygJ,EAAaZ,EAAkBhiJ,KAAKokF,GACxC,GAAIw+D,EAKF,OAJA54I,KAAK6vB,SAAW+oH,EAAW,GACvBA,EAAW,KACb54I,KAAKyvB,OAASmpH,EAAW,IAEpB54I,KAIX,IAAIkL,EAAQ4sI,EAAgB9hJ,KAAKokF,GAoBjC,GAnBIlvE,IAEFwtI,GADAxtI,EAAQA,EAAM,IACKiH,cACnBnS,KAAKvC,SAAWyN,EAChBkvE,EAAOA,EAAKjrD,OAAOjkB,EAAM/S,UAOvBsgJ,GAAqBvtI,GAASkvE,EAAKluE,MAAM,6BAC3C0rI,EAAgC,OAAtBx9D,EAAKjrD,OAAO,EAAG,KACRjkB,GAASqtI,EAAiBrtI,KACzCkvE,EAAOA,EAAKjrD,OAAO,GACnBnvB,KAAK43I,SAAU,KAIdW,EAAiBrtI,KACjB0sI,GAAY1sI,IAAUstI,EAAgBttI,IAAU,CAkBnD,IAUIwR,EAAMm8H,EAVNC,GAAW,EACf,IAAK7gJ,EAAI,EAAGA,EAAImgJ,EAAgBjgJ,OAAQF,KAEzB,KADb0gJ,EAAMv+D,EAAKx7E,QAAQw5I,EAAgBngJ,QACH,IAAb6gJ,GAAkBH,EAAMG,KACzCA,EAAUH,GA0Bd,KARgB,KATdE,GAFe,IAAbC,EAEO1+D,EAAK5Y,YAAY,KAIjB4Y,EAAK5Y,YAAY,IAAKs3E,MAM/Bp8H,EAAO09D,EAAKn3E,MAAM,EAAG41I,GACrBz+D,EAAOA,EAAKn3E,MAAM41I,EAAS,GAC3B74I,KAAK0c,KAAOA,GAIdo8H,GAAW,EACN7gJ,EAAI,EAAGA,EAAIkgJ,EAAahgJ,OAAQF,KAEtB,KADb0gJ,EAAMv+D,EAAKx7E,QAAQu5I,EAAalgJ,QACA,IAAb6gJ,GAAkBH,EAAMG,KACzCA,EAAUH,IAIG,IAAbG,IACFA,EAAU1+D,EAAKjiF,QAGS,MAAtBiiF,EAAK0+D,EAAU,IAAcA,IACjC,IAAIp7I,EAAO08E,EAAKn3E,MAAM,EAAG61I,GACzB1+D,EAAOA,EAAKn3E,MAAM61I,GAGlB94I,KAAK+4I,UAAUr7I,GAIfsC,KAAK2vB,SAAW3vB,KAAK2vB,UAAY,GAIjC,IAAIqpH,EAAoC,MAArBh5I,KAAK2vB,SAAS,IACe,MAA5C3vB,KAAK2vB,SAAS3vB,KAAK2vB,SAASx3B,OAAS,GAGzC,IAAK6gJ,EAAc,CACjB,IAAIC,EAAYj5I,KAAK2vB,SAAShwB,MAAM,MACpC,IAAK1H,EAAI,EAAGC,EAAI+gJ,EAAU9gJ,OAAQF,EAAIC,EAAGD,IAAK,CAC5C,IAAIoZ,EAAO4nI,EAAUhhJ,GACrB,GAAKoZ,IACAA,EAAKnF,MAAMmsI,GAAsB,CAEpC,IADA,IAAIa,EAAU,GACLtmI,EAAI,EAAG8D,EAAIrF,EAAKlZ,OAAQya,EAAI8D,EAAG9D,IAClCvB,EAAKmI,WAAW5G,GAAK,IAIvBsmI,GAAW,IAEXA,GAAW7nI,EAAKuB,GAIpB,IAAKsmI,EAAQhtI,MAAMmsI,GAAsB,CACvC,IAAIc,EAAaF,EAAUh2I,MAAM,EAAGhL,GAChCmhJ,EAAUH,EAAUh2I,MAAMhL,EAAI,GAC9BohJ,EAAMhoI,EAAKnF,MAAMosI,GACjBe,IACFF,EAAW5qI,KAAK8qI,EAAI,IACpBD,EAAQ7rH,QAAQ8rH,EAAI,KAElBD,EAAQjhJ,SACViiF,EAAOg/D,EAAQr5I,KAAK,KAAOq6E,GAE7Bp6E,KAAK2vB,SAAWwpH,EAAWp5I,KAAK,KAChC,SAMJC,KAAK2vB,SAASx3B,OA1LD,MA2Lf6H,KAAK2vB,SAAW,IAKdqpH,IACFh5I,KAAK2vB,SAAW3vB,KAAK2vB,SAASR,OAAO,EAAGnvB,KAAK2vB,SAASx3B,OAAS,IAKnE,IAAIu3B,EAAO0qD,EAAKx7E,QAAQ,MACV,IAAV8wB,IAEF1vB,KAAK0vB,KAAO0qD,EAAKjrD,OAAOO,GACxB0qD,EAAOA,EAAKn3E,MAAM,EAAGysB,IAEvB,IAAI4pH,EAAKl/D,EAAKx7E,QAAQ,KAWtB,OAVY,IAAR06I,IACFt5I,KAAKyvB,OAAS2qD,EAAKjrD,OAAOmqH,GAC1Bl/D,EAAOA,EAAKn3E,MAAM,EAAGq2I,IAEnBl/D,IAAQp6E,KAAK6vB,SAAWuqD,GACxBo+D,EAAgBE,IAChB14I,KAAK2vB,WAAa3vB,KAAK6vB,WACzB7vB,KAAK6vB,SAAW,IAGX7vB,MAGT63I,EAAItgJ,UAAUwhJ,UAAY,SAASr7I,GACjC,IAAIkyB,EAAOmoH,EAAY/hJ,KAAK0H,GACxBkyB,IAEW,OADbA,EAAOA,EAAK,MAEV5vB,KAAK4vB,KAAOA,EAAKT,OAAO,IAE1BzxB,EAAOA,EAAKyxB,OAAO,EAAGzxB,EAAKvF,OAASy3B,EAAKz3B,SAEvCuF,IAAQsC,KAAK2vB,SAAWjyB,IAG9B5H,EAAOC,QA7MP,SAAkB8H,EAAK46I,GACrB,GAAI56I,GAAOA,aAAeg6I,EAAO,OAAOh6I,EAExC,IAAI+2C,EAAI,IAAIijG,EAEZ,OADAjjG,EAAEr5B,MAAM1d,EAAK46I,GACN7jG,I,6BC7GT7+C,EAAQwjJ,IAAM,EAAQ,KACtBxjJ,EAAQyjJ,GAAM,EAAQ,KACtBzjJ,EAAQ0jJ,GAAM,EAAQ,KACtB1jJ,EAAQqF,EAAM,EAAQ,KACtBrF,EAAQ2jJ,EAAM,EAAQ,M,cCNtB5jJ,EAAOC,QAAQ,yN,6BCIfA,EAAQ4jJ,eAAuB,EAAQ,KACvC5jJ,EAAQ6jJ,qBAAuB,EAAQ,KACvC7jJ,EAAQ8jJ,eAAuB,EAAQ,M,6BCCvC/jJ,EAAOC,QAAU,SAAwBiO,EAAOgpD,EAAO8sF,GACrD,IAAIv4E,EAAOlb,EAAOt4C,EAAQgsI,EACtBC,GAAY,EACZhzH,EAAMhjB,EAAMi2I,OACZC,EAASl2I,EAAMkV,IAKnB,IAHAlV,EAAMkV,IAAM8zC,EAAQ,EACpBuU,EAAQ,EAEDv9D,EAAMkV,IAAM8N,GAAK,CAEtB,GAAe,MADfjZ,EAAS/J,EAAM6D,IAAI2R,WAAWxV,EAAMkV,OAGpB,MADdqoD,EACiB,CACflb,GAAQ,EACR,MAMJ,GAFA0zF,EAAU/1I,EAAMkV,IAChBlV,EAAMosI,GAAG35E,OAAO0jF,UAAUn2I,GACX,KAAX+J,EACF,GAAIgsI,IAAY/1I,EAAMkV,IAAM,EAE1BqoD,SACK,GAAIu4E,EAET,OADA91I,EAAMkV,IAAMghI,GACJ,EAYd,OAPI7zF,IACF2zF,EAAWh2I,EAAMkV,KAInBlV,EAAMkV,IAAMghI,EAELF,I,6BCzCT,IAAI98F,EAAc,EAAQ,KAAmBA,YAG7CpnD,EAAOC,QAAU,SAA8BuE,EAAK4e,EAAK8N,GACvD,IAAIjI,EAAMwiD,EAENvU,EAAQ9zC,EACRrf,EAAS,CACPu/D,IAAI,EACJlgD,IAAK,EACLkhI,MAAO,EACP9/I,IAAK,IAGX,GAA4B,KAAxBA,EAAIkf,WAAWN,GAAuB,CAExC,IADAA,IACOA,EAAM8N,GAAK,CAEhB,GAAa,MADbjI,EAAOzkB,EAAIkf,WAAWN,IACQ,OAAOrf,EACrC,GAAa,KAATklB,EAIF,OAHAllB,EAAOqf,IAAMA,EAAM,EACnBrf,EAAOS,IAAM4iD,EAAY5iD,EAAI2I,MAAM+pD,EAAQ,EAAG9zC,IAC9Crf,EAAOu/D,IAAK,EACLv/D,EAEI,KAATklB,GAAyB7F,EAAM,EAAI8N,EACrC9N,GAAO,EAITA,IAIF,OAAOrf,EAMT,IADA0nE,EAAQ,EACDroD,EAAM8N,GAGE,MAFbjI,EAAOzkB,EAAIkf,WAAWN,OAKlB6F,EAAO,IAAiB,MAATA,IAEnB,GAAa,KAATA,GAAyB7F,EAAM,EAAI8N,EACrC9N,GAAO,MADT,CASA,GAJa,KAAT6F,GACFwiD,IAGW,KAATxiD,EAAuB,CACzB,GAAc,IAAVwiD,EAAe,MACnBA,IAGFroD,IAGF,OAAI8zC,IAAU9zC,GACA,IAAVqoD,IAEJ1nE,EAAOS,IAAM4iD,EAAY5iD,EAAI2I,MAAM+pD,EAAO9zC,IAC1Crf,EAAOugJ,MAhEK,EAiEZvgJ,EAAOqf,IAAMA,EACbrf,EAAOu/D,IAAK,GANgBv/D,I,6BCjE9B,IAAIqjD,EAAc,EAAQ,KAAmBA,YAG7CpnD,EAAOC,QAAU,SAAwBuE,EAAK4e,EAAK8N,GACjD,IAAIjI,EACAhR,EACAqsI,EAAQ,EACRptF,EAAQ9zC,EACRrf,EAAS,CACPu/D,IAAI,EACJlgD,IAAK,EACLkhI,MAAO,EACP9/I,IAAK,IAGX,GAAI4e,GAAO8N,EAAO,OAAOntB,EAIzB,GAAe,MAFfkU,EAASzT,EAAIkf,WAAWN,KAEkB,KAAXnL,GAAsC,KAAXA,EAA2B,OAAOlU,EAO5F,IALAqf,IAGe,KAAXnL,IAAmBA,EAAS,IAEzBmL,EAAM8N,GAAK,CAEhB,IADAjI,EAAOzkB,EAAIkf,WAAWN,MACTnL,EAKX,OAJAlU,EAAOqf,IAAMA,EAAM,EACnBrf,EAAOugJ,MAAQA,EACfvgJ,EAAOS,IAAM4iD,EAAY5iD,EAAI2I,MAAM+pD,EAAQ,EAAG9zC,IAC9Crf,EAAOu/D,IAAK,EACLv/D,EACW,KAATklB,EACTq7H,IACkB,KAATr7H,GAAyB7F,EAAM,EAAI8N,IAC5C9N,IAC4B,KAAxB5e,EAAIkf,WAAWN,IACjBkhI,KAIJlhI,IAGF,OAAOrf,I,6BCzCT,IAAImE,EAAkB,EAAQ,KAAkBA,OAC5Ck/C,EAAkB,EAAQ,KAAkBA,YAC5CI,EAAkB,EAAQ,KAAkBA,WAK5C+8F,EAAgB,GAgHpB,SAAStF,IA8BP/0I,KAAKw5D,MAAQx7D,EAAO,GAAIq8I,GA3I1BA,EAAcC,YAAc,SAAUl9G,EAAQ+nF,EAAKnpH,EAAS0S,EAAK6rI,GAC/D,IAAI70I,EAAQ03B,EAAO+nF,GAEnB,MAAQ,QAAUo1B,EAAIC,YAAY90I,GAAS,IACnC43C,EAAWlgB,EAAO+nF,GAAKr+G,SACvB,WAIVuzI,EAAcI,WAAa,SAAUr9G,EAAQ+nF,EAAKnpH,EAAS0S,EAAK6rI,GAC9D,IAAI70I,EAAQ03B,EAAO+nF,GAEnB,MAAQ,OAASo1B,EAAIC,YAAY90I,GAAS,UAClC43C,EAAWlgB,EAAO+nF,GAAKr+G,SACvB,mBAIVuzI,EAAcK,MAAQ,SAAUt9G,EAAQ+nF,EAAKnpH,EAAS0S,EAAK6rI,GACzD,IAGI9T,EAAaxuI,EAAG0iJ,EAAUC,EAH1Bl1I,EAAQ03B,EAAO+nF,GACf5/B,EAAO7/E,EAAM6/E,KAAOroC,EAAYx3C,EAAM6/E,MAAMlrF,OAAS,GACrDwgJ,EAAW,GAaf,OAVIt1D,IACFs1D,EAAWt1D,EAAK5lF,MAAM,QAAQ,IASI,KALlC8mI,EADEzqI,EAAQmoI,WACInoI,EAAQmoI,UAAUz+H,EAAMoB,QAAS+zI,IAEjCv9F,EAAW53C,EAAMoB,UAGjBlI,QAAQ,QACf6nI,EAAc,KAMnBlhD,GACFttF,EAAWyN,EAAMkgH,UAAU,SAC3B+0B,EAAWj1I,EAAM88C,MAAQ98C,EAAM88C,MAAMv/C,QAAU,GAE3ChL,EAAI,EACN0iJ,EAASpsI,KAAK,CAAE,QAASvS,EAAQ8+I,WAAaD,IAE9CF,EAAS1iJ,GAAG,IAAM,IAAM+D,EAAQ8+I,WAAaD,EAI/CD,EAAW,CACTp4F,MAAOm4F,GAGD,aAAeJ,EAAIC,YAAYI,GAAY,IAC3CnU,EACA,mBAIF,aAAe8T,EAAIC,YAAY90I,GAAS,IACxC+gI,EACA,mBAIV4T,EAAc1yD,MAAQ,SAAUvqD,EAAQ+nF,EAAKnpH,EAAS0S,EAAK6rI,GACzD,IAAI70I,EAAQ03B,EAAO+nF,GAUnB,OAHAz/G,EAAM88C,MAAM98C,EAAMkgH,UAAU,QAAQ,GAClC20B,EAAIQ,mBAAmBr1I,EAAMwgF,SAAUlqF,EAAS0S,GAE3C6rI,EAAIS,YAAY59G,EAAQ+nF,EAAKnpH,IAItCq+I,EAAcY,UAAY,SAAU79G,EAAQ+nF,EAAKnpH,GAC/C,OAAOA,EAAQk/I,SAAW,WAAa,UAEzCb,EAAcc,UAAY,SAAU/9G,EAAQ+nF,EAAKnpH,GAC/C,OAAOA,EAAQo/I,OAAUp/I,EAAQk/I,SAAW,WAAa,SAAY,MAIvEb,EAAcj8I,KAAO,SAAUg/B,EAAQ+nF,GACrC,OAAO7nE,EAAWlgB,EAAO+nF,GAAKr+G,UAIhCuzI,EAAcgB,WAAa,SAAUj+G,EAAQ+nF,GAC3C,OAAO/nF,EAAO+nF,GAAKr+G,SAErBuzI,EAAciB,YAAc,SAAUl+G,EAAQ+nF,GAC5C,OAAO/nF,EAAO+nF,GAAKr+G,SAgDrBiuI,EAASx9I,UAAUijJ,YAAc,SAAqB90I,GACpD,IAAIzN,EAAGC,EAAG2B,EAEV,IAAK6L,EAAM88C,MAAS,MAAO,GAI3B,IAFA3oD,EAAS,GAEJ5B,EAAI,EAAGC,EAAIwN,EAAM88C,MAAMrqD,OAAQF,EAAIC,EAAGD,IACzC4B,GAAU,IAAMyjD,EAAW53C,EAAM88C,MAAMvqD,GAAG,IAAM,KAAOqlD,EAAW53C,EAAM88C,MAAMvqD,GAAG,IAAM,IAGzF,OAAO4B,GAaTk7I,EAASx9I,UAAUyjJ,YAAc,SAAqB59G,EAAQ+nF,EAAKnpH,GACjE,IAAIu/I,EACA1hJ,EAAS,GACT2hJ,GAAS,EACT91I,EAAQ03B,EAAO+nF,GAGnB,OAAIz/G,EAAMigH,OACD,IAULjgH,EAAMg7D,QAA4B,IAAnBh7D,EAAM+/G,SAAkBN,GAAO/nF,EAAO+nF,EAAM,GAAGQ,SAChE9rH,GAAU,MAIZA,KAA8B,IAAnB6L,EAAM+/G,QAAiB,KAAO,KAAO//G,EAAMoT,IAGtDjf,GAAUmG,KAAKw6I,YAAY90I,GAGL,IAAlBA,EAAM+/G,SAAiBzpH,EAAQk/I,WACjCrhJ,GAAU,MAIR6L,EAAMg7D,QACR86E,GAAS,EAEa,IAAlB91I,EAAM+/G,SACJN,EAAM,EAAI/nF,EAAOjlC,SAGI,YAFvBojJ,EAAYn+G,EAAO+nF,EAAM,IAEXtmH,MAAqB08I,EAAU51B,SAKX,IAAvB41B,EAAU91B,SAAkB81B,EAAUziI,MAAQpT,EAAMoT,OAF7D0iI,GAAS,IAWjB3hJ,GAAU2hJ,EAAS,MAAQ,MAc7BzG,EAASx9I,UAAUs/I,aAAe,SAAUz5G,EAAQphC,EAAS0S,GAK3D,IAJA,IAAI7P,EACAhF,EAAS,GACT2/D,EAAQx5D,KAAKw5D,MAERvhE,EAAI,EAAG4V,EAAMuvB,EAAOjlC,OAAQF,EAAI4V,EAAK5V,SAGjB,IAAhBuhE,EAFX36D,EAAOu+B,EAAOnlC,GAAG4G,MAGfhF,GAAU2/D,EAAM36D,GAAMu+B,EAAQnlC,EAAG+D,EAAS0S,EAAK1O,MAE/CnG,GAAUmG,KAAKg7I,YAAY59G,EAAQnlC,EAAG+D,GAI1C,OAAOnC,GAcTk7I,EAASx9I,UAAUwjJ,mBAAqB,SAAU39G,EAAQphC,EAAS0S,GAGjE,IAFA,IAAI7U,EAAS,GAEJ5B,EAAI,EAAG4V,EAAMuvB,EAAOjlC,OAAQF,EAAI4V,EAAK5V,IACrB,SAAnBmlC,EAAOnlC,GAAG4G,KACZhF,GAAUujC,EAAOnlC,GAAG6O,QACQ,UAAnBs2B,EAAOnlC,GAAG4G,OACnBhF,GAAUmG,KAAK+6I,mBAAmB39G,EAAOnlC,GAAGiuF,SAAUlqF,EAAS0S,IAInE,OAAO7U,GAaTk7I,EAASx9I,UAAU8J,OAAS,SAAU+7B,EAAQphC,EAAS0S,GACrD,IAAIzW,EAAG4V,EAAKhP,EACRhF,EAAS,GACT2/D,EAAQx5D,KAAKw5D,MAEjB,IAAKvhE,EAAI,EAAG4V,EAAMuvB,EAAOjlC,OAAQF,EAAI4V,EAAK5V,IAG3B,YAFb4G,EAAOu+B,EAAOnlC,GAAG4G,MAGfhF,GAAUmG,KAAK62I,aAAaz5G,EAAOnlC,GAAGiuF,SAAUlqF,EAAS0S,QACzB,IAAhB8qD,EAAM36D,GACtBhF,GAAU2/D,EAAMp8B,EAAOnlC,GAAG4G,MAAMu+B,EAAQnlC,EAAG+D,EAAS0S,EAAK1O,MAEzDnG,GAAUmG,KAAKg7I,YAAY59G,EAAQnlC,EAAG+D,EAAS0S,GAInD,OAAO7U,GAGT/D,EAAOC,QAAUg/I,G,6BCrUjB,IAAI3wB,EAAS,EAAQ,KAGjBq3B,EAAS,CACX,CAAE,YAAkB,EAAQ,MAC5B,CAAE,QAAkB,EAAQ,MAC5B,CAAE,SAAkB,EAAQ,MAC5B,CAAE,UAAkB,EAAQ,MAC5B,CAAE,eAAkB,EAAQ,MAC5B,CAAE,cAAkB,EAAQ,OAO9B,SAASC,IAMP17I,KAAKs2I,MAAQ,IAAIlyB,EAEjB,IAAK,IAAInsH,EAAI,EAAGA,EAAIwjJ,EAAOtjJ,OAAQF,IACjC+H,KAAKs2I,MAAM/nI,KAAKktI,EAAOxjJ,GAAG,GAAIwjJ,EAAOxjJ,GAAG,IAU5CyjJ,EAAKnkJ,UAAUuV,QAAU,SAAU9I,GACjC,IAAI/L,EAAGC,EAAGshE,EAIV,IAAKvhE,EAAI,EAAGC,GAFZshE,EAAQx5D,KAAKs2I,MAAMhxB,SAAS,KAENntH,OAAQF,EAAIC,EAAGD,IACnCuhE,EAAMvhE,GAAG+L,IAIb03I,EAAKnkJ,UAAUm/I,MAAQ,EAAQ,KAG/B5gJ,EAAOC,QAAU2lJ,G,6BCnDjB,IAAIC,EAAe,YACfC,EAAe,MAGnB9lJ,EAAOC,QAAU,SAAmBiO,GAClC,IAAI1J,EAMJA,GAHAA,EAAM0J,EAAM6D,IAAItN,QAAQohJ,EAAa,OAG3BphJ,QAAQqhJ,EAAS,KAE3B53I,EAAM6D,IAAMvN,I,6BChBdxE,EAAOC,QAAU,SAAeiO,GAC9B,IAAI0B,EAEA1B,EAAM4yI,aACRlxI,EAAiB,IAAI1B,EAAMwhH,MAAM,SAAU,GAAI,IACzC1+G,QAAW9C,EAAM6D,IACvBnC,EAAMmK,IAAW,CAAE,EAAG,GACtBnK,EAAMwgF,SAAW,GACjBliF,EAAMo5B,OAAO7uB,KAAK7I,IAElB1B,EAAMosI,GAAG1vE,MAAMnlD,MAAMvX,EAAM6D,IAAK7D,EAAMosI,GAAIpsI,EAAM0K,IAAK1K,EAAMo5B,U,6BCX/DtnC,EAAOC,QAAU,SAAgBiO,GAC/B,IAA2B6zD,EAAK5/D,EAAGC,EAA/BklC,EAASp5B,EAAMo5B,OAGnB,IAAKnlC,EAAI,EAAGC,EAAIklC,EAAOjlC,OAAQF,EAAIC,EAAGD,IAEnB,YADjB4/D,EAAMz6B,EAAOnlC,IACL4G,MACNmF,EAAMosI,GAAG35E,OAAOl7C,MAAMs8C,EAAI/wD,QAAS9C,EAAMosI,GAAIpsI,EAAM0K,IAAKmpD,EAAIquB,Y,6BCFlE,IAAI3oC,EAAiB,EAAQ,KAAmBA,eAMhD,SAASs+F,EAAYvhJ,GACnB,MAAO,aAAa6Q,KAAK7Q,GAI3BxE,EAAOC,QAAU,SAAiBiO,GAChC,IAAI/L,EAAG2a,EAAG1a,EAAGklC,EAAQ13B,EAAOo2I,EAAc/sF,EAAOgtF,EAAI39I,EAAM8a,EAAK8iI,EAC5Dz6E,EAAO06E,EAAep+I,EAAKq+I,EAASC,EAEpCC,EAZc9hJ,EAWd+hJ,EAAcr4I,EAAMo5B,OAGxB,GAAKp5B,EAAMosI,GAAGp0I,QAAQm6I,QAEtB,IAAKvjI,EAAI,EAAG1a,EAAImkJ,EAAYlkJ,OAAQya,EAAI1a,EAAG0a,IACzC,GAA4B,WAAxBypI,EAAYzpI,GAAG/T,MACdmF,EAAMosI,GAAG+F,QAAQmG,QAAQD,EAAYzpI,GAAG9L,SAU7C,IAJAm1I,EAAgB,EAIXhkJ,GANLmlC,EAASi/G,EAAYzpI,GAAGszE,UAMR/tF,OAAS,EAAGF,GAAK,EAAGA,IAIlC,GAA0B,gBAH1B6jJ,EAAe1+G,EAAOnlC,IAGL4G,MAiBjB,GAR0B,gBAAtBi9I,EAAaj9I,OAzCHvE,EA0CGwhJ,EAAah1I,QAzC3B,YAAYqE,KAAK7Q,IAyCsB2hJ,EAAgB,GACtDA,IAEEJ,EAAYC,EAAah1I,UAC3Bm1I,OAGAA,EAAgB,IAEM,SAAtBH,EAAaj9I,MAAmBmF,EAAMosI,GAAG+F,QAAQhrI,KAAK2wI,EAAah1I,SAAU,CAU/E,IARA1I,EAAO09I,EAAah1I,QACpBs1I,EAAQp4I,EAAMosI,GAAG+F,QAAQjqI,MAAM9N,GAG/B2wD,EAAQ,GACRwS,EAAQu6E,EAAav6E,MACrBy6E,EAAU,EAELD,EAAK,EAAGA,EAAKK,EAAMjkJ,OAAQ4jJ,IAE9Bl+I,EAAMu+I,EAAML,GAAIl+I,IAChBq+I,EAAUl4I,EAAMosI,GAAGuF,cAAc93I,GAC5BmG,EAAMosI,GAAGqF,aAAayG,KAE3BC,EAAUC,EAAML,GAAI39I,KAWlB+9I,EALGC,EAAML,GAAIpyF,OAEiB,YAArByyF,EAAML,GAAIpyF,QAAyB,YAAYx+C,KAAKgxI,GAGnDn4I,EAAMosI,GAAGyF,kBAAkBsG,GAF3Bn4I,EAAMosI,GAAGyF,kBAAkB,UAAYsG,GAAS5hJ,QAAQ,WAAY,IAFpEyJ,EAAMosI,GAAGyF,kBAAkB,UAAYsG,GAAS5hJ,QAAQ,aAAc,KAOlF2e,EAAMkjI,EAAML,GAAItvI,OAENuvI,KACRt2I,EAAgB,IAAI1B,EAAMwhH,MAAM,OAAQ,GAAI,IACtC1+G,QAAU1I,EAAK6E,MAAM+4I,EAAS9iI,GACpCxT,EAAM67D,MAAUA,EAChBxS,EAAMxgD,KAAK7I,KAGbA,EAAgB,IAAI1B,EAAMwhH,MAAM,YAAa,IAAK,IAC5ChjE,MAAU,CAAE,CAAE,OAAQ05F,IAC5Bx2I,EAAM67D,MAAUA,IAChB77D,EAAMggH,OAAU,UAChBhgH,EAAM6/E,KAAU,OAChBx2B,EAAMxgD,KAAK7I,IAEXA,EAAgB,IAAI1B,EAAMwhH,MAAM,OAAQ,GAAI,IACtC1+G,QAAUq1I,EAChBz2I,EAAM67D,MAAUA,EAChBxS,EAAMxgD,KAAK7I,IAEXA,EAAgB,IAAI1B,EAAMwhH,MAAM,aAAc,KAAM,IAC9CjkD,QAAYA,EAClB77D,EAAMggH,OAAU,UAChBhgH,EAAM6/E,KAAU,OAChBx2B,EAAMxgD,KAAK7I,GAEXs2I,EAAUI,EAAML,GAAIlwI,WAElBmwI,EAAU59I,EAAKjG,UACjBuN,EAAgB,IAAI1B,EAAMwhH,MAAM,OAAQ,GAAI,IACtC1+G,QAAU1I,EAAK6E,MAAM+4I,GAC3Bt2I,EAAM67D,MAAUA,EAChBxS,EAAMxgD,KAAK7I,IAIb22I,EAAYzpI,GAAGszE,SAAW9oD,EAASmgB,EAAengB,EAAQnlC,EAAG82D,SApF7D,IADA92D,IACOmlC,EAAOnlC,GAAGspE,QAAUu6E,EAAav6E,OAA4B,cAAnBnkC,EAAOnlC,GAAG4G,MACzD5G,M,6BC5BV,IAAIskJ,EAAU,+BAIVC,EAAsB,kBAEtBC,EAAiB,mBACjBC,EAAc,CAChB52I,EAAG,IACHxH,EAAG,IACHooB,EAAG,IACHi2H,GAAI,KAGN,SAASC,EAAU1wI,EAAOtV,GACxB,OAAO8lJ,EAAY9lJ,EAAKub,eAG1B,SAAS0qI,EAAeC,GACtB,IAAI7kJ,EAAGyN,EAAOq3I,EAAkB,EAEhC,IAAK9kJ,EAAI6kJ,EAAa3kJ,OAAS,EAAGF,GAAK,EAAGA,IAGrB,UAFnByN,EAAQo3I,EAAa7kJ,IAEX4G,MAAoBk+I,IAC5Br3I,EAAMoB,QAAUpB,EAAMoB,QAAQvM,QAAQkiJ,EAAgBG,IAGrC,cAAfl3I,EAAM7G,MAAuC,SAAf6G,EAAM6/E,MACtCw3D,IAGiB,eAAfr3I,EAAM7G,MAAwC,SAAf6G,EAAM6/E,MACvCw3D,IAKN,SAASC,EAAaF,GACpB,IAAI7kJ,EAAGyN,EAAOq3I,EAAkB,EAEhC,IAAK9kJ,EAAI6kJ,EAAa3kJ,OAAS,EAAGF,GAAK,EAAGA,IAGrB,UAFnByN,EAAQo3I,EAAa7kJ,IAEX4G,MAAoBk+I,GACxBR,EAAQpxI,KAAKzF,EAAMoB,WACrBpB,EAAMoB,QAAUpB,EAAMoB,QACnBvM,QAAQ,OAAQ,KAGhBA,QAAQ,UAAW,KAAKA,QAAQ,WAAY,QAC5CA,QAAQ,cAAe,UAAUA,QAAQ,SAAU,KAEnDA,QAAQ,0BAA2B,OAEnCA,QAAQ,qBAAsB,OAC9BA,QAAQ,6BAA8B,QAI1B,cAAfmL,EAAM7G,MAAuC,SAAf6G,EAAM6/E,MACtCw3D,IAGiB,eAAfr3I,EAAM7G,MAAwC,SAAf6G,EAAM6/E,MACvCw3D,IAMNjnJ,EAAOC,QAAU,SAAiBiO,GAChC,IAAIi5I,EAEJ,GAAKj5I,EAAMosI,GAAGp0I,QAAQkhJ,YAEtB,IAAKD,EAASj5I,EAAMo5B,OAAOjlC,OAAS,EAAG8kJ,GAAU,EAAGA,IAEhB,WAA9Bj5I,EAAMo5B,OAAO6/G,GAAQp+I,OAErB29I,EAAoBrxI,KAAKnH,EAAMo5B,OAAO6/G,GAAQn2I,UAChD+1I,EAAe74I,EAAMo5B,OAAO6/G,GAAQ/2D,UAGlCq2D,EAAQpxI,KAAKnH,EAAMo5B,OAAO6/G,GAAQn2I,UACpCk2I,EAAah5I,EAAMo5B,OAAO6/G,GAAQ/2D,a,6BCjGxC,IAAIxoC,EAAiB,EAAQ,KAAmBA,aAC5CE,EAAiB,EAAQ,KAAmBA,YAC5CD,EAAiB,EAAQ,KAAmBA,eAE5Cw/F,EAAgB,OAChBC,EAAW,QAIf,SAASC,EAAU/iJ,EAAKmS,EAAOmc,GAC7B,OAAOtuB,EAAI60B,OAAO,EAAG1iB,GAASmc,EAAKtuB,EAAI60B,OAAO1iB,EAAQ,GAGxD,SAAS6wI,EAAgBlgH,EAAQp5B,GAC/B,IAAI/L,EAAGyN,EAAOtH,EAAM2hB,EAAG7G,EAAK8N,EAAKu2H,EAAWztI,EAAM0tI,EAAUC,EACxDC,EAAiBC,EAAiBC,EAAkBC,EACpDC,EAASC,EAAUnrI,EAAGorI,EAAUrvH,EAAOsvH,EAAWC,EAItD,IAFAvvH,EAAQ,GAEH12B,EAAI,EAAGA,EAAImlC,EAAOjlC,OAAQF,IAAK,CAKlC,IAJAyN,EAAQ03B,EAAOnlC,GAEfslJ,EAAYngH,EAAOnlC,GAAGspE,MAEjB3uD,EAAI+b,EAAMx2B,OAAS,EAAGya,GAAK,KAC1B+b,EAAM/b,GAAG2uD,OAASg8E,GADW3qI,KAKnC,GAFA+b,EAAMx2B,OAASya,EAAI,EAEA,SAAflN,EAAM7G,KAAV,CAGAqa,EAAM,EACN8N,GAFA5oB,EAAOsH,EAAMoB,SAEF3O,OAGXgmJ,EACA,KAAOjlI,EAAM8N,IACXo2H,EAASvxI,UAAYqN,EACrB6G,EAAIq9H,EAASpnJ,KAAKoI,KAFF,CAchB,GATA0/I,EAAUC,GAAW,EACrB7kI,EAAM6G,EAAEtT,MAAQ,EAChBuxI,EAAqB,MAATj+H,EAAE,GAKdy9H,EAAW,GAEPz9H,EAAEtT,MAAQ,GAAK,EACjB+wI,EAAWp/I,EAAKob,WAAWuG,EAAEtT,MAAQ,QAErC,IAAKmG,EAAI3a,EAAI,EAAG2a,GAAK,IACI,cAAnBwqB,EAAOxqB,GAAG/T,MAA2C,cAAnBu+B,EAAOxqB,GAAG/T,MAD1B+T,IAEtB,GAAuB,SAAnBwqB,EAAOxqB,GAAG/T,KAAd,CAEA2+I,EAAWpgH,EAAOxqB,GAAG9L,QAAQ0S,WAAW4jB,EAAOxqB,GAAG9L,QAAQ3O,OAAS,GACnE,MASJ,GAFAslJ,EAAW,GAEPvkI,EAAM8N,EACRy2H,EAAWr/I,EAAKob,WAAWN,QAE3B,IAAKtG,EAAI3a,EAAI,EAAG2a,EAAIwqB,EAAOjlC,SACF,cAAnBilC,EAAOxqB,GAAG/T,MAA2C,cAAnBu+B,EAAOxqB,GAAG/T,MADf+T,IAEjC,GAAuB,SAAnBwqB,EAAOxqB,GAAG/T,KAAd,CAEA4+I,EAAWrgH,EAAOxqB,GAAG9L,QAAQ0S,WAAW,GACxC,MA6CJ,GAzCAkkI,EAAkB//F,EAAe6/F,IAAa5/F,EAAYnjD,OAAOyhD,aAAashG,IAC9EG,EAAkBhgG,EAAe8/F,IAAa7/F,EAAYnjD,OAAOyhD,aAAauhG,IAE9EG,EAAmBlgG,EAAa8/F,IAChCK,EAAmBngG,EAAa+/F,IAG9BK,GAAU,EACDH,IACHC,GAAoBF,IACxBI,GAAU,IAIVF,EACFG,GAAW,EACFL,IACHG,GAAoBF,IACxBI,GAAW,IAIE,KAAbN,GAAsC,MAAT19H,EAAE,IAC7By9H,GAAY,IAAgBA,GAAY,KAE1CO,EAAWD,GAAU,GAIrBA,GAAWC,IAQbD,EAAUJ,EACVK,EAAWJ,GAGRG,GAAYC,EAAjB,CAQA,GAAIA,EAEF,IAAKnrI,EAAI+b,EAAMx2B,OAAS,EAAGya,GAAK,IAC9B9C,EAAO6e,EAAM/b,KACT+b,EAAM/b,GAAG2uD,MAAQg8E,IAFY3qI,IAGjC,GAAI9C,EAAKsuI,SAAWJ,GAAYrvH,EAAM/b,GAAG2uD,QAAUg8E,EAAW,CAC5DztI,EAAO6e,EAAM/b,GAETorI,GACFC,EAAYj6I,EAAMosI,GAAGp0I,QAAQqiJ,OAAO,GACpCH,EAAal6I,EAAMosI,GAAGp0I,QAAQqiJ,OAAO,KAErCJ,EAAYj6I,EAAMosI,GAAGp0I,QAAQqiJ,OAAO,GACpCH,EAAal6I,EAAMosI,GAAGp0I,QAAQqiJ,OAAO,IAMvC34I,EAAMoB,QAAUu2I,EAAU33I,EAAMoB,QAASiZ,EAAEtT,MAAOyxI,GAClD9gH,EAAOttB,EAAKpK,OAAOoB,QAAUu2I,EAC3BjgH,EAAOttB,EAAKpK,OAAOoB,QAASgJ,EAAKoJ,IAAK+kI,GAExC/kI,GAAOglI,EAAW/lJ,OAAS,EACvB2X,EAAKpK,QAAUzN,IAAKihB,GAAO+kI,EAAU9lJ,OAAS,GAGlD6uB,GADA5oB,EAAOsH,EAAMoB,SACF3O,OAEXw2B,EAAMx2B,OAASya,EACf,SAASurI,EAKXL,EACFnvH,EAAMpgB,KAAK,CACT7I,MAAOzN,EACPihB,IAAK6G,EAAEtT,MACP2xI,OAAQJ,EACRz8E,MAAOg8E,IAEAQ,GAAYC,IACrBt4I,EAAMoB,QAAUu2I,EAAU33I,EAAMoB,QAASiZ,EAAEtT,MAvKlC,WAsHLuxI,IACFt4I,EAAMoB,QAAUu2I,EAAU33I,EAAMoB,QAASiZ,EAAEtT,MAvHpC,SA8KjB3W,EAAOC,QAAU,SAAqBiO,GAEpC,IAAIi5I,EAEJ,GAAKj5I,EAAMosI,GAAGp0I,QAAQkhJ,YAEtB,IAAKD,EAASj5I,EAAMo5B,OAAOjlC,OAAS,EAAG8kJ,GAAU,EAAGA,IAEhB,WAA9Bj5I,EAAMo5B,OAAO6/G,GAAQp+I,MACpBs+I,EAAchyI,KAAKnH,EAAMo5B,OAAO6/G,GAAQn2I,UAI7Cw2I,EAAgBt5I,EAAMo5B,OAAO6/G,GAAQ/2D,SAAUliF,K,6BClMnD,IAAIwhH,EAAQ,EAAQ,KAGpB,SAAS84B,EAAUz2I,EAAKuoI,EAAI1hI,GAC1B1O,KAAK6H,IAAMA,EACX7H,KAAK0O,IAAMA,EACX1O,KAAKo9B,OAAS,GACdp9B,KAAK42I,YAAa,EAClB52I,KAAKowI,GAAKA,EAIZkO,EAAU/mJ,UAAUiuH,MAAQA,EAG5B1vH,EAAOC,QAAUuoJ,G,6BCXjB,IAAIl6B,EAAkB,EAAQ,KAG1Bq3B,EAAS,CAGX,CAAE,QAAc,EAAQ,KAA6B,CAAE,YAAa,cACpE,CAAE,OAAc,EAAQ,MACxB,CAAE,QAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,aAAc,SAC/F,CAAE,aAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,aAAc,SAC/F,CAAE,KAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,aAAc,SAC/F,CAAE,OAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,eACjF,CAAE,YAAc,EAAQ,MACxB,CAAE,UAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,eACjF,CAAE,WAAc,EAAQ,MACxB,CAAE,aAAc,EAAQ,KAA6B,CAAE,YAAa,YAAa,eACjF,CAAE,YAAc,EAAQ,OAO1B,SAASxG,IAMPj1I,KAAKs2I,MAAQ,IAAIlyB,EAEjB,IAAK,IAAInsH,EAAI,EAAGA,EAAIwjJ,EAAOtjJ,OAAQF,IACjC+H,KAAKs2I,MAAM/nI,KAAKktI,EAAOxjJ,GAAG,GAAIwjJ,EAAOxjJ,GAAG,GAAI,CAAEovF,KAAMo0D,EAAOxjJ,GAAG,IAAM,IAAIgL,UAO5EgyI,EAAY19I,UAAUurI,SAAW,SAAU9+H,EAAOu6I,EAAWC,GAQ3D,IAPA,IAAQvmJ,EACJuhE,EAAQx5D,KAAKs2I,MAAMhxB,SAAS,IAC5Bz3G,EAAM2rD,EAAMrhE,OACZ+2B,EAAOqvH,EACPE,GAAgB,EAChBC,EAAa16I,EAAMosI,GAAGp0I,QAAQ0iJ,WAE3BxvH,EAAOsvH,IACZx6I,EAAMkrB,KAAOA,EAAOlrB,EAAM26I,eAAezvH,KACrCA,GAAQsvH,OAIRx6I,EAAM46I,OAAO1vH,GAAQlrB,EAAM66I,YANV,CAUrB,GAAI76I,EAAMu9D,OAASm9E,EAAY,CAC7B16I,EAAMkrB,KAAOsvH,EACb,MAUF,IAAKvmJ,EAAI,EAAGA,EAAI4V,IACT2rD,EAAMvhE,GAAG+L,EAAOkrB,EAAMsvH,GAAS,GADjBvmJ,KAOrB+L,EAAM86I,OAASL,EAGXz6I,EAAMy0H,QAAQz0H,EAAMkrB,KAAO,KAC7BuvH,GAAgB,IAGlBvvH,EAAOlrB,EAAMkrB,MAEFsvH,GAAWx6I,EAAMy0H,QAAQvpG,KAClCuvH,GAAgB,EAChBvvH,IACAlrB,EAAMkrB,KAAOA,KAWnB+lH,EAAY19I,UAAUgkB,MAAQ,SAAU1T,EAAKuoI,EAAI1hI,EAAKqwI,GACpD,IAAI/6I,EAEC6D,IAEL7D,EAAQ,IAAIhE,KAAK02I,MAAM7uI,EAAKuoI,EAAI1hI,EAAKqwI,GAErC/+I,KAAK8iI,SAAS9+H,EAAOA,EAAMkrB,KAAMlrB,EAAMg7I,WAIzC/J,EAAY19I,UAAUm/I,MAAQ,EAAQ,KAGtC5gJ,EAAOC,QAAUk/I,G,6BCrHjB,IAAIx3F,EAAU,EAAQ,KAAmBA,QAGzC,SAASwhG,EAAQj7I,EAAOkrB,GACtB,IAAIhW,EAAMlV,EAAMk7I,OAAOhwH,GAAQlrB,EAAM66I,UACjC73H,EAAMhjB,EAAMm7I,OAAOjwH,GAEvB,OAAOlrB,EAAM6D,IAAIsnB,OAAOjW,EAAK8N,EAAM9N,GAGrC,SAASkmI,EAAa9kJ,GACpB,IAGIsuB,EAHA/uB,EAAS,GACTqf,EAAM,EACN8N,EAAM1sB,EAAInC,OAEVknJ,EAAU,EACVrD,EAAU,EACVsD,GAAa,EACbC,EAAe,EAInB,IAFA32H,EAAMtuB,EAAIkf,WAAWN,GAEdA,EAAM8N,GACA,KAAP4B,EACE02H,GAGFA,GAAa,EACbC,EAAermI,GACNmmI,EAAU,GAAM,IACzBC,GAAa,EACbC,EAAermI,GAED,MAAP0P,GAAuBy2H,EAAU,GAAM,GAAOC,IACvDzlJ,EAAO0U,KAAKjU,EAAI2E,UAAU+8I,EAAS9iI,IACnC8iI,EAAU9iI,EAAM,GAGP,KAAP0P,EACFy2H,IAEAA,EAAU,IAGZnmI,IAIY8N,GAAOs4H,IACjBA,GAAa,EACbpmI,EAAMqmI,EAAe,GAGvB32H,EAAKtuB,EAAIkf,WAAWN,GAKtB,OAFArf,EAAO0U,KAAKjU,EAAI2E,UAAU+8I,IAEnBniJ,EAIT/D,EAAOC,QAAU,SAAeiO,EAAOu6I,EAAWC,EAASxzE,GACzD,IAAIpiD,EAAI42H,EAAUtmI,EAAKjhB,EAAGwnJ,EAAUC,EAASC,EAAaj6I,EACtDk6I,EAAQ7/H,EAAG8/H,EAAYC,EAG3B,GAAIvB,EAAY,EAAIC,EAAW,OAAO,EAItC,GAFAiB,EAAWlB,EAAY,EAEnBv6I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,UAAa,OAAO,EAGvD,GAAI76I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,WAAa,EAAK,OAAO,EAO5D,IADA3lI,EAAMlV,EAAMk7I,OAAOO,GAAYz7I,EAAM+7I,OAAON,KACjCz7I,EAAMm7I,OAAOM,GAAa,OAAO,EAG5C,GAAW,OADX72H,EAAK5kB,EAAM6D,IAAI2R,WAAWN,OACO,KAAP0P,GAA6B,KAAPA,EAAsB,OAAO,EAE7E,KAAO1P,EAAMlV,EAAMm7I,OAAOM,IAAW,CAGnC,GAAW,OAFX72H,EAAK5kB,EAAM6D,IAAI2R,WAAWN,KAEO,KAAP0P,GAA6B,KAAPA,IAAuB60B,EAAQ70B,GAAO,OAAO,EAE7F1P,IAOF,IAFAwmI,GAFAF,EAAWP,EAAQj7I,EAAOu6I,EAAY,IAEnB5+I,MAAM,KACzBigJ,EAAS,GACJ3nJ,EAAI,EAAGA,EAAIynJ,EAAQvnJ,OAAQF,IAAK,CAEnC,KADA8nB,EAAI2/H,EAAQznJ,GAAGoC,QACP,CAGN,GAAU,IAANpC,GAAWA,IAAMynJ,EAAQvnJ,OAAS,EACpC,SAEA,OAAO,EAIX,IAAK,WAAWgT,KAAK4U,GAAM,OAAO,EACC,KAA/BA,EAAEvG,WAAWuG,EAAE5nB,OAAS,GAC1BynJ,EAAOrxI,KAAyB,KAApBwR,EAAEvG,WAAW,GAAqB,SAAW,SAC5B,KAApBuG,EAAEvG,WAAW,GACtBomI,EAAOrxI,KAAK,QAEZqxI,EAAOrxI,KAAK,IAKhB,IAA+B,KAD/BixI,EAAWP,EAAQj7I,EAAOu6I,GAAWlkJ,QACxBuE,QAAQ,KAAe,OAAO,EAC3C,GAAIoF,EAAM46I,OAAOL,GAAav6I,EAAM66I,WAAa,EAAK,OAAO,EAM7D,IADAc,GAJAD,EAAUN,EAAaI,EAASjlJ,QAAQ,WAAY,MAI9BpC,QACJynJ,EAAOznJ,OAAU,OAAO,EAE1C,GAAI6yE,EAAU,OAAO,EAWrB,KATAtlE,EAAY1B,EAAMuK,KAAK,aAAc,QAAS,IACxCsB,IAAMgwI,EAAa,CAAEtB,EAAW,IAEtC74I,EAAY1B,EAAMuK,KAAK,aAAc,QAAS,IACxCsB,IAAM,CAAE0uI,EAAWA,EAAY,IAErC74I,EAAY1B,EAAMuK,KAAK,UAAW,KAAM,IAClCsB,IAAM,CAAE0uI,EAAWA,EAAY,GAEhCtmJ,EAAI,EAAGA,EAAIynJ,EAAQvnJ,OAAQF,KAC9ByN,EAAiB1B,EAAMuK,KAAK,UAAW,KAAM,IACvCsB,IAAW,CAAE0uI,EAAWA,EAAY,GACtCqB,EAAO3nJ,KACTyN,EAAM88C,MAAS,CAAE,CAAE,QAAS,cAAgBo9F,EAAO3nJ,OAGrDyN,EAAiB1B,EAAMuK,KAAK,SAAU,GAAI,IACpCzH,QAAW44I,EAAQznJ,GAAGoC,OAC5BqL,EAAMmK,IAAW,CAAE0uI,EAAWA,EAAY,GAC1C74I,EAAMwgF,SAAW,GAEjBxgF,EAAiB1B,EAAMuK,KAAK,WAAY,MAAO,GASjD,IANA7I,EAAY1B,EAAMuK,KAAK,WAAY,MAAO,GAC1C7I,EAAY1B,EAAMuK,KAAK,cAAe,SAAU,IAEhD7I,EAAY1B,EAAMuK,KAAK,aAAc,QAAS,IACxCsB,IAAMiwI,EAAa,CAAEvB,EAAY,EAAG,GAErCkB,EAAWlB,EAAY,EAAGkB,EAAWjB,KACpCx6I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,aAGJ,KAD/BW,EAAWP,EAAQj7I,EAAOy7I,GAAUplJ,QACvBuE,QAAQ,QACjBoF,EAAM46I,OAAOa,GAAYz7I,EAAM66I,WAAa,GALCY,IAAY,CAS7D,IAHAC,EAAUN,EAAaI,EAASjlJ,QAAQ,WAAY,KAEpDmL,EAAQ1B,EAAMuK,KAAK,UAAW,KAAM,GAC/BtW,EAAI,EAAGA,EAAI0nJ,EAAa1nJ,IAC3ByN,EAAiB1B,EAAMuK,KAAK,UAAW,KAAM,GACzCqxI,EAAO3nJ,KACTyN,EAAM88C,MAAS,CAAE,CAAE,QAAS,cAAgBo9F,EAAO3nJ,OAGrDyN,EAAiB1B,EAAMuK,KAAK,SAAU,GAAI,IACpCzH,QAAW44I,EAAQznJ,GAAKynJ,EAAQznJ,GAAGoC,OAAS,GAClDqL,EAAMwgF,SAAW,GAEjBxgF,EAAiB1B,EAAMuK,KAAK,WAAY,MAAO,GAEjD7I,EAAQ1B,EAAMuK,KAAK,WAAY,MAAO,GAOxC,OALA7I,EAAQ1B,EAAMuK,KAAK,cAAe,SAAU,GAC5C7I,EAAQ1B,EAAMuK,KAAK,cAAe,SAAU,GAE5CsxI,EAAW,GAAKC,EAAW,GAAKL,EAChCz7I,EAAMkrB,KAAOuwH,GACN,I,6BC7LT3pJ,EAAOC,QAAU,SAAciO,EAAOu6I,EAAWC,GAC/C,IAAIiB,EAAU/rH,EAAMhuB,EAEpB,GAAI1B,EAAM46I,OAAOL,GAAav6I,EAAM66I,UAAY,EAAK,OAAO,EAI5D,IAFAnrH,EAAO+rH,EAAWlB,EAAY,EAEvBkB,EAAWjB,GAChB,GAAIx6I,EAAMy0H,QAAQgnB,GAChBA,QADF,CAKA,KAAIz7I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,WAAa,GAKhD,MAHEnrH,IADA+rH,EAaJ,OANAz7I,EAAMkrB,KAAOwE,GAEbhuB,EAAgB1B,EAAMuK,KAAK,aAAc,OAAQ,IAC3CzH,QAAU9C,EAAMg8I,SAASzB,EAAW7qH,EAAM,EAAI1vB,EAAM66I,WAAW,GACrEn5I,EAAMmK,IAAU,CAAE0uI,EAAWv6I,EAAMkrB,OAE5B,I,6BC3BTp5B,EAAOC,QAAU,SAAeiO,EAAOu6I,EAAWC,EAASxzE,GACzD,IAAIj9D,EAAQF,EAAK/P,EAAQ2hJ,EAAUQ,EAAKv6I,EAAOggH,EAC3Cw6B,GAAgB,EAChBhnI,EAAMlV,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,GAC7Cv3H,EAAMhjB,EAAMm7I,OAAOZ,GAGvB,GAAIv6I,EAAM46I,OAAOL,GAAav6I,EAAM66I,WAAa,EAAK,OAAO,EAE7D,GAAI3lI,EAAM,EAAI8N,EAAO,OAAO,EAI5B,GAAe,OAFfjZ,EAAS/J,EAAM6D,IAAI2R,WAAWN,KAEW,KAAXnL,EAC5B,OAAO,EAST,GALAkyI,EAAM/mI,GAGNrL,GAFAqL,EAAMlV,EAAMm8I,UAAUjnI,EAAKnL,IAEfkyI,GAEF,EAAK,OAAO,EAKtB,GAHAv6B,EAAS1hH,EAAM6D,IAAI5E,MAAMg9I,EAAK/mI,GAC9Bpb,EAASkG,EAAM6D,IAAI5E,MAAMiW,EAAK8N,GAEf,KAAXjZ,GACEjQ,EAAOc,QAAQnE,OAAOyhD,aAAanuC,KAAY,EACjD,OAAO,EAKX,GAAIi9D,EAAU,OAAO,EAKrB,IAFAy0E,EAAWlB,MAGTkB,GACgBjB,OAMhBtlI,EAAM+mI,EAAMj8I,EAAMk7I,OAAOO,GAAYz7I,EAAM+7I,OAAON,KAClDz4H,EAAMhjB,EAAMm7I,OAAOM,KAEFz7I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,YAOhD,GAAI76I,EAAM6D,IAAI2R,WAAWN,KAASnL,KAE9B/J,EAAM46I,OAAOa,GAAYz7I,EAAM66I,WAAa,IAKhD3lI,EAAMlV,EAAMm8I,UAAUjnI,EAAKnL,IAGjBkyI,EAAMpyI,IAGhBqL,EAAMlV,EAAMo8I,WAAWlnI,IAEb8N,GAAV,CAEAk5H,GAAgB,EAEhB,MAcF,OAVAryI,EAAM7J,EAAM46I,OAAOL,GAEnBv6I,EAAMkrB,KAAOuwH,GAAYS,EAAgB,EAAI,IAE7Cx6I,EAAgB1B,EAAMuK,KAAK,QAAS,OAAQ,IACtCg3E,KAAUznF,EAChB4H,EAAMoB,QAAU9C,EAAMg8I,SAASzB,EAAY,EAAGkB,EAAU5xI,GAAK,GAC7DnI,EAAMggH,OAAUA,EAChBhgH,EAAMmK,IAAU,CAAE0uI,EAAWv6I,EAAMkrB,OAE5B,I,6BC5FT,IAAIuuB,EAAU,EAAQ,KAAmBA,QAGzC3nD,EAAOC,QAAU,SAAoBiO,EAAOu6I,EAAWC,EAASxzE,GAC9D,IAAIq1E,EACAz3H,EACA3wB,EACAqoJ,EACApoJ,EACAqoJ,EACAnG,EACAqF,EACA1wG,EACAyxG,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAt7I,EACAu7I,EACAC,EAAal9I,EAAMg7I,QACnB9lI,EAAMlV,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,GAC7Cv3H,EAAMhjB,EAAMm7I,OAAOZ,GAGvB,GAAIv6I,EAAM46I,OAAOL,GAAav6I,EAAM66I,WAAa,EAAK,OAAO,EAG7D,GAAoC,KAAhC76I,EAAM6D,IAAI2R,WAAWN,KAA0B,OAAO,EAI1D,GAAI8xD,EAAU,OAAO,EAqCrB,IAlCAs1E,EAAUvxG,EAAS/qC,EAAM46I,OAAOL,GAAarlI,GAAOlV,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,IAGzD,KAA9Bv6I,EAAM6D,IAAI2R,WAAWN,IAGvBA,IACAonI,IACAvxG,IACAsxG,GAAY,EACZS,GAAmB,GACoB,IAA9B98I,EAAM6D,IAAI2R,WAAWN,IAC9B4nI,GAAmB,GAEd98I,EAAMm9I,QAAQ5C,GAAaxvG,GAAU,GAAM,GAG9C71B,IACAonI,IACAvxG,IACAsxG,GAAY,GAKZA,GAAY,GAGdS,GAAmB,EAGrBN,EAAY,CAAEx8I,EAAMk7I,OAAOX,IAC3Bv6I,EAAMk7I,OAAOX,GAAarlI,EAEnBA,EAAM8N,IACX4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,GAEtBukC,EAAQ70B,KACC,IAAPA,EACFmmB,GAAU,GAAKA,EAAS/qC,EAAMm9I,QAAQ5C,IAAc8B,EAAY,EAAI,IAAM,EAE1EtxG,IAMJ71B,IAsCF,IAnCAunI,EAAa,CAAEz8I,EAAMm9I,QAAQ5C,IAC7Bv6I,EAAMm9I,QAAQ5C,GAAav6I,EAAM46I,OAAOL,GAAa,GAAKuC,EAAmB,EAAI,GAEjFP,EAAgBrnI,GAAO8N,EAEvB45H,EAAY,CAAE58I,EAAM46I,OAAOL,IAC3Bv6I,EAAM46I,OAAOL,GAAaxvG,EAASuxG,EAEnCO,EAAY,CAAE78I,EAAM+7I,OAAOxB,IAC3Bv6I,EAAM+7I,OAAOxB,GAAarlI,EAAMlV,EAAMk7I,OAAOX,GAE7CyC,EAAkBh9I,EAAMosI,GAAG1vE,MAAM41E,MAAMhxB,SAAS,cAEhDq7B,EAAgB38I,EAAMk4E,WACtBl4E,EAAMk4E,WAAa,aACnB+kE,GAAe,EAoBVxB,EAAWlB,EAAY,EAAGkB,EAAWjB,IASpCx6I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,YAAWoC,GAAe,MAE7D/nI,EAAMlV,EAAMk7I,OAAOO,GAAYz7I,EAAM+7I,OAAON,MAC5Cz4H,EAAMhjB,EAAMm7I,OAAOM,MAZ8BA,IAmBjD,GAAoC,KAAhCz7I,EAAM6D,IAAI2R,WAAWN,MAA2B+nI,EAApD,CAoEA,GAAIV,EAAiB,MAIrB,IADAQ,GAAY,EACP9oJ,EAAI,EAAGC,EAAI8oJ,EAAgB7oJ,OAAQF,EAAIC,EAAGD,IAC7C,GAAI+oJ,EAAgB/oJ,GAAG+L,EAAOy7I,EAAUjB,GAAS,GAAO,CACtDuC,GAAY,EACZ,MAIJ,GAAIA,EAAW,CAKb/8I,EAAMg7I,QAAUS,EAEQ,IAApBz7I,EAAM66I,YAIR2B,EAAUjyI,KAAKvK,EAAMk7I,OAAOO,IAC5BgB,EAAWlyI,KAAKvK,EAAMm9I,QAAQ1B,IAC9BoB,EAAUtyI,KAAKvK,EAAM+7I,OAAON,IAC5BmB,EAAUryI,KAAKvK,EAAM46I,OAAOa,IAC5Bz7I,EAAM46I,OAAOa,IAAaz7I,EAAM66I,WAGlC,MAGF2B,EAAUjyI,KAAKvK,EAAMk7I,OAAOO,IAC5BgB,EAAWlyI,KAAKvK,EAAMm9I,QAAQ1B,IAC9BoB,EAAUtyI,KAAKvK,EAAM+7I,OAAON,IAC5BmB,EAAUryI,KAAKvK,EAAM46I,OAAOa,IAI5Bz7I,EAAM46I,OAAOa,IAAa,MA3G1B,CAsCE,IAlCAa,EAAUvxG,EAAS/qC,EAAM46I,OAAOa,GAAYvmI,GAAOlV,EAAMk7I,OAAOO,GAAYz7I,EAAM+7I,OAAON,IAGvD,KAA9Bz7I,EAAM6D,IAAI2R,WAAWN,IAGvBA,IACAonI,IACAvxG,IACAsxG,GAAY,EACZS,GAAmB,GACoB,IAA9B98I,EAAM6D,IAAI2R,WAAWN,IAC9B4nI,GAAmB,GAEd98I,EAAMm9I,QAAQ1B,GAAY1wG,GAAU,GAAM,GAG7C71B,IACAonI,IACAvxG,IACAsxG,GAAY,GAKZA,GAAY,GAGdS,GAAmB,EAGrBN,EAAUjyI,KAAKvK,EAAMk7I,OAAOO,IAC5Bz7I,EAAMk7I,OAAOO,GAAYvmI,EAElBA,EAAM8N,IACX4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,GAEtBukC,EAAQ70B,KACC,IAAPA,EACFmmB,GAAU,GAAKA,EAAS/qC,EAAMm9I,QAAQ1B,IAAaY,EAAY,EAAI,IAAM,EAEzEtxG,IAMJ71B,IAGFqnI,EAAgBrnI,GAAO8N,EAEvBy5H,EAAWlyI,KAAKvK,EAAMm9I,QAAQ1B,IAC9Bz7I,EAAMm9I,QAAQ1B,GAAYz7I,EAAM46I,OAAOa,GAAY,GAAKqB,EAAmB,EAAI,GAE/EF,EAAUryI,KAAKvK,EAAM46I,OAAOa,IAC5Bz7I,EAAM46I,OAAOa,GAAY1wG,EAASuxG,EAElCO,EAAUtyI,KAAKvK,EAAM+7I,OAAON,IAC5Bz7I,EAAM+7I,OAAON,GAAYvmI,EAAMlV,EAAMk7I,OAAOO,GAiEhD,IAlBAiB,EAAY18I,EAAM66I,UAClB76I,EAAM66I,UAAY,GAElBn5I,EAAe1B,EAAMuK,KAAK,kBAAmB,aAAc,IACrDm3G,OAAS,IACfhgH,EAAMmK,IAASuqI,EAAQ,CAAEmE,EAAW,GAEpCv6I,EAAMosI,GAAG1vE,MAAMoiE,SAAS9+H,EAAOu6I,EAAWkB,IAE1C/5I,EAAe1B,EAAMuK,KAAK,mBAAoB,cAAe,IACvDm3G,OAAS,IAEf1hH,EAAMg7I,QAAUkC,EAChBl9I,EAAMk4E,WAAaykE,EACnBvG,EAAM,GAAKp2I,EAAMkrB,KAIZj3B,EAAI,EAAGA,EAAI4oJ,EAAU1oJ,OAAQF,IAChC+L,EAAMk7I,OAAOjnJ,EAAIsmJ,GAAaiC,EAAUvoJ,GACxC+L,EAAM+7I,OAAO9nJ,EAAIsmJ,GAAasC,EAAU5oJ,GACxC+L,EAAM46I,OAAO3mJ,EAAIsmJ,GAAaqC,EAAU3oJ,GACxC+L,EAAMm9I,QAAQlpJ,EAAIsmJ,GAAakC,EAAWxoJ,GAI5C,OAFA+L,EAAM66I,UAAY6B,GAEX,I,6BCvRT,IAAIjjG,EAAU,EAAQ,KAAmBA,QAGzC3nD,EAAOC,QAAU,SAAYiO,EAAOu6I,EAAWC,EAASxzE,GACtD,IAAIj9D,EAAQqzI,EAAKx4H,EAAIljB,EACjBwT,EAAMlV,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,GAC7Cv3H,EAAMhjB,EAAMm7I,OAAOZ,GAGvB,GAAIv6I,EAAM46I,OAAOL,GAAav6I,EAAM66I,WAAa,EAAK,OAAO,EAK7D,GAAe,MAHf9wI,EAAS/J,EAAM6D,IAAI2R,WAAWN,OAIf,KAAXnL,GACW,KAAXA,EACF,OAAO,EAMT,IADAqzI,EAAM,EACCloI,EAAM8N,GAAK,CAEhB,IADA4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,QACfnL,IAAW0vC,EAAQ70B,GAAO,OAAO,EACxCA,IAAO7a,GAAUqzI,IAGvB,QAAIA,EAAM,KAENp2E,IAEJhnE,EAAMkrB,KAAOqvH,EAAY,GAEzB74I,EAAe1B,EAAMuK,KAAK,KAAM,KAAM,IAChCsB,IAAS,CAAE0uI,EAAWv6I,EAAMkrB,MAClCxpB,EAAMggH,OAASp3G,MAAM8yI,EAAM,GAAGrhJ,KAAKtF,OAAOyhD,aAAanuC,MANlC,K,6BC/BvB,IAAI0vC,EAAU,EAAQ,KAAmBA,QAKzC,SAAS4jG,EAAqBr9I,EAAOu6I,GACnC,IAAIxwI,EAAQmL,EAAK8N,EAAK4B,EAOtB,OALA1P,EAAMlV,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,GAC7Cv3H,EAAMhjB,EAAMm7I,OAAOZ,GAIJ,MAFfxwI,EAAS/J,EAAM6D,IAAI2R,WAAWN,OAGf,KAAXnL,GACW,KAAXA,GAIAmL,EAAM8N,IACR4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,IAErBukC,EAAQ70B,KANL,EAYH1P,EAKT,SAASooI,EAAsBt9I,EAAOu6I,GACpC,IAAI31H,EACAokC,EAAQhpD,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,GAC/CrlI,EAAM8zC,EACNhmC,EAAMhjB,EAAMm7I,OAAOZ,GAGvB,GAAIrlI,EAAM,GAAK8N,EAAO,OAAQ,EAI9B,IAFA4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,MAEjB,IAAe0P,EAAK,GAAe,OAAQ,EAEpD,OAAS,CAEP,GAAI1P,GAAO8N,EAAO,OAAQ,EAI1B,MAFA4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,OAEhB,IAAe0P,GAAM,IAA/B,CAUA,GAAW,KAAPA,GAA6B,KAAPA,EACxB,MAGF,OAAQ,EAVN,GAAI1P,EAAM8zC,GAAS,GAAM,OAAQ,EAcrC,OAAI9zC,EAAM8N,IACR4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,IAErBukC,EAAQ70B,KAEH,EAGL1P,EAiBTpjB,EAAOC,QAAU,SAAciO,EAAOu6I,EAAWC,EAASxzE,GACxD,IAAIpiD,EACA24H,EACAtpJ,EACAupJ,EACAC,EACAnB,EACAoB,EACAC,EACAzpJ,EACA0pJ,EACAC,EACAC,EACAC,EACA/6H,EACAy4H,EACA1wG,EACAizG,EACArB,EACAC,EACAC,EACAoB,EACA/oI,EACAgpI,EACAC,EACAn1F,EACA+zF,EACAC,EACAt7I,EACA08I,GAAyB,EACzBtD,GAAQ,EAGZ,GAAI96I,EAAM46I,OAAOL,GAAav6I,EAAM66I,WAAa,EAAK,OAAO,EAQ7D,GAAI76I,EAAMq+I,YAAc,GACpBr+I,EAAM46I,OAAOL,GAAav6I,EAAMq+I,YAAc,GAC9Cr+I,EAAM46I,OAAOL,GAAav6I,EAAM66I,UAClC,OAAO,EAiBT,GAZI7zE,GAA+B,cAArBhnE,EAAMk4E,YAMdl4E,EAAM+7I,OAAOxB,IAAcv6I,EAAM66I,YACnCuD,GAAyB,IAKxBF,EAAiBZ,EAAsBt9I,EAAOu6I,KAAe,GAOhE,GANAmD,GAAY,EACZ10F,EAAQhpD,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,GAC/CwD,EAAch5I,OAAO/E,EAAM6D,IAAIsnB,OAAO69B,EAAOk1F,EAAiBl1F,EAAQ,IAIlEo1F,GAA0C,IAAhBL,EAAmB,OAAO,MAEnD,OAAKG,EAAiBb,EAAqBr9I,EAAOu6I,KAAe,GAItE,OAAO,EAHPmD,GAAY,EAQd,GAAIU,GACEp+I,EAAMo8I,WAAW8B,IAAmBl+I,EAAMm7I,OAAOZ,GAAY,OAAO,EAO1E,GAHAuD,EAAiB99I,EAAM6D,IAAI2R,WAAW0oI,EAAiB,GAGnDl3E,EAAU,OAAO,EA6BrB,IA1BA62E,EAAa79I,EAAMo5B,OAAOjlC,OAEtBupJ,GACFh8I,EAAc1B,EAAMuK,KAAK,oBAAqB,KAAM,GAChC,IAAhBwzI,IACFr8I,EAAM88C,MAAQ,CAAE,CAAE,QAASu/F,MAI7Br8I,EAAc1B,EAAMuK,KAAK,mBAAoB,KAAM,GAGrD7I,EAAMmK,IAAS+xI,EAAY,CAAErD,EAAW,GACxC74I,EAAMggH,OAASjrH,OAAOyhD,aAAa4lG,GAMnCrC,EAAWlB,EACX4D,GAAe,EACfnB,EAAkBh9I,EAAMosI,GAAG1vE,MAAM41E,MAAMhxB,SAAS,QAEhDq7B,EAAgB38I,EAAMk4E,WACtBl4E,EAAMk4E,WAAa,OAEZujE,EAAWjB,GAAS,CAMzB,IALAtlI,EAAMgpI,EACNl7H,EAAMhjB,EAAMm7I,OAAOM,GAEnBa,EAAUvxG,EAAS/qC,EAAM46I,OAAOa,GAAYyC,GAAkBl+I,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,IAE9FrlI,EAAM8N,GAAK,CAGhB,GAAW,KAFX4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,IAGxB61B,GAAU,GAAKA,EAAS/qC,EAAMm9I,QAAQ1B,IAAa,MAC9C,IAAW,KAAP72H,EAGT,MAFAmmB,IAKF71B,IA4EF,IArEEuoI,GAJFF,EAAeroI,IAEK8N,EAEE,EAEA+nB,EAASuxG,GAKP,IAAKmB,EAAoB,GAIjDD,EAASlB,EAAUmB,GAGnB/7I,EAAe1B,EAAMuK,KAAK,iBAAkB,KAAM,IAC5Cm3G,OAASjrH,OAAOyhD,aAAa4lG,GACnCp8I,EAAMmK,IAAS8xI,EAAY,CAAEpD,EAAW,GAGxC0D,EAAWj+I,EAAM86I,MACjB+B,EAAY78I,EAAM+7I,OAAOxB,GACzBqC,EAAY58I,EAAM46I,OAAOL,GAMzByD,EAAgBh+I,EAAMq+I,WACtBr+I,EAAMq+I,WAAar+I,EAAM66I,UACzB76I,EAAM66I,UAAY2C,EAElBx9I,EAAM86I,OAAQ,EACd96I,EAAM+7I,OAAOxB,GAAagD,EAAev9I,EAAMk7I,OAAOX,GACtDv6I,EAAM46I,OAAOL,GAAaxvG,EAEtBwyG,GAAgBv6H,GAAOhjB,EAAMy0H,QAAQ8lB,EAAY,GAQnDv6I,EAAMkrB,KAAOp4B,KAAKkK,IAAIgD,EAAMkrB,KAAO,EAAGsvH,GAEtCx6I,EAAMosI,GAAG1vE,MAAMoiE,SAAS9+H,EAAOu6I,EAAWC,GAAS,GAIhDx6I,EAAM86I,QAASqD,IAClBrD,GAAQ,GAIVqD,EAAgBn+I,EAAMkrB,KAAOqvH,EAAa,GAAKv6I,EAAMy0H,QAAQz0H,EAAMkrB,KAAO,GAE1ElrB,EAAM66I,UAAY76I,EAAMq+I,WACxBr+I,EAAMq+I,WAAaL,EACnBh+I,EAAM+7I,OAAOxB,GAAasC,EAC1B78I,EAAM46I,OAAOL,GAAaqC,EAC1B58I,EAAM86I,MAAQmD,GAEdv8I,EAAe1B,EAAMuK,KAAK,kBAAmB,MAAO,IAC9Cm3G,OAASjrH,OAAOyhD,aAAa4lG,GAEnCrC,EAAWlB,EAAYv6I,EAAMkrB,KAC7ByyH,EAAU,GAAKlC,EACf8B,EAAev9I,EAAMk7I,OAAOX,GAExBkB,GAAYjB,EAAW,MAK3B,GAAIx6I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,UAAa,MAGhD,GAAI76I,EAAM46I,OAAOL,GAAav6I,EAAM66I,WAAa,EAAK,MAItD,IADAkC,GAAY,EACP9oJ,EAAI,EAAGC,EAAI8oJ,EAAgB7oJ,OAAQF,EAAIC,EAAGD,IAC7C,GAAI+oJ,EAAgB/oJ,GAAG+L,EAAOy7I,EAAUjB,GAAS,GAAO,CACtDuC,GAAY,EACZ,MAGJ,GAAIA,EAAa,MAGjB,GAAIW,GAEF,IADAQ,EAAiBZ,EAAsBt9I,EAAOy7I,IACzB,EAAK,WAG1B,IADAyC,EAAiBb,EAAqBr9I,EAAOy7I,IACxB,EAAK,MAG5B,GAAIqC,IAAmB99I,EAAM6D,IAAI2R,WAAW0oI,EAAiB,GAAM,MAqBrE,OAhBEx8I,EADEg8I,EACM19I,EAAMuK,KAAK,qBAAsB,MAAO,GAExCvK,EAAMuK,KAAK,oBAAqB,MAAO,IAE3Cm3G,OAASjrH,OAAOyhD,aAAa4lG,GAEnCF,EAAU,GAAKnC,EACfz7I,EAAMkrB,KAAOuwH,EAEbz7I,EAAMk4E,WAAaykE,EAGf7B,GA7QN,SAA6B96I,EAAOmhH,GAClC,IAAIltH,EAAGC,EACHqpE,EAAQv9D,EAAMu9D,MAAQ,EAE1B,IAAKtpE,EAAIktH,EAAM,EAAGjtH,EAAI8L,EAAMo5B,OAAOjlC,OAAS,EAAGF,EAAIC,EAAGD,IAChD+L,EAAMo5B,OAAOnlC,GAAGspE,QAAUA,GAAkC,mBAAzBv9D,EAAMo5B,OAAOnlC,GAAG4G,OACrDmF,EAAMo5B,OAAOnlC,EAAI,GAAG0tH,QAAS,EAC7B3hH,EAAMo5B,OAAOnlC,GAAG0tH,QAAS,EACzB1tH,GAAK,GAsQPqqJ,CAAoBt+I,EAAO69I,IAGtB,I,6BCnWT,IAAI/jG,EAAuB,EAAQ,KAAmBA,mBAClDL,EAAuB,EAAQ,KAAmBA,QAGtD3nD,EAAOC,QAAU,SAAmBiO,EAAOu6I,EAAWgE,EAAUv3E,GAC9D,IAAIpiD,EACA45H,EACAC,EACAjE,EACAhvH,EACAv3B,EACAC,EACAwqJ,EACA1I,EACA2G,EACA94H,EACAmlC,EACA1yD,EACAymJ,EACAC,EACAxyI,EACA4rI,EAAQ,EACRlhI,EAAMlV,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,GAC7Cv3H,EAAMhjB,EAAMm7I,OAAOZ,GACnBkB,EAAWlB,EAAY,EAG3B,GAAIv6I,EAAM46I,OAAOL,GAAav6I,EAAM66I,WAAa,EAAK,OAAO,EAE7D,GAAkC,KAA9B76I,EAAM6D,IAAI2R,WAAWN,GAAwB,OAAO,EAIxD,OAASA,EAAM8N,GACb,GAAkC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,IACa,KAAlClV,EAAM6D,IAAI2R,WAAWN,EAAM,GAAoB,CACjD,GAAIA,EAAM,IAAM8N,EAAO,OAAO,EAC9B,GAAsC,KAAlChjB,EAAM6D,IAAI2R,WAAWN,EAAM,GAAsB,OAAO,EAC5D,MAYJ,IARAslI,EAAUx6I,EAAMg7I,QAGhBgC,EAAkBh9I,EAAMosI,GAAG1vE,MAAM41E,MAAMhxB,SAAS,aAEhDq7B,EAAgB38I,EAAMk4E,WACtBl4E,EAAMk4E,WAAa,YAEZujE,EAAWjB,IAAYx6I,EAAMy0H,QAAQgnB,GAAWA,IAGrD,KAAIz7I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,UAAY,GAG3C76I,EAAM46I,OAAOa,GAAY,GAA7B,CAIA,IADAsB,GAAY,EACP9oJ,EAAI,EAAGC,EAAI8oJ,EAAgB7oJ,OAAQF,EAAIC,EAAGD,IAC7C,GAAI+oJ,EAAgB/oJ,GAAG+L,EAAOy7I,EAAUjB,GAAS,GAAO,CACtDuC,GAAY,EACZ,MAGJ,GAAIA,EAAa,MAMnB,IAFA/5H,GADA1sB,EAAM0J,EAAMg8I,SAASzB,EAAWkB,EAAUz7I,EAAM66I,WAAW,GAAOxkJ,QACxDlC,OAEL+gB,EAAM,EAAGA,EAAM8N,EAAK9N,IAAO,CAE9B,GAAW,MADX0P,EAAKtuB,EAAIkf,WAAWN,IAElB,OAAO,EACF,GAAW,KAAP0P,EAAqB,CAC9BoxH,EAAW9gI,EACX,OACgB,KAAP0P,GAEO,KAAPA,KACT1P,EACU8N,GAA+B,KAAxB1sB,EAAIkf,WAAWN,KAHhCkhI,IASJ,GAAIJ,EAAW,GAAsC,KAAjC1/I,EAAIkf,WAAWwgI,EAAW,GAAsB,OAAO,EAI3E,IAAK9gI,EAAM8gI,EAAW,EAAG9gI,EAAM8N,EAAK9N,IAElC,GAAW,MADX0P,EAAKtuB,EAAIkf,WAAWN,IAElBkhI,SACK,IAAI38F,EAAQ70B,GAGjB,MAOJ,KADAf,EAAM7jB,EAAMosI,GAAG0E,QAAQ8E,qBAAqBt/I,EAAK4e,EAAK8N,IAC7CoyC,GAAM,OAAO,EAGtB,GADA5pC,EAAOxrB,EAAMosI,GAAGuF,cAAc9tH,EAAIvtB,MAC7B0J,EAAMosI,GAAGqF,aAAajmH,GAAS,OAAO,EAY3C,IANAgzH,EAJAtpI,EAAM2O,EAAI3O,IAKVupI,EAJArI,GAASvyH,EAAIuyH,MAQbptF,EAAQ9zC,EACDA,EAAM8N,EAAK9N,IAEhB,GAAW,MADX0P,EAAKtuB,EAAIkf,WAAWN,IAElBkhI,SACK,IAAI38F,EAAQ70B,GAGjB,MAkBJ,IAZAf,EAAM7jB,EAAMosI,GAAG0E,QAAQ+E,eAAev/I,EAAK4e,EAAK8N,GAC5C9N,EAAM8N,GAAOgmC,IAAU9zC,GAAO2O,EAAIuxC,IACpC5qD,EAAQqZ,EAAIvtB,IACZ4e,EAAM2O,EAAI3O,IACVkhI,GAASvyH,EAAIuyH,QAEb5rI,EAAQ,GACR0K,EAAMspI,EACNpI,EAAQqI,GAIHvpI,EAAM8N,IACX4B,EAAKtuB,EAAIkf,WAAWN,GACfukC,EAAQ70B,KACb1P,IAGF,GAAIA,EAAM8N,GAA+B,KAAxB1sB,EAAIkf,WAAWN,IAC1B1K,EAMF,IAHAA,EAAQ,GACR0K,EAAMspI,EACNpI,EAAQqI,EACDvpI,EAAM8N,IACX4B,EAAKtuB,EAAIkf,WAAWN,GACfukC,EAAQ70B,KACb1P,IAKN,QAAIA,EAAM8N,GAA+B,KAAxB1sB,EAAIkf,WAAWN,SAKhCwpI,EAAQ5kG,EAAmBxjD,EAAI2I,MAAM,EAAG+2I,OAQpChvE,SAEgC,IAAzBhnE,EAAM0K,IAAIi0I,aACnB3+I,EAAM0K,IAAIi0I,WAAa,SAEkB,IAAhC3+I,EAAM0K,IAAIi0I,WAAWD,KAC9B1+I,EAAM0K,IAAIi0I,WAAWD,GAAS,CAAEl0I,MAAOA,EAAOghB,KAAMA,IAGtDxrB,EAAMk4E,WAAaykE,EAEnB38I,EAAMkrB,KAAOqvH,EAAYnE,EAAQ,IAXZ,M,6BCpLvB,IAAI38F,EAAU,EAAQ,KAAmBA,QAGzC3nD,EAAOC,QAAU,SAAiBiO,EAAOu6I,EAAWC,EAASxzE,GAC3D,IAAIpiD,EAAI24C,EAAOzwB,EAAKprC,EAChBwT,EAAMlV,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,GAC7Cv3H,EAAMhjB,EAAMm7I,OAAOZ,GAGvB,GAAIv6I,EAAM46I,OAAOL,GAAav6I,EAAM66I,WAAa,EAAK,OAAO,EAI7D,GAAW,MAFXj2H,EAAM5kB,EAAM6D,IAAI2R,WAAWN,KAEDA,GAAO8N,EAAO,OAAO,EAK/C,IAFAu6C,EAAQ,EACR34C,EAAK5kB,EAAM6D,IAAI2R,aAAaN,GACd,KAAP0P,GAAsB1P,EAAM8N,GAAOu6C,GAAS,GACjDA,IACA34C,EAAK5kB,EAAM6D,IAAI2R,aAAaN,GAG9B,QAAIqoD,EAAQ,GAAMroD,EAAM8N,IAAQy2B,EAAQ70B,MAEpCoiD,IAIJhkD,EAAMhjB,EAAM4+I,eAAe57H,EAAK9N,IAChC43B,EAAM9sC,EAAM6+I,cAAc77H,EAAK,GAAM9N,IAC3BA,GAAOukC,EAAQz5C,EAAM6D,IAAI2R,WAAWs3B,EAAM,MAClD9pB,EAAM8pB,GAGR9sC,EAAMkrB,KAAOqvH,EAAY,GAEzB74I,EAAe1B,EAAMuK,KAAK,eAAgB,IAAM9T,OAAO8mE,GAAQ,IACzDmkD,OAAS,WAAWziH,MAAM,EAAGs+D,GACnC77D,EAAMmK,IAAS,CAAE0uI,EAAWv6I,EAAMkrB,OAElCxpB,EAAiB1B,EAAMuK,KAAK,SAAU,GAAI,IACpCzH,QAAW9C,EAAM6D,IAAI5E,MAAMiW,EAAK8N,GAAK3sB,OAC3CqL,EAAMmK,IAAW,CAAE0uI,EAAWv6I,EAAMkrB,MACpCxpB,EAAMwgF,SAAW,IAEjBxgF,EAAe1B,EAAMuK,KAAK,gBAAiB,IAAM9T,OAAO8mE,IAAS,IAC3DmkD,OAAS,WAAWziH,MAAM,EAAGs+D,KAtBd,K,6BCxBvBzrE,EAAOC,QAAU,SAAkBiO,EAAOu6I,EAAWC,GACnD,IAAI13I,EAASi6I,EAAW9oJ,EAAGC,EAAGwN,EAAOwT,EAAK8N,EAAKu6C,EAAOxzD,EACxB4yI,EAA1BlB,EAAWlB,EAAY,EACvByC,EAAkBh9I,EAAMosI,GAAG1vE,MAAM41E,MAAMhxB,SAAS,aAGpD,GAAIthH,EAAM46I,OAAOL,GAAav6I,EAAM66I,WAAa,EAAK,OAAO,EAM7D,IAJA8B,EAAgB38I,EAAMk4E,WACtBl4E,EAAMk4E,WAAa,YAGZujE,EAAWjB,IAAYx6I,EAAMy0H,QAAQgnB,GAAWA,IAGrD,KAAIz7I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,UAAY,GAA/C,CAKA,GAAI76I,EAAM46I,OAAOa,IAAaz7I,EAAM66I,YAClC3lI,EAAMlV,EAAMk7I,OAAOO,GAAYz7I,EAAM+7I,OAAON,KAC5Cz4H,EAAMhjB,EAAMm7I,OAAOM,MAKF,MAFf1xI,EAAS/J,EAAM6D,IAAI2R,WAAWN,KAEW,KAAXnL,KAC5BmL,EAAMlV,EAAMm8I,UAAUjnI,EAAKnL,IAC3BmL,EAAMlV,EAAMo8I,WAAWlnI,KAEZ8N,GAAK,CACdu6C,EAAoB,KAAXxzD,EAAyB,EAAI,EACtC,MAOR,KAAI/J,EAAM46I,OAAOa,GAAY,GAA7B,CAIA,IADAsB,GAAY,EACP9oJ,EAAI,EAAGC,EAAI8oJ,EAAgB7oJ,OAAQF,EAAIC,EAAGD,IAC7C,GAAI+oJ,EAAgB/oJ,GAAG+L,EAAOy7I,EAAUjB,GAAS,GAAO,CACtDuC,GAAY,EACZ,MAGJ,GAAIA,EAAa,OAGnB,QAAKx/E,IAKLz6D,EAAU9C,EAAMg8I,SAASzB,EAAWkB,EAAUz7I,EAAM66I,WAAW,GAAOxkJ,OAEtE2J,EAAMkrB,KAAOuwH,EAAW,GAExB/5I,EAAiB1B,EAAMuK,KAAK,eAAgB,IAAM9T,OAAO8mE,GAAQ,IAC3DmkD,OAAWjrH,OAAOyhD,aAAanuC,GACrCrI,EAAMmK,IAAW,CAAE0uI,EAAWv6I,EAAMkrB,OAEpCxpB,EAAiB1B,EAAMuK,KAAK,SAAU,GAAI,IACpCzH,QAAWA,EACjBpB,EAAMmK,IAAW,CAAE0uI,EAAWv6I,EAAMkrB,KAAO,GAC3CxpB,EAAMwgF,SAAW,IAEjBxgF,EAAiB1B,EAAMuK,KAAK,gBAAiB,IAAM9T,OAAO8mE,IAAS,IAC7DmkD,OAAWjrH,OAAOyhD,aAAanuC,GAErC/J,EAAMk4E,WAAaykE,GAEZ,K,6BC5ET,IAAImC,EAAc,EAAQ,KACtBtgB,EAAyB,EAAQ,KAAqBA,uBAKtDugB,EAAiB,CACnB,CAAE,oCAAqC,2BAA2B,GAClE,CAAE,QAAgB,OAAS,GAC3B,CAAE,OAAgB,OAAS,GAC3B,CAAE,WAAgB,KAAS,GAC3B,CAAE,eAAgB,SAAS,GAC3B,CAAE,IAAIt3I,OAAO,QAAUq3I,EAAY/iJ,KAAK,KAAO,mBAAoB,KAAM,MAAM,GAC/E,CAAE,IAAI0L,OAAO+2H,EAAuBvmI,OAAS,SAAW,MAAM,IAIhEnG,EAAOC,QAAU,SAAoBiO,EAAOu6I,EAAWC,EAASxzE,GAC9D,IAAI/yE,EAAGwnJ,EAAU/5I,EAAO85I,EACpBtmI,EAAMlV,EAAMk7I,OAAOX,GAAav6I,EAAM+7I,OAAOxB,GAC7Cv3H,EAAMhjB,EAAMm7I,OAAOZ,GAGvB,GAAIv6I,EAAM46I,OAAOL,GAAav6I,EAAM66I,WAAa,EAAK,OAAO,EAE7D,IAAK76I,EAAMosI,GAAGp0I,QAAQyK,KAAQ,OAAO,EAErC,GAAkC,KAA9BzC,EAAM6D,IAAI2R,WAAWN,GAAwB,OAAO,EAIxD,IAFAsmI,EAAWx7I,EAAM6D,IAAI5E,MAAMiW,EAAK8N,GAE3B/uB,EAAI,EAAGA,EAAI8qJ,EAAe5qJ,SACzB4qJ,EAAe9qJ,GAAG,GAAGkT,KAAKq0I,GADOvnJ,KAIvC,GAAIA,IAAM8qJ,EAAe5qJ,OAAU,OAAO,EAE1C,GAAI6yE,EAEF,OAAO+3E,EAAe9qJ,GAAG,GAO3B,GAJAwnJ,EAAWlB,EAAY,GAIlBwE,EAAe9qJ,GAAG,GAAGkT,KAAKq0I,GAC7B,KAAOC,EAAWjB,KACZx6I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,WADVY,IAOzB,GAJAvmI,EAAMlV,EAAMk7I,OAAOO,GAAYz7I,EAAM+7I,OAAON,GAC5Cz4H,EAAMhjB,EAAMm7I,OAAOM,GACnBD,EAAWx7I,EAAM6D,IAAI5E,MAAMiW,EAAK8N,GAE5B+7H,EAAe9qJ,GAAG,GAAGkT,KAAKq0I,GAAW,CACf,IAApBA,EAASrnJ,QAAgBsnJ,IAC7B,MAWN,OANAz7I,EAAMkrB,KAAOuwH,GAEb/5I,EAAgB1B,EAAMuK,KAAK,aAAc,GAAI,IACvCsB,IAAU,CAAE0uI,EAAWkB,GAC7B/5I,EAAMoB,QAAU9C,EAAMg8I,SAASzB,EAAWkB,EAAUz7I,EAAM66I,WAAW,IAE9D,I,6BClET/oJ,EAAOC,QAAU,CACf,UACA,UACA,QACA,OACA,WACA,aACA,OACA,UACA,SACA,MACA,WACA,KACA,UACA,SACA,MACA,MACA,KACA,KACA,WACA,aACA,SACA,SACA,OACA,QACA,WACA,KACA,KACA,KACA,KACA,KACA,KACA,OACA,SACA,KACA,OACA,SACA,SACA,KACA,OACA,OACA,OACA,WACA,OACA,MACA,WACA,KACA,WACA,SACA,IACA,QACA,UACA,SACA,UACA,QACA,QACA,KACA,QACA,KACA,QACA,QACA,KACA,QACA,O,6BChEFD,EAAOC,QAAU,SAAmBiO,EAAOu6I,GACzC,IAAIz3I,EAASi6I,EAAW9oJ,EAAGC,EAAGwN,EAAOi7I,EACjClB,EAAWlB,EAAY,EACvByC,EAAkBh9I,EAAMosI,GAAG1vE,MAAM41E,MAAMhxB,SAAS,aAChDk5B,EAAUx6I,EAAMg7I,QAMpB,IAJA2B,EAAgB38I,EAAMk4E,WACtBl4E,EAAMk4E,WAAa,YAGZujE,EAAWjB,IAAYx6I,EAAMy0H,QAAQgnB,GAAWA,IAGrD,KAAIz7I,EAAM46I,OAAOa,GAAYz7I,EAAM66I,UAAY,GAG3C76I,EAAM46I,OAAOa,GAAY,GAA7B,CAIA,IADAsB,GAAY,EACP9oJ,EAAI,EAAGC,EAAI8oJ,EAAgB7oJ,OAAQF,EAAIC,EAAGD,IAC7C,GAAI+oJ,EAAgB/oJ,GAAG+L,EAAOy7I,EAAUjB,GAAS,GAAO,CACtDuC,GAAY,EACZ,MAGJ,GAAIA,EAAa,MAmBnB,OAhBAj6I,EAAU9C,EAAMg8I,SAASzB,EAAWkB,EAAUz7I,EAAM66I,WAAW,GAAOxkJ,OAEtE2J,EAAMkrB,KAAOuwH,GAEb/5I,EAAiB1B,EAAMuK,KAAK,iBAAkB,IAAK,IAC7CsB,IAAW,CAAE0uI,EAAWv6I,EAAMkrB,OAEpCxpB,EAAiB1B,EAAMuK,KAAK,SAAU,GAAI,IACpCzH,QAAWA,EACjBpB,EAAMmK,IAAW,CAAE0uI,EAAWv6I,EAAMkrB,MACpCxpB,EAAMwgF,SAAW,GAEjBxgF,EAAiB1B,EAAMuK,KAAK,kBAAmB,KAAM,GAErDvK,EAAMk4E,WAAaykE,GAEZ,I,6BC9CT,IAAIn7B,EAAQ,EAAQ,KAChB/nE,EAAU,EAAQ,KAAmBA,QAGzC,SAASulG,EAAWn7I,EAAKuoI,EAAI1hI,EAAK0uB,GAChC,IAAIxU,EAAI8L,EAAGs4B,EAAO9zC,EAAKrL,EAAK2zI,EAAQzyG,EAAQk0G,EAuD5C,IArDAjjJ,KAAK6H,IAAMA,EAGX7H,KAAKowI,GAASA,EAEdpwI,KAAK0O,IAAMA,EAMX1O,KAAKo9B,OAASA,EAEdp9B,KAAKk/I,OAAS,GACdl/I,KAAKm/I,OAAS,GACdn/I,KAAK+/I,OAAS,GACd//I,KAAK4+I,OAAS,GAYd5+I,KAAKmhJ,QAAU,GAGfnhJ,KAAK6+I,UAAa,EAElB7+I,KAAKkvB,KAAa,EAClBlvB,KAAKg/I,QAAa,EAClBh/I,KAAK8+I,OAAa,EAClB9+I,KAAKkjJ,UAAc,EACnBljJ,KAAKqiJ,YAAc,EAInBriJ,KAAKk8E,WAAa,OAElBl8E,KAAKuhE,MAAQ,EAGbvhE,KAAKnG,OAAS,GAKdopJ,GAAe,EAEVj2F,EAAQ9zC,EAAMsoI,EAASzyG,EAAS,EAAGlhC,GAHxC6mB,EAAI10B,KAAK6H,KAGuC1P,OAAQ+gB,EAAMrL,EAAKqL,IAAO,CAGxE,GAFA0P,EAAK8L,EAAElb,WAAWN,IAEb+pI,EAAc,CACjB,GAAIxlG,EAAQ70B,GAAK,CACf44H,IAEW,IAAP54H,EACFmmB,GAAU,EAAIA,EAAS,EAEvBA,IAEF,SAEAk0G,GAAe,EAIR,KAAPr6H,GAAe1P,IAAQrL,EAAM,IACpB,KAAP+a,GAAe1P,IACnBlZ,KAAKk/I,OAAO3wI,KAAKy+C,GACjBhtD,KAAKm/I,OAAO5wI,KAAK2K,GACjBlZ,KAAK+/I,OAAOxxI,KAAKizI,GACjBxhJ,KAAK4+I,OAAOrwI,KAAKwgC,GACjB/uC,KAAKmhJ,QAAQ5yI,KAAK,GAElB00I,GAAe,EACfzB,EAAS,EACTzyG,EAAS,EACTie,EAAQ9zC,EAAM,GAKlBlZ,KAAKk/I,OAAO3wI,KAAKmmB,EAAEv8B,QACnB6H,KAAKm/I,OAAO5wI,KAAKmmB,EAAEv8B,QACnB6H,KAAK+/I,OAAOxxI,KAAK,GACjBvO,KAAK4+I,OAAOrwI,KAAK,GACjBvO,KAAKmhJ,QAAQ5yI,KAAK,GAElBvO,KAAKg/I,QAAUh/I,KAAKk/I,OAAO/mJ,OAAS,EAKtC6qJ,EAAWzrJ,UAAUgX,KAAO,SAAU1P,EAAMia,EAAK2sG,GAC/C,IAAI//G,EAAQ,IAAI8/G,EAAM3mH,EAAMia,EAAK2sG,GAQjC,OAPA//G,EAAMg7D,OAAQ,EAEV+kD,EAAU,GAAGzlH,KAAKuhE,QACtB77D,EAAM67D,MAAQvhE,KAAKuhE,MACfkkD,EAAU,GAAGzlH,KAAKuhE,QAEtBvhE,KAAKo9B,OAAO7uB,KAAK7I,GACVA,GAGTs9I,EAAWzrJ,UAAUkhI,QAAU,SAAiBvpG,GAC9C,OAAOlvB,KAAKk/I,OAAOhwH,GAAQlvB,KAAK+/I,OAAO7wH,IAASlvB,KAAKm/I,OAAOjwH,IAG9D8zH,EAAWzrJ,UAAUonJ,eAAiB,SAAwBxzH,GAC5D,IAAK,IAAInE,EAAMhnB,KAAKg/I,QAAS7zH,EAAOnE,KAC9BhnB,KAAKk/I,OAAO/zH,GAAQnrB,KAAK+/I,OAAO50H,GAAQnrB,KAAKm/I,OAAOh0H,IADjBA,KAKzC,OAAOA,GAIT63H,EAAWzrJ,UAAU6oJ,WAAa,SAAoBlnI,GAGpD,IAFA,IAAI0P,EAEK5B,EAAMhnB,KAAK6H,IAAI1P,OAAQ+gB,EAAM8N,IACpC4B,EAAK5oB,KAAK6H,IAAI2R,WAAWN,GACpBukC,EAAQ70B,IAF4B1P,KAI3C,OAAOA,GAIT8pI,EAAWzrJ,UAAUqrJ,eAAiB,SAAwB1pI,EAAKlY,GACjE,GAAIkY,GAAOlY,EAAO,OAAOkY,EAEzB,KAAOA,EAAMlY,GACX,IAAKy8C,EAAQz9C,KAAK6H,IAAI2R,aAAaN,IAAS,OAAOA,EAAM,EAE3D,OAAOA,GAIT8pI,EAAWzrJ,UAAU4oJ,UAAY,SAAmBjnI,EAAK6F,GACvD,IAAK,IAAIiI,EAAMhnB,KAAK6H,IAAI1P,OAAQ+gB,EAAM8N,GAChChnB,KAAK6H,IAAI2R,WAAWN,KAAS6F,EADQ7F,KAG3C,OAAOA,GAIT8pI,EAAWzrJ,UAAUsrJ,cAAgB,SAAuB3pI,EAAK6F,EAAM/d,GACrE,GAAIkY,GAAOlY,EAAO,OAAOkY,EAEzB,KAAOA,EAAMlY,GACX,GAAI+d,IAAS/e,KAAK6H,IAAI2R,aAAaN,GAAQ,OAAOA,EAAM,EAE1D,OAAOA,GAIT8pI,EAAWzrJ,UAAUyoJ,SAAW,SAAkBtY,EAAO1lF,EAAKw/F,EAAQ2B,GACpE,IAAIlrJ,EAAGmrJ,EAAYx6H,EAAIzP,EAAOua,EAAMnmB,EAAO81I,EACvCn0H,EAAOw4G,EAEX,GAAIA,GAAS1lF,EACX,MAAO,GAKT,IAFAz0C,EAAQ,IAAIe,MAAM0zC,EAAM0lF,GAEnBzvI,EAAI,EAAGi3B,EAAO8yB,EAAK9yB,IAAQj3B,IAAK,CAWnC,IAVAmrJ,EAAa,EACbC,EAAYlqI,EAAQnZ,KAAKk/I,OAAOhwH,GAI9BwE,EAFExE,EAAO,EAAI8yB,GAAOmhG,EAEbnjJ,KAAKm/I,OAAOjwH,GAAQ,EAEpBlvB,KAAKm/I,OAAOjwH,GAGd/V,EAAQua,GAAQ0vH,EAAa5B,GAAQ,CAG1C,GAFA54H,EAAK5oB,KAAK6H,IAAI2R,WAAWL,GAErBskC,EAAQ70B,GACC,IAAPA,EACFw6H,GAAc,GAAKA,EAAapjJ,KAAKmhJ,QAAQjyH,IAAS,EAEtDk0H,QAEG,MAAIjqI,EAAQkqI,EAAYrjJ,KAAK+/I,OAAO7wH,IAIzC,MAFAk0H,IAKFjqI,IAMA5L,EAAMtV,GAHJmrJ,EAAa5B,EAGJ,IAAIlzI,MAAM80I,EAAa5B,EAAS,GAAGzhJ,KAAK,KAAOC,KAAK6H,IAAI5E,MAAMkW,EAAOua,GAErE1zB,KAAK6H,IAAI5E,MAAMkW,EAAOua,GAIrC,OAAOnmB,EAAMxN,KAAK,KAIpBijJ,EAAWzrJ,UAAUiuH,MAAQA,EAG7B1vH,EAAOC,QAAUitJ,G,6BC9NjB,IAAI5+B,EAAkB,EAAQ,KAM1Bq3B,EAAS,CACX,CAAE,OAAmB,EAAQ,MAC7B,CAAE,UAAmB,EAAQ,MAC7B,CAAE,SAAmB,EAAQ,MAC7B,CAAE,YAAmB,EAAQ,MAC7B,CAAE,gBAAmB,EAAQ,KAAgC3Y,UAC7D,CAAE,WAAmB,EAAQ,KAA2BA,UACxD,CAAE,OAAmB,EAAQ,MAC7B,CAAE,QAAmB,EAAQ,MAC7B,CAAE,WAAmB,EAAQ,MAC7B,CAAE,cAAmB,EAAQ,MAC7B,CAAE,SAAmB,EAAQ,OAG3BwgB,EAAU,CACZ,CAAE,gBAAmB,EAAQ,MAC7B,CAAE,gBAAmB,EAAQ,KAAgC7gB,aAC7D,CAAE,WAAmB,EAAQ,KAA2BA,aACxD,CAAE,gBAAmB,EAAQ,OAO/B,SAASyS,IACP,IAAIj9I,EASJ,IAFA+H,KAAKs2I,MAAQ,IAAIlyB,EAEZnsH,EAAI,EAAGA,EAAIwjJ,EAAOtjJ,OAAQF,IAC7B+H,KAAKs2I,MAAM/nI,KAAKktI,EAAOxjJ,GAAG,GAAIwjJ,EAAOxjJ,GAAG,IAW1C,IAFA+H,KAAKw2I,OAAS,IAAIpyB,EAEbnsH,EAAI,EAAGA,EAAIqrJ,EAAQnrJ,OAAQF,IAC9B+H,KAAKw2I,OAAOjoI,KAAK+0I,EAAQrrJ,GAAG,GAAIqrJ,EAAQrrJ,GAAG,IAQ/Ci9I,EAAa39I,UAAU4iJ,UAAY,SAAUn2I,GAC3C,IAAIo1D,EAAInhE,EAAGihB,EAAMlV,EAAMkV,IACnBsgD,EAAQx5D,KAAKs2I,MAAMhxB,SAAS,IAC5Bz3G,EAAM2rD,EAAMrhE,OACZumJ,EAAa16I,EAAMosI,GAAGp0I,QAAQ0iJ,WAC9B14I,EAAQhC,EAAMgC,MAGlB,QAA0B,IAAfA,EAAMkT,GAAjB,CAKA,GAAIlV,EAAMu9D,MAAQm9E,EAChB,IAAKzmJ,EAAI,EAAGA,EAAI4V,IAKd7J,EAAMu9D,QACNnI,EAAKI,EAAMvhE,GAAG+L,GAAO,GACrBA,EAAMu9D,SAEFnI,GATenhE,UAuBrB+L,EAAMkV,IAAMlV,EAAMi2I,OAGf7gF,GAAMp1D,EAAMkV,MACjBlT,EAAMkT,GAAOlV,EAAMkV,SAhCjBlV,EAAMkV,IAAMlT,EAAMkT,IAsCtBg8H,EAAa39I,UAAUurI,SAAW,SAAU9+H,GAO1C,IANA,IAAIo1D,EAAInhE,EACJuhE,EAAQx5D,KAAKs2I,MAAMhxB,SAAS,IAC5Bz3G,EAAM2rD,EAAMrhE,OACZ6pD,EAAMh+C,EAAMi2I,OACZyE,EAAa16I,EAAMosI,GAAGp0I,QAAQ0iJ,WAE3B16I,EAAMkV,IAAM8oC,GAAK,CAQtB,GAAIh+C,EAAMu9D,MAAQm9E,EAChB,IAAKzmJ,EAAI,EAAGA,EAAI4V,KACdurD,EAAKI,EAAMvhE,GAAG+L,GAAO,IADF/L,KAMvB,GAAImhE,GACF,GAAIp1D,EAAMkV,KAAO8oC,EAAO,WAI1Bh+C,EAAMs5D,SAAWt5D,EAAM6D,IAAI7D,EAAMkV,OAG/BlV,EAAMs5D,SACRt5D,EAAMu/I,eAUVrO,EAAa39I,UAAUgkB,MAAQ,SAAUjhB,EAAK81I,EAAI1hI,EAAKqwI,GACrD,IAAI9mJ,EAAGuhE,EAAO3rD,EACV7J,EAAQ,IAAIhE,KAAK02I,MAAMp8I,EAAK81I,EAAI1hI,EAAKqwI,GAOzC,IALA/+I,KAAK8iI,SAAS9+H,GAGd6J,GADA2rD,EAAQx5D,KAAKw2I,OAAOlxB,SAAS,KACjBntH,OAEPF,EAAI,EAAGA,EAAI4V,EAAK5V,IACnBuhE,EAAMvhE,GAAG+L,IAKbkxI,EAAa39I,UAAUm/I,MAAQ,EAAQ,KAGvC5gJ,EAAOC,QAAUm/I,G,6BCnKjB,SAASsO,EAAiB56H,GACxB,OAAQA,GACN,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,GACL,KAAK,IACL,KAAK,IACL,KAAK,IACH,OAAO,EACT,QACE,OAAO,GAIb9yB,EAAOC,QAAU,SAAciO,EAAOgnE,GAGpC,IAFA,IAAI9xD,EAAMlV,EAAMkV,IAETA,EAAMlV,EAAMi2I,SAAWuJ,EAAiBx/I,EAAM6D,IAAI2R,WAAWN,KAClEA,IAGF,OAAIA,IAAQlV,EAAMkV,MAEb8xD,IAAUhnE,EAAMs5D,SAAWt5D,EAAM6D,IAAI5E,MAAMe,EAAMkV,IAAKA,IAE3DlV,EAAMkV,IAAMA,GAEL,K,6BCrDT,IAAIukC,EAAU,EAAQ,KAAmBA,QAGzC3nD,EAAOC,QAAU,SAAiBiO,EAAOgnE,GACvC,IAAIy4E,EAAMz8H,EAAK9N,EAAMlV,EAAMkV,IAE3B,GAAkC,KAA9BlV,EAAM6D,IAAI2R,WAAWN,GAAyB,OAAO,EA2BzD,IAzBAuqI,EAAOz/I,EAAMs5D,QAAQnlE,OAAS,EAC9B6uB,EAAMhjB,EAAMi2I,OAMPjvE,IACCy4E,GAAQ,GAAwC,KAAnCz/I,EAAMs5D,QAAQ9jD,WAAWiqI,GACpCA,GAAQ,GAA4C,KAAvCz/I,EAAMs5D,QAAQ9jD,WAAWiqI,EAAO,IAC/Cz/I,EAAMs5D,QAAUt5D,EAAMs5D,QAAQ/iE,QAAQ,MAAO,IAC7CyJ,EAAMuK,KAAK,YAAa,KAAM,KAE9BvK,EAAMs5D,QAAUt5D,EAAMs5D,QAAQr6D,MAAM,GAAI,GACxCe,EAAMuK,KAAK,YAAa,KAAM,IAIhCvK,EAAMuK,KAAK,YAAa,KAAM,IAIlC2K,IAGOA,EAAM8N,GAAOy2B,EAAQz5C,EAAM6D,IAAI2R,WAAWN,KAASA,IAG1D,OADAlV,EAAMkV,IAAMA,GACL,I,6BChCT,IAJA,IAAIukC,EAAU,EAAQ,KAAmBA,QAErCimG,EAAU,GAELzrJ,EAAI,EAAGA,EAAI,IAAKA,IAAOyrJ,EAAQn1I,KAAK,GAE7C,qCACG5O,MAAM,IAAI7H,SAAQ,SAAU8wB,GAAM86H,EAAQ96H,EAAGpP,WAAW,IAAM,KAGjE1jB,EAAOC,QAAU,SAAgBiO,EAAOgnE,GACtC,IAAIpiD,EAAI1P,EAAMlV,EAAMkV,IAAK8N,EAAMhjB,EAAMi2I,OAErC,GAAkC,KAA9Bj2I,EAAM6D,IAAI2R,WAAWN,GAAwB,OAAO,EAIxD,KAFAA,EAEU8N,EAAK,CAGb,IAFA4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,IAEjB,KAAuB,IAAhBwqI,EAAQ96H,GAGtB,OAFKoiD,IAAUhnE,EAAMs5D,SAAWt5D,EAAM6D,IAAIqR,IAC1ClV,EAAMkV,KAAO,GACN,EAGT,GAAW,KAAP0P,EAAa,CAOf,IANKoiD,GACHhnE,EAAMuK,KAAK,YAAa,KAAM,GAGhC2K,IAEOA,EAAM8N,IACX4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,GACrBukC,EAAQ70B,KACb1P,IAIF,OADAlV,EAAMkV,IAAMA,GACL,GAMX,OAFK8xD,IAAUhnE,EAAMs5D,SAAW,MAChCt5D,EAAMkV,OACC,I,6BC9CTpjB,EAAOC,QAAU,SAAkBiO,EAAOgnE,GACxC,IAAIhe,EAAOhmC,EAAKjZ,EAAQ4zG,EAAYC,EAAUl8G,EAC1CwT,EAAMlV,EAAMkV,IAGhB,GAAW,KAFFlV,EAAM6D,IAAI2R,WAAWN,GAEJ,OAAO,EAMjC,IAJA8zC,EAAQ9zC,EACRA,IACA8N,EAAMhjB,EAAMi2I,OAEL/gI,EAAM8N,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,IAAwBA,IAMjE,IAJAnL,EAAS/J,EAAM6D,IAAI5E,MAAM+pD,EAAO9zC,GAEhCyoG,EAAaC,EAAW1oG,GAEoC,KAApDyoG,EAAa39G,EAAM6D,IAAIjJ,QAAQ,IAAKgjH,KAAmB,CAG7D,IAFAA,EAAWD,EAAa,EAEjBC,EAAW56F,GAA0C,KAAnChjB,EAAM6D,IAAI2R,WAAWooG,IAA6BA,IAE3E,GAAIA,EAAWD,IAAe5zG,EAAO5V,OASnC,OARK6yE,KACHtlE,EAAgB1B,EAAMuK,KAAK,cAAe,OAAQ,IAC5Cm3G,OAAU33G,EAChBrI,EAAMoB,QAAU9C,EAAM6D,IAAI5E,MAAMiW,EAAKyoG,GAClCpnH,QAAQ,MAAO,KACfA,QAAQ,WAAY,OAEzByJ,EAAMkV,IAAM0oG,GACL,EAMX,OAFK52C,IAAUhnE,EAAMs5D,SAAWvvD,GAChC/J,EAAMkV,KAAOnL,EAAO5V,QACb,I,6BCrCT,IAAI2lD,EAAuB,EAAQ,KAAmBA,mBAClDL,EAAuB,EAAQ,KAAmBA,QAGtD3nD,EAAOC,QAAU,SAAciO,EAAOgnE,GACpC,IAAIxoB,EACAzjC,EACA2jI,EACA1I,EACA2J,EACAzqI,EACA2O,EACAu3B,EACA5wC,EAEAghB,EAAO,GACP0qH,EAASl2I,EAAMkV,IACf8N,EAAMhjB,EAAMi2I,OACZjtF,EAAQhpD,EAAMkV,IACd0qI,GAAiB,EAErB,GAAwC,KAApC5/I,EAAM6D,IAAI2R,WAAWxV,EAAMkV,KAAwB,OAAO,EAM9D,GAJAyqI,EAAa3/I,EAAMkV,IAAM,GACzB8gI,EAAWh2I,EAAMosI,GAAG0E,QAAQ6E,eAAe31I,EAAOA,EAAMkV,KAAK,IAG9C,EAAK,OAAO,EAG3B,IADAA,EAAM8gI,EAAW,GACPhzH,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,GAAsB,CAW1D,IALA0qI,GAAiB,EAIjB1qI,IACOA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBukC,EAAQ1+B,IAAkB,KAATA,GAFN7F,KAIlB,GAAIA,GAAO8N,EAAO,OAAO,EAkBzB,IAdAgmC,EAAQ9zC,GACR2O,EAAM7jB,EAAMosI,GAAG0E,QAAQ8E,qBAAqB51I,EAAM6D,IAAKqR,EAAKlV,EAAMi2I,SAC1D7gF,KACN5pC,EAAOxrB,EAAMosI,GAAGuF,cAAc9tH,EAAIvtB,KAC9B0J,EAAMosI,GAAGqF,aAAajmH,GACxBtW,EAAM2O,EAAI3O,IAEVsW,EAAO,IAMXw9B,EAAQ9zC,EACDA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBukC,EAAQ1+B,IAAkB,KAATA,GAFN7F,KAQlB,GADA2O,EAAM7jB,EAAMosI,GAAG0E,QAAQ+E,eAAe71I,EAAM6D,IAAKqR,EAAKlV,EAAMi2I,QACxD/gI,EAAM8N,GAAOgmC,IAAU9zC,GAAO2O,EAAIuxC,GAMpC,IALA5qD,EAAQqZ,EAAIvtB,IACZ4e,EAAM2O,EAAI3O,IAIHA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBukC,EAAQ1+B,IAAkB,KAATA,GAFN7F,UAKlB1K,EAAQ,IAGN0K,GAAO8N,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,MAErC0qI,GAAiB,GAEnB1qI,IAGF,GAAI0qI,EAAgB,CAIlB,QAAoC,IAAzB5/I,EAAM0K,IAAIi0I,WAA8B,OAAO,EAmB1D,GAjBIzpI,EAAM8N,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,IACpC8zC,EAAQ9zC,EAAM,GACdA,EAAMlV,EAAMosI,GAAG0E,QAAQ6E,eAAe31I,EAAOkV,KAClC,EACTwpI,EAAQ1+I,EAAM6D,IAAI5E,MAAM+pD,EAAO9zC,KAE/BA,EAAM8gI,EAAW,GAGnB9gI,EAAM8gI,EAAW,EAKd0I,IAASA,EAAQ1+I,EAAM6D,IAAI5E,MAAM0gJ,EAAY3J,MAElD56F,EAAMp7C,EAAM0K,IAAIi0I,WAAW7kG,EAAmB4kG,KAG5C,OADA1+I,EAAMkV,IAAMghI,GACL,EAET1qH,EAAO4vB,EAAI5vB,KACXhhB,EAAQ4wC,EAAI5wC,MAwBd,OAjBKw8D,IACHhnE,EAAMkV,IAAMyqI,EACZ3/I,EAAMi2I,OAASD,EAEAh2I,EAAMuK,KAAK,YAAa,IAAK,GACtCi0C,MAASA,EAAQ,CAAE,CAAE,OAAQhzB,IAC/BhhB,GACFg0C,EAAMj0C,KAAK,CAAE,QAASC,IAGxBxK,EAAMosI,GAAG35E,OAAOqsE,SAAS9+H,GAEVA,EAAMuK,KAAK,aAAc,KAAM,IAGhDvK,EAAMkV,IAAMA,EACZlV,EAAMi2I,OAASjzH,GACR,I,6BChJT,IAAI82B,EAAuB,EAAQ,KAAmBA,mBAClDL,EAAuB,EAAQ,KAAmBA,QAGtD3nD,EAAOC,QAAU,SAAeiO,EAAOgnE,GACrC,IAAIxoB,EACAzjC,EACAjY,EACA47I,EACA1I,EACA2J,EACAzqI,EACAkmC,EACAv3B,EACArZ,EACA9I,EACA03B,EACA4vB,EACAx9B,EAAO,GACP0qH,EAASl2I,EAAMkV,IACf8N,EAAMhjB,EAAMi2I,OAEhB,GAAwC,KAApCj2I,EAAM6D,IAAI2R,WAAWxV,EAAMkV,KAAwB,OAAO,EAC9D,GAA4C,KAAxClV,EAAM6D,IAAI2R,WAAWxV,EAAMkV,IAAM,GAAsB,OAAO,EAMlE,GAJAyqI,EAAa3/I,EAAMkV,IAAM,GACzB8gI,EAAWh2I,EAAMosI,GAAG0E,QAAQ6E,eAAe31I,EAAOA,EAAMkV,IAAM,GAAG,IAGlD,EAAK,OAAO,EAG3B,IADAA,EAAM8gI,EAAW,GACPhzH,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,GAAsB,CAQ1D,IADAA,IACOA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBukC,EAAQ1+B,IAAkB,KAATA,GAFN7F,KAIlB,GAAIA,GAAO8N,EAAO,OAAO,EAkBzB,IAdAgmC,EAAQ9zC,GACR2O,EAAM7jB,EAAMosI,GAAG0E,QAAQ8E,qBAAqB51I,EAAM6D,IAAKqR,EAAKlV,EAAMi2I,SAC1D7gF,KACN5pC,EAAOxrB,EAAMosI,GAAGuF,cAAc9tH,EAAIvtB,KAC9B0J,EAAMosI,GAAGqF,aAAajmH,GACxBtW,EAAM2O,EAAI3O,IAEVsW,EAAO,IAMXw9B,EAAQ9zC,EACDA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBukC,EAAQ1+B,IAAkB,KAATA,GAFN7F,KAQlB,GADA2O,EAAM7jB,EAAMosI,GAAG0E,QAAQ+E,eAAe71I,EAAM6D,IAAKqR,EAAKlV,EAAMi2I,QACxD/gI,EAAM8N,GAAOgmC,IAAU9zC,GAAO2O,EAAIuxC,GAMpC,IALA5qD,EAAQqZ,EAAIvtB,IACZ4e,EAAM2O,EAAI3O,IAIHA,EAAM8N,IACXjI,EAAO/a,EAAM6D,IAAI2R,WAAWN,GACvBukC,EAAQ1+B,IAAkB,KAATA,GAFN7F,UAKlB1K,EAAQ,GAGV,GAAI0K,GAAO8N,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,GAErC,OADAlV,EAAMkV,IAAMghI,GACL,EAEThhI,QACK,CAIL,QAAoC,IAAzBlV,EAAM0K,IAAIi0I,WAA8B,OAAO,EAmB1D,GAjBIzpI,EAAM8N,GAAqC,KAA9BhjB,EAAM6D,IAAI2R,WAAWN,IACpC8zC,EAAQ9zC,EAAM,GACdA,EAAMlV,EAAMosI,GAAG0E,QAAQ6E,eAAe31I,EAAOkV,KAClC,EACTwpI,EAAQ1+I,EAAM6D,IAAI5E,MAAM+pD,EAAO9zC,KAE/BA,EAAM8gI,EAAW,GAGnB9gI,EAAM8gI,EAAW,EAKd0I,IAASA,EAAQ1+I,EAAM6D,IAAI5E,MAAM0gJ,EAAY3J,MAElD56F,EAAMp7C,EAAM0K,IAAIi0I,WAAW7kG,EAAmB4kG,KAG5C,OADA1+I,EAAMkV,IAAMghI,GACL,EAET1qH,EAAO4vB,EAAI5vB,KACXhhB,EAAQ4wC,EAAI5wC,MA6Bd,OAtBKw8D,IACHlkE,EAAU9C,EAAM6D,IAAI5E,MAAM0gJ,EAAY3J,GAEtCh2I,EAAMosI,GAAG35E,OAAOl7C,MACdzU,EACA9C,EAAMosI,GACNpsI,EAAM0K,IACN0uB,EAAS,KAGX13B,EAAiB1B,EAAMuK,KAAK,QAAS,MAAO,IACtCi0C,MAAWA,EAAQ,CAAE,CAAE,MAAOhzB,GAAQ,CAAE,MAAO,KACrD9pB,EAAMwgF,SAAW9oD,EACjB13B,EAAMoB,QAAWA,EAEb0H,GACFg0C,EAAMj0C,KAAK,CAAE,QAASC,KAI1BxK,EAAMkV,IAAMA,EACZlV,EAAMi2I,OAASjzH,GACR,I,6BChJT,IAAI68H,EAAc,2IACdC,EAAc,uDAGlBhuJ,EAAOC,QAAU,SAAkBiO,EAAOgnE,GACxC,IAAIg6D,EAAM+e,EAAWC,EAAYnmJ,EAAKq+I,EAASx2I,EAC3CwT,EAAMlV,EAAMkV,IAEhB,OAAkC,KAA9BlV,EAAM6D,IAAI2R,WAAWN,QAEzB8rH,EAAOhhI,EAAM6D,IAAI5E,MAAMiW,IAEdta,QAAQ,KAAO,KAEpBklJ,EAAY34I,KAAK65H,IAGnBnnI,GAFAkmJ,EAAY/e,EAAK94H,MAAM43I,IAEP,GAAG7gJ,MAAM,GAAI,GAC7Bi5I,EAAUl4I,EAAMosI,GAAGuF,cAAc93I,KAC5BmG,EAAMosI,GAAGqF,aAAayG,KAEtBlxE,KACHtlE,EAAgB1B,EAAMuK,KAAK,YAAa,IAAK,IACvCi0C,MAAU,CAAE,CAAE,OAAQ05F,IAC5Bx2I,EAAMggH,OAAU,WAChBhgH,EAAM6/E,KAAU,QAEhB7/E,EAAgB1B,EAAMuK,KAAK,OAAQ,GAAI,IACjCzH,QAAU9C,EAAMosI,GAAGyF,kBAAkBh4I,IAE3C6H,EAAgB1B,EAAMuK,KAAK,aAAc,KAAM,IACzCm3G,OAAU,WAChBhgH,EAAM6/E,KAAU,QAGlBvhF,EAAMkV,KAAO6qI,EAAU,GAAG5rJ,QACnB,MAGL0rJ,EAAS14I,KAAK65H,KAGhBnnI,GAFAmmJ,EAAahf,EAAK94H,MAAM23I,IAEP,GAAG5gJ,MAAM,GAAI,GAC9Bi5I,EAAUl4I,EAAMosI,GAAGuF,cAAc,UAAY93I,KACxCmG,EAAMosI,GAAGqF,aAAayG,KAEtBlxE,KACHtlE,EAAgB1B,EAAMuK,KAAK,YAAa,IAAK,IACvCi0C,MAAU,CAAE,CAAE,OAAQ05F,IAC5Bx2I,EAAMggH,OAAU,WAChBhgH,EAAM6/E,KAAU,QAEhB7/E,EAAgB1B,EAAMuK,KAAK,OAAQ,GAAI,IACjCzH,QAAU9C,EAAMosI,GAAGyF,kBAAkBh4I,IAE3C6H,EAAgB1B,EAAMuK,KAAK,aAAc,KAAM,IACzCm3G,OAAU,WAChBhgH,EAAM6/E,KAAU,QAGlBvhF,EAAMkV,KAAO8qI,EAAW,GAAG7rJ,QACpB,Q,6BC9DX,IAAIoqI,EAAc,EAAQ,KAAqBA,YAU/CzsI,EAAOC,QAAU,SAAqBiO,EAAOgnE,GAC3C,IAAIpiD,EAAI1c,EAAO8a,EACX9N,EAAMlV,EAAMkV,IAEhB,QAAKlV,EAAMosI,GAAGp0I,QAAQyK,OAGtBugB,EAAMhjB,EAAMi2I,SACsB,KAA9Bj2I,EAAM6D,IAAI2R,WAAWN,IACrBA,EAAM,GAAK8N,OAMJ,MADX4B,EAAK5kB,EAAM6D,IAAI2R,WAAWN,EAAM,KAErB,KAAP0P,GACO,KAAPA,IAxBN,SAAkBA,GAEhB,IAAIq7H,EAAU,GAALr7H,EACT,OAAQq7H,GAAM,IAAiBA,GAAM,IAsBhCC,CAASt7H,SAId1c,EAAQlI,EAAM6D,IAAI5E,MAAMiW,GAAKhN,MAAMq2H,MAG9Bv3D,IACahnE,EAAMuK,KAAK,cAAe,GAAI,GACxCzH,QAAU9C,EAAM6D,IAAI5E,MAAMiW,EAAKA,EAAMhN,EAAM,GAAG/T,SAEtD6L,EAAMkV,KAAOhN,EAAM,GAAG/T,QACf,Q,6BCzCT,IAAImkD,EAAoB,EAAQ,KAC5BlmD,EAAoB,EAAQ,KAAmBA,IAC/C0lD,EAAoB,EAAQ,KAAmBA,kBAC/CC,EAAoB,EAAQ,KAAmBA,cAG/CooG,EAAa,uCACbC,EAAa,4BAGjBtuJ,EAAOC,QAAU,SAAgBiO,EAAOgnE,GACtC,IAAQjsD,EAAM7S,EAAOgN,EAAMlV,EAAMkV,IAAK8N,EAAMhjB,EAAMi2I,OAElD,GAAkC,KAA9Bj2I,EAAM6D,IAAI2R,WAAWN,GAAwB,OAAO,EAExD,GAAIA,EAAM,EAAI8N,EAGZ,GAAW,KAFNhjB,EAAM6D,IAAI2R,WAAWN,EAAM,IAI9B,GADAhN,EAAQlI,EAAM6D,IAAI5E,MAAMiW,GAAKhN,MAAMi4I,GAOjC,OALKn5E,IACHjsD,EAAqC,MAA9B7S,EAAM,GAAG,GAAGiG,cAAwB4rB,SAAS7xB,EAAM,GAAGjJ,MAAM,GAAI,IAAM86B,SAAS7xB,EAAM,GAAI,IAChGlI,EAAMs5D,SAAWxhB,EAAkB/8B,GAAQg9B,EAAch9B,GAAQg9B,EAAc,QAEjF/3C,EAAMkV,KAAOhN,EAAM,GAAG/T,QACf,OAIT,IADA+T,EAAQlI,EAAM6D,IAAI5E,MAAMiW,GAAKhN,MAAMk4I,KAE7BhuJ,EAAIkmD,EAAUpwC,EAAM,IAGtB,OAFK8+D,IAAUhnE,EAAMs5D,SAAWhhB,EAASpwC,EAAM,KAC/ClI,EAAMkV,KAAOhN,EAAM,GAAG/T,QACf,EAQf,OAFK6yE,IAAUhnE,EAAMs5D,SAAW,KAChCt5D,EAAMkV,OACC,I,6BCzCT,SAASmrI,EAAkBrgJ,EAAO0+H,GAChC,IAAI4hB,EAAWC,EAAWC,EAAQC,EAAQC,EAAcC,EACpDC,EAAYC,EACZC,EAAgB,GAChB99H,EAAM07G,EAAWvqI,OAErB,IAAKmsJ,EAAY,EAAGA,EAAYt9H,EAAKs9H,IASnC,IARAE,EAAS9hB,EAAW4hB,IAMbnsJ,OAASqsJ,EAAOrsJ,QAAU,EAE5BqsJ,EAAOl9I,MAAZ,CAaA,IATKw9I,EAAczsJ,eAAemsJ,EAAOz2I,UACvC+2I,EAAcN,EAAOz2I,QAAU,EAAG,GAAI,GAAI,IAG5C22I,EAAeI,EAAcN,EAAOz2I,QAAQy2I,EAAOrsJ,OAAS,GAC5DwsJ,GAAmB,EAEnBJ,EAAYD,EAAYE,EAAOvhB,KAAO,EAE/BshB,EAAYG,EAAcH,GAAaE,EAAOxhB,KAAO,EAG1D,IAFAwhB,EAAS/hB,EAAW6hB,IAETx2I,SAAWy2I,EAAOz2I,UAEJ,IAArB42I,IAAwBA,EAAkBJ,GAE1CE,EAAO18I,MACP08I,EAAOziG,IAAM,GACbyiG,EAAOljF,QAAUijF,EAAOjjF,QAE1BqjF,GAAa,GASTH,EAAOn9I,OAASk9I,EAAOz8I,QACpB08I,EAAOtsJ,OAASqsJ,EAAOrsJ,QAAU,GAAM,IACtCssJ,EAAOtsJ,OAAS,GAAM,GAAKqsJ,EAAOrsJ,OAAS,GAAM,IACnDysJ,GAAa,KAKdA,IAAY,CAKfC,EAAWN,EAAY,IAAM7hB,EAAW6hB,EAAY,GAAGx8I,KACrD26H,EAAW6hB,EAAY,GAAGthB,KAAO,EACjC,EAEFuhB,EAAOvhB,KAAQqhB,EAAYC,EAAYM,EACvCL,EAAOz8I,MAAQ,EACf08I,EAAOziG,IAAQsiG,EACfG,EAAOxhB,KAAQ4hB,EACfJ,EAAOn9I,OAAQ,EACfq9I,GAAmB,EACnB,OAKmB,IAArBA,IAQFG,EAAcN,EAAOz2I,SAASy2I,EAAOrsJ,QAAU,GAAK,GAAKwsJ,IAM/D7uJ,EAAOC,QAAU,SAAoBiO,GACnC,IAAIo/H,EACAC,EAAcr/H,EAAMq/H,YACpBr8G,EAAMhjB,EAAMq/H,YAAYlrI,OAI5B,IAFAksJ,EAAkBrgJ,EAAOA,EAAM0+H,YAE1BU,EAAO,EAAGA,EAAOp8G,EAAKo8G,IACrBC,EAAYD,IAASC,EAAYD,GAAMV,YACzC2hB,EAAkBrgJ,EAAOq/H,EAAYD,GAAMV,c,6BC7FjD5sI,EAAOC,QAAU,SAAuBiO,GACtC,IAAIo/H,EAAM1vG,EACN6tC,EAAQ,EACRnkC,EAASp5B,EAAMo5B,OACfpW,EAAMhjB,EAAMo5B,OAAOjlC,OAEvB,IAAKirI,EAAO1vG,EAAO,EAAG0vG,EAAOp8G,EAAKo8G,IAG5BhmG,EAAOgmG,GAAM3d,QAAU,GAAGlkD,IAC9BnkC,EAAOgmG,GAAM7hE,MAAQA,EACjBnkC,EAAOgmG,GAAM3d,QAAU,GAAGlkD,IAEJ,SAAtBnkC,EAAOgmG,GAAMvkI,MACbukI,EAAO,EAAIp8G,GACe,SAA1BoW,EAAOgmG,EAAO,GAAGvkI,KAGnBu+B,EAAOgmG,EAAO,GAAGt8H,QAAUs2B,EAAOgmG,GAAMt8H,QAAUs2B,EAAOgmG,EAAO,GAAGt8H,SAE/Ds8H,IAAS1vG,IAAQ0J,EAAO1J,GAAQ0J,EAAOgmG,IAE3C1vG,KAIA0vG,IAAS1vG,IACX0J,EAAOjlC,OAASu7B,K,6BCjCpB,IAAI8xF,EAAiB,EAAQ,KACzB9nE,EAAiB,EAAQ,KAAmBA,aAC5CE,EAAiB,EAAQ,KAAmBA,YAC5CD,EAAiB,EAAQ,KAAmBA,eAGhD,SAASonG,EAAYl9I,EAAKuoI,EAAI1hI,EAAKqwI,GACjC/+I,KAAK6H,IAAMA,EACX7H,KAAK0O,IAAMA,EACX1O,KAAKowI,GAAKA,EACVpwI,KAAKo9B,OAAS2hH,EACd/+I,KAAKqjI,YAAc/0H,MAAMywI,EAAU5mJ,QAEnC6H,KAAKkZ,IAAM,EACXlZ,KAAKi6I,OAASj6I,KAAK6H,IAAI1P,OACvB6H,KAAKuhE,MAAQ,EACbvhE,KAAKs9D,QAAU,GACft9D,KAAKglJ,aAAe,EAIpBhlJ,KAAKgG,MAAQ,GAGbhG,KAAK0iI,WAAa,GAGlB1iI,KAAKilJ,iBAAmB,GAM1BF,EAAYxtJ,UAAUgsJ,YAAc,WAClC,IAAI79I,EAAQ,IAAI8/G,EAAM,OAAQ,GAAI,GAKlC,OAJA9/G,EAAMoB,QAAU9G,KAAKs9D,QACrB53D,EAAM67D,MAAQvhE,KAAKglJ,aACnBhlJ,KAAKo9B,OAAO7uB,KAAK7I,GACjB1F,KAAKs9D,QAAU,GACR53D,GAOTq/I,EAAYxtJ,UAAUgX,KAAO,SAAU1P,EAAMia,EAAK2sG,GAC5CzlH,KAAKs9D,SACPt9D,KAAKujJ,cAGP,IAAI79I,EAAQ,IAAI8/G,EAAM3mH,EAAMia,EAAK2sG,GAC7By/B,EAAa,KAqBjB,OAnBIz/B,EAAU,IAEZzlH,KAAKuhE,QACLvhE,KAAK0iI,WAAa1iI,KAAKilJ,iBAAiBvuF,OAG1ChxD,EAAM67D,MAAQvhE,KAAKuhE,MAEfkkD,EAAU,IAEZzlH,KAAKuhE,QACLvhE,KAAKilJ,iBAAiB12I,KAAKvO,KAAK0iI,YAChC1iI,KAAK0iI,WAAa,GAClBwiB,EAAa,CAAExiB,WAAY1iI,KAAK0iI,aAGlC1iI,KAAKglJ,aAAehlJ,KAAKuhE,MACzBvhE,KAAKo9B,OAAO7uB,KAAK7I,GACjB1F,KAAKqjI,YAAY90H,KAAK22I,GACfx/I,GAUTq/I,EAAYxtJ,UAAUyrI,WAAa,SAAUh2E,EAAOm4F,GAClD,IAAiB3H,EAAUC,EAAU9gE,EAAOumD,EAAUC,EAClDya,EAAkBF,EAClBG,EAAkBF,EAFlBzkI,EAAM8zC,EAGNo4F,GAAgB,EAChBC,GAAiB,EACjBr+H,EAAMhnB,KAAKi6I,OACXlsI,EAAS/N,KAAK6H,IAAI2R,WAAWwzC,GAKjC,IAFAwwF,EAAWxwF,EAAQ,EAAIhtD,KAAK6H,IAAI2R,WAAWwzC,EAAQ,GAAK,GAEjD9zC,EAAM8N,GAAOhnB,KAAK6H,IAAI2R,WAAWN,KAASnL,GAAUmL,IAqC3D,OAnCAyjE,EAAQzjE,EAAM8zC,EAGdywF,EAAWvkI,EAAM8N,EAAMhnB,KAAK6H,IAAI2R,WAAWN,GAAO,GAElDwkI,EAAkB//F,EAAe6/F,IAAa5/F,EAAYnjD,OAAOyhD,aAAashG,IAC9EG,EAAkBhgG,EAAe8/F,IAAa7/F,EAAYnjD,OAAOyhD,aAAauhG,IAE9EG,EAAmBlgG,EAAa8/F,IAChCK,EAAmBngG,EAAa+/F,IAG9B2H,GAAgB,EACPzH,IACHC,GAAoBF,IACxB0H,GAAgB,IAIhBxH,EACFyH,GAAiB,EACR3H,IACHG,GAAoBF,IACxB0H,GAAiB,IAIhBF,GAIHjiB,EAAYkiB,EACZjiB,EAAYkiB,IAJZniB,EAAYkiB,KAAoBC,GAAkB3H,GAClDva,EAAYkiB,KAAoBD,GAAkBzH,IAM7C,CACLza,SAAWA,EACXC,UAAWA,EACXhrI,OAAWwkF,IAMfooE,EAAYxtJ,UAAUiuH,MAAQA,EAG9B1vH,EAAOC,QAAUgvJ,G,6BC7IjB,SAAS/mJ,EAAOjG,GACd,IAAI0Y,EAAUnC,MAAM/W,UAAU0L,MAAMvL,KAAKqC,UAAW,GAUpD,OARA0W,EAAQ3Y,SAAQ,SAAUmE,GACnBA,GAEL3E,OAAOoR,KAAKzM,GAAQnE,SAAQ,SAAUM,GACpCL,EAAIK,GAAO6D,EAAO7D,SAIfL,EAGT,SAASilD,EAAOjlD,GAAO,OAAOT,OAAOC,UAAUF,SAASK,KAAKK,GAI7D,SAASF,EAAWE,GAAO,MAAuB,sBAAhBilD,EAAOjlD,GAGzC,SAAS8lD,EAASvjD,GAAO,OAAOA,EAAIC,QAAQ,uBAAwB,QAKpE,IAAImyE,EAAiB,CACnB44E,WAAW,EACXC,YAAY,EACZC,SAAS,GAWX,IAAIC,EAAiB,CACnB,QAAS,CACPC,SAAU,SAAUtnJ,EAAM8a,EAAKhiB,GAC7B,IAAI8tI,EAAO5mI,EAAK6E,MAAMiW,GAQtB,OANKhiB,EAAKiV,GAAGw5I,OAEXzuJ,EAAKiV,GAAGw5I,KAAQ,IAAIl6I,OAClB,UAAYvU,EAAKiV,GAAGy5I,SAAW1uJ,EAAKiV,GAAG05I,qBAAuB3uJ,EAAKiV,GAAG25I,SAAU,MAGhF5uJ,EAAKiV,GAAGw5I,KAAKx6I,KAAK65H,GACbA,EAAK94H,MAAMhV,EAAKiV,GAAGw5I,MAAM,GAAGxtJ,OAE9B,IAGX,SAAW,QACX,OAAW,QACX,KAAW,CACTutJ,SAAU,SAAUtnJ,EAAM8a,EAAKhiB,GAC7B,IAAI8tI,EAAO5mI,EAAK6E,MAAMiW,GAkBtB,OAhBKhiB,EAAKiV,GAAG45I,UAEX7uJ,EAAKiV,GAAG45I,QAAW,IAAIt6I,OACrB,IACAvU,EAAKiV,GAAGy5I,SAGR,sBAAwB1uJ,EAAKiV,GAAG65I,WAAa,SAAW9uJ,EAAKiV,GAAG85I,gBAAkB,IAClF/uJ,EAAKiV,GAAG+5I,SACRhvJ,EAAKiV,GAAGg6I,oBACRjvJ,EAAKiV,GAAG25I,SAER,MAIA5uJ,EAAKiV,GAAG45I,QAAQ56I,KAAK65H,GAEnB9rH,GAAO,GAAuB,MAAlB9a,EAAK8a,EAAM,IACvBA,GAAO,GAAuB,MAAlB9a,EAAK8a,EAAM,GADqB,EAEzC8rH,EAAK94H,MAAMhV,EAAKiV,GAAG45I,SAAS,GAAG5tJ,OAEjC,IAGX,UAAW,CACTutJ,SAAU,SAAUtnJ,EAAM8a,EAAKhiB,GAC7B,IAAI8tI,EAAO5mI,EAAK6E,MAAMiW,GAOtB,OALKhiB,EAAKiV,GAAGi6I,SACXlvJ,EAAKiV,GAAGi6I,OAAU,IAAI36I,OACpB,IAAMvU,EAAKiV,GAAGk6I,eAAiB,IAAMnvJ,EAAKiV,GAAGm6I,gBAAiB,MAG9DpvJ,EAAKiV,GAAGi6I,OAAOj7I,KAAK65H,GACfA,EAAK94H,MAAMhV,EAAKiV,GAAGi6I,QAAQ,GAAGjuJ,OAEhC,KAWTouJ,EAAe,8EAA8E5mJ,MAAM,KA8BvG,SAASs1D,EAAQ/9D,GAGf,IAAIiV,EAAKjV,EAAKiV,GAAK,EAAQ,IAAR,CAAoBjV,EAAKsvJ,UAGxCC,EAAOvvJ,EAAKwvJ,SAASzjJ,QAWzB,SAAS0jJ,EAAMC,GAAO,OAAOA,EAAIrsJ,QAAQ,SAAU4R,EAAG06I,UATtD3vJ,EAAK4vJ,YAEA5vJ,EAAK6vJ,mBACRN,EAAKl4I,KA5Ca,2VA8CpBk4I,EAAKl4I,KAAKpC,EAAG66I,QAEb76I,EAAG06I,SAAWJ,EAAK1mJ,KAAK,KAIxBoM,EAAG86I,YAAmBx7I,OAAOk7I,EAAMx6I,EAAG+6I,iBAAkB,KACxD/6I,EAAGg7I,WAAmB17I,OAAOk7I,EAAMx6I,EAAGi7I,gBAAiB,KACvDj7I,EAAGk7I,iBAAmB57I,OAAOk7I,EAAMx6I,EAAGm7I,sBAAuB,KAC7Dn7I,EAAGo7I,gBAAmB97I,OAAOk7I,EAAMx6I,EAAGq7I,qBAAsB,KAM5D,IAAIpuH,EAAU,GAId,SAASquH,EAAY7wJ,EAAMa,GACzB,MAAM,IAAIuV,MAAM,+BAAiCpW,EAAO,MAAQa,GAHlEP,EAAKwwJ,aAAe,GAMpBpwJ,OAAOoR,KAAKxR,EAAKywJ,aAAa7vJ,SAAQ,SAAUlB,GAC9C,IAAIa,EAAMP,EAAKywJ,YAAY/wJ,GAG3B,GAAY,OAARa,EAAJ,CAEA,IAAIszI,EAAW,CAAE2a,SAAU,KAAM1mJ,KAAM,MAIvC,GAFA9H,EAAKwwJ,aAAa9wJ,GAAQm0I,EAzKkB,oBAAhB/tF,EA2KfvlD,GAiBX,OA3LN,SAAkBM,GAAO,MAAuB,oBAAhBilD,EAAOjlD,GA2K7B6vJ,CAASnwJ,EAAIiuJ,UAEN7tJ,EAAWJ,EAAIiuJ,UACxB3a,EAAS2a,SAAWjuJ,EAAIiuJ,SAExB+B,EAAY7wJ,EAAMa,GAJlBszI,EAAS2a,SAnEjB,SAAyBv5I,GACvB,OAAO,SAAU/N,EAAM8a,GACrB,IAAI8rH,EAAO5mI,EAAK6E,MAAMiW,GAEtB,OAAI/M,EAAGhB,KAAK65H,GACHA,EAAK94H,MAAMC,GAAI,GAAGhU,OAEpB,GA4DiB0vJ,CAAgBpwJ,EAAIiuJ,eAOtC7tJ,EAAWJ,EAAI4d,WACjB01H,EAAS11H,UAAY5d,EAAI4d,UACf5d,EAAI4d,UAGdoyI,EAAY7wJ,EAAMa,GAFlBszI,EAAS11H,UAjER,SAAUnJ,EAAOhV,GACtBA,EAAKme,UAAUnJ,MAxHnB,SAAkBnU,GAAO,MAAuB,oBAAhBilD,EAAOjlD,GAgM/BgB,CAAStB,GAKbgwJ,EAAY7wJ,EAAMa,GAJhB2hC,EAAQ7qB,KAAK3X,OAWjBwiC,EAAQthC,SAAQ,SAAU8gD,GACnB1hD,EAAKwwJ,aAAaxwJ,EAAKywJ,YAAY/uG,MAMxC1hD,EAAKwwJ,aAAa9uG,GAAO8sG,SACvBxuJ,EAAKwwJ,aAAaxwJ,EAAKywJ,YAAY/uG,IAAQ8sG,SAC7CxuJ,EAAKwwJ,aAAa9uG,GAAOvjC,UACvBne,EAAKwwJ,aAAaxwJ,EAAKywJ,YAAY/uG,IAAQvjC,cAM/Cne,EAAKwwJ,aAAa,IAAM,CAAEhC,SAAU,KAAMrwI,UArGnC,SAAUnJ,EAAOhV,GACtBA,EAAKme,UAAUnJ,KAyGjB,IAAI47I,EAAQxwJ,OAAOoR,KAAKxR,EAAKwwJ,cACR7zI,QAAO,SAAUjd,GAEhB,OAAOA,EAAKuB,OAAS,GAAKjB,EAAKwwJ,aAAa9wJ,MAE7CiZ,IAAIguC,GACJ99C,KAAK,KAE1B7I,EAAKiV,GAAG47I,YAAgBt8I,OAAO,oBAA2BU,EAAG67I,SAAW,MAAQF,EAAQ,IAAK,KAC7F5wJ,EAAKiV,GAAG87I,cAAgBx8I,OAAO,oBAA2BU,EAAG67I,SAAW,MAAQF,EAAQ,IAAK,MAE7F5wJ,EAAKiV,GAAGmwI,QAAU7wI,OAChB,IAAMvU,EAAKiV,GAAG47I,YAAY9rJ,OAAS,MAAQ/E,EAAKiV,GAAGo7I,gBAAgBtrJ,OAAS,MAC5E,KAxIJ,SAAwB/E,GACtBA,EAAKgxJ,WAAa,EAClBhxJ,EAAKixJ,eAAmB,GA6IxBC,CAAelxJ,GAQjB,SAASmxJ,EAAMnxJ,EAAMw2B,GACnB,IAAIs/B,EAAQ91D,EAAKgxJ,UACblmG,EAAQ9qD,EAAKoxJ,eACblqJ,EAAQlH,EAAKixJ,eAAellJ,MAAM+pD,EAAOhL,GAO7ChiD,KAAK2pD,OAAYzyD,EAAKqxJ,WAAWp2I,cAMjCnS,KAAKyM,MAAYugD,EAAQt/B,EAMzB1tB,KAAK6L,UAAYm2C,EAAMt0B,EAMvB1tB,KAAKmiB,IAAY/jB,EAMjB4B,KAAK5B,KAAYA,EAMjB4B,KAAKnC,IAAYO,EAGnB,SAASoqJ,EAAYtxJ,EAAMw2B,GACzB,IAAIxhB,EAAQ,IAAIm8I,EAAMnxJ,EAAMw2B,GAI5B,OAFAx2B,EAAKwwJ,aAAax7I,EAAMy9C,QAAQt0C,UAAUnJ,EAAOhV,GAE1CgV,EA0CT,SAASipI,EAAUsT,EAASzsJ,GAC1B,KAAMgE,gBAAgBm1I,GACpB,OAAO,IAAIA,EAAUsT,EAASzsJ,GAvUlC,IAAsBjE,EA0UfiE,IA1UejE,EA2UD0wJ,EA1UZnxJ,OAAOoR,KAAK3Q,GAAO,IAAIo9D,QAAO,SAAUqkD,EAAK9iG,GAClD,OAAO8iG,GAAO9sC,EAAer0E,eAAeqe,MAC3C,KAyUC1a,EAAUysJ,EACVA,EAAU,KAIdzoJ,KAAKwmJ,SAAqBxoJ,EAAO,GAAI0uE,EAAgB1wE,GAGrDgE,KAAKkoJ,WAAsB,EAC3BloJ,KAAKsoJ,gBAAsB,EAC3BtoJ,KAAKuoJ,WAAqB,GAC1BvoJ,KAAKmoJ,eAAqB,GAE1BnoJ,KAAK2nJ,YAAqB3pJ,EAAO,GAAIynJ,EAAgBgD,GACrDzoJ,KAAK0nJ,aAAqB,GAE1B1nJ,KAAK0mJ,SAAqBH,EAC1BvmJ,KAAK+mJ,mBAAqB,EAE1B/mJ,KAAKmM,GAAK,GAEV8oD,EAAQj1D,MAWVm1I,EAAU59I,UAAU+K,IAAM,SAAaqnD,EAAQ++F,GAG7C,OAFA1oJ,KAAK2nJ,YAAYh+F,GAAU++F,EAC3BzzF,EAAQj1D,MACDA,MAUTm1I,EAAU59I,UAAU2L,IAAM,SAAalH,GAErC,OADAgE,KAAKwmJ,SAAWxoJ,EAAOgC,KAAKwmJ,SAAUxqJ,GAC/BgE,MASTm1I,EAAU59I,UAAU4T,KAAO,SAAc/M,GAKvC,GAHA4B,KAAKmoJ,eAAiB/pJ,EACtB4B,KAAKkoJ,WAAkB,GAElB9pJ,EAAKjG,OAAU,OAAO,EAE3B,IAAI6pB,EAAG2mI,EAAIC,EAAI/6I,EAAK6f,EAAOxjB,EAAMiC,EAAI08I,EAGrC,GAAI7oJ,KAAKmM,GAAG47I,YAAY58I,KAAK/M,GAG3B,KAFA+N,EAAKnM,KAAKmM,GAAG87I,eACVp8I,UAAY,EACgB,QAAvBmW,EAAI7V,EAAGnW,KAAKoI,KAElB,GADAyP,EAAM7N,KAAK8oJ,aAAa1qJ,EAAM4jB,EAAE,GAAI7V,EAAGN,WAC9B,CACP7L,KAAKuoJ,WAAiBvmI,EAAE,GACxBhiB,KAAKkoJ,UAAiBlmI,EAAEvV,MAAQuV,EAAE,GAAG7pB,OACrC6H,KAAKsoJ,eAAiBtmI,EAAEvV,MAAQuV,EAAE,GAAG7pB,OAAS0V,EAC9C,MA8CN,OAzCI7N,KAAKwmJ,SAASlB,WAAatlJ,KAAK0nJ,aAAa,WAE/CmB,EAAUzqJ,EAAKqxB,OAAOzvB,KAAKmM,GAAGo7I,mBACf,IAETvnJ,KAAKkoJ,UAAY,GAAKW,EAAU7oJ,KAAKkoJ,YAC0D,QAA5FS,EAAKvqJ,EAAK8N,MAAMlM,KAAKwmJ,SAAShB,QAAUxlJ,KAAKmM,GAAGg7I,WAAannJ,KAAKmM,GAAGk7I,qBAExE35H,EAAQi7H,EAAGl8I,MAAQk8I,EAAG,GAAGxwJ,QAErB6H,KAAKkoJ,UAAY,GAAKx6H,EAAQ1tB,KAAKkoJ,aACrCloJ,KAAKuoJ,WAAiB,GACtBvoJ,KAAKkoJ,UAAiBx6H,EACtB1tB,KAAKsoJ,eAAiBK,EAAGl8I,MAAQk8I,EAAG,GAAGxwJ,SAO7C6H,KAAKwmJ,SAASjB,YAAcvlJ,KAAK0nJ,aAAa,YAEvCtpJ,EAAKQ,QAAQ,MACR,GAGmC,QAA1CgqJ,EAAKxqJ,EAAK8N,MAAMlM,KAAKmM,GAAG86I,gBAE3Bv5H,EAAQk7H,EAAGn8I,MAAQm8I,EAAG,GAAGzwJ,OACzB+R,EAAQ0+I,EAAGn8I,MAAQm8I,EAAG,GAAGzwJ,QAErB6H,KAAKkoJ,UAAY,GAAKx6H,EAAQ1tB,KAAKkoJ,WAClCx6H,IAAU1tB,KAAKkoJ,WAAah+I,EAAOlK,KAAKsoJ,kBAC3CtoJ,KAAKuoJ,WAAiB,UACtBvoJ,KAAKkoJ,UAAiBx6H,EACtB1tB,KAAKsoJ,eAAiBp+I,IAMvBlK,KAAKkoJ,WAAa,GAW3B/S,EAAU59I,UAAU+kJ,QAAU,SAAiBl+I,GAC7C,OAAO4B,KAAKmM,GAAGmwI,QAAQnxI,KAAK/M,IAa9B+2I,EAAU59I,UAAUuxJ,aAAe,SAAsB1qJ,EAAMurD,EAAQzwC,GAErE,OAAKlZ,KAAK0nJ,aAAa/9F,EAAOx3C,eAGvBnS,KAAK0nJ,aAAa/9F,EAAOx3C,eAAeuzI,SAAStnJ,EAAM8a,EAAKlZ,MAF1D,GAsBXm1I,EAAU59I,UAAU2U,MAAQ,SAAe9N,GACzC,IAAIsvB,EAAQ,EAAG7zB,EAAS,GAGpBmG,KAAKkoJ,WAAa,GAAKloJ,KAAKmoJ,iBAAmB/pJ,IACjDvE,EAAO0U,KAAKi6I,EAAYxoJ,KAAM0tB,IAC9BA,EAAQ1tB,KAAKsoJ,gBAOf,IAHA,IAAItjB,EAAOt3G,EAAQtvB,EAAK6E,MAAMyqB,GAAStvB,EAGhC4B,KAAKmL,KAAK65H,IACfnrI,EAAO0U,KAAKi6I,EAAYxoJ,KAAM0tB,IAE9Bs3G,EAAOA,EAAK/hI,MAAMjD,KAAKsoJ,gBACvB56H,GAAS1tB,KAAKsoJ,eAGhB,OAAIzuJ,EAAO1B,OACF0B,EAGF,MAmBTs7I,EAAU59I,UAAUkvJ,KAAO,SAAc72I,EAAMm5I,GAG7C,OAFAn5I,EAAOtB,MAAM9W,QAAQoY,GAAQA,EAAO,CAAEA,GAEjCm5I,GAOL/oJ,KAAK0mJ,SAAW1mJ,KAAK0mJ,SAAS1jJ,OAAO4M,GACJwwB,OACAvsB,QAAO,SAAUsG,EAAIgrG,EAAK10F,GACzB,OAAOtW,IAAOsW,EAAI00F,EAAM,MAEzB5uD,UAEjCtB,EAAQj1D,MACDA,OAdLA,KAAK0mJ,SAAW92I,EAAK3M,QACrBjD,KAAK+mJ,mBAAoB,EACzB9xF,EAAQj1D,MACDA,OAmBXm1I,EAAU59I,UAAU8d,UAAY,SAAmBnJ,GAK5CA,EAAMy9C,SAAUz9C,EAAMrO,IAAM,UAAYqO,EAAMrO,KAE9B,YAAjBqO,EAAMy9C,QAAyB,YAAYx+C,KAAKe,EAAMrO,OACxDqO,EAAMrO,IAAM,UAAYqO,EAAMrO,MAUlCs3I,EAAU59I,UAAUuvJ,UAAY,aAIhChxJ,EAAOC,QAAUo/I,G,6BCxnBjBr/I,EAAOC,QAAU,SAAU4nH,GACzB,IAAIxxG,EAAK,GAGTA,EAAG68I,QAAU,EAAQ,KAAiC/sJ,OACtDkQ,EAAG88I,OAAU,EAAQ,KAAgChtJ,OACrDkQ,EAAG+8I,MAAU,EAAQ,KAA+BjtJ,OACpDkQ,EAAGg9I,MAAU,EAAQ,KAA+BltJ,OAGpDkQ,EAAG67I,SAAW,CAAE77I,EAAG+8I,MAAO/8I,EAAGg9I,MAAOh9I,EAAG88I,QAASlpJ,KAAK,KAGrDoM,EAAGi9I,QAAU,CAAEj9I,EAAG+8I,MAAO/8I,EAAG88I,QAASlpJ,KAAK,KAiK1C,OAxJAoM,EAAGk9I,kBAA0B,eAAmCl9I,EAAG67I,SAAW,IAAM77I,EAAG68I,QAAU,IAMjG78I,EAAGm9I,QAED,yFAGFn9I,EAAGy5I,SAAc,YAAcz5I,EAAGi9I,QAAU,uBAE5Cj9I,EAAG+5I,SAED,kFAEF/5I,EAAGg6I,oBAED,cAAkCh6I,EAAG67I,SAAW,6BAA+B77I,EAAG67I,SAAW,KAE/F77I,EAAG25I,SAED,iBAGc35I,EAAGi9I,QAHjB,0CAIoBj9I,EAAGi9I,QAJvB,wBAKoBj9I,EAAGi9I,QALvB,wBAMoBj9I,EAAGi9I,QANvB,wBAOoBj9I,EAAGi9I,QAPvB,yBAQoBj9I,EAAGi9I,QARvB,qBASiBj9I,EAAGk9I,kBATpB,sCAiBiBl9I,EAAGi9I,QAAU,WACvBzrC,GAAQA,EAAK,OACZ,6BAEA,SAEF,SAAWxxG,EAAGi9I,QAvBpB,YAwBiBj9I,EAAGi9I,QAxBpB,gBAyBiBj9I,EAAGi9I,QAzBpB,iBAgCFj9I,EAAGk6I,eAED,iEAEFl6I,EAAG66I,OAED,wBAKF76I,EAAG85I,gBAGD,MACE95I,EAAG66I,OACH,IACA76I,EAAGk9I,kBAHL,UAMFl9I,EAAG65I,WAED,MACE75I,EAAG66I,OADL,OAGU76I,EAAGk9I,kBAHb,QAKUl9I,EAAGk9I,kBAAoB,QAAUl9I,EAAGk9I,kBAAoB,UAAYl9I,EAAGk9I,kBALjF,KAQFl9I,EAAGo9I,SAED,eAIgBp9I,EAAG65I,WAAa,SAAW75I,EAAG65I,WAJ9C,KAOF75I,EAAGq9I,eAED,MACEr9I,EAAGm9I,QADL,aAGgBn9I,EAAG65I,WAHnB,qBAMF75I,EAAGs9I,qBAED,YAAct9I,EAAG65I,WAAa,oBAEhC75I,EAAGm6I,gBAEDn6I,EAAGo9I,SAAWp9I,EAAGg6I,oBAEnBh6I,EAAGu9I,sBAEDv9I,EAAGq9I,eAAiBr9I,EAAGg6I,oBAEzBh6I,EAAG05I,qBAED15I,EAAGo9I,SAAWp9I,EAAG+5I,SAAW/5I,EAAGg6I,oBAEjCh6I,EAAGw9I,2BAEDx9I,EAAGq9I,eAAiBr9I,EAAG+5I,SAAW/5I,EAAGg6I,oBAEvCh6I,EAAGy9I,iCAEDz9I,EAAGs9I,qBAAuBt9I,EAAG+5I,SAAW/5I,EAAGg6I,oBAO7Ch6I,EAAGq7I,oBAED,sDAAwDr7I,EAAG67I,SAAW,SAExE77I,EAAG+6I,gBAEC,kBAAsC/6I,EAAGi9I,QAAzC,KACMj9I,EAAGk6I,eAAiB,IAAMl6I,EAAGu9I,sBAAwB,IAE/Dv9I,EAAGi7I,eAGC,mCAA0Cj7I,EAAG67I,SAA7C,qBAC0B77I,EAAGw9I,2BAA6Bx9I,EAAG25I,SAAW,IAE5E35I,EAAGm7I,qBAGC,mCAA0Cn7I,EAAG67I,SAA7C,qBAC0B77I,EAAGy9I,iCAAmCz9I,EAAG25I,SAAW,IAE3E35I,I,iBCjLT,uEACE,SAASknF,GAGsCt9F,GAC9CA,EAAQwsD,SACoCzsD,GAC5CA,EAAOysD,SAHT,IAIIsnG,EAA8B,iBAAV3zJ,GAAsBA,EAE7C2zJ,EAAW3zJ,SAAW2zJ,GACtBA,EAAW5yJ,SAAW4yJ,GACtBA,EAAW3yJ,KAUZ,IAAIk+I,EAGJ0U,EAAS,WAaTC,EAAgB,QAChBC,EAAgB,eAChBC,EAAkB,4BAGlBC,EAAS,CACR,SAAY,kDACZ,YAAa,iDACb,gBAAiB,iBAKlB3lJ,EAAQzN,KAAKyN,MACb4lJ,EAAqB1vJ,OAAOyhD,aAa5B,SAASjmD,EAAM4I,GACd,MAAM,IAAI8nD,WAAWujG,EAAOrrJ,IAW7B,SAASgR,EAAI3B,EAAOlW,GAGnB,IAFA,IAAIG,EAAS+V,EAAM/V,OACf0B,EAAS,GACN1B,KACN0B,EAAO1B,GAAUH,EAAGkW,EAAM/V,IAE3B,OAAO0B,EAaR,SAASuwJ,EAAU50I,EAAQxd,GAC1B,IAAIwZ,EAAQgE,EAAO7V,MAAM,KACrB9F,EAAS,GAWb,OAVI2X,EAAMrZ,OAAS,IAGlB0B,EAAS2X,EAAM,GAAK,IACpBgE,EAAShE,EAAM,IAMT3X,EADOgW,GAFd2F,EAASA,EAAOjb,QAAQ0vJ,EAAiB,MACrBtqJ,MAAM,KACA3H,GAAI+H,KAAK,KAiBpC,SAASsqJ,EAAW70I,GAMnB,IALA,IAGIla,EACAu0D,EAJAz0B,EAAS,GACTkvH,EAAU,EACVnyJ,EAASqd,EAAOrd,OAGbmyJ,EAAUnyJ,IAChBmD,EAAQka,EAAOgE,WAAW8wI,OACb,OAAUhvJ,GAAS,OAAUgvJ,EAAUnyJ,EAG3B,QAAX,OADb03D,EAAQr6C,EAAOgE,WAAW8wI,OAEzBlvH,EAAO7sB,OAAe,KAARjT,IAAkB,KAAe,KAARu0D,GAAiB,QAIxDz0B,EAAO7sB,KAAKjT,GACZgvJ,KAGDlvH,EAAO7sB,KAAKjT,GAGd,OAAO8/B,EAWR,SAASmvH,EAAWr8I,GACnB,OAAO2B,EAAI3B,GAAO,SAAS5S,GAC1B,IAAI8/B,EAAS,GAOb,OANI9/B,EAAQ,QAEX8/B,GAAU+uH,GADV7uJ,GAAS,SAC8B,GAAK,KAAQ,OACpDA,EAAQ,MAAiB,KAARA,GAElB8/B,GAAU+uH,EAAmB7uJ,MAE3ByE,KAAK,IAoCT,SAASyqJ,EAAaC,EAAOC,GAG5B,OAAOD,EAAQ,GAAK,IAAMA,EAAQ,MAAgB,GAARC,IAAc,GAQzD,SAASC,EAAMC,EAAOC,EAAWjyH,GAChC,IAAIliB,EAAI,EAGR,IAFAk0I,EAAQhyH,EAAYr0B,EAAMqmJ,EA1LpB,KA0LoCA,GAAS,EACnDA,GAASrmJ,EAAMqmJ,EAAQC,GACOD,EAAQE,IAA2Bp0I,GAhM3D,GAiMLk0I,EAAQrmJ,EAAMqmJ,EA3KAv6G,IA6Kf,OAAO9rC,EAAMmS,EAAI,GAAsBk0I,GAASA,EAhM1C,KA0MP,SAASxoB,EAAO/5H,GAEf,IAEI0uC,EAIAg0G,EACAn4I,EACAnG,EACAu+I,EACA1iH,EACA5xB,EACA+zI,EACA1qI,EAEAkrI,EArEiBC,EAsDjB9vH,EAAS,GACT+vH,EAAc9iJ,EAAMlQ,OAEpBF,EAAI,EACJ4e,EA7MM,IA8MNyvC,EA/MS,GAoOb,KALAykG,EAAQ1iJ,EAAMm5D,YA7NH,MA8NC,IACXupF,EAAQ,GAGJn4I,EAAI,EAAGA,EAAIm4I,IAASn4I,EAEpBvK,EAAMmR,WAAW5G,IAAM,KAC1B3c,EAAM,aAEPmlC,EAAO7sB,KAAKlG,EAAMmR,WAAW5G,IAM9B,IAAKnG,EAAQs+I,EAAQ,EAAIA,EAAQ,EAAI,EAAGt+I,EAAQ0+I,GAAwC,CAOvF,IAAKH,EAAO/yJ,EAAGqwC,EAAI,EAAG5xB,EA3PjB,GA6PAjK,GAAS0+I,GACZl1J,EAAM,mBAGPw0J,GAxGmBS,EAwGE7iJ,EAAMmR,WAAW/M,MAvGxB,GAAK,GACby+I,EAAY,GAEhBA,EAAY,GAAK,GACbA,EAAY,GAEhBA,EAAY,GAAK,GACbA,EAAY,GAjKd,SAmQiBT,EAAQlmJ,GAAOulJ,EAAS7xJ,GAAKqwC,KACjDryC,EAAM,YAGPgC,GAAKwyJ,EAAQniH,IAGTmiH,GAFJ1qI,EAAIrJ,GAAK4vC,EAvQL,EAuQoB5vC,GAAK4vC,EAtQzB,MAsQ8C5vC,EAAI4vC,IAbH5vC,GA3P/C,GA+QA4xB,EAAI/jC,EAAMulJ,GADdmB,EA9QI,GA8QgBlrI,KAEnB9pB,EAAM,YAGPqyC,GAAK2iH,EAKN3kG,EAAOqkG,EAAM1yJ,EAAI+yJ,EADjBj0G,EAAM3b,EAAOjjC,OAAS,EACc,GAAR6yJ,GAIxBzmJ,EAAMtM,EAAI8+C,GAAO+yG,EAASjzI,GAC7B5gB,EAAM,YAGP4gB,GAAKtS,EAAMtM,EAAI8+C,GACf9+C,GAAK8+C,EAGL3b,EAAO4wB,OAAO/zD,IAAK,EAAG4e,GAIvB,OAAO0zI,EAAWnvH,GAUnB,SAAS3gB,EAAOpS,GACf,IAAIwO,EACA+zI,EACAQ,EACAC,EACA/kG,EACA1zC,EACAoP,EACAspI,EACA50I,EACAqJ,EACAwrI,EAGAJ,EAEAK,EACAP,EACAQ,EANArwH,EAAS,GAoBb,IARA+vH,GAHA9iJ,EAAQgiJ,EAAWhiJ,IAGClQ,OAGpB0e,EAvUU,IAwUV+zI,EAAQ,EACRtkG,EA1Ua,GA6UR1zC,EAAI,EAAGA,EAAIu4I,IAAev4I,GAC9B24I,EAAeljJ,EAAMuK,IACF,KAClBwoB,EAAO7sB,KAAK47I,EAAmBoB,IAejC,IAXAH,EAAiBC,EAAcjwH,EAAOjjC,OAMlCkzJ,GACHjwH,EAAO7sB,KAzVG,KA6VJ68I,EAAiBD,GAAa,CAIpC,IAAKnpI,EAAI8nI,EAAQl3I,EAAI,EAAGA,EAAIu4I,IAAev4I,GAC1C24I,EAAeljJ,EAAMuK,KACDiE,GAAK00I,EAAevpI,IACvCA,EAAIupI,GAcN,IAPIvpI,EAAInL,EAAItS,GAAOulJ,EAASc,IAD5BY,EAAwBJ,EAAiB,KAExCn1J,EAAM,YAGP20J,IAAU5oI,EAAInL,GAAK20I,EACnB30I,EAAImL,EAECpP,EAAI,EAAGA,EAAIu4I,IAAev4I,EAO9B,IANA24I,EAAeljJ,EAAMuK,IAEFiE,KAAO+zI,EAAQd,GACjC7zJ,EAAM,YAGHs1J,GAAgB10I,EAAG,CAEtB,IAAKy0I,EAAIV,EAAOl0I,EAlYb,KAoYE40I,GADJvrI,EAAIrJ,GAAK4vC,EAlYP,EAkYsB5vC,GAAK4vC,EAjY3B,MAiYgD5vC,EAAI4vC,IADT5vC,GAlY3C,GAuYF+0I,EAAUH,EAAIvrI,EACdkrI,EAxYE,GAwYkBlrI,EACpBqb,EAAO7sB,KACN47I,EAAmBK,EAAazqI,EAAI0rI,EAAUR,EAAY,KAE3DK,EAAI/mJ,EAAMknJ,EAAUR,GAGrB7vH,EAAO7sB,KAAK47I,EAAmBK,EAAac,EAAG,KAC/ChlG,EAAOqkG,EAAMC,EAAOY,EAAuBJ,GAAkBC,GAC7DT,EAAQ,IACNQ,IAIFR,IACA/zI,EAGH,OAAOukB,EAAOr7B,KAAK,IA4CpBq1I,EAAW,CAMV,QAAW,QAQX,KAAQ,CACP,OAAUiV,EACV,OAAUE,GAEX,OAAUnoB,EACV,OAAU3nH,EACV,QA/BD,SAAiBpS,GAChB,OAAO+hJ,EAAU/hJ,GAAO,SAASmN,GAChC,OAAOw0I,EAAc7+I,KAAKqK,GACvB,OAASiF,EAAOjF,GAChBA,MA4BJ,UAnDD,SAAmBnN,GAClB,OAAO+hJ,EAAU/hJ,GAAO,SAASmN,GAChC,OAAOu0I,EAAc5+I,KAAKqK,GACvB4sH,EAAO5sH,EAAOvS,MAAM,GAAGkP,eACvBqD,YA4DH,KAFD,aACC,OAAO4/H,GACP,8BAngBF,K,yDCIDt/I,EAAOC,QAAU,CACfiG,QAAS,CACPyK,MAAc,EACdy0I,UAAc,EACdE,QAAc,EACdN,WAAc,YACd3E,SAAc,EAGd+G,aAAc,EAOdmB,OAAQ,OAQRla,UAAW,KAEXua,WAAc,KAGhBrI,WAAY,CAEVJ,KAAM,GACNv1E,MAAO,GACPjK,OAAQ,M,6BChCZ3gE,EAAOC,QAAU,CACfiG,QAAS,CACPyK,MAAc,EACdy0I,UAAc,EACdE,QAAc,EACdN,WAAc,YACd3E,SAAc,EAGd+G,aAAc,EAOdmB,OAAQ,OAQRla,UAAW,KAEXua,WAAc,IAGhBrI,WAAY,CAEVJ,KAAM,CACJz8E,MAAO,CACL,YACA,QACA,WAIJkH,MAAO,CACLlH,MAAO,CACL,cAIJ/C,OAAQ,CACN+C,MAAO,CACL,QAEF+8E,OAAQ,CACN,gBACA,qB,6BCpDRzgJ,EAAOC,QAAU,CACfiG,QAAS,CACPyK,MAAc,EACdy0I,UAAc,EACdE,QAAc,EACdN,WAAc,YACd3E,SAAc,EAGd+G,aAAc,EAOdmB,OAAQ,OAQRla,UAAW,KAEXua,WAAc,IAGhBrI,WAAY,CAEVJ,KAAM,CACJz8E,MAAO,CACL,YACA,QACA,WAIJkH,MAAO,CACLlH,MAAO,CACL,aACA,OACA,QACA,UACA,KACA,aACA,WACA,OACA,YACA,cAIJ/C,OAAQ,CACN+C,MAAO,CACL,WACA,YACA,WACA,SACA,SACA,cACA,QACA,OACA,UACA,QAEF+8E,OAAQ,CACN,gBACA,WACA,qB,cCtER,IAAImV,GAAoB,EACpBC,GAAkB,EAClBC,GAAgB,EAqBpB,SAAS7lC,EAAQrgH,EAAO9O,EAAM0E,GAC7B,IAAImR,EAAQ/G,EAAMkgH,UAAUhvH,GACxB0gE,EAAO,CAAC1gE,EAAM0E,GAEdmR,EAAQ,EACX/G,EAAMmgH,SAASvuD,GAEf5xD,EAAM88C,MAAM/1C,GAAS6qD,EAIvB,SAASu0F,EAAYzuH,EAAQ3wB,GAE5B,IADA,IAAIq/I,EAAc1uH,EAAO3wB,GAAO80D,MAAQ,EAC/BtpE,EAAIwU,EAAQ,EAAGxU,GAAK,EAAGA,IAC/B,GAAImlC,EAAOnlC,GAAGspE,QAAUuqF,EACvB,OAAO7zJ,EAGT,OAAQ,EAGT,SAAS8zJ,EAAW3uH,EAAQ3wB,GAC3B,MA0DgD,WA1DhC2wB,EAAO3wB,GA0DgB5N,MACxC,SAAqB6G,GAAS,MAAsB,mBAAfA,EAAM7G,KA1DnCmtJ,CAAY5uH,EAAO3wB,EAAQ,KA2DnC,SAAoB/G,GAAS,MAAsB,mBAAfA,EAAM7G,KA1DlCotJ,CAAW7uH,EAAO3wB,EAAQ,KA4DlC,SAAgC/G,GAE/B,OAAyC,IAAlCA,EAAMoB,QAAQlI,QAAQ,SAAmD,IAAlC8G,EAAMoB,QAAQlI,QAAQ,SAAmD,IAAlC8G,EAAMoB,QAAQlI,QAAQ,QA7DpGstJ,CAAuB9uH,EAAO3wB,IAGtC,SAAS0/I,EAAQzmJ,EAAO0mJ,GAKvB,GAJA1mJ,EAAMwgF,SAAS34D,QAmBhB,SAAsB7nB,EAAO0mJ,GAC5B,IAAIC,EAAW,IAAID,EAAiB,cAAe,GAAI,GACnDE,EAAeZ,EAAoB,gBAAkB,GACnB,IAAlChmJ,EAAMoB,QAAQlI,QAAQ,QACzBytJ,EAASvlJ,QAAU,yCAA2CwlJ,EAAe,mBACjC,IAAlC5mJ,EAAMoB,QAAQlI,QAAQ,SAAmD,IAAlC8G,EAAMoB,QAAQlI,QAAQ,UACvEytJ,EAASvlJ,QAAU,oDAAsDwlJ,EAAe,oBAEzF,OAAOD,EA3BgBE,CAAa7mJ,EAAO0mJ,IAC3C1mJ,EAAMwgF,SAAS,GAAGp/E,QAAUpB,EAAMwgF,SAAS,GAAGp/E,QAAQ7D,MAAM,GAC5DyC,EAAMoB,QAAUpB,EAAMoB,QAAQ7D,MAAM,GAEhC0oJ,EACH,GAAIC,EAAe,CAClBlmJ,EAAMwgF,SAASxvB,MAGf,IAAI/tD,EAAK,aAAe7R,KAAKwN,KAAqB,IAAhBxN,KAAK+R,SAA4B,KACnEnD,EAAMwgF,SAAS,GAAGp/E,QAAUpB,EAAMwgF,SAAS,GAAGp/E,QAAQ7D,MAAM,GAAI,GAAK,QAAU0F,EAAK,KACpFjD,EAAMwgF,SAAS33E,KAiClB,SAAoBzH,EAAS6B,EAAIyjJ,GAChC,IAAI1mJ,EAAQ,IAAI0mJ,EAAiB,cAAe,GAAI,GAGpD,OAFA1mJ,EAAMoB,QAAU,4CAA8C6B,EAAK,KAAO7B,EAAU,WACpFpB,EAAM88C,MAAQ,CAAC,CAACgqG,IAAK7jJ,IACdjD,EArCe+mJ,CAAW/mJ,EAAMoB,QAAS6B,EAAIyjJ,SAElD1mJ,EAAMwgF,SAAS34D,QAmBlB,SAAoB6+H,GACnB,IAAI1mJ,EAAQ,IAAI0mJ,EAAiB,cAAe,GAAI,GAEpD,OADA1mJ,EAAMoB,QAAU,UACTpB,EAtBkBgnJ,CAAWN,IAClC1mJ,EAAMwgF,SAAS33E,KAwBlB,SAAkB69I,GACjB,IAAI1mJ,EAAQ,IAAI0mJ,EAAiB,cAAe,GAAI,GAEpD,OADA1mJ,EAAMoB,QAAU,WACTpB,EA3BeinJ,CAASP,IA9DhCt2J,EAAOC,QAAU,SAASq6I,EAAIp0I,GACzBA,IACH0vJ,GAAqB1vJ,EAAQ0oH,QAC7BinC,IAAoB3vJ,EAAQ0mJ,MAC5BkJ,IAAkB5vJ,EAAQ4wJ,YAG3Bxc,EAAG6F,KAAKK,MAAMp3F,MAAM,SAAU,qBAAqB,SAASl7C,GAE3D,IADA,IAAIo5B,EAASp5B,EAAMo5B,OACVnlC,EAAI,EAAGA,EAAImlC,EAAOjlC,OAAQF,IAC9B8zJ,EAAW3uH,EAAQnlC,KACtBk0J,EAAQ/uH,EAAOnlC,GAAI+L,EAAMwhH,OACzBO,EAAQ3oF,EAAOnlC,EAAE,GAAI,QAAS,kBAAqByzJ,EAAiC,GAAb,aACvE3lC,EAAQ3oF,EAAOyuH,EAAYzuH,EAAQnlC,EAAE,IAAK,QAAS,4B,8BCtBvD,cAkBA,IAAU40J,UAOP,IAAuB//I,GACtB,qBAAuB,GAAGzV,SAASK,KAAKoV,IACzC,oBAAuBrT,WAAmC,gBAAtBA,UAAUC,QAC3CxD,EACAgB,MAVK,QAGT21J,EAAM5kF,MAAQ6kF,EAAQ,IAARA,GACdD,EAAM5kF,MAAN,UAA2B4kF,EAAM5kF,MAAM8kF,a,2QCPzCj3J,EAAOC,QAAU,WACf,IACIi3J,EADAC,EAAe,KAOnB,SAASr1J,EAAS6mC,GAChB,QAAOA,IAAkB,WAAb,EAAOA,IAA+B,mBAANA,GAG9C,SAASyuH,EAAchiJ,GACrB,GAAc,OAAVA,IAAmBtT,EAASsT,GAC9B,MAAM,IAAI1Q,UAAU,mDAAqD0Q,GAI7E,IAAMiiJ,EAAU71J,OAGV81J,EAA4Bt5I,QAAQq5I,EAAQjoJ,WAAa,CAAEse,UAAW,gBAAkB2pI,GACxFE,EACJF,EAAQjoJ,SACPkoJ,EACG,SAAgBliJ,GAEd,OADAgiJ,EAAchiJ,GACP,CAAEsY,UAAWtY,IAEtB,SAAgBA,GAEd,GADAgiJ,EAAchiJ,GACA,OAAVA,EACF,MAAM,IAAI4sD,YAAY,0EAIxB,IAAIptC,EAA8B,aAElC,OADAA,EAAEnzB,UAAY2T,EACP,IAAIwf,IAGbvc,EAAO,WAAa,OAAO,MAE3BuxH,EACJytB,EAAQ9jJ,iBACP,GAAGma,YAAclV,MAAM/W,UACpB,SAAwB4D,GAGtB,IAAM+P,EAAQ/P,EAAEqoB,UAChB,OAAO5rB,EAASsT,GAASA,EAAQ,MAEnCiD,GAsKN,OA/JA6+I,EAAgB,SAAS9wJ,EAAQgE,GAE/B,QAAkBtD,KADAoD,MAAQA,gBAAgBgtJ,EAAgBhtJ,KAAKxH,iBAAcoE,GAE3E,MAAM,IAAIpC,UAAU,oCAGtB,IAAK5C,EAASsE,KAAYtE,EAASsI,GACjC,MAAM,IAAI1F,UAAU,8DAMtB,IAAI8yJ,EAAe,aACnBL,EAAe,WAEb/wJ,EAAS,KACToxJ,EAAe,SAASC,GACtB,MAAM,IAAI/yJ,UAAJ,0BAAiC+yJ,EAAjC,yCAGVngJ,YAAW,WACT6/I,EAAe,OACd,GAIH,IAAMO,EAAgBttJ,EAEtB,IAAK,IAAIwW,KADTxW,EAAU,CAAE,IAAO,KAAM,IAAO,KAAM,MAAS,KAAM,UAAa,MACpDstJ,EAAe,CAC3B,KAAM92I,KAAKxW,GACT,MAAM,IAAI1F,UAAJ,gDAAuDkc,EAAvD,MAERxW,EAAQwW,GAAK82I,EAAc92I,GAEA,mBAAlB82I,IAGTttJ,EAAQ6F,MAAQynJ,EAAcznJ,MAAM3O,KAAKo2J,IAK3C,IACIC,EADEviJ,EAAQw0H,EAASxjI,GAEnBwxJ,GAAW,EACXl2J,GAAU,EACQ,mBAAX0E,GACTuxJ,EAAQ,WACN,IAAME,EAAY3tJ,MAAQA,KAAKxH,cAAgBi1J,EACzCp/I,EAAOC,MAAM/W,UAAU0L,MAAMvL,KAAKqC,WAIxC,GAHAuzJ,EAAaK,EAAW,YAAc,SAGlCA,GAAYztJ,EAAO,UACrB,OAAOA,EAAO,UAAcxI,KAAKsI,KAAM9D,EAAQmS,GAC1C,IAAKs/I,GAAYztJ,EAAQ6F,MAC9B,OAAO7F,EAAO,MAAUhE,EAAQ8D,KAAMqO,GAIxC,GAAIs/I,EAAU,CAEZt/I,EAAKkf,QAAQrxB,GAEb,IAAMhB,EAA8BgB,EAAO9E,KAAK2O,MAAM7J,EAAQmS,GAC9D,OAAO,IAAInT,EAEb,OAAOgB,EAAO6J,MAAM/F,KAAMqO,IAE5Bq/I,GAAW,GACFxxJ,aAAkBoS,OAC3Bm/I,EAAQ,GACRj2J,GAAU,GAEVi2J,EAASL,GAAuC,OAAVliJ,EAAkBmiJ,EAAaniJ,GAAS,GAKhF,IAAM8mC,EAAS9xC,EAAQtF,IAAM,SAASykB,GAEpC,OADAiuI,EAAa,OACNptJ,EAAQtF,IAAIoF,KAAMqf,EAAMouI,IAC7B,SAASpuI,GAEX,OADAiuI,EAAa,OACNttJ,KAAKqf,IAERiE,EAASpjB,EAAQgD,IAAM,SAASmc,EAAM/jB,GAC1CgyJ,EAAa,OACEptJ,EAAQgD,IAAIlD,KAAMqf,EAAM/jB,EAAOmyJ,IAM5C,SAASpuI,EAAM/jB,GACjBgyJ,EAAa,OACbttJ,KAAKqf,GAAQ/jB,GAITsyJ,EAAgBT,EAAQ1jI,oBAAoBvtB,GAC5C2xJ,EAAc,GACpBD,EAAc91J,SAAQ,SAASunB,GAC7B,IAAKquI,IAAYl2J,KAAY6nB,KAAQouI,GAArC,CAGA,IAAMK,EAAOX,EAAQzxJ,yBAAyBQ,EAAQmjB,GAChD2qC,EAAO,CACXlqD,WAAYgU,QAAQg6I,EAAKhuJ,YACzBlF,IAAKo3C,EAAO56C,KAAK8E,EAAQmjB,GACzBnc,IAAKogB,EAAOlsB,KAAK8E,EAAQmjB,IAE3B8tI,EAAQxyJ,eAAe8yJ,EAAOpuI,EAAM2qC,GACpC6jG,EAAYxuI,IAAQ,MAMtB,IAAI0uI,GAAc,EAClB,GAAIL,GAAYl2J,EAAS,CAIvB,IAAMw2J,EACJb,EAAQ7jJ,iBACP,GAAGka,YAAclV,MAAM/W,UACpB,SAAwB4D,EAAG+P,GAGzB,OAFAgiJ,EAAchiJ,GACd/P,EAAEqoB,UAAYtY,EACP/P,GAETgT,GACAjD,GAAS8iJ,EAASP,EAAOviJ,KAC7B6iJ,GAAc,GAGlB,GAAI7tJ,EAAQtF,MAAQmzJ,EAClB,IAAK,IAAIr3I,KAAKxa,EACR2xJ,EAAYn3I,IAGhBy2I,EAAQxyJ,eAAe8yJ,EAAO/2I,EAAG,CAAE9b,IAAKo3C,EAAO56C,KAAK8E,EAAQwa,KAQhE,OAHAy2I,EAAQc,KAAK/xJ,GACbixJ,EAAQc,KAAKR,GAENA,IAGKV,UAAY,SAAS7wJ,EAAQgE,GAEzC,MAAO,CAAE,MADC,IAAI8sJ,EAAc9wJ,EAAQgE,GACf,OAAU+sJ,IAG1BD,I,6BC1OT,mGAIIrjG,EAAS,IAAI,SAAO,CACtBoF,MAAO,CACLjQ,IAAK,CACHh4C,QAAS,UAGX2+E,UAAW,CACT3+E,QAAS,UACTkxD,MAAO,QACPuC,SAAU,CAAC,CAACzhD,IAAK,MACjB6oD,MAAO,WAAmB,MAAO,CAAC,IAAK,KAGzC3G,WAAY,CACVl0D,QAAS,SACTkxD,MAAO,QACPuC,SAAU,CAAC,CAACzhD,IAAK,eACjB6oD,MAAO,WAAmB,MAAO,CAAC,aAAc,KAGlDusF,gBAAiB,CACfl2F,MAAO,QACPuC,SAAU,CAAC,CAACzhD,IAAK,OACjB6oD,MAAO,WAAmB,MAAO,CAAC,MAAO,CAAC,SAG5CwsF,QAAS,CACP3rG,MAAO,CAAC+e,MAAO,CAAC18D,QAAS,IACzBiC,QAAS,kBACTkxD,MAAO,QACP0mB,UAAU,EACVnkB,SAAU,CAAC,CAACzhD,IAAK,KAAM0pC,MAAO,CAAC+e,MAAO,IAC3B,CAACzoD,IAAK,KAAM0pC,MAAO,CAAC+e,MAAO,IAC3B,CAACzoD,IAAK,KAAM0pC,MAAO,CAAC+e,MAAO,IAC3B,CAACzoD,IAAK,KAAM0pC,MAAO,CAAC+e,MAAO,IAC3B,CAACzoD,IAAK,KAAM0pC,MAAO,CAAC+e,MAAO,IAC3B,CAACzoD,IAAK,KAAM0pC,MAAO,CAAC+e,MAAO,KACtCI,MAAO,SAAetjB,GAAQ,MAAO,CAAC,IAAMA,EAAKmE,MAAM+e,MAAO,KAGhEk5E,WAAY,CACV3zI,QAAS,QACTkxD,MAAO,QACPj5C,MAAM,EACN2/D,UAAU,EACVj7B,MAAO,GACPjB,MAAO,CAAC1kD,OAAQ,CAAC+G,QAAS,KAC1B01D,SAAU,CAAC,CAACzhD,IAAK,MAAOgkD,mBAAoB,OAAQ1C,SAAU,SAAU/b,GAAQ,MAAO,CACpFvgD,OAAQugD,EAAKxtB,aAAa,gBAAkB,OAE/C8wC,MAAO,SAAetjB,GAAQ,MAAO,CAAC,MAAOA,EAAKmE,MAAM1kD,OAAS,CAAC,cAAeugD,EAAKmE,MAAM1kD,QAAU,GAAI,CAAC,OAAQ,MAGrH8kH,aAAc,CACZ97G,QAAS,aACTkxD,MAAO,QACPxV,MAAO,CAAC+pC,MAAO,CAAC1nF,QAAS,GAAIi6I,MAAO,CAACj6I,SAAS,IAC9C01D,SAAU,CAAC,CAACzhD,IAAK,KAAMshD,SAAU,SAAkBR,GACjD,MAAO,CAAC2yB,MAAO3yB,EAAI4yB,aAAa,UAAY5yB,EAAI/oC,aAAa,SAAW,EAChEiuH,MAAOllF,EAAI4yB,aAAa,kBAElC7qB,MAAO,SAAetjB,GACpB,MAAO,CAAC,KAAM,CAAC2O,MAA2B,GAApB3O,EAAKmE,MAAM+pC,MAAa,KAAOluC,EAAKmE,MAAM+pC,MACjD,aAAcluC,EAAKmE,MAAMs8F,MAAQ,OAAS,MAAO,KAIpEn8B,YAAa,CACX77G,QAAS,aACTkxD,MAAO,QACPxV,MAAO,CAACs8F,MAAO,CAACj6I,SAAS,IACzB01D,SAAU,CAAC,CAACzhD,IAAK,KAAMshD,SAAU,SAAUR,GAAO,MAAO,CAAEklF,MAAOllF,EAAI4yB,aAAa,kBACnF7qB,MAAO,SAAetjB,GAAQ,MAAO,CAAC,KAAM,CAAC,aAAcA,EAAKmE,MAAMs8F,MAAQ,OAAS,MAAO,KAGhGn5D,UAAW,CACT7+E,QAAS,mBACT43E,UAAU,EACVnkB,SAAU,CAAC,CAACzhD,IAAK,OACjB6oD,MAAO,WAAmB,MAAO,CAAC,KAAM,KAG1CvjE,KAAM,CACJ45D,MAAO,UAGT2vB,MAAO,CACLlxB,QAAQ,EACRjU,MAAO,CACL36C,IAAK,GACLw/E,IAAK,CAACxiF,QAAS,MACf2J,MAAO,CAAC3J,QAAS,OAEnBmzD,MAAO,SACPwT,WAAW,EACXjR,SAAU,CAAC,CAACzhD,IAAK,WAAYshD,SAAU,SAAkBR,GACvD,MAAO,CACL/xD,IAAK+xD,EAAI/oC,aAAa,OACtBriB,MAAOorD,EAAI/oC,aAAa,SACxBw2D,IAAKztB,EAAI/oC,aAAa,WAG1B8wC,MAAO,SAAetjB,GAAQ,MAAO,CAAC,MAAOA,EAAKmE,SAGpD4rG,WAAY,CACV33F,QAAQ,EACRuB,MAAO,SACPlQ,YAAY,EACZyS,SAAU,CAAC,CAACzhD,IAAK,OACjB6oD,MAAO,WAAmB,MAAO,CAAC,SAItCle,MAAO,CACL4qG,GAAI,CACF9zF,SAAU,CAAC,CAACzhD,IAAK,KAAM,CAACA,IAAK,MAClB,CAACpR,MAAO,aAAc0yD,SAAU,SAAU9+D,GAAS,MAAgB,UAATA,GAAqB,QAC1FqmE,MAAO,WAAmB,MAAO,CAAC,QAGpC2sF,OAAQ,CACN/zF,SAAU,CAAC,CAACzhD,IAAK,KAAM,CAACA,IAAK,UAClB,CAACpR,MAAO,cAAe0yD,SAAU,SAAU9+D,GAAS,MAAO,4BAA4B6P,KAAK7P,IAAU,QACjHqmE,MAAO,WAAmB,MAAO,CAAC,YAGpC3iE,KAAM,CACJwjD,MAAO,CACLhzB,KAAM,GACNhhB,MAAO,CAAC3J,QAAS,OAEnBktD,WAAW,EACXwI,SAAU,CAAC,CAACzhD,IAAK,UAAWshD,SAAU,SAAkBR,GACtD,MAAO,CAACpqC,KAAMoqC,EAAI/oC,aAAa,QAASriB,MAAOorD,EAAI/oC,aAAa,aAElE8wC,MAAO,SAAetjB,GAAQ,MAAO,CAAC,IAAKA,EAAKmE,SAGlDzjC,KAAM,CACJw7C,SAAU,CAAC,CAACzhD,IAAK,SACjB6oD,MAAO,WAAmB,MAAO,CAAC,aAWxC,IAAI4sF,EAAqB,SAA4B5kG,EAAQ6kG,GAC3DxuJ,KAAK2pD,OAASA,EACd3pD,KAAK2uB,MAAQ,CAAC,CAAC9vB,KAAM8qD,EAAOO,YAAapjD,QAAS,KAClD9G,KAAKyjD,MAAQ,OAAKiG,KAClB1pD,KAAKwuJ,cAAgBA,GAmEvB,SAAShsG,EAAM7D,EAAMj5C,GACnB,OAAIi5C,EAAKyb,SAAmBzb,EAAKyb,SAAS10D,GAEjCi5C,EAAK6D,iBAAiBrrD,SAAmBwnD,EAAK6D,MAAM98C,GAC/Ci5C,EAAK6D,MAKrB,SAASisG,EAAa9vG,EAAM9/C,GAC1B,OAAO8/C,EAAK8vG,cAAwB,eAAR5vJ,GAAiC,cAARA,GAAgC,SAARA,EAG/E,SAAS6vJ,EAAuBp0J,GAC9B,MAA8B,MAAvBA,EAAIA,EAAInC,OAAS,GAAamC,EAAI2I,MAAM,EAAG3I,EAAInC,OAAS,GAAKmC,EAGtE,SAASq0J,KAjFTJ,EAAmBh3J,UAAUymE,IAAM,WACjC,OAAOh+D,KAAK2uB,MAAM3uB,KAAK2uB,MAAMx2B,OAAS,IAGxCo2J,EAAmBh3J,UAAUgX,KAAO,SAAe8yE,GAC7CrhF,KAAK2uB,MAAMx2B,QAAU6H,KAAKg+D,MAAMl3D,QAAQyH,KAAK8yE,IAMnDktE,EAAmBh3J,UAAUwtI,QAAU,SAAkB3mI,GACvD,GAAKA,EAAL,CACA,IAC+CmkF,EAD3CxzB,EAAQ/uD,KAAKg+D,MAAMl3D,QAAS4sB,EAAOq7B,EAAMA,EAAM52D,OAAS,GACxDkmD,EAAOr+C,KAAK2pD,OAAOvrD,KAAKA,EAAM4B,KAAKyjD,OACnC/vB,IAAS6uD,EA5Bf,SAAoBroF,EAAGC,GACrB,GAAID,EAAE2tD,QAAU1tD,EAAE0tD,QAAU,OAAKqB,QAAQhvD,EAAEupD,MAAOtpD,EAAEspD,OAChD,OAAOvpD,EAAEszD,SAAStzD,EAAEkE,KAAOjE,EAAEiE,MA0BXwwJ,CAAWl7H,EAAM2qB,IAAU0Q,EAAMA,EAAM52D,OAAS,GAAKoqF,EACpExzB,EAAMxgD,KAAK8vC,KAKpBkwG,EAAmBh3J,UAAUs3J,SAAW,SAAmB1lG,GACzDnpD,KAAKyjD,MAAQ0F,EAAKC,SAASppD,KAAKyjD,QAKlC8qG,EAAmBh3J,UAAUu3J,UAAY,SAAoB3lG,GAC3DnpD,KAAKyjD,MAAQ0F,EAAKE,cAAcrpD,KAAKyjD,QAGvC8qG,EAAmBh3J,UAAUw3J,YAAc,SAAsBC,GAC/D,IAAK,IAAI/2J,EAAI,EAAGA,EAAI+2J,EAAK72J,OAAQF,IAAK,CACpC,IAAI4/D,EAAMm3F,EAAK/2J,GACXiI,EAAUF,KAAKwuJ,cAAc32F,EAAIh5D,MACrC,IAAKqB,EACD,MAAM,IAAI8M,MAAM,eAAiB6qD,EAAIh5D,KAAO,sCAChDqB,EAAQF,KAAM63D,KAMlB02F,EAAmBh3J,UAAU84D,QAAU,SAAkBxxD,EAAM2jD,EAAO17C,GACpE,IAAIu3C,EAAOx/C,EAAKyhD,cAAckC,EAAO17C,EAAS9G,KAAKyjD,OACnD,OAAKpF,GACLr+C,KAAKuO,KAAK8vC,GACHA,GAFa,MAOtBkwG,EAAmBh3J,UAAU2tI,SAAW,SAAmBrmI,EAAM2jD,GAC/DxiD,KAAK2uB,MAAMpgB,KAAK,CAAC1P,KAAMA,EAAM2jD,MAAOA,EAAO17C,QAAS,MAKtDynJ,EAAmBh3J,UAAU4tI,UAAY,WACnCnlI,KAAKyjD,MAAMtrD,SAAU6H,KAAKyjD,MAAQ,OAAKiG,MAC3C,IAAI67B,EAAOvlF,KAAK2uB,MAAM+nC,MACtB,OAAO12D,KAAKqwD,QAAQk1B,EAAK1mF,KAAM0mF,EAAK/iC,MAAO+iC,EAAKz+E,UA8ElD,IAAImoJ,EAAiB,SAAwBtlG,EAAQulG,EAAW9xH,GAI9Dp9B,KAAKo9B,OAASA,EACdp9B,KAAK2pD,OAASA,EACd3pD,KAAKkvJ,UAAYA,EACjBlvJ,KAAKwuJ,cA/DP,SAAuB7kG,EAAQvsB,GAC7B,IAAIxP,EAAWt2B,OAAO4N,OAAO,MACzBg+C,EAAO,SAAWrkD,GACpB,IAAI8/C,EAAOvhB,EAAOv+B,GAClB,GAAI8/C,EAAK+hB,MAAO,CACd,IAAIne,EAAWoH,EAAOpH,SAAS5D,EAAK+hB,OAChC+tF,EAAa9vG,EAAM9/C,GACrB+uB,EAAS/uB,GAAQ,SAAUmF,EAAO6zD,GAChC7zD,EAAMkhI,SAAS3iF,EAAUC,EAAM7D,EAAMkZ,IACrC7zD,EAAM+gI,QAAQ2pB,EAAuB72F,EAAI/wD,UACzC9C,EAAMmhI,cAGRv3G,EAAS/uB,EAAO,SAAW,SAAUmF,EAAO6zD,GAAO,OAAO7zD,EAAMkhI,SAAS3iF,EAAUC,EAAM7D,EAAMkZ,KAC/FjqC,EAAS/uB,EAAO,UAAY,SAAUmF,GAAS,OAAOA,EAAMmhI,mBAEzD,GAAIxmF,EAAKN,KAAM,CACpB,IAAI8wG,EAAaxlG,EAAOpH,SAAS5D,EAAKN,MACtCzwB,EAAS/uB,GAAQ,SAAUmF,EAAO6zD,GAAO,OAAO7zD,EAAMqsD,QAAQ8+F,EAAY3sG,EAAM7D,EAAMkZ,UACjF,GAAIlZ,EAAKwK,KAAM,CACpB,IAAIpG,EAAW4G,EAAOlG,MAAM9E,EAAKwK,MAC7BslG,EAAa9vG,EAAM9/C,GACrB+uB,EAAS/uB,GAAQ,SAAUmF,EAAO6zD,GAChC7zD,EAAM6qJ,SAAS9rG,EAAS79C,OAAOs9C,EAAM7D,EAAMkZ,KAC3C7zD,EAAM+gI,QAAQ2pB,EAAuB72F,EAAI/wD,UACzC9C,EAAM8qJ,UAAU/rG,KAGlBn1B,EAAS/uB,EAAO,SAAW,SAAUmF,EAAO6zD,GAAO,OAAO7zD,EAAM6qJ,SAAS9rG,EAAS79C,OAAOs9C,EAAM7D,EAAMkZ,MACrGjqC,EAAS/uB,EAAO,UAAY,SAAUmF,GAAS,OAAOA,EAAM8qJ,UAAU/rG,SAEnE,KAAIpE,EAAKmM,OAQd,MAAM,IAAInE,WAAW,6BAA+Bt2C,KAAKC,UAAUquC,IAP/D8vG,EAAa9vG,EAAM9/C,GACrB+uB,EAAS/uB,GAAQ8vJ,GAEjB/gI,EAAS/uB,EAAO,SAAW8vJ,EAC3B/gI,EAAS/uB,EAAO,UAAY8vJ,KAOlC,IAAK,IAAI9vJ,KAAQu+B,EAAQ8lB,EAAMrkD,GAM/B,OAJA+uB,EAASxvB,KAAO,SAAU4F,EAAO6zD,GAAO,OAAO7zD,EAAM+gI,QAAQltE,EAAI/wD,UACjE8mB,EAAS6oC,OAAS,SAAUzyD,EAAO6zD,GAAO,OAAO7zD,EAAM+qJ,YAAYl3F,EAAIquB,WACvEt4D,EAASutH,UAAYvtH,EAASutH,WAAa,SAAWn3I,GAAS,OAAOA,EAAM+gI,QAAQ,OAE7En3G,EAcc4gI,CAAc7kG,EAAQvsB,IAO7C6xH,EAAe13J,UAAUgkB,MAAQ,SAAgBnd,GAC/C,IAAqE0gD,EAAjE96C,EAAQ,IAAIuqJ,EAAmBvuJ,KAAK2pD,OAAQ3pD,KAAKwuJ,eACrDxqJ,EAAM+qJ,YAAY/uJ,KAAKkvJ,UAAU3zI,MAAMnd,EAAM,KAC7C,GAAK0gD,EAAM96C,EAAMmhI,kBAAsBnhI,EAAM2qB,MAAMx2B,QACnD,OAAO2mD,GAMmB,IAAImwG,EAAetlG,EAAQ,IAAW,aAAc,CAACljD,MAAM,IAAS,CAC9Fu0D,WAAY,CAAC0F,MAAO,cACpB+kB,UAAW,CAAC/kB,MAAO,aACnBilB,UAAW,CAACjlB,MAAO,aACnBiiD,YAAa,CAACjiD,MAAO,eACrBkiD,aAAc,CAACliD,MAAO,eAAgBtG,SAAU,SAAUvC,GAAO,MAAO,CAAE00B,OAAQ10B,EAAImuD,QAAQ,UAAY,KAC1GmoC,QAAS,CAACztF,MAAO,UAAWtG,SAAU,SAAUvC,GAAO,MAAO,CAAE0J,OAAQ1J,EAAI/+C,IAAI7V,MAAM,MACtFw3I,WAAY,CAAC/5E,MAAO,aAAc+tF,cAAc,GAChD/T,MAAO,CAACh6E,MAAO,aAActG,SAAU,SAAUvC,GAAO,MAAO,CAAE/5D,OAAQ+5D,EAAI0tB,MAAQ,KAASkpE,cAAc,GAC5GxyF,GAAI,CAAC5d,KAAM,mBACXspC,MAAO,CAACtpC,KAAM,QAAS+b,SAAU,SAAUvC,GAAO,MAAO,CACvDhwD,IAAKgwD,EAAImuD,QAAQ,OACjBx3G,MAAOqpD,EAAImuD,QAAQ,UAAY,KAC/B3+B,IAAKxvB,EAAIquB,SAAS,IAAMruB,EAAIquB,SAAS,GAAGp/E,SAAW,QAErDm0I,UAAW,CAAC58F,KAAM,cAElBgwG,GAAI,CAACllG,KAAM,MACXmlG,OAAQ,CAACnlG,KAAM,UACfnqD,KAAM,CAACmqD,KAAM,OAAQiR,SAAU,SAAUvC,GAAO,MAAO,CACrDroC,KAAMqoC,EAAImuD,QAAQ,QAClBx3G,MAAOqpD,EAAImuD,QAAQ,UAAY,QAEjCs0B,YAAa,CAACnxF,KAAM,OAAQslG,cAAc,KAvB5C,IA4BIW,EAAqB,SAA4BrgG,EAAOtL,GAG1DzjD,KAAK+uD,MAAQA,EAEb/uD,KAAKyjD,MAAQA,GAMf2rG,EAAmB73J,UAAU83J,UAAY,SAAoBvoJ,EAAS9K,GACpE,IAAIgI,EAAQ,IAAIsrJ,EAAwBtvJ,KAAK+uD,MAAO/uD,KAAKyjD,MAAOznD,GAEhE,OADAgI,EAAMurJ,cAAczoJ,GACb9C,EAAM+yC,KAKf,IAAIy4G,EAA4B,IAAIJ,EAAmB,CACrDp0F,WAAY,SAAoBh3D,EAAOq6C,GACrCr6C,EAAMyrJ,UAAU,KAAM,KAAMpxG,GAAM,WAAc,OAAOr6C,EAAMurJ,cAAclxG,OAE7Eo8F,WAAY,SAAoBz2I,EAAOq6C,GACrCr6C,EAAMqD,MAAM,OAASg3C,EAAKmE,MAAM1kD,QAAU,IAAM,MAChDkG,EAAM5F,KAAKigD,EAAKwU,aAAa,GAC7B7uD,EAAM0rJ,gBACN1rJ,EAAMqD,MAAM,OACZrD,EAAM2rJ,WAAWtxG,IAEnB8vG,QAAS,SAAiBnqJ,EAAOq6C,GAC/Br6C,EAAMqD,MAAMrD,EAAM4rJ,OAAO,IAAKvxG,EAAKmE,MAAM+e,OAAS,KAClDv9D,EAAM6yI,aAAax4F,GACnBr6C,EAAM2rJ,WAAWtxG,IAEnB6vG,gBAAiB,SAAyBlqJ,EAAOq6C,GAC/Cr6C,EAAMqD,MAAMg3C,EAAKmE,MAAMkjE,QAAU,OACjC1hH,EAAM2rJ,WAAWtxG,IAEnBskE,YAAa,SAAqB3+G,EAAOq6C,GACvCr6C,EAAM6rJ,WAAWxxG,EAAM,MAAM,WAAc,OAAQA,EAAKmE,MAAMstG,QAAU,KAAO,QAEjFltC,aAAc,SAAsB5+G,EAAOq6C,GACzC,IAAI2O,EAAQ3O,EAAKmE,MAAM+pC,OAAS,EAC5BwjE,EAAOt1J,OAAOuyD,EAAQ3O,EAAKY,WAAa,GAAG9mD,OAC3CuqE,EAAQ1+D,EAAM4rJ,OAAO,IAAKG,EAAO,GACrC/rJ,EAAM6rJ,WAAWxxG,EAAMqkB,GAAO,SAAUzqE,GACtC,IAAI+3J,EAAOv1J,OAAOuyD,EAAQ/0D,GAC1B,OAAO+L,EAAM4rJ,OAAO,IAAKG,EAAOC,EAAK73J,QAAU63J,EAAO,SAG1DrqE,UAAW,SAAmB3hF,EAAOq6C,GACnCr6C,EAAMurJ,cAAclxG,IAEtBonC,UAAW,SAAmBzhF,EAAOq6C,GACnCr6C,EAAM6yI,aAAax4F,GACnBr6C,EAAM2rJ,WAAWtxG,IAGnBspC,MAAO,SAAe3jF,EAAOq6C,GAC3Br6C,EAAMqD,MAAM,KAAOrD,EAAMisJ,IAAI5xG,EAAKmE,MAAM6kC,KAAO,IAAM,KAAOrjF,EAAMisJ,IAAI5xG,EAAKmE,MAAM36C,MACpEw2C,EAAKmE,MAAMh0C,MAAQ,IAAMxK,EAAMksJ,MAAM7xG,EAAKmE,MAAMh0C,OAAS,IAAM,MAE9E4/I,WAAY,SAAoBpqJ,EAAOq6C,EAAMl8C,EAAQsK,GACnD,IAAK,IAAIxU,EAAIwU,EAAQ,EAAGxU,EAAIkK,EAAO88C,WAAYhnD,IAC3C,GAAIkK,EAAO8lD,MAAMhwD,GAAG4G,MAAQw/C,EAAKx/C,KAEjC,YADAmF,EAAMqD,MAAM,SAIlBjJ,KAAM,SAAc4F,EAAOq6C,GACzBr6C,EAAM5F,KAAKigD,EAAKjgD,QAEjB,CACDiwJ,GAAI,CAACtmJ,KAAM,IAAKT,MAAO,IAAK6oJ,SAAS,EAAMC,0BAA0B,GACrE9B,OAAQ,CAACvmJ,KAAM,KAAMT,MAAO,KAAM6oJ,SAAS,EAAMC,0BAA0B,GAC3EpxJ,KAAM,CACJ+I,KAAM,SAAcsoJ,EAAQlnG,EAAMhnD,EAAQsK,GACxC,OAAO6jJ,EAAWnnG,EAAMhnD,EAAQsK,EAAO,GAAK,IAAM,KAEpDnF,MAAO,SAAetD,EAAOmlD,EAAMhnD,EAAQsK,GACzC,OAAO6jJ,EAAWnnG,EAAMhnD,EAAQsK,GAAQ,GAAK,IACzC,KAAOzI,EAAMisJ,IAAI9mG,EAAK3G,MAAMhzB,OAAS25B,EAAK3G,MAAMh0C,MAAQ,IAAMxK,EAAMksJ,MAAM/mG,EAAK3G,MAAMh0C,OAAS,IAAM,MAG5GuQ,KAAM,CAAChX,KAAM,SAAcsoJ,EAAQE,EAAOpuJ,EAAQsK,GAAS,OAAO+jJ,EAAaruJ,EAAO8lD,MAAMx7C,IAAS,IAC9FnF,MAAO,SAAe+oJ,EAAQE,EAAOpuJ,EAAQsK,GAAS,OAAO+jJ,EAAaruJ,EAAO8lD,MAAMx7C,EAAQ,GAAI,IACnGxO,QAAQ,KAGjB,SAASuyJ,EAAanyG,EAAMC,GAC1B,IAAmBt8B,EAAfyuI,EAAQ,MAAU5iJ,EAAM,EAC5B,GAAIwwC,EAAKwJ,OAAU,KAAO7lC,EAAIyuI,EAAMz6J,KAAKqoD,EAAKjgD,OAASyP,EAAM/W,KAAKkwB,IAAInZ,EAAKmU,EAAE,GAAG7pB,QAEhF,IADA,IAAI0B,EAASgU,EAAM,GAAKywC,EAAO,EAAI,KAAO,IACjCrmD,EAAI,EAAGA,EAAI4V,EAAK5V,IAAO4B,GAAU,IAE1C,OADIgU,EAAM,GAAKywC,EAAO,IAAKzkD,GAAU,KAC9BA,EAGT,SAASy2J,EAAWtxJ,EAAMmD,EAAQsK,EAAO6xC,GACvC,GAAIt/C,EAAKwjD,MAAMh0C,QAAU,QAAQrD,KAAKnM,EAAKwjD,MAAMhzB,MAAS,OAAO,EACjE,IAAI1oB,EAAU3E,EAAO8lD,MAAMx7C,GAAS6xC,EAAO,GAAK,EAAI,IACpD,IAAKx3C,EAAQ+gD,QAAU/gD,EAAQ1I,MAAQY,EAAKwjD,MAAMhzB,MAAQ1oB,EAAQ28C,MAAM38C,EAAQ28C,MAAMtrD,OAAS,IAAM6G,EAAQ,OAAO,EACpH,GAAIyN,IAAU6xC,EAAO,EAAI,EAAIn8C,EAAO88C,WAAa,GAAM,OAAO,EAC9D,IAAI/0C,EAAO/H,EAAO8lD,MAAMx7C,GAAS6xC,EAAO,GAAK,EAAI,IACjD,OAAQt/C,EAAKukD,QAAQr5C,EAAKu5C,OAM5B,IAAI6rG,EAA0B,SAAiCvgG,EAAOtL,EAAOznD,GAC3EgE,KAAK+uD,MAAQA,EACb/uD,KAAKyjD,MAAQA,EACbzjD,KAAK0wJ,MAAQ1wJ,KAAK+2C,IAAM,GACxB/2C,KAAK2wJ,QAAS,EACd3wJ,KAAK4wJ,aAAc,EAOnB5wJ,KAAKhE,QAAUA,GAAW,QACY,IAA3BgE,KAAKhE,QAAQ60J,aACpB7wJ,KAAKhE,QAAQ60J,YAAa,IAGhCvB,EAAwB/3J,UAAUu5J,WAAa,SAAqBv3I,GAClE,GAAIvZ,KAAK2wJ,OAAQ,CAGf,GAFK3wJ,KAAK+wJ,YAAa/wJ,KAAK+2C,KAAO,MACvB,MAARx9B,IAAgBA,EAAO,GACvBA,EAAO,EAAG,CACZ,IAAIy3I,EAAWhxJ,KAAK0wJ,MAChBr2J,EAAO,OAAOrE,KAAKg7J,GACnB32J,IAAQ22J,EAAWA,EAAS/tJ,MAAM,EAAG+tJ,EAAS74J,OAASkC,EAAK,GAAGlC,SACnE,IAAK,IAAIF,EAAI,EAAGA,EAAIshB,EAAMthB,IACtB+H,KAAK+2C,KAAOi6G,EAAW,KAE7BhxJ,KAAK2wJ,QAAS,IASlBrB,EAAwB/3J,UAAUk4J,UAAY,SAAoBiB,EAAOO,EAAY5yG,EAAMnjD,GACzF,IAAI0oG,EAAM5jG,KAAK0wJ,MACf1wJ,KAAKqH,MAAM4pJ,GAAcP,GACzB1wJ,KAAK0wJ,OAASA,EACdx1J,IACA8E,KAAK0wJ,MAAQ9sD,EACb5jG,KAAK2vJ,WAAWtxG,IAGlBixG,EAAwB/3J,UAAUw5J,QAAU,WAC1C,MAAO,UAAU5lJ,KAAKnL,KAAK+2C,MAK7Bu4G,EAAwB/3J,UAAUm4J,cAAgB,WAC3C1vJ,KAAK+wJ,YAAa/wJ,KAAK+2C,KAAO,OAOrCu4G,EAAwB/3J,UAAU8P,MAAQ,SAAgBP,GACxD9G,KAAK8wJ,aACD9wJ,KAAK0wJ,OAAS1wJ,KAAK+wJ,YACnB/wJ,KAAK+2C,KAAO/2C,KAAK0wJ,OACjB5pJ,IAAW9G,KAAK+2C,KAAOjwC,IAK7BwoJ,EAAwB/3J,UAAUo4J,WAAa,SAAqBtxG,GAClEr+C,KAAK2wJ,OAAStyG,GAMhBixG,EAAwB/3J,UAAU6G,KAAO,SAAek7D,EAAQr7D,GAE9D,IADA,IAAIm8I,EAAQ9gF,EAAO35D,MAAM,MAChB1H,EAAI,EAAGA,EAAImiJ,EAAMjiJ,OAAQF,IAAK,CACrC,IAAIuwF,EAAcxoF,KAAK+wJ,WAAa/wJ,KAAK2wJ,OACzC3wJ,KAAKqH,QACLrH,KAAK+2C,MAAkB,IAAX94C,EAAmB+B,KAAKiwJ,IAAI7V,EAAMniJ,GAAIuwF,GAAe4xD,EAAMniJ,GACnEA,GAAKmiJ,EAAMjiJ,OAAS,IAAK6H,KAAK+2C,KAAO,QAM7Cu4G,EAAwB/3J,UAAU8J,OAAS,SAAiBg9C,EAAMl8C,EAAQsK,GACxE,GAAqB,iBAAVtK,EAAsB,MAAM,IAAI6K,MAAM,KACjDhN,KAAK+uD,MAAM1Q,EAAKx/C,KAAKjI,MAAMoJ,KAAMq+C,EAAMl8C,EAAQsK,IAKjD6iJ,EAAwB/3J,UAAUg4J,cAAgB,SAAwBptJ,GACtE,IAAIooD,EAASvqD,KAEfmC,EAAOrK,SAAQ,SAAUumD,EAAMyL,EAAG7xD,GAAK,OAAOsyD,EAAOlpD,OAAOg9C,EAAMl8C,EAAQlK,OAK5Eq3J,EAAwB/3J,UAAUs/I,aAAe,SAAuB10I,GACpE,IAAIooD,EAASvqD,KAEXq2D,EAAS,GAAI66F,EAAW,GACxBC,EAAW,SAAU9yG,EAAMyL,EAAGr9C,GAChC,IAAIg3C,EAAQpF,EAAOA,EAAKoF,MAAQ,GAO5BpF,GAA2B,eAAnBA,EAAKx/C,KAAKjI,OAClB6sD,EAAQA,EAAM5vC,QAAO,SAAUmO,GAC/B,GAAIvV,EAAQ,GAAKtK,EAAO88C,WAAc,OAAO,EAC7C,IAAI/0C,EAAO/H,EAAO8lD,MAAMx7C,EAAQ,GAChC,OAAOuV,EAAEuhC,QAAQr5C,EAAKu5C,UAAYv5C,EAAK29C,QAAU,KAAK18C,KAAKjB,EAAK9L,WAGpE,IAAIgzJ,EAAUF,EAId,GAHAA,EAAW,GAGP7yG,GAAQA,EAAKwJ,QAAUpE,EAAM9rC,MAAK,SAAUwxC,GAC9C,IAAIo8B,EAAOh7B,EAAO9G,MAAM0F,EAAKtqD,KAAKjI,MAClC,OAAO2uF,GAAQA,EAAK6qE,4BAClB,CACF,IAAIhxG,EAAM,qBAAqBppD,KAAKqoD,EAAKjgD,MAEnCizJ,GADMjyG,EAAI,GACHA,EAAI,IACXkyG,EAAUlyG,EAAI,GACdmyG,EAAQnyG,EAAI,GAClBgyG,GAAWC,EACXH,EAAWK,GACPF,GAAQE,MACVlzG,EAAOizG,EAAUjzG,EAAKmP,SAAS8jG,GAAW,QAC7B7tG,EAAQ4S,IAIzB,IAAInQ,EAAQzC,EAAMtrD,QAAUsrD,EAAMA,EAAMtrD,OAAS,GAAIq5J,EAAQtrG,IAAkD,IAAzCqE,EAAO9G,MAAMyC,EAAMrnD,KAAKjI,MAAMqH,OAChG4P,EAAM41C,EAAMtrD,QAAUq5J,EAAQ,EAAI,GAMtC73E,EAAO,IAAK,IAAI1hF,EAAI,EAAGA,EAAI4V,EAAK5V,IAAK,CACnC,IAAIkxD,EAAO1F,EAAMxrD,GACjB,IAAKsyD,EAAO9G,MAAM0F,EAAKtqD,KAAKjI,MAAMu5J,QAAW,MAC7C,IAAK,IAAIv9I,EAAI,EAAGA,EAAIyjD,EAAOl+D,OAAQya,IAAK,CACtC,IAAI+P,EAAQ0zC,EAAOzjD,GACnB,IAAK23C,EAAO9G,MAAM9gC,EAAM9jB,KAAKjI,MAAMu5J,QAAW,MAC9C,GAAIhnG,EAAK9B,GAAG1kC,GAAQ,CACd1qB,EAAI2a,EACJ6wC,EAAQA,EAAMxgD,MAAM,EAAG2P,GAAG5P,OAAOmmD,GAAMnmD,OAAOygD,EAAMxgD,MAAM2P,EAAG3a,IAAI+K,OAAOygD,EAAMxgD,MAAMhL,EAAI,EAAG4V,IACtF+E,EAAI3a,IACTwrD,EAAQA,EAAMxgD,MAAM,EAAGhL,GAAG+K,OAAOygD,EAAMxgD,MAAMhL,EAAI,EAAG2a,IAAI5P,OAAOmmD,GAAMnmD,OAAOygD,EAAMxgD,MAAM2P,EAAG/E,KAC/F,SAAS8rE,IAOf,IADA,IAAI7X,EAAO,EACJA,EAAOhrE,KAAKkK,IAAIq1D,EAAOl+D,OAAQ0V,IAAQ41C,EAAMqe,GAAMza,GAAGgP,EAAOyL,OAAYA,EAGhF,KAAOA,EAAOzL,EAAOl+D,QACjBoyD,EAAOnsD,KAAKmsD,EAAOknG,WAAWp7F,EAAOK,OAAO,EAAOv0D,EAAQsK,IAAQ,GAMvE,GAHI2kJ,GAAW7mG,EAAOnsD,KAAKgzJ,GAGvB/yG,EAAM,CACR,KAAOgY,EAAOl+D,OAAS0V,GAAK,CAC1B,IAAIvL,EAAMmhD,EAAM4S,EAAOl+D,QACvBk+D,EAAO9nD,KAAKjM,GACZioD,EAAOnsD,KAAKmsD,EAAOknG,WAAWnvJ,GAAK,EAAMH,EAAQsK,IAAQ,GAKvD+kJ,GAASnzG,EAAKwJ,OACd0C,EAAOnsD,KAAKmsD,EAAOknG,WAAWvrG,GAAO,EAAM/jD,EAAQsK,GAAS4xC,EAAKjgD,KACzDmsD,EAAOknG,WAAWvrG,GAAO,EAAO/jD,EAAQsK,EAAQ,IAAI,GAE5D89C,EAAOlpD,OAAOg9C,EAAMl8C,EAAQsK,KAGpCtK,EAAOrK,QAAQq5J,GACfA,EAAS,KAAM,EAAMhvJ,EAAO88C,aAQ9BqwG,EAAwB/3J,UAAUs4J,WAAa,SAAqBxxG,EAAMqyG,EAAOO,GAC7E,IAAI1mG,EAASvqD,KAEXA,KAAK2wJ,QAAU3wJ,KAAK2wJ,OAAO9xJ,MAAQw/C,EAAKx/C,KACxCmB,KAAK8wJ,WAAW,GACX9wJ,KAAK4wJ,aACV5wJ,KAAK8wJ,WAAW,GAEpB,IAAIY,OAAqC,IAApBrzG,EAAKmE,MAAMs8F,MAAuBzgG,EAAKmE,MAAMs8F,MAAQ9+I,KAAKhE,QAAQ60J,WACnFc,EAAY3xJ,KAAK4wJ,YACrB5wJ,KAAK4wJ,YAAcc,EACnBrzG,EAAKvmD,SAAQ,SAAUmwD,EAAO6B,EAAG7xD,GAC3BA,GAAKy5J,GAAWnnG,EAAOumG,WAAW,GACtCvmG,EAAOklG,UAAUiB,EAAOO,EAAWh5J,GAAIomD,GAAM,WAAc,OAAOkM,EAAOlpD,OAAO4mD,EAAO5J,EAAMpmD,SAE/F+H,KAAK4wJ,YAAce,GAOrBrC,EAAwB/3J,UAAU04J,IAAM,SAAc31J,EAAKkuF,GAGzD,OAFAluF,EAAMA,EAAIC,QAAQ,eAAgB,QAC9BiuF,IAAeluF,EAAMA,EAAIC,QAAQ,YAAa,QAAQA,QAAQ,WAAY,UACvED,GAGTg1J,EAAwB/3J,UAAU24J,MAAQ,SAAgB51J,GACxD,IAAI2nD,GAA4B,GAArB3nD,EAAIsE,QAAQ,KAAa,MAA4B,GAArBtE,EAAIsE,QAAQ,KAAa,KAAO,KAC3E,OAAOqjD,EAAK,GAAK3nD,EAAM2nD,EAAK,IAK9BqtG,EAAwB/3J,UAAUq4J,OAAS,SAAiBt1J,EAAKuc,GAE/D,IADA,IAAIkgC,EAAM,GACD9+C,EAAI,EAAGA,EAAI4e,EAAG5e,IAAO8+C,GAAOz8C,EACrC,OAAOy8C,GAKTu4G,EAAwB/3J,UAAUk6J,WAAa,SAAqBtoG,EAAMphD,EAAM5F,EAAQsK,GACtF,IAAI84E,EAAOvlF,KAAKyjD,MAAM0F,EAAKtqD,KAAKjI,MAC5B0E,EAAQyM,EAAOw9E,EAAKx9E,KAAOw9E,EAAKj+E,MACpC,MAAuB,iBAAThM,EAAoBA,EAAQA,EAAM0E,KAAMmpD,EAAMhnD,EAAQsK,IAOtE6iJ,EAAwB/3J,UAAUq6J,uBAAyB,SAAiCxzJ,GAC1F,MAAO,CACLgzJ,SAAUhzJ,EAAK8N,MAAM,WAAa,IAAI,GACtCglJ,UAAW9yJ,EAAK8N,MAAM,WAAa,IAAI","file":"vendors~editor.js?v=4ca0f6ac0902477b585a","sourcesContent":["module.exports = function (exec) {\n try {\n return !!exec();\n } catch (error) {\n return true;\n }\n};\n","var global = require('../internals/global');\nvar shared = require('../internals/shared');\nvar has = require('../internals/has');\nvar uid = require('../internals/uid');\nvar NATIVE_SYMBOL = require('../internals/native-symbol');\nvar USE_SYMBOL_AS_UID = require('../internals/use-symbol-as-uid');\n\nvar WellKnownSymbolsStore = shared('wks');\nvar Symbol = global.Symbol;\nvar createWellKnownSymbol = USE_SYMBOL_AS_UID ? Symbol : Symbol && Symbol.withoutSetter || uid;\n\nmodule.exports = function (name) {\n if (!has(WellKnownSymbolsStore, name)) {\n if (NATIVE_SYMBOL && has(Symbol, name)) WellKnownSymbolsStore[name] = Symbol[name];\n else WellKnownSymbolsStore[name] = createWellKnownSymbol('Symbol.' + name);\n } return WellKnownSymbolsStore[name];\n};\n","var check = function (it) {\n return it && it.Math == Math && it;\n};\n\n// https://github.com/zloirock/core-js/issues/86#issuecomment-115759028\nmodule.exports =\n // eslint-disable-next-line no-undef\n check(typeof globalThis == 'object' && globalThis) ||\n check(typeof window == 'object' && window) ||\n check(typeof self == 'object' && self) ||\n check(typeof global == 'object' && global) ||\n // eslint-disable-next-line no-new-func\n Function('return this')();\n","'use strict';\n\nvar bind = require('./helpers/bind');\n\n/*global toString:true*/\n\n// utils is a library of generic helper functions non-specific to axios\n\nvar toString = Object.prototype.toString;\n\n/**\n * Determine if a value is an Array\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Array, otherwise false\n */\nfunction isArray(val) {\n return toString.call(val) === '[object Array]';\n}\n\n/**\n * Determine if a value is undefined\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if the value is undefined, otherwise false\n */\nfunction isUndefined(val) {\n return typeof val === 'undefined';\n}\n\n/**\n * Determine if a value is a Buffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Buffer, otherwise false\n */\nfunction isBuffer(val) {\n return val !== null && !isUndefined(val) && val.constructor !== null && !isUndefined(val.constructor)\n && typeof val.constructor.isBuffer === 'function' && val.constructor.isBuffer(val);\n}\n\n/**\n * Determine if a value is an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an ArrayBuffer, otherwise false\n */\nfunction isArrayBuffer(val) {\n return toString.call(val) === '[object ArrayBuffer]';\n}\n\n/**\n * Determine if a value is a FormData\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an FormData, otherwise false\n */\nfunction isFormData(val) {\n return (typeof FormData !== 'undefined') && (val instanceof FormData);\n}\n\n/**\n * Determine if a value is a view on an ArrayBuffer\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a view on an ArrayBuffer, otherwise false\n */\nfunction isArrayBufferView(val) {\n var result;\n if ((typeof ArrayBuffer !== 'undefined') && (ArrayBuffer.isView)) {\n result = ArrayBuffer.isView(val);\n } else {\n result = (val) && (val.buffer) && (val.buffer instanceof ArrayBuffer);\n }\n return result;\n}\n\n/**\n * Determine if a value is a String\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a String, otherwise false\n */\nfunction isString(val) {\n return typeof val === 'string';\n}\n\n/**\n * Determine if a value is a Number\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Number, otherwise false\n */\nfunction isNumber(val) {\n return typeof val === 'number';\n}\n\n/**\n * Determine if a value is an Object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is an Object, otherwise false\n */\nfunction isObject(val) {\n return val !== null && typeof val === 'object';\n}\n\n/**\n * Determine if a value is a Date\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Date, otherwise false\n */\nfunction isDate(val) {\n return toString.call(val) === '[object Date]';\n}\n\n/**\n * Determine if a value is a File\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a File, otherwise false\n */\nfunction isFile(val) {\n return toString.call(val) === '[object File]';\n}\n\n/**\n * Determine if a value is a Blob\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Blob, otherwise false\n */\nfunction isBlob(val) {\n return toString.call(val) === '[object Blob]';\n}\n\n/**\n * Determine if a value is a Function\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Function, otherwise false\n */\nfunction isFunction(val) {\n return toString.call(val) === '[object Function]';\n}\n\n/**\n * Determine if a value is a Stream\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a Stream, otherwise false\n */\nfunction isStream(val) {\n return isObject(val) && isFunction(val.pipe);\n}\n\n/**\n * Determine if a value is a URLSearchParams object\n *\n * @param {Object} val The value to test\n * @returns {boolean} True if value is a URLSearchParams object, otherwise false\n */\nfunction isURLSearchParams(val) {\n return typeof URLSearchParams !== 'undefined' && val instanceof URLSearchParams;\n}\n\n/**\n * Trim excess whitespace off the beginning and end of a string\n *\n * @param {String} str The String to trim\n * @returns {String} The String freed of excess whitespace\n */\nfunction trim(str) {\n return str.replace(/^\\s*/, '').replace(/\\s*$/, '');\n}\n\n/**\n * Determine if we're running in a standard browser environment\n *\n * This allows axios to run in a web worker, and react-native.\n * Both environments support XMLHttpRequest, but not fully standard globals.\n *\n * web workers:\n * typeof window -> undefined\n * typeof document -> undefined\n *\n * react-native:\n * navigator.product -> 'ReactNative'\n * nativescript\n * navigator.product -> 'NativeScript' or 'NS'\n */\nfunction isStandardBrowserEnv() {\n if (typeof navigator !== 'undefined' && (navigator.product === 'ReactNative' ||\n navigator.product === 'NativeScript' ||\n navigator.product === 'NS')) {\n return false;\n }\n return (\n typeof window !== 'undefined' &&\n typeof document !== 'undefined'\n );\n}\n\n/**\n * Iterate over an Array or an Object invoking a function for each item.\n *\n * If `obj` is an Array callback will be called passing\n * the value, index, and complete array for each item.\n *\n * If 'obj' is an Object callback will be called passing\n * the value, key, and complete object for each property.\n *\n * @param {Object|Array} obj The object to iterate\n * @param {Function} fn The callback to invoke for each item\n */\nfunction forEach(obj, fn) {\n // Don't bother if no value provided\n if (obj === null || typeof obj === 'undefined') {\n return;\n }\n\n // Force an array if not already something iterable\n if (typeof obj !== 'object') {\n /*eslint no-param-reassign:0*/\n obj = [obj];\n }\n\n if (isArray(obj)) {\n // Iterate over array values\n for (var i = 0, l = obj.length; i < l; i++) {\n fn.call(null, obj[i], i, obj);\n }\n } else {\n // Iterate over object keys\n for (var key in obj) {\n if (Object.prototype.hasOwnProperty.call(obj, key)) {\n fn.call(null, obj[key], key, obj);\n }\n }\n }\n}\n\n/**\n * Accepts varargs expecting each argument to be an object, then\n * immutably merges the properties of each object and returns result.\n *\n * When multiple objects contain the same key the later object in\n * the arguments list will take precedence.\n *\n * Example:\n *\n * ```js\n * var result = merge({foo: 123}, {foo: 456});\n * console.log(result.foo); // outputs 456\n * ```\n *\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction merge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = merge(result[key], val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Function equal to merge with the difference being that no reference\n * to original objects is kept.\n *\n * @see merge\n * @param {Object} obj1 Object to merge\n * @returns {Object} Result of all merge properties\n */\nfunction deepMerge(/* obj1, obj2, obj3, ... */) {\n var result = {};\n function assignValue(val, key) {\n if (typeof result[key] === 'object' && typeof val === 'object') {\n result[key] = deepMerge(result[key], val);\n } else if (typeof val === 'object') {\n result[key] = deepMerge({}, val);\n } else {\n result[key] = val;\n }\n }\n\n for (var i = 0, l = arguments.length; i < l; i++) {\n forEach(arguments[i], assignValue);\n }\n return result;\n}\n\n/**\n * Extends object a by mutably adding to it the properties of object b.\n *\n * @param {Object} a The object to be extended\n * @param {Object} b The object to copy properties from\n * @param {Object} thisArg The object to bind function to\n * @return {Object} The resulting value of object a\n */\nfunction extend(a, b, thisArg) {\n forEach(b, function assignValue(val, key) {\n if (thisArg && typeof val === 'function') {\n a[key] = bind(val, thisArg);\n } else {\n a[key] = val;\n }\n });\n return a;\n}\n\nmodule.exports = {\n isArray: isArray,\n isArrayBuffer: isArrayBuffer,\n isBuffer: isBuffer,\n isFormData: isFormData,\n isArrayBufferView: isArrayBufferView,\n isString: isString,\n isNumber: isNumber,\n isObject: isObject,\n isUndefined: isUndefined,\n isDate: isDate,\n isFile: isFile,\n isBlob: isBlob,\n isFunction: isFunction,\n isStream: isStream,\n isURLSearchParams: isURLSearchParams,\n isStandardBrowserEnv: isStandardBrowserEnv,\n forEach: forEach,\n merge: merge,\n deepMerge: deepMerge,\n extend: extend,\n trim: trim\n};\n","var hasOwnProperty = {}.hasOwnProperty;\n\nmodule.exports = function (it, key) {\n return hasOwnProperty.call(it, key);\n};\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it)) {\n throw TypeError(String(it) + ' is not an object');\n } return it;\n};\n","module.exports = function (it) {\n return typeof it === 'object' ? it !== null : typeof it === 'function';\n};\n","var fails = require('../internals/fails');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !fails(function () {\n return Object.defineProperty({}, 1, { get: function () { return 7; } })[1] != 7;\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\nvar anObject = require('../internals/an-object');\nvar toPrimitive = require('../internals/to-primitive');\n\nvar nativeDefineProperty = Object.defineProperty;\n\n// `Object.defineProperty` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperty\nexports.f = DESCRIPTORS ? nativeDefineProperty : function defineProperty(O, P, Attributes) {\n anObject(O);\n P = toPrimitive(P, true);\n anObject(Attributes);\n if (IE8_DOM_DEFINE) try {\n return nativeDefineProperty(O, P, Attributes);\n } catch (error) { /* empty */ }\n if ('get' in Attributes || 'set' in Attributes) throw TypeError('Accessors not supported');\n if ('value' in Attributes) O[P] = Attributes.value;\n return O;\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = DESCRIPTORS ? function (object, key, value) {\n return definePropertyModule.f(object, key, createPropertyDescriptor(1, value));\n} : function (object, key, value) {\n object[key] = value;\n return object;\n};\n","var global = require('../internals/global');\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar setGlobal = require('../internals/set-global');\nvar copyConstructorProperties = require('../internals/copy-constructor-properties');\nvar isForced = require('../internals/is-forced');\n\n/*\n options.target - name of the target object\n options.global - target is the global object\n options.stat - export as static methods of target\n options.proto - export as prototype methods of target\n options.real - real prototype method for the `pure` version\n options.forced - export even if the native feature is available\n options.bind - bind methods to the target, required for the `pure` version\n options.wrap - wrap constructors to preventing global pollution, required for the `pure` version\n options.unsafe - use the simple assignment of property instead of delete + defineProperty\n options.sham - add a flag to not completely full polyfills\n options.enumerable - export as enumerable property\n options.noTargetGet - prevent calling a getter on target\n*/\nmodule.exports = function (options, source) {\n var TARGET = options.target;\n var GLOBAL = options.global;\n var STATIC = options.stat;\n var FORCED, target, key, targetProperty, sourceProperty, descriptor;\n if (GLOBAL) {\n target = global;\n } else if (STATIC) {\n target = global[TARGET] || setGlobal(TARGET, {});\n } else {\n target = (global[TARGET] || {}).prototype;\n }\n if (target) for (key in source) {\n sourceProperty = source[key];\n if (options.noTargetGet) {\n descriptor = getOwnPropertyDescriptor(target, key);\n targetProperty = descriptor && descriptor.value;\n } else targetProperty = target[key];\n FORCED = isForced(GLOBAL ? key : TARGET + (STATIC ? '.' : '#') + key, options.forced);\n // contained in target\n if (!FORCED && targetProperty !== undefined) {\n if (typeof sourceProperty === typeof targetProperty) continue;\n copyConstructorProperties(sourceProperty, targetProperty);\n }\n // add a flag to not completely full polyfills\n if (options.sham || (targetProperty && targetProperty.sham)) {\n createNonEnumerableProperty(sourceProperty, 'sham', true);\n }\n // extend global\n redefine(target, key, sourceProperty, options);\n }\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.index-of\");\n\nrequire(\"core-js/modules/es.object.assign\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.regexp.to-string\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRootUrl = exports.generateFilePath = exports.imagePath = exports.generateUrl = exports.generateOcsUrl = exports.generateRemoteUrl = exports.linkTo = void 0;\n\n/// <reference types=\"@nextcloud/typings\" />\n\n/**\n * Get an absolute url to a file in an app\n *\n * @param {string} app the id of the app the file belongs to\n * @param {string} file the file path relative to the app folder\n * @return {string} Absolute URL to a file\n */\nvar linkTo = function linkTo(app, file) {\n return generateFilePath(app, '', file);\n};\n/**\n * Creates a relative url for remote use\n *\n * @param {string} service id\n * @return {string} the url\n */\n\n\nexports.linkTo = linkTo;\n\nvar linkToRemoteBase = function linkToRemoteBase(service) {\n return getRootUrl() + '/remote.php/' + service;\n};\n/**\n * @brief Creates an absolute url for remote use\n * @param {string} service id\n * @return {string} the url\n */\n\n\nvar generateRemoteUrl = function generateRemoteUrl(service) {\n return window.location.protocol + '//' + window.location.host + linkToRemoteBase(service);\n};\n/**\n * Get the base path for the given OCS API service\n *\n * @param {string} service name\n * @param {int} version OCS API version\n * @return {string} OCS API base path\n */\n\n\nexports.generateRemoteUrl = generateRemoteUrl;\n\nvar generateOcsUrl = function generateOcsUrl(service, version) {\n version = version !== 2 ? 1 : 2;\n return window.location.protocol + '//' + window.location.host + getRootUrl() + '/ocs/v' + version + '.php/' + service + '/';\n};\n\nexports.generateOcsUrl = generateOcsUrl;\n\n/**\n * Generate the absolute url for the given relative url, which can contain parameters\n *\n * Parameters will be URL encoded automatically\n *\n * @return {string} Absolute URL for the given relative URL\n */\nvar generateUrl = function generateUrl(url, params, options) {\n var allOptions = Object.assign({\n escape: true,\n noRewrite: false\n }, options || {});\n\n var _build = function _build(text, vars) {\n vars = vars || {};\n return text.replace(/{([^{}]*)}/g, function (a, b) {\n var r = vars[b];\n\n if (allOptions.escape) {\n return typeof r === 'string' || typeof r === 'number' ? encodeURIComponent(r.toString()) : encodeURIComponent(a);\n } else {\n return typeof r === 'string' || typeof r === 'number' ? r.toString() : a;\n }\n });\n };\n\n if (url.charAt(0) !== '/') {\n url = '/' + url;\n }\n\n if (OC.config.modRewriteWorking === true && !allOptions.noRewrite) {\n return getRootUrl() + _build(url, params || {});\n }\n\n return getRootUrl() + '/index.php' + _build(url, params || {});\n};\n/**\n * Get the absolute path to an image file\n * if no extension is given for the image, it will automatically decide\n * between .png and .svg based on what the browser supports\n *\n * @param {string} app the app id to which the image belongs\n * @param {string} file the name of the image file\n * @return {string}\n */\n\n\nexports.generateUrl = generateUrl;\n\nvar imagePath = function imagePath(app, file) {\n if (file.indexOf('.') === -1) {\n //if no extension is given, use svg\n return generateFilePath(app, 'img', file + '.svg');\n }\n\n return generateFilePath(app, 'img', file);\n};\n/**\n * Get the absolute url for a file in an app\n *\n * @param {string} app the id of the app\n * @param {string} type the type of the file to link to (e.g. css,img,ajax.template)\n * @param {string} file the filename\n * @return {string} Absolute URL for a file in an app\n */\n\n\nexports.imagePath = imagePath;\n\nvar generateFilePath = function generateFilePath(app, type, file) {\n var isCore = OC.coreApps.indexOf(app) !== -1;\n var link = getRootUrl();\n\n if (file.substring(file.length - 3) === 'php' && !isCore) {\n link += '/index.php/apps/' + app;\n\n if (file !== 'index.php') {\n link += '/';\n\n if (type) {\n link += encodeURI(type + '/');\n }\n\n link += file;\n }\n } else if (file.substring(file.length - 3) !== 'php' && !isCore) {\n link = OC.appswebroots[app];\n\n if (type) {\n link += '/' + type + '/';\n }\n\n if (link.substring(link.length - 1) !== '/') {\n link += '/';\n }\n\n link += file;\n } else {\n if ((app === 'settings' || app === 'core' || app === 'search') && type === 'ajax') {\n link += '/index.php/';\n } else {\n link += '/';\n }\n\n if (!isCore) {\n link += 'apps/';\n }\n\n if (app !== '') {\n app += '/';\n link += app;\n }\n\n if (type) {\n link += type + '/';\n }\n\n link += file;\n }\n\n return link;\n};\n/**\n * Return the web root path where this Nextcloud instance\n * is accessible, with a leading slash.\n * For example \"/nextcloud\".\n *\n * @return {string} web root path\n */\n\n\nexports.generateFilePath = generateFilePath;\n\nvar getRootUrl = function getRootUrl() {\n return OC.webroot;\n};\n\nexports.getRootUrl = getRootUrl;\n//# sourceMappingURL=index.js.map","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar setGlobal = require('../internals/set-global');\nvar inspectSource = require('../internals/inspect-source');\nvar InternalStateModule = require('../internals/internal-state');\n\nvar getInternalState = InternalStateModule.get;\nvar enforceInternalState = InternalStateModule.enforce;\nvar TEMPLATE = String(String).split('String');\n\n(module.exports = function (O, key, value, options) {\n var unsafe = options ? !!options.unsafe : false;\n var simple = options ? !!options.enumerable : false;\n var noTargetGet = options ? !!options.noTargetGet : false;\n if (typeof value == 'function') {\n if (typeof key == 'string' && !has(value, 'name')) createNonEnumerableProperty(value, 'name', key);\n enforceInternalState(value).source = TEMPLATE.join(typeof key == 'string' ? key : '');\n }\n if (O === global) {\n if (simple) O[key] = value;\n else setGlobal(key, value);\n return;\n } else if (!unsafe) {\n delete O[key];\n } else if (!noTargetGet && O[key]) {\n simple = true;\n }\n if (simple) O[key] = value;\n else createNonEnumerableProperty(O, key, value);\n// add fake Function#toString for correct work wrapped methods / constructors with methods like LoDash isNative\n})(Function.prototype, 'toString', function toString() {\n return typeof this == 'function' && getInternalState(this).source || inspectSource(this);\n});\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.subscribe = subscribe;\nexports.unsubscribe = unsubscribe;\nexports.emit = emit;\n\nvar _ProxyBus = require(\"./ProxyBus\");\n\nvar _SimpleBus = require(\"./SimpleBus\");\n\nfunction getBus() {\n if (typeof window.OC !== 'undefined' && window.OC._eventBus && typeof window._nc_event_bus === 'undefined') {\n console.warn('found old event bus instance at OC._eventBus. Update your version!');\n window._nc_event_bus = window.OC._eventBus;\n } // Either use an existing event bus instance or create one\n\n\n if (typeof window._nc_event_bus !== 'undefined') {\n return new _ProxyBus.ProxyBus(window._nc_event_bus);\n } else {\n return window._nc_event_bus = new _SimpleBus.SimpleBus();\n }\n}\n\nvar bus = getBus();\n/**\n * Register an event listener\n *\n * @param name name of the event\n * @param handler callback invoked for every matching event emitted on the bus\n */\n\nfunction subscribe(name, handler) {\n bus.subscribe(name, handler);\n}\n/**\n * Unregister a previously registered event listener\n *\n * Note: doesn't work with anonymous functions (closures). Use method of an object or store listener function in variable.\n *\n * @param name name of the event\n * @param handler callback passed to `subscribed`\n */\n\n\nfunction unsubscribe(name, handler) {\n bus.unsubscribe(name, handler);\n}\n/**\n * Emit an event\n *\n * @param name name of the event\n * @param event event payload\n */\n\n\nfunction emit(name, event) {\n bus.emit(name, event);\n}\n//# sourceMappingURL=index.js.map","var toInteger = require('../internals/to-integer');\n\nvar min = Math.min;\n\n// `ToLength` abstract operation\n// https://tc39.github.io/ecma262/#sec-tolength\nmodule.exports = function (argument) {\n return argument > 0 ? min(toInteger(argument), 0x1FFFFFFFFFFFFF) : 0; // 2 ** 53 - 1 == 9007199254740991\n};\n","var requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `ToObject` abstract operation\n// https://tc39.github.io/ecma262/#sec-toobject\nmodule.exports = function (argument) {\n return Object(requireObjectCoercible(argument));\n};\n","module.exports = {};\n","/* globals __VUE_SSR_CONTEXT__ */\n\n// IMPORTANT: Do NOT use ES2015 features in this file (except for modules).\n// This module is a runtime utility for cleaner component module output and will\n// be included in the final webpack user bundle.\n\nexport default function normalizeComponent (\n scriptExports,\n render,\n staticRenderFns,\n functionalTemplate,\n injectStyles,\n scopeId,\n moduleIdentifier, /* server only */\n shadowMode /* vue-cli only */\n) {\n // Vue.extend constructor export interop\n var options = typeof scriptExports === 'function'\n ? scriptExports.options\n : scriptExports\n\n // render functions\n if (render) {\n options.render = render\n options.staticRenderFns = staticRenderFns\n options._compiled = true\n }\n\n // functional template\n if (functionalTemplate) {\n options.functional = true\n }\n\n // scopedId\n if (scopeId) {\n options._scopeId = 'data-v-' + scopeId\n }\n\n var hook\n if (moduleIdentifier) { // server build\n hook = function (context) {\n // 2.3 injection\n context =\n context || // cached call\n (this.$vnode && this.$vnode.ssrContext) || // stateful\n (this.parent && this.parent.$vnode && this.parent.$vnode.ssrContext) // functional\n // 2.2 with runInNewContext: true\n if (!context && typeof __VUE_SSR_CONTEXT__ !== 'undefined') {\n context = __VUE_SSR_CONTEXT__\n }\n // inject component styles\n if (injectStyles) {\n injectStyles.call(this, context)\n }\n // register component module identifier for async chunk inferrence\n if (context && context._registeredComponents) {\n context._registeredComponents.add(moduleIdentifier)\n }\n }\n // used by ssr in case component is cached and beforeCreate\n // never gets called\n options._ssrRegister = hook\n } else if (injectStyles) {\n hook = shadowMode\n ? function () {\n injectStyles.call(\n this,\n (options.functional ? this.parent : this).$root.$options.shadowRoot\n )\n }\n : injectStyles\n }\n\n if (hook) {\n if (options.functional) {\n // for template-only hot-reload because in that case the render fn doesn't\n // go through the normalizer\n options._injectStyles = hook\n // register for functional component in vue file\n var originalRender = options.render\n options.render = function renderWithStyleInjection (h, context) {\n hook.call(context)\n return originalRender(h, context)\n }\n } else {\n // inject component registration as beforeCreate hook\n var existing = options.beforeCreate\n options.beforeCreate = existing\n ? [].concat(existing, hook)\n : [hook]\n }\n }\n\n return {\n exports: scriptExports,\n options: options\n }\n}\n","var toString = {}.toString;\n\nmodule.exports = function (it) {\n return toString.call(it).slice(8, -1);\n};\n","var NATIVE_WEAK_MAP = require('../internals/native-weak-map');\nvar global = require('../internals/global');\nvar isObject = require('../internals/is-object');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar objectHas = require('../internals/has');\nvar sharedKey = require('../internals/shared-key');\nvar hiddenKeys = require('../internals/hidden-keys');\n\nvar WeakMap = global.WeakMap;\nvar set, get, has;\n\nvar enforce = function (it) {\n return has(it) ? get(it) : set(it, {});\n};\n\nvar getterFor = function (TYPE) {\n return function (it) {\n var state;\n if (!isObject(it) || (state = get(it)).type !== TYPE) {\n throw TypeError('Incompatible receiver, ' + TYPE + ' required');\n } return state;\n };\n};\n\nif (NATIVE_WEAK_MAP) {\n var store = new WeakMap();\n var wmget = store.get;\n var wmhas = store.has;\n var wmset = store.set;\n set = function (it, metadata) {\n wmset.call(store, it, metadata);\n return metadata;\n };\n get = function (it) {\n return wmget.call(store, it) || {};\n };\n has = function (it) {\n return wmhas.call(store, it);\n };\n} else {\n var STATE = sharedKey('state');\n hiddenKeys[STATE] = true;\n set = function (it, metadata) {\n createNonEnumerableProperty(it, STATE, metadata);\n return metadata;\n };\n get = function (it) {\n return objectHas(it, STATE) ? it[STATE] : {};\n };\n has = function (it) {\n return objectHas(it, STATE);\n };\n}\n\nmodule.exports = {\n set: set,\n get: get,\n has: has,\n enforce: enforce,\n getterFor: getterFor\n};\n","// `RequireObjectCoercible` abstract operation\n// https://tc39.github.io/ecma262/#sec-requireobjectcoercible\nmodule.exports = function (it) {\n if (it == undefined) throw TypeError(\"Can't call method on \" + it);\n return it;\n};\n","var path = require('../internals/path');\nvar global = require('../internals/global');\n\nvar aFunction = function (variable) {\n return typeof variable == 'function' ? variable : undefined;\n};\n\nmodule.exports = function (namespace, method) {\n return arguments.length < 2 ? aFunction(path[namespace]) || aFunction(global[namespace])\n : path[namespace] && path[namespace][method] || global[namespace] && global[namespace][method];\n};\n","var ceil = Math.ceil;\nvar floor = Math.floor;\n\n// `ToInteger` abstract operation\n// https://tc39.github.io/ecma262/#sec-tointeger\nmodule.exports = function (argument) {\n return isNaN(argument = +argument) ? 0 : (argument > 0 ? floor : ceil)(argument);\n};\n","module.exports = function (bitmap, value) {\n return {\n enumerable: !(bitmap & 1),\n configurable: !(bitmap & 2),\n writable: !(bitmap & 4),\n value: value\n };\n};\n","// toObject with fallback for non-array-like ES3 strings\nvar IndexedObject = require('../internals/indexed-object');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\nmodule.exports = function (it) {\n return IndexedObject(requireObjectCoercible(it));\n};\n","module.exports = {};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.object.assign\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.default = void 0;\n\nvar _axios = _interopRequireDefault(require(\"axios\"));\n\nvar _auth = require(\"@nextcloud/auth\");\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nvar client = _axios.default.create({\n headers: {\n requesttoken: (0, _auth.getRequestToken)()\n }\n});\n\nvar cancelableClient = Object.assign(client, {\n CancelToken: _axios.default.CancelToken,\n isCancel: _axios.default.isCancel\n});\n(0, _auth.onRequestTokenUpdate)(function (token) {\n return client.defaults.headers.requesttoken = token;\n});\nvar _default = cancelableClient;\nexports.default = _default;\n//# sourceMappingURL=index.js.map","var aFunction = require('../internals/a-function');\n\n// optional / simple context binding\nmodule.exports = function (fn, that, length) {\n aFunction(fn);\n if (that === undefined) return fn;\n switch (length) {\n case 0: return function () {\n return fn.call(that);\n };\n case 1: return function (a) {\n return fn.call(that, a);\n };\n case 2: return function (a, b) {\n return fn.call(that, a, b);\n };\n case 3: return function (a, b, c) {\n return fn.call(that, a, b, c);\n };\n }\n return function (/* ...args */) {\n return fn.apply(that, arguments);\n };\n};\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar has = require('../internals/has');\n\nvar defineProperty = Object.defineProperty;\nvar cache = {};\n\nvar thrower = function (it) { throw it; };\n\nmodule.exports = function (METHOD_NAME, options) {\n if (has(cache, METHOD_NAME)) return cache[METHOD_NAME];\n if (!options) options = {};\n var method = [][METHOD_NAME];\n var ACCESSORS = has(options, 'ACCESSORS') ? options.ACCESSORS : false;\n var argument0 = has(options, 0) ? options[0] : thrower;\n var argument1 = has(options, 1) ? options[1] : undefined;\n\n return cache[METHOD_NAME] = !!method && !fails(function () {\n if (ACCESSORS && !DESCRIPTORS) return true;\n var O = { length: -1 };\n\n if (ACCESSORS) defineProperty(O, 1, { enumerable: true, get: thrower });\n else O[1] = 1;\n\n method.call(O, argument0, argument1);\n });\n};\n","var anObject = require('../internals/an-object');\nvar defineProperties = require('../internals/object-define-properties');\nvar enumBugKeys = require('../internals/enum-bug-keys');\nvar hiddenKeys = require('../internals/hidden-keys');\nvar html = require('../internals/html');\nvar documentCreateElement = require('../internals/document-create-element');\nvar sharedKey = require('../internals/shared-key');\n\nvar GT = '>';\nvar LT = '<';\nvar PROTOTYPE = 'prototype';\nvar SCRIPT = 'script';\nvar IE_PROTO = sharedKey('IE_PROTO');\n\nvar EmptyConstructor = function () { /* empty */ };\n\nvar scriptTag = function (content) {\n return LT + SCRIPT + GT + content + LT + '/' + SCRIPT + GT;\n};\n\n// Create object with fake `null` prototype: use ActiveX Object with cleared prototype\nvar NullProtoObjectViaActiveX = function (activeXDocument) {\n activeXDocument.write(scriptTag(''));\n activeXDocument.close();\n var temp = activeXDocument.parentWindow.Object;\n activeXDocument = null; // avoid memory leak\n return temp;\n};\n\n// Create object with fake `null` prototype: use iframe Object with cleared prototype\nvar NullProtoObjectViaIFrame = function () {\n // Thrash, waste and sodomy: IE GC bug\n var iframe = documentCreateElement('iframe');\n var JS = 'java' + SCRIPT + ':';\n var iframeDocument;\n iframe.style.display = 'none';\n html.appendChild(iframe);\n // https://github.com/zloirock/core-js/issues/475\n iframe.src = String(JS);\n iframeDocument = iframe.contentWindow.document;\n iframeDocument.open();\n iframeDocument.write(scriptTag('document.F=Object'));\n iframeDocument.close();\n return iframeDocument.F;\n};\n\n// Check for document.domain and active x support\n// No need to use active x approach when document.domain is not set\n// see https://github.com/es-shims/es5-shim/issues/150\n// variation of https://github.com/kitcambridge/es5-shim/commit/4f738ac066346\n// avoid IE GC bug\nvar activeXDocument;\nvar NullProtoObject = function () {\n try {\n /* global ActiveXObject */\n activeXDocument = document.domain && new ActiveXObject('htmlfile');\n } catch (error) { /* ignore */ }\n NullProtoObject = activeXDocument ? NullProtoObjectViaActiveX(activeXDocument) : NullProtoObjectViaIFrame();\n var length = enumBugKeys.length;\n while (length--) delete NullProtoObject[PROTOTYPE][enumBugKeys[length]];\n return NullProtoObject();\n};\n\nhiddenKeys[IE_PROTO] = true;\n\n// `Object.create` method\n// https://tc39.github.io/ecma262/#sec-object.create\nmodule.exports = Object.create || function create(O, Properties) {\n var result;\n if (O !== null) {\n EmptyConstructor[PROTOTYPE] = anObject(O);\n result = new EmptyConstructor();\n EmptyConstructor[PROTOTYPE] = null;\n // add \"__proto__\" for Object.getPrototypeOf polyfill\n result[IE_PROTO] = O;\n } else result = NullProtoObject();\n return Properties === undefined ? result : defineProperties(result, Properties);\n};\n","var defineProperty = require('../internals/object-define-property').f;\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n\nmodule.exports = function (it, TAG, STATIC) {\n if (it && !has(it = STATIC ? it : it.prototype, TO_STRING_TAG)) {\n defineProperty(it, TO_STRING_TAG, { configurable: true, value: TAG });\n }\n};\n","var isObject = require('../internals/is-object');\n\n// `ToPrimitive` abstract operation\n// https://tc39.github.io/ecma262/#sec-toprimitive\n// instead of the ES6 spec version, we didn't implement @@toPrimitive case\n// and the second argument - flag - preferred type is a string\nmodule.exports = function (input, PREFERRED_STRING) {\n if (!isObject(input)) return input;\n var fn, val;\n if (PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n if (typeof (fn = input.valueOf) == 'function' && !isObject(val = fn.call(input))) return val;\n if (!PREFERRED_STRING && typeof (fn = input.toString) == 'function' && !isObject(val = fn.call(input))) return val;\n throw TypeError(\"Can't convert object to primitive value\");\n};\n","module.exports = false;\n","var fails = require('../internals/fails');\nvar classof = require('../internals/classof-raw');\n\nvar split = ''.split;\n\n// fallback for non-array-like ES3 and non-enumerable old V8 strings\nmodule.exports = fails(function () {\n // throws an error in rhino, see https://github.com/mozilla/rhino/issues/346\n // eslint-disable-next-line no-prototype-builtins\n return !Object('z').propertyIsEnumerable(0);\n}) ? function (it) {\n return classof(it) == 'String' ? split.call(it, '') : Object(it);\n} : Object;\n","var global = require('../internals/global');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nmodule.exports = function (key, value) {\n try {\n createNonEnumerableProperty(global, key, value);\n } catch (error) {\n global[key] = value;\n } return value;\n};\n","var shared = require('../internals/shared');\nvar uid = require('../internals/uid');\n\nvar keys = shared('keys');\n\nmodule.exports = function (key) {\n return keys[key] || (keys[key] = uid(key));\n};\n","var id = 0;\nvar postfix = Math.random();\n\nmodule.exports = function (key) {\n return 'Symbol(' + String(key === undefined ? '' : key) + ')_' + (++id + postfix).toString(36);\n};\n","// IE8- don't enum bug keys\nmodule.exports = [\n 'constructor',\n 'hasOwnProperty',\n 'isPrototypeOf',\n 'propertyIsEnumerable',\n 'toLocaleString',\n 'toString',\n 'valueOf'\n];\n","// Note: this is the semver.org version of the spec that it implements\n// Not necessarily the package version of this code.\nconst SEMVER_SPEC_VERSION = '2.0.0'\n\nconst MAX_LENGTH = 256\nconst MAX_SAFE_INTEGER = Number.MAX_SAFE_INTEGER ||\n /* istanbul ignore next */ 9007199254740991\n\n// Max safe segment length for coercion.\nconst MAX_SAFE_COMPONENT_LENGTH = 16\n\nmodule.exports = {\n SEMVER_SPEC_VERSION,\n MAX_LENGTH,\n MAX_SAFE_INTEGER,\n MAX_SAFE_COMPONENT_LENGTH\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar createIteratorConstructor = require('../internals/create-iterator-constructor');\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar redefine = require('../internals/redefine');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\nvar Iterators = require('../internals/iterators');\nvar IteratorsCore = require('../internals/iterators-core');\n\nvar IteratorPrototype = IteratorsCore.IteratorPrototype;\nvar BUGGY_SAFARI_ITERATORS = IteratorsCore.BUGGY_SAFARI_ITERATORS;\nvar ITERATOR = wellKnownSymbol('iterator');\nvar KEYS = 'keys';\nvar VALUES = 'values';\nvar ENTRIES = 'entries';\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (Iterable, NAME, IteratorConstructor, next, DEFAULT, IS_SET, FORCED) {\n createIteratorConstructor(IteratorConstructor, NAME, next);\n\n var getIterationMethod = function (KIND) {\n if (KIND === DEFAULT && defaultIterator) return defaultIterator;\n if (!BUGGY_SAFARI_ITERATORS && KIND in IterablePrototype) return IterablePrototype[KIND];\n switch (KIND) {\n case KEYS: return function keys() { return new IteratorConstructor(this, KIND); };\n case VALUES: return function values() { return new IteratorConstructor(this, KIND); };\n case ENTRIES: return function entries() { return new IteratorConstructor(this, KIND); };\n } return function () { return new IteratorConstructor(this); };\n };\n\n var TO_STRING_TAG = NAME + ' Iterator';\n var INCORRECT_VALUES_NAME = false;\n var IterablePrototype = Iterable.prototype;\n var nativeIterator = IterablePrototype[ITERATOR]\n || IterablePrototype['@@iterator']\n || DEFAULT && IterablePrototype[DEFAULT];\n var defaultIterator = !BUGGY_SAFARI_ITERATORS && nativeIterator || getIterationMethod(DEFAULT);\n var anyNativeIterator = NAME == 'Array' ? IterablePrototype.entries || nativeIterator : nativeIterator;\n var CurrentIteratorPrototype, methods, KEY;\n\n // fix native\n if (anyNativeIterator) {\n CurrentIteratorPrototype = getPrototypeOf(anyNativeIterator.call(new Iterable()));\n if (IteratorPrototype !== Object.prototype && CurrentIteratorPrototype.next) {\n if (!IS_PURE && getPrototypeOf(CurrentIteratorPrototype) !== IteratorPrototype) {\n if (setPrototypeOf) {\n setPrototypeOf(CurrentIteratorPrototype, IteratorPrototype);\n } else if (typeof CurrentIteratorPrototype[ITERATOR] != 'function') {\n createNonEnumerableProperty(CurrentIteratorPrototype, ITERATOR, returnThis);\n }\n }\n // Set @@toStringTag to native iterators\n setToStringTag(CurrentIteratorPrototype, TO_STRING_TAG, true, true);\n if (IS_PURE) Iterators[TO_STRING_TAG] = returnThis;\n }\n }\n\n // fix Array#{values, @@iterator}.name in V8 / FF\n if (DEFAULT == VALUES && nativeIterator && nativeIterator.name !== VALUES) {\n INCORRECT_VALUES_NAME = true;\n defaultIterator = function values() { return nativeIterator.call(this); };\n }\n\n // define iterator\n if ((!IS_PURE || FORCED) && IterablePrototype[ITERATOR] !== defaultIterator) {\n createNonEnumerableProperty(IterablePrototype, ITERATOR, defaultIterator);\n }\n Iterators[NAME] = defaultIterator;\n\n // export additional methods\n if (DEFAULT) {\n methods = {\n values: getIterationMethod(VALUES),\n keys: IS_SET ? defaultIterator : getIterationMethod(KEYS),\n entries: getIterationMethod(ENTRIES)\n };\n if (FORCED) for (KEY in methods) {\n if (BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME || !(KEY in IterablePrototype)) {\n redefine(IterablePrototype, KEY, methods[KEY]);\n }\n } else $({ target: NAME, proto: true, forced: BUGGY_SAFARI_ITERATORS || INCORRECT_VALUES_NAME }, methods);\n }\n\n return methods;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar test = {};\n\ntest[TO_STRING_TAG] = 'z';\n\nmodule.exports = String(test) === '[object z]';\n","'use strict';\nvar regexpFlags = require('./regexp-flags');\nvar stickyHelpers = require('./regexp-sticky-helpers');\n\nvar nativeExec = RegExp.prototype.exec;\n// This always refers to the native implementation, because the\n// String#replace polyfill uses ./fix-regexp-well-known-symbol-logic.js,\n// which loads this file before patching the method.\nvar nativeReplace = String.prototype.replace;\n\nvar patchedExec = nativeExec;\n\nvar UPDATES_LAST_INDEX_WRONG = (function () {\n var re1 = /a/;\n var re2 = /b*/g;\n nativeExec.call(re1, 'a');\n nativeExec.call(re2, 'a');\n return re1.lastIndex !== 0 || re2.lastIndex !== 0;\n})();\n\nvar UNSUPPORTED_Y = stickyHelpers.UNSUPPORTED_Y || stickyHelpers.BROKEN_CARET;\n\n// nonparticipating capturing group, copied from es5-shim's String#split patch.\nvar NPCG_INCLUDED = /()??/.exec('')[1] !== undefined;\n\nvar PATCH = UPDATES_LAST_INDEX_WRONG || NPCG_INCLUDED || UNSUPPORTED_Y;\n\nif (PATCH) {\n patchedExec = function exec(str) {\n var re = this;\n var lastIndex, reCopy, match, i;\n var sticky = UNSUPPORTED_Y && re.sticky;\n var flags = regexpFlags.call(re);\n var source = re.source;\n var charsAdded = 0;\n var strCopy = str;\n\n if (sticky) {\n flags = flags.replace('y', '');\n if (flags.indexOf('g') === -1) {\n flags += 'g';\n }\n\n strCopy = String(str).slice(re.lastIndex);\n // Support anchored sticky behavior.\n if (re.lastIndex > 0 && (!re.multiline || re.multiline && str[re.lastIndex - 1] !== '\\n')) {\n source = '(?: ' + source + ')';\n strCopy = ' ' + strCopy;\n charsAdded++;\n }\n // ^(? + rx + ) is needed, in combination with some str slicing, to\n // simulate the 'y' flag.\n reCopy = new RegExp('^(?:' + source + ')', flags);\n }\n\n if (NPCG_INCLUDED) {\n reCopy = new RegExp('^' + source + '$(?!\\\\s)', flags);\n }\n if (UPDATES_LAST_INDEX_WRONG) lastIndex = re.lastIndex;\n\n match = nativeExec.call(sticky ? reCopy : re, strCopy);\n\n if (sticky) {\n if (match) {\n match.input = match.input.slice(charsAdded);\n match[0] = match[0].slice(charsAdded);\n match.index = re.lastIndex;\n re.lastIndex += match[0].length;\n } else re.lastIndex = 0;\n } else if (UPDATES_LAST_INDEX_WRONG && match) {\n re.lastIndex = re.global ? match.index + match[0].length : lastIndex;\n }\n if (NPCG_INCLUDED && match && match.length > 1) {\n // Fix browsers whose `exec` methods don't consistently return `undefined`\n // for NPCG, like IE8. NOTE: This doesn' work for /(.?)?/\n nativeReplace.call(match[0], reCopy, function () {\n for (i = 1; i < arguments.length - 2; i++) {\n if (arguments[i] === undefined) match[i] = undefined;\n }\n });\n }\n\n return match;\n };\n}\n\nmodule.exports = patchedExec;\n","var g;\n\n// This works in non-strict mode\ng = (function() {\n\treturn this;\n})();\n\ntry {\n\t// This works if eval is allowed (see CSP)\n\tg = g || new Function(\"return this\")();\n} catch (e) {\n\t// This works if the window reference is available\n\tif (typeof window === \"object\") g = window;\n}\n\n// g can still be undefined, but nothing to do about it...\n// We return undefined, instead of nothing here, so it's\n// easier to handle this case. if(!global) { ...}\n\nmodule.exports = g;\n","// shim for using process in browser\nvar process = module.exports = {};\n\n// cached from whatever global is present so that test runners that stub it\n// don't break things. But we need to wrap it in a try catch in case it is\n// wrapped in strict mode code which doesn't define any globals. It's inside a\n// function because try/catches deoptimize in certain engines.\n\nvar cachedSetTimeout;\nvar cachedClearTimeout;\n\nfunction defaultSetTimout() {\n throw new Error('setTimeout has not been defined');\n}\nfunction defaultClearTimeout () {\n throw new Error('clearTimeout has not been defined');\n}\n(function () {\n try {\n if (typeof setTimeout === 'function') {\n cachedSetTimeout = setTimeout;\n } else {\n cachedSetTimeout = defaultSetTimout;\n }\n } catch (e) {\n cachedSetTimeout = defaultSetTimout;\n }\n try {\n if (typeof clearTimeout === 'function') {\n cachedClearTimeout = clearTimeout;\n } else {\n cachedClearTimeout = defaultClearTimeout;\n }\n } catch (e) {\n cachedClearTimeout = defaultClearTimeout;\n }\n} ())\nfunction runTimeout(fun) {\n if (cachedSetTimeout === setTimeout) {\n //normal enviroments in sane situations\n return setTimeout(fun, 0);\n }\n // if setTimeout wasn't available but was latter defined\n if ((cachedSetTimeout === defaultSetTimout || !cachedSetTimeout) && setTimeout) {\n cachedSetTimeout = setTimeout;\n return setTimeout(fun, 0);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedSetTimeout(fun, 0);\n } catch(e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedSetTimeout.call(null, fun, 0);\n } catch(e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error\n return cachedSetTimeout.call(this, fun, 0);\n }\n }\n\n\n}\nfunction runClearTimeout(marker) {\n if (cachedClearTimeout === clearTimeout) {\n //normal enviroments in sane situations\n return clearTimeout(marker);\n }\n // if clearTimeout wasn't available but was latter defined\n if ((cachedClearTimeout === defaultClearTimeout || !cachedClearTimeout) && clearTimeout) {\n cachedClearTimeout = clearTimeout;\n return clearTimeout(marker);\n }\n try {\n // when when somebody has screwed with setTimeout but no I.E. maddness\n return cachedClearTimeout(marker);\n } catch (e){\n try {\n // When we are in I.E. but the script has been evaled so I.E. doesn't trust the global object when called normally\n return cachedClearTimeout.call(null, marker);\n } catch (e){\n // same as above but when it's a version of I.E. that must have the global object for 'this', hopfully our context correct otherwise it will throw a global error.\n // Some versions of I.E. have different rules for clearTimeout vs setTimeout\n return cachedClearTimeout.call(this, marker);\n }\n }\n\n\n\n}\nvar queue = [];\nvar draining = false;\nvar currentQueue;\nvar queueIndex = -1;\n\nfunction cleanUpNextTick() {\n if (!draining || !currentQueue) {\n return;\n }\n draining = false;\n if (currentQueue.length) {\n queue = currentQueue.concat(queue);\n } else {\n queueIndex = -1;\n }\n if (queue.length) {\n drainQueue();\n }\n}\n\nfunction drainQueue() {\n if (draining) {\n return;\n }\n var timeout = runTimeout(cleanUpNextTick);\n draining = true;\n\n var len = queue.length;\n while(len) {\n currentQueue = queue;\n queue = [];\n while (++queueIndex < len) {\n if (currentQueue) {\n currentQueue[queueIndex].run();\n }\n }\n queueIndex = -1;\n len = queue.length;\n }\n currentQueue = null;\n draining = false;\n runClearTimeout(timeout);\n}\n\nprocess.nextTick = function (fun) {\n var args = new Array(arguments.length - 1);\n if (arguments.length > 1) {\n for (var i = 1; i < arguments.length; i++) {\n args[i - 1] = arguments[i];\n }\n }\n queue.push(new Item(fun, args));\n if (queue.length === 1 && !draining) {\n runTimeout(drainQueue);\n }\n};\n\n// v8 likes predictible objects\nfunction Item(fun, array) {\n this.fun = fun;\n this.array = array;\n}\nItem.prototype.run = function () {\n this.fun.apply(null, this.array);\n};\nprocess.title = 'browser';\nprocess.browser = true;\nprocess.env = {};\nprocess.argv = [];\nprocess.version = ''; // empty string to avoid regexp issues\nprocess.versions = {};\n\nfunction noop() {}\n\nprocess.on = noop;\nprocess.addListener = noop;\nprocess.once = noop;\nprocess.off = noop;\nprocess.removeListener = noop;\nprocess.removeAllListeners = noop;\nprocess.emit = noop;\nprocess.prependListener = noop;\nprocess.prependOnceListener = noop;\n\nprocess.listeners = function (name) { return [] }\n\nprocess.binding = function (name) {\n throw new Error('process.binding is not supported');\n};\n\nprocess.cwd = function () { return '/' };\nprocess.chdir = function (dir) {\n throw new Error('process.chdir is not supported');\n};\nprocess.umask = function() { return 0; };\n","\"use strict\";\n\n/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n*/\n// css base code, injected by the css-loader\n// eslint-disable-next-line func-names\nmodule.exports = function (useSourceMap) {\n var list = []; // return the list of modules as css string\n\n list.toString = function toString() {\n return this.map(function (item) {\n var content = cssWithMappingToString(item, useSourceMap);\n\n if (item[2]) {\n return \"@media \".concat(item[2], \" {\").concat(content, \"}\");\n }\n\n return content;\n }).join('');\n }; // import a list of modules into the list\n // eslint-disable-next-line func-names\n\n\n list.i = function (modules, mediaQuery, dedupe) {\n if (typeof modules === 'string') {\n // eslint-disable-next-line no-param-reassign\n modules = [[null, modules, '']];\n }\n\n var alreadyImportedModules = {};\n\n if (dedupe) {\n for (var i = 0; i < this.length; i++) {\n // eslint-disable-next-line prefer-destructuring\n var id = this[i][0];\n\n if (id != null) {\n alreadyImportedModules[id] = true;\n }\n }\n }\n\n for (var _i = 0; _i < modules.length; _i++) {\n var item = [].concat(modules[_i]);\n\n if (dedupe && alreadyImportedModules[item[0]]) {\n // eslint-disable-next-line no-continue\n continue;\n }\n\n if (mediaQuery) {\n if (!item[2]) {\n item[2] = mediaQuery;\n } else {\n item[2] = \"\".concat(mediaQuery, \" and \").concat(item[2]);\n }\n }\n\n list.push(item);\n }\n };\n\n return list;\n};\n\nfunction cssWithMappingToString(item, useSourceMap) {\n var content = item[1] || ''; // eslint-disable-next-line prefer-destructuring\n\n var cssMapping = item[3];\n\n if (!cssMapping) {\n return content;\n }\n\n if (useSourceMap && typeof btoa === 'function') {\n var sourceMapping = toComment(cssMapping);\n var sourceURLs = cssMapping.sources.map(function (source) {\n return \"/*# sourceURL=\".concat(cssMapping.sourceRoot || '').concat(source, \" */\");\n });\n return [content].concat(sourceURLs).concat([sourceMapping]).join('\\n');\n }\n\n return [content].join('\\n');\n} // Adapted from convert-source-map (MIT)\n\n\nfunction toComment(sourceMap) {\n // eslint-disable-next-line no-undef\n var base64 = btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap))));\n var data = \"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(base64);\n return \"/*# \".concat(data, \" */\");\n}","/**\n * Translates the list format produced by css-loader into something\n * easier to manipulate.\n */\nexport default function listToStyles (parentId, list) {\n var styles = []\n var newStyles = {}\n for (var i = 0; i < list.length; i++) {\n var item = list[i]\n var id = item[0]\n var css = item[1]\n var media = item[2]\n var sourceMap = item[3]\n var part = {\n id: parentId + ':' + i,\n css: css,\n media: media,\n sourceMap: sourceMap\n }\n if (!newStyles[id]) {\n styles.push(newStyles[id] = { id: id, parts: [part] })\n } else {\n newStyles[id].parts.push(part)\n }\n }\n return styles\n}\n","/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n Modified by Evan You @yyx990803\n*/\n\nimport listToStyles from './listToStyles'\n\nvar hasDocument = typeof document !== 'undefined'\n\nif (typeof DEBUG !== 'undefined' && DEBUG) {\n if (!hasDocument) {\n throw new Error(\n 'vue-style-loader cannot be used in a non-browser environment. ' +\n \"Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\"\n ) }\n}\n\n/*\ntype StyleObject = {\n id: number;\n parts: Array<StyleObjectPart>\n}\n\ntype StyleObjectPart = {\n css: string;\n media: string;\n sourceMap: ?string\n}\n*/\n\nvar stylesInDom = {/*\n [id: number]: {\n id: number,\n refs: number,\n parts: Array<(obj?: StyleObjectPart) => void>\n }\n*/}\n\nvar head = hasDocument && (document.head || document.getElementsByTagName('head')[0])\nvar singletonElement = null\nvar singletonCounter = 0\nvar isProduction = false\nvar noop = function () {}\nvar options = null\nvar ssrIdKey = 'data-vue-ssr-id'\n\n// Force single-tag solution on IE6-9, which has a hard limit on the # of <style>\n// tags it will allow on a page\nvar isOldIE = typeof navigator !== 'undefined' && /msie [6-9]\\b/.test(navigator.userAgent.toLowerCase())\n\nexport default function addStylesClient (parentId, list, _isProduction, _options) {\n isProduction = _isProduction\n\n options = _options || {}\n\n var styles = listToStyles(parentId, list)\n addStylesToDom(styles)\n\n return function update (newList) {\n var mayRemove = []\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n domStyle.refs--\n mayRemove.push(domStyle)\n }\n if (newList) {\n styles = listToStyles(parentId, newList)\n addStylesToDom(styles)\n } else {\n styles = []\n }\n for (var i = 0; i < mayRemove.length; i++) {\n var domStyle = mayRemove[i]\n if (domStyle.refs === 0) {\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j]()\n }\n delete stylesInDom[domStyle.id]\n }\n }\n }\n}\n\nfunction addStylesToDom (styles /* Array<StyleObject> */) {\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n if (domStyle) {\n domStyle.refs++\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j](item.parts[j])\n }\n for (; j < item.parts.length; j++) {\n domStyle.parts.push(addStyle(item.parts[j]))\n }\n if (domStyle.parts.length > item.parts.length) {\n domStyle.parts.length = item.parts.length\n }\n } else {\n var parts = []\n for (var j = 0; j < item.parts.length; j++) {\n parts.push(addStyle(item.parts[j]))\n }\n stylesInDom[item.id] = { id: item.id, refs: 1, parts: parts }\n }\n }\n}\n\nfunction createStyleElement () {\n var styleElement = document.createElement('style')\n styleElement.type = 'text/css'\n head.appendChild(styleElement)\n return styleElement\n}\n\nfunction addStyle (obj /* StyleObjectPart */) {\n var update, remove\n var styleElement = document.querySelector('style[' + ssrIdKey + '~=\"' + obj.id + '\"]')\n\n if (styleElement) {\n if (isProduction) {\n // has SSR styles and in production mode.\n // simply do nothing.\n return noop\n } else {\n // has SSR styles but in dev mode.\n // for some reason Chrome can't handle source map in server-rendered\n // style tags - source maps in <style> only works if the style tag is\n // created and inserted dynamically. So we remove the server rendered\n // styles and inject new ones.\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n if (isOldIE) {\n // use singleton mode for IE9.\n var styleIndex = singletonCounter++\n styleElement = singletonElement || (singletonElement = createStyleElement())\n update = applyToSingletonTag.bind(null, styleElement, styleIndex, false)\n remove = applyToSingletonTag.bind(null, styleElement, styleIndex, true)\n } else {\n // use multi-style-tag mode in all other cases\n styleElement = createStyleElement()\n update = applyToTag.bind(null, styleElement)\n remove = function () {\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n update(obj)\n\n return function updateStyle (newObj /* StyleObjectPart */) {\n if (newObj) {\n if (newObj.css === obj.css &&\n newObj.media === obj.media &&\n newObj.sourceMap === obj.sourceMap) {\n return\n }\n update(obj = newObj)\n } else {\n remove()\n }\n }\n}\n\nvar replaceText = (function () {\n var textStore = []\n\n return function (index, replacement) {\n textStore[index] = replacement\n return textStore.filter(Boolean).join('\\n')\n }\n})()\n\nfunction applyToSingletonTag (styleElement, index, remove, obj) {\n var css = remove ? '' : obj.css\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = replaceText(index, css)\n } else {\n var cssNode = document.createTextNode(css)\n var childNodes = styleElement.childNodes\n if (childNodes[index]) styleElement.removeChild(childNodes[index])\n if (childNodes.length) {\n styleElement.insertBefore(cssNode, childNodes[index])\n } else {\n styleElement.appendChild(cssNode)\n }\n }\n}\n\nfunction applyToTag (styleElement, obj) {\n var css = obj.css\n var media = obj.media\n var sourceMap = obj.sourceMap\n\n if (media) {\n styleElement.setAttribute('media', media)\n }\n if (options.ssrId) {\n styleElement.setAttribute(ssrIdKey, obj.id)\n }\n\n if (sourceMap) {\n // https://developer.chrome.com/devtools/docs/javascript-debugging\n // this makes source maps inside style tags work properly in Chrome\n css += '\\n/*# sourceURL=' + sourceMap.sources[0] + ' */'\n // http://stackoverflow.com/a/26603875\n css += '\\n/*# sourceMappingURL=data:application/json;base64,' + btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap)))) + ' */'\n }\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = css\n } else {\n while (styleElement.firstChild) {\n styleElement.removeChild(styleElement.firstChild)\n }\n styleElement.appendChild(document.createTextNode(css))\n }\n}\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar redefine = require('../internals/redefine');\nvar toString = require('../internals/object-to-string');\n\n// `Object.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nif (!TO_STRING_TAG_SUPPORT) {\n redefine(Object.prototype, 'toString', toString, { unsafe: true });\n}\n","'use strict';\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar addToUnscopables = require('../internals/add-to-unscopables');\nvar Iterators = require('../internals/iterators');\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar ARRAY_ITERATOR = 'Array Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(ARRAY_ITERATOR);\n\n// `Array.prototype.entries` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.entries\n// `Array.prototype.keys` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.keys\n// `Array.prototype.values` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.values\n// `Array.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@iterator\n// `CreateArrayIterator` internal method\n// https://tc39.github.io/ecma262/#sec-createarrayiterator\nmodule.exports = defineIterator(Array, 'Array', function (iterated, kind) {\n setInternalState(this, {\n type: ARRAY_ITERATOR,\n target: toIndexedObject(iterated), // target\n index: 0, // next index\n kind: kind // kind\n });\n// `%ArrayIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%arrayiteratorprototype%.next\n}, function () {\n var state = getInternalState(this);\n var target = state.target;\n var kind = state.kind;\n var index = state.index++;\n if (!target || index >= target.length) {\n state.target = undefined;\n return { value: undefined, done: true };\n }\n if (kind == 'keys') return { value: index, done: false };\n if (kind == 'values') return { value: target[index], done: false };\n return { value: [index, target[index]], done: false };\n}, 'values');\n\n// argumentsList[@@iterator] is %ArrayProto_values%\n// https://tc39.github.io/ecma262/#sec-createunmappedargumentsobject\n// https://tc39.github.io/ecma262/#sec-createmappedargumentsobject\nIterators.Arguments = Iterators.Array;\n\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\naddToUnscopables('keys');\naddToUnscopables('values');\naddToUnscopables('entries');\n","'use strict';\nvar $ = require('../internals/export');\nvar exec = require('../internals/regexp-exec');\n\n$({ target: 'RegExp', proto: true, forced: /./.exec !== exec }, {\n exec: exec\n});\n","var DESCRIPTORS = require('../internals/descriptors');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar toPrimitive = require('../internals/to-primitive');\nvar has = require('../internals/has');\nvar IE8_DOM_DEFINE = require('../internals/ie8-dom-define');\n\nvar nativeGetOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// `Object.getOwnPropertyDescriptor` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertydescriptor\nexports.f = DESCRIPTORS ? nativeGetOwnPropertyDescriptor : function getOwnPropertyDescriptor(O, P) {\n O = toIndexedObject(O);\n P = toPrimitive(P, true);\n if (IE8_DOM_DEFINE) try {\n return nativeGetOwnPropertyDescriptor(O, P);\n } catch (error) { /* empty */ }\n if (has(O, P)) return createPropertyDescriptor(!propertyIsEnumerableModule.f.call(O, P), O[P]);\n};\n","var fails = require('../internals/fails');\n\nvar replacement = /#|\\.prototype\\./;\n\nvar isForced = function (feature, detection) {\n var value = data[normalize(feature)];\n return value == POLYFILL ? true\n : value == NATIVE ? false\n : typeof detection == 'function' ? fails(detection)\n : !!detection;\n};\n\nvar normalize = isForced.normalize = function (string) {\n return String(string).replace(replacement, '.').toLowerCase();\n};\n\nvar data = isForced.data = {};\nvar NATIVE = isForced.NATIVE = 'N';\nvar POLYFILL = isForced.POLYFILL = 'P';\n\nmodule.exports = isForced;\n","var fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (METHOD_NAME) {\n // We can't use this feature detection in V8 since it causes\n // deoptimization and serious performance degradation\n // https://github.com/zloirock/core-js/issues/677\n return V8_VERSION >= 51 || !fails(function () {\n var array = [];\n var constructor = array.constructor = {};\n constructor[SPECIES] = function () {\n return { foo: 1 };\n };\n return array[METHOD_NAME](Boolean).foo !== 1;\n });\n};\n","module.exports = function (it, Constructor, name) {\n if (!(it instanceof Constructor)) {\n throw TypeError('Incorrect ' + (name ? name + ' ' : '') + 'invocation');\n } return it;\n};\n","var $ = require('../internals/export');\nvar assign = require('../internals/object-assign');\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\n$({ target: 'Object', stat: true, forced: Object.assign !== assign }, {\n assign: assign\n});\n","var isObject = require('../internals/is-object');\nvar isArray = require('../internals/is-array');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar SPECIES = wellKnownSymbol('species');\n\n// `ArraySpeciesCreate` abstract operation\n// https://tc39.github.io/ecma262/#sec-arrayspeciescreate\nmodule.exports = function (originalArray, length) {\n var C;\n if (isArray(originalArray)) {\n C = originalArray.constructor;\n // cross-realm fallback\n if (typeof C == 'function' && (C === Array || isArray(C.prototype))) C = undefined;\n else if (isObject(C)) {\n C = C[SPECIES];\n if (C === null) C = undefined;\n }\n } return new (C === undefined ? Array : C)(length === 0 ? 0 : length);\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar fails = require('../internals/fails');\nvar isArray = require('../internals/is-array');\nvar isObject = require('../internals/is-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar createProperty = require('../internals/create-property');\nvar arraySpeciesCreate = require('../internals/array-species-create');\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar V8_VERSION = require('../internals/engine-v8-version');\n\nvar IS_CONCAT_SPREADABLE = wellKnownSymbol('isConcatSpreadable');\nvar MAX_SAFE_INTEGER = 0x1FFFFFFFFFFFFF;\nvar MAXIMUM_ALLOWED_INDEX_EXCEEDED = 'Maximum allowed index exceeded';\n\n// We can't use this feature detection in V8 since it causes\n// deoptimization and serious performance degradation\n// https://github.com/zloirock/core-js/issues/679\nvar IS_CONCAT_SPREADABLE_SUPPORT = V8_VERSION >= 51 || !fails(function () {\n var array = [];\n array[IS_CONCAT_SPREADABLE] = false;\n return array.concat()[0] !== array;\n});\n\nvar SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('concat');\n\nvar isConcatSpreadable = function (O) {\n if (!isObject(O)) return false;\n var spreadable = O[IS_CONCAT_SPREADABLE];\n return spreadable !== undefined ? !!spreadable : isArray(O);\n};\n\nvar FORCED = !IS_CONCAT_SPREADABLE_SUPPORT || !SPECIES_SUPPORT;\n\n// `Array.prototype.concat` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.concat\n// with adding support of @@isConcatSpreadable and @@species\n$({ target: 'Array', proto: true, forced: FORCED }, {\n concat: function concat(arg) { // eslint-disable-line no-unused-vars\n var O = toObject(this);\n var A = arraySpeciesCreate(O, 0);\n var n = 0;\n var i, k, length, len, E;\n for (i = -1, length = arguments.length; i < length; i++) {\n E = i === -1 ? O : arguments[i];\n if (isConcatSpreadable(E)) {\n len = toLength(E.length);\n if (n + len > MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n for (k = 0; k < len; k++, n++) if (k in E) createProperty(A, n, E[k]);\n } else {\n if (n >= MAX_SAFE_INTEGER) throw TypeError(MAXIMUM_ALLOWED_INDEX_EXCEEDED);\n createProperty(A, n++, E);\n }\n }\n A.length = n;\n return A;\n }\n});\n","var global = require('../internals/global');\nvar isObject = require('../internals/is-object');\n\nvar document = global.document;\n// typeof document.createElement is 'object' in old IE\nvar EXISTS = isObject(document) && isObject(document.createElement);\n\nmodule.exports = function (it) {\n return EXISTS ? document.createElement(it) : {};\n};\n","var store = require('../internals/shared-store');\n\nvar functionToString = Function.toString;\n\n// this helper broken in `3.4.1-3.4.4`, so we can't use `shared` helper\nif (typeof store.inspectSource != 'function') {\n store.inspectSource = function (it) {\n return functionToString.call(it);\n };\n}\n\nmodule.exports = store.inspectSource;\n","var bind = require('../internals/function-bind-context');\nvar IndexedObject = require('../internals/indexed-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar arraySpeciesCreate = require('../internals/array-species-create');\n\nvar push = [].push;\n\n// `Array.prototype.{ forEach, map, filter, some, every, find, findIndex }` methods implementation\nvar createMethod = function (TYPE) {\n var IS_MAP = TYPE == 1;\n var IS_FILTER = TYPE == 2;\n var IS_SOME = TYPE == 3;\n var IS_EVERY = TYPE == 4;\n var IS_FIND_INDEX = TYPE == 6;\n var NO_HOLES = TYPE == 5 || IS_FIND_INDEX;\n return function ($this, callbackfn, that, specificCreate) {\n var O = toObject($this);\n var self = IndexedObject(O);\n var boundFunction = bind(callbackfn, that, 3);\n var length = toLength(self.length);\n var index = 0;\n var create = specificCreate || arraySpeciesCreate;\n var target = IS_MAP ? create($this, length) : IS_FILTER ? create($this, 0) : undefined;\n var value, result;\n for (;length > index; index++) if (NO_HOLES || index in self) {\n value = self[index];\n result = boundFunction(value, index, O);\n if (TYPE) {\n if (IS_MAP) target[index] = result; // map\n else if (result) switch (TYPE) {\n case 3: return true; // some\n case 5: return value; // find\n case 6: return index; // findIndex\n case 2: push.call(target, value); // filter\n } else if (IS_EVERY) return false; // every\n }\n }\n return IS_FIND_INDEX ? -1 : IS_SOME || IS_EVERY ? IS_EVERY : target;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.forEach` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n forEach: createMethod(0),\n // `Array.prototype.map` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.map\n map: createMethod(1),\n // `Array.prototype.filter` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.filter\n filter: createMethod(2),\n // `Array.prototype.some` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.some\n some: createMethod(3),\n // `Array.prototype.every` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.every\n every: createMethod(4),\n // `Array.prototype.find` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.find\n find: createMethod(5),\n // `Array.prototype.findIndex` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.findIndex\n findIndex: createMethod(6)\n};\n","'use strict';\nvar fails = require('../internals/fails');\n\nmodule.exports = function (METHOD_NAME, argument) {\n var method = [][METHOD_NAME];\n return !!method && fails(function () {\n // eslint-disable-next-line no-useless-call,no-throw-literal\n method.call(null, argument || function () { throw 1; }, 1);\n });\n};\n","var global = require('../internals/global');\nvar userAgent = require('../internals/engine-user-agent');\n\nvar process = global.process;\nvar versions = process && process.versions;\nvar v8 = versions && versions.v8;\nvar match, version;\n\nif (v8) {\n match = v8.split('.');\n version = match[0] + match[1];\n} else if (userAgent) {\n match = userAgent.match(/Edge\\/(\\d+)/);\n if (!match || match[1] >= 74) {\n match = userAgent.match(/Chrome\\/(\\d+)/);\n if (match) version = match[1];\n }\n}\n\nmodule.exports = version && +version;\n","var anObject = require('../internals/an-object');\nvar isArrayIteratorMethod = require('../internals/is-array-iterator-method');\nvar toLength = require('../internals/to-length');\nvar bind = require('../internals/function-bind-context');\nvar getIteratorMethod = require('../internals/get-iterator-method');\nvar callWithSafeIterationClosing = require('../internals/call-with-safe-iteration-closing');\n\nvar Result = function (stopped, result) {\n this.stopped = stopped;\n this.result = result;\n};\n\nvar iterate = module.exports = function (iterable, fn, that, AS_ENTRIES, IS_ITERATOR) {\n var boundFunction = bind(fn, that, AS_ENTRIES ? 2 : 1);\n var iterator, iterFn, index, length, result, next, step;\n\n if (IS_ITERATOR) {\n iterator = iterable;\n } else {\n iterFn = getIteratorMethod(iterable);\n if (typeof iterFn != 'function') throw TypeError('Target is not iterable');\n // optimisation for array iterators\n if (isArrayIteratorMethod(iterFn)) {\n for (index = 0, length = toLength(iterable.length); length > index; index++) {\n result = AS_ENTRIES\n ? boundFunction(anObject(step = iterable[index])[0], step[1])\n : boundFunction(iterable[index]);\n if (result && result instanceof Result) return result;\n } return new Result(false);\n }\n iterator = iterFn.call(iterable);\n }\n\n next = iterator.next;\n while (!(step = next.call(iterator)).done) {\n result = callWithSafeIterationClosing(iterator, boundFunction, step.value, AS_ENTRIES);\n if (typeof result == 'object' && result && result instanceof Result) return result;\n } return new Result(false);\n};\n\niterate.stop = function (result) {\n return new Result(true, result);\n};\n","var TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classofRaw = require('../internals/classof-raw');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\n// ES3 wrong here\nvar CORRECT_ARGUMENTS = classofRaw(function () { return arguments; }()) == 'Arguments';\n\n// fallback for IE11 Script Access Denied error\nvar tryGet = function (it, key) {\n try {\n return it[key];\n } catch (error) { /* empty */ }\n};\n\n// getting tag from ES6+ `Object.prototype.toString`\nmodule.exports = TO_STRING_TAG_SUPPORT ? classofRaw : function (it) {\n var O, tag, result;\n return it === undefined ? 'Undefined' : it === null ? 'Null'\n // @@toStringTag case\n : typeof (tag = tryGet(O = Object(it), TO_STRING_TAG)) == 'string' ? tag\n // builtinTag case\n : CORRECT_ARGUMENTS ? classofRaw(O)\n // ES3 arguments fallback\n : (result = classofRaw(O)) == 'Object' && typeof O.callee == 'function' ? 'Arguments' : result;\n};\n","var toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\n\n// `String.prototype.{ codePointAt, at }` methods implementation\nvar createMethod = function (CONVERT_TO_STRING) {\n return function ($this, pos) {\n var S = String(requireObjectCoercible($this));\n var position = toInteger(pos);\n var size = S.length;\n var first, second;\n if (position < 0 || position >= size) return CONVERT_TO_STRING ? '' : undefined;\n first = S.charCodeAt(position);\n return first < 0xD800 || first > 0xDBFF || position + 1 === size\n || (second = S.charCodeAt(position + 1)) < 0xDC00 || second > 0xDFFF\n ? CONVERT_TO_STRING ? S.charAt(position) : first\n : CONVERT_TO_STRING ? S.slice(position, position + 2) : (first - 0xD800 << 10) + (second - 0xDC00) + 0x10000;\n };\n};\n\nmodule.exports = {\n // `String.prototype.codePointAt` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.codepointat\n codeAt: createMethod(false),\n // `String.prototype.at` method\n // https://github.com/mathiasbynens/String.prototype.at\n charAt: createMethod(true)\n};\n","'use strict';\nvar nativePropertyIsEnumerable = {}.propertyIsEnumerable;\nvar getOwnPropertyDescriptor = Object.getOwnPropertyDescriptor;\n\n// Nashorn ~ JDK8 bug\nvar NASHORN_BUG = getOwnPropertyDescriptor && !nativePropertyIsEnumerable.call({ 1: 2 }, 1);\n\n// `Object.prototype.propertyIsEnumerable` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.propertyisenumerable\nexports.f = NASHORN_BUG ? function propertyIsEnumerable(V) {\n var descriptor = getOwnPropertyDescriptor(this, V);\n return !!descriptor && descriptor.enumerable;\n} : nativePropertyIsEnumerable;\n","var DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar createElement = require('../internals/document-create-element');\n\n// Thank's IE8 for his funny defineProperty\nmodule.exports = !DESCRIPTORS && !fails(function () {\n return Object.defineProperty(createElement('div'), 'a', {\n get: function () { return 7; }\n }).a != 7;\n});\n","var global = require('../internals/global');\nvar setGlobal = require('../internals/set-global');\n\nvar SHARED = '__core-js_shared__';\nvar store = global[SHARED] || setGlobal(SHARED, {});\n\nmodule.exports = store;\n","var IS_PURE = require('../internals/is-pure');\nvar store = require('../internals/shared-store');\n\n(module.exports = function (key, value) {\n return store[key] || (store[key] = value !== undefined ? value : {});\n})('versions', []).push({\n version: '3.6.5',\n mode: IS_PURE ? 'pure' : 'global',\n copyright: '© 2020 Denis Pushkarev (zloirock.ru)'\n});\n","var has = require('../internals/has');\nvar toIndexedObject = require('../internals/to-indexed-object');\nvar indexOf = require('../internals/array-includes').indexOf;\nvar hiddenKeys = require('../internals/hidden-keys');\n\nmodule.exports = function (object, names) {\n var O = toIndexedObject(object);\n var i = 0;\n var result = [];\n var key;\n for (key in O) !has(hiddenKeys, key) && has(O, key) && result.push(key);\n // Don't enum bug & hidden keys\n while (names.length > i) if (has(O, key = names[i++])) {\n ~indexOf(result, key) || result.push(key);\n }\n return result;\n};\n","var toIndexedObject = require('../internals/to-indexed-object');\nvar toLength = require('../internals/to-length');\nvar toAbsoluteIndex = require('../internals/to-absolute-index');\n\n// `Array.prototype.{ indexOf, includes }` methods implementation\nvar createMethod = function (IS_INCLUDES) {\n return function ($this, el, fromIndex) {\n var O = toIndexedObject($this);\n var length = toLength(O.length);\n var index = toAbsoluteIndex(fromIndex, length);\n var value;\n // Array#includes uses SameValueZero equality algorithm\n // eslint-disable-next-line no-self-compare\n if (IS_INCLUDES && el != el) while (length > index) {\n value = O[index++];\n // eslint-disable-next-line no-self-compare\n if (value != value) return true;\n // Array#indexOf ignores holes, Array#includes - not\n } else for (;length > index; index++) {\n if ((IS_INCLUDES || index in O) && O[index] === el) return IS_INCLUDES || index || 0;\n } return !IS_INCLUDES && -1;\n };\n};\n\nmodule.exports = {\n // `Array.prototype.includes` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.includes\n includes: createMethod(true),\n // `Array.prototype.indexOf` method\n // https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n indexOf: createMethod(false)\n};\n","exports.f = Object.getOwnPropertySymbols;\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\n// `Object.keys` method\n// https://tc39.github.io/ecma262/#sec-object.keys\nmodule.exports = Object.keys || function keys(O) {\n return internalObjectKeys(O, enumBugKeys);\n};\n","'use strict';\n\nmodule.exports = function bind(fn, thisArg) {\n return function wrap() {\n var args = new Array(arguments.length);\n for (var i = 0; i < args.length; i++) {\n args[i] = arguments[i];\n }\n return fn.apply(thisArg, args);\n };\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction encode(val) {\n return encodeURIComponent(val).\n replace(/%40/gi, '@').\n replace(/%3A/gi, ':').\n replace(/%24/g, '$').\n replace(/%2C/gi, ',').\n replace(/%20/g, '+').\n replace(/%5B/gi, '[').\n replace(/%5D/gi, ']');\n}\n\n/**\n * Build a URL by appending params to the end\n *\n * @param {string} url The base of the url (e.g., http://www.google.com)\n * @param {object} [params] The params to be appended\n * @returns {string} The formatted url\n */\nmodule.exports = function buildURL(url, params, paramsSerializer) {\n /*eslint no-param-reassign:0*/\n if (!params) {\n return url;\n }\n\n var serializedParams;\n if (paramsSerializer) {\n serializedParams = paramsSerializer(params);\n } else if (utils.isURLSearchParams(params)) {\n serializedParams = params.toString();\n } else {\n var parts = [];\n\n utils.forEach(params, function serialize(val, key) {\n if (val === null || typeof val === 'undefined') {\n return;\n }\n\n if (utils.isArray(val)) {\n key = key + '[]';\n } else {\n val = [val];\n }\n\n utils.forEach(val, function parseValue(v) {\n if (utils.isDate(v)) {\n v = v.toISOString();\n } else if (utils.isObject(v)) {\n v = JSON.stringify(v);\n }\n parts.push(encode(key) + '=' + encode(v));\n });\n });\n\n serializedParams = parts.join('&');\n }\n\n if (serializedParams) {\n var hashmarkIndex = url.indexOf('#');\n if (hashmarkIndex !== -1) {\n url = url.slice(0, hashmarkIndex);\n }\n\n url += (url.indexOf('?') === -1 ? '?' : '&') + serializedParams;\n }\n\n return url;\n};\n","'use strict';\n\nmodule.exports = function isCancel(value) {\n return !!(value && value.__CANCEL__);\n};\n","'use strict';\n\nvar utils = require('./utils');\nvar normalizeHeaderName = require('./helpers/normalizeHeaderName');\n\nvar DEFAULT_CONTENT_TYPE = {\n 'Content-Type': 'application/x-www-form-urlencoded'\n};\n\nfunction setContentTypeIfUnset(headers, value) {\n if (!utils.isUndefined(headers) && utils.isUndefined(headers['Content-Type'])) {\n headers['Content-Type'] = value;\n }\n}\n\nfunction getDefaultAdapter() {\n var adapter;\n if (typeof XMLHttpRequest !== 'undefined') {\n // For browsers use XHR adapter\n adapter = require('./adapters/xhr');\n } else if (typeof process !== 'undefined' && Object.prototype.toString.call(process) === '[object process]') {\n // For node use HTTP adapter\n adapter = require('./adapters/http');\n }\n return adapter;\n}\n\nvar defaults = {\n adapter: getDefaultAdapter(),\n\n transformRequest: [function transformRequest(data, headers) {\n normalizeHeaderName(headers, 'Accept');\n normalizeHeaderName(headers, 'Content-Type');\n if (utils.isFormData(data) ||\n utils.isArrayBuffer(data) ||\n utils.isBuffer(data) ||\n utils.isStream(data) ||\n utils.isFile(data) ||\n utils.isBlob(data)\n ) {\n return data;\n }\n if (utils.isArrayBufferView(data)) {\n return data.buffer;\n }\n if (utils.isURLSearchParams(data)) {\n setContentTypeIfUnset(headers, 'application/x-www-form-urlencoded;charset=utf-8');\n return data.toString();\n }\n if (utils.isObject(data)) {\n setContentTypeIfUnset(headers, 'application/json;charset=utf-8');\n return JSON.stringify(data);\n }\n return data;\n }],\n\n transformResponse: [function transformResponse(data) {\n /*eslint no-param-reassign:0*/\n if (typeof data === 'string') {\n try {\n data = JSON.parse(data);\n } catch (e) { /* Ignore */ }\n }\n return data;\n }],\n\n /**\n * A timeout in milliseconds to abort a request. If set to 0 (default) a\n * timeout is not created.\n */\n timeout: 0,\n\n xsrfCookieName: 'XSRF-TOKEN',\n xsrfHeaderName: 'X-XSRF-TOKEN',\n\n maxContentLength: -1,\n\n validateStatus: function validateStatus(status) {\n return status >= 200 && status < 300;\n }\n};\n\ndefaults.headers = {\n common: {\n 'Accept': 'application/json, text/plain, */*'\n }\n};\n\nutils.forEach(['delete', 'get', 'head'], function forEachMethodNoData(method) {\n defaults.headers[method] = {};\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n defaults.headers[method] = utils.merge(DEFAULT_CONTENT_TYPE);\n});\n\nmodule.exports = defaults;\n","'use strict';\n\nvar utils = require('./../utils');\nvar settle = require('./../core/settle');\nvar buildURL = require('./../helpers/buildURL');\nvar buildFullPath = require('../core/buildFullPath');\nvar parseHeaders = require('./../helpers/parseHeaders');\nvar isURLSameOrigin = require('./../helpers/isURLSameOrigin');\nvar createError = require('../core/createError');\n\nmodule.exports = function xhrAdapter(config) {\n return new Promise(function dispatchXhrRequest(resolve, reject) {\n var requestData = config.data;\n var requestHeaders = config.headers;\n\n if (utils.isFormData(requestData)) {\n delete requestHeaders['Content-Type']; // Let the browser set it\n }\n\n var request = new XMLHttpRequest();\n\n // HTTP basic authentication\n if (config.auth) {\n var username = config.auth.username || '';\n var password = config.auth.password || '';\n requestHeaders.Authorization = 'Basic ' + btoa(username + ':' + password);\n }\n\n var fullPath = buildFullPath(config.baseURL, config.url);\n request.open(config.method.toUpperCase(), buildURL(fullPath, config.params, config.paramsSerializer), true);\n\n // Set the request timeout in MS\n request.timeout = config.timeout;\n\n // Listen for ready state\n request.onreadystatechange = function handleLoad() {\n if (!request || request.readyState !== 4) {\n return;\n }\n\n // The request errored out and we didn't get a response, this will be\n // handled by onerror instead\n // With one exception: request that using file: protocol, most browsers\n // will return status as 0 even though it's a successful request\n if (request.status === 0 && !(request.responseURL && request.responseURL.indexOf('file:') === 0)) {\n return;\n }\n\n // Prepare the response\n var responseHeaders = 'getAllResponseHeaders' in request ? parseHeaders(request.getAllResponseHeaders()) : null;\n var responseData = !config.responseType || config.responseType === 'text' ? request.responseText : request.response;\n var response = {\n data: responseData,\n status: request.status,\n statusText: request.statusText,\n headers: responseHeaders,\n config: config,\n request: request\n };\n\n settle(resolve, reject, response);\n\n // Clean up request\n request = null;\n };\n\n // Handle browser request cancellation (as opposed to a manual cancellation)\n request.onabort = function handleAbort() {\n if (!request) {\n return;\n }\n\n reject(createError('Request aborted', config, 'ECONNABORTED', request));\n\n // Clean up request\n request = null;\n };\n\n // Handle low level network errors\n request.onerror = function handleError() {\n // Real errors are hidden from us by the browser\n // onerror should only fire if it's a network error\n reject(createError('Network Error', config, null, request));\n\n // Clean up request\n request = null;\n };\n\n // Handle timeout\n request.ontimeout = function handleTimeout() {\n var timeoutErrorMessage = 'timeout of ' + config.timeout + 'ms exceeded';\n if (config.timeoutErrorMessage) {\n timeoutErrorMessage = config.timeoutErrorMessage;\n }\n reject(createError(timeoutErrorMessage, config, 'ECONNABORTED',\n request));\n\n // Clean up request\n request = null;\n };\n\n // Add xsrf header\n // This is only done if running in a standard browser environment.\n // Specifically not if we're in a web worker, or react-native.\n if (utils.isStandardBrowserEnv()) {\n var cookies = require('./../helpers/cookies');\n\n // Add xsrf header\n var xsrfValue = (config.withCredentials || isURLSameOrigin(fullPath)) && config.xsrfCookieName ?\n cookies.read(config.xsrfCookieName) :\n undefined;\n\n if (xsrfValue) {\n requestHeaders[config.xsrfHeaderName] = xsrfValue;\n }\n }\n\n // Add headers to the request\n if ('setRequestHeader' in request) {\n utils.forEach(requestHeaders, function setRequestHeader(val, key) {\n if (typeof requestData === 'undefined' && key.toLowerCase() === 'content-type') {\n // Remove Content-Type if data is undefined\n delete requestHeaders[key];\n } else {\n // Otherwise add header to the request\n request.setRequestHeader(key, val);\n }\n });\n }\n\n // Add withCredentials to request if needed\n if (!utils.isUndefined(config.withCredentials)) {\n request.withCredentials = !!config.withCredentials;\n }\n\n // Add responseType to request if needed\n if (config.responseType) {\n try {\n request.responseType = config.responseType;\n } catch (e) {\n // Expected DOMException thrown by browsers not compatible XMLHttpRequest Level 2.\n // But, this can be suppressed for 'json' type as it can be parsed by default 'transformResponse' function.\n if (config.responseType !== 'json') {\n throw e;\n }\n }\n }\n\n // Handle progress if needed\n if (typeof config.onDownloadProgress === 'function') {\n request.addEventListener('progress', config.onDownloadProgress);\n }\n\n // Not all browsers support upload events\n if (typeof config.onUploadProgress === 'function' && request.upload) {\n request.upload.addEventListener('progress', config.onUploadProgress);\n }\n\n if (config.cancelToken) {\n // Handle cancellation\n config.cancelToken.promise.then(function onCanceled(cancel) {\n if (!request) {\n return;\n }\n\n request.abort();\n reject(cancel);\n // Clean up request\n request = null;\n });\n }\n\n if (requestData === undefined) {\n requestData = null;\n }\n\n // Send the request\n request.send(requestData);\n });\n};\n","'use strict';\n\nvar enhanceError = require('./enhanceError');\n\n/**\n * Create an Error with the specified message, config, error code, request and response.\n *\n * @param {string} message The error message.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The created error.\n */\nmodule.exports = function createError(message, config, code, request, response) {\n var error = new Error(message);\n return enhanceError(error, config, code, request, response);\n};\n","'use strict';\n\nvar utils = require('../utils');\n\n/**\n * Config-specific merge-function which creates a new config-object\n * by merging two configuration objects together.\n *\n * @param {Object} config1\n * @param {Object} config2\n * @returns {Object} New object resulting from merging config2 to config1\n */\nmodule.exports = function mergeConfig(config1, config2) {\n // eslint-disable-next-line no-param-reassign\n config2 = config2 || {};\n var config = {};\n\n var valueFromConfig2Keys = ['url', 'method', 'params', 'data'];\n var mergeDeepPropertiesKeys = ['headers', 'auth', 'proxy'];\n var defaultToConfig2Keys = [\n 'baseURL', 'url', 'transformRequest', 'transformResponse', 'paramsSerializer',\n 'timeout', 'withCredentials', 'adapter', 'responseType', 'xsrfCookieName',\n 'xsrfHeaderName', 'onUploadProgress', 'onDownloadProgress',\n 'maxContentLength', 'validateStatus', 'maxRedirects', 'httpAgent',\n 'httpsAgent', 'cancelToken', 'socketPath'\n ];\n\n utils.forEach(valueFromConfig2Keys, function valueFromConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n }\n });\n\n utils.forEach(mergeDeepPropertiesKeys, function mergeDeepProperties(prop) {\n if (utils.isObject(config2[prop])) {\n config[prop] = utils.deepMerge(config1[prop], config2[prop]);\n } else if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (utils.isObject(config1[prop])) {\n config[prop] = utils.deepMerge(config1[prop]);\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n utils.forEach(defaultToConfig2Keys, function defaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n var axiosKeys = valueFromConfig2Keys\n .concat(mergeDeepPropertiesKeys)\n .concat(defaultToConfig2Keys);\n\n var otherKeys = Object\n .keys(config2)\n .filter(function filterAxiosKeys(key) {\n return axiosKeys.indexOf(key) === -1;\n });\n\n utils.forEach(otherKeys, function otherKeysDefaultToConfig2(prop) {\n if (typeof config2[prop] !== 'undefined') {\n config[prop] = config2[prop];\n } else if (typeof config1[prop] !== 'undefined') {\n config[prop] = config1[prop];\n }\n });\n\n return config;\n};\n","'use strict';\n\n/**\n * A `Cancel` is an object that is thrown when an operation is canceled.\n *\n * @class\n * @param {string=} message The message.\n */\nfunction Cancel(message) {\n this.message = message;\n}\n\nCancel.prototype.toString = function toString() {\n return 'Cancel' + (this.message ? ': ' + this.message : '');\n};\n\nCancel.prototype.__CANCEL__ = true;\n\nmodule.exports = Cancel;\n","'use strict';\nvar $ = require('../internals/export');\nvar forEach = require('../internals/array-for-each');\n\n// `Array.prototype.forEach` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\n$({ target: 'Array', proto: true, forced: [].forEach != forEach }, {\n forEach: forEach\n});\n","'use strict';\nvar $forEach = require('../internals/array-iteration').forEach;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar STRICT_METHOD = arrayMethodIsStrict('forEach');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('forEach');\n\n// `Array.prototype.forEach` method implementation\n// https://tc39.github.io/ecma262/#sec-array.prototype.foreach\nmodule.exports = (!STRICT_METHOD || !USES_TO_LENGTH) ? function forEach(callbackfn /* , thisArg */) {\n return $forEach(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n} : [].forEach;\n","var classof = require('../internals/classof-raw');\n\n// `IsArray` abstract operation\n// https://tc39.github.io/ecma262/#sec-isarray\nmodule.exports = Array.isArray || function isArray(arg) {\n return classof(arg) == 'Array';\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !!Object.getOwnPropertySymbols && !fails(function () {\n // Chrome 38 Symbol has incorrect toString conversion\n // eslint-disable-next-line no-undef\n return !String(Symbol());\n});\n","const { MAX_SAFE_COMPONENT_LENGTH } = require('./constants')\nconst debug = require('./debug')\nexports = module.exports = {}\n\n// The actual regexps go on exports.re\nconst re = exports.re = []\nconst src = exports.src = []\nconst t = exports.t = {}\nlet R = 0\n\nconst createToken = (name, value, isGlobal) => {\n const index = R++\n debug(index, value)\n t[name] = index\n src[index] = value\n re[index] = new RegExp(value, isGlobal ? 'g' : undefined)\n}\n\n// The following Regular Expressions can be used for tokenizing,\n// validating, and parsing SemVer version strings.\n\n// ## Numeric Identifier\n// A single `0`, or a non-zero digit followed by zero or more digits.\n\ncreateToken('NUMERICIDENTIFIER', '0|[1-9]\\\\d*')\ncreateToken('NUMERICIDENTIFIERLOOSE', '[0-9]+')\n\n// ## Non-numeric Identifier\n// Zero or more digits, followed by a letter or hyphen, and then zero or\n// more letters, digits, or hyphens.\n\ncreateToken('NONNUMERICIDENTIFIER', '\\\\d*[a-zA-Z-][a-zA-Z0-9-]*')\n\n// ## Main Version\n// Three dot-separated numeric identifiers.\n\ncreateToken('MAINVERSION', `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIER]})`)\n\ncreateToken('MAINVERSIONLOOSE', `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})\\\\.` +\n `(${src[t.NUMERICIDENTIFIERLOOSE]})`)\n\n// ## Pre-release Version Identifier\n// A numeric identifier, or a non-numeric identifier.\n\ncreateToken('PRERELEASEIDENTIFIER', `(?:${src[t.NUMERICIDENTIFIER]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\ncreateToken('PRERELEASEIDENTIFIERLOOSE', `(?:${src[t.NUMERICIDENTIFIERLOOSE]\n}|${src[t.NONNUMERICIDENTIFIER]})`)\n\n// ## Pre-release Version\n// Hyphen, followed by one or more dot-separated pre-release version\n// identifiers.\n\ncreateToken('PRERELEASE', `(?:-(${src[t.PRERELEASEIDENTIFIER]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIER]})*))`)\n\ncreateToken('PRERELEASELOOSE', `(?:-?(${src[t.PRERELEASEIDENTIFIERLOOSE]\n}(?:\\\\.${src[t.PRERELEASEIDENTIFIERLOOSE]})*))`)\n\n// ## Build Metadata Identifier\n// Any combination of digits, letters, or hyphens.\n\ncreateToken('BUILDIDENTIFIER', '[0-9A-Za-z-]+')\n\n// ## Build Metadata\n// Plus sign, followed by one or more period-separated build metadata\n// identifiers.\n\ncreateToken('BUILD', `(?:\\\\+(${src[t.BUILDIDENTIFIER]\n}(?:\\\\.${src[t.BUILDIDENTIFIER]})*))`)\n\n// ## Full Version String\n// A main version, followed optionally by a pre-release version and\n// build metadata.\n\n// Note that the only major, minor, patch, and pre-release sections of\n// the version string are capturing groups. The build metadata is not a\n// capturing group, because it should not ever be used in version\n// comparison.\n\ncreateToken('FULLPLAIN', `v?${src[t.MAINVERSION]\n}${src[t.PRERELEASE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('FULL', `^${src[t.FULLPLAIN]}$`)\n\n// like full, but allows v1.2.3 and =1.2.3, which people do sometimes.\n// also, 1.0.0alpha1 (prerelease without the hyphen) which is pretty\n// common in the npm registry.\ncreateToken('LOOSEPLAIN', `[v=\\\\s]*${src[t.MAINVERSIONLOOSE]\n}${src[t.PRERELEASELOOSE]}?${\n src[t.BUILD]}?`)\n\ncreateToken('LOOSE', `^${src[t.LOOSEPLAIN]}$`)\n\ncreateToken('GTLT', '((?:<|>)?=?)')\n\n// Something like \"2.*\" or \"1.2.x\".\n// Note that \"x.x\" is a valid xRange identifer, meaning \"any version\"\n// Only the first item is strictly required.\ncreateToken('XRANGEIDENTIFIERLOOSE', `${src[t.NUMERICIDENTIFIERLOOSE]}|x|X|\\\\*`)\ncreateToken('XRANGEIDENTIFIER', `${src[t.NUMERICIDENTIFIER]}|x|X|\\\\*`)\n\ncreateToken('XRANGEPLAIN', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIER]})` +\n `(?:${src[t.PRERELEASE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGEPLAINLOOSE', `[v=\\\\s]*(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:\\\\.(${src[t.XRANGEIDENTIFIERLOOSE]})` +\n `(?:${src[t.PRERELEASELOOSE]})?${\n src[t.BUILD]}?` +\n `)?)?`)\n\ncreateToken('XRANGE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAIN]}$`)\ncreateToken('XRANGELOOSE', `^${src[t.GTLT]}\\\\s*${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Coercion.\n// Extract anything that could conceivably be a part of a valid semver\ncreateToken('COERCE', `${'(^|[^\\\\d])' +\n '(\\\\d{1,'}${MAX_SAFE_COMPONENT_LENGTH}})` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:\\\\.(\\\\d{1,${MAX_SAFE_COMPONENT_LENGTH}}))?` +\n `(?:$|[^\\\\d])`)\ncreateToken('COERCERTL', src[t.COERCE], true)\n\n// Tilde ranges.\n// Meaning is \"reasonably at or greater than\"\ncreateToken('LONETILDE', '(?:~>?)')\n\ncreateToken('TILDETRIM', `(\\\\s*)${src[t.LONETILDE]}\\\\s+`, true)\nexports.tildeTrimReplace = '$1~'\n\ncreateToken('TILDE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('TILDELOOSE', `^${src[t.LONETILDE]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// Caret ranges.\n// Meaning is \"at least and backwards compatible with\"\ncreateToken('LONECARET', '(?:\\\\^)')\n\ncreateToken('CARETTRIM', `(\\\\s*)${src[t.LONECARET]}\\\\s+`, true)\nexports.caretTrimReplace = '$1^'\n\ncreateToken('CARET', `^${src[t.LONECARET]}${src[t.XRANGEPLAIN]}$`)\ncreateToken('CARETLOOSE', `^${src[t.LONECARET]}${src[t.XRANGEPLAINLOOSE]}$`)\n\n// A simple gt/lt/eq thing, or just \"\" to indicate \"any version\"\ncreateToken('COMPARATORLOOSE', `^${src[t.GTLT]}\\\\s*(${src[t.LOOSEPLAIN]})$|^$`)\ncreateToken('COMPARATOR', `^${src[t.GTLT]}\\\\s*(${src[t.FULLPLAIN]})$|^$`)\n\n// An expression to strip any whitespace between the gtlt and the thing\n// it modifies, so that `> 1.2.3` ==> `>1.2.3`\ncreateToken('COMPARATORTRIM', `(\\\\s*)${src[t.GTLT]\n}\\\\s*(${src[t.LOOSEPLAIN]}|${src[t.XRANGEPLAIN]})`, true)\nexports.comparatorTrimReplace = '$1$2$3'\n\n// Something like `1.2.3 - 1.2.4`\n// Note that these all use the loose form, because they'll be\n// checked against either the strict or loose comparator form\n// later.\ncreateToken('HYPHENRANGE', `^\\\\s*(${src[t.XRANGEPLAIN]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAIN]})` +\n `\\\\s*$`)\n\ncreateToken('HYPHENRANGELOOSE', `^\\\\s*(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s+-\\\\s+` +\n `(${src[t.XRANGEPLAINLOOSE]})` +\n `\\\\s*$`)\n\n// Star ranges basically just allow anything at all.\ncreateToken('STAR', '(<|>)?=?\\\\s*\\\\*')\n// >=0.0.0 is like a star\ncreateToken('GTE0', '^\\\\s*>=\\\\s*0\\.0\\.0\\\\s*$')\ncreateToken('GTE0PRE', '^\\\\s*>=\\\\s*0\\.0\\.0-0\\\\s*$')\n","const debug = (\n typeof process === 'object' &&\n process.env &&\n process.env.NODE_DEBUG &&\n /\\bsemver\\b/i.test(process.env.NODE_DEBUG)\n) ? (...args) => console.error('SEMVER', ...args)\n : () => {}\n\nmodule.exports = debug\n","const debug = require('../internal/debug')\nconst { MAX_LENGTH, MAX_SAFE_INTEGER } = require('../internal/constants')\nconst { re, t } = require('../internal/re')\n\nconst { compareIdentifiers } = require('../internal/identifiers')\nclass SemVer {\n constructor (version, options) {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n if (version instanceof SemVer) {\n if (version.loose === !!options.loose &&\n version.includePrerelease === !!options.includePrerelease) {\n return version\n } else {\n version = version.version\n }\n } else if (typeof version !== 'string') {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n if (version.length > MAX_LENGTH) {\n throw new TypeError(\n `version is longer than ${MAX_LENGTH} characters`\n )\n }\n\n debug('SemVer', version, options)\n this.options = options\n this.loose = !!options.loose\n // this isn't actually relevant for versions, but keep it so that we\n // don't run into trouble passing this.options around.\n this.includePrerelease = !!options.includePrerelease\n\n const m = version.trim().match(options.loose ? re[t.LOOSE] : re[t.FULL])\n\n if (!m) {\n throw new TypeError(`Invalid Version: ${version}`)\n }\n\n this.raw = version\n\n // these are actually numbers\n this.major = +m[1]\n this.minor = +m[2]\n this.patch = +m[3]\n\n if (this.major > MAX_SAFE_INTEGER || this.major < 0) {\n throw new TypeError('Invalid major version')\n }\n\n if (this.minor > MAX_SAFE_INTEGER || this.minor < 0) {\n throw new TypeError('Invalid minor version')\n }\n\n if (this.patch > MAX_SAFE_INTEGER || this.patch < 0) {\n throw new TypeError('Invalid patch version')\n }\n\n // numberify any prerelease numeric ids\n if (!m[4]) {\n this.prerelease = []\n } else {\n this.prerelease = m[4].split('.').map((id) => {\n if (/^[0-9]+$/.test(id)) {\n const num = +id\n if (num >= 0 && num < MAX_SAFE_INTEGER) {\n return num\n }\n }\n return id\n })\n }\n\n this.build = m[5] ? m[5].split('.') : []\n this.format()\n }\n\n format () {\n this.version = `${this.major}.${this.minor}.${this.patch}`\n if (this.prerelease.length) {\n this.version += `-${this.prerelease.join('.')}`\n }\n return this.version\n }\n\n toString () {\n return this.version\n }\n\n compare (other) {\n debug('SemVer.compare', this.version, this.options, other)\n if (!(other instanceof SemVer)) {\n if (typeof other === 'string' && other === this.version) {\n return 0\n }\n other = new SemVer(other, this.options)\n }\n\n if (other.version === this.version) {\n return 0\n }\n\n return this.compareMain(other) || this.comparePre(other)\n }\n\n compareMain (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n return (\n compareIdentifiers(this.major, other.major) ||\n compareIdentifiers(this.minor, other.minor) ||\n compareIdentifiers(this.patch, other.patch)\n )\n }\n\n comparePre (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n // NOT having a prerelease is > having one\n if (this.prerelease.length && !other.prerelease.length) {\n return -1\n } else if (!this.prerelease.length && other.prerelease.length) {\n return 1\n } else if (!this.prerelease.length && !other.prerelease.length) {\n return 0\n }\n\n let i = 0\n do {\n const a = this.prerelease[i]\n const b = other.prerelease[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n compareBuild (other) {\n if (!(other instanceof SemVer)) {\n other = new SemVer(other, this.options)\n }\n\n let i = 0\n do {\n const a = this.build[i]\n const b = other.build[i]\n debug('prerelease compare', i, a, b)\n if (a === undefined && b === undefined) {\n return 0\n } else if (b === undefined) {\n return 1\n } else if (a === undefined) {\n return -1\n } else if (a === b) {\n continue\n } else {\n return compareIdentifiers(a, b)\n }\n } while (++i)\n }\n\n // preminor will bump the version up to the next minor release, and immediately\n // down to pre-release. premajor and prepatch work the same way.\n inc (release, identifier) {\n switch (release) {\n case 'premajor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor = 0\n this.major++\n this.inc('pre', identifier)\n break\n case 'preminor':\n this.prerelease.length = 0\n this.patch = 0\n this.minor++\n this.inc('pre', identifier)\n break\n case 'prepatch':\n // If this is already a prerelease, it will bump to the next version\n // drop any prereleases that might already exist, since they are not\n // relevant at this point.\n this.prerelease.length = 0\n this.inc('patch', identifier)\n this.inc('pre', identifier)\n break\n // If the input is a non-prerelease version, this acts the same as\n // prepatch.\n case 'prerelease':\n if (this.prerelease.length === 0) {\n this.inc('patch', identifier)\n }\n this.inc('pre', identifier)\n break\n\n case 'major':\n // If this is a pre-major version, bump up to the same major version.\n // Otherwise increment major.\n // 1.0.0-5 bumps to 1.0.0\n // 1.1.0 bumps to 2.0.0\n if (\n this.minor !== 0 ||\n this.patch !== 0 ||\n this.prerelease.length === 0\n ) {\n this.major++\n }\n this.minor = 0\n this.patch = 0\n this.prerelease = []\n break\n case 'minor':\n // If this is a pre-minor version, bump up to the same minor version.\n // Otherwise increment minor.\n // 1.2.0-5 bumps to 1.2.0\n // 1.2.1 bumps to 1.3.0\n if (this.patch !== 0 || this.prerelease.length === 0) {\n this.minor++\n }\n this.patch = 0\n this.prerelease = []\n break\n case 'patch':\n // If this is not a pre-release version, it will increment the patch.\n // If it is a pre-release it will bump up to the same patch version.\n // 1.2.0-5 patches to 1.2.0\n // 1.2.0 patches to 1.2.1\n if (this.prerelease.length === 0) {\n this.patch++\n }\n this.prerelease = []\n break\n // This probably shouldn't be used publicly.\n // 1.0.0 'pre' would become 1.0.0-0 which is the wrong direction.\n case 'pre':\n if (this.prerelease.length === 0) {\n this.prerelease = [0]\n } else {\n let i = this.prerelease.length\n while (--i >= 0) {\n if (typeof this.prerelease[i] === 'number') {\n this.prerelease[i]++\n i = -2\n }\n }\n if (i === -1) {\n // didn't increment anything\n this.prerelease.push(0)\n }\n }\n if (identifier) {\n // 1.2.0-beta.1 bumps to 1.2.0-beta.2,\n // 1.2.0-beta.fooblz or 1.2.0-beta bumps to 1.2.0-beta.0\n if (this.prerelease[0] === identifier) {\n if (isNaN(this.prerelease[1])) {\n this.prerelease = [identifier, 0]\n }\n } else {\n this.prerelease = [identifier, 0]\n }\n }\n break\n\n default:\n throw new Error(`invalid increment argument: ${release}`)\n }\n this.format()\n this.raw = this.version\n return this\n }\n}\n\nmodule.exports = SemVer\n","'use strict';\nvar getPrototypeOf = require('../internals/object-get-prototype-of');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar has = require('../internals/has');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar IS_PURE = require('../internals/is-pure');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar BUGGY_SAFARI_ITERATORS = false;\n\nvar returnThis = function () { return this; };\n\n// `%IteratorPrototype%` object\n// https://tc39.github.io/ecma262/#sec-%iteratorprototype%-object\nvar IteratorPrototype, PrototypeOfArrayIteratorPrototype, arrayIterator;\n\nif ([].keys) {\n arrayIterator = [].keys();\n // Safari 8 has buggy iterators w/o `next`\n if (!('next' in arrayIterator)) BUGGY_SAFARI_ITERATORS = true;\n else {\n PrototypeOfArrayIteratorPrototype = getPrototypeOf(getPrototypeOf(arrayIterator));\n if (PrototypeOfArrayIteratorPrototype !== Object.prototype) IteratorPrototype = PrototypeOfArrayIteratorPrototype;\n }\n}\n\nif (IteratorPrototype == undefined) IteratorPrototype = {};\n\n// 25.1.2.1.1 %IteratorPrototype%[@@iterator]()\nif (!IS_PURE && !has(IteratorPrototype, ITERATOR)) {\n createNonEnumerableProperty(IteratorPrototype, ITERATOR, returnThis);\n}\n\nmodule.exports = {\n IteratorPrototype: IteratorPrototype,\n BUGGY_SAFARI_ITERATORS: BUGGY_SAFARI_ITERATORS\n};\n","var has = require('../internals/has');\nvar toObject = require('../internals/to-object');\nvar sharedKey = require('../internals/shared-key');\nvar CORRECT_PROTOTYPE_GETTER = require('../internals/correct-prototype-getter');\n\nvar IE_PROTO = sharedKey('IE_PROTO');\nvar ObjectPrototype = Object.prototype;\n\n// `Object.getPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.getprototypeof\nmodule.exports = CORRECT_PROTOTYPE_GETTER ? Object.getPrototypeOf : function (O) {\n O = toObject(O);\n if (has(O, IE_PROTO)) return O[IE_PROTO];\n if (typeof O.constructor == 'function' && O instanceof O.constructor) {\n return O.constructor.prototype;\n } return O instanceof Object ? ObjectPrototype : null;\n};\n","var anObject = require('../internals/an-object');\nvar aPossiblePrototype = require('../internals/a-possible-prototype');\n\n// `Object.setPrototypeOf` method\n// https://tc39.github.io/ecma262/#sec-object.setprototypeof\n// Works with __proto__ only. Old v8 can't work with null proto objects.\n/* eslint-disable no-proto */\nmodule.exports = Object.setPrototypeOf || ('__proto__' in {} ? function () {\n var CORRECT_SETTER = false;\n var test = {};\n var setter;\n try {\n setter = Object.getOwnPropertyDescriptor(Object.prototype, '__proto__').set;\n setter.call(test, []);\n CORRECT_SETTER = test instanceof Array;\n } catch (error) { /* empty */ }\n return function setPrototypeOf(O, proto) {\n anObject(O);\n aPossiblePrototype(proto);\n if (CORRECT_SETTER) setter.call(O, proto);\n else O.__proto__ = proto;\n return O;\n };\n}() : undefined);\n","var hiddenKeys = require('../internals/hidden-keys');\nvar isObject = require('../internals/is-object');\nvar has = require('../internals/has');\nvar defineProperty = require('../internals/object-define-property').f;\nvar uid = require('../internals/uid');\nvar FREEZING = require('../internals/freezing');\n\nvar METADATA = uid('meta');\nvar id = 0;\n\nvar isExtensible = Object.isExtensible || function () {\n return true;\n};\n\nvar setMetadata = function (it) {\n defineProperty(it, METADATA, { value: {\n objectID: 'O' + ++id, // object ID\n weakData: {} // weak collections IDs\n } });\n};\n\nvar fastKey = function (it, create) {\n // return a primitive with prefix\n if (!isObject(it)) return typeof it == 'symbol' ? it : (typeof it == 'string' ? 'S' : 'P') + it;\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return 'F';\n // not necessary to add metadata\n if (!create) return 'E';\n // add missing metadata\n setMetadata(it);\n // return object ID\n } return it[METADATA].objectID;\n};\n\nvar getWeakData = function (it, create) {\n if (!has(it, METADATA)) {\n // can't set metadata to uncaught frozen object\n if (!isExtensible(it)) return true;\n // not necessary to add metadata\n if (!create) return false;\n // add missing metadata\n setMetadata(it);\n // return the store of weak collections IDs\n } return it[METADATA].weakData;\n};\n\n// add metadata on freeze-family methods calling\nvar onFreeze = function (it) {\n if (FREEZING && meta.REQUIRED && isExtensible(it) && !has(it, METADATA)) setMetadata(it);\n return it;\n};\n\nvar meta = module.exports = {\n REQUIRED: false,\n fastKey: fastKey,\n getWeakData: getWeakData,\n onFreeze: onFreeze\n};\n\nhiddenKeys[METADATA] = true;\n","// iterable DOM collections\n// flag - `iterable` interface - 'entries', 'keys', 'values', 'forEach' methods\nmodule.exports = {\n CSSRuleList: 0,\n CSSStyleDeclaration: 0,\n CSSValueList: 0,\n ClientRectList: 0,\n DOMRectList: 0,\n DOMStringList: 0,\n DOMTokenList: 1,\n DataTransferItemList: 0,\n FileList: 0,\n HTMLAllCollection: 0,\n HTMLCollection: 0,\n HTMLFormElement: 0,\n HTMLSelectElement: 0,\n MediaList: 0,\n MimeTypeArray: 0,\n NamedNodeMap: 0,\n NodeList: 1,\n PaintRequestList: 0,\n Plugin: 0,\n PluginArray: 0,\n SVGLengthList: 0,\n SVGNumberList: 0,\n SVGPathSegList: 0,\n SVGPointList: 0,\n SVGStringList: 0,\n SVGTransformList: 0,\n SourceBufferList: 0,\n StyleSheetList: 0,\n TextTrackCueList: 0,\n TextTrackList: 0,\n TouchList: 0\n};\n","'use strict';\nvar anObject = require('../internals/an-object');\n\n// `RegExp.prototype.flags` getter implementation\n// https://tc39.github.io/ecma262/#sec-get-regexp.prototype.flags\nmodule.exports = function () {\n var that = anObject(this);\n var result = '';\n if (that.global) result += 'g';\n if (that.ignoreCase) result += 'i';\n if (that.multiline) result += 'm';\n if (that.dotAll) result += 's';\n if (that.unicode) result += 'u';\n if (that.sticky) result += 'y';\n return result;\n};\n","'use strict';\nvar redefine = require('../internals/redefine');\nvar anObject = require('../internals/an-object');\nvar fails = require('../internals/fails');\nvar flags = require('../internals/regexp-flags');\n\nvar TO_STRING = 'toString';\nvar RegExpPrototype = RegExp.prototype;\nvar nativeToString = RegExpPrototype[TO_STRING];\n\nvar NOT_GENERIC = fails(function () { return nativeToString.call({ source: 'a', flags: 'b' }) != '/a/b'; });\n// FF44- RegExp#toString has a wrong name\nvar INCORRECT_NAME = nativeToString.name != TO_STRING;\n\n// `RegExp.prototype.toString` method\n// https://tc39.github.io/ecma262/#sec-regexp.prototype.tostring\nif (NOT_GENERIC || INCORRECT_NAME) {\n redefine(RegExp.prototype, TO_STRING, function toString() {\n var R = anObject(this);\n var p = String(R.source);\n var rf = R.flags;\n var f = String(rf === undefined && R instanceof RegExp && !('flags' in RegExpPrototype) ? flags.call(R) : rf);\n return '/' + p + '/' + f;\n }, { unsafe: true });\n}\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\nvar InternalStateModule = require('../internals/internal-state');\nvar defineIterator = require('../internals/define-iterator');\n\nvar STRING_ITERATOR = 'String Iterator';\nvar setInternalState = InternalStateModule.set;\nvar getInternalState = InternalStateModule.getterFor(STRING_ITERATOR);\n\n// `String.prototype[@@iterator]` method\n// https://tc39.github.io/ecma262/#sec-string.prototype-@@iterator\ndefineIterator(String, 'String', function (iterated) {\n setInternalState(this, {\n type: STRING_ITERATOR,\n string: String(iterated),\n index: 0\n });\n// `%StringIteratorPrototype%.next` method\n// https://tc39.github.io/ecma262/#sec-%stringiteratorprototype%.next\n}, function next() {\n var state = getInternalState(this);\n var string = state.string;\n var index = state.index;\n var point;\n if (index >= string.length) return { value: undefined, done: true };\n point = charAt(string, index);\n state.index += point.length;\n return { value: point, done: false };\n});\n","'use strict';\nvar fixRegExpWellKnownSymbolLogic = require('../internals/fix-regexp-well-known-symbol-logic');\nvar anObject = require('../internals/an-object');\nvar toObject = require('../internals/to-object');\nvar toLength = require('../internals/to-length');\nvar toInteger = require('../internals/to-integer');\nvar requireObjectCoercible = require('../internals/require-object-coercible');\nvar advanceStringIndex = require('../internals/advance-string-index');\nvar regExpExec = require('../internals/regexp-exec-abstract');\n\nvar max = Math.max;\nvar min = Math.min;\nvar floor = Math.floor;\nvar SUBSTITUTION_SYMBOLS = /\\$([$&'`]|\\d\\d?|<[^>]*>)/g;\nvar SUBSTITUTION_SYMBOLS_NO_NAMED = /\\$([$&'`]|\\d\\d?)/g;\n\nvar maybeToString = function (it) {\n return it === undefined ? it : String(it);\n};\n\n// @@replace logic\nfixRegExpWellKnownSymbolLogic('replace', 2, function (REPLACE, nativeReplace, maybeCallNative, reason) {\n var REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = reason.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE;\n var REPLACE_KEEPS_$0 = reason.REPLACE_KEEPS_$0;\n var UNSAFE_SUBSTITUTE = REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE ? '$' : '$0';\n\n return [\n // `String.prototype.replace` method\n // https://tc39.github.io/ecma262/#sec-string.prototype.replace\n function replace(searchValue, replaceValue) {\n var O = requireObjectCoercible(this);\n var replacer = searchValue == undefined ? undefined : searchValue[REPLACE];\n return replacer !== undefined\n ? replacer.call(searchValue, O, replaceValue)\n : nativeReplace.call(String(O), searchValue, replaceValue);\n },\n // `RegExp.prototype[@@replace]` method\n // https://tc39.github.io/ecma262/#sec-regexp.prototype-@@replace\n function (regexp, replaceValue) {\n if (\n (!REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE && REPLACE_KEEPS_$0) ||\n (typeof replaceValue === 'string' && replaceValue.indexOf(UNSAFE_SUBSTITUTE) === -1)\n ) {\n var res = maybeCallNative(nativeReplace, regexp, this, replaceValue);\n if (res.done) return res.value;\n }\n\n var rx = anObject(regexp);\n var S = String(this);\n\n var functionalReplace = typeof replaceValue === 'function';\n if (!functionalReplace) replaceValue = String(replaceValue);\n\n var global = rx.global;\n if (global) {\n var fullUnicode = rx.unicode;\n rx.lastIndex = 0;\n }\n var results = [];\n while (true) {\n var result = regExpExec(rx, S);\n if (result === null) break;\n\n results.push(result);\n if (!global) break;\n\n var matchStr = String(result[0]);\n if (matchStr === '') rx.lastIndex = advanceStringIndex(S, toLength(rx.lastIndex), fullUnicode);\n }\n\n var accumulatedResult = '';\n var nextSourcePosition = 0;\n for (var i = 0; i < results.length; i++) {\n result = results[i];\n\n var matched = String(result[0]);\n var position = max(min(toInteger(result.index), S.length), 0);\n var captures = [];\n // NOTE: This is equivalent to\n // captures = result.slice(1).map(maybeToString)\n // but for some reason `nativeSlice.call(result, 1, result.length)` (called in\n // the slice polyfill when slicing native arrays) \"doesn't work\" in safari 9 and\n // causes a crash (https://pastebin.com/N21QzeQA) when trying to debug it.\n for (var j = 1; j < result.length; j++) captures.push(maybeToString(result[j]));\n var namedCaptures = result.groups;\n if (functionalReplace) {\n var replacerArgs = [matched].concat(captures, position, S);\n if (namedCaptures !== undefined) replacerArgs.push(namedCaptures);\n var replacement = String(replaceValue.apply(undefined, replacerArgs));\n } else {\n replacement = getSubstitution(matched, S, position, captures, namedCaptures, replaceValue);\n }\n if (position >= nextSourcePosition) {\n accumulatedResult += S.slice(nextSourcePosition, position) + replacement;\n nextSourcePosition = position + matched.length;\n }\n }\n return accumulatedResult + S.slice(nextSourcePosition);\n }\n ];\n\n // https://tc39.github.io/ecma262/#sec-getsubstitution\n function getSubstitution(matched, str, position, captures, namedCaptures, replacement) {\n var tailPos = position + matched.length;\n var m = captures.length;\n var symbols = SUBSTITUTION_SYMBOLS_NO_NAMED;\n if (namedCaptures !== undefined) {\n namedCaptures = toObject(namedCaptures);\n symbols = SUBSTITUTION_SYMBOLS;\n }\n return nativeReplace.call(replacement, symbols, function (match, ch) {\n var capture;\n switch (ch.charAt(0)) {\n case '$': return '$';\n case '&': return matched;\n case '`': return str.slice(0, position);\n case \"'\": return str.slice(tailPos);\n case '<':\n capture = namedCaptures[ch.slice(1, -1)];\n break;\n default: // \\d\\d?\n var n = +ch;\n if (n === 0) return match;\n if (n > m) {\n var f = floor(n / 10);\n if (f === 0) return match;\n if (f <= m) return captures[f - 1] === undefined ? ch.charAt(1) : captures[f - 1] + ch.charAt(1);\n return match;\n }\n capture = captures[n - 1];\n }\n return capture === undefined ? '' : capture;\n });\n }\n});\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar ArrayIteratorMethods = require('../modules/es.array.iterator');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar TO_STRING_TAG = wellKnownSymbol('toStringTag');\nvar ArrayValues = ArrayIteratorMethods.values;\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n if (CollectionPrototype) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[ITERATOR] !== ArrayValues) try {\n createNonEnumerableProperty(CollectionPrototype, ITERATOR, ArrayValues);\n } catch (error) {\n CollectionPrototype[ITERATOR] = ArrayValues;\n }\n if (!CollectionPrototype[TO_STRING_TAG]) {\n createNonEnumerableProperty(CollectionPrototype, TO_STRING_TAG, COLLECTION_NAME);\n }\n if (DOMIterables[COLLECTION_NAME]) for (var METHOD_NAME in ArrayIteratorMethods) {\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype[METHOD_NAME] !== ArrayIteratorMethods[METHOD_NAME]) try {\n createNonEnumerableProperty(CollectionPrototype, METHOD_NAME, ArrayIteratorMethods[METHOD_NAME]);\n } catch (error) {\n CollectionPrototype[METHOD_NAME] = ArrayIteratorMethods[METHOD_NAME];\n }\n }\n }\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar $indexOf = require('../internals/array-includes').indexOf;\nvar arrayMethodIsStrict = require('../internals/array-method-is-strict');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar nativeIndexOf = [].indexOf;\n\nvar NEGATIVE_ZERO = !!nativeIndexOf && 1 / [1].indexOf(1, -0) < 0;\nvar STRICT_METHOD = arrayMethodIsStrict('indexOf');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('indexOf', { ACCESSORS: true, 1: 0 });\n\n// `Array.prototype.indexOf` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.indexof\n$({ target: 'Array', proto: true, forced: NEGATIVE_ZERO || !STRICT_METHOD || !USES_TO_LENGTH }, {\n indexOf: function indexOf(searchElement /* , fromIndex = 0 */) {\n return NEGATIVE_ZERO\n // convert -0 to +0\n ? nativeIndexOf.apply(this, arguments) || 0\n : $indexOf(this, searchElement, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","module.exports = function (it) {\n if (typeof it != 'function') {\n throw TypeError(String(it) + ' is not a function');\n } return it;\n};\n","'use strict';\nvar toPrimitive = require('../internals/to-primitive');\nvar definePropertyModule = require('../internals/object-define-property');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\n\nmodule.exports = function (object, key, value) {\n var propertyKey = toPrimitive(key);\n if (propertyKey in object) definePropertyModule.f(object, propertyKey, createPropertyDescriptor(0, value));\n else object[propertyKey] = value;\n};\n","var classof = require('../internals/classof');\nvar Iterators = require('../internals/iterators');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\n\nmodule.exports = function (it) {\n if (it != undefined) return it[ITERATOR]\n || it['@@iterator']\n || Iterators[classof(it)];\n};\n","var internalObjectKeys = require('../internals/object-keys-internal');\nvar enumBugKeys = require('../internals/enum-bug-keys');\n\nvar hiddenKeys = enumBugKeys.concat('length', 'prototype');\n\n// `Object.getOwnPropertyNames` method\n// https://tc39.github.io/ecma262/#sec-object.getownpropertynames\nexports.f = Object.getOwnPropertyNames || function getOwnPropertyNames(O) {\n return internalObjectKeys(O, hiddenKeys);\n};\n","var toInteger = require('../internals/to-integer');\n\nvar max = Math.max;\nvar min = Math.min;\n\n// Helper for a popular repeating case of the spec:\n// Let integer be ? ToInteger(index).\n// If integer < 0, let result be max((length + integer), 0); else let result be min(integer, length).\nmodule.exports = function (index, length) {\n var integer = toInteger(index);\n return integer < 0 ? max(integer + length, 0) : min(integer, length);\n};\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nObject.defineProperty(exports, \"getRequestToken\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.getRequestToken;\n }\n});\nObject.defineProperty(exports, \"onRequestTokenUpdate\", {\n enumerable: true,\n get: function get() {\n return _requesttoken.onRequestTokenUpdate;\n }\n});\nObject.defineProperty(exports, \"getCurrentUser\", {\n enumerable: true,\n get: function get() {\n return _user.getCurrentUser;\n }\n});\n\nvar _requesttoken = require(\"./requesttoken\");\n\nvar _user = require(\"./user\");\n//# sourceMappingURL=index.js.map","'use strict';\nvar $ = require('../internals/export');\nvar $filter = require('../internals/array-iteration').filter;\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('filter');\n// Edge 14- issue\nvar USES_TO_LENGTH = arrayMethodUsesToLength('filter');\n\n// `Array.prototype.filter` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.filter\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n filter: function filter(callbackfn /* , thisArg */) {\n return $filter(this, callbackfn, arguments.length > 1 ? arguments[1] : undefined);\n }\n});\n","var isObject = require('../internals/is-object');\nvar setPrototypeOf = require('../internals/object-set-prototype-of');\n\n// makes subclassing work correct for wrapped built-ins\nmodule.exports = function ($this, dummy, Wrapper) {\n var NewTarget, NewTargetPrototype;\n if (\n // it can work only with native `setPrototypeOf`\n setPrototypeOf &&\n // we haven't completely correct pre-ES6 way for getting `new.target`, so use this\n typeof (NewTarget = dummy.constructor) == 'function' &&\n NewTarget !== Wrapper &&\n isObject(NewTargetPrototype = NewTarget.prototype) &&\n NewTargetPrototype !== Wrapper.prototype\n ) setPrototypeOf($this, NewTargetPrototype);\n return $this;\n};\n","var redefine = require('../internals/redefine');\n\nmodule.exports = function (target, src, options) {\n for (var key in src) redefine(target, key, src[key], options);\n return target;\n};\n","'use strict';\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fails = require('../internals/fails');\nvar objectKeys = require('../internals/object-keys');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar propertyIsEnumerableModule = require('../internals/object-property-is-enumerable');\nvar toObject = require('../internals/to-object');\nvar IndexedObject = require('../internals/indexed-object');\n\nvar nativeAssign = Object.assign;\nvar defineProperty = Object.defineProperty;\n\n// `Object.assign` method\n// https://tc39.github.io/ecma262/#sec-object.assign\nmodule.exports = !nativeAssign || fails(function () {\n // should have correct order of operations (Edge bug)\n if (DESCRIPTORS && nativeAssign({ b: 1 }, nativeAssign(defineProperty({}, 'a', {\n enumerable: true,\n get: function () {\n defineProperty(this, 'b', {\n value: 3,\n enumerable: false\n });\n }\n }), { b: 2 })).b !== 1) return true;\n // should work with symbols and should have deterministic property order (V8 bug)\n var A = {};\n var B = {};\n // eslint-disable-next-line no-undef\n var symbol = Symbol();\n var alphabet = 'abcdefghijklmnopqrst';\n A[symbol] = 7;\n alphabet.split('').forEach(function (chr) { B[chr] = chr; });\n return nativeAssign({}, A)[symbol] != 7 || objectKeys(nativeAssign({}, B)).join('') != alphabet;\n}) ? function assign(target, source) { // eslint-disable-line no-unused-vars\n var T = toObject(target);\n var argumentsLength = arguments.length;\n var index = 1;\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n var propertyIsEnumerable = propertyIsEnumerableModule.f;\n while (argumentsLength > index) {\n var S = IndexedObject(arguments[index++]);\n var keys = getOwnPropertySymbols ? objectKeys(S).concat(getOwnPropertySymbols(S)) : objectKeys(S);\n var length = keys.length;\n var j = 0;\n var key;\n while (length > j) {\n key = keys[j++];\n if (!DESCRIPTORS || propertyIsEnumerable.call(S, key)) T[key] = S[key];\n }\n } return T;\n} : nativeAssign;\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('navigator', 'userAgent') || '';\n","var DESCRIPTORS = require('../internals/descriptors');\nvar definePropertyModule = require('../internals/object-define-property');\nvar anObject = require('../internals/an-object');\nvar objectKeys = require('../internals/object-keys');\n\n// `Object.defineProperties` method\n// https://tc39.github.io/ecma262/#sec-object.defineproperties\nmodule.exports = DESCRIPTORS ? Object.defineProperties : function defineProperties(O, Properties) {\n anObject(O);\n var keys = objectKeys(Properties);\n var length = keys.length;\n var index = 0;\n var key;\n while (length > index) definePropertyModule.f(O, key = keys[index++], Properties[key]);\n return O;\n};\n","var getBuiltIn = require('../internals/get-built-in');\n\nmodule.exports = getBuiltIn('document', 'documentElement');\n","'use strict';\nvar IteratorPrototype = require('../internals/iterators-core').IteratorPrototype;\nvar create = require('../internals/object-create');\nvar createPropertyDescriptor = require('../internals/create-property-descriptor');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar Iterators = require('../internals/iterators');\n\nvar returnThis = function () { return this; };\n\nmodule.exports = function (IteratorConstructor, NAME, next) {\n var TO_STRING_TAG = NAME + ' Iterator';\n IteratorConstructor.prototype = create(IteratorPrototype, { next: createPropertyDescriptor(1, next) });\n setToStringTag(IteratorConstructor, TO_STRING_TAG, false, true);\n Iterators[TO_STRING_TAG] = returnThis;\n return IteratorConstructor;\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar Iterators = require('../internals/iterators');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar ArrayPrototype = Array.prototype;\n\n// check on default Array iterator\nmodule.exports = function (it) {\n return it !== undefined && (Iterators.Array === it || ArrayPrototype[ITERATOR] === it);\n};\n","var anObject = require('../internals/an-object');\n\n// call something on iterator step with safe closing on error\nmodule.exports = function (iterator, fn, value, ENTRIES) {\n try {\n return ENTRIES ? fn(anObject(value)[0], value[1]) : fn(value);\n // 7.4.6 IteratorClose(iterator, completion)\n } catch (error) {\n var returnMethod = iterator['return'];\n if (returnMethod !== undefined) anObject(returnMethod.call(iterator));\n throw error;\n }\n};\n","var wellKnownSymbol = require('../internals/well-known-symbol');\n\nvar ITERATOR = wellKnownSymbol('iterator');\nvar SAFE_CLOSING = false;\n\ntry {\n var called = 0;\n var iteratorWithReturn = {\n next: function () {\n return { done: !!called++ };\n },\n 'return': function () {\n SAFE_CLOSING = true;\n }\n };\n iteratorWithReturn[ITERATOR] = function () {\n return this;\n };\n // eslint-disable-next-line no-throw-literal\n Array.from(iteratorWithReturn, function () { throw 2; });\n} catch (error) { /* empty */ }\n\nmodule.exports = function (exec, SKIP_CLOSING) {\n if (!SKIP_CLOSING && !SAFE_CLOSING) return false;\n var ITERATION_SUPPORT = false;\n try {\n var object = {};\n object[ITERATOR] = function () {\n return {\n next: function () {\n return { done: ITERATION_SUPPORT = true };\n }\n };\n };\n exec(object);\n } catch (error) { /* empty */ }\n return ITERATION_SUPPORT;\n};\n","'use strict';\nvar getBuiltIn = require('../internals/get-built-in');\nvar definePropertyModule = require('../internals/object-define-property');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar DESCRIPTORS = require('../internals/descriptors');\n\nvar SPECIES = wellKnownSymbol('species');\n\nmodule.exports = function (CONSTRUCTOR_NAME) {\n var Constructor = getBuiltIn(CONSTRUCTOR_NAME);\n var defineProperty = definePropertyModule.f;\n\n if (DESCRIPTORS && Constructor && !Constructor[SPECIES]) {\n defineProperty(Constructor, SPECIES, {\n configurable: true,\n get: function () { return this; }\n });\n }\n};\n","'use strict';\n// TODO: Remove from `core-js@4` since it's moved to entry points\nrequire('../modules/es.regexp.exec');\nvar redefine = require('../internals/redefine');\nvar fails = require('../internals/fails');\nvar wellKnownSymbol = require('../internals/well-known-symbol');\nvar regexpExec = require('../internals/regexp-exec');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nvar SPECIES = wellKnownSymbol('species');\n\nvar REPLACE_SUPPORTS_NAMED_GROUPS = !fails(function () {\n // #replace needs built-in support for named groups.\n // #match works fine because it just return the exec results, even if it has\n // a \"grops\" property.\n var re = /./;\n re.exec = function () {\n var result = [];\n result.groups = { a: '7' };\n return result;\n };\n return ''.replace(re, '$<a>') !== '7';\n});\n\n// IE <= 11 replaces $0 with the whole match, as if it was $&\n// https://stackoverflow.com/questions/6024666/getting-ie-to-replace-a-regex-with-the-literal-string-0\nvar REPLACE_KEEPS_$0 = (function () {\n return 'a'.replace(/./, '$0') === '$0';\n})();\n\nvar REPLACE = wellKnownSymbol('replace');\n// Safari <= 13.0.3(?) substitutes nth capture where n>m with an empty string\nvar REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE = (function () {\n if (/./[REPLACE]) {\n return /./[REPLACE]('a', '$0') === '';\n }\n return false;\n})();\n\n// Chrome 51 has a buggy \"split\" implementation when RegExp#exec !== nativeExec\n// Weex JS has frozen built-in prototypes, so use try / catch wrapper\nvar SPLIT_WORKS_WITH_OVERWRITTEN_EXEC = !fails(function () {\n var re = /(?:)/;\n var originalExec = re.exec;\n re.exec = function () { return originalExec.apply(this, arguments); };\n var result = 'ab'.split(re);\n return result.length !== 2 || result[0] !== 'a' || result[1] !== 'b';\n});\n\nmodule.exports = function (KEY, length, exec, sham) {\n var SYMBOL = wellKnownSymbol(KEY);\n\n var DELEGATES_TO_SYMBOL = !fails(function () {\n // String methods call symbol-named RegEp methods\n var O = {};\n O[SYMBOL] = function () { return 7; };\n return ''[KEY](O) != 7;\n });\n\n var DELEGATES_TO_EXEC = DELEGATES_TO_SYMBOL && !fails(function () {\n // Symbol-named RegExp methods call .exec\n var execCalled = false;\n var re = /a/;\n\n if (KEY === 'split') {\n // We can't use real regex here since it causes deoptimization\n // and serious performance degradation in V8\n // https://github.com/zloirock/core-js/issues/306\n re = {};\n // RegExp[@@split] doesn't call the regex's exec method, but first creates\n // a new one. We need to return the patched regex when creating the new one.\n re.constructor = {};\n re.constructor[SPECIES] = function () { return re; };\n re.flags = '';\n re[SYMBOL] = /./[SYMBOL];\n }\n\n re.exec = function () { execCalled = true; return null; };\n\n re[SYMBOL]('');\n return !execCalled;\n });\n\n if (\n !DELEGATES_TO_SYMBOL ||\n !DELEGATES_TO_EXEC ||\n (KEY === 'replace' && !(\n REPLACE_SUPPORTS_NAMED_GROUPS &&\n REPLACE_KEEPS_$0 &&\n !REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n )) ||\n (KEY === 'split' && !SPLIT_WORKS_WITH_OVERWRITTEN_EXEC)\n ) {\n var nativeRegExpMethod = /./[SYMBOL];\n var methods = exec(SYMBOL, ''[KEY], function (nativeMethod, regexp, str, arg2, forceStringMethod) {\n if (regexp.exec === regexpExec) {\n if (DELEGATES_TO_SYMBOL && !forceStringMethod) {\n // The native String method already delegates to @@method (this\n // polyfilled function), leasing to infinite recursion.\n // We avoid it by directly calling the native @@method method.\n return { done: true, value: nativeRegExpMethod.call(regexp, str, arg2) };\n }\n return { done: true, value: nativeMethod.call(str, regexp, arg2) };\n }\n return { done: false };\n }, {\n REPLACE_KEEPS_$0: REPLACE_KEEPS_$0,\n REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE: REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE\n });\n var stringMethod = methods[0];\n var regexMethod = methods[1];\n\n redefine(String.prototype, KEY, stringMethod);\n redefine(RegExp.prototype, SYMBOL, length == 2\n // 21.2.5.8 RegExp.prototype[@@replace](string, replaceValue)\n // 21.2.5.11 RegExp.prototype[@@split](string, limit)\n ? function (string, arg) { return regexMethod.call(string, this, arg); }\n // 21.2.5.6 RegExp.prototype[@@match](string)\n // 21.2.5.9 RegExp.prototype[@@search](string)\n : function (string) { return regexMethod.call(string, this); }\n );\n }\n\n if (sham) createNonEnumerableProperty(RegExp.prototype[SYMBOL], 'sham', true);\n};\n","'use strict';\nvar charAt = require('../internals/string-multibyte').charAt;\n\n// `AdvanceStringIndex` abstract operation\n// https://tc39.github.io/ecma262/#sec-advancestringindex\nmodule.exports = function (S, index, unicode) {\n return index + (unicode ? charAt(S, index).length : 1);\n};\n","var classof = require('./classof-raw');\nvar regexpExec = require('./regexp-exec');\n\n// `RegExpExec` abstract operation\n// https://tc39.github.io/ecma262/#sec-regexpexec\nmodule.exports = function (R, S) {\n var exec = R.exec;\n if (typeof exec === 'function') {\n var result = exec.call(R, S);\n if (typeof result !== 'object') {\n throw TypeError('RegExp exec method returned something other than an Object or null');\n }\n return result;\n }\n\n if (classof(R) !== 'RegExp') {\n throw TypeError('RegExp#exec called on incompatible receiver');\n }\n\n return regexpExec.call(R, S);\n};\n\n","var global = require('../internals/global');\nvar inspectSource = require('../internals/inspect-source');\n\nvar WeakMap = global.WeakMap;\n\nmodule.exports = typeof WeakMap === 'function' && /native code/.test(inspectSource(WeakMap));\n","var has = require('../internals/has');\nvar ownKeys = require('../internals/own-keys');\nvar getOwnPropertyDescriptorModule = require('../internals/object-get-own-property-descriptor');\nvar definePropertyModule = require('../internals/object-define-property');\n\nmodule.exports = function (target, source) {\n var keys = ownKeys(source);\n var defineProperty = definePropertyModule.f;\n var getOwnPropertyDescriptor = getOwnPropertyDescriptorModule.f;\n for (var i = 0; i < keys.length; i++) {\n var key = keys[i];\n if (!has(target, key)) defineProperty(target, key, getOwnPropertyDescriptor(source, key));\n }\n};\n","var getBuiltIn = require('../internals/get-built-in');\nvar getOwnPropertyNamesModule = require('../internals/object-get-own-property-names');\nvar getOwnPropertySymbolsModule = require('../internals/object-get-own-property-symbols');\nvar anObject = require('../internals/an-object');\n\n// all object keys, includes non-enumerable and symbols\nmodule.exports = getBuiltIn('Reflect', 'ownKeys') || function ownKeys(it) {\n var keys = getOwnPropertyNamesModule.f(anObject(it));\n var getOwnPropertySymbols = getOwnPropertySymbolsModule.f;\n return getOwnPropertySymbols ? keys.concat(getOwnPropertySymbols(it)) : keys;\n};\n","var global = require('../internals/global');\n\nmodule.exports = global;\n","module.exports = require('./lib/axios');","'use strict';\n\nvar utils = require('./utils');\nvar bind = require('./helpers/bind');\nvar Axios = require('./core/Axios');\nvar mergeConfig = require('./core/mergeConfig');\nvar defaults = require('./defaults');\n\n/**\n * Create an instance of Axios\n *\n * @param {Object} defaultConfig The default config for the instance\n * @return {Axios} A new instance of Axios\n */\nfunction createInstance(defaultConfig) {\n var context = new Axios(defaultConfig);\n var instance = bind(Axios.prototype.request, context);\n\n // Copy axios.prototype to instance\n utils.extend(instance, Axios.prototype, context);\n\n // Copy context to instance\n utils.extend(instance, context);\n\n return instance;\n}\n\n// Create the default instance to be exported\nvar axios = createInstance(defaults);\n\n// Expose Axios class to allow class inheritance\naxios.Axios = Axios;\n\n// Factory for creating new instances\naxios.create = function create(instanceConfig) {\n return createInstance(mergeConfig(axios.defaults, instanceConfig));\n};\n\n// Expose Cancel & CancelToken\naxios.Cancel = require('./cancel/Cancel');\naxios.CancelToken = require('./cancel/CancelToken');\naxios.isCancel = require('./cancel/isCancel');\n\n// Expose all/spread\naxios.all = function all(promises) {\n return Promise.all(promises);\n};\naxios.spread = require('./helpers/spread');\n\nmodule.exports = axios;\n\n// Allow use of default import syntax in TypeScript\nmodule.exports.default = axios;\n","'use strict';\n\nvar utils = require('./../utils');\nvar buildURL = require('../helpers/buildURL');\nvar InterceptorManager = require('./InterceptorManager');\nvar dispatchRequest = require('./dispatchRequest');\nvar mergeConfig = require('./mergeConfig');\n\n/**\n * Create a new instance of Axios\n *\n * @param {Object} instanceConfig The default config for the instance\n */\nfunction Axios(instanceConfig) {\n this.defaults = instanceConfig;\n this.interceptors = {\n request: new InterceptorManager(),\n response: new InterceptorManager()\n };\n}\n\n/**\n * Dispatch a request\n *\n * @param {Object} config The config specific for this request (merged with this.defaults)\n */\nAxios.prototype.request = function request(config) {\n /*eslint no-param-reassign:0*/\n // Allow for axios('example/url'[, config]) a la fetch API\n if (typeof config === 'string') {\n config = arguments[1] || {};\n config.url = arguments[0];\n } else {\n config = config || {};\n }\n\n config = mergeConfig(this.defaults, config);\n\n // Set config.method\n if (config.method) {\n config.method = config.method.toLowerCase();\n } else if (this.defaults.method) {\n config.method = this.defaults.method.toLowerCase();\n } else {\n config.method = 'get';\n }\n\n // Hook up interceptors middleware\n var chain = [dispatchRequest, undefined];\n var promise = Promise.resolve(config);\n\n this.interceptors.request.forEach(function unshiftRequestInterceptors(interceptor) {\n chain.unshift(interceptor.fulfilled, interceptor.rejected);\n });\n\n this.interceptors.response.forEach(function pushResponseInterceptors(interceptor) {\n chain.push(interceptor.fulfilled, interceptor.rejected);\n });\n\n while (chain.length) {\n promise = promise.then(chain.shift(), chain.shift());\n }\n\n return promise;\n};\n\nAxios.prototype.getUri = function getUri(config) {\n config = mergeConfig(this.defaults, config);\n return buildURL(config.url, config.params, config.paramsSerializer).replace(/^\\?/, '');\n};\n\n// Provide aliases for supported request methods\nutils.forEach(['delete', 'get', 'head', 'options'], function forEachMethodNoData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url\n }));\n };\n});\n\nutils.forEach(['post', 'put', 'patch'], function forEachMethodWithData(method) {\n /*eslint func-names:0*/\n Axios.prototype[method] = function(url, data, config) {\n return this.request(utils.merge(config || {}, {\n method: method,\n url: url,\n data: data\n }));\n };\n});\n\nmodule.exports = Axios;\n","'use strict';\n\nvar utils = require('./../utils');\n\nfunction InterceptorManager() {\n this.handlers = [];\n}\n\n/**\n * Add a new interceptor to the stack\n *\n * @param {Function} fulfilled The function to handle `then` for a `Promise`\n * @param {Function} rejected The function to handle `reject` for a `Promise`\n *\n * @return {Number} An ID used to remove interceptor later\n */\nInterceptorManager.prototype.use = function use(fulfilled, rejected) {\n this.handlers.push({\n fulfilled: fulfilled,\n rejected: rejected\n });\n return this.handlers.length - 1;\n};\n\n/**\n * Remove an interceptor from the stack\n *\n * @param {Number} id The ID that was returned by `use`\n */\nInterceptorManager.prototype.eject = function eject(id) {\n if (this.handlers[id]) {\n this.handlers[id] = null;\n }\n};\n\n/**\n * Iterate over all the registered interceptors\n *\n * This method is particularly useful for skipping over any\n * interceptors that may have become `null` calling `eject`.\n *\n * @param {Function} fn The function to call for each interceptor\n */\nInterceptorManager.prototype.forEach = function forEach(fn) {\n utils.forEach(this.handlers, function forEachHandler(h) {\n if (h !== null) {\n fn(h);\n }\n });\n};\n\nmodule.exports = InterceptorManager;\n","'use strict';\n\nvar utils = require('./../utils');\nvar transformData = require('./transformData');\nvar isCancel = require('../cancel/isCancel');\nvar defaults = require('../defaults');\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nfunction throwIfCancellationRequested(config) {\n if (config.cancelToken) {\n config.cancelToken.throwIfRequested();\n }\n}\n\n/**\n * Dispatch a request to the server using the configured adapter.\n *\n * @param {object} config The config that is to be used for the request\n * @returns {Promise} The Promise to be fulfilled\n */\nmodule.exports = function dispatchRequest(config) {\n throwIfCancellationRequested(config);\n\n // Ensure headers exist\n config.headers = config.headers || {};\n\n // Transform request data\n config.data = transformData(\n config.data,\n config.headers,\n config.transformRequest\n );\n\n // Flatten headers\n config.headers = utils.merge(\n config.headers.common || {},\n config.headers[config.method] || {},\n config.headers\n );\n\n utils.forEach(\n ['delete', 'get', 'head', 'post', 'put', 'patch', 'common'],\n function cleanHeaderConfig(method) {\n delete config.headers[method];\n }\n );\n\n var adapter = config.adapter || defaults.adapter;\n\n return adapter(config).then(function onAdapterResolution(response) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n response.data = transformData(\n response.data,\n response.headers,\n config.transformResponse\n );\n\n return response;\n }, function onAdapterRejection(reason) {\n if (!isCancel(reason)) {\n throwIfCancellationRequested(config);\n\n // Transform response data\n if (reason && reason.response) {\n reason.response.data = transformData(\n reason.response.data,\n reason.response.headers,\n config.transformResponse\n );\n }\n }\n\n return Promise.reject(reason);\n });\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n/**\n * Transform the data for a request or a response\n *\n * @param {Object|String} data The data to be transformed\n * @param {Array} headers The headers for the request or response\n * @param {Array|Function} fns A single function or Array of functions\n * @returns {*} The resulting transformed data\n */\nmodule.exports = function transformData(data, headers, fns) {\n /*eslint no-param-reassign:0*/\n utils.forEach(fns, function transform(fn) {\n data = fn(data, headers);\n });\n\n return data;\n};\n","'use strict';\n\nvar utils = require('../utils');\n\nmodule.exports = function normalizeHeaderName(headers, normalizedName) {\n utils.forEach(headers, function processHeader(value, name) {\n if (name !== normalizedName && name.toUpperCase() === normalizedName.toUpperCase()) {\n headers[normalizedName] = value;\n delete headers[name];\n }\n });\n};\n","'use strict';\n\nvar createError = require('./createError');\n\n/**\n * Resolve or reject a Promise based on response status.\n *\n * @param {Function} resolve A function that resolves the promise.\n * @param {Function} reject A function that rejects the promise.\n * @param {object} response The response.\n */\nmodule.exports = function settle(resolve, reject, response) {\n var validateStatus = response.config.validateStatus;\n if (!validateStatus || validateStatus(response.status)) {\n resolve(response);\n } else {\n reject(createError(\n 'Request failed with status code ' + response.status,\n response.config,\n null,\n response.request,\n response\n ));\n }\n};\n","'use strict';\n\n/**\n * Update an Error with the specified config, error code, and response.\n *\n * @param {Error} error The error to update.\n * @param {Object} config The config.\n * @param {string} [code] The error code (for example, 'ECONNABORTED').\n * @param {Object} [request] The request.\n * @param {Object} [response] The response.\n * @returns {Error} The error.\n */\nmodule.exports = function enhanceError(error, config, code, request, response) {\n error.config = config;\n if (code) {\n error.code = code;\n }\n\n error.request = request;\n error.response = response;\n error.isAxiosError = true;\n\n error.toJSON = function() {\n return {\n // Standard\n message: this.message,\n name: this.name,\n // Microsoft\n description: this.description,\n number: this.number,\n // Mozilla\n fileName: this.fileName,\n lineNumber: this.lineNumber,\n columnNumber: this.columnNumber,\n stack: this.stack,\n // Axios\n config: this.config,\n code: this.code\n };\n };\n return error;\n};\n","'use strict';\n\nvar isAbsoluteURL = require('../helpers/isAbsoluteURL');\nvar combineURLs = require('../helpers/combineURLs');\n\n/**\n * Creates a new URL by combining the baseURL with the requestedURL,\n * only when the requestedURL is not already an absolute URL.\n * If the requestURL is absolute, this function returns the requestedURL untouched.\n *\n * @param {string} baseURL The base URL\n * @param {string} requestedURL Absolute or relative URL to combine\n * @returns {string} The combined full path\n */\nmodule.exports = function buildFullPath(baseURL, requestedURL) {\n if (baseURL && !isAbsoluteURL(requestedURL)) {\n return combineURLs(baseURL, requestedURL);\n }\n return requestedURL;\n};\n","'use strict';\n\n/**\n * Determines whether the specified URL is absolute\n *\n * @param {string} url The URL to test\n * @returns {boolean} True if the specified URL is absolute, otherwise false\n */\nmodule.exports = function isAbsoluteURL(url) {\n // A URL is considered absolute if it begins with \"<scheme>://\" or \"//\" (protocol-relative URL).\n // RFC 3986 defines scheme name as a sequence of characters beginning with a letter and followed\n // by any combination of letters, digits, plus, period, or hyphen.\n return /^([a-z][a-z\\d\\+\\-\\.]*:)?\\/\\//i.test(url);\n};\n","'use strict';\n\n/**\n * Creates a new URL by combining the specified URLs\n *\n * @param {string} baseURL The base URL\n * @param {string} relativeURL The relative URL\n * @returns {string} The combined URL\n */\nmodule.exports = function combineURLs(baseURL, relativeURL) {\n return relativeURL\n ? baseURL.replace(/\\/+$/, '') + '/' + relativeURL.replace(/^\\/+/, '')\n : baseURL;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\n// Headers whose duplicates are ignored by node\n// c.f. https://nodejs.org/api/http.html#http_message_headers\nvar ignoreDuplicateOf = [\n 'age', 'authorization', 'content-length', 'content-type', 'etag',\n 'expires', 'from', 'host', 'if-modified-since', 'if-unmodified-since',\n 'last-modified', 'location', 'max-forwards', 'proxy-authorization',\n 'referer', 'retry-after', 'user-agent'\n];\n\n/**\n * Parse headers into an object\n *\n * ```\n * Date: Wed, 27 Aug 2014 08:58:49 GMT\n * Content-Type: application/json\n * Connection: keep-alive\n * Transfer-Encoding: chunked\n * ```\n *\n * @param {String} headers Headers needing to be parsed\n * @returns {Object} Headers parsed into an object\n */\nmodule.exports = function parseHeaders(headers) {\n var parsed = {};\n var key;\n var val;\n var i;\n\n if (!headers) { return parsed; }\n\n utils.forEach(headers.split('\\n'), function parser(line) {\n i = line.indexOf(':');\n key = utils.trim(line.substr(0, i)).toLowerCase();\n val = utils.trim(line.substr(i + 1));\n\n if (key) {\n if (parsed[key] && ignoreDuplicateOf.indexOf(key) >= 0) {\n return;\n }\n if (key === 'set-cookie') {\n parsed[key] = (parsed[key] ? parsed[key] : []).concat([val]);\n } else {\n parsed[key] = parsed[key] ? parsed[key] + ', ' + val : val;\n }\n }\n });\n\n return parsed;\n};\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs have full support of the APIs needed to test\n // whether the request URL is of the same origin as current location.\n (function standardBrowserEnv() {\n var msie = /(msie|trident)/i.test(navigator.userAgent);\n var urlParsingNode = document.createElement('a');\n var originURL;\n\n /**\n * Parse a URL to discover it's components\n *\n * @param {String} url The URL to be parsed\n * @returns {Object}\n */\n function resolveURL(url) {\n var href = url;\n\n if (msie) {\n // IE needs attribute set twice to normalize properties\n urlParsingNode.setAttribute('href', href);\n href = urlParsingNode.href;\n }\n\n urlParsingNode.setAttribute('href', href);\n\n // urlParsingNode provides the UrlUtils interface - http://url.spec.whatwg.org/#urlutils\n return {\n href: urlParsingNode.href,\n protocol: urlParsingNode.protocol ? urlParsingNode.protocol.replace(/:$/, '') : '',\n host: urlParsingNode.host,\n search: urlParsingNode.search ? urlParsingNode.search.replace(/^\\?/, '') : '',\n hash: urlParsingNode.hash ? urlParsingNode.hash.replace(/^#/, '') : '',\n hostname: urlParsingNode.hostname,\n port: urlParsingNode.port,\n pathname: (urlParsingNode.pathname.charAt(0) === '/') ?\n urlParsingNode.pathname :\n '/' + urlParsingNode.pathname\n };\n }\n\n originURL = resolveURL(window.location.href);\n\n /**\n * Determine if a URL shares the same origin as the current location\n *\n * @param {String} requestURL The URL to test\n * @returns {boolean} True if URL shares the same origin, otherwise false\n */\n return function isURLSameOrigin(requestURL) {\n var parsed = (utils.isString(requestURL)) ? resolveURL(requestURL) : requestURL;\n return (parsed.protocol === originURL.protocol &&\n parsed.host === originURL.host);\n };\n })() :\n\n // Non standard browser envs (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return function isURLSameOrigin() {\n return true;\n };\n })()\n);\n","'use strict';\n\nvar utils = require('./../utils');\n\nmodule.exports = (\n utils.isStandardBrowserEnv() ?\n\n // Standard browser envs support document.cookie\n (function standardBrowserEnv() {\n return {\n write: function write(name, value, expires, path, domain, secure) {\n var cookie = [];\n cookie.push(name + '=' + encodeURIComponent(value));\n\n if (utils.isNumber(expires)) {\n cookie.push('expires=' + new Date(expires).toGMTString());\n }\n\n if (utils.isString(path)) {\n cookie.push('path=' + path);\n }\n\n if (utils.isString(domain)) {\n cookie.push('domain=' + domain);\n }\n\n if (secure === true) {\n cookie.push('secure');\n }\n\n document.cookie = cookie.join('; ');\n },\n\n read: function read(name) {\n var match = document.cookie.match(new RegExp('(^|;\\\\s*)(' + name + ')=([^;]*)'));\n return (match ? decodeURIComponent(match[3]) : null);\n },\n\n remove: function remove(name) {\n this.write(name, '', Date.now() - 86400000);\n }\n };\n })() :\n\n // Non standard browser env (web workers, react-native) lack needed support.\n (function nonStandardBrowserEnv() {\n return {\n write: function write() {},\n read: function read() { return null; },\n remove: function remove() {}\n };\n })()\n);\n","'use strict';\n\nvar Cancel = require('./Cancel');\n\n/**\n * A `CancelToken` is an object that can be used to request cancellation of an operation.\n *\n * @class\n * @param {Function} executor The executor function.\n */\nfunction CancelToken(executor) {\n if (typeof executor !== 'function') {\n throw new TypeError('executor must be a function.');\n }\n\n var resolvePromise;\n this.promise = new Promise(function promiseExecutor(resolve) {\n resolvePromise = resolve;\n });\n\n var token = this;\n executor(function cancel(message) {\n if (token.reason) {\n // Cancellation has already been requested\n return;\n }\n\n token.reason = new Cancel(message);\n resolvePromise(token.reason);\n });\n}\n\n/**\n * Throws a `Cancel` if cancellation has been requested.\n */\nCancelToken.prototype.throwIfRequested = function throwIfRequested() {\n if (this.reason) {\n throw this.reason;\n }\n};\n\n/**\n * Returns an object that contains a new `CancelToken` and a function that, when called,\n * cancels the `CancelToken`.\n */\nCancelToken.source = function source() {\n var cancel;\n var token = new CancelToken(function executor(c) {\n cancel = c;\n });\n return {\n token: token,\n cancel: cancel\n };\n};\n\nmodule.exports = CancelToken;\n","'use strict';\n\n/**\n * Syntactic sugar for invoking a function and expanding an array for arguments.\n *\n * Common use case would be to use `Function.prototype.apply`.\n *\n * ```js\n * function f(x, y, z) {}\n * var args = [1, 2, 3];\n * f.apply(null, args);\n * ```\n *\n * With `spread` this example can be re-written.\n *\n * ```js\n * spread(function(x, y, z) {})([1, 2, 3]);\n * ```\n *\n * @param {Function} callback\n * @returns {Function}\n */\nmodule.exports = function spread(callback) {\n return function wrap(arr) {\n return callback.apply(null, arr);\n };\n};\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getRequestToken = getRequestToken;\nexports.onRequestTokenUpdate = onRequestTokenUpdate;\n\nvar _eventBus = require(\"@nextcloud/event-bus\");\n\nvar tokenElement = document.getElementsByTagName('head')[0];\nvar token = tokenElement ? tokenElement.getAttribute('data-requesttoken') : null;\nvar observers = [];\n\nfunction getRequestToken() {\n return token;\n}\n\nfunction onRequestTokenUpdate(observer) {\n observers.push(observer);\n} // Listen to server event and keep token in sync\n\n\n(0, _eventBus.subscribe)('csrf-token-update', function (e) {\n token = e.token;\n observers.forEach(function (observer) {\n try {\n observer(e.token);\n } catch (e) {\n console.error('error updating CSRF token observer', e);\n }\n });\n});\n//# sourceMappingURL=requesttoken.js.map","var NATIVE_SYMBOL = require('../internals/native-symbol');\n\nmodule.exports = NATIVE_SYMBOL\n // eslint-disable-next-line no-undef\n && !Symbol.sham\n // eslint-disable-next-line no-undef\n && typeof Symbol.iterator == 'symbol';\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.ProxyBus = void 0;\n\nvar _valid = _interopRequireDefault(require(\"semver/functions/valid\"));\n\nvar _major = _interopRequireDefault(require(\"semver/functions/major\"));\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar ProxyBus = /*#__PURE__*/function () {\n function ProxyBus(bus) {\n _classCallCheck(this, ProxyBus);\n\n _defineProperty(this, \"bus\", void 0);\n\n if (typeof bus.getVersion !== 'function' || !(0, _valid.default)(bus.getVersion())) {\n console.warn('Proxying an event bus with an unknown or invalid version');\n } else if ((0, _major.default)(bus.getVersion()) !== (0, _major.default)(this.getVersion())) {\n console.warn('Proxying an event bus of version ' + bus.getVersion() + ' with ' + this.getVersion());\n }\n\n this.bus = bus;\n }\n\n _createClass(ProxyBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.bus.subscribe(name, handler);\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.bus.unsubscribe(name, handler);\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n this.bus.emit(name, event);\n }\n }]);\n\n return ProxyBus;\n}();\n\nexports.ProxyBus = ProxyBus;\n//# sourceMappingURL=ProxyBus.js.map","const parse = require('./parse')\nconst valid = (version, options) => {\n const v = parse(version, options)\n return v ? v.version : null\n}\nmodule.exports = valid\n","const {MAX_LENGTH} = require('../internal/constants')\nconst { re, t } = require('../internal/re')\nconst SemVer = require('../classes/semver')\n\nconst parse = (version, options) => {\n if (!options || typeof options !== 'object') {\n options = {\n loose: !!options,\n includePrerelease: false\n }\n }\n\n if (version instanceof SemVer) {\n return version\n }\n\n if (typeof version !== 'string') {\n return null\n }\n\n if (version.length > MAX_LENGTH) {\n return null\n }\n\n const r = options.loose ? re[t.LOOSE] : re[t.FULL]\n if (!r.test(version)) {\n return null\n }\n\n try {\n return new SemVer(version, options)\n } catch (er) {\n return null\n }\n}\n\nmodule.exports = parse\n","const numeric = /^[0-9]+$/\nconst compareIdentifiers = (a, b) => {\n const anum = numeric.test(a)\n const bnum = numeric.test(b)\n\n if (anum && bnum) {\n a = +a\n b = +b\n }\n\n return a === b ? 0\n : (anum && !bnum) ? -1\n : (bnum && !anum) ? 1\n : a < b ? -1\n : 1\n}\n\nconst rcompareIdentifiers = (a, b) => compareIdentifiers(b, a)\n\nmodule.exports = {\n compareIdentifiers,\n rcompareIdentifiers\n}\n","const SemVer = require('../classes/semver')\nconst major = (a, loose) => new SemVer(a, loose).major\nmodule.exports = major\n","\"use strict\";\n\nrequire(\"core-js/modules/es.array.concat\");\n\nrequire(\"core-js/modules/es.array.filter\");\n\nrequire(\"core-js/modules/es.array.for-each\");\n\nrequire(\"core-js/modules/es.array.iterator\");\n\nrequire(\"core-js/modules/es.map\");\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.string.iterator\");\n\nrequire(\"core-js/modules/web.dom-collections.for-each\");\n\nrequire(\"core-js/modules/web.dom-collections.iterator\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.SimpleBus = void 0;\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nfunction _defineProperty(obj, key, value) { if (key in obj) { Object.defineProperty(obj, key, { value: value, enumerable: true, configurable: true, writable: true }); } else { obj[key] = value; } return obj; }\n\nvar packageJson = {\n name: \"@nextcloud/event-bus\",\n version: \"1.2.0\",\n description: \"\",\n main: \"dist/index.js\",\n types: \"dist/index.d.ts\",\n scripts: {\n build: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --source-maps && tsc --emitDeclarationOnly\",\n \"build:doc\": \"typedoc --excludeNotExported --mode file --out dist/doc lib/index.ts && touch dist/doc/.nojekyll\",\n \"check-types\": \"tsc\",\n dev: \"babel ./lib --out-dir dist --extensions '.ts,.tsx' --watch\",\n test: \"jest\",\n \"test:watch\": \"jest --watchAll\"\n },\n keywords: [\"nextcloud\"],\n homepage: \"https://github.com/nextcloud/nextcloud-event-bus#readme\",\n author: \"Christoph Wurst\",\n license: \"GPL-3.0-or-later\",\n repository: {\n type: \"git\",\n url: \"https://github.com/nextcloud/nextcloud-event-bus\"\n },\n dependencies: {\n \"@types/semver\": \"^7.1.0\",\n \"core-js\": \"^3.6.2\",\n semver: \"^7.3.2\"\n },\n devDependencies: {\n \"@babel/cli\": \"^7.6.0\",\n \"@babel/core\": \"^7.6.0\",\n \"@babel/plugin-proposal-class-properties\": \"^7.5.5\",\n \"@babel/preset-env\": \"^7.6.0\",\n \"@babel/preset-typescript\": \"^7.6.0\",\n \"@nextcloud/browserslist-config\": \"^1.0.0\",\n \"babel-jest\": \"^26.0.1\",\n \"babel-plugin-inline-json-import\": \"^0.3.2\",\n jest: \"^26.0.1\",\n typedoc: \"^0.17.2\",\n typescript: \"^3.6.3\"\n },\n browserslist: [\"extends @nextcloud/browserslist-config\"]\n};\n\nvar SimpleBus = /*#__PURE__*/function () {\n function SimpleBus() {\n _classCallCheck(this, SimpleBus);\n\n _defineProperty(this, \"handlers\", new Map());\n }\n\n _createClass(SimpleBus, [{\n key: \"getVersion\",\n value: function getVersion() {\n return packageJson.version;\n }\n }, {\n key: \"subscribe\",\n value: function subscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).concat(handler));\n }\n }, {\n key: \"unsubscribe\",\n value: function unsubscribe(name, handler) {\n this.handlers.set(name, (this.handlers.get(name) || []).filter(function (h) {\n return h != handler;\n }));\n }\n }, {\n key: \"emit\",\n value: function emit(name, event) {\n (this.handlers.get(name) || []).forEach(function (h) {\n try {\n h(event);\n } catch (e) {\n console.error('could not invoke event listener', e);\n }\n });\n }\n }]);\n\n return SimpleBus;\n}();\n\nexports.SimpleBus = SimpleBus;\n//# sourceMappingURL=SimpleBus.js.map","var wellKnownSymbol = require('../internals/well-known-symbol');\nvar create = require('../internals/object-create');\nvar definePropertyModule = require('../internals/object-define-property');\n\nvar UNSCOPABLES = wellKnownSymbol('unscopables');\nvar ArrayPrototype = Array.prototype;\n\n// Array.prototype[@@unscopables]\n// https://tc39.github.io/ecma262/#sec-array.prototype-@@unscopables\nif (ArrayPrototype[UNSCOPABLES] == undefined) {\n definePropertyModule.f(ArrayPrototype, UNSCOPABLES, {\n configurable: true,\n value: create(null)\n });\n}\n\n// add a key to Array.prototype[@@unscopables]\nmodule.exports = function (key) {\n ArrayPrototype[UNSCOPABLES][key] = true;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n function F() { /* empty */ }\n F.prototype.constructor = null;\n return Object.getPrototypeOf(new F()) !== F.prototype;\n});\n","var isObject = require('../internals/is-object');\n\nmodule.exports = function (it) {\n if (!isObject(it) && it !== null) {\n throw TypeError(\"Can't set \" + String(it) + ' as a prototype');\n } return it;\n};\n","'use strict';\nvar collection = require('../internals/collection');\nvar collectionStrong = require('../internals/collection-strong');\n\n// `Map` constructor\n// https://tc39.github.io/ecma262/#sec-map-objects\nmodule.exports = collection('Map', function (init) {\n return function Map() { return init(this, arguments.length ? arguments[0] : undefined); };\n}, collectionStrong);\n","'use strict';\nvar $ = require('../internals/export');\nvar global = require('../internals/global');\nvar isForced = require('../internals/is-forced');\nvar redefine = require('../internals/redefine');\nvar InternalMetadataModule = require('../internals/internal-metadata');\nvar iterate = require('../internals/iterate');\nvar anInstance = require('../internals/an-instance');\nvar isObject = require('../internals/is-object');\nvar fails = require('../internals/fails');\nvar checkCorrectnessOfIteration = require('../internals/check-correctness-of-iteration');\nvar setToStringTag = require('../internals/set-to-string-tag');\nvar inheritIfRequired = require('../internals/inherit-if-required');\n\nmodule.exports = function (CONSTRUCTOR_NAME, wrapper, common) {\n var IS_MAP = CONSTRUCTOR_NAME.indexOf('Map') !== -1;\n var IS_WEAK = CONSTRUCTOR_NAME.indexOf('Weak') !== -1;\n var ADDER = IS_MAP ? 'set' : 'add';\n var NativeConstructor = global[CONSTRUCTOR_NAME];\n var NativePrototype = NativeConstructor && NativeConstructor.prototype;\n var Constructor = NativeConstructor;\n var exported = {};\n\n var fixMethod = function (KEY) {\n var nativeMethod = NativePrototype[KEY];\n redefine(NativePrototype, KEY,\n KEY == 'add' ? function add(value) {\n nativeMethod.call(this, value === 0 ? 0 : value);\n return this;\n } : KEY == 'delete' ? function (key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'get' ? function get(key) {\n return IS_WEAK && !isObject(key) ? undefined : nativeMethod.call(this, key === 0 ? 0 : key);\n } : KEY == 'has' ? function has(key) {\n return IS_WEAK && !isObject(key) ? false : nativeMethod.call(this, key === 0 ? 0 : key);\n } : function set(key, value) {\n nativeMethod.call(this, key === 0 ? 0 : key, value);\n return this;\n }\n );\n };\n\n // eslint-disable-next-line max-len\n if (isForced(CONSTRUCTOR_NAME, typeof NativeConstructor != 'function' || !(IS_WEAK || NativePrototype.forEach && !fails(function () {\n new NativeConstructor().entries().next();\n })))) {\n // create collection constructor\n Constructor = common.getConstructor(wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER);\n InternalMetadataModule.REQUIRED = true;\n } else if (isForced(CONSTRUCTOR_NAME, true)) {\n var instance = new Constructor();\n // early implementations not supports chaining\n var HASNT_CHAINING = instance[ADDER](IS_WEAK ? {} : -0, 1) != instance;\n // V8 ~ Chromium 40- weak-collections throws on primitives, but should return false\n var THROWS_ON_PRIMITIVES = fails(function () { instance.has(1); });\n // most early implementations doesn't supports iterables, most modern - not close it correctly\n // eslint-disable-next-line no-new\n var ACCEPT_ITERABLES = checkCorrectnessOfIteration(function (iterable) { new NativeConstructor(iterable); });\n // for early implementations -0 and +0 not the same\n var BUGGY_ZERO = !IS_WEAK && fails(function () {\n // V8 ~ Chromium 42- fails only with 5+ elements\n var $instance = new NativeConstructor();\n var index = 5;\n while (index--) $instance[ADDER](index, index);\n return !$instance.has(-0);\n });\n\n if (!ACCEPT_ITERABLES) {\n Constructor = wrapper(function (dummy, iterable) {\n anInstance(dummy, Constructor, CONSTRUCTOR_NAME);\n var that = inheritIfRequired(new NativeConstructor(), dummy, Constructor);\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n return that;\n });\n Constructor.prototype = NativePrototype;\n NativePrototype.constructor = Constructor;\n }\n\n if (THROWS_ON_PRIMITIVES || BUGGY_ZERO) {\n fixMethod('delete');\n fixMethod('has');\n IS_MAP && fixMethod('get');\n }\n\n if (BUGGY_ZERO || HASNT_CHAINING) fixMethod(ADDER);\n\n // weak collections should not contains .clear method\n if (IS_WEAK && NativePrototype.clear) delete NativePrototype.clear;\n }\n\n exported[CONSTRUCTOR_NAME] = Constructor;\n $({ global: true, forced: Constructor != NativeConstructor }, exported);\n\n setToStringTag(Constructor, CONSTRUCTOR_NAME);\n\n if (!IS_WEAK) common.setStrong(Constructor, CONSTRUCTOR_NAME, IS_MAP);\n\n return Constructor;\n};\n","var fails = require('../internals/fails');\n\nmodule.exports = !fails(function () {\n return Object.isExtensible(Object.preventExtensions({}));\n});\n","'use strict';\nvar defineProperty = require('../internals/object-define-property').f;\nvar create = require('../internals/object-create');\nvar redefineAll = require('../internals/redefine-all');\nvar bind = require('../internals/function-bind-context');\nvar anInstance = require('../internals/an-instance');\nvar iterate = require('../internals/iterate');\nvar defineIterator = require('../internals/define-iterator');\nvar setSpecies = require('../internals/set-species');\nvar DESCRIPTORS = require('../internals/descriptors');\nvar fastKey = require('../internals/internal-metadata').fastKey;\nvar InternalStateModule = require('../internals/internal-state');\n\nvar setInternalState = InternalStateModule.set;\nvar internalStateGetterFor = InternalStateModule.getterFor;\n\nmodule.exports = {\n getConstructor: function (wrapper, CONSTRUCTOR_NAME, IS_MAP, ADDER) {\n var C = wrapper(function (that, iterable) {\n anInstance(that, C, CONSTRUCTOR_NAME);\n setInternalState(that, {\n type: CONSTRUCTOR_NAME,\n index: create(null),\n first: undefined,\n last: undefined,\n size: 0\n });\n if (!DESCRIPTORS) that.size = 0;\n if (iterable != undefined) iterate(iterable, that[ADDER], that, IS_MAP);\n });\n\n var getInternalState = internalStateGetterFor(CONSTRUCTOR_NAME);\n\n var define = function (that, key, value) {\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n var previous, index;\n // change existing entry\n if (entry) {\n entry.value = value;\n // create new entry\n } else {\n state.last = entry = {\n index: index = fastKey(key, true),\n key: key,\n value: value,\n previous: previous = state.last,\n next: undefined,\n removed: false\n };\n if (!state.first) state.first = entry;\n if (previous) previous.next = entry;\n if (DESCRIPTORS) state.size++;\n else that.size++;\n // add to index\n if (index !== 'F') state.index[index] = entry;\n } return that;\n };\n\n var getEntry = function (that, key) {\n var state = getInternalState(that);\n // fast case\n var index = fastKey(key);\n var entry;\n if (index !== 'F') return state.index[index];\n // frozen object case\n for (entry = state.first; entry; entry = entry.next) {\n if (entry.key == key) return entry;\n }\n };\n\n redefineAll(C.prototype, {\n // 23.1.3.1 Map.prototype.clear()\n // 23.2.3.2 Set.prototype.clear()\n clear: function clear() {\n var that = this;\n var state = getInternalState(that);\n var data = state.index;\n var entry = state.first;\n while (entry) {\n entry.removed = true;\n if (entry.previous) entry.previous = entry.previous.next = undefined;\n delete data[entry.index];\n entry = entry.next;\n }\n state.first = state.last = undefined;\n if (DESCRIPTORS) state.size = 0;\n else that.size = 0;\n },\n // 23.1.3.3 Map.prototype.delete(key)\n // 23.2.3.4 Set.prototype.delete(value)\n 'delete': function (key) {\n var that = this;\n var state = getInternalState(that);\n var entry = getEntry(that, key);\n if (entry) {\n var next = entry.next;\n var prev = entry.previous;\n delete state.index[entry.index];\n entry.removed = true;\n if (prev) prev.next = next;\n if (next) next.previous = prev;\n if (state.first == entry) state.first = next;\n if (state.last == entry) state.last = prev;\n if (DESCRIPTORS) state.size--;\n else that.size--;\n } return !!entry;\n },\n // 23.2.3.6 Set.prototype.forEach(callbackfn, thisArg = undefined)\n // 23.1.3.5 Map.prototype.forEach(callbackfn, thisArg = undefined)\n forEach: function forEach(callbackfn /* , that = undefined */) {\n var state = getInternalState(this);\n var boundFunction = bind(callbackfn, arguments.length > 1 ? arguments[1] : undefined, 3);\n var entry;\n while (entry = entry ? entry.next : state.first) {\n boundFunction(entry.value, entry.key, this);\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n }\n },\n // 23.1.3.7 Map.prototype.has(key)\n // 23.2.3.7 Set.prototype.has(value)\n has: function has(key) {\n return !!getEntry(this, key);\n }\n });\n\n redefineAll(C.prototype, IS_MAP ? {\n // 23.1.3.6 Map.prototype.get(key)\n get: function get(key) {\n var entry = getEntry(this, key);\n return entry && entry.value;\n },\n // 23.1.3.9 Map.prototype.set(key, value)\n set: function set(key, value) {\n return define(this, key === 0 ? 0 : key, value);\n }\n } : {\n // 23.2.3.1 Set.prototype.add(value)\n add: function add(value) {\n return define(this, value = value === 0 ? 0 : value, value);\n }\n });\n if (DESCRIPTORS) defineProperty(C.prototype, 'size', {\n get: function () {\n return getInternalState(this).size;\n }\n });\n return C;\n },\n setStrong: function (C, CONSTRUCTOR_NAME, IS_MAP) {\n var ITERATOR_NAME = CONSTRUCTOR_NAME + ' Iterator';\n var getInternalCollectionState = internalStateGetterFor(CONSTRUCTOR_NAME);\n var getInternalIteratorState = internalStateGetterFor(ITERATOR_NAME);\n // add .keys, .values, .entries, [@@iterator]\n // 23.1.3.4, 23.1.3.8, 23.1.3.11, 23.1.3.12, 23.2.3.5, 23.2.3.8, 23.2.3.10, 23.2.3.11\n defineIterator(C, CONSTRUCTOR_NAME, function (iterated, kind) {\n setInternalState(this, {\n type: ITERATOR_NAME,\n target: iterated,\n state: getInternalCollectionState(iterated),\n kind: kind,\n last: undefined\n });\n }, function () {\n var state = getInternalIteratorState(this);\n var kind = state.kind;\n var entry = state.last;\n // revert to the last existing entry\n while (entry && entry.removed) entry = entry.previous;\n // get next entry\n if (!state.target || !(state.last = entry = entry ? entry.next : state.state.first)) {\n // or finish the iteration\n state.target = undefined;\n return { value: undefined, done: true };\n }\n // return step by kind\n if (kind == 'keys') return { value: entry.key, done: false };\n if (kind == 'values') return { value: entry.value, done: false };\n return { value: [entry.key, entry.value], done: false };\n }, IS_MAP ? 'entries' : 'values', !IS_MAP, true);\n\n // add [@@species], 23.1.2.2, 23.2.2.2\n setSpecies(CONSTRUCTOR_NAME);\n }\n};\n","'use strict';\nvar TO_STRING_TAG_SUPPORT = require('../internals/to-string-tag-support');\nvar classof = require('../internals/classof');\n\n// `Object.prototype.toString` method implementation\n// https://tc39.github.io/ecma262/#sec-object.prototype.tostring\nmodule.exports = TO_STRING_TAG_SUPPORT ? {}.toString : function toString() {\n return '[object ' + classof(this) + ']';\n};\n","var global = require('../internals/global');\nvar DOMIterables = require('../internals/dom-iterables');\nvar forEach = require('../internals/array-for-each');\nvar createNonEnumerableProperty = require('../internals/create-non-enumerable-property');\n\nfor (var COLLECTION_NAME in DOMIterables) {\n var Collection = global[COLLECTION_NAME];\n var CollectionPrototype = Collection && Collection.prototype;\n // some Chrome versions have non-configurable methods on DOMTokenList\n if (CollectionPrototype && CollectionPrototype.forEach !== forEach) try {\n createNonEnumerableProperty(CollectionPrototype, 'forEach', forEach);\n } catch (error) {\n CollectionPrototype.forEach = forEach;\n }\n}\n","\"use strict\";\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getCurrentUser = getCurrentUser;\n/// <reference types=\"@nextcloud/typings\" />\nvar uidElement = document.getElementsByTagName('head')[0];\nvar uid = uidElement ? uidElement.getAttribute('data-user') : null;\nvar displayNameElement = document.getElementsByTagName('head')[0];\nvar displayName = displayNameElement ? displayNameElement.getAttribute('data-user-displayname') : null;\nvar isAdmin = typeof OC === 'undefined' ? false : OC.isUserAdmin();\n\nfunction getCurrentUser() {\n if (uid === null) {\n return null;\n }\n\n return {\n uid: uid,\n displayName: displayName,\n isAdmin: isAdmin\n };\n}\n//# sourceMappingURL=user.js.map","'use strict';\n\nvar fails = require('./fails');\n\n// babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError,\n// so we use an intermediate function.\nfunction RE(s, f) {\n return RegExp(s, f);\n}\n\nexports.UNSUPPORTED_Y = fails(function () {\n // babel-minify transpiles RegExp('a', 'y') -> /a/y and it causes SyntaxError\n var re = RE('a', 'y');\n re.lastIndex = 2;\n return re.exec('abcd') != null;\n});\n\nexports.BROKEN_CARET = fails(function () {\n // https://bugzilla.mozilla.org/show_bug.cgi?id=773687\n var re = RE('^r', 'gy');\n re.lastIndex = 2;\n return re.exec('str') != null;\n});\n","//! moment.js\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined' ? module.exports = factory() :\n typeof define === 'function' && define.amd ? define(factory) :\n global.moment = factory()\n}(this, (function () { 'use strict';\n\n var hookCallback;\n\n function hooks () {\n return hookCallback.apply(null, arguments);\n }\n\n // This is done to register the method called with moment()\n // without creating circular dependencies.\n function setHookCallback (callback) {\n hookCallback = callback;\n }\n\n function isArray(input) {\n return input instanceof Array || Object.prototype.toString.call(input) === '[object Array]';\n }\n\n function isObject(input) {\n // IE8 will treat undefined and null as object if it wasn't for\n // input != null\n return input != null && Object.prototype.toString.call(input) === '[object Object]';\n }\n\n function isObjectEmpty(obj) {\n if (Object.getOwnPropertyNames) {\n return (Object.getOwnPropertyNames(obj).length === 0);\n } else {\n var k;\n for (k in obj) {\n if (obj.hasOwnProperty(k)) {\n return false;\n }\n }\n return true;\n }\n }\n\n function isUndefined(input) {\n return input === void 0;\n }\n\n function isNumber(input) {\n return typeof input === 'number' || Object.prototype.toString.call(input) === '[object Number]';\n }\n\n function isDate(input) {\n return input instanceof Date || Object.prototype.toString.call(input) === '[object Date]';\n }\n\n function map(arr, fn) {\n var res = [], i;\n for (i = 0; i < arr.length; ++i) {\n res.push(fn(arr[i], i));\n }\n return res;\n }\n\n function hasOwnProp(a, b) {\n return Object.prototype.hasOwnProperty.call(a, b);\n }\n\n function extend(a, b) {\n for (var i in b) {\n if (hasOwnProp(b, i)) {\n a[i] = b[i];\n }\n }\n\n if (hasOwnProp(b, 'toString')) {\n a.toString = b.toString;\n }\n\n if (hasOwnProp(b, 'valueOf')) {\n a.valueOf = b.valueOf;\n }\n\n return a;\n }\n\n function createUTC (input, format, locale, strict) {\n return createLocalOrUTC(input, format, locale, strict, true).utc();\n }\n\n function defaultParsingFlags() {\n // We need to deep clone this object.\n return {\n empty : false,\n unusedTokens : [],\n unusedInput : [],\n overflow : -2,\n charsLeftOver : 0,\n nullInput : false,\n invalidMonth : null,\n invalidFormat : false,\n userInvalidated : false,\n iso : false,\n parsedDateParts : [],\n meridiem : null,\n rfc2822 : false,\n weekdayMismatch : false\n };\n }\n\n function getParsingFlags(m) {\n if (m._pf == null) {\n m._pf = defaultParsingFlags();\n }\n return m._pf;\n }\n\n var some;\n if (Array.prototype.some) {\n some = Array.prototype.some;\n } else {\n some = function (fun) {\n var t = Object(this);\n var len = t.length >>> 0;\n\n for (var i = 0; i < len; i++) {\n if (i in t && fun.call(this, t[i], i, t)) {\n return true;\n }\n }\n\n return false;\n };\n }\n\n function isValid(m) {\n if (m._isValid == null) {\n var flags = getParsingFlags(m);\n var parsedParts = some.call(flags.parsedDateParts, function (i) {\n return i != null;\n });\n var isNowValid = !isNaN(m._d.getTime()) &&\n flags.overflow < 0 &&\n !flags.empty &&\n !flags.invalidMonth &&\n !flags.invalidWeekday &&\n !flags.weekdayMismatch &&\n !flags.nullInput &&\n !flags.invalidFormat &&\n !flags.userInvalidated &&\n (!flags.meridiem || (flags.meridiem && parsedParts));\n\n if (m._strict) {\n isNowValid = isNowValid &&\n flags.charsLeftOver === 0 &&\n flags.unusedTokens.length === 0 &&\n flags.bigHour === undefined;\n }\n\n if (Object.isFrozen == null || !Object.isFrozen(m)) {\n m._isValid = isNowValid;\n }\n else {\n return isNowValid;\n }\n }\n return m._isValid;\n }\n\n function createInvalid (flags) {\n var m = createUTC(NaN);\n if (flags != null) {\n extend(getParsingFlags(m), flags);\n }\n else {\n getParsingFlags(m).userInvalidated = true;\n }\n\n return m;\n }\n\n // Plugins that add properties should also add the key here (null value),\n // so we can properly clone ourselves.\n var momentProperties = hooks.momentProperties = [];\n\n function copyConfig(to, from) {\n var i, prop, val;\n\n if (!isUndefined(from._isAMomentObject)) {\n to._isAMomentObject = from._isAMomentObject;\n }\n if (!isUndefined(from._i)) {\n to._i = from._i;\n }\n if (!isUndefined(from._f)) {\n to._f = from._f;\n }\n if (!isUndefined(from._l)) {\n to._l = from._l;\n }\n if (!isUndefined(from._strict)) {\n to._strict = from._strict;\n }\n if (!isUndefined(from._tzm)) {\n to._tzm = from._tzm;\n }\n if (!isUndefined(from._isUTC)) {\n to._isUTC = from._isUTC;\n }\n if (!isUndefined(from._offset)) {\n to._offset = from._offset;\n }\n if (!isUndefined(from._pf)) {\n to._pf = getParsingFlags(from);\n }\n if (!isUndefined(from._locale)) {\n to._locale = from._locale;\n }\n\n if (momentProperties.length > 0) {\n for (i = 0; i < momentProperties.length; i++) {\n prop = momentProperties[i];\n val = from[prop];\n if (!isUndefined(val)) {\n to[prop] = val;\n }\n }\n }\n\n return to;\n }\n\n var updateInProgress = false;\n\n // Moment prototype object\n function Moment(config) {\n copyConfig(this, config);\n this._d = new Date(config._d != null ? config._d.getTime() : NaN);\n if (!this.isValid()) {\n this._d = new Date(NaN);\n }\n // Prevent infinite loop in case updateOffset creates new moment\n // objects.\n if (updateInProgress === false) {\n updateInProgress = true;\n hooks.updateOffset(this);\n updateInProgress = false;\n }\n }\n\n function isMoment (obj) {\n return obj instanceof Moment || (obj != null && obj._isAMomentObject != null);\n }\n\n function absFloor (number) {\n if (number < 0) {\n // -0 -> 0\n return Math.ceil(number) || 0;\n } else {\n return Math.floor(number);\n }\n }\n\n function toInt(argumentForCoercion) {\n var coercedNumber = +argumentForCoercion,\n value = 0;\n\n if (coercedNumber !== 0 && isFinite(coercedNumber)) {\n value = absFloor(coercedNumber);\n }\n\n return value;\n }\n\n // compare two arrays, return the number of differences\n function compareArrays(array1, array2, dontConvert) {\n var len = Math.min(array1.length, array2.length),\n lengthDiff = Math.abs(array1.length - array2.length),\n diffs = 0,\n i;\n for (i = 0; i < len; i++) {\n if ((dontConvert && array1[i] !== array2[i]) ||\n (!dontConvert && toInt(array1[i]) !== toInt(array2[i]))) {\n diffs++;\n }\n }\n return diffs + lengthDiff;\n }\n\n function warn(msg) {\n if (hooks.suppressDeprecationWarnings === false &&\n (typeof console !== 'undefined') && console.warn) {\n console.warn('Deprecation warning: ' + msg);\n }\n }\n\n function deprecate(msg, fn) {\n var firstTime = true;\n\n return extend(function () {\n if (hooks.deprecationHandler != null) {\n hooks.deprecationHandler(null, msg);\n }\n if (firstTime) {\n var args = [];\n var arg;\n for (var i = 0; i < arguments.length; i++) {\n arg = '';\n if (typeof arguments[i] === 'object') {\n arg += '\\n[' + i + '] ';\n for (var key in arguments[0]) {\n arg += key + ': ' + arguments[0][key] + ', ';\n }\n arg = arg.slice(0, -2); // Remove trailing comma and space\n } else {\n arg = arguments[i];\n }\n args.push(arg);\n }\n warn(msg + '\\nArguments: ' + Array.prototype.slice.call(args).join('') + '\\n' + (new Error()).stack);\n firstTime = false;\n }\n return fn.apply(this, arguments);\n }, fn);\n }\n\n var deprecations = {};\n\n function deprecateSimple(name, msg) {\n if (hooks.deprecationHandler != null) {\n hooks.deprecationHandler(name, msg);\n }\n if (!deprecations[name]) {\n warn(msg);\n deprecations[name] = true;\n }\n }\n\n hooks.suppressDeprecationWarnings = false;\n hooks.deprecationHandler = null;\n\n function isFunction(input) {\n return input instanceof Function || Object.prototype.toString.call(input) === '[object Function]';\n }\n\n function set (config) {\n var prop, i;\n for (i in config) {\n prop = config[i];\n if (isFunction(prop)) {\n this[i] = prop;\n } else {\n this['_' + i] = prop;\n }\n }\n this._config = config;\n // Lenient ordinal parsing accepts just a number in addition to\n // number + (possibly) stuff coming from _dayOfMonthOrdinalParse.\n // TODO: Remove \"ordinalParse\" fallback in next major release.\n this._dayOfMonthOrdinalParseLenient = new RegExp(\n (this._dayOfMonthOrdinalParse.source || this._ordinalParse.source) +\n '|' + (/\\d{1,2}/).source);\n }\n\n function mergeConfigs(parentConfig, childConfig) {\n var res = extend({}, parentConfig), prop;\n for (prop in childConfig) {\n if (hasOwnProp(childConfig, prop)) {\n if (isObject(parentConfig[prop]) && isObject(childConfig[prop])) {\n res[prop] = {};\n extend(res[prop], parentConfig[prop]);\n extend(res[prop], childConfig[prop]);\n } else if (childConfig[prop] != null) {\n res[prop] = childConfig[prop];\n } else {\n delete res[prop];\n }\n }\n }\n for (prop in parentConfig) {\n if (hasOwnProp(parentConfig, prop) &&\n !hasOwnProp(childConfig, prop) &&\n isObject(parentConfig[prop])) {\n // make sure changes to properties don't modify parent config\n res[prop] = extend({}, res[prop]);\n }\n }\n return res;\n }\n\n function Locale(config) {\n if (config != null) {\n this.set(config);\n }\n }\n\n var keys;\n\n if (Object.keys) {\n keys = Object.keys;\n } else {\n keys = function (obj) {\n var i, res = [];\n for (i in obj) {\n if (hasOwnProp(obj, i)) {\n res.push(i);\n }\n }\n return res;\n };\n }\n\n var defaultCalendar = {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n };\n\n function calendar (key, mom, now) {\n var output = this._calendar[key] || this._calendar['sameElse'];\n return isFunction(output) ? output.call(mom, now) : output;\n }\n\n var defaultLongDateFormat = {\n LTS : 'h:mm:ss A',\n LT : 'h:mm A',\n L : 'MM/DD/YYYY',\n LL : 'MMMM D, YYYY',\n LLL : 'MMMM D, YYYY h:mm A',\n LLLL : 'dddd, MMMM D, YYYY h:mm A'\n };\n\n function longDateFormat (key) {\n var format = this._longDateFormat[key],\n formatUpper = this._longDateFormat[key.toUpperCase()];\n\n if (format || !formatUpper) {\n return format;\n }\n\n this._longDateFormat[key] = formatUpper.replace(/MMMM|MM|DD|dddd/g, function (val) {\n return val.slice(1);\n });\n\n return this._longDateFormat[key];\n }\n\n var defaultInvalidDate = 'Invalid date';\n\n function invalidDate () {\n return this._invalidDate;\n }\n\n var defaultOrdinal = '%d';\n var defaultDayOfMonthOrdinalParse = /\\d{1,2}/;\n\n function ordinal (number) {\n return this._ordinal.replace('%d', number);\n }\n\n var defaultRelativeTime = {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n };\n\n function relativeTime (number, withoutSuffix, string, isFuture) {\n var output = this._relativeTime[string];\n return (isFunction(output)) ?\n output(number, withoutSuffix, string, isFuture) :\n output.replace(/%d/i, number);\n }\n\n function pastFuture (diff, output) {\n var format = this._relativeTime[diff > 0 ? 'future' : 'past'];\n return isFunction(format) ? format(output) : format.replace(/%s/i, output);\n }\n\n var aliases = {};\n\n function addUnitAlias (unit, shorthand) {\n var lowerCase = unit.toLowerCase();\n aliases[lowerCase] = aliases[lowerCase + 's'] = aliases[shorthand] = unit;\n }\n\n function normalizeUnits(units) {\n return typeof units === 'string' ? aliases[units] || aliases[units.toLowerCase()] : undefined;\n }\n\n function normalizeObjectUnits(inputObject) {\n var normalizedInput = {},\n normalizedProp,\n prop;\n\n for (prop in inputObject) {\n if (hasOwnProp(inputObject, prop)) {\n normalizedProp = normalizeUnits(prop);\n if (normalizedProp) {\n normalizedInput[normalizedProp] = inputObject[prop];\n }\n }\n }\n\n return normalizedInput;\n }\n\n var priorities = {};\n\n function addUnitPriority(unit, priority) {\n priorities[unit] = priority;\n }\n\n function getPrioritizedUnits(unitsObj) {\n var units = [];\n for (var u in unitsObj) {\n units.push({unit: u, priority: priorities[u]});\n }\n units.sort(function (a, b) {\n return a.priority - b.priority;\n });\n return units;\n }\n\n function zeroFill(number, targetLength, forceSign) {\n var absNumber = '' + Math.abs(number),\n zerosToFill = targetLength - absNumber.length,\n sign = number >= 0;\n return (sign ? (forceSign ? '+' : '') : '-') +\n Math.pow(10, Math.max(0, zerosToFill)).toString().substr(1) + absNumber;\n }\n\n var formattingTokens = /(\\[[^\\[]*\\])|(\\\\)?([Hh]mm(ss)?|Mo|MM?M?M?|Do|DDDo|DD?D?D?|ddd?d?|do?|w[o|w]?|W[o|W]?|Qo?|YYYYYY|YYYYY|YYYY|YY|gg(ggg?)?|GG(GGG?)?|e|E|a|A|hh?|HH?|kk?|mm?|ss?|S{1,9}|x|X|zz?|ZZ?|.)/g;\n\n var localFormattingTokens = /(\\[[^\\[]*\\])|(\\\\)?(LTS|LT|LL?L?L?|l{1,4})/g;\n\n var formatFunctions = {};\n\n var formatTokenFunctions = {};\n\n // token: 'M'\n // padded: ['MM', 2]\n // ordinal: 'Mo'\n // callback: function () { this.month() + 1 }\n function addFormatToken (token, padded, ordinal, callback) {\n var func = callback;\n if (typeof callback === 'string') {\n func = function () {\n return this[callback]();\n };\n }\n if (token) {\n formatTokenFunctions[token] = func;\n }\n if (padded) {\n formatTokenFunctions[padded[0]] = function () {\n return zeroFill(func.apply(this, arguments), padded[1], padded[2]);\n };\n }\n if (ordinal) {\n formatTokenFunctions[ordinal] = function () {\n return this.localeData().ordinal(func.apply(this, arguments), token);\n };\n }\n }\n\n function removeFormattingTokens(input) {\n if (input.match(/\\[[\\s\\S]/)) {\n return input.replace(/^\\[|\\]$/g, '');\n }\n return input.replace(/\\\\/g, '');\n }\n\n function makeFormatFunction(format) {\n var array = format.match(formattingTokens), i, length;\n\n for (i = 0, length = array.length; i < length; i++) {\n if (formatTokenFunctions[array[i]]) {\n array[i] = formatTokenFunctions[array[i]];\n } else {\n array[i] = removeFormattingTokens(array[i]);\n }\n }\n\n return function (mom) {\n var output = '', i;\n for (i = 0; i < length; i++) {\n output += isFunction(array[i]) ? array[i].call(mom, format) : array[i];\n }\n return output;\n };\n }\n\n // format date using native date object\n function formatMoment(m, format) {\n if (!m.isValid()) {\n return m.localeData().invalidDate();\n }\n\n format = expandFormat(format, m.localeData());\n formatFunctions[format] = formatFunctions[format] || makeFormatFunction(format);\n\n return formatFunctions[format](m);\n }\n\n function expandFormat(format, locale) {\n var i = 5;\n\n function replaceLongDateFormatTokens(input) {\n return locale.longDateFormat(input) || input;\n }\n\n localFormattingTokens.lastIndex = 0;\n while (i >= 0 && localFormattingTokens.test(format)) {\n format = format.replace(localFormattingTokens, replaceLongDateFormatTokens);\n localFormattingTokens.lastIndex = 0;\n i -= 1;\n }\n\n return format;\n }\n\n var match1 = /\\d/; // 0 - 9\n var match2 = /\\d\\d/; // 00 - 99\n var match3 = /\\d{3}/; // 000 - 999\n var match4 = /\\d{4}/; // 0000 - 9999\n var match6 = /[+-]?\\d{6}/; // -999999 - 999999\n var match1to2 = /\\d\\d?/; // 0 - 99\n var match3to4 = /\\d\\d\\d\\d?/; // 999 - 9999\n var match5to6 = /\\d\\d\\d\\d\\d\\d?/; // 99999 - 999999\n var match1to3 = /\\d{1,3}/; // 0 - 999\n var match1to4 = /\\d{1,4}/; // 0 - 9999\n var match1to6 = /[+-]?\\d{1,6}/; // -999999 - 999999\n\n var matchUnsigned = /\\d+/; // 0 - inf\n var matchSigned = /[+-]?\\d+/; // -inf - inf\n\n var matchOffset = /Z|[+-]\\d\\d:?\\d\\d/gi; // +00:00 -00:00 +0000 -0000 or Z\n var matchShortOffset = /Z|[+-]\\d\\d(?::?\\d\\d)?/gi; // +00 -00 +00:00 -00:00 +0000 -0000 or Z\n\n var matchTimestamp = /[+-]?\\d+(\\.\\d{1,3})?/; // 123456789 123456789.123\n\n // any word (or two) characters or numbers including two/three word month in arabic.\n // includes scottish gaelic two word and hyphenated months\n var matchWord = /[0-9]{0,256}['a-z\\u00A0-\\u05FF\\u0700-\\uD7FF\\uF900-\\uFDCF\\uFDF0-\\uFF07\\uFF10-\\uFFEF]{1,256}|[\\u0600-\\u06FF\\/]{1,256}(\\s*?[\\u0600-\\u06FF]{1,256}){1,2}/i;\n\n var regexes = {};\n\n function addRegexToken (token, regex, strictRegex) {\n regexes[token] = isFunction(regex) ? regex : function (isStrict, localeData) {\n return (isStrict && strictRegex) ? strictRegex : regex;\n };\n }\n\n function getParseRegexForToken (token, config) {\n if (!hasOwnProp(regexes, token)) {\n return new RegExp(unescapeFormat(token));\n }\n\n return regexes[token](config._strict, config._locale);\n }\n\n // Code from http://stackoverflow.com/questions/3561493/is-there-a-regexp-escape-function-in-javascript\n function unescapeFormat(s) {\n return regexEscape(s.replace('\\\\', '').replace(/\\\\(\\[)|\\\\(\\])|\\[([^\\]\\[]*)\\]|\\\\(.)/g, function (matched, p1, p2, p3, p4) {\n return p1 || p2 || p3 || p4;\n }));\n }\n\n function regexEscape(s) {\n return s.replace(/[-\\/\\\\^$*+?.()|[\\]{}]/g, '\\\\$&');\n }\n\n var tokens = {};\n\n function addParseToken (token, callback) {\n var i, func = callback;\n if (typeof token === 'string') {\n token = [token];\n }\n if (isNumber(callback)) {\n func = function (input, array) {\n array[callback] = toInt(input);\n };\n }\n for (i = 0; i < token.length; i++) {\n tokens[token[i]] = func;\n }\n }\n\n function addWeekParseToken (token, callback) {\n addParseToken(token, function (input, array, config, token) {\n config._w = config._w || {};\n callback(input, config._w, config, token);\n });\n }\n\n function addTimeToArrayFromToken(token, input, config) {\n if (input != null && hasOwnProp(tokens, token)) {\n tokens[token](input, config._a, config, token);\n }\n }\n\n var YEAR = 0;\n var MONTH = 1;\n var DATE = 2;\n var HOUR = 3;\n var MINUTE = 4;\n var SECOND = 5;\n var MILLISECOND = 6;\n var WEEK = 7;\n var WEEKDAY = 8;\n\n // FORMATTING\n\n addFormatToken('Y', 0, 0, function () {\n var y = this.year();\n return y <= 9999 ? '' + y : '+' + y;\n });\n\n addFormatToken(0, ['YY', 2], 0, function () {\n return this.year() % 100;\n });\n\n addFormatToken(0, ['YYYY', 4], 0, 'year');\n addFormatToken(0, ['YYYYY', 5], 0, 'year');\n addFormatToken(0, ['YYYYYY', 6, true], 0, 'year');\n\n // ALIASES\n\n addUnitAlias('year', 'y');\n\n // PRIORITIES\n\n addUnitPriority('year', 1);\n\n // PARSING\n\n addRegexToken('Y', matchSigned);\n addRegexToken('YY', match1to2, match2);\n addRegexToken('YYYY', match1to4, match4);\n addRegexToken('YYYYY', match1to6, match6);\n addRegexToken('YYYYYY', match1to6, match6);\n\n addParseToken(['YYYYY', 'YYYYYY'], YEAR);\n addParseToken('YYYY', function (input, array) {\n array[YEAR] = input.length === 2 ? hooks.parseTwoDigitYear(input) : toInt(input);\n });\n addParseToken('YY', function (input, array) {\n array[YEAR] = hooks.parseTwoDigitYear(input);\n });\n addParseToken('Y', function (input, array) {\n array[YEAR] = parseInt(input, 10);\n });\n\n // HELPERS\n\n function daysInYear(year) {\n return isLeapYear(year) ? 366 : 365;\n }\n\n function isLeapYear(year) {\n return (year % 4 === 0 && year % 100 !== 0) || year % 400 === 0;\n }\n\n // HOOKS\n\n hooks.parseTwoDigitYear = function (input) {\n return toInt(input) + (toInt(input) > 68 ? 1900 : 2000);\n };\n\n // MOMENTS\n\n var getSetYear = makeGetSet('FullYear', true);\n\n function getIsLeapYear () {\n return isLeapYear(this.year());\n }\n\n function makeGetSet (unit, keepTime) {\n return function (value) {\n if (value != null) {\n set$1(this, unit, value);\n hooks.updateOffset(this, keepTime);\n return this;\n } else {\n return get(this, unit);\n }\n };\n }\n\n function get (mom, unit) {\n return mom.isValid() ?\n mom._d['get' + (mom._isUTC ? 'UTC' : '') + unit]() : NaN;\n }\n\n function set$1 (mom, unit, value) {\n if (mom.isValid() && !isNaN(value)) {\n if (unit === 'FullYear' && isLeapYear(mom.year()) && mom.month() === 1 && mom.date() === 29) {\n mom._d['set' + (mom._isUTC ? 'UTC' : '') + unit](value, mom.month(), daysInMonth(value, mom.month()));\n }\n else {\n mom._d['set' + (mom._isUTC ? 'UTC' : '') + unit](value);\n }\n }\n }\n\n // MOMENTS\n\n function stringGet (units) {\n units = normalizeUnits(units);\n if (isFunction(this[units])) {\n return this[units]();\n }\n return this;\n }\n\n\n function stringSet (units, value) {\n if (typeof units === 'object') {\n units = normalizeObjectUnits(units);\n var prioritized = getPrioritizedUnits(units);\n for (var i = 0; i < prioritized.length; i++) {\n this[prioritized[i].unit](units[prioritized[i].unit]);\n }\n } else {\n units = normalizeUnits(units);\n if (isFunction(this[units])) {\n return this[units](value);\n }\n }\n return this;\n }\n\n function mod(n, x) {\n return ((n % x) + x) % x;\n }\n\n var indexOf;\n\n if (Array.prototype.indexOf) {\n indexOf = Array.prototype.indexOf;\n } else {\n indexOf = function (o) {\n // I know\n var i;\n for (i = 0; i < this.length; ++i) {\n if (this[i] === o) {\n return i;\n }\n }\n return -1;\n };\n }\n\n function daysInMonth(year, month) {\n if (isNaN(year) || isNaN(month)) {\n return NaN;\n }\n var modMonth = mod(month, 12);\n year += (month - modMonth) / 12;\n return modMonth === 1 ? (isLeapYear(year) ? 29 : 28) : (31 - modMonth % 7 % 2);\n }\n\n // FORMATTING\n\n addFormatToken('M', ['MM', 2], 'Mo', function () {\n return this.month() + 1;\n });\n\n addFormatToken('MMM', 0, 0, function (format) {\n return this.localeData().monthsShort(this, format);\n });\n\n addFormatToken('MMMM', 0, 0, function (format) {\n return this.localeData().months(this, format);\n });\n\n // ALIASES\n\n addUnitAlias('month', 'M');\n\n // PRIORITY\n\n addUnitPriority('month', 8);\n\n // PARSING\n\n addRegexToken('M', match1to2);\n addRegexToken('MM', match1to2, match2);\n addRegexToken('MMM', function (isStrict, locale) {\n return locale.monthsShortRegex(isStrict);\n });\n addRegexToken('MMMM', function (isStrict, locale) {\n return locale.monthsRegex(isStrict);\n });\n\n addParseToken(['M', 'MM'], function (input, array) {\n array[MONTH] = toInt(input) - 1;\n });\n\n addParseToken(['MMM', 'MMMM'], function (input, array, config, token) {\n var month = config._locale.monthsParse(input, token, config._strict);\n // if we didn't find a month name, mark the date as invalid.\n if (month != null) {\n array[MONTH] = month;\n } else {\n getParsingFlags(config).invalidMonth = input;\n }\n });\n\n // LOCALES\n\n var MONTHS_IN_FORMAT = /D[oD]?(\\[[^\\[\\]]*\\]|\\s)+MMMM?/;\n var defaultLocaleMonths = 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_');\n function localeMonths (m, format) {\n if (!m) {\n return isArray(this._months) ? this._months :\n this._months['standalone'];\n }\n return isArray(this._months) ? this._months[m.month()] :\n this._months[(this._months.isFormat || MONTHS_IN_FORMAT).test(format) ? 'format' : 'standalone'][m.month()];\n }\n\n var defaultLocaleMonthsShort = 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_');\n function localeMonthsShort (m, format) {\n if (!m) {\n return isArray(this._monthsShort) ? this._monthsShort :\n this._monthsShort['standalone'];\n }\n return isArray(this._monthsShort) ? this._monthsShort[m.month()] :\n this._monthsShort[MONTHS_IN_FORMAT.test(format) ? 'format' : 'standalone'][m.month()];\n }\n\n function handleStrictParse(monthName, format, strict) {\n var i, ii, mom, llc = monthName.toLocaleLowerCase();\n if (!this._monthsParse) {\n // this is not used\n this._monthsParse = [];\n this._longMonthsParse = [];\n this._shortMonthsParse = [];\n for (i = 0; i < 12; ++i) {\n mom = createUTC([2000, i]);\n this._shortMonthsParse[i] = this.monthsShort(mom, '').toLocaleLowerCase();\n this._longMonthsParse[i] = this.months(mom, '').toLocaleLowerCase();\n }\n }\n\n if (strict) {\n if (format === 'MMM') {\n ii = indexOf.call(this._shortMonthsParse, llc);\n return ii !== -1 ? ii : null;\n } else {\n ii = indexOf.call(this._longMonthsParse, llc);\n return ii !== -1 ? ii : null;\n }\n } else {\n if (format === 'MMM') {\n ii = indexOf.call(this._shortMonthsParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._longMonthsParse, llc);\n return ii !== -1 ? ii : null;\n } else {\n ii = indexOf.call(this._longMonthsParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._shortMonthsParse, llc);\n return ii !== -1 ? ii : null;\n }\n }\n }\n\n function localeMonthsParse (monthName, format, strict) {\n var i, mom, regex;\n\n if (this._monthsParseExact) {\n return handleStrictParse.call(this, monthName, format, strict);\n }\n\n if (!this._monthsParse) {\n this._monthsParse = [];\n this._longMonthsParse = [];\n this._shortMonthsParse = [];\n }\n\n // TODO: add sorting\n // Sorting makes sure if one month (or abbr) is a prefix of another\n // see sorting in computeMonthsParse\n for (i = 0; i < 12; i++) {\n // make the regex if we don't have it already\n mom = createUTC([2000, i]);\n if (strict && !this._longMonthsParse[i]) {\n this._longMonthsParse[i] = new RegExp('^' + this.months(mom, '').replace('.', '') + '$', 'i');\n this._shortMonthsParse[i] = new RegExp('^' + this.monthsShort(mom, '').replace('.', '') + '$', 'i');\n }\n if (!strict && !this._monthsParse[i]) {\n regex = '^' + this.months(mom, '') + '|^' + this.monthsShort(mom, '');\n this._monthsParse[i] = new RegExp(regex.replace('.', ''), 'i');\n }\n // test the regex\n if (strict && format === 'MMMM' && this._longMonthsParse[i].test(monthName)) {\n return i;\n } else if (strict && format === 'MMM' && this._shortMonthsParse[i].test(monthName)) {\n return i;\n } else if (!strict && this._monthsParse[i].test(monthName)) {\n return i;\n }\n }\n }\n\n // MOMENTS\n\n function setMonth (mom, value) {\n var dayOfMonth;\n\n if (!mom.isValid()) {\n // No op\n return mom;\n }\n\n if (typeof value === 'string') {\n if (/^\\d+$/.test(value)) {\n value = toInt(value);\n } else {\n value = mom.localeData().monthsParse(value);\n // TODO: Another silent failure?\n if (!isNumber(value)) {\n return mom;\n }\n }\n }\n\n dayOfMonth = Math.min(mom.date(), daysInMonth(mom.year(), value));\n mom._d['set' + (mom._isUTC ? 'UTC' : '') + 'Month'](value, dayOfMonth);\n return mom;\n }\n\n function getSetMonth (value) {\n if (value != null) {\n setMonth(this, value);\n hooks.updateOffset(this, true);\n return this;\n } else {\n return get(this, 'Month');\n }\n }\n\n function getDaysInMonth () {\n return daysInMonth(this.year(), this.month());\n }\n\n var defaultMonthsShortRegex = matchWord;\n function monthsShortRegex (isStrict) {\n if (this._monthsParseExact) {\n if (!hasOwnProp(this, '_monthsRegex')) {\n computeMonthsParse.call(this);\n }\n if (isStrict) {\n return this._monthsShortStrictRegex;\n } else {\n return this._monthsShortRegex;\n }\n } else {\n if (!hasOwnProp(this, '_monthsShortRegex')) {\n this._monthsShortRegex = defaultMonthsShortRegex;\n }\n return this._monthsShortStrictRegex && isStrict ?\n this._monthsShortStrictRegex : this._monthsShortRegex;\n }\n }\n\n var defaultMonthsRegex = matchWord;\n function monthsRegex (isStrict) {\n if (this._monthsParseExact) {\n if (!hasOwnProp(this, '_monthsRegex')) {\n computeMonthsParse.call(this);\n }\n if (isStrict) {\n return this._monthsStrictRegex;\n } else {\n return this._monthsRegex;\n }\n } else {\n if (!hasOwnProp(this, '_monthsRegex')) {\n this._monthsRegex = defaultMonthsRegex;\n }\n return this._monthsStrictRegex && isStrict ?\n this._monthsStrictRegex : this._monthsRegex;\n }\n }\n\n function computeMonthsParse () {\n function cmpLenRev(a, b) {\n return b.length - a.length;\n }\n\n var shortPieces = [], longPieces = [], mixedPieces = [],\n i, mom;\n for (i = 0; i < 12; i++) {\n // make the regex if we don't have it already\n mom = createUTC([2000, i]);\n shortPieces.push(this.monthsShort(mom, ''));\n longPieces.push(this.months(mom, ''));\n mixedPieces.push(this.months(mom, ''));\n mixedPieces.push(this.monthsShort(mom, ''));\n }\n // Sorting makes sure if one month (or abbr) is a prefix of another it\n // will match the longer piece.\n shortPieces.sort(cmpLenRev);\n longPieces.sort(cmpLenRev);\n mixedPieces.sort(cmpLenRev);\n for (i = 0; i < 12; i++) {\n shortPieces[i] = regexEscape(shortPieces[i]);\n longPieces[i] = regexEscape(longPieces[i]);\n }\n for (i = 0; i < 24; i++) {\n mixedPieces[i] = regexEscape(mixedPieces[i]);\n }\n\n this._monthsRegex = new RegExp('^(' + mixedPieces.join('|') + ')', 'i');\n this._monthsShortRegex = this._monthsRegex;\n this._monthsStrictRegex = new RegExp('^(' + longPieces.join('|') + ')', 'i');\n this._monthsShortStrictRegex = new RegExp('^(' + shortPieces.join('|') + ')', 'i');\n }\n\n function createDate (y, m, d, h, M, s, ms) {\n // can't just apply() to create a date:\n // https://stackoverflow.com/q/181348\n var date;\n // the date constructor remaps years 0-99 to 1900-1999\n if (y < 100 && y >= 0) {\n // preserve leap years using a full 400 year cycle, then reset\n date = new Date(y + 400, m, d, h, M, s, ms);\n if (isFinite(date.getFullYear())) {\n date.setFullYear(y);\n }\n } else {\n date = new Date(y, m, d, h, M, s, ms);\n }\n\n return date;\n }\n\n function createUTCDate (y) {\n var date;\n // the Date.UTC function remaps years 0-99 to 1900-1999\n if (y < 100 && y >= 0) {\n var args = Array.prototype.slice.call(arguments);\n // preserve leap years using a full 400 year cycle, then reset\n args[0] = y + 400;\n date = new Date(Date.UTC.apply(null, args));\n if (isFinite(date.getUTCFullYear())) {\n date.setUTCFullYear(y);\n }\n } else {\n date = new Date(Date.UTC.apply(null, arguments));\n }\n\n return date;\n }\n\n // start-of-first-week - start-of-year\n function firstWeekOffset(year, dow, doy) {\n var // first-week day -- which january is always in the first week (4 for iso, 1 for other)\n fwd = 7 + dow - doy,\n // first-week day local weekday -- which local weekday is fwd\n fwdlw = (7 + createUTCDate(year, 0, fwd).getUTCDay() - dow) % 7;\n\n return -fwdlw + fwd - 1;\n }\n\n // https://en.wikipedia.org/wiki/ISO_week_date#Calculating_a_date_given_the_year.2C_week_number_and_weekday\n function dayOfYearFromWeeks(year, week, weekday, dow, doy) {\n var localWeekday = (7 + weekday - dow) % 7,\n weekOffset = firstWeekOffset(year, dow, doy),\n dayOfYear = 1 + 7 * (week - 1) + localWeekday + weekOffset,\n resYear, resDayOfYear;\n\n if (dayOfYear <= 0) {\n resYear = year - 1;\n resDayOfYear = daysInYear(resYear) + dayOfYear;\n } else if (dayOfYear > daysInYear(year)) {\n resYear = year + 1;\n resDayOfYear = dayOfYear - daysInYear(year);\n } else {\n resYear = year;\n resDayOfYear = dayOfYear;\n }\n\n return {\n year: resYear,\n dayOfYear: resDayOfYear\n };\n }\n\n function weekOfYear(mom, dow, doy) {\n var weekOffset = firstWeekOffset(mom.year(), dow, doy),\n week = Math.floor((mom.dayOfYear() - weekOffset - 1) / 7) + 1,\n resWeek, resYear;\n\n if (week < 1) {\n resYear = mom.year() - 1;\n resWeek = week + weeksInYear(resYear, dow, doy);\n } else if (week > weeksInYear(mom.year(), dow, doy)) {\n resWeek = week - weeksInYear(mom.year(), dow, doy);\n resYear = mom.year() + 1;\n } else {\n resYear = mom.year();\n resWeek = week;\n }\n\n return {\n week: resWeek,\n year: resYear\n };\n }\n\n function weeksInYear(year, dow, doy) {\n var weekOffset = firstWeekOffset(year, dow, doy),\n weekOffsetNext = firstWeekOffset(year + 1, dow, doy);\n return (daysInYear(year) - weekOffset + weekOffsetNext) / 7;\n }\n\n // FORMATTING\n\n addFormatToken('w', ['ww', 2], 'wo', 'week');\n addFormatToken('W', ['WW', 2], 'Wo', 'isoWeek');\n\n // ALIASES\n\n addUnitAlias('week', 'w');\n addUnitAlias('isoWeek', 'W');\n\n // PRIORITIES\n\n addUnitPriority('week', 5);\n addUnitPriority('isoWeek', 5);\n\n // PARSING\n\n addRegexToken('w', match1to2);\n addRegexToken('ww', match1to2, match2);\n addRegexToken('W', match1to2);\n addRegexToken('WW', match1to2, match2);\n\n addWeekParseToken(['w', 'ww', 'W', 'WW'], function (input, week, config, token) {\n week[token.substr(0, 1)] = toInt(input);\n });\n\n // HELPERS\n\n // LOCALES\n\n function localeWeek (mom) {\n return weekOfYear(mom, this._week.dow, this._week.doy).week;\n }\n\n var defaultLocaleWeek = {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n };\n\n function localeFirstDayOfWeek () {\n return this._week.dow;\n }\n\n function localeFirstDayOfYear () {\n return this._week.doy;\n }\n\n // MOMENTS\n\n function getSetWeek (input) {\n var week = this.localeData().week(this);\n return input == null ? week : this.add((input - week) * 7, 'd');\n }\n\n function getSetISOWeek (input) {\n var week = weekOfYear(this, 1, 4).week;\n return input == null ? week : this.add((input - week) * 7, 'd');\n }\n\n // FORMATTING\n\n addFormatToken('d', 0, 'do', 'day');\n\n addFormatToken('dd', 0, 0, function (format) {\n return this.localeData().weekdaysMin(this, format);\n });\n\n addFormatToken('ddd', 0, 0, function (format) {\n return this.localeData().weekdaysShort(this, format);\n });\n\n addFormatToken('dddd', 0, 0, function (format) {\n return this.localeData().weekdays(this, format);\n });\n\n addFormatToken('e', 0, 0, 'weekday');\n addFormatToken('E', 0, 0, 'isoWeekday');\n\n // ALIASES\n\n addUnitAlias('day', 'd');\n addUnitAlias('weekday', 'e');\n addUnitAlias('isoWeekday', 'E');\n\n // PRIORITY\n addUnitPriority('day', 11);\n addUnitPriority('weekday', 11);\n addUnitPriority('isoWeekday', 11);\n\n // PARSING\n\n addRegexToken('d', match1to2);\n addRegexToken('e', match1to2);\n addRegexToken('E', match1to2);\n addRegexToken('dd', function (isStrict, locale) {\n return locale.weekdaysMinRegex(isStrict);\n });\n addRegexToken('ddd', function (isStrict, locale) {\n return locale.weekdaysShortRegex(isStrict);\n });\n addRegexToken('dddd', function (isStrict, locale) {\n return locale.weekdaysRegex(isStrict);\n });\n\n addWeekParseToken(['dd', 'ddd', 'dddd'], function (input, week, config, token) {\n var weekday = config._locale.weekdaysParse(input, token, config._strict);\n // if we didn't get a weekday name, mark the date as invalid\n if (weekday != null) {\n week.d = weekday;\n } else {\n getParsingFlags(config).invalidWeekday = input;\n }\n });\n\n addWeekParseToken(['d', 'e', 'E'], function (input, week, config, token) {\n week[token] = toInt(input);\n });\n\n // HELPERS\n\n function parseWeekday(input, locale) {\n if (typeof input !== 'string') {\n return input;\n }\n\n if (!isNaN(input)) {\n return parseInt(input, 10);\n }\n\n input = locale.weekdaysParse(input);\n if (typeof input === 'number') {\n return input;\n }\n\n return null;\n }\n\n function parseIsoWeekday(input, locale) {\n if (typeof input === 'string') {\n return locale.weekdaysParse(input) % 7 || 7;\n }\n return isNaN(input) ? null : input;\n }\n\n // LOCALES\n function shiftWeekdays (ws, n) {\n return ws.slice(n, 7).concat(ws.slice(0, n));\n }\n\n var defaultLocaleWeekdays = 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_');\n function localeWeekdays (m, format) {\n var weekdays = isArray(this._weekdays) ? this._weekdays :\n this._weekdays[(m && m !== true && this._weekdays.isFormat.test(format)) ? 'format' : 'standalone'];\n return (m === true) ? shiftWeekdays(weekdays, this._week.dow)\n : (m) ? weekdays[m.day()] : weekdays;\n }\n\n var defaultLocaleWeekdaysShort = 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_');\n function localeWeekdaysShort (m) {\n return (m === true) ? shiftWeekdays(this._weekdaysShort, this._week.dow)\n : (m) ? this._weekdaysShort[m.day()] : this._weekdaysShort;\n }\n\n var defaultLocaleWeekdaysMin = 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_');\n function localeWeekdaysMin (m) {\n return (m === true) ? shiftWeekdays(this._weekdaysMin, this._week.dow)\n : (m) ? this._weekdaysMin[m.day()] : this._weekdaysMin;\n }\n\n function handleStrictParse$1(weekdayName, format, strict) {\n var i, ii, mom, llc = weekdayName.toLocaleLowerCase();\n if (!this._weekdaysParse) {\n this._weekdaysParse = [];\n this._shortWeekdaysParse = [];\n this._minWeekdaysParse = [];\n\n for (i = 0; i < 7; ++i) {\n mom = createUTC([2000, 1]).day(i);\n this._minWeekdaysParse[i] = this.weekdaysMin(mom, '').toLocaleLowerCase();\n this._shortWeekdaysParse[i] = this.weekdaysShort(mom, '').toLocaleLowerCase();\n this._weekdaysParse[i] = this.weekdays(mom, '').toLocaleLowerCase();\n }\n }\n\n if (strict) {\n if (format === 'dddd') {\n ii = indexOf.call(this._weekdaysParse, llc);\n return ii !== -1 ? ii : null;\n } else if (format === 'ddd') {\n ii = indexOf.call(this._shortWeekdaysParse, llc);\n return ii !== -1 ? ii : null;\n } else {\n ii = indexOf.call(this._minWeekdaysParse, llc);\n return ii !== -1 ? ii : null;\n }\n } else {\n if (format === 'dddd') {\n ii = indexOf.call(this._weekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._shortWeekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._minWeekdaysParse, llc);\n return ii !== -1 ? ii : null;\n } else if (format === 'ddd') {\n ii = indexOf.call(this._shortWeekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._weekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._minWeekdaysParse, llc);\n return ii !== -1 ? ii : null;\n } else {\n ii = indexOf.call(this._minWeekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._weekdaysParse, llc);\n if (ii !== -1) {\n return ii;\n }\n ii = indexOf.call(this._shortWeekdaysParse, llc);\n return ii !== -1 ? ii : null;\n }\n }\n }\n\n function localeWeekdaysParse (weekdayName, format, strict) {\n var i, mom, regex;\n\n if (this._weekdaysParseExact) {\n return handleStrictParse$1.call(this, weekdayName, format, strict);\n }\n\n if (!this._weekdaysParse) {\n this._weekdaysParse = [];\n this._minWeekdaysParse = [];\n this._shortWeekdaysParse = [];\n this._fullWeekdaysParse = [];\n }\n\n for (i = 0; i < 7; i++) {\n // make the regex if we don't have it already\n\n mom = createUTC([2000, 1]).day(i);\n if (strict && !this._fullWeekdaysParse[i]) {\n this._fullWeekdaysParse[i] = new RegExp('^' + this.weekdays(mom, '').replace('.', '\\\\.?') + '$', 'i');\n this._shortWeekdaysParse[i] = new RegExp('^' + this.weekdaysShort(mom, '').replace('.', '\\\\.?') + '$', 'i');\n this._minWeekdaysParse[i] = new RegExp('^' + this.weekdaysMin(mom, '').replace('.', '\\\\.?') + '$', 'i');\n }\n if (!this._weekdaysParse[i]) {\n regex = '^' + this.weekdays(mom, '') + '|^' + this.weekdaysShort(mom, '') + '|^' + this.weekdaysMin(mom, '');\n this._weekdaysParse[i] = new RegExp(regex.replace('.', ''), 'i');\n }\n // test the regex\n if (strict && format === 'dddd' && this._fullWeekdaysParse[i].test(weekdayName)) {\n return i;\n } else if (strict && format === 'ddd' && this._shortWeekdaysParse[i].test(weekdayName)) {\n return i;\n } else if (strict && format === 'dd' && this._minWeekdaysParse[i].test(weekdayName)) {\n return i;\n } else if (!strict && this._weekdaysParse[i].test(weekdayName)) {\n return i;\n }\n }\n }\n\n // MOMENTS\n\n function getSetDayOfWeek (input) {\n if (!this.isValid()) {\n return input != null ? this : NaN;\n }\n var day = this._isUTC ? this._d.getUTCDay() : this._d.getDay();\n if (input != null) {\n input = parseWeekday(input, this.localeData());\n return this.add(input - day, 'd');\n } else {\n return day;\n }\n }\n\n function getSetLocaleDayOfWeek (input) {\n if (!this.isValid()) {\n return input != null ? this : NaN;\n }\n var weekday = (this.day() + 7 - this.localeData()._week.dow) % 7;\n return input == null ? weekday : this.add(input - weekday, 'd');\n }\n\n function getSetISODayOfWeek (input) {\n if (!this.isValid()) {\n return input != null ? this : NaN;\n }\n\n // behaves the same as moment#day except\n // as a getter, returns 7 instead of 0 (1-7 range instead of 0-6)\n // as a setter, sunday should belong to the previous week.\n\n if (input != null) {\n var weekday = parseIsoWeekday(input, this.localeData());\n return this.day(this.day() % 7 ? weekday : weekday - 7);\n } else {\n return this.day() || 7;\n }\n }\n\n var defaultWeekdaysRegex = matchWord;\n function weekdaysRegex (isStrict) {\n if (this._weekdaysParseExact) {\n if (!hasOwnProp(this, '_weekdaysRegex')) {\n computeWeekdaysParse.call(this);\n }\n if (isStrict) {\n return this._weekdaysStrictRegex;\n } else {\n return this._weekdaysRegex;\n }\n } else {\n if (!hasOwnProp(this, '_weekdaysRegex')) {\n this._weekdaysRegex = defaultWeekdaysRegex;\n }\n return this._weekdaysStrictRegex && isStrict ?\n this._weekdaysStrictRegex : this._weekdaysRegex;\n }\n }\n\n var defaultWeekdaysShortRegex = matchWord;\n function weekdaysShortRegex (isStrict) {\n if (this._weekdaysParseExact) {\n if (!hasOwnProp(this, '_weekdaysRegex')) {\n computeWeekdaysParse.call(this);\n }\n if (isStrict) {\n return this._weekdaysShortStrictRegex;\n } else {\n return this._weekdaysShortRegex;\n }\n } else {\n if (!hasOwnProp(this, '_weekdaysShortRegex')) {\n this._weekdaysShortRegex = defaultWeekdaysShortRegex;\n }\n return this._weekdaysShortStrictRegex && isStrict ?\n this._weekdaysShortStrictRegex : this._weekdaysShortRegex;\n }\n }\n\n var defaultWeekdaysMinRegex = matchWord;\n function weekdaysMinRegex (isStrict) {\n if (this._weekdaysParseExact) {\n if (!hasOwnProp(this, '_weekdaysRegex')) {\n computeWeekdaysParse.call(this);\n }\n if (isStrict) {\n return this._weekdaysMinStrictRegex;\n } else {\n return this._weekdaysMinRegex;\n }\n } else {\n if (!hasOwnProp(this, '_weekdaysMinRegex')) {\n this._weekdaysMinRegex = defaultWeekdaysMinRegex;\n }\n return this._weekdaysMinStrictRegex && isStrict ?\n this._weekdaysMinStrictRegex : this._weekdaysMinRegex;\n }\n }\n\n\n function computeWeekdaysParse () {\n function cmpLenRev(a, b) {\n return b.length - a.length;\n }\n\n var minPieces = [], shortPieces = [], longPieces = [], mixedPieces = [],\n i, mom, minp, shortp, longp;\n for (i = 0; i < 7; i++) {\n // make the regex if we don't have it already\n mom = createUTC([2000, 1]).day(i);\n minp = this.weekdaysMin(mom, '');\n shortp = this.weekdaysShort(mom, '');\n longp = this.weekdays(mom, '');\n minPieces.push(minp);\n shortPieces.push(shortp);\n longPieces.push(longp);\n mixedPieces.push(minp);\n mixedPieces.push(shortp);\n mixedPieces.push(longp);\n }\n // Sorting makes sure if one weekday (or abbr) is a prefix of another it\n // will match the longer piece.\n minPieces.sort(cmpLenRev);\n shortPieces.sort(cmpLenRev);\n longPieces.sort(cmpLenRev);\n mixedPieces.sort(cmpLenRev);\n for (i = 0; i < 7; i++) {\n shortPieces[i] = regexEscape(shortPieces[i]);\n longPieces[i] = regexEscape(longPieces[i]);\n mixedPieces[i] = regexEscape(mixedPieces[i]);\n }\n\n this._weekdaysRegex = new RegExp('^(' + mixedPieces.join('|') + ')', 'i');\n this._weekdaysShortRegex = this._weekdaysRegex;\n this._weekdaysMinRegex = this._weekdaysRegex;\n\n this._weekdaysStrictRegex = new RegExp('^(' + longPieces.join('|') + ')', 'i');\n this._weekdaysShortStrictRegex = new RegExp('^(' + shortPieces.join('|') + ')', 'i');\n this._weekdaysMinStrictRegex = new RegExp('^(' + minPieces.join('|') + ')', 'i');\n }\n\n // FORMATTING\n\n function hFormat() {\n return this.hours() % 12 || 12;\n }\n\n function kFormat() {\n return this.hours() || 24;\n }\n\n addFormatToken('H', ['HH', 2], 0, 'hour');\n addFormatToken('h', ['hh', 2], 0, hFormat);\n addFormatToken('k', ['kk', 2], 0, kFormat);\n\n addFormatToken('hmm', 0, 0, function () {\n return '' + hFormat.apply(this) + zeroFill(this.minutes(), 2);\n });\n\n addFormatToken('hmmss', 0, 0, function () {\n return '' + hFormat.apply(this) + zeroFill(this.minutes(), 2) +\n zeroFill(this.seconds(), 2);\n });\n\n addFormatToken('Hmm', 0, 0, function () {\n return '' + this.hours() + zeroFill(this.minutes(), 2);\n });\n\n addFormatToken('Hmmss', 0, 0, function () {\n return '' + this.hours() + zeroFill(this.minutes(), 2) +\n zeroFill(this.seconds(), 2);\n });\n\n function meridiem (token, lowercase) {\n addFormatToken(token, 0, 0, function () {\n return this.localeData().meridiem(this.hours(), this.minutes(), lowercase);\n });\n }\n\n meridiem('a', true);\n meridiem('A', false);\n\n // ALIASES\n\n addUnitAlias('hour', 'h');\n\n // PRIORITY\n addUnitPriority('hour', 13);\n\n // PARSING\n\n function matchMeridiem (isStrict, locale) {\n return locale._meridiemParse;\n }\n\n addRegexToken('a', matchMeridiem);\n addRegexToken('A', matchMeridiem);\n addRegexToken('H', match1to2);\n addRegexToken('h', match1to2);\n addRegexToken('k', match1to2);\n addRegexToken('HH', match1to2, match2);\n addRegexToken('hh', match1to2, match2);\n addRegexToken('kk', match1to2, match2);\n\n addRegexToken('hmm', match3to4);\n addRegexToken('hmmss', match5to6);\n addRegexToken('Hmm', match3to4);\n addRegexToken('Hmmss', match5to6);\n\n addParseToken(['H', 'HH'], HOUR);\n addParseToken(['k', 'kk'], function (input, array, config) {\n var kInput = toInt(input);\n array[HOUR] = kInput === 24 ? 0 : kInput;\n });\n addParseToken(['a', 'A'], function (input, array, config) {\n config._isPm = config._locale.isPM(input);\n config._meridiem = input;\n });\n addParseToken(['h', 'hh'], function (input, array, config) {\n array[HOUR] = toInt(input);\n getParsingFlags(config).bigHour = true;\n });\n addParseToken('hmm', function (input, array, config) {\n var pos = input.length - 2;\n array[HOUR] = toInt(input.substr(0, pos));\n array[MINUTE] = toInt(input.substr(pos));\n getParsingFlags(config).bigHour = true;\n });\n addParseToken('hmmss', function (input, array, config) {\n var pos1 = input.length - 4;\n var pos2 = input.length - 2;\n array[HOUR] = toInt(input.substr(0, pos1));\n array[MINUTE] = toInt(input.substr(pos1, 2));\n array[SECOND] = toInt(input.substr(pos2));\n getParsingFlags(config).bigHour = true;\n });\n addParseToken('Hmm', function (input, array, config) {\n var pos = input.length - 2;\n array[HOUR] = toInt(input.substr(0, pos));\n array[MINUTE] = toInt(input.substr(pos));\n });\n addParseToken('Hmmss', function (input, array, config) {\n var pos1 = input.length - 4;\n var pos2 = input.length - 2;\n array[HOUR] = toInt(input.substr(0, pos1));\n array[MINUTE] = toInt(input.substr(pos1, 2));\n array[SECOND] = toInt(input.substr(pos2));\n });\n\n // LOCALES\n\n function localeIsPM (input) {\n // IE8 Quirks Mode & IE7 Standards Mode do not allow accessing strings like arrays\n // Using charAt should be more compatible.\n return ((input + '').toLowerCase().charAt(0) === 'p');\n }\n\n var defaultLocaleMeridiemParse = /[ap]\\.?m?\\.?/i;\n function localeMeridiem (hours, minutes, isLower) {\n if (hours > 11) {\n return isLower ? 'pm' : 'PM';\n } else {\n return isLower ? 'am' : 'AM';\n }\n }\n\n\n // MOMENTS\n\n // Setting the hour should keep the time, because the user explicitly\n // specified which hour they want. So trying to maintain the same hour (in\n // a new timezone) makes sense. Adding/subtracting hours does not follow\n // this rule.\n var getSetHour = makeGetSet('Hours', true);\n\n var baseConfig = {\n calendar: defaultCalendar,\n longDateFormat: defaultLongDateFormat,\n invalidDate: defaultInvalidDate,\n ordinal: defaultOrdinal,\n dayOfMonthOrdinalParse: defaultDayOfMonthOrdinalParse,\n relativeTime: defaultRelativeTime,\n\n months: defaultLocaleMonths,\n monthsShort: defaultLocaleMonthsShort,\n\n week: defaultLocaleWeek,\n\n weekdays: defaultLocaleWeekdays,\n weekdaysMin: defaultLocaleWeekdaysMin,\n weekdaysShort: defaultLocaleWeekdaysShort,\n\n meridiemParse: defaultLocaleMeridiemParse\n };\n\n // internal storage for locale config files\n var locales = {};\n var localeFamilies = {};\n var globalLocale;\n\n function normalizeLocale(key) {\n return key ? key.toLowerCase().replace('_', '-') : key;\n }\n\n // pick the locale from the array\n // try ['en-au', 'en-gb'] as 'en-au', 'en-gb', 'en', as in move through the list trying each\n // substring from most specific to least, but move to the next array item if it's a more specific variant than the current root\n function chooseLocale(names) {\n var i = 0, j, next, locale, split;\n\n while (i < names.length) {\n split = normalizeLocale(names[i]).split('-');\n j = split.length;\n next = normalizeLocale(names[i + 1]);\n next = next ? next.split('-') : null;\n while (j > 0) {\n locale = loadLocale(split.slice(0, j).join('-'));\n if (locale) {\n return locale;\n }\n if (next && next.length >= j && compareArrays(split, next, true) >= j - 1) {\n //the next array item is better than a shallower substring of this one\n break;\n }\n j--;\n }\n i++;\n }\n return globalLocale;\n }\n\n function loadLocale(name) {\n var oldLocale = null;\n // TODO: Find a better way to register and load all the locales in Node\n if (!locales[name] && (typeof module !== 'undefined') &&\n module && module.exports) {\n try {\n oldLocale = globalLocale._abbr;\n var aliasedRequire = require;\n aliasedRequire('./locale/' + name);\n getSetGlobalLocale(oldLocale);\n } catch (e) {}\n }\n return locales[name];\n }\n\n // This function will load locale and then set the global locale. If\n // no arguments are passed in, it will simply return the current global\n // locale key.\n function getSetGlobalLocale (key, values) {\n var data;\n if (key) {\n if (isUndefined(values)) {\n data = getLocale(key);\n }\n else {\n data = defineLocale(key, values);\n }\n\n if (data) {\n // moment.duration._locale = moment._locale = data;\n globalLocale = data;\n }\n else {\n if ((typeof console !== 'undefined') && console.warn) {\n //warn user if arguments are passed but the locale could not be set\n console.warn('Locale ' + key + ' not found. Did you forget to load it?');\n }\n }\n }\n\n return globalLocale._abbr;\n }\n\n function defineLocale (name, config) {\n if (config !== null) {\n var locale, parentConfig = baseConfig;\n config.abbr = name;\n if (locales[name] != null) {\n deprecateSimple('defineLocaleOverride',\n 'use moment.updateLocale(localeName, config) to change ' +\n 'an existing locale. moment.defineLocale(localeName, ' +\n 'config) should only be used for creating a new locale ' +\n 'See http://momentjs.com/guides/#/warnings/define-locale/ for more info.');\n parentConfig = locales[name]._config;\n } else if (config.parentLocale != null) {\n if (locales[config.parentLocale] != null) {\n parentConfig = locales[config.parentLocale]._config;\n } else {\n locale = loadLocale(config.parentLocale);\n if (locale != null) {\n parentConfig = locale._config;\n } else {\n if (!localeFamilies[config.parentLocale]) {\n localeFamilies[config.parentLocale] = [];\n }\n localeFamilies[config.parentLocale].push({\n name: name,\n config: config\n });\n return null;\n }\n }\n }\n locales[name] = new Locale(mergeConfigs(parentConfig, config));\n\n if (localeFamilies[name]) {\n localeFamilies[name].forEach(function (x) {\n defineLocale(x.name, x.config);\n });\n }\n\n // backwards compat for now: also set the locale\n // make sure we set the locale AFTER all child locales have been\n // created, so we won't end up with the child locale set.\n getSetGlobalLocale(name);\n\n\n return locales[name];\n } else {\n // useful for testing\n delete locales[name];\n return null;\n }\n }\n\n function updateLocale(name, config) {\n if (config != null) {\n var locale, tmpLocale, parentConfig = baseConfig;\n // MERGE\n tmpLocale = loadLocale(name);\n if (tmpLocale != null) {\n parentConfig = tmpLocale._config;\n }\n config = mergeConfigs(parentConfig, config);\n locale = new Locale(config);\n locale.parentLocale = locales[name];\n locales[name] = locale;\n\n // backwards compat for now: also set the locale\n getSetGlobalLocale(name);\n } else {\n // pass null for config to unupdate, useful for tests\n if (locales[name] != null) {\n if (locales[name].parentLocale != null) {\n locales[name] = locales[name].parentLocale;\n } else if (locales[name] != null) {\n delete locales[name];\n }\n }\n }\n return locales[name];\n }\n\n // returns locale data\n function getLocale (key) {\n var locale;\n\n if (key && key._locale && key._locale._abbr) {\n key = key._locale._abbr;\n }\n\n if (!key) {\n return globalLocale;\n }\n\n if (!isArray(key)) {\n //short-circuit everything else\n locale = loadLocale(key);\n if (locale) {\n return locale;\n }\n key = [key];\n }\n\n return chooseLocale(key);\n }\n\n function listLocales() {\n return keys(locales);\n }\n\n function checkOverflow (m) {\n var overflow;\n var a = m._a;\n\n if (a && getParsingFlags(m).overflow === -2) {\n overflow =\n a[MONTH] < 0 || a[MONTH] > 11 ? MONTH :\n a[DATE] < 1 || a[DATE] > daysInMonth(a[YEAR], a[MONTH]) ? DATE :\n a[HOUR] < 0 || a[HOUR] > 24 || (a[HOUR] === 24 && (a[MINUTE] !== 0 || a[SECOND] !== 0 || a[MILLISECOND] !== 0)) ? HOUR :\n a[MINUTE] < 0 || a[MINUTE] > 59 ? MINUTE :\n a[SECOND] < 0 || a[SECOND] > 59 ? SECOND :\n a[MILLISECOND] < 0 || a[MILLISECOND] > 999 ? MILLISECOND :\n -1;\n\n if (getParsingFlags(m)._overflowDayOfYear && (overflow < YEAR || overflow > DATE)) {\n overflow = DATE;\n }\n if (getParsingFlags(m)._overflowWeeks && overflow === -1) {\n overflow = WEEK;\n }\n if (getParsingFlags(m)._overflowWeekday && overflow === -1) {\n overflow = WEEKDAY;\n }\n\n getParsingFlags(m).overflow = overflow;\n }\n\n return m;\n }\n\n // Pick the first defined of two or three arguments.\n function defaults(a, b, c) {\n if (a != null) {\n return a;\n }\n if (b != null) {\n return b;\n }\n return c;\n }\n\n function currentDateArray(config) {\n // hooks is actually the exported moment object\n var nowValue = new Date(hooks.now());\n if (config._useUTC) {\n return [nowValue.getUTCFullYear(), nowValue.getUTCMonth(), nowValue.getUTCDate()];\n }\n return [nowValue.getFullYear(), nowValue.getMonth(), nowValue.getDate()];\n }\n\n // convert an array to a date.\n // the array should mirror the parameters below\n // note: all values past the year are optional and will default to the lowest possible value.\n // [year, month, day , hour, minute, second, millisecond]\n function configFromArray (config) {\n var i, date, input = [], currentDate, expectedWeekday, yearToUse;\n\n if (config._d) {\n return;\n }\n\n currentDate = currentDateArray(config);\n\n //compute day of the year from weeks and weekdays\n if (config._w && config._a[DATE] == null && config._a[MONTH] == null) {\n dayOfYearFromWeekInfo(config);\n }\n\n //if the day of the year is set, figure out what it is\n if (config._dayOfYear != null) {\n yearToUse = defaults(config._a[YEAR], currentDate[YEAR]);\n\n if (config._dayOfYear > daysInYear(yearToUse) || config._dayOfYear === 0) {\n getParsingFlags(config)._overflowDayOfYear = true;\n }\n\n date = createUTCDate(yearToUse, 0, config._dayOfYear);\n config._a[MONTH] = date.getUTCMonth();\n config._a[DATE] = date.getUTCDate();\n }\n\n // Default to current date.\n // * if no year, month, day of month are given, default to today\n // * if day of month is given, default month and year\n // * if month is given, default only year\n // * if year is given, don't default anything\n for (i = 0; i < 3 && config._a[i] == null; ++i) {\n config._a[i] = input[i] = currentDate[i];\n }\n\n // Zero out whatever was not defaulted, including time\n for (; i < 7; i++) {\n config._a[i] = input[i] = (config._a[i] == null) ? (i === 2 ? 1 : 0) : config._a[i];\n }\n\n // Check for 24:00:00.000\n if (config._a[HOUR] === 24 &&\n config._a[MINUTE] === 0 &&\n config._a[SECOND] === 0 &&\n config._a[MILLISECOND] === 0) {\n config._nextDay = true;\n config._a[HOUR] = 0;\n }\n\n config._d = (config._useUTC ? createUTCDate : createDate).apply(null, input);\n expectedWeekday = config._useUTC ? config._d.getUTCDay() : config._d.getDay();\n\n // Apply timezone offset from input. The actual utcOffset can be changed\n // with parseZone.\n if (config._tzm != null) {\n config._d.setUTCMinutes(config._d.getUTCMinutes() - config._tzm);\n }\n\n if (config._nextDay) {\n config._a[HOUR] = 24;\n }\n\n // check for mismatching day of week\n if (config._w && typeof config._w.d !== 'undefined' && config._w.d !== expectedWeekday) {\n getParsingFlags(config).weekdayMismatch = true;\n }\n }\n\n function dayOfYearFromWeekInfo(config) {\n var w, weekYear, week, weekday, dow, doy, temp, weekdayOverflow;\n\n w = config._w;\n if (w.GG != null || w.W != null || w.E != null) {\n dow = 1;\n doy = 4;\n\n // TODO: We need to take the current isoWeekYear, but that depends on\n // how we interpret now (local, utc, fixed offset). So create\n // a now version of current config (take local/utc/offset flags, and\n // create now).\n weekYear = defaults(w.GG, config._a[YEAR], weekOfYear(createLocal(), 1, 4).year);\n week = defaults(w.W, 1);\n weekday = defaults(w.E, 1);\n if (weekday < 1 || weekday > 7) {\n weekdayOverflow = true;\n }\n } else {\n dow = config._locale._week.dow;\n doy = config._locale._week.doy;\n\n var curWeek = weekOfYear(createLocal(), dow, doy);\n\n weekYear = defaults(w.gg, config._a[YEAR], curWeek.year);\n\n // Default to current week.\n week = defaults(w.w, curWeek.week);\n\n if (w.d != null) {\n // weekday -- low day numbers are considered next week\n weekday = w.d;\n if (weekday < 0 || weekday > 6) {\n weekdayOverflow = true;\n }\n } else if (w.e != null) {\n // local weekday -- counting starts from beginning of week\n weekday = w.e + dow;\n if (w.e < 0 || w.e > 6) {\n weekdayOverflow = true;\n }\n } else {\n // default to beginning of week\n weekday = dow;\n }\n }\n if (week < 1 || week > weeksInYear(weekYear, dow, doy)) {\n getParsingFlags(config)._overflowWeeks = true;\n } else if (weekdayOverflow != null) {\n getParsingFlags(config)._overflowWeekday = true;\n } else {\n temp = dayOfYearFromWeeks(weekYear, week, weekday, dow, doy);\n config._a[YEAR] = temp.year;\n config._dayOfYear = temp.dayOfYear;\n }\n }\n\n // iso 8601 regex\n // 0000-00-00 0000-W00 or 0000-W00-0 + T + 00 or 00:00 or 00:00:00 or 00:00:00.000 + +00:00 or +0000 or +00)\n var extendedIsoRegex = /^\\s*((?:[+-]\\d{6}|\\d{4})-(?:\\d\\d-\\d\\d|W\\d\\d-\\d|W\\d\\d|\\d\\d\\d|\\d\\d))(?:(T| )(\\d\\d(?::\\d\\d(?::\\d\\d(?:[.,]\\d+)?)?)?)([\\+\\-]\\d\\d(?::?\\d\\d)?|\\s*Z)?)?$/;\n var basicIsoRegex = /^\\s*((?:[+-]\\d{6}|\\d{4})(?:\\d\\d\\d\\d|W\\d\\d\\d|W\\d\\d|\\d\\d\\d|\\d\\d))(?:(T| )(\\d\\d(?:\\d\\d(?:\\d\\d(?:[.,]\\d+)?)?)?)([\\+\\-]\\d\\d(?::?\\d\\d)?|\\s*Z)?)?$/;\n\n var tzRegex = /Z|[+-]\\d\\d(?::?\\d\\d)?/;\n\n var isoDates = [\n ['YYYYYY-MM-DD', /[+-]\\d{6}-\\d\\d-\\d\\d/],\n ['YYYY-MM-DD', /\\d{4}-\\d\\d-\\d\\d/],\n ['GGGG-[W]WW-E', /\\d{4}-W\\d\\d-\\d/],\n ['GGGG-[W]WW', /\\d{4}-W\\d\\d/, false],\n ['YYYY-DDD', /\\d{4}-\\d{3}/],\n ['YYYY-MM', /\\d{4}-\\d\\d/, false],\n ['YYYYYYMMDD', /[+-]\\d{10}/],\n ['YYYYMMDD', /\\d{8}/],\n // YYYYMM is NOT allowed by the standard\n ['GGGG[W]WWE', /\\d{4}W\\d{3}/],\n ['GGGG[W]WW', /\\d{4}W\\d{2}/, false],\n ['YYYYDDD', /\\d{7}/]\n ];\n\n // iso time formats and regexes\n var isoTimes = [\n ['HH:mm:ss.SSSS', /\\d\\d:\\d\\d:\\d\\d\\.\\d+/],\n ['HH:mm:ss,SSSS', /\\d\\d:\\d\\d:\\d\\d,\\d+/],\n ['HH:mm:ss', /\\d\\d:\\d\\d:\\d\\d/],\n ['HH:mm', /\\d\\d:\\d\\d/],\n ['HHmmss.SSSS', /\\d\\d\\d\\d\\d\\d\\.\\d+/],\n ['HHmmss,SSSS', /\\d\\d\\d\\d\\d\\d,\\d+/],\n ['HHmmss', /\\d\\d\\d\\d\\d\\d/],\n ['HHmm', /\\d\\d\\d\\d/],\n ['HH', /\\d\\d/]\n ];\n\n var aspNetJsonRegex = /^\\/?Date\\((\\-?\\d+)/i;\n\n // date from iso format\n function configFromISO(config) {\n var i, l,\n string = config._i,\n match = extendedIsoRegex.exec(string) || basicIsoRegex.exec(string),\n allowTime, dateFormat, timeFormat, tzFormat;\n\n if (match) {\n getParsingFlags(config).iso = true;\n\n for (i = 0, l = isoDates.length; i < l; i++) {\n if (isoDates[i][1].exec(match[1])) {\n dateFormat = isoDates[i][0];\n allowTime = isoDates[i][2] !== false;\n break;\n }\n }\n if (dateFormat == null) {\n config._isValid = false;\n return;\n }\n if (match[3]) {\n for (i = 0, l = isoTimes.length; i < l; i++) {\n if (isoTimes[i][1].exec(match[3])) {\n // match[2] should be 'T' or space\n timeFormat = (match[2] || ' ') + isoTimes[i][0];\n break;\n }\n }\n if (timeFormat == null) {\n config._isValid = false;\n return;\n }\n }\n if (!allowTime && timeFormat != null) {\n config._isValid = false;\n return;\n }\n if (match[4]) {\n if (tzRegex.exec(match[4])) {\n tzFormat = 'Z';\n } else {\n config._isValid = false;\n return;\n }\n }\n config._f = dateFormat + (timeFormat || '') + (tzFormat || '');\n configFromStringAndFormat(config);\n } else {\n config._isValid = false;\n }\n }\n\n // RFC 2822 regex: For details see https://tools.ietf.org/html/rfc2822#section-3.3\n var rfc2822 = /^(?:(Mon|Tue|Wed|Thu|Fri|Sat|Sun),?\\s)?(\\d{1,2})\\s(Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec)\\s(\\d{2,4})\\s(\\d\\d):(\\d\\d)(?::(\\d\\d))?\\s(?:(UT|GMT|[ECMP][SD]T)|([Zz])|([+-]\\d{4}))$/;\n\n function extractFromRFC2822Strings(yearStr, monthStr, dayStr, hourStr, minuteStr, secondStr) {\n var result = [\n untruncateYear(yearStr),\n defaultLocaleMonthsShort.indexOf(monthStr),\n parseInt(dayStr, 10),\n parseInt(hourStr, 10),\n parseInt(minuteStr, 10)\n ];\n\n if (secondStr) {\n result.push(parseInt(secondStr, 10));\n }\n\n return result;\n }\n\n function untruncateYear(yearStr) {\n var year = parseInt(yearStr, 10);\n if (year <= 49) {\n return 2000 + year;\n } else if (year <= 999) {\n return 1900 + year;\n }\n return year;\n }\n\n function preprocessRFC2822(s) {\n // Remove comments and folding whitespace and replace multiple-spaces with a single space\n return s.replace(/\\([^)]*\\)|[\\n\\t]/g, ' ').replace(/(\\s\\s+)/g, ' ').replace(/^\\s\\s*/, '').replace(/\\s\\s*$/, '');\n }\n\n function checkWeekday(weekdayStr, parsedInput, config) {\n if (weekdayStr) {\n // TODO: Replace the vanilla JS Date object with an indepentent day-of-week check.\n var weekdayProvided = defaultLocaleWeekdaysShort.indexOf(weekdayStr),\n weekdayActual = new Date(parsedInput[0], parsedInput[1], parsedInput[2]).getDay();\n if (weekdayProvided !== weekdayActual) {\n getParsingFlags(config).weekdayMismatch = true;\n config._isValid = false;\n return false;\n }\n }\n return true;\n }\n\n var obsOffsets = {\n UT: 0,\n GMT: 0,\n EDT: -4 * 60,\n EST: -5 * 60,\n CDT: -5 * 60,\n CST: -6 * 60,\n MDT: -6 * 60,\n MST: -7 * 60,\n PDT: -7 * 60,\n PST: -8 * 60\n };\n\n function calculateOffset(obsOffset, militaryOffset, numOffset) {\n if (obsOffset) {\n return obsOffsets[obsOffset];\n } else if (militaryOffset) {\n // the only allowed military tz is Z\n return 0;\n } else {\n var hm = parseInt(numOffset, 10);\n var m = hm % 100, h = (hm - m) / 100;\n return h * 60 + m;\n }\n }\n\n // date and time from ref 2822 format\n function configFromRFC2822(config) {\n var match = rfc2822.exec(preprocessRFC2822(config._i));\n if (match) {\n var parsedArray = extractFromRFC2822Strings(match[4], match[3], match[2], match[5], match[6], match[7]);\n if (!checkWeekday(match[1], parsedArray, config)) {\n return;\n }\n\n config._a = parsedArray;\n config._tzm = calculateOffset(match[8], match[9], match[10]);\n\n config._d = createUTCDate.apply(null, config._a);\n config._d.setUTCMinutes(config._d.getUTCMinutes() - config._tzm);\n\n getParsingFlags(config).rfc2822 = true;\n } else {\n config._isValid = false;\n }\n }\n\n // date from iso format or fallback\n function configFromString(config) {\n var matched = aspNetJsonRegex.exec(config._i);\n\n if (matched !== null) {\n config._d = new Date(+matched[1]);\n return;\n }\n\n configFromISO(config);\n if (config._isValid === false) {\n delete config._isValid;\n } else {\n return;\n }\n\n configFromRFC2822(config);\n if (config._isValid === false) {\n delete config._isValid;\n } else {\n return;\n }\n\n // Final attempt, use Input Fallback\n hooks.createFromInputFallback(config);\n }\n\n hooks.createFromInputFallback = deprecate(\n 'value provided is not in a recognized RFC2822 or ISO format. moment construction falls back to js Date(), ' +\n 'which is not reliable across all browsers and versions. Non RFC2822/ISO date formats are ' +\n 'discouraged and will be removed in an upcoming major release. Please refer to ' +\n 'http://momentjs.com/guides/#/warnings/js-date/ for more info.',\n function (config) {\n config._d = new Date(config._i + (config._useUTC ? ' UTC' : ''));\n }\n );\n\n // constant that refers to the ISO standard\n hooks.ISO_8601 = function () {};\n\n // constant that refers to the RFC 2822 form\n hooks.RFC_2822 = function () {};\n\n // date from string and format string\n function configFromStringAndFormat(config) {\n // TODO: Move this to another part of the creation flow to prevent circular deps\n if (config._f === hooks.ISO_8601) {\n configFromISO(config);\n return;\n }\n if (config._f === hooks.RFC_2822) {\n configFromRFC2822(config);\n return;\n }\n config._a = [];\n getParsingFlags(config).empty = true;\n\n // This array is used to make a Date, either with `new Date` or `Date.UTC`\n var string = '' + config._i,\n i, parsedInput, tokens, token, skipped,\n stringLength = string.length,\n totalParsedInputLength = 0;\n\n tokens = expandFormat(config._f, config._locale).match(formattingTokens) || [];\n\n for (i = 0; i < tokens.length; i++) {\n token = tokens[i];\n parsedInput = (string.match(getParseRegexForToken(token, config)) || [])[0];\n // console.log('token', token, 'parsedInput', parsedInput,\n // 'regex', getParseRegexForToken(token, config));\n if (parsedInput) {\n skipped = string.substr(0, string.indexOf(parsedInput));\n if (skipped.length > 0) {\n getParsingFlags(config).unusedInput.push(skipped);\n }\n string = string.slice(string.indexOf(parsedInput) + parsedInput.length);\n totalParsedInputLength += parsedInput.length;\n }\n // don't parse if it's not a known token\n if (formatTokenFunctions[token]) {\n if (parsedInput) {\n getParsingFlags(config).empty = false;\n }\n else {\n getParsingFlags(config).unusedTokens.push(token);\n }\n addTimeToArrayFromToken(token, parsedInput, config);\n }\n else if (config._strict && !parsedInput) {\n getParsingFlags(config).unusedTokens.push(token);\n }\n }\n\n // add remaining unparsed input length to the string\n getParsingFlags(config).charsLeftOver = stringLength - totalParsedInputLength;\n if (string.length > 0) {\n getParsingFlags(config).unusedInput.push(string);\n }\n\n // clear _12h flag if hour is <= 12\n if (config._a[HOUR] <= 12 &&\n getParsingFlags(config).bigHour === true &&\n config._a[HOUR] > 0) {\n getParsingFlags(config).bigHour = undefined;\n }\n\n getParsingFlags(config).parsedDateParts = config._a.slice(0);\n getParsingFlags(config).meridiem = config._meridiem;\n // handle meridiem\n config._a[HOUR] = meridiemFixWrap(config._locale, config._a[HOUR], config._meridiem);\n\n configFromArray(config);\n checkOverflow(config);\n }\n\n\n function meridiemFixWrap (locale, hour, meridiem) {\n var isPm;\n\n if (meridiem == null) {\n // nothing to do\n return hour;\n }\n if (locale.meridiemHour != null) {\n return locale.meridiemHour(hour, meridiem);\n } else if (locale.isPM != null) {\n // Fallback\n isPm = locale.isPM(meridiem);\n if (isPm && hour < 12) {\n hour += 12;\n }\n if (!isPm && hour === 12) {\n hour = 0;\n }\n return hour;\n } else {\n // this is not supposed to happen\n return hour;\n }\n }\n\n // date from string and array of format strings\n function configFromStringAndArray(config) {\n var tempConfig,\n bestMoment,\n\n scoreToBeat,\n i,\n currentScore;\n\n if (config._f.length === 0) {\n getParsingFlags(config).invalidFormat = true;\n config._d = new Date(NaN);\n return;\n }\n\n for (i = 0; i < config._f.length; i++) {\n currentScore = 0;\n tempConfig = copyConfig({}, config);\n if (config._useUTC != null) {\n tempConfig._useUTC = config._useUTC;\n }\n tempConfig._f = config._f[i];\n configFromStringAndFormat(tempConfig);\n\n if (!isValid(tempConfig)) {\n continue;\n }\n\n // if there is any input that was not parsed add a penalty for that format\n currentScore += getParsingFlags(tempConfig).charsLeftOver;\n\n //or tokens\n currentScore += getParsingFlags(tempConfig).unusedTokens.length * 10;\n\n getParsingFlags(tempConfig).score = currentScore;\n\n if (scoreToBeat == null || currentScore < scoreToBeat) {\n scoreToBeat = currentScore;\n bestMoment = tempConfig;\n }\n }\n\n extend(config, bestMoment || tempConfig);\n }\n\n function configFromObject(config) {\n if (config._d) {\n return;\n }\n\n var i = normalizeObjectUnits(config._i);\n config._a = map([i.year, i.month, i.day || i.date, i.hour, i.minute, i.second, i.millisecond], function (obj) {\n return obj && parseInt(obj, 10);\n });\n\n configFromArray(config);\n }\n\n function createFromConfig (config) {\n var res = new Moment(checkOverflow(prepareConfig(config)));\n if (res._nextDay) {\n // Adding is smart enough around DST\n res.add(1, 'd');\n res._nextDay = undefined;\n }\n\n return res;\n }\n\n function prepareConfig (config) {\n var input = config._i,\n format = config._f;\n\n config._locale = config._locale || getLocale(config._l);\n\n if (input === null || (format === undefined && input === '')) {\n return createInvalid({nullInput: true});\n }\n\n if (typeof input === 'string') {\n config._i = input = config._locale.preparse(input);\n }\n\n if (isMoment(input)) {\n return new Moment(checkOverflow(input));\n } else if (isDate(input)) {\n config._d = input;\n } else if (isArray(format)) {\n configFromStringAndArray(config);\n } else if (format) {\n configFromStringAndFormat(config);\n } else {\n configFromInput(config);\n }\n\n if (!isValid(config)) {\n config._d = null;\n }\n\n return config;\n }\n\n function configFromInput(config) {\n var input = config._i;\n if (isUndefined(input)) {\n config._d = new Date(hooks.now());\n } else if (isDate(input)) {\n config._d = new Date(input.valueOf());\n } else if (typeof input === 'string') {\n configFromString(config);\n } else if (isArray(input)) {\n config._a = map(input.slice(0), function (obj) {\n return parseInt(obj, 10);\n });\n configFromArray(config);\n } else if (isObject(input)) {\n configFromObject(config);\n } else if (isNumber(input)) {\n // from milliseconds\n config._d = new Date(input);\n } else {\n hooks.createFromInputFallback(config);\n }\n }\n\n function createLocalOrUTC (input, format, locale, strict, isUTC) {\n var c = {};\n\n if (locale === true || locale === false) {\n strict = locale;\n locale = undefined;\n }\n\n if ((isObject(input) && isObjectEmpty(input)) ||\n (isArray(input) && input.length === 0)) {\n input = undefined;\n }\n // object construction must be done this way.\n // https://github.com/moment/moment/issues/1423\n c._isAMomentObject = true;\n c._useUTC = c._isUTC = isUTC;\n c._l = locale;\n c._i = input;\n c._f = format;\n c._strict = strict;\n\n return createFromConfig(c);\n }\n\n function createLocal (input, format, locale, strict) {\n return createLocalOrUTC(input, format, locale, strict, false);\n }\n\n var prototypeMin = deprecate(\n 'moment().min is deprecated, use moment.max instead. http://momentjs.com/guides/#/warnings/min-max/',\n function () {\n var other = createLocal.apply(null, arguments);\n if (this.isValid() && other.isValid()) {\n return other < this ? this : other;\n } else {\n return createInvalid();\n }\n }\n );\n\n var prototypeMax = deprecate(\n 'moment().max is deprecated, use moment.min instead. http://momentjs.com/guides/#/warnings/min-max/',\n function () {\n var other = createLocal.apply(null, arguments);\n if (this.isValid() && other.isValid()) {\n return other > this ? this : other;\n } else {\n return createInvalid();\n }\n }\n );\n\n // Pick a moment m from moments so that m[fn](other) is true for all\n // other. This relies on the function fn to be transitive.\n //\n // moments should either be an array of moment objects or an array, whose\n // first element is an array of moment objects.\n function pickBy(fn, moments) {\n var res, i;\n if (moments.length === 1 && isArray(moments[0])) {\n moments = moments[0];\n }\n if (!moments.length) {\n return createLocal();\n }\n res = moments[0];\n for (i = 1; i < moments.length; ++i) {\n if (!moments[i].isValid() || moments[i][fn](res)) {\n res = moments[i];\n }\n }\n return res;\n }\n\n // TODO: Use [].sort instead?\n function min () {\n var args = [].slice.call(arguments, 0);\n\n return pickBy('isBefore', args);\n }\n\n function max () {\n var args = [].slice.call(arguments, 0);\n\n return pickBy('isAfter', args);\n }\n\n var now = function () {\n return Date.now ? Date.now() : +(new Date());\n };\n\n var ordering = ['year', 'quarter', 'month', 'week', 'day', 'hour', 'minute', 'second', 'millisecond'];\n\n function isDurationValid(m) {\n for (var key in m) {\n if (!(indexOf.call(ordering, key) !== -1 && (m[key] == null || !isNaN(m[key])))) {\n return false;\n }\n }\n\n var unitHasDecimal = false;\n for (var i = 0; i < ordering.length; ++i) {\n if (m[ordering[i]]) {\n if (unitHasDecimal) {\n return false; // only allow non-integers for smallest unit\n }\n if (parseFloat(m[ordering[i]]) !== toInt(m[ordering[i]])) {\n unitHasDecimal = true;\n }\n }\n }\n\n return true;\n }\n\n function isValid$1() {\n return this._isValid;\n }\n\n function createInvalid$1() {\n return createDuration(NaN);\n }\n\n function Duration (duration) {\n var normalizedInput = normalizeObjectUnits(duration),\n years = normalizedInput.year || 0,\n quarters = normalizedInput.quarter || 0,\n months = normalizedInput.month || 0,\n weeks = normalizedInput.week || normalizedInput.isoWeek || 0,\n days = normalizedInput.day || 0,\n hours = normalizedInput.hour || 0,\n minutes = normalizedInput.minute || 0,\n seconds = normalizedInput.second || 0,\n milliseconds = normalizedInput.millisecond || 0;\n\n this._isValid = isDurationValid(normalizedInput);\n\n // representation for dateAddRemove\n this._milliseconds = +milliseconds +\n seconds * 1e3 + // 1000\n minutes * 6e4 + // 1000 * 60\n hours * 1000 * 60 * 60; //using 1000 * 60 * 60 instead of 36e5 to avoid floating point rounding errors https://github.com/moment/moment/issues/2978\n // Because of dateAddRemove treats 24 hours as different from a\n // day when working around DST, we need to store them separately\n this._days = +days +\n weeks * 7;\n // It is impossible to translate months into days without knowing\n // which months you are are talking about, so we have to store\n // it separately.\n this._months = +months +\n quarters * 3 +\n years * 12;\n\n this._data = {};\n\n this._locale = getLocale();\n\n this._bubble();\n }\n\n function isDuration (obj) {\n return obj instanceof Duration;\n }\n\n function absRound (number) {\n if (number < 0) {\n return Math.round(-1 * number) * -1;\n } else {\n return Math.round(number);\n }\n }\n\n // FORMATTING\n\n function offset (token, separator) {\n addFormatToken(token, 0, 0, function () {\n var offset = this.utcOffset();\n var sign = '+';\n if (offset < 0) {\n offset = -offset;\n sign = '-';\n }\n return sign + zeroFill(~~(offset / 60), 2) + separator + zeroFill(~~(offset) % 60, 2);\n });\n }\n\n offset('Z', ':');\n offset('ZZ', '');\n\n // PARSING\n\n addRegexToken('Z', matchShortOffset);\n addRegexToken('ZZ', matchShortOffset);\n addParseToken(['Z', 'ZZ'], function (input, array, config) {\n config._useUTC = true;\n config._tzm = offsetFromString(matchShortOffset, input);\n });\n\n // HELPERS\n\n // timezone chunker\n // '+10:00' > ['10', '00']\n // '-1530' > ['-15', '30']\n var chunkOffset = /([\\+\\-]|\\d\\d)/gi;\n\n function offsetFromString(matcher, string) {\n var matches = (string || '').match(matcher);\n\n if (matches === null) {\n return null;\n }\n\n var chunk = matches[matches.length - 1] || [];\n var parts = (chunk + '').match(chunkOffset) || ['-', 0, 0];\n var minutes = +(parts[1] * 60) + toInt(parts[2]);\n\n return minutes === 0 ?\n 0 :\n parts[0] === '+' ? minutes : -minutes;\n }\n\n // Return a moment from input, that is local/utc/zone equivalent to model.\n function cloneWithOffset(input, model) {\n var res, diff;\n if (model._isUTC) {\n res = model.clone();\n diff = (isMoment(input) || isDate(input) ? input.valueOf() : createLocal(input).valueOf()) - res.valueOf();\n // Use low-level api, because this fn is low-level api.\n res._d.setTime(res._d.valueOf() + diff);\n hooks.updateOffset(res, false);\n return res;\n } else {\n return createLocal(input).local();\n }\n }\n\n function getDateOffset (m) {\n // On Firefox.24 Date#getTimezoneOffset returns a floating point.\n // https://github.com/moment/moment/pull/1871\n return -Math.round(m._d.getTimezoneOffset() / 15) * 15;\n }\n\n // HOOKS\n\n // This function will be called whenever a moment is mutated.\n // It is intended to keep the offset in sync with the timezone.\n hooks.updateOffset = function () {};\n\n // MOMENTS\n\n // keepLocalTime = true means only change the timezone, without\n // affecting the local hour. So 5:31:26 +0300 --[utcOffset(2, true)]-->\n // 5:31:26 +0200 It is possible that 5:31:26 doesn't exist with offset\n // +0200, so we adjust the time as needed, to be valid.\n //\n // Keeping the time actually adds/subtracts (one hour)\n // from the actual represented time. That is why we call updateOffset\n // a second time. In case it wants us to change the offset again\n // _changeInProgress == true case, then we have to adjust, because\n // there is no such time in the given timezone.\n function getSetOffset (input, keepLocalTime, keepMinutes) {\n var offset = this._offset || 0,\n localAdjust;\n if (!this.isValid()) {\n return input != null ? this : NaN;\n }\n if (input != null) {\n if (typeof input === 'string') {\n input = offsetFromString(matchShortOffset, input);\n if (input === null) {\n return this;\n }\n } else if (Math.abs(input) < 16 && !keepMinutes) {\n input = input * 60;\n }\n if (!this._isUTC && keepLocalTime) {\n localAdjust = getDateOffset(this);\n }\n this._offset = input;\n this._isUTC = true;\n if (localAdjust != null) {\n this.add(localAdjust, 'm');\n }\n if (offset !== input) {\n if (!keepLocalTime || this._changeInProgress) {\n addSubtract(this, createDuration(input - offset, 'm'), 1, false);\n } else if (!this._changeInProgress) {\n this._changeInProgress = true;\n hooks.updateOffset(this, true);\n this._changeInProgress = null;\n }\n }\n return this;\n } else {\n return this._isUTC ? offset : getDateOffset(this);\n }\n }\n\n function getSetZone (input, keepLocalTime) {\n if (input != null) {\n if (typeof input !== 'string') {\n input = -input;\n }\n\n this.utcOffset(input, keepLocalTime);\n\n return this;\n } else {\n return -this.utcOffset();\n }\n }\n\n function setOffsetToUTC (keepLocalTime) {\n return this.utcOffset(0, keepLocalTime);\n }\n\n function setOffsetToLocal (keepLocalTime) {\n if (this._isUTC) {\n this.utcOffset(0, keepLocalTime);\n this._isUTC = false;\n\n if (keepLocalTime) {\n this.subtract(getDateOffset(this), 'm');\n }\n }\n return this;\n }\n\n function setOffsetToParsedOffset () {\n if (this._tzm != null) {\n this.utcOffset(this._tzm, false, true);\n } else if (typeof this._i === 'string') {\n var tZone = offsetFromString(matchOffset, this._i);\n if (tZone != null) {\n this.utcOffset(tZone);\n }\n else {\n this.utcOffset(0, true);\n }\n }\n return this;\n }\n\n function hasAlignedHourOffset (input) {\n if (!this.isValid()) {\n return false;\n }\n input = input ? createLocal(input).utcOffset() : 0;\n\n return (this.utcOffset() - input) % 60 === 0;\n }\n\n function isDaylightSavingTime () {\n return (\n this.utcOffset() > this.clone().month(0).utcOffset() ||\n this.utcOffset() > this.clone().month(5).utcOffset()\n );\n }\n\n function isDaylightSavingTimeShifted () {\n if (!isUndefined(this._isDSTShifted)) {\n return this._isDSTShifted;\n }\n\n var c = {};\n\n copyConfig(c, this);\n c = prepareConfig(c);\n\n if (c._a) {\n var other = c._isUTC ? createUTC(c._a) : createLocal(c._a);\n this._isDSTShifted = this.isValid() &&\n compareArrays(c._a, other.toArray()) > 0;\n } else {\n this._isDSTShifted = false;\n }\n\n return this._isDSTShifted;\n }\n\n function isLocal () {\n return this.isValid() ? !this._isUTC : false;\n }\n\n function isUtcOffset () {\n return this.isValid() ? this._isUTC : false;\n }\n\n function isUtc () {\n return this.isValid() ? this._isUTC && this._offset === 0 : false;\n }\n\n // ASP.NET json date format regex\n var aspNetRegex = /^(\\-|\\+)?(?:(\\d*)[. ])?(\\d+)\\:(\\d+)(?:\\:(\\d+)(\\.\\d*)?)?$/;\n\n // from http://docs.closure-library.googlecode.com/git/closure_goog_date_date.js.source.html\n // somewhat more in line with 4.4.3.2 2004 spec, but allows decimal anywhere\n // and further modified to allow for strings containing both week and day\n var isoRegex = /^(-|\\+)?P(?:([-+]?[0-9,.]*)Y)?(?:([-+]?[0-9,.]*)M)?(?:([-+]?[0-9,.]*)W)?(?:([-+]?[0-9,.]*)D)?(?:T(?:([-+]?[0-9,.]*)H)?(?:([-+]?[0-9,.]*)M)?(?:([-+]?[0-9,.]*)S)?)?$/;\n\n function createDuration (input, key) {\n var duration = input,\n // matching against regexp is expensive, do it on demand\n match = null,\n sign,\n ret,\n diffRes;\n\n if (isDuration(input)) {\n duration = {\n ms : input._milliseconds,\n d : input._days,\n M : input._months\n };\n } else if (isNumber(input)) {\n duration = {};\n if (key) {\n duration[key] = input;\n } else {\n duration.milliseconds = input;\n }\n } else if (!!(match = aspNetRegex.exec(input))) {\n sign = (match[1] === '-') ? -1 : 1;\n duration = {\n y : 0,\n d : toInt(match[DATE]) * sign,\n h : toInt(match[HOUR]) * sign,\n m : toInt(match[MINUTE]) * sign,\n s : toInt(match[SECOND]) * sign,\n ms : toInt(absRound(match[MILLISECOND] * 1000)) * sign // the millisecond decimal point is included in the match\n };\n } else if (!!(match = isoRegex.exec(input))) {\n sign = (match[1] === '-') ? -1 : 1;\n duration = {\n y : parseIso(match[2], sign),\n M : parseIso(match[3], sign),\n w : parseIso(match[4], sign),\n d : parseIso(match[5], sign),\n h : parseIso(match[6], sign),\n m : parseIso(match[7], sign),\n s : parseIso(match[8], sign)\n };\n } else if (duration == null) {// checks for null or undefined\n duration = {};\n } else if (typeof duration === 'object' && ('from' in duration || 'to' in duration)) {\n diffRes = momentsDifference(createLocal(duration.from), createLocal(duration.to));\n\n duration = {};\n duration.ms = diffRes.milliseconds;\n duration.M = diffRes.months;\n }\n\n ret = new Duration(duration);\n\n if (isDuration(input) && hasOwnProp(input, '_locale')) {\n ret._locale = input._locale;\n }\n\n return ret;\n }\n\n createDuration.fn = Duration.prototype;\n createDuration.invalid = createInvalid$1;\n\n function parseIso (inp, sign) {\n // We'd normally use ~~inp for this, but unfortunately it also\n // converts floats to ints.\n // inp may be undefined, so careful calling replace on it.\n var res = inp && parseFloat(inp.replace(',', '.'));\n // apply sign while we're at it\n return (isNaN(res) ? 0 : res) * sign;\n }\n\n function positiveMomentsDifference(base, other) {\n var res = {};\n\n res.months = other.month() - base.month() +\n (other.year() - base.year()) * 12;\n if (base.clone().add(res.months, 'M').isAfter(other)) {\n --res.months;\n }\n\n res.milliseconds = +other - +(base.clone().add(res.months, 'M'));\n\n return res;\n }\n\n function momentsDifference(base, other) {\n var res;\n if (!(base.isValid() && other.isValid())) {\n return {milliseconds: 0, months: 0};\n }\n\n other = cloneWithOffset(other, base);\n if (base.isBefore(other)) {\n res = positiveMomentsDifference(base, other);\n } else {\n res = positiveMomentsDifference(other, base);\n res.milliseconds = -res.milliseconds;\n res.months = -res.months;\n }\n\n return res;\n }\n\n // TODO: remove 'name' arg after deprecation is removed\n function createAdder(direction, name) {\n return function (val, period) {\n var dur, tmp;\n //invert the arguments, but complain about it\n if (period !== null && !isNaN(+period)) {\n deprecateSimple(name, 'moment().' + name + '(period, number) is deprecated. Please use moment().' + name + '(number, period). ' +\n 'See http://momentjs.com/guides/#/warnings/add-inverted-param/ for more info.');\n tmp = val; val = period; period = tmp;\n }\n\n val = typeof val === 'string' ? +val : val;\n dur = createDuration(val, period);\n addSubtract(this, dur, direction);\n return this;\n };\n }\n\n function addSubtract (mom, duration, isAdding, updateOffset) {\n var milliseconds = duration._milliseconds,\n days = absRound(duration._days),\n months = absRound(duration._months);\n\n if (!mom.isValid()) {\n // No op\n return;\n }\n\n updateOffset = updateOffset == null ? true : updateOffset;\n\n if (months) {\n setMonth(mom, get(mom, 'Month') + months * isAdding);\n }\n if (days) {\n set$1(mom, 'Date', get(mom, 'Date') + days * isAdding);\n }\n if (milliseconds) {\n mom._d.setTime(mom._d.valueOf() + milliseconds * isAdding);\n }\n if (updateOffset) {\n hooks.updateOffset(mom, days || months);\n }\n }\n\n var add = createAdder(1, 'add');\n var subtract = createAdder(-1, 'subtract');\n\n function getCalendarFormat(myMoment, now) {\n var diff = myMoment.diff(now, 'days', true);\n return diff < -6 ? 'sameElse' :\n diff < -1 ? 'lastWeek' :\n diff < 0 ? 'lastDay' :\n diff < 1 ? 'sameDay' :\n diff < 2 ? 'nextDay' :\n diff < 7 ? 'nextWeek' : 'sameElse';\n }\n\n function calendar$1 (time, formats) {\n // We want to compare the start of today, vs this.\n // Getting start-of-today depends on whether we're local/utc/offset or not.\n var now = time || createLocal(),\n sod = cloneWithOffset(now, this).startOf('day'),\n format = hooks.calendarFormat(this, sod) || 'sameElse';\n\n var output = formats && (isFunction(formats[format]) ? formats[format].call(this, now) : formats[format]);\n\n return this.format(output || this.localeData().calendar(format, this, createLocal(now)));\n }\n\n function clone () {\n return new Moment(this);\n }\n\n function isAfter (input, units) {\n var localInput = isMoment(input) ? input : createLocal(input);\n if (!(this.isValid() && localInput.isValid())) {\n return false;\n }\n units = normalizeUnits(units) || 'millisecond';\n if (units === 'millisecond') {\n return this.valueOf() > localInput.valueOf();\n } else {\n return localInput.valueOf() < this.clone().startOf(units).valueOf();\n }\n }\n\n function isBefore (input, units) {\n var localInput = isMoment(input) ? input : createLocal(input);\n if (!(this.isValid() && localInput.isValid())) {\n return false;\n }\n units = normalizeUnits(units) || 'millisecond';\n if (units === 'millisecond') {\n return this.valueOf() < localInput.valueOf();\n } else {\n return this.clone().endOf(units).valueOf() < localInput.valueOf();\n }\n }\n\n function isBetween (from, to, units, inclusivity) {\n var localFrom = isMoment(from) ? from : createLocal(from),\n localTo = isMoment(to) ? to : createLocal(to);\n if (!(this.isValid() && localFrom.isValid() && localTo.isValid())) {\n return false;\n }\n inclusivity = inclusivity || '()';\n return (inclusivity[0] === '(' ? this.isAfter(localFrom, units) : !this.isBefore(localFrom, units)) &&\n (inclusivity[1] === ')' ? this.isBefore(localTo, units) : !this.isAfter(localTo, units));\n }\n\n function isSame (input, units) {\n var localInput = isMoment(input) ? input : createLocal(input),\n inputMs;\n if (!(this.isValid() && localInput.isValid())) {\n return false;\n }\n units = normalizeUnits(units) || 'millisecond';\n if (units === 'millisecond') {\n return this.valueOf() === localInput.valueOf();\n } else {\n inputMs = localInput.valueOf();\n return this.clone().startOf(units).valueOf() <= inputMs && inputMs <= this.clone().endOf(units).valueOf();\n }\n }\n\n function isSameOrAfter (input, units) {\n return this.isSame(input, units) || this.isAfter(input, units);\n }\n\n function isSameOrBefore (input, units) {\n return this.isSame(input, units) || this.isBefore(input, units);\n }\n\n function diff (input, units, asFloat) {\n var that,\n zoneDelta,\n output;\n\n if (!this.isValid()) {\n return NaN;\n }\n\n that = cloneWithOffset(input, this);\n\n if (!that.isValid()) {\n return NaN;\n }\n\n zoneDelta = (that.utcOffset() - this.utcOffset()) * 6e4;\n\n units = normalizeUnits(units);\n\n switch (units) {\n case 'year': output = monthDiff(this, that) / 12; break;\n case 'month': output = monthDiff(this, that); break;\n case 'quarter': output = monthDiff(this, that) / 3; break;\n case 'second': output = (this - that) / 1e3; break; // 1000\n case 'minute': output = (this - that) / 6e4; break; // 1000 * 60\n case 'hour': output = (this - that) / 36e5; break; // 1000 * 60 * 60\n case 'day': output = (this - that - zoneDelta) / 864e5; break; // 1000 * 60 * 60 * 24, negate dst\n case 'week': output = (this - that - zoneDelta) / 6048e5; break; // 1000 * 60 * 60 * 24 * 7, negate dst\n default: output = this - that;\n }\n\n return asFloat ? output : absFloor(output);\n }\n\n function monthDiff (a, b) {\n // difference in months\n var wholeMonthDiff = ((b.year() - a.year()) * 12) + (b.month() - a.month()),\n // b is in (anchor - 1 month, anchor + 1 month)\n anchor = a.clone().add(wholeMonthDiff, 'months'),\n anchor2, adjust;\n\n if (b - anchor < 0) {\n anchor2 = a.clone().add(wholeMonthDiff - 1, 'months');\n // linear across the month\n adjust = (b - anchor) / (anchor - anchor2);\n } else {\n anchor2 = a.clone().add(wholeMonthDiff + 1, 'months');\n // linear across the month\n adjust = (b - anchor) / (anchor2 - anchor);\n }\n\n //check for negative zero, return zero if negative zero\n return -(wholeMonthDiff + adjust) || 0;\n }\n\n hooks.defaultFormat = 'YYYY-MM-DDTHH:mm:ssZ';\n hooks.defaultFormatUtc = 'YYYY-MM-DDTHH:mm:ss[Z]';\n\n function toString () {\n return this.clone().locale('en').format('ddd MMM DD YYYY HH:mm:ss [GMT]ZZ');\n }\n\n function toISOString(keepOffset) {\n if (!this.isValid()) {\n return null;\n }\n var utc = keepOffset !== true;\n var m = utc ? this.clone().utc() : this;\n if (m.year() < 0 || m.year() > 9999) {\n return formatMoment(m, utc ? 'YYYYYY-MM-DD[T]HH:mm:ss.SSS[Z]' : 'YYYYYY-MM-DD[T]HH:mm:ss.SSSZ');\n }\n if (isFunction(Date.prototype.toISOString)) {\n // native implementation is ~50x faster, use it when we can\n if (utc) {\n return this.toDate().toISOString();\n } else {\n return new Date(this.valueOf() + this.utcOffset() * 60 * 1000).toISOString().replace('Z', formatMoment(m, 'Z'));\n }\n }\n return formatMoment(m, utc ? 'YYYY-MM-DD[T]HH:mm:ss.SSS[Z]' : 'YYYY-MM-DD[T]HH:mm:ss.SSSZ');\n }\n\n /**\n * Return a human readable representation of a moment that can\n * also be evaluated to get a new moment which is the same\n *\n * @link https://nodejs.org/dist/latest/docs/api/util.html#util_custom_inspect_function_on_objects\n */\n function inspect () {\n if (!this.isValid()) {\n return 'moment.invalid(/* ' + this._i + ' */)';\n }\n var func = 'moment';\n var zone = '';\n if (!this.isLocal()) {\n func = this.utcOffset() === 0 ? 'moment.utc' : 'moment.parseZone';\n zone = 'Z';\n }\n var prefix = '[' + func + '(\"]';\n var year = (0 <= this.year() && this.year() <= 9999) ? 'YYYY' : 'YYYYYY';\n var datetime = '-MM-DD[T]HH:mm:ss.SSS';\n var suffix = zone + '[\")]';\n\n return this.format(prefix + year + datetime + suffix);\n }\n\n function format (inputString) {\n if (!inputString) {\n inputString = this.isUtc() ? hooks.defaultFormatUtc : hooks.defaultFormat;\n }\n var output = formatMoment(this, inputString);\n return this.localeData().postformat(output);\n }\n\n function from (time, withoutSuffix) {\n if (this.isValid() &&\n ((isMoment(time) && time.isValid()) ||\n createLocal(time).isValid())) {\n return createDuration({to: this, from: time}).locale(this.locale()).humanize(!withoutSuffix);\n } else {\n return this.localeData().invalidDate();\n }\n }\n\n function fromNow (withoutSuffix) {\n return this.from(createLocal(), withoutSuffix);\n }\n\n function to (time, withoutSuffix) {\n if (this.isValid() &&\n ((isMoment(time) && time.isValid()) ||\n createLocal(time).isValid())) {\n return createDuration({from: this, to: time}).locale(this.locale()).humanize(!withoutSuffix);\n } else {\n return this.localeData().invalidDate();\n }\n }\n\n function toNow (withoutSuffix) {\n return this.to(createLocal(), withoutSuffix);\n }\n\n // If passed a locale key, it will set the locale for this\n // instance. Otherwise, it will return the locale configuration\n // variables for this instance.\n function locale (key) {\n var newLocaleData;\n\n if (key === undefined) {\n return this._locale._abbr;\n } else {\n newLocaleData = getLocale(key);\n if (newLocaleData != null) {\n this._locale = newLocaleData;\n }\n return this;\n }\n }\n\n var lang = deprecate(\n 'moment().lang() is deprecated. Instead, use moment().localeData() to get the language configuration. Use moment().locale() to change languages.',\n function (key) {\n if (key === undefined) {\n return this.localeData();\n } else {\n return this.locale(key);\n }\n }\n );\n\n function localeData () {\n return this._locale;\n }\n\n var MS_PER_SECOND = 1000;\n var MS_PER_MINUTE = 60 * MS_PER_SECOND;\n var MS_PER_HOUR = 60 * MS_PER_MINUTE;\n var MS_PER_400_YEARS = (365 * 400 + 97) * 24 * MS_PER_HOUR;\n\n // actual modulo - handles negative numbers (for dates before 1970):\n function mod$1(dividend, divisor) {\n return (dividend % divisor + divisor) % divisor;\n }\n\n function localStartOfDate(y, m, d) {\n // the date constructor remaps years 0-99 to 1900-1999\n if (y < 100 && y >= 0) {\n // preserve leap years using a full 400 year cycle, then reset\n return new Date(y + 400, m, d) - MS_PER_400_YEARS;\n } else {\n return new Date(y, m, d).valueOf();\n }\n }\n\n function utcStartOfDate(y, m, d) {\n // Date.UTC remaps years 0-99 to 1900-1999\n if (y < 100 && y >= 0) {\n // preserve leap years using a full 400 year cycle, then reset\n return Date.UTC(y + 400, m, d) - MS_PER_400_YEARS;\n } else {\n return Date.UTC(y, m, d);\n }\n }\n\n function startOf (units) {\n var time;\n units = normalizeUnits(units);\n if (units === undefined || units === 'millisecond' || !this.isValid()) {\n return this;\n }\n\n var startOfDate = this._isUTC ? utcStartOfDate : localStartOfDate;\n\n switch (units) {\n case 'year':\n time = startOfDate(this.year(), 0, 1);\n break;\n case 'quarter':\n time = startOfDate(this.year(), this.month() - this.month() % 3, 1);\n break;\n case 'month':\n time = startOfDate(this.year(), this.month(), 1);\n break;\n case 'week':\n time = startOfDate(this.year(), this.month(), this.date() - this.weekday());\n break;\n case 'isoWeek':\n time = startOfDate(this.year(), this.month(), this.date() - (this.isoWeekday() - 1));\n break;\n case 'day':\n case 'date':\n time = startOfDate(this.year(), this.month(), this.date());\n break;\n case 'hour':\n time = this._d.valueOf();\n time -= mod$1(time + (this._isUTC ? 0 : this.utcOffset() * MS_PER_MINUTE), MS_PER_HOUR);\n break;\n case 'minute':\n time = this._d.valueOf();\n time -= mod$1(time, MS_PER_MINUTE);\n break;\n case 'second':\n time = this._d.valueOf();\n time -= mod$1(time, MS_PER_SECOND);\n break;\n }\n\n this._d.setTime(time);\n hooks.updateOffset(this, true);\n return this;\n }\n\n function endOf (units) {\n var time;\n units = normalizeUnits(units);\n if (units === undefined || units === 'millisecond' || !this.isValid()) {\n return this;\n }\n\n var startOfDate = this._isUTC ? utcStartOfDate : localStartOfDate;\n\n switch (units) {\n case 'year':\n time = startOfDate(this.year() + 1, 0, 1) - 1;\n break;\n case 'quarter':\n time = startOfDate(this.year(), this.month() - this.month() % 3 + 3, 1) - 1;\n break;\n case 'month':\n time = startOfDate(this.year(), this.month() + 1, 1) - 1;\n break;\n case 'week':\n time = startOfDate(this.year(), this.month(), this.date() - this.weekday() + 7) - 1;\n break;\n case 'isoWeek':\n time = startOfDate(this.year(), this.month(), this.date() - (this.isoWeekday() - 1) + 7) - 1;\n break;\n case 'day':\n case 'date':\n time = startOfDate(this.year(), this.month(), this.date() + 1) - 1;\n break;\n case 'hour':\n time = this._d.valueOf();\n time += MS_PER_HOUR - mod$1(time + (this._isUTC ? 0 : this.utcOffset() * MS_PER_MINUTE), MS_PER_HOUR) - 1;\n break;\n case 'minute':\n time = this._d.valueOf();\n time += MS_PER_MINUTE - mod$1(time, MS_PER_MINUTE) - 1;\n break;\n case 'second':\n time = this._d.valueOf();\n time += MS_PER_SECOND - mod$1(time, MS_PER_SECOND) - 1;\n break;\n }\n\n this._d.setTime(time);\n hooks.updateOffset(this, true);\n return this;\n }\n\n function valueOf () {\n return this._d.valueOf() - ((this._offset || 0) * 60000);\n }\n\n function unix () {\n return Math.floor(this.valueOf() / 1000);\n }\n\n function toDate () {\n return new Date(this.valueOf());\n }\n\n function toArray () {\n var m = this;\n return [m.year(), m.month(), m.date(), m.hour(), m.minute(), m.second(), m.millisecond()];\n }\n\n function toObject () {\n var m = this;\n return {\n years: m.year(),\n months: m.month(),\n date: m.date(),\n hours: m.hours(),\n minutes: m.minutes(),\n seconds: m.seconds(),\n milliseconds: m.milliseconds()\n };\n }\n\n function toJSON () {\n // new Date(NaN).toJSON() === null\n return this.isValid() ? this.toISOString() : null;\n }\n\n function isValid$2 () {\n return isValid(this);\n }\n\n function parsingFlags () {\n return extend({}, getParsingFlags(this));\n }\n\n function invalidAt () {\n return getParsingFlags(this).overflow;\n }\n\n function creationData() {\n return {\n input: this._i,\n format: this._f,\n locale: this._locale,\n isUTC: this._isUTC,\n strict: this._strict\n };\n }\n\n // FORMATTING\n\n addFormatToken(0, ['gg', 2], 0, function () {\n return this.weekYear() % 100;\n });\n\n addFormatToken(0, ['GG', 2], 0, function () {\n return this.isoWeekYear() % 100;\n });\n\n function addWeekYearFormatToken (token, getter) {\n addFormatToken(0, [token, token.length], 0, getter);\n }\n\n addWeekYearFormatToken('gggg', 'weekYear');\n addWeekYearFormatToken('ggggg', 'weekYear');\n addWeekYearFormatToken('GGGG', 'isoWeekYear');\n addWeekYearFormatToken('GGGGG', 'isoWeekYear');\n\n // ALIASES\n\n addUnitAlias('weekYear', 'gg');\n addUnitAlias('isoWeekYear', 'GG');\n\n // PRIORITY\n\n addUnitPriority('weekYear', 1);\n addUnitPriority('isoWeekYear', 1);\n\n\n // PARSING\n\n addRegexToken('G', matchSigned);\n addRegexToken('g', matchSigned);\n addRegexToken('GG', match1to2, match2);\n addRegexToken('gg', match1to2, match2);\n addRegexToken('GGGG', match1to4, match4);\n addRegexToken('gggg', match1to4, match4);\n addRegexToken('GGGGG', match1to6, match6);\n addRegexToken('ggggg', match1to6, match6);\n\n addWeekParseToken(['gggg', 'ggggg', 'GGGG', 'GGGGG'], function (input, week, config, token) {\n week[token.substr(0, 2)] = toInt(input);\n });\n\n addWeekParseToken(['gg', 'GG'], function (input, week, config, token) {\n week[token] = hooks.parseTwoDigitYear(input);\n });\n\n // MOMENTS\n\n function getSetWeekYear (input) {\n return getSetWeekYearHelper.call(this,\n input,\n this.week(),\n this.weekday(),\n this.localeData()._week.dow,\n this.localeData()._week.doy);\n }\n\n function getSetISOWeekYear (input) {\n return getSetWeekYearHelper.call(this,\n input, this.isoWeek(), this.isoWeekday(), 1, 4);\n }\n\n function getISOWeeksInYear () {\n return weeksInYear(this.year(), 1, 4);\n }\n\n function getWeeksInYear () {\n var weekInfo = this.localeData()._week;\n return weeksInYear(this.year(), weekInfo.dow, weekInfo.doy);\n }\n\n function getSetWeekYearHelper(input, week, weekday, dow, doy) {\n var weeksTarget;\n if (input == null) {\n return weekOfYear(this, dow, doy).year;\n } else {\n weeksTarget = weeksInYear(input, dow, doy);\n if (week > weeksTarget) {\n week = weeksTarget;\n }\n return setWeekAll.call(this, input, week, weekday, dow, doy);\n }\n }\n\n function setWeekAll(weekYear, week, weekday, dow, doy) {\n var dayOfYearData = dayOfYearFromWeeks(weekYear, week, weekday, dow, doy),\n date = createUTCDate(dayOfYearData.year, 0, dayOfYearData.dayOfYear);\n\n this.year(date.getUTCFullYear());\n this.month(date.getUTCMonth());\n this.date(date.getUTCDate());\n return this;\n }\n\n // FORMATTING\n\n addFormatToken('Q', 0, 'Qo', 'quarter');\n\n // ALIASES\n\n addUnitAlias('quarter', 'Q');\n\n // PRIORITY\n\n addUnitPriority('quarter', 7);\n\n // PARSING\n\n addRegexToken('Q', match1);\n addParseToken('Q', function (input, array) {\n array[MONTH] = (toInt(input) - 1) * 3;\n });\n\n // MOMENTS\n\n function getSetQuarter (input) {\n return input == null ? Math.ceil((this.month() + 1) / 3) : this.month((input - 1) * 3 + this.month() % 3);\n }\n\n // FORMATTING\n\n addFormatToken('D', ['DD', 2], 'Do', 'date');\n\n // ALIASES\n\n addUnitAlias('date', 'D');\n\n // PRIORITY\n addUnitPriority('date', 9);\n\n // PARSING\n\n addRegexToken('D', match1to2);\n addRegexToken('DD', match1to2, match2);\n addRegexToken('Do', function (isStrict, locale) {\n // TODO: Remove \"ordinalParse\" fallback in next major release.\n return isStrict ?\n (locale._dayOfMonthOrdinalParse || locale._ordinalParse) :\n locale._dayOfMonthOrdinalParseLenient;\n });\n\n addParseToken(['D', 'DD'], DATE);\n addParseToken('Do', function (input, array) {\n array[DATE] = toInt(input.match(match1to2)[0]);\n });\n\n // MOMENTS\n\n var getSetDayOfMonth = makeGetSet('Date', true);\n\n // FORMATTING\n\n addFormatToken('DDD', ['DDDD', 3], 'DDDo', 'dayOfYear');\n\n // ALIASES\n\n addUnitAlias('dayOfYear', 'DDD');\n\n // PRIORITY\n addUnitPriority('dayOfYear', 4);\n\n // PARSING\n\n addRegexToken('DDD', match1to3);\n addRegexToken('DDDD', match3);\n addParseToken(['DDD', 'DDDD'], function (input, array, config) {\n config._dayOfYear = toInt(input);\n });\n\n // HELPERS\n\n // MOMENTS\n\n function getSetDayOfYear (input) {\n var dayOfYear = Math.round((this.clone().startOf('day') - this.clone().startOf('year')) / 864e5) + 1;\n return input == null ? dayOfYear : this.add((input - dayOfYear), 'd');\n }\n\n // FORMATTING\n\n addFormatToken('m', ['mm', 2], 0, 'minute');\n\n // ALIASES\n\n addUnitAlias('minute', 'm');\n\n // PRIORITY\n\n addUnitPriority('minute', 14);\n\n // PARSING\n\n addRegexToken('m', match1to2);\n addRegexToken('mm', match1to2, match2);\n addParseToken(['m', 'mm'], MINUTE);\n\n // MOMENTS\n\n var getSetMinute = makeGetSet('Minutes', false);\n\n // FORMATTING\n\n addFormatToken('s', ['ss', 2], 0, 'second');\n\n // ALIASES\n\n addUnitAlias('second', 's');\n\n // PRIORITY\n\n addUnitPriority('second', 15);\n\n // PARSING\n\n addRegexToken('s', match1to2);\n addRegexToken('ss', match1to2, match2);\n addParseToken(['s', 'ss'], SECOND);\n\n // MOMENTS\n\n var getSetSecond = makeGetSet('Seconds', false);\n\n // FORMATTING\n\n addFormatToken('S', 0, 0, function () {\n return ~~(this.millisecond() / 100);\n });\n\n addFormatToken(0, ['SS', 2], 0, function () {\n return ~~(this.millisecond() / 10);\n });\n\n addFormatToken(0, ['SSS', 3], 0, 'millisecond');\n addFormatToken(0, ['SSSS', 4], 0, function () {\n return this.millisecond() * 10;\n });\n addFormatToken(0, ['SSSSS', 5], 0, function () {\n return this.millisecond() * 100;\n });\n addFormatToken(0, ['SSSSSS', 6], 0, function () {\n return this.millisecond() * 1000;\n });\n addFormatToken(0, ['SSSSSSS', 7], 0, function () {\n return this.millisecond() * 10000;\n });\n addFormatToken(0, ['SSSSSSSS', 8], 0, function () {\n return this.millisecond() * 100000;\n });\n addFormatToken(0, ['SSSSSSSSS', 9], 0, function () {\n return this.millisecond() * 1000000;\n });\n\n\n // ALIASES\n\n addUnitAlias('millisecond', 'ms');\n\n // PRIORITY\n\n addUnitPriority('millisecond', 16);\n\n // PARSING\n\n addRegexToken('S', match1to3, match1);\n addRegexToken('SS', match1to3, match2);\n addRegexToken('SSS', match1to3, match3);\n\n var token;\n for (token = 'SSSS'; token.length <= 9; token += 'S') {\n addRegexToken(token, matchUnsigned);\n }\n\n function parseMs(input, array) {\n array[MILLISECOND] = toInt(('0.' + input) * 1000);\n }\n\n for (token = 'S'; token.length <= 9; token += 'S') {\n addParseToken(token, parseMs);\n }\n // MOMENTS\n\n var getSetMillisecond = makeGetSet('Milliseconds', false);\n\n // FORMATTING\n\n addFormatToken('z', 0, 0, 'zoneAbbr');\n addFormatToken('zz', 0, 0, 'zoneName');\n\n // MOMENTS\n\n function getZoneAbbr () {\n return this._isUTC ? 'UTC' : '';\n }\n\n function getZoneName () {\n return this._isUTC ? 'Coordinated Universal Time' : '';\n }\n\n var proto = Moment.prototype;\n\n proto.add = add;\n proto.calendar = calendar$1;\n proto.clone = clone;\n proto.diff = diff;\n proto.endOf = endOf;\n proto.format = format;\n proto.from = from;\n proto.fromNow = fromNow;\n proto.to = to;\n proto.toNow = toNow;\n proto.get = stringGet;\n proto.invalidAt = invalidAt;\n proto.isAfter = isAfter;\n proto.isBefore = isBefore;\n proto.isBetween = isBetween;\n proto.isSame = isSame;\n proto.isSameOrAfter = isSameOrAfter;\n proto.isSameOrBefore = isSameOrBefore;\n proto.isValid = isValid$2;\n proto.lang = lang;\n proto.locale = locale;\n proto.localeData = localeData;\n proto.max = prototypeMax;\n proto.min = prototypeMin;\n proto.parsingFlags = parsingFlags;\n proto.set = stringSet;\n proto.startOf = startOf;\n proto.subtract = subtract;\n proto.toArray = toArray;\n proto.toObject = toObject;\n proto.toDate = toDate;\n proto.toISOString = toISOString;\n proto.inspect = inspect;\n proto.toJSON = toJSON;\n proto.toString = toString;\n proto.unix = unix;\n proto.valueOf = valueOf;\n proto.creationData = creationData;\n proto.year = getSetYear;\n proto.isLeapYear = getIsLeapYear;\n proto.weekYear = getSetWeekYear;\n proto.isoWeekYear = getSetISOWeekYear;\n proto.quarter = proto.quarters = getSetQuarter;\n proto.month = getSetMonth;\n proto.daysInMonth = getDaysInMonth;\n proto.week = proto.weeks = getSetWeek;\n proto.isoWeek = proto.isoWeeks = getSetISOWeek;\n proto.weeksInYear = getWeeksInYear;\n proto.isoWeeksInYear = getISOWeeksInYear;\n proto.date = getSetDayOfMonth;\n proto.day = proto.days = getSetDayOfWeek;\n proto.weekday = getSetLocaleDayOfWeek;\n proto.isoWeekday = getSetISODayOfWeek;\n proto.dayOfYear = getSetDayOfYear;\n proto.hour = proto.hours = getSetHour;\n proto.minute = proto.minutes = getSetMinute;\n proto.second = proto.seconds = getSetSecond;\n proto.millisecond = proto.milliseconds = getSetMillisecond;\n proto.utcOffset = getSetOffset;\n proto.utc = setOffsetToUTC;\n proto.local = setOffsetToLocal;\n proto.parseZone = setOffsetToParsedOffset;\n proto.hasAlignedHourOffset = hasAlignedHourOffset;\n proto.isDST = isDaylightSavingTime;\n proto.isLocal = isLocal;\n proto.isUtcOffset = isUtcOffset;\n proto.isUtc = isUtc;\n proto.isUTC = isUtc;\n proto.zoneAbbr = getZoneAbbr;\n proto.zoneName = getZoneName;\n proto.dates = deprecate('dates accessor is deprecated. Use date instead.', getSetDayOfMonth);\n proto.months = deprecate('months accessor is deprecated. Use month instead', getSetMonth);\n proto.years = deprecate('years accessor is deprecated. Use year instead', getSetYear);\n proto.zone = deprecate('moment().zone is deprecated, use moment().utcOffset instead. http://momentjs.com/guides/#/warnings/zone/', getSetZone);\n proto.isDSTShifted = deprecate('isDSTShifted is deprecated. See http://momentjs.com/guides/#/warnings/dst-shifted/ for more information', isDaylightSavingTimeShifted);\n\n function createUnix (input) {\n return createLocal(input * 1000);\n }\n\n function createInZone () {\n return createLocal.apply(null, arguments).parseZone();\n }\n\n function preParsePostFormat (string) {\n return string;\n }\n\n var proto$1 = Locale.prototype;\n\n proto$1.calendar = calendar;\n proto$1.longDateFormat = longDateFormat;\n proto$1.invalidDate = invalidDate;\n proto$1.ordinal = ordinal;\n proto$1.preparse = preParsePostFormat;\n proto$1.postformat = preParsePostFormat;\n proto$1.relativeTime = relativeTime;\n proto$1.pastFuture = pastFuture;\n proto$1.set = set;\n\n proto$1.months = localeMonths;\n proto$1.monthsShort = localeMonthsShort;\n proto$1.monthsParse = localeMonthsParse;\n proto$1.monthsRegex = monthsRegex;\n proto$1.monthsShortRegex = monthsShortRegex;\n proto$1.week = localeWeek;\n proto$1.firstDayOfYear = localeFirstDayOfYear;\n proto$1.firstDayOfWeek = localeFirstDayOfWeek;\n\n proto$1.weekdays = localeWeekdays;\n proto$1.weekdaysMin = localeWeekdaysMin;\n proto$1.weekdaysShort = localeWeekdaysShort;\n proto$1.weekdaysParse = localeWeekdaysParse;\n\n proto$1.weekdaysRegex = weekdaysRegex;\n proto$1.weekdaysShortRegex = weekdaysShortRegex;\n proto$1.weekdaysMinRegex = weekdaysMinRegex;\n\n proto$1.isPM = localeIsPM;\n proto$1.meridiem = localeMeridiem;\n\n function get$1 (format, index, field, setter) {\n var locale = getLocale();\n var utc = createUTC().set(setter, index);\n return locale[field](utc, format);\n }\n\n function listMonthsImpl (format, index, field) {\n if (isNumber(format)) {\n index = format;\n format = undefined;\n }\n\n format = format || '';\n\n if (index != null) {\n return get$1(format, index, field, 'month');\n }\n\n var i;\n var out = [];\n for (i = 0; i < 12; i++) {\n out[i] = get$1(format, i, field, 'month');\n }\n return out;\n }\n\n // ()\n // (5)\n // (fmt, 5)\n // (fmt)\n // (true)\n // (true, 5)\n // (true, fmt, 5)\n // (true, fmt)\n function listWeekdaysImpl (localeSorted, format, index, field) {\n if (typeof localeSorted === 'boolean') {\n if (isNumber(format)) {\n index = format;\n format = undefined;\n }\n\n format = format || '';\n } else {\n format = localeSorted;\n index = format;\n localeSorted = false;\n\n if (isNumber(format)) {\n index = format;\n format = undefined;\n }\n\n format = format || '';\n }\n\n var locale = getLocale(),\n shift = localeSorted ? locale._week.dow : 0;\n\n if (index != null) {\n return get$1(format, (index + shift) % 7, field, 'day');\n }\n\n var i;\n var out = [];\n for (i = 0; i < 7; i++) {\n out[i] = get$1(format, (i + shift) % 7, field, 'day');\n }\n return out;\n }\n\n function listMonths (format, index) {\n return listMonthsImpl(format, index, 'months');\n }\n\n function listMonthsShort (format, index) {\n return listMonthsImpl(format, index, 'monthsShort');\n }\n\n function listWeekdays (localeSorted, format, index) {\n return listWeekdaysImpl(localeSorted, format, index, 'weekdays');\n }\n\n function listWeekdaysShort (localeSorted, format, index) {\n return listWeekdaysImpl(localeSorted, format, index, 'weekdaysShort');\n }\n\n function listWeekdaysMin (localeSorted, format, index) {\n return listWeekdaysImpl(localeSorted, format, index, 'weekdaysMin');\n }\n\n getSetGlobalLocale('en', {\n dayOfMonthOrdinalParse: /\\d{1,2}(th|st|nd|rd)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (toInt(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n }\n });\n\n // Side effect imports\n\n hooks.lang = deprecate('moment.lang is deprecated. Use moment.locale instead.', getSetGlobalLocale);\n hooks.langData = deprecate('moment.langData is deprecated. Use moment.localeData instead.', getLocale);\n\n var mathAbs = Math.abs;\n\n function abs () {\n var data = this._data;\n\n this._milliseconds = mathAbs(this._milliseconds);\n this._days = mathAbs(this._days);\n this._months = mathAbs(this._months);\n\n data.milliseconds = mathAbs(data.milliseconds);\n data.seconds = mathAbs(data.seconds);\n data.minutes = mathAbs(data.minutes);\n data.hours = mathAbs(data.hours);\n data.months = mathAbs(data.months);\n data.years = mathAbs(data.years);\n\n return this;\n }\n\n function addSubtract$1 (duration, input, value, direction) {\n var other = createDuration(input, value);\n\n duration._milliseconds += direction * other._milliseconds;\n duration._days += direction * other._days;\n duration._months += direction * other._months;\n\n return duration._bubble();\n }\n\n // supports only 2.0-style add(1, 's') or add(duration)\n function add$1 (input, value) {\n return addSubtract$1(this, input, value, 1);\n }\n\n // supports only 2.0-style subtract(1, 's') or subtract(duration)\n function subtract$1 (input, value) {\n return addSubtract$1(this, input, value, -1);\n }\n\n function absCeil (number) {\n if (number < 0) {\n return Math.floor(number);\n } else {\n return Math.ceil(number);\n }\n }\n\n function bubble () {\n var milliseconds = this._milliseconds;\n var days = this._days;\n var months = this._months;\n var data = this._data;\n var seconds, minutes, hours, years, monthsFromDays;\n\n // if we have a mix of positive and negative values, bubble down first\n // check: https://github.com/moment/moment/issues/2166\n if (!((milliseconds >= 0 && days >= 0 && months >= 0) ||\n (milliseconds <= 0 && days <= 0 && months <= 0))) {\n milliseconds += absCeil(monthsToDays(months) + days) * 864e5;\n days = 0;\n months = 0;\n }\n\n // The following code bubbles up values, see the tests for\n // examples of what that means.\n data.milliseconds = milliseconds % 1000;\n\n seconds = absFloor(milliseconds / 1000);\n data.seconds = seconds % 60;\n\n minutes = absFloor(seconds / 60);\n data.minutes = minutes % 60;\n\n hours = absFloor(minutes / 60);\n data.hours = hours % 24;\n\n days += absFloor(hours / 24);\n\n // convert days to months\n monthsFromDays = absFloor(daysToMonths(days));\n months += monthsFromDays;\n days -= absCeil(monthsToDays(monthsFromDays));\n\n // 12 months -> 1 year\n years = absFloor(months / 12);\n months %= 12;\n\n data.days = days;\n data.months = months;\n data.years = years;\n\n return this;\n }\n\n function daysToMonths (days) {\n // 400 years have 146097 days (taking into account leap year rules)\n // 400 years have 12 months === 4800\n return days * 4800 / 146097;\n }\n\n function monthsToDays (months) {\n // the reverse of daysToMonths\n return months * 146097 / 4800;\n }\n\n function as (units) {\n if (!this.isValid()) {\n return NaN;\n }\n var days;\n var months;\n var milliseconds = this._milliseconds;\n\n units = normalizeUnits(units);\n\n if (units === 'month' || units === 'quarter' || units === 'year') {\n days = this._days + milliseconds / 864e5;\n months = this._months + daysToMonths(days);\n switch (units) {\n case 'month': return months;\n case 'quarter': return months / 3;\n case 'year': return months / 12;\n }\n } else {\n // handle milliseconds separately because of floating point math errors (issue #1867)\n days = this._days + Math.round(monthsToDays(this._months));\n switch (units) {\n case 'week' : return days / 7 + milliseconds / 6048e5;\n case 'day' : return days + milliseconds / 864e5;\n case 'hour' : return days * 24 + milliseconds / 36e5;\n case 'minute' : return days * 1440 + milliseconds / 6e4;\n case 'second' : return days * 86400 + milliseconds / 1000;\n // Math.floor prevents floating point math errors here\n case 'millisecond': return Math.floor(days * 864e5) + milliseconds;\n default: throw new Error('Unknown unit ' + units);\n }\n }\n }\n\n // TODO: Use this.as('ms')?\n function valueOf$1 () {\n if (!this.isValid()) {\n return NaN;\n }\n return (\n this._milliseconds +\n this._days * 864e5 +\n (this._months % 12) * 2592e6 +\n toInt(this._months / 12) * 31536e6\n );\n }\n\n function makeAs (alias) {\n return function () {\n return this.as(alias);\n };\n }\n\n var asMilliseconds = makeAs('ms');\n var asSeconds = makeAs('s');\n var asMinutes = makeAs('m');\n var asHours = makeAs('h');\n var asDays = makeAs('d');\n var asWeeks = makeAs('w');\n var asMonths = makeAs('M');\n var asQuarters = makeAs('Q');\n var asYears = makeAs('y');\n\n function clone$1 () {\n return createDuration(this);\n }\n\n function get$2 (units) {\n units = normalizeUnits(units);\n return this.isValid() ? this[units + 's']() : NaN;\n }\n\n function makeGetter(name) {\n return function () {\n return this.isValid() ? this._data[name] : NaN;\n };\n }\n\n var milliseconds = makeGetter('milliseconds');\n var seconds = makeGetter('seconds');\n var minutes = makeGetter('minutes');\n var hours = makeGetter('hours');\n var days = makeGetter('days');\n var months = makeGetter('months');\n var years = makeGetter('years');\n\n function weeks () {\n return absFloor(this.days() / 7);\n }\n\n var round = Math.round;\n var thresholds = {\n ss: 44, // a few seconds to seconds\n s : 45, // seconds to minute\n m : 45, // minutes to hour\n h : 22, // hours to day\n d : 26, // days to month\n M : 11 // months to year\n };\n\n // helper function for moment.fn.from, moment.fn.fromNow, and moment.duration.fn.humanize\n function substituteTimeAgo(string, number, withoutSuffix, isFuture, locale) {\n return locale.relativeTime(number || 1, !!withoutSuffix, string, isFuture);\n }\n\n function relativeTime$1 (posNegDuration, withoutSuffix, locale) {\n var duration = createDuration(posNegDuration).abs();\n var seconds = round(duration.as('s'));\n var minutes = round(duration.as('m'));\n var hours = round(duration.as('h'));\n var days = round(duration.as('d'));\n var months = round(duration.as('M'));\n var years = round(duration.as('y'));\n\n var a = seconds <= thresholds.ss && ['s', seconds] ||\n seconds < thresholds.s && ['ss', seconds] ||\n minutes <= 1 && ['m'] ||\n minutes < thresholds.m && ['mm', minutes] ||\n hours <= 1 && ['h'] ||\n hours < thresholds.h && ['hh', hours] ||\n days <= 1 && ['d'] ||\n days < thresholds.d && ['dd', days] ||\n months <= 1 && ['M'] ||\n months < thresholds.M && ['MM', months] ||\n years <= 1 && ['y'] || ['yy', years];\n\n a[2] = withoutSuffix;\n a[3] = +posNegDuration > 0;\n a[4] = locale;\n return substituteTimeAgo.apply(null, a);\n }\n\n // This function allows you to set the rounding function for relative time strings\n function getSetRelativeTimeRounding (roundingFunction) {\n if (roundingFunction === undefined) {\n return round;\n }\n if (typeof(roundingFunction) === 'function') {\n round = roundingFunction;\n return true;\n }\n return false;\n }\n\n // This function allows you to set a threshold for relative time strings\n function getSetRelativeTimeThreshold (threshold, limit) {\n if (thresholds[threshold] === undefined) {\n return false;\n }\n if (limit === undefined) {\n return thresholds[threshold];\n }\n thresholds[threshold] = limit;\n if (threshold === 's') {\n thresholds.ss = limit - 1;\n }\n return true;\n }\n\n function humanize (withSuffix) {\n if (!this.isValid()) {\n return this.localeData().invalidDate();\n }\n\n var locale = this.localeData();\n var output = relativeTime$1(this, !withSuffix, locale);\n\n if (withSuffix) {\n output = locale.pastFuture(+this, output);\n }\n\n return locale.postformat(output);\n }\n\n var abs$1 = Math.abs;\n\n function sign(x) {\n return ((x > 0) - (x < 0)) || +x;\n }\n\n function toISOString$1() {\n // for ISO strings we do not use the normal bubbling rules:\n // * milliseconds bubble up until they become hours\n // * days do not bubble at all\n // * months bubble up until they become years\n // This is because there is no context-free conversion between hours and days\n // (think of clock changes)\n // and also not between days and months (28-31 days per month)\n if (!this.isValid()) {\n return this.localeData().invalidDate();\n }\n\n var seconds = abs$1(this._milliseconds) / 1000;\n var days = abs$1(this._days);\n var months = abs$1(this._months);\n var minutes, hours, years;\n\n // 3600 seconds -> 60 minutes -> 1 hour\n minutes = absFloor(seconds / 60);\n hours = absFloor(minutes / 60);\n seconds %= 60;\n minutes %= 60;\n\n // 12 months -> 1 year\n years = absFloor(months / 12);\n months %= 12;\n\n\n // inspired by https://github.com/dordille/moment-isoduration/blob/master/moment.isoduration.js\n var Y = years;\n var M = months;\n var D = days;\n var h = hours;\n var m = minutes;\n var s = seconds ? seconds.toFixed(3).replace(/\\.?0+$/, '') : '';\n var total = this.asSeconds();\n\n if (!total) {\n // this is the same as C#'s (Noda) and python (isodate)...\n // but not other JS (goog.date)\n return 'P0D';\n }\n\n var totalSign = total < 0 ? '-' : '';\n var ymSign = sign(this._months) !== sign(total) ? '-' : '';\n var daysSign = sign(this._days) !== sign(total) ? '-' : '';\n var hmsSign = sign(this._milliseconds) !== sign(total) ? '-' : '';\n\n return totalSign + 'P' +\n (Y ? ymSign + Y + 'Y' : '') +\n (M ? ymSign + M + 'M' : '') +\n (D ? daysSign + D + 'D' : '') +\n ((h || m || s) ? 'T' : '') +\n (h ? hmsSign + h + 'H' : '') +\n (m ? hmsSign + m + 'M' : '') +\n (s ? hmsSign + s + 'S' : '');\n }\n\n var proto$2 = Duration.prototype;\n\n proto$2.isValid = isValid$1;\n proto$2.abs = abs;\n proto$2.add = add$1;\n proto$2.subtract = subtract$1;\n proto$2.as = as;\n proto$2.asMilliseconds = asMilliseconds;\n proto$2.asSeconds = asSeconds;\n proto$2.asMinutes = asMinutes;\n proto$2.asHours = asHours;\n proto$2.asDays = asDays;\n proto$2.asWeeks = asWeeks;\n proto$2.asMonths = asMonths;\n proto$2.asQuarters = asQuarters;\n proto$2.asYears = asYears;\n proto$2.valueOf = valueOf$1;\n proto$2._bubble = bubble;\n proto$2.clone = clone$1;\n proto$2.get = get$2;\n proto$2.milliseconds = milliseconds;\n proto$2.seconds = seconds;\n proto$2.minutes = minutes;\n proto$2.hours = hours;\n proto$2.days = days;\n proto$2.weeks = weeks;\n proto$2.months = months;\n proto$2.years = years;\n proto$2.humanize = humanize;\n proto$2.toISOString = toISOString$1;\n proto$2.toString = toISOString$1;\n proto$2.toJSON = toISOString$1;\n proto$2.locale = locale;\n proto$2.localeData = localeData;\n\n proto$2.toIsoString = deprecate('toIsoString() is deprecated. Please use toISOString() instead (notice the capitals)', toISOString$1);\n proto$2.lang = lang;\n\n // Side effect imports\n\n // FORMATTING\n\n addFormatToken('X', 0, 0, 'unix');\n addFormatToken('x', 0, 0, 'valueOf');\n\n // PARSING\n\n addRegexToken('x', matchSigned);\n addRegexToken('X', matchTimestamp);\n addParseToken('X', function (input, array, config) {\n config._d = new Date(parseFloat(input, 10) * 1000);\n });\n addParseToken('x', function (input, array, config) {\n config._d = new Date(toInt(input));\n });\n\n // Side effect imports\n\n\n hooks.version = '2.24.0';\n\n setHookCallback(createLocal);\n\n hooks.fn = proto;\n hooks.min = min;\n hooks.max = max;\n hooks.now = now;\n hooks.utc = createUTC;\n hooks.unix = createUnix;\n hooks.months = listMonths;\n hooks.isDate = isDate;\n hooks.locale = getSetGlobalLocale;\n hooks.invalid = createInvalid;\n hooks.duration = createDuration;\n hooks.isMoment = isMoment;\n hooks.weekdays = listWeekdays;\n hooks.parseZone = createInZone;\n hooks.localeData = getLocale;\n hooks.isDuration = isDuration;\n hooks.monthsShort = listMonthsShort;\n hooks.weekdaysMin = listWeekdaysMin;\n hooks.defineLocale = defineLocale;\n hooks.updateLocale = updateLocale;\n hooks.locales = listLocales;\n hooks.weekdaysShort = listWeekdaysShort;\n hooks.normalizeUnits = normalizeUnits;\n hooks.relativeTimeRounding = getSetRelativeTimeRounding;\n hooks.relativeTimeThreshold = getSetRelativeTimeThreshold;\n hooks.calendarFormat = getCalendarFormat;\n hooks.prototype = proto;\n\n // currently HTML5 input type only supports 24-hour formats\n hooks.HTML5_FMT = {\n DATETIME_LOCAL: 'YYYY-MM-DDTHH:mm', // <input type=\"datetime-local\" />\n DATETIME_LOCAL_SECONDS: 'YYYY-MM-DDTHH:mm:ss', // <input type=\"datetime-local\" step=\"1\" />\n DATETIME_LOCAL_MS: 'YYYY-MM-DDTHH:mm:ss.SSS', // <input type=\"datetime-local\" step=\"0.001\" />\n DATE: 'YYYY-MM-DD', // <input type=\"date\" />\n TIME: 'HH:mm', // <input type=\"time\" />\n TIME_SECONDS: 'HH:mm:ss', // <input type=\"time\" step=\"1\" />\n TIME_MS: 'HH:mm:ss.SSS', // <input type=\"time\" step=\"0.001\" />\n WEEK: 'GGGG-[W]WW', // <input type=\"week\" />\n MONTH: 'YYYY-MM' // <input type=\"month\" />\n };\n\n return hooks;\n\n})));\n","// Utilities\n//\n'use strict';\n\n\nfunction _class(obj) { return Object.prototype.toString.call(obj); }\n\nfunction isString(obj) { return _class(obj) === '[object String]'; }\n\nvar _hasOwnProperty = Object.prototype.hasOwnProperty;\n\nfunction has(object, key) {\n return _hasOwnProperty.call(object, key);\n}\n\n// Merge objects\n//\nfunction assign(obj /*from1, from2, from3, ...*/) {\n var sources = Array.prototype.slice.call(arguments, 1);\n\n sources.forEach(function (source) {\n if (!source) { return; }\n\n if (typeof source !== 'object') {\n throw new TypeError(source + 'must be object');\n }\n\n Object.keys(source).forEach(function (key) {\n obj[key] = source[key];\n });\n });\n\n return obj;\n}\n\n// Remove element from array and put another array at those position.\n// Useful for some operations with tokens\nfunction arrayReplaceAt(src, pos, newElements) {\n return [].concat(src.slice(0, pos), newElements, src.slice(pos + 1));\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\nfunction isValidEntityCode(c) {\n /*eslint no-bitwise:0*/\n // broken sequence\n if (c >= 0xD800 && c <= 0xDFFF) { return false; }\n // never used\n if (c >= 0xFDD0 && c <= 0xFDEF) { return false; }\n if ((c & 0xFFFF) === 0xFFFF || (c & 0xFFFF) === 0xFFFE) { return false; }\n // control codes\n if (c >= 0x00 && c <= 0x08) { return false; }\n if (c === 0x0B) { return false; }\n if (c >= 0x0E && c <= 0x1F) { return false; }\n if (c >= 0x7F && c <= 0x9F) { return false; }\n // out of range\n if (c > 0x10FFFF) { return false; }\n return true;\n}\n\nfunction fromCodePoint(c) {\n /*eslint no-bitwise:0*/\n if (c > 0xffff) {\n c -= 0x10000;\n var surrogate1 = 0xd800 + (c >> 10),\n surrogate2 = 0xdc00 + (c & 0x3ff);\n\n return String.fromCharCode(surrogate1, surrogate2);\n }\n return String.fromCharCode(c);\n}\n\n\nvar UNESCAPE_MD_RE = /\\\\([!\"#$%&'()*+,\\-.\\/:;<=>?@[\\\\\\]^_`{|}~])/g;\nvar ENTITY_RE = /&([a-z#][a-z0-9]{1,31});/gi;\nvar UNESCAPE_ALL_RE = new RegExp(UNESCAPE_MD_RE.source + '|' + ENTITY_RE.source, 'gi');\n\nvar DIGITAL_ENTITY_TEST_RE = /^#((?:x[a-f0-9]{1,8}|[0-9]{1,8}))/i;\n\nvar entities = require('./entities');\n\nfunction replaceEntityPattern(match, name) {\n var code = 0;\n\n if (has(entities, name)) {\n return entities[name];\n }\n\n if (name.charCodeAt(0) === 0x23/* # */ && DIGITAL_ENTITY_TEST_RE.test(name)) {\n code = name[1].toLowerCase() === 'x' ?\n parseInt(name.slice(2), 16) : parseInt(name.slice(1), 10);\n\n if (isValidEntityCode(code)) {\n return fromCodePoint(code);\n }\n }\n\n return match;\n}\n\n/*function replaceEntities(str) {\n if (str.indexOf('&') < 0) { return str; }\n\n return str.replace(ENTITY_RE, replaceEntityPattern);\n}*/\n\nfunction unescapeMd(str) {\n if (str.indexOf('\\\\') < 0) { return str; }\n return str.replace(UNESCAPE_MD_RE, '$1');\n}\n\nfunction unescapeAll(str) {\n if (str.indexOf('\\\\') < 0 && str.indexOf('&') < 0) { return str; }\n\n return str.replace(UNESCAPE_ALL_RE, function (match, escaped, entity) {\n if (escaped) { return escaped; }\n return replaceEntityPattern(match, entity);\n });\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\nvar HTML_ESCAPE_TEST_RE = /[&<>\"]/;\nvar HTML_ESCAPE_REPLACE_RE = /[&<>\"]/g;\nvar HTML_REPLACEMENTS = {\n '&': '&amp;',\n '<': '&lt;',\n '>': '&gt;',\n '\"': '&quot;'\n};\n\nfunction replaceUnsafeChar(ch) {\n return HTML_REPLACEMENTS[ch];\n}\n\nfunction escapeHtml(str) {\n if (HTML_ESCAPE_TEST_RE.test(str)) {\n return str.replace(HTML_ESCAPE_REPLACE_RE, replaceUnsafeChar);\n }\n return str;\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\nvar REGEXP_ESCAPE_RE = /[.?*+^$[\\]\\\\(){}|-]/g;\n\nfunction escapeRE(str) {\n return str.replace(REGEXP_ESCAPE_RE, '\\\\$&');\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\nfunction isSpace(code) {\n switch (code) {\n case 0x09:\n case 0x20:\n return true;\n }\n return false;\n}\n\n// Zs (unicode class) || [\\t\\f\\v\\r\\n]\nfunction isWhiteSpace(code) {\n if (code >= 0x2000 && code <= 0x200A) { return true; }\n switch (code) {\n case 0x09: // \\t\n case 0x0A: // \\n\n case 0x0B: // \\v\n case 0x0C: // \\f\n case 0x0D: // \\r\n case 0x20:\n case 0xA0:\n case 0x1680:\n case 0x202F:\n case 0x205F:\n case 0x3000:\n return true;\n }\n return false;\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\n/*eslint-disable max-len*/\nvar UNICODE_PUNCT_RE = require('uc.micro/categories/P/regex');\n\n// Currently without astral characters support.\nfunction isPunctChar(ch) {\n return UNICODE_PUNCT_RE.test(ch);\n}\n\n\n// Markdown ASCII punctuation characters.\n//\n// !, \", #, $, %, &, ', (, ), *, +, ,, -, ., /, :, ;, <, =, >, ?, @, [, \\, ], ^, _, `, {, |, }, or ~\n// http://spec.commonmark.org/0.15/#ascii-punctuation-character\n//\n// Don't confuse with unicode punctuation !!! It lacks some chars in ascii range.\n//\nfunction isMdAsciiPunct(ch) {\n switch (ch) {\n case 0x21/* ! */:\n case 0x22/* \" */:\n case 0x23/* # */:\n case 0x24/* $ */:\n case 0x25/* % */:\n case 0x26/* & */:\n case 0x27/* ' */:\n case 0x28/* ( */:\n case 0x29/* ) */:\n case 0x2A/* * */:\n case 0x2B/* + */:\n case 0x2C/* , */:\n case 0x2D/* - */:\n case 0x2E/* . */:\n case 0x2F/* / */:\n case 0x3A/* : */:\n case 0x3B/* ; */:\n case 0x3C/* < */:\n case 0x3D/* = */:\n case 0x3E/* > */:\n case 0x3F/* ? */:\n case 0x40/* @ */:\n case 0x5B/* [ */:\n case 0x5C/* \\ */:\n case 0x5D/* ] */:\n case 0x5E/* ^ */:\n case 0x5F/* _ */:\n case 0x60/* ` */:\n case 0x7B/* { */:\n case 0x7C/* | */:\n case 0x7D/* } */:\n case 0x7E/* ~ */:\n return true;\n default:\n return false;\n }\n}\n\n// Hepler to unify [reference labels].\n//\nfunction normalizeReference(str) {\n // Trim and collapse whitespace\n //\n str = str.trim().replace(/\\s+/g, ' ');\n\n // In node v10 'ẞ'.toLowerCase() === 'Ṿ', which is presumed to be a bug\n // fixed in v12 (couldn't find any details).\n //\n // So treat this one as a special case\n // (remove this when node v10 is no longer supported).\n //\n if ('ẞ'.toLowerCase() === 'Ṿ') {\n str = str.replace(/ẞ/g, 'ß');\n }\n\n // .toLowerCase().toUpperCase() should get rid of all differences\n // between letter variants.\n //\n // Simple .toLowerCase() doesn't normalize 125 code points correctly,\n // and .toUpperCase doesn't normalize 6 of them (list of exceptions:\n // İ, ϴ, ẞ, Ω, K, Å - those are already uppercased, but have differently\n // uppercased versions).\n //\n // Here's an example showing how it happens. Lets take greek letter omega:\n // uppercase U+0398 (Θ), U+03f4 (ϴ) and lowercase U+03b8 (θ), U+03d1 (ϑ)\n //\n // Unicode entries:\n // 0398;GREEK CAPITAL LETTER THETA;Lu;0;L;;;;;N;;;;03B8;\n // 03B8;GREEK SMALL LETTER THETA;Ll;0;L;;;;;N;;;0398;;0398\n // 03D1;GREEK THETA SYMBOL;Ll;0;L;<compat> 03B8;;;;N;GREEK SMALL LETTER SCRIPT THETA;;0398;;0398\n // 03F4;GREEK CAPITAL THETA SYMBOL;Lu;0;L;<compat> 0398;;;;N;;;;03B8;\n //\n // Case-insensitive comparison should treat all of them as equivalent.\n //\n // But .toLowerCase() doesn't change ϑ (it's already lowercase),\n // and .toUpperCase() doesn't change ϴ (already uppercase).\n //\n // Applying first lower then upper case normalizes any character:\n // '\\u0398\\u03f4\\u03b8\\u03d1'.toLowerCase().toUpperCase() === '\\u0398\\u0398\\u0398\\u0398'\n //\n // Note: this is equivalent to unicode case folding; unicode normalization\n // is a different step that is not required here.\n //\n // Final result should be uppercased, because it's later stored in an object\n // (this avoid a conflict with Object.prototype members,\n // most notably, `__proto__`)\n //\n return str.toLowerCase().toUpperCase();\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\n// Re-export libraries commonly used in both markdown-it and its plugins,\n// so plugins won't have to depend on them explicitly, which reduces their\n// bundled size (e.g. a browser build).\n//\nexports.lib = {};\nexports.lib.mdurl = require('mdurl');\nexports.lib.ucmicro = require('uc.micro');\n\nexports.assign = assign;\nexports.isString = isString;\nexports.has = has;\nexports.unescapeMd = unescapeMd;\nexports.unescapeAll = unescapeAll;\nexports.isValidEntityCode = isValidEntityCode;\nexports.fromCodePoint = fromCodePoint;\n// exports.replaceEntities = replaceEntities;\nexports.escapeHtml = escapeHtml;\nexports.arrayReplaceAt = arrayReplaceAt;\nexports.isSpace = isSpace;\nexports.isWhiteSpace = isWhiteSpace;\nexports.isMdAsciiPunct = isMdAsciiPunct;\nexports.isPunctChar = isPunctChar;\nexports.escapeRE = escapeRE;\nexports.normalizeReference = normalizeReference;\n","import { liftTarget, canJoin, joinPoint, canSplit, ReplaceAroundStep, findWrapping } from 'prosemirror-transform';\nimport { Fragment, Slice } from 'prosemirror-model';\nimport { NodeSelection, Selection, TextSelection, AllSelection } from 'prosemirror-state';\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Delete the selection, if there is one.\nfunction deleteSelection(state, dispatch) {\n if (state.selection.empty) { return false }\n if (dispatch) { dispatch(state.tr.deleteSelection().scrollIntoView()); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction), ?EditorView) → bool\n// If the selection is empty and at the start of a textblock, try to\n// reduce the distance between that block and the one before it—if\n// there's a block directly before it that can be joined, join them.\n// If not, try to move the selected block closer to the next one in\n// the document structure by lifting it out of its parent or moving it\n// into a parent of the previous block. Will use the view for accurate\n// (bidi-aware) start-of-textblock detection if given.\nfunction joinBackward(state, dispatch, view) {\n var ref = state.selection;\n var $cursor = ref.$cursor;\n if (!$cursor || (view ? !view.endOfTextblock(\"backward\", state)\n : $cursor.parentOffset > 0))\n { return false }\n\n var $cut = findCutBefore($cursor);\n\n // If there is no node before this, try to lift\n if (!$cut) {\n var range = $cursor.blockRange(), target = range && liftTarget(range);\n if (target == null) { return false }\n if (dispatch) { dispatch(state.tr.lift(range, target).scrollIntoView()); }\n return true\n }\n\n var before = $cut.nodeBefore;\n // Apply the joining algorithm\n if (!before.type.spec.isolating && deleteBarrier(state, $cut, dispatch))\n { return true }\n\n // If the node below has no content and the node above is\n // selectable, delete the node below and select the one above.\n if ($cursor.parent.content.size == 0 &&\n (textblockAt(before, \"end\") || NodeSelection.isSelectable(before))) {\n if (dispatch) {\n var tr = state.tr.deleteRange($cursor.before(), $cursor.after());\n tr.setSelection(textblockAt(before, \"end\") ? Selection.findFrom(tr.doc.resolve(tr.mapping.map($cut.pos, -1)), -1)\n : NodeSelection.create(tr.doc, $cut.pos - before.nodeSize));\n dispatch(tr.scrollIntoView());\n }\n return true\n }\n\n // If the node before is an atom, delete it\n if (before.isAtom && $cut.depth == $cursor.depth - 1) {\n if (dispatch) { dispatch(state.tr.delete($cut.pos - before.nodeSize, $cut.pos).scrollIntoView()); }\n return true\n }\n\n return false\n}\n\nfunction textblockAt(node, side) {\n for (; node; node = (side == \"start\" ? node.firstChild : node.lastChild))\n { if (node.isTextblock) { return true } }\n return false\n}\n\n// :: (EditorState, ?(tr: Transaction), ?EditorView) → bool\n// When the selection is empty and at the start of a textblock, select\n// the node before that textblock, if possible. This is intended to be\n// bound to keys like backspace, after\n// [`joinBackward`](#commands.joinBackward) or other deleting\n// commands, as a fall-back behavior when the schema doesn't allow\n// deletion at the selected point.\nfunction selectNodeBackward(state, dispatch, view) {\n var ref = state.selection;\n var $head = ref.$head;\n var empty = ref.empty;\n var $cut = $head;\n if (!empty) { return false }\n\n if ($head.parent.isTextblock) {\n if (view ? !view.endOfTextblock(\"backward\", state) : $head.parentOffset > 0) { return false }\n $cut = findCutBefore($head);\n }\n var node = $cut && $cut.nodeBefore;\n if (!node || !NodeSelection.isSelectable(node)) { return false }\n if (dispatch)\n { dispatch(state.tr.setSelection(NodeSelection.create(state.doc, $cut.pos - node.nodeSize)).scrollIntoView()); }\n return true\n}\n\nfunction findCutBefore($pos) {\n if (!$pos.parent.type.spec.isolating) { for (var i = $pos.depth - 1; i >= 0; i--) {\n if ($pos.index(i) > 0) { return $pos.doc.resolve($pos.before(i + 1)) }\n if ($pos.node(i).type.spec.isolating) { break }\n } }\n return null\n}\n\n// :: (EditorState, ?(tr: Transaction), ?EditorView) → bool\n// If the selection is empty and the cursor is at the end of a\n// textblock, try to reduce or remove the boundary between that block\n// and the one after it, either by joining them or by moving the other\n// block closer to this one in the tree structure. Will use the view\n// for accurate start-of-textblock detection if given.\nfunction joinForward(state, dispatch, view) {\n var ref = state.selection;\n var $cursor = ref.$cursor;\n if (!$cursor || (view ? !view.endOfTextblock(\"forward\", state)\n : $cursor.parentOffset < $cursor.parent.content.size))\n { return false }\n\n var $cut = findCutAfter($cursor);\n\n // If there is no node after this, there's nothing to do\n if (!$cut) { return false }\n\n var after = $cut.nodeAfter;\n // Try the joining algorithm\n if (deleteBarrier(state, $cut, dispatch)) { return true }\n\n // If the node above has no content and the node below is\n // selectable, delete the node above and select the one below.\n if ($cursor.parent.content.size == 0 &&\n (textblockAt(after, \"start\") || NodeSelection.isSelectable(after))) {\n if (dispatch) {\n var tr = state.tr.deleteRange($cursor.before(), $cursor.after());\n tr.setSelection(textblockAt(after, \"start\") ? Selection.findFrom(tr.doc.resolve(tr.mapping.map($cut.pos)), 1)\n : NodeSelection.create(tr.doc, tr.mapping.map($cut.pos)));\n dispatch(tr.scrollIntoView());\n }\n return true\n }\n\n // If the next node is an atom, delete it\n if (after.isAtom && $cut.depth == $cursor.depth - 1) {\n if (dispatch) { dispatch(state.tr.delete($cut.pos, $cut.pos + after.nodeSize).scrollIntoView()); }\n return true\n }\n\n return false\n}\n\n// :: (EditorState, ?(tr: Transaction), ?EditorView) → bool\n// When the selection is empty and at the end of a textblock, select\n// the node coming after that textblock, if possible. This is intended\n// to be bound to keys like delete, after\n// [`joinForward`](#commands.joinForward) and similar deleting\n// commands, to provide a fall-back behavior when the schema doesn't\n// allow deletion at the selected point.\nfunction selectNodeForward(state, dispatch, view) {\n var ref = state.selection;\n var $head = ref.$head;\n var empty = ref.empty;\n var $cut = $head;\n if (!empty) { return false }\n if ($head.parent.isTextblock) {\n if (view ? !view.endOfTextblock(\"forward\", state) : $head.parentOffset < $head.parent.content.size)\n { return false }\n $cut = findCutAfter($head);\n }\n var node = $cut && $cut.nodeAfter;\n if (!node || !NodeSelection.isSelectable(node)) { return false }\n if (dispatch)\n { dispatch(state.tr.setSelection(NodeSelection.create(state.doc, $cut.pos)).scrollIntoView()); }\n return true\n}\n\nfunction findCutAfter($pos) {\n if (!$pos.parent.type.spec.isolating) { for (var i = $pos.depth - 1; i >= 0; i--) {\n var parent = $pos.node(i);\n if ($pos.index(i) + 1 < parent.childCount) { return $pos.doc.resolve($pos.after(i + 1)) }\n if (parent.type.spec.isolating) { break }\n } }\n return null\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Join the selected block or, if there is a text selection, the\n// closest ancestor block of the selection that can be joined, with\n// the sibling above it.\nfunction joinUp(state, dispatch) {\n var sel = state.selection, nodeSel = sel instanceof NodeSelection, point;\n if (nodeSel) {\n if (sel.node.isTextblock || !canJoin(state.doc, sel.from)) { return false }\n point = sel.from;\n } else {\n point = joinPoint(state.doc, sel.from, -1);\n if (point == null) { return false }\n }\n if (dispatch) {\n var tr = state.tr.join(point);\n if (nodeSel) { tr.setSelection(NodeSelection.create(tr.doc, point - state.doc.resolve(point).nodeBefore.nodeSize)); }\n dispatch(tr.scrollIntoView());\n }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Join the selected block, or the closest ancestor of the selection\n// that can be joined, with the sibling after it.\nfunction joinDown(state, dispatch) {\n var sel = state.selection, point;\n if (sel instanceof NodeSelection) {\n if (sel.node.isTextblock || !canJoin(state.doc, sel.to)) { return false }\n point = sel.to;\n } else {\n point = joinPoint(state.doc, sel.to, 1);\n if (point == null) { return false }\n }\n if (dispatch)\n { dispatch(state.tr.join(point).scrollIntoView()); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Lift the selected block, or the closest ancestor block of the\n// selection that can be lifted, out of its parent node.\nfunction lift(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var range = $from.blockRange($to), target = range && liftTarget(range);\n if (target == null) { return false }\n if (dispatch) { dispatch(state.tr.lift(range, target).scrollIntoView()); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// If the selection is in a node whose type has a truthy\n// [`code`](#model.NodeSpec.code) property in its spec, replace the\n// selection with a newline character.\nfunction newlineInCode(state, dispatch) {\n var ref = state.selection;\n var $head = ref.$head;\n var $anchor = ref.$anchor;\n if (!$head.parent.type.spec.code || !$head.sameParent($anchor)) { return false }\n if (dispatch) { dispatch(state.tr.insertText(\"\\n\").scrollIntoView()); }\n return true\n}\n\nfunction defaultBlockAt(match) {\n for (var i = 0; i < match.edgeCount; i++) {\n var ref = match.edge(i);\n var type = ref.type;\n if (type.isTextblock && !type.hasRequiredAttrs()) { return type }\n }\n return null\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// When the selection is in a node with a truthy\n// [`code`](#model.NodeSpec.code) property in its spec, create a\n// default block after the code block, and move the cursor there.\nfunction exitCode(state, dispatch) {\n var ref = state.selection;\n var $head = ref.$head;\n var $anchor = ref.$anchor;\n if (!$head.parent.type.spec.code || !$head.sameParent($anchor)) { return false }\n var above = $head.node(-1), after = $head.indexAfter(-1), type = defaultBlockAt(above.contentMatchAt(after));\n if (!above.canReplaceWith(after, after, type)) { return false }\n if (dispatch) {\n var pos = $head.after(), tr = state.tr.replaceWith(pos, pos, type.createAndFill());\n tr.setSelection(Selection.near(tr.doc.resolve(pos), 1));\n dispatch(tr.scrollIntoView());\n }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// If a block node is selected, create an empty paragraph before (if\n// it is its parent's first child) or after it.\nfunction createParagraphNear(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n if ($from.parent.inlineContent || $to.parent.inlineContent) { return false }\n var type = defaultBlockAt($from.parent.contentMatchAt($to.indexAfter()));\n if (!type || !type.isTextblock) { return false }\n if (dispatch) {\n var side = (!$from.parentOffset && $to.index() < $to.parent.childCount ? $from : $to).pos;\n var tr = state.tr.insert(side, type.createAndFill());\n tr.setSelection(TextSelection.create(tr.doc, side + 1));\n dispatch(tr.scrollIntoView());\n }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// If the cursor is in an empty textblock that can be lifted, lift the\n// block.\nfunction liftEmptyBlock(state, dispatch) {\n var ref = state.selection;\n var $cursor = ref.$cursor;\n if (!$cursor || $cursor.parent.content.size) { return false }\n if ($cursor.depth > 1 && $cursor.after() != $cursor.end(-1)) {\n var before = $cursor.before();\n if (canSplit(state.doc, before)) {\n if (dispatch) { dispatch(state.tr.split(before).scrollIntoView()); }\n return true\n }\n }\n var range = $cursor.blockRange(), target = range && liftTarget(range);\n if (target == null) { return false }\n if (dispatch) { dispatch(state.tr.lift(range, target).scrollIntoView()); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Split the parent block of the selection. If the selection is a text\n// selection, also delete its content.\nfunction splitBlock(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n if (state.selection instanceof NodeSelection && state.selection.node.isBlock) {\n if (!$from.parentOffset || !canSplit(state.doc, $from.pos)) { return false }\n if (dispatch) { dispatch(state.tr.split($from.pos).scrollIntoView()); }\n return true\n }\n\n if (!$from.parent.isBlock) { return false }\n\n if (dispatch) {\n var atEnd = $to.parentOffset == $to.parent.content.size;\n var tr = state.tr;\n if (state.selection instanceof TextSelection) { tr.deleteSelection(); }\n var deflt = $from.depth == 0 ? null : defaultBlockAt($from.node(-1).contentMatchAt($from.indexAfter(-1)));\n var types = atEnd && deflt ? [{type: deflt}] : null;\n var can = canSplit(tr.doc, tr.mapping.map($from.pos), 1, types);\n if (!types && !can && canSplit(tr.doc, tr.mapping.map($from.pos), 1, deflt && [{type: deflt}])) {\n types = [{type: deflt}];\n can = true;\n }\n if (can) {\n tr.split(tr.mapping.map($from.pos), 1, types);\n if (!atEnd && !$from.parentOffset && $from.parent.type != deflt &&\n $from.node(-1).canReplace($from.index(-1), $from.indexAfter(-1), Fragment.from(deflt.create(), $from.parent)))\n { tr.setNodeMarkup(tr.mapping.map($from.before()), deflt); }\n }\n dispatch(tr.scrollIntoView());\n }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Acts like [`splitBlock`](#commands.splitBlock), but without\n// resetting the set of active marks at the cursor.\nfunction splitBlockKeepMarks(state, dispatch) {\n return splitBlock(state, dispatch && (function (tr) {\n var marks = state.storedMarks || (state.selection.$to.parentOffset && state.selection.$from.marks());\n if (marks) { tr.ensureMarks(marks); }\n dispatch(tr);\n }))\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Move the selection to the node wrapping the current selection, if\n// any. (Will not select the document node.)\nfunction selectParentNode(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var to = ref.to;\n var pos;\n var same = $from.sharedDepth(to);\n if (same == 0) { return false }\n pos = $from.before(same);\n if (dispatch) { dispatch(state.tr.setSelection(NodeSelection.create(state.doc, pos))); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Select the whole document.\nfunction selectAll(state, dispatch) {\n if (dispatch) { dispatch(state.tr.setSelection(new AllSelection(state.doc))); }\n return true\n}\n\nfunction joinMaybeClear(state, $pos, dispatch) {\n var before = $pos.nodeBefore, after = $pos.nodeAfter, index = $pos.index();\n if (!before || !after || !before.type.compatibleContent(after.type)) { return false }\n if (!before.content.size && $pos.parent.canReplace(index - 1, index)) {\n if (dispatch) { dispatch(state.tr.delete($pos.pos - before.nodeSize, $pos.pos).scrollIntoView()); }\n return true\n }\n if (!$pos.parent.canReplace(index, index + 1) || !(after.isTextblock || canJoin(state.doc, $pos.pos)))\n { return false }\n if (dispatch)\n { dispatch(state.tr\n .clearIncompatible($pos.pos, before.type, before.contentMatchAt(before.childCount))\n .join($pos.pos)\n .scrollIntoView()); }\n return true\n}\n\nfunction deleteBarrier(state, $cut, dispatch) {\n var before = $cut.nodeBefore, after = $cut.nodeAfter, conn, match;\n if (before.type.spec.isolating || after.type.spec.isolating) { return false }\n if (joinMaybeClear(state, $cut, dispatch)) { return true }\n\n if ($cut.parent.canReplace($cut.index(), $cut.index() + 1) &&\n (conn = (match = before.contentMatchAt(before.childCount)).findWrapping(after.type)) &&\n match.matchType(conn[0] || after.type).validEnd) {\n if (dispatch) {\n var end = $cut.pos + after.nodeSize, wrap = Fragment.empty;\n for (var i = conn.length - 1; i >= 0; i--)\n { wrap = Fragment.from(conn[i].create(null, wrap)); }\n wrap = Fragment.from(before.copy(wrap));\n var tr = state.tr.step(new ReplaceAroundStep($cut.pos - 1, end, $cut.pos, end, new Slice(wrap, 1, 0), conn.length, true));\n var joinAt = end + 2 * conn.length;\n if (canJoin(tr.doc, joinAt)) { tr.join(joinAt); }\n dispatch(tr.scrollIntoView());\n }\n return true\n }\n\n var selAfter = Selection.findFrom($cut, 1);\n var range = selAfter && selAfter.$from.blockRange(selAfter.$to), target = range && liftTarget(range);\n if (target != null && target >= $cut.depth) {\n if (dispatch) { dispatch(state.tr.lift(range, target).scrollIntoView()); }\n return true\n }\n\n return false\n}\n\n// Parameterized commands\n\n// :: (NodeType, ?Object) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Wrap the selection in a node of the given type with the given\n// attributes.\nfunction wrapIn(nodeType, attrs) {\n return function(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var range = $from.blockRange($to), wrapping = range && findWrapping(range, nodeType, attrs);\n if (!wrapping) { return false }\n if (dispatch) { dispatch(state.tr.wrap(range, wrapping).scrollIntoView()); }\n return true\n }\n}\n\n// :: (NodeType, ?Object) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Returns a command that tries to set the selected textblocks to the\n// given node type with the given attributes.\nfunction setBlockType(nodeType, attrs) {\n return function(state, dispatch) {\n var ref = state.selection;\n var from = ref.from;\n var to = ref.to;\n var applicable = false;\n state.doc.nodesBetween(from, to, function (node, pos) {\n if (applicable) { return false }\n if (!node.isTextblock || node.hasMarkup(nodeType, attrs)) { return }\n if (node.type == nodeType) {\n applicable = true;\n } else {\n var $pos = state.doc.resolve(pos), index = $pos.index();\n applicable = $pos.parent.canReplaceWith(index, index + 1, nodeType);\n }\n });\n if (!applicable) { return false }\n if (dispatch) { dispatch(state.tr.setBlockType(from, to, nodeType, attrs).scrollIntoView()); }\n return true\n }\n}\n\nfunction markApplies(doc, ranges, type) {\n var loop = function ( i ) {\n var ref = ranges[i];\n var $from = ref.$from;\n var $to = ref.$to;\n var can = $from.depth == 0 ? doc.type.allowsMarkType(type) : false;\n doc.nodesBetween($from.pos, $to.pos, function (node) {\n if (can) { return false }\n can = node.inlineContent && node.type.allowsMarkType(type);\n });\n if (can) { return { v: true } }\n };\n\n for (var i = 0; i < ranges.length; i++) {\n var returned = loop( i );\n\n if ( returned ) return returned.v;\n }\n return false\n}\n\n// :: (MarkType, ?Object) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Create a command function that toggles the given mark with the\n// given attributes. Will return `false` when the current selection\n// doesn't support that mark. This will remove the mark if any marks\n// of that type exist in the selection, or add it otherwise. If the\n// selection is empty, this applies to the [stored\n// marks](#state.EditorState.storedMarks) instead of a range of the\n// document.\nfunction toggleMark(markType, attrs) {\n return function(state, dispatch) {\n var ref = state.selection;\n var empty = ref.empty;\n var $cursor = ref.$cursor;\n var ranges = ref.ranges;\n if ((empty && !$cursor) || !markApplies(state.doc, ranges, markType)) { return false }\n if (dispatch) {\n if ($cursor) {\n if (markType.isInSet(state.storedMarks || $cursor.marks()))\n { dispatch(state.tr.removeStoredMark(markType)); }\n else\n { dispatch(state.tr.addStoredMark(markType.create(attrs))); }\n } else {\n var has = false, tr = state.tr;\n for (var i = 0; !has && i < ranges.length; i++) {\n var ref$1 = ranges[i];\n var $from = ref$1.$from;\n var $to = ref$1.$to;\n has = state.doc.rangeHasMark($from.pos, $to.pos, markType);\n }\n for (var i$1 = 0; i$1 < ranges.length; i$1++) {\n var ref$2 = ranges[i$1];\n var $from$1 = ref$2.$from;\n var $to$1 = ref$2.$to;\n if (has) { tr.removeMark($from$1.pos, $to$1.pos, markType); }\n else { tr.addMark($from$1.pos, $to$1.pos, markType.create(attrs)); }\n }\n dispatch(tr.scrollIntoView());\n }\n }\n return true\n }\n}\n\nfunction wrapDispatchForJoin(dispatch, isJoinable) {\n return function (tr) {\n if (!tr.isGeneric) { return dispatch(tr) }\n\n var ranges = [];\n for (var i = 0; i < tr.mapping.maps.length; i++) {\n var map = tr.mapping.maps[i];\n for (var j = 0; j < ranges.length; j++)\n { ranges[j] = map.map(ranges[j]); }\n map.forEach(function (_s, _e, from, to) { return ranges.push(from, to); });\n }\n\n // Figure out which joinable points exist inside those ranges,\n // by checking all node boundaries in their parent nodes.\n var joinable = [];\n for (var i$1 = 0; i$1 < ranges.length; i$1 += 2) {\n var from = ranges[i$1], to = ranges[i$1 + 1];\n var $from = tr.doc.resolve(from), depth = $from.sharedDepth(to), parent = $from.node(depth);\n for (var index = $from.indexAfter(depth), pos = $from.after(depth + 1); pos <= to; ++index) {\n var after = parent.maybeChild(index);\n if (!after) { break }\n if (index && joinable.indexOf(pos) == -1) {\n var before = parent.child(index - 1);\n if (before.type == after.type && isJoinable(before, after))\n { joinable.push(pos); }\n }\n pos += after.nodeSize;\n }\n }\n // Join the joinable points\n joinable.sort(function (a, b) { return a - b; });\n for (var i$2 = joinable.length - 1; i$2 >= 0; i$2--) {\n if (canJoin(tr.doc, joinable[i$2])) { tr.join(joinable[i$2]); }\n }\n dispatch(tr);\n }\n}\n\n// :: ((state: EditorState, ?(tr: Transaction)) → bool, union<(before: Node, after: Node) → bool, [string]>) → (state: EditorState, ?(tr: Transaction)) → bool\n// Wrap a command so that, when it produces a transform that causes\n// two joinable nodes to end up next to each other, those are joined.\n// Nodes are considered joinable when they are of the same type and\n// when the `isJoinable` predicate returns true for them or, if an\n// array of strings was passed, if their node type name is in that\n// array.\nfunction autoJoin(command, isJoinable) {\n if (Array.isArray(isJoinable)) {\n var types = isJoinable;\n isJoinable = function (node) { return types.indexOf(node.type.name) > -1; };\n }\n return function (state, dispatch) { return command(state, dispatch && wrapDispatchForJoin(dispatch, isJoinable)); }\n}\n\n// :: (...[(EditorState, ?(tr: Transaction), ?EditorView) → bool]) → (EditorState, ?(tr: Transaction), ?EditorView) → bool\n// Combine a number of command functions into a single function (which\n// calls them one by one until one returns true).\nfunction chainCommands() {\n var commands = [], len = arguments.length;\n while ( len-- ) commands[ len ] = arguments[ len ];\n\n return function(state, dispatch, view) {\n for (var i = 0; i < commands.length; i++)\n { if (commands[i](state, dispatch, view)) { return true } }\n return false\n }\n}\n\nvar backspace = chainCommands(deleteSelection, joinBackward, selectNodeBackward);\nvar del = chainCommands(deleteSelection, joinForward, selectNodeForward);\n\n// :: Object\n// A basic keymap containing bindings not specific to any schema.\n// Binds the following keys (when multiple commands are listed, they\n// are chained with [`chainCommands`](#commands.chainCommands)):\n//\n// * **Enter** to `newlineInCode`, `createParagraphNear`, `liftEmptyBlock`, `splitBlock`\n// * **Mod-Enter** to `exitCode`\n// * **Backspace** and **Mod-Backspace** to `deleteSelection`, `joinBackward`, `selectNodeBackward`\n// * **Delete** and **Mod-Delete** to `deleteSelection`, `joinForward`, `selectNodeForward`\n// * **Mod-Delete** to `deleteSelection`, `joinForward`, `selectNodeForward`\n// * **Mod-a** to `selectAll`\nvar pcBaseKeymap = {\n \"Enter\": chainCommands(newlineInCode, createParagraphNear, liftEmptyBlock, splitBlock),\n \"Mod-Enter\": exitCode,\n \"Backspace\": backspace,\n \"Mod-Backspace\": backspace,\n \"Delete\": del,\n \"Mod-Delete\": del,\n \"Mod-a\": selectAll\n};\n\n// :: Object\n// A copy of `pcBaseKeymap` that also binds **Ctrl-h** like Backspace,\n// **Ctrl-d** like Delete, **Alt-Backspace** like Ctrl-Backspace, and\n// **Ctrl-Alt-Backspace**, **Alt-Delete**, and **Alt-d** like\n// Ctrl-Delete.\nvar macBaseKeymap = {\n \"Ctrl-h\": pcBaseKeymap[\"Backspace\"],\n \"Alt-Backspace\": pcBaseKeymap[\"Mod-Backspace\"],\n \"Ctrl-d\": pcBaseKeymap[\"Delete\"],\n \"Ctrl-Alt-Backspace\": pcBaseKeymap[\"Mod-Delete\"],\n \"Alt-Delete\": pcBaseKeymap[\"Mod-Delete\"],\n \"Alt-d\": pcBaseKeymap[\"Mod-Delete\"]\n};\nfor (var key in pcBaseKeymap) { macBaseKeymap[key] = pcBaseKeymap[key]; }\n\n// declare global: os, navigator\nvar mac = typeof navigator != \"undefined\" ? /Mac/.test(navigator.platform)\n : typeof os != \"undefined\" ? os.platform() == \"darwin\" : false;\n\n// :: Object\n// Depending on the detected platform, this will hold\n// [`pcBasekeymap`](#commands.pcBaseKeymap) or\n// [`macBaseKeymap`](#commands.macBaseKeymap).\nvar baseKeymap = mac ? macBaseKeymap : pcBaseKeymap;\n\nexport { autoJoin, baseKeymap, chainCommands, createParagraphNear, deleteSelection, exitCode, joinBackward, joinDown, joinForward, joinUp, lift, liftEmptyBlock, macBaseKeymap, newlineInCode, pcBaseKeymap, selectAll, selectNodeBackward, selectNodeForward, selectParentNode, setBlockType, splitBlock, splitBlockKeepMarks, toggleMark, wrapIn };\n//# sourceMappingURL=index.es.js.map\n","import { Slice, Fragment, Mark, Node } from 'prosemirror-model';\nimport { ReplaceStep, ReplaceAroundStep, Transform } from 'prosemirror-transform';\n\nvar classesById = Object.create(null);\n\n// ::- Superclass for editor selections. Every selection type should\n// extend this. Should not be instantiated directly.\nvar Selection = function Selection($anchor, $head, ranges) {\n // :: [SelectionRange]\n // The ranges covered by the selection.\n this.ranges = ranges || [new SelectionRange($anchor.min($head), $anchor.max($head))];\n // :: ResolvedPos\n // The resolved anchor of the selection (the side that stays in\n // place when the selection is modified).\n this.$anchor = $anchor;\n // :: ResolvedPos\n // The resolved head of the selection (the side that moves when\n // the selection is modified).\n this.$head = $head;\n};\n\nvar prototypeAccessors = { anchor: { configurable: true },head: { configurable: true },from: { configurable: true },to: { configurable: true },$from: { configurable: true },$to: { configurable: true },empty: { configurable: true } };\n\n// :: number\n// The selection's anchor, as an unresolved position.\nprototypeAccessors.anchor.get = function () { return this.$anchor.pos };\n\n// :: number\n// The selection's head.\nprototypeAccessors.head.get = function () { return this.$head.pos };\n\n// :: number\n// The lower bound of the selection's main range.\nprototypeAccessors.from.get = function () { return this.$from.pos };\n\n// :: number\n// The upper bound of the selection's main range.\nprototypeAccessors.to.get = function () { return this.$to.pos };\n\n// :: ResolvedPos\n// The resolved lowerbound of the selection's main range.\nprototypeAccessors.$from.get = function () {\n return this.ranges[0].$from\n};\n\n// :: ResolvedPos\n// The resolved upper bound of the selection's main range.\nprototypeAccessors.$to.get = function () {\n return this.ranges[0].$to\n};\n\n// :: bool\n// Indicates whether the selection contains any content.\nprototypeAccessors.empty.get = function () {\n var ranges = this.ranges;\n for (var i = 0; i < ranges.length; i++)\n { if (ranges[i].$from.pos != ranges[i].$to.pos) { return false } }\n return true\n};\n\n// eq:: (Selection) → bool\n// Test whether the selection is the same as another selection.\n\n// map:: (doc: Node, mapping: Mappable) → Selection\n// Map this selection through a [mappable](#transform.Mappable) thing. `doc`\n// should be the new document to which we are mapping.\n\n// :: () → Slice\n// Get the content of this selection as a slice.\nSelection.prototype.content = function content () {\n return this.$from.node(0).slice(this.from, this.to, true)\n};\n\n// :: (Transaction, ?Slice)\n// Replace the selection with a slice or, if no slice is given,\n// delete the selection. Will append to the given transaction.\nSelection.prototype.replace = function replace (tr, content) {\n if ( content === void 0 ) content = Slice.empty;\n\n // Put the new selection at the position after the inserted\n // content. When that ended in an inline node, search backwards,\n // to get the position after that node. If not, search forward.\n var lastNode = content.content.lastChild, lastParent = null;\n for (var i = 0; i < content.openEnd; i++) {\n lastParent = lastNode;\n lastNode = lastNode.lastChild;\n }\n\n var mapFrom = tr.steps.length, ranges = this.ranges;\n for (var i$1 = 0; i$1 < ranges.length; i$1++) {\n var ref = ranges[i$1];\n var $from = ref.$from;\n var $to = ref.$to;\n var mapping = tr.mapping.slice(mapFrom);\n tr.replaceRange(mapping.map($from.pos), mapping.map($to.pos), i$1 ? Slice.empty : content);\n if (i$1 == 0)\n { selectionToInsertionEnd(tr, mapFrom, (lastNode ? lastNode.isInline : lastParent && lastParent.isTextblock) ? -1 : 1); }\n }\n};\n\n// :: (Transaction, Node)\n// Replace the selection with the given node, appending the changes\n// to the given transaction.\nSelection.prototype.replaceWith = function replaceWith (tr, node) {\n var mapFrom = tr.steps.length, ranges = this.ranges;\n for (var i = 0; i < ranges.length; i++) {\n var ref = ranges[i];\n var $from = ref.$from;\n var $to = ref.$to;\n var mapping = tr.mapping.slice(mapFrom);\n var from = mapping.map($from.pos), to = mapping.map($to.pos);\n if (i) {\n tr.deleteRange(from, to);\n } else {\n tr.replaceRangeWith(from, to, node);\n selectionToInsertionEnd(tr, mapFrom, node.isInline ? -1 : 1);\n }\n }\n};\n\n// toJSON:: () → Object\n// Convert the selection to a JSON representation. When implementing\n// this for a custom selection class, make sure to give the object a\n// `type` property whose value matches the ID under which you\n// [registered](#state.Selection^jsonID) your class.\n\n// :: (ResolvedPos, number, ?bool) → ?Selection\n// Find a valid cursor or leaf node selection starting at the given\n// position and searching back if `dir` is negative, and forward if\n// positive. When `textOnly` is true, only consider cursor\n// selections. Will return null when no valid selection position is\n// found.\nSelection.findFrom = function findFrom ($pos, dir, textOnly) {\n var inner = $pos.parent.inlineContent ? new TextSelection($pos)\n : findSelectionIn($pos.node(0), $pos.parent, $pos.pos, $pos.index(), dir, textOnly);\n if (inner) { return inner }\n\n for (var depth = $pos.depth - 1; depth >= 0; depth--) {\n var found = dir < 0\n ? findSelectionIn($pos.node(0), $pos.node(depth), $pos.before(depth + 1), $pos.index(depth), dir, textOnly)\n : findSelectionIn($pos.node(0), $pos.node(depth), $pos.after(depth + 1), $pos.index(depth) + 1, dir, textOnly);\n if (found) { return found }\n }\n};\n\n// :: (ResolvedPos, ?number) → Selection\n// Find a valid cursor or leaf node selection near the given\n// position. Searches forward first by default, but if `bias` is\n// negative, it will search backwards first.\nSelection.near = function near ($pos, bias) {\n if ( bias === void 0 ) bias = 1;\n\n return this.findFrom($pos, bias) || this.findFrom($pos, -bias) || new AllSelection($pos.node(0))\n};\n\n// :: (Node) → Selection\n// Find the cursor or leaf node selection closest to the start of\n// the given document. Will return an\n// [`AllSelection`](#state.AllSelection) if no valid position\n// exists.\nSelection.atStart = function atStart (doc) {\n return findSelectionIn(doc, doc, 0, 0, 1) || new AllSelection(doc)\n};\n\n// :: (Node) → Selection\n// Find the cursor or leaf node selection closest to the end of the\n// given document.\nSelection.atEnd = function atEnd (doc) {\n return findSelectionIn(doc, doc, doc.content.size, doc.childCount, -1) || new AllSelection(doc)\n};\n\n// :: (Node, Object) → Selection\n// Deserialize the JSON representation of a selection. Must be\n// implemented for custom classes (as a static class method).\nSelection.fromJSON = function fromJSON (doc, json) {\n if (!json || !json.type) { throw new RangeError(\"Invalid input for Selection.fromJSON\") }\n var cls = classesById[json.type];\n if (!cls) { throw new RangeError((\"No selection type \" + (json.type) + \" defined\")) }\n return cls.fromJSON(doc, json)\n};\n\n// :: (string, constructor<Selection>)\n// To be able to deserialize selections from JSON, custom selection\n// classes must register themselves with an ID string, so that they\n// can be disambiguated. Try to pick something that's unlikely to\n// clash with classes from other modules.\nSelection.jsonID = function jsonID (id, selectionClass) {\n if (id in classesById) { throw new RangeError(\"Duplicate use of selection JSON ID \" + id) }\n classesById[id] = selectionClass;\n selectionClass.prototype.jsonID = id;\n return selectionClass\n};\n\n// :: () → SelectionBookmark\n// Get a [bookmark](#state.SelectionBookmark) for this selection,\n// which is a value that can be mapped without having access to a\n// current document, and later resolved to a real selection for a\n// given document again. (This is used mostly by the history to\n// track and restore old selections.) The default implementation of\n// this method just converts the selection to a text selection and\n// returns the bookmark for that.\nSelection.prototype.getBookmark = function getBookmark () {\n return TextSelection.between(this.$anchor, this.$head).getBookmark()\n};\n\nObject.defineProperties( Selection.prototype, prototypeAccessors );\n\n// :: bool\n// Controls whether, when a selection of this type is active in the\n// browser, the selected range should be visible to the user. Defaults\n// to `true`.\nSelection.prototype.visible = true;\n\n// SelectionBookmark:: interface\n// A lightweight, document-independent representation of a selection.\n// You can define a custom bookmark type for a custom selection class\n// to make the history handle it well.\n//\n// map:: (mapping: Mapping) → SelectionBookmark\n// Map the bookmark through a set of changes.\n//\n// resolve:: (doc: Node) → Selection\n// Resolve the bookmark to a real selection again. This may need to\n// do some error checking and may fall back to a default (usually\n// [`TextSelection.between`](#state.TextSelection^between)) if\n// mapping made the bookmark invalid.\n\n// ::- Represents a selected range in a document.\nvar SelectionRange = function SelectionRange($from, $to) {\n // :: ResolvedPos\n // The lower bound of the range.\n this.$from = $from;\n // :: ResolvedPos\n // The upper bound of the range.\n this.$to = $to;\n};\n\n// ::- A text selection represents a classical editor selection, with\n// a head (the moving side) and anchor (immobile side), both of which\n// point into textblock nodes. It can be empty (a regular cursor\n// position).\nvar TextSelection = /*@__PURE__*/(function (Selection) {\n function TextSelection($anchor, $head) {\n if ( $head === void 0 ) $head = $anchor;\n\n Selection.call(this, $anchor, $head);\n }\n\n if ( Selection ) TextSelection.__proto__ = Selection;\n TextSelection.prototype = Object.create( Selection && Selection.prototype );\n TextSelection.prototype.constructor = TextSelection;\n\n var prototypeAccessors$1 = { $cursor: { configurable: true } };\n\n // :: ?ResolvedPos\n // Returns a resolved position if this is a cursor selection (an\n // empty text selection), and null otherwise.\n prototypeAccessors$1.$cursor.get = function () { return this.$anchor.pos == this.$head.pos ? this.$head : null };\n\n TextSelection.prototype.map = function map (doc, mapping) {\n var $head = doc.resolve(mapping.map(this.head));\n if (!$head.parent.inlineContent) { return Selection.near($head) }\n var $anchor = doc.resolve(mapping.map(this.anchor));\n return new TextSelection($anchor.parent.inlineContent ? $anchor : $head, $head)\n };\n\n TextSelection.prototype.replace = function replace (tr, content) {\n if ( content === void 0 ) content = Slice.empty;\n\n Selection.prototype.replace.call(this, tr, content);\n if (content == Slice.empty) {\n var marks = this.$from.marksAcross(this.$to);\n if (marks) { tr.ensureMarks(marks); }\n }\n };\n\n TextSelection.prototype.eq = function eq (other) {\n return other instanceof TextSelection && other.anchor == this.anchor && other.head == this.head\n };\n\n TextSelection.prototype.getBookmark = function getBookmark () {\n return new TextBookmark(this.anchor, this.head)\n };\n\n TextSelection.prototype.toJSON = function toJSON () {\n return {type: \"text\", anchor: this.anchor, head: this.head}\n };\n\n TextSelection.fromJSON = function fromJSON (doc, json) {\n if (typeof json.anchor != \"number\" || typeof json.head != \"number\")\n { throw new RangeError(\"Invalid input for TextSelection.fromJSON\") }\n return new TextSelection(doc.resolve(json.anchor), doc.resolve(json.head))\n };\n\n // :: (Node, number, ?number) → TextSelection\n // Create a text selection from non-resolved positions.\n TextSelection.create = function create (doc, anchor, head) {\n if ( head === void 0 ) head = anchor;\n\n var $anchor = doc.resolve(anchor);\n return new this($anchor, head == anchor ? $anchor : doc.resolve(head))\n };\n\n // :: (ResolvedPos, ResolvedPos, ?number) → Selection\n // Return a text selection that spans the given positions or, if\n // they aren't text positions, find a text selection near them.\n // `bias` determines whether the method searches forward (default)\n // or backwards (negative number) first. Will fall back to calling\n // [`Selection.near`](#state.Selection^near) when the document\n // doesn't contain a valid text position.\n TextSelection.between = function between ($anchor, $head, bias) {\n var dPos = $anchor.pos - $head.pos;\n if (!bias || dPos) { bias = dPos >= 0 ? 1 : -1; }\n if (!$head.parent.inlineContent) {\n var found = Selection.findFrom($head, bias, true) || Selection.findFrom($head, -bias, true);\n if (found) { $head = found.$head; }\n else { return Selection.near($head, bias) }\n }\n if (!$anchor.parent.inlineContent) {\n if (dPos == 0) {\n $anchor = $head;\n } else {\n $anchor = (Selection.findFrom($anchor, -bias, true) || Selection.findFrom($anchor, bias, true)).$anchor;\n if (($anchor.pos < $head.pos) != (dPos < 0)) { $anchor = $head; }\n }\n }\n return new TextSelection($anchor, $head)\n };\n\n Object.defineProperties( TextSelection.prototype, prototypeAccessors$1 );\n\n return TextSelection;\n}(Selection));\n\nSelection.jsonID(\"text\", TextSelection);\n\nvar TextBookmark = function TextBookmark(anchor, head) {\n this.anchor = anchor;\n this.head = head;\n};\nTextBookmark.prototype.map = function map (mapping) {\n return new TextBookmark(mapping.map(this.anchor), mapping.map(this.head))\n};\nTextBookmark.prototype.resolve = function resolve (doc) {\n return TextSelection.between(doc.resolve(this.anchor), doc.resolve(this.head))\n};\n\n// ::- A node selection is a selection that points at a single node.\n// All nodes marked [selectable](#model.NodeSpec.selectable) can be\n// the target of a node selection. In such a selection, `from` and\n// `to` point directly before and after the selected node, `anchor`\n// equals `from`, and `head` equals `to`..\nvar NodeSelection = /*@__PURE__*/(function (Selection) {\n function NodeSelection($pos) {\n var node = $pos.nodeAfter;\n var $end = $pos.node(0).resolve($pos.pos + node.nodeSize);\n Selection.call(this, $pos, $end);\n // :: Node The selected node.\n this.node = node;\n }\n\n if ( Selection ) NodeSelection.__proto__ = Selection;\n NodeSelection.prototype = Object.create( Selection && Selection.prototype );\n NodeSelection.prototype.constructor = NodeSelection;\n\n NodeSelection.prototype.map = function map (doc, mapping) {\n var ref = mapping.mapResult(this.anchor);\n var deleted = ref.deleted;\n var pos = ref.pos;\n var $pos = doc.resolve(pos);\n if (deleted) { return Selection.near($pos) }\n return new NodeSelection($pos)\n };\n\n NodeSelection.prototype.content = function content () {\n return new Slice(Fragment.from(this.node), 0, 0)\n };\n\n NodeSelection.prototype.eq = function eq (other) {\n return other instanceof NodeSelection && other.anchor == this.anchor\n };\n\n NodeSelection.prototype.toJSON = function toJSON () {\n return {type: \"node\", anchor: this.anchor}\n };\n\n NodeSelection.prototype.getBookmark = function getBookmark () { return new NodeBookmark(this.anchor) };\n\n NodeSelection.fromJSON = function fromJSON (doc, json) {\n if (typeof json.anchor != \"number\")\n { throw new RangeError(\"Invalid input for NodeSelection.fromJSON\") }\n return new NodeSelection(doc.resolve(json.anchor))\n };\n\n // :: (Node, number) → NodeSelection\n // Create a node selection from non-resolved positions.\n NodeSelection.create = function create (doc, from) {\n return new this(doc.resolve(from))\n };\n\n // :: (Node) → bool\n // Determines whether the given node may be selected as a node\n // selection.\n NodeSelection.isSelectable = function isSelectable (node) {\n return !node.isText && node.type.spec.selectable !== false\n };\n\n return NodeSelection;\n}(Selection));\n\nNodeSelection.prototype.visible = false;\n\nSelection.jsonID(\"node\", NodeSelection);\n\nvar NodeBookmark = function NodeBookmark(anchor) {\n this.anchor = anchor;\n};\nNodeBookmark.prototype.map = function map (mapping) {\n var ref = mapping.mapResult(this.anchor);\n var deleted = ref.deleted;\n var pos = ref.pos;\n return deleted ? new TextBookmark(pos, pos) : new NodeBookmark(pos)\n};\nNodeBookmark.prototype.resolve = function resolve (doc) {\n var $pos = doc.resolve(this.anchor), node = $pos.nodeAfter;\n if (node && NodeSelection.isSelectable(node)) { return new NodeSelection($pos) }\n return Selection.near($pos)\n};\n\n// ::- A selection type that represents selecting the whole document\n// (which can not necessarily be expressed with a text selection, when\n// there are for example leaf block nodes at the start or end of the\n// document).\nvar AllSelection = /*@__PURE__*/(function (Selection) {\n function AllSelection(doc) {\n Selection.call(this, doc.resolve(0), doc.resolve(doc.content.size));\n }\n\n if ( Selection ) AllSelection.__proto__ = Selection;\n AllSelection.prototype = Object.create( Selection && Selection.prototype );\n AllSelection.prototype.constructor = AllSelection;\n\n AllSelection.prototype.replace = function replace (tr, content) {\n if ( content === void 0 ) content = Slice.empty;\n\n if (content == Slice.empty) {\n tr.delete(0, tr.doc.content.size);\n var sel = Selection.atStart(tr.doc);\n if (!sel.eq(tr.selection)) { tr.setSelection(sel); }\n } else {\n Selection.prototype.replace.call(this, tr, content);\n }\n };\n\n AllSelection.prototype.toJSON = function toJSON () { return {type: \"all\"} };\n\n AllSelection.fromJSON = function fromJSON (doc) { return new AllSelection(doc) };\n\n AllSelection.prototype.map = function map (doc) { return new AllSelection(doc) };\n\n AllSelection.prototype.eq = function eq (other) { return other instanceof AllSelection };\n\n AllSelection.prototype.getBookmark = function getBookmark () { return AllBookmark };\n\n return AllSelection;\n}(Selection));\n\nSelection.jsonID(\"all\", AllSelection);\n\nvar AllBookmark = {\n map: function map() { return this },\n resolve: function resolve(doc) { return new AllSelection(doc) }\n};\n\n// FIXME we'll need some awareness of text direction when scanning for selections\n\n// Try to find a selection inside the given node. `pos` points at the\n// position where the search starts. When `text` is true, only return\n// text selections.\nfunction findSelectionIn(doc, node, pos, index, dir, text) {\n if (node.inlineContent) { return TextSelection.create(doc, pos) }\n for (var i = index - (dir > 0 ? 0 : 1); dir > 0 ? i < node.childCount : i >= 0; i += dir) {\n var child = node.child(i);\n if (!child.isAtom) {\n var inner = findSelectionIn(doc, child, pos + dir, dir < 0 ? child.childCount : 0, dir, text);\n if (inner) { return inner }\n } else if (!text && NodeSelection.isSelectable(child)) {\n return NodeSelection.create(doc, pos - (dir < 0 ? child.nodeSize : 0))\n }\n pos += child.nodeSize * dir;\n }\n}\n\nfunction selectionToInsertionEnd(tr, startLen, bias) {\n var last = tr.steps.length - 1;\n if (last < startLen) { return }\n var step = tr.steps[last];\n if (!(step instanceof ReplaceStep || step instanceof ReplaceAroundStep)) { return }\n var map = tr.mapping.maps[last], end;\n map.forEach(function (_from, _to, _newFrom, newTo) { if (end == null) { end = newTo; } });\n tr.setSelection(Selection.near(tr.doc.resolve(end), bias));\n}\n\nvar UPDATED_SEL = 1, UPDATED_MARKS = 2, UPDATED_SCROLL = 4;\n\n// ::- An editor state transaction, which can be applied to a state to\n// create an updated state. Use\n// [`EditorState.tr`](#state.EditorState.tr) to create an instance.\n//\n// Transactions track changes to the document (they are a subclass of\n// [`Transform`](#transform.Transform)), but also other state changes,\n// like selection updates and adjustments of the set of [stored\n// marks](#state.EditorState.storedMarks). In addition, you can store\n// metadata properties in a transaction, which are extra pieces of\n// information that client code or plugins can use to describe what a\n// transacion represents, so that they can update their [own\n// state](#state.StateField) accordingly.\n//\n// The [editor view](#view.EditorView) uses a few metadata properties:\n// it will attach a property `\"pointer\"` with the value `true` to\n// selection transactions directly caused by mouse or touch input, and\n// a `\"uiEvent\"` property of that may be `\"paste\"`, `\"cut\"`, or `\"drop\"`.\nvar Transaction = /*@__PURE__*/(function (Transform) {\n function Transaction(state) {\n Transform.call(this, state.doc);\n // :: number\n // The timestamp associated with this transaction, in the same\n // format as `Date.now()`.\n this.time = Date.now();\n this.curSelection = state.selection;\n // The step count for which the current selection is valid.\n this.curSelectionFor = 0;\n // :: ?[Mark]\n // The stored marks set by this transaction, if any.\n this.storedMarks = state.storedMarks;\n // Bitfield to track which aspects of the state were updated by\n // this transaction.\n this.updated = 0;\n // Object used to store metadata properties for the transaction.\n this.meta = Object.create(null);\n }\n\n if ( Transform ) Transaction.__proto__ = Transform;\n Transaction.prototype = Object.create( Transform && Transform.prototype );\n Transaction.prototype.constructor = Transaction;\n\n var prototypeAccessors = { selection: { configurable: true },selectionSet: { configurable: true },storedMarksSet: { configurable: true },isGeneric: { configurable: true },scrolledIntoView: { configurable: true } };\n\n // :: Selection\n // The transaction's current selection. This defaults to the editor\n // selection [mapped](#state.Selection.map) through the steps in the\n // transaction, but can be overwritten with\n // [`setSelection`](#state.Transaction.setSelection).\n prototypeAccessors.selection.get = function () {\n if (this.curSelectionFor < this.steps.length) {\n this.curSelection = this.curSelection.map(this.doc, this.mapping.slice(this.curSelectionFor));\n this.curSelectionFor = this.steps.length;\n }\n return this.curSelection\n };\n\n // :: (Selection) → Transaction\n // Update the transaction's current selection. Will determine the\n // selection that the editor gets when the transaction is applied.\n Transaction.prototype.setSelection = function setSelection (selection) {\n if (selection.$from.doc != this.doc)\n { throw new RangeError(\"Selection passed to setSelection must point at the current document\") }\n this.curSelection = selection;\n this.curSelectionFor = this.steps.length;\n this.updated = (this.updated | UPDATED_SEL) & ~UPDATED_MARKS;\n this.storedMarks = null;\n return this\n };\n\n // :: bool\n // Whether the selection was explicitly updated by this transaction.\n prototypeAccessors.selectionSet.get = function () {\n return (this.updated & UPDATED_SEL) > 0\n };\n\n // :: (?[Mark]) → Transaction\n // Set the current stored marks.\n Transaction.prototype.setStoredMarks = function setStoredMarks (marks) {\n this.storedMarks = marks;\n this.updated |= UPDATED_MARKS;\n return this\n };\n\n // :: ([Mark]) → Transaction\n // Make sure the current stored marks or, if that is null, the marks\n // at the selection, match the given set of marks. Does nothing if\n // this is already the case.\n Transaction.prototype.ensureMarks = function ensureMarks (marks) {\n if (!Mark.sameSet(this.storedMarks || this.selection.$from.marks(), marks))\n { this.setStoredMarks(marks); }\n return this\n };\n\n // :: (Mark) → Transaction\n // Add a mark to the set of stored marks.\n Transaction.prototype.addStoredMark = function addStoredMark (mark) {\n return this.ensureMarks(mark.addToSet(this.storedMarks || this.selection.$head.marks()))\n };\n\n // :: (union<Mark, MarkType>) → Transaction\n // Remove a mark or mark type from the set of stored marks.\n Transaction.prototype.removeStoredMark = function removeStoredMark (mark) {\n return this.ensureMarks(mark.removeFromSet(this.storedMarks || this.selection.$head.marks()))\n };\n\n // :: bool\n // Whether the stored marks were explicitly set for this transaction.\n prototypeAccessors.storedMarksSet.get = function () {\n return (this.updated & UPDATED_MARKS) > 0\n };\n\n Transaction.prototype.addStep = function addStep (step, doc) {\n Transform.prototype.addStep.call(this, step, doc);\n this.updated = this.updated & ~UPDATED_MARKS;\n this.storedMarks = null;\n };\n\n // :: (number) → Transaction\n // Update the timestamp for the transaction.\n Transaction.prototype.setTime = function setTime (time) {\n this.time = time;\n return this\n };\n\n // :: (Slice) → Transaction\n // Replace the current selection with the given slice.\n Transaction.prototype.replaceSelection = function replaceSelection (slice) {\n this.selection.replace(this, slice);\n return this\n };\n\n // :: (Node, ?bool) → Transaction\n // Replace the selection with the given node. When `inheritMarks` is\n // true and the content is inline, it inherits the marks from the\n // place where it is inserted.\n Transaction.prototype.replaceSelectionWith = function replaceSelectionWith (node, inheritMarks) {\n var selection = this.selection;\n if (inheritMarks !== false)\n { node = node.mark(this.storedMarks || (selection.empty ? selection.$from.marks() : (selection.$from.marksAcross(selection.$to) || Mark.none))); }\n selection.replaceWith(this, node);\n return this\n };\n\n // :: () → Transaction\n // Delete the selection.\n Transaction.prototype.deleteSelection = function deleteSelection () {\n this.selection.replace(this);\n return this\n };\n\n // :: (string, from: ?number, to: ?number) → Transaction\n // Replace the given range, or the selection if no range is given,\n // with a text node containing the given string.\n Transaction.prototype.insertText = function insertText (text, from, to) {\n if ( to === void 0 ) to = from;\n\n var schema = this.doc.type.schema;\n if (from == null) {\n if (!text) { return this.deleteSelection() }\n return this.replaceSelectionWith(schema.text(text), true)\n } else {\n if (!text) { return this.deleteRange(from, to) }\n var marks = this.storedMarks;\n if (!marks) {\n var $from = this.doc.resolve(from);\n marks = to == from ? $from.marks() : $from.marksAcross(this.doc.resolve(to));\n }\n this.replaceRangeWith(from, to, schema.text(text, marks));\n if (!this.selection.empty) { this.setSelection(Selection.near(this.selection.$to)); }\n return this\n }\n };\n\n // :: (union<string, Plugin, PluginKey>, any) → Transaction\n // Store a metadata property in this transaction, keyed either by\n // name or by plugin.\n Transaction.prototype.setMeta = function setMeta (key, value) {\n this.meta[typeof key == \"string\" ? key : key.key] = value;\n return this\n };\n\n // :: (union<string, Plugin, PluginKey>) → any\n // Retrieve a metadata property for a given name or plugin.\n Transaction.prototype.getMeta = function getMeta (key) {\n return this.meta[typeof key == \"string\" ? key : key.key]\n };\n\n // :: bool\n // Returns true if this transaction doesn't contain any metadata,\n // and can thus safely be extended.\n prototypeAccessors.isGeneric.get = function () {\n for (var _ in this.meta) { return false }\n return true\n };\n\n // :: () → Transaction\n // Indicate that the editor should scroll the selection into view\n // when updated to the state produced by this transaction.\n Transaction.prototype.scrollIntoView = function scrollIntoView () {\n this.updated |= UPDATED_SCROLL;\n return this\n };\n\n prototypeAccessors.scrolledIntoView.get = function () {\n return (this.updated & UPDATED_SCROLL) > 0\n };\n\n Object.defineProperties( Transaction.prototype, prototypeAccessors );\n\n return Transaction;\n}(Transform));\n\nfunction bind(f, self) {\n return !self || !f ? f : f.bind(self)\n}\n\nvar FieldDesc = function FieldDesc(name, desc, self) {\n this.name = name;\n this.init = bind(desc.init, self);\n this.apply = bind(desc.apply, self);\n};\n\nvar baseFields = [\n new FieldDesc(\"doc\", {\n init: function init(config) { return config.doc || config.schema.topNodeType.createAndFill() },\n apply: function apply(tr) { return tr.doc }\n }),\n\n new FieldDesc(\"selection\", {\n init: function init(config, instance) { return config.selection || Selection.atStart(instance.doc) },\n apply: function apply(tr) { return tr.selection }\n }),\n\n new FieldDesc(\"storedMarks\", {\n init: function init(config) { return config.storedMarks || null },\n apply: function apply(tr, _marks, _old, state) { return state.selection.$cursor ? tr.storedMarks : null }\n }),\n\n new FieldDesc(\"scrollToSelection\", {\n init: function init() { return 0 },\n apply: function apply(tr, prev) { return tr.scrolledIntoView ? prev + 1 : prev }\n })\n];\n\n// Object wrapping the part of a state object that stays the same\n// across transactions. Stored in the state's `config` property.\nvar Configuration = function Configuration(schema, plugins) {\n var this$1 = this;\n\n this.schema = schema;\n this.fields = baseFields.concat();\n this.plugins = [];\n this.pluginsByKey = Object.create(null);\n if (plugins) { plugins.forEach(function (plugin) {\n if (this$1.pluginsByKey[plugin.key])\n { throw new RangeError(\"Adding different instances of a keyed plugin (\" + plugin.key + \")\") }\n this$1.plugins.push(plugin);\n this$1.pluginsByKey[plugin.key] = plugin;\n if (plugin.spec.state)\n { this$1.fields.push(new FieldDesc(plugin.key, plugin.spec.state, plugin)); }\n }); }\n};\n\n// ::- The state of a ProseMirror editor is represented by an object\n// of this type. A state is a persistent data structure—it isn't\n// updated, but rather a new state value is computed from an old one\n// using the [`apply`](#state.EditorState.apply) method.\n//\n// A state holds a number of built-in fields, and plugins can\n// [define](#state.PluginSpec.state) additional fields.\nvar EditorState = function EditorState(config) {\n this.config = config;\n};\n\nvar prototypeAccessors$1 = { schema: { configurable: true },plugins: { configurable: true },tr: { configurable: true } };\n\n// doc:: Node\n// The current document.\n\n// selection:: Selection\n// The selection.\n\n// storedMarks:: ?[Mark]\n// A set of marks to apply to the next input. Will be null when\n// no explicit marks have been set.\n\n// :: Schema\n// The schema of the state's document.\nprototypeAccessors$1.schema.get = function () {\n return this.config.schema\n};\n\n// :: [Plugin]\n// The plugins that are active in this state.\nprototypeAccessors$1.plugins.get = function () {\n return this.config.plugins\n};\n\n// :: (Transaction) → EditorState\n// Apply the given transaction to produce a new state.\nEditorState.prototype.apply = function apply (tr) {\n return this.applyTransaction(tr).state\n};\n\n// : (Transaction) → bool\nEditorState.prototype.filterTransaction = function filterTransaction (tr, ignore) {\n if ( ignore === void 0 ) ignore = -1;\n\n for (var i = 0; i < this.config.plugins.length; i++) { if (i != ignore) {\n var plugin = this.config.plugins[i];\n if (plugin.spec.filterTransaction && !plugin.spec.filterTransaction.call(plugin, tr, this))\n { return false }\n } }\n return true\n};\n\n// :: (Transaction) → {state: EditorState, transactions: [Transaction]}\n// Verbose variant of [`apply`](#state.EditorState.apply) that\n// returns the precise transactions that were applied (which might\n// be influenced by the [transaction\n// hooks](#state.PluginSpec.filterTransaction) of\n// plugins) along with the new state.\nEditorState.prototype.applyTransaction = function applyTransaction (rootTr) {\n if (!this.filterTransaction(rootTr)) { return {state: this, transactions: []} }\n\n var trs = [rootTr], newState = this.applyInner(rootTr), seen = null;\n // This loop repeatedly gives plugins a chance to respond to\n // transactions as new transactions are added, making sure to only\n // pass the transactions the plugin did not see before.\n for (;;) {\n var haveNew = false;\n for (var i = 0; i < this.config.plugins.length; i++) {\n var plugin = this.config.plugins[i];\n if (plugin.spec.appendTransaction) {\n var n = seen ? seen[i].n : 0, oldState = seen ? seen[i].state : this;\n var tr = n < trs.length &&\n plugin.spec.appendTransaction.call(plugin, n ? trs.slice(n) : trs, oldState, newState);\n if (tr && newState.filterTransaction(tr, i)) {\n tr.setMeta(\"appendedTransaction\", rootTr);\n if (!seen) {\n seen = [];\n for (var j = 0; j < this.config.plugins.length; j++)\n { seen.push(j < i ? {state: newState, n: trs.length} : {state: this, n: 0}); }\n }\n trs.push(tr);\n newState = newState.applyInner(tr);\n haveNew = true;\n }\n if (seen) { seen[i] = {state: newState, n: trs.length}; }\n }\n }\n if (!haveNew) { return {state: newState, transactions: trs} }\n }\n};\n\n// : (Transaction) → EditorState\nEditorState.prototype.applyInner = function applyInner (tr) {\n if (!tr.before.eq(this.doc)) { throw new RangeError(\"Applying a mismatched transaction\") }\n var newInstance = new EditorState(this.config), fields = this.config.fields;\n for (var i = 0; i < fields.length; i++) {\n var field = fields[i];\n newInstance[field.name] = field.apply(tr, this[field.name], this, newInstance);\n }\n for (var i$1 = 0; i$1 < applyListeners.length; i$1++) { applyListeners[i$1](this, tr, newInstance); }\n return newInstance\n};\n\n// :: Transaction\n// Start a [transaction](#state.Transaction) from this state.\nprototypeAccessors$1.tr.get = function () { return new Transaction(this) };\n\n// :: (Object) → EditorState\n// Create a new state.\n//\n// config::- Configuration options. Must contain `schema` or `doc` (or both).\n//\n// schema:: ?Schema\n// The schema to use.\n//\n// doc:: ?Node\n// The starting document.\n//\n// selection:: ?Selection\n// A valid selection in the document.\n//\n// storedMarks:: ?[Mark]\n// The initial set of [stored marks](#state.EditorState.storedMarks).\n//\n// plugins:: ?[Plugin]\n// The plugins that should be active in this state.\nEditorState.create = function create (config) {\n var $config = new Configuration(config.schema || config.doc.type.schema, config.plugins);\n var instance = new EditorState($config);\n for (var i = 0; i < $config.fields.length; i++)\n { instance[$config.fields[i].name] = $config.fields[i].init(config, instance); }\n return instance\n};\n\n// :: (Object) → EditorState\n// Create a new state based on this one, but with an adjusted set of\n// active plugins. State fields that exist in both sets of plugins\n// are kept unchanged. Those that no longer exist are dropped, and\n// those that are new are initialized using their\n// [`init`](#state.StateField.init) method, passing in the new\n// configuration object..\n//\n// config::- configuration options\n//\n// schema:: ?Schema\n// New schema to use.\n//\n// plugins:: ?[Plugin]\n// New set of active plugins.\nEditorState.prototype.reconfigure = function reconfigure (config) {\n var $config = new Configuration(config.schema || this.schema, config.plugins);\n var fields = $config.fields, instance = new EditorState($config);\n for (var i = 0; i < fields.length; i++) {\n var name = fields[i].name;\n instance[name] = this.hasOwnProperty(name) ? this[name] : fields[i].init(config, instance);\n }\n return instance\n};\n\n// :: (?union<Object<Plugin>, string, number>) → Object\n// Serialize this state to JSON. If you want to serialize the state\n// of plugins, pass an object mapping property names to use in the\n// resulting JSON object to plugin objects. The argument may also be\n// a string or number, in which case it is ignored, to support the\n// way `JSON.stringify` calls `toString` methods.\nEditorState.prototype.toJSON = function toJSON (pluginFields) {\n var result = {doc: this.doc.toJSON(), selection: this.selection.toJSON()};\n if (this.storedMarks) { result.storedMarks = this.storedMarks.map(function (m) { return m.toJSON(); }); }\n if (pluginFields && typeof pluginFields == 'object') { for (var prop in pluginFields) {\n if (prop == \"doc\" || prop == \"selection\")\n { throw new RangeError(\"The JSON fields `doc` and `selection` are reserved\") }\n var plugin = pluginFields[prop], state = plugin.spec.state;\n if (state && state.toJSON) { result[prop] = state.toJSON.call(plugin, this[plugin.key]); }\n } }\n return result\n};\n\n// :: (Object, Object, ?Object<Plugin>) → EditorState\n// Deserialize a JSON representation of a state. `config` should\n// have at least a `schema` field, and should contain array of\n// plugins to initialize the state with. `pluginFields` can be used\n// to deserialize the state of plugins, by associating plugin\n// instances with the property names they use in the JSON object.\n//\n// config::- configuration options\n//\n// schema:: Schema\n// The schema to use.\n//\n// plugins:: ?[Plugin]\n// The set of active plugins.\nEditorState.fromJSON = function fromJSON (config, json, pluginFields) {\n if (!json) { throw new RangeError(\"Invalid input for EditorState.fromJSON\") }\n if (!config.schema) { throw new RangeError(\"Required config field 'schema' missing\") }\n var $config = new Configuration(config.schema, config.plugins);\n var instance = new EditorState($config);\n $config.fields.forEach(function (field) {\n if (field.name == \"doc\") {\n instance.doc = Node.fromJSON(config.schema, json.doc);\n } else if (field.name == \"selection\") {\n instance.selection = Selection.fromJSON(instance.doc, json.selection);\n } else if (field.name == \"storedMarks\") {\n if (json.storedMarks) { instance.storedMarks = json.storedMarks.map(config.schema.markFromJSON); }\n } else {\n if (pluginFields) { for (var prop in pluginFields) {\n var plugin = pluginFields[prop], state = plugin.spec.state;\n if (plugin.key == field.name && state && state.fromJSON &&\n Object.prototype.hasOwnProperty.call(json, prop)) {\n // This field belongs to a plugin mapped to a JSON field, read it from there.\n instance[field.name] = state.fromJSON.call(plugin, config, json[prop], instance);\n return\n }\n } }\n instance[field.name] = field.init(config, instance);\n }\n });\n return instance\n};\n\n// Kludge to allow the view to track mappings between different\n// instances of a state.\n//\n// FIXME this is no longer needed as of prosemirror-view 1.9.0,\n// though due to backwards-compat we should probably keep it around\n// for a while (if only as a no-op)\nEditorState.addApplyListener = function addApplyListener (f) {\n applyListeners.push(f);\n};\nEditorState.removeApplyListener = function removeApplyListener (f) {\n var found = applyListeners.indexOf(f);\n if (found > -1) { applyListeners.splice(found, 1); }\n};\n\nObject.defineProperties( EditorState.prototype, prototypeAccessors$1 );\n\nvar applyListeners = [];\n\n// PluginSpec:: interface\n//\n// This is the type passed to the [`Plugin`](#state.Plugin)\n// constructor. It provides a definition for a plugin.\n//\n// props:: ?EditorProps\n// The [view props](#view.EditorProps) added by this plugin. Props\n// that are functions will be bound to have the plugin instance as\n// their `this` binding.\n//\n// state:: ?StateField<any>\n// Allows a plugin to define a [state field](#state.StateField), an\n// extra slot in the state object in which it can keep its own data.\n//\n// key:: ?PluginKey\n// Can be used to make this a keyed plugin. You can have only one\n// plugin with a given key in a given state, but it is possible to\n// access the plugin's configuration and state through the key,\n// without having access to the plugin instance object.\n//\n// view:: ?(EditorView) → Object\n// When the plugin needs to interact with the editor view, or\n// set something up in the DOM, use this field. The function\n// will be called when the plugin's state is associated with an\n// editor view.\n//\n// return::-\n// Should return an object with the following optional\n// properties:\n//\n// update:: ?(view: EditorView, prevState: EditorState)\n// Called whenever the view's state is updated.\n//\n// destroy:: ?()\n// Called when the view is destroyed or receives a state\n// with different plugins.\n//\n// filterTransaction:: ?(Transaction, EditorState) → bool\n// When present, this will be called before a transaction is\n// applied by the state, allowing the plugin to cancel it (by\n// returning false).\n//\n// appendTransaction:: ?(transactions: [Transaction], oldState: EditorState, newState: EditorState) → ?Transaction\n// Allows the plugin to append another transaction to be applied\n// after the given array of transactions. When another plugin\n// appends a transaction after this was called, it is called again\n// with the new state and new transactions—but only the new\n// transactions, i.e. it won't be passed transactions that it\n// already saw.\n\nfunction bindProps(obj, self, target) {\n for (var prop in obj) {\n var val = obj[prop];\n if (val instanceof Function) { val = val.bind(self); }\n else if (prop == \"handleDOMEvents\") { val = bindProps(val, self, {}); }\n target[prop] = val;\n }\n return target\n}\n\n// ::- Plugins bundle functionality that can be added to an editor.\n// They are part of the [editor state](#state.EditorState) and\n// may influence that state and the view that contains it.\nvar Plugin = function Plugin(spec) {\n // :: EditorProps\n // The [props](#view.EditorProps) exported by this plugin.\n this.props = {};\n if (spec.props) { bindProps(spec.props, this, this.props); }\n // :: Object\n // The plugin's [spec object](#state.PluginSpec).\n this.spec = spec;\n this.key = spec.key ? spec.key.key : createKey(\"plugin\");\n};\n\n// :: (EditorState) → any\n// Extract the plugin's state field from an editor state.\nPlugin.prototype.getState = function getState (state) { return state[this.key] };\n\n// StateField:: interface<T>\n// A plugin spec may provide a state field (under its\n// [`state`](#state.PluginSpec.state) property) of this type, which\n// describes the state it wants to keep. Functions provided here are\n// always called with the plugin instance as their `this` binding.\n//\n// init:: (config: Object, instance: EditorState) → T\n// Initialize the value of the field. `config` will be the object\n// passed to [`EditorState.create`](#state.EditorState^create). Note\n// that `instance` is a half-initialized state instance, and will\n// not have values for plugin fields initialized after this one.\n//\n// apply:: (tr: Transaction, value: T, oldState: EditorState, newState: EditorState) → T\n// Apply the given transaction to this state field, producing a new\n// field value. Note that the `newState` argument is again a partially\n// constructed state does not yet contain the state from plugins\n// coming after this one.\n//\n// toJSON:: ?(value: T) → *\n// Convert this field to JSON. Optional, can be left off to disable\n// JSON serialization for the field.\n//\n// fromJSON:: ?(config: Object, value: *, state: EditorState) → T\n// Deserialize the JSON representation of this field. Note that the\n// `state` argument is again a half-initialized state.\n\nvar keys = Object.create(null);\n\nfunction createKey(name) {\n if (name in keys) { return name + \"$\" + ++keys[name] }\n keys[name] = 0;\n return name + \"$\"\n}\n\n// ::- A key is used to [tag](#state.PluginSpec.key)\n// plugins in a way that makes it possible to find them, given an\n// editor state. Assigning a key does mean only one plugin of that\n// type can be active in a state.\nvar PluginKey = function PluginKey(name) {\nif ( name === void 0 ) name = \"key\";\n this.key = createKey(name); };\n\n// :: (EditorState) → ?Plugin\n// Get the active plugin with this key, if any, from an editor\n// state.\nPluginKey.prototype.get = function get (state) { return state.config.pluginsByKey[this.key] };\n\n// :: (EditorState) → ?any\n// Get the plugin's state from an editor state.\nPluginKey.prototype.getState = function getState (state) { return state[this.key] };\n\nexport { AllSelection, EditorState, NodeSelection, Plugin, PluginKey, Selection, SelectionRange, TextSelection, Transaction };\n//# sourceMappingURL=index.es.js.map\n","// ::- Persistent data structure representing an ordered mapping from\n// strings to values, with some convenient update methods.\nfunction OrderedMap(content) {\n this.content = content;\n}\n\nOrderedMap.prototype = {\n constructor: OrderedMap,\n\n find: function(key) {\n for (var i = 0; i < this.content.length; i += 2)\n if (this.content[i] === key) return i\n return -1\n },\n\n // :: (string) → ?any\n // Retrieve the value stored under `key`, or return undefined when\n // no such key exists.\n get: function(key) {\n var found = this.find(key);\n return found == -1 ? undefined : this.content[found + 1]\n },\n\n // :: (string, any, ?string) → OrderedMap\n // Create a new map by replacing the value of `key` with a new\n // value, or adding a binding to the end of the map. If `newKey` is\n // given, the key of the binding will be replaced with that key.\n update: function(key, value, newKey) {\n var self = newKey && newKey != key ? this.remove(newKey) : this;\n var found = self.find(key), content = self.content.slice();\n if (found == -1) {\n content.push(newKey || key, value);\n } else {\n content[found + 1] = value;\n if (newKey) content[found] = newKey;\n }\n return new OrderedMap(content)\n },\n\n // :: (string) → OrderedMap\n // Return a map with the given key removed, if it existed.\n remove: function(key) {\n var found = this.find(key);\n if (found == -1) return this\n var content = this.content.slice();\n content.splice(found, 2);\n return new OrderedMap(content)\n },\n\n // :: (string, any) → OrderedMap\n // Add a new key to the start of the map.\n addToStart: function(key, value) {\n return new OrderedMap([key, value].concat(this.remove(key).content))\n },\n\n // :: (string, any) → OrderedMap\n // Add a new key to the end of the map.\n addToEnd: function(key, value) {\n var content = this.remove(key).content.slice();\n content.push(key, value);\n return new OrderedMap(content)\n },\n\n // :: (string, string, any) → OrderedMap\n // Add a key after the given key. If `place` is not found, the new\n // key is added to the end.\n addBefore: function(place, key, value) {\n var without = this.remove(key), content = without.content.slice();\n var found = without.find(place);\n content.splice(found == -1 ? content.length : found, 0, key, value);\n return new OrderedMap(content)\n },\n\n // :: ((key: string, value: any))\n // Call the given function for each key/value pair in the map, in\n // order.\n forEach: function(f) {\n for (var i = 0; i < this.content.length; i += 2)\n f(this.content[i], this.content[i + 1]);\n },\n\n // :: (union<Object, OrderedMap>) → OrderedMap\n // Create a new map by prepending the keys in this map that don't\n // appear in `map` before the keys in `map`.\n prepend: function(map) {\n map = OrderedMap.from(map);\n if (!map.size) return this\n return new OrderedMap(map.content.concat(this.subtract(map).content))\n },\n\n // :: (union<Object, OrderedMap>) → OrderedMap\n // Create a new map by appending the keys in this map that don't\n // appear in `map` after the keys in `map`.\n append: function(map) {\n map = OrderedMap.from(map);\n if (!map.size) return this\n return new OrderedMap(this.subtract(map).content.concat(map.content))\n },\n\n // :: (union<Object, OrderedMap>) → OrderedMap\n // Create a map containing all the keys in this map that don't\n // appear in `map`.\n subtract: function(map) {\n var result = this;\n map = OrderedMap.from(map);\n for (var i = 0; i < map.content.length; i += 2)\n result = result.remove(map.content[i]);\n return result\n },\n\n // :: number\n // The amount of keys in this map.\n get size() {\n return this.content.length >> 1\n }\n};\n\n// :: (?union<Object, OrderedMap>) → OrderedMap\n// Return a map with the given content. If null, create an empty\n// map. If given an ordered map, return that map itself. If given an\n// object, create a map from the object's properties.\nOrderedMap.from = function(value) {\n if (value instanceof OrderedMap) return value\n var content = [];\n if (value) for (var prop in value) content.push(prop, value[prop]);\n return new OrderedMap(content)\n};\n\nvar orderedmap = OrderedMap;\n\nexport default orderedmap;\n","import OrderedMap from 'orderedmap';\n\nfunction findDiffStart(a, b, pos) {\n for (var i = 0;; i++) {\n if (i == a.childCount || i == b.childCount)\n { return a.childCount == b.childCount ? null : pos }\n\n var childA = a.child(i), childB = b.child(i);\n if (childA == childB) { pos += childA.nodeSize; continue }\n\n if (!childA.sameMarkup(childB)) { return pos }\n\n if (childA.isText && childA.text != childB.text) {\n for (var j = 0; childA.text[j] == childB.text[j]; j++)\n { pos++; }\n return pos\n }\n if (childA.content.size || childB.content.size) {\n var inner = findDiffStart(childA.content, childB.content, pos + 1);\n if (inner != null) { return inner }\n }\n pos += childA.nodeSize;\n }\n}\n\nfunction findDiffEnd(a, b, posA, posB) {\n for (var iA = a.childCount, iB = b.childCount;;) {\n if (iA == 0 || iB == 0)\n { return iA == iB ? null : {a: posA, b: posB} }\n\n var childA = a.child(--iA), childB = b.child(--iB), size = childA.nodeSize;\n if (childA == childB) {\n posA -= size; posB -= size;\n continue\n }\n\n if (!childA.sameMarkup(childB)) { return {a: posA, b: posB} }\n\n if (childA.isText && childA.text != childB.text) {\n var same = 0, minSize = Math.min(childA.text.length, childB.text.length);\n while (same < minSize && childA.text[childA.text.length - same - 1] == childB.text[childB.text.length - same - 1]) {\n same++; posA--; posB--;\n }\n return {a: posA, b: posB}\n }\n if (childA.content.size || childB.content.size) {\n var inner = findDiffEnd(childA.content, childB.content, posA - 1, posB - 1);\n if (inner) { return inner }\n }\n posA -= size; posB -= size;\n }\n}\n\n// ::- A fragment represents a node's collection of child nodes.\n//\n// Like nodes, fragments are persistent data structures, and you\n// should not mutate them or their content. Rather, you create new\n// instances whenever needed. The API tries to make this easy.\nvar Fragment = function Fragment(content, size) {\n this.content = content;\n // :: number\n // The size of the fragment, which is the total of the size of its\n // content nodes.\n this.size = size || 0;\n if (size == null) { for (var i = 0; i < content.length; i++)\n { this.size += content[i].nodeSize; } }\n};\n\nvar prototypeAccessors = { firstChild: { configurable: true },lastChild: { configurable: true },childCount: { configurable: true } };\n\n// :: (number, number, (node: Node, start: number, parent: Node, index: number) → ?bool, ?number)\n// Invoke a callback for all descendant nodes between the given two\n// positions (relative to start of this fragment). Doesn't descend\n// into a node when the callback returns `false`.\nFragment.prototype.nodesBetween = function nodesBetween (from, to, f, nodeStart, parent) {\n if ( nodeStart === void 0 ) nodeStart = 0;\n\n for (var i = 0, pos = 0; pos < to; i++) {\n var child = this.content[i], end = pos + child.nodeSize;\n if (end > from && f(child, nodeStart + pos, parent, i) !== false && child.content.size) {\n var start = pos + 1;\n child.nodesBetween(Math.max(0, from - start),\n Math.min(child.content.size, to - start),\n f, nodeStart + start);\n }\n pos = end;\n }\n};\n\n// :: ((node: Node, pos: number, parent: Node) → ?bool)\n// Call the given callback for every descendant node. The callback\n// may return `false` to prevent traversal of a given node's children.\nFragment.prototype.descendants = function descendants (f) {\n this.nodesBetween(0, this.size, f);\n};\n\n// : (number, number, ?string, ?string) → string\nFragment.prototype.textBetween = function textBetween (from, to, blockSeparator, leafText) {\n var text = \"\", separated = true;\n this.nodesBetween(from, to, function (node, pos) {\n if (node.isText) {\n text += node.text.slice(Math.max(from, pos) - pos, to - pos);\n separated = !blockSeparator;\n } else if (node.isLeaf && leafText) {\n text += leafText;\n separated = !blockSeparator;\n } else if (!separated && node.isBlock) {\n text += blockSeparator;\n separated = true;\n }\n }, 0);\n return text\n};\n\n// :: (Fragment) → Fragment\n// Create a new fragment containing the combined content of this\n// fragment and the other.\nFragment.prototype.append = function append (other) {\n if (!other.size) { return this }\n if (!this.size) { return other }\n var last = this.lastChild, first = other.firstChild, content = this.content.slice(), i = 0;\n if (last.isText && last.sameMarkup(first)) {\n content[content.length - 1] = last.withText(last.text + first.text);\n i = 1;\n }\n for (; i < other.content.length; i++) { content.push(other.content[i]); }\n return new Fragment(content, this.size + other.size)\n};\n\n// :: (number, ?number) → Fragment\n// Cut out the sub-fragment between the two given positions.\nFragment.prototype.cut = function cut (from, to) {\n if (to == null) { to = this.size; }\n if (from == 0 && to == this.size) { return this }\n var result = [], size = 0;\n if (to > from) { for (var i = 0, pos = 0; pos < to; i++) {\n var child = this.content[i], end = pos + child.nodeSize;\n if (end > from) {\n if (pos < from || end > to) {\n if (child.isText)\n { child = child.cut(Math.max(0, from - pos), Math.min(child.text.length, to - pos)); }\n else\n { child = child.cut(Math.max(0, from - pos - 1), Math.min(child.content.size, to - pos - 1)); }\n }\n result.push(child);\n size += child.nodeSize;\n }\n pos = end;\n } }\n return new Fragment(result, size)\n};\n\nFragment.prototype.cutByIndex = function cutByIndex (from, to) {\n if (from == to) { return Fragment.empty }\n if (from == 0 && to == this.content.length) { return this }\n return new Fragment(this.content.slice(from, to))\n};\n\n// :: (number, Node) → Fragment\n// Create a new fragment in which the node at the given index is\n// replaced by the given node.\nFragment.prototype.replaceChild = function replaceChild (index, node) {\n var current = this.content[index];\n if (current == node) { return this }\n var copy = this.content.slice();\n var size = this.size + node.nodeSize - current.nodeSize;\n copy[index] = node;\n return new Fragment(copy, size)\n};\n\n// : (Node) → Fragment\n// Create a new fragment by prepending the given node to this\n// fragment.\nFragment.prototype.addToStart = function addToStart (node) {\n return new Fragment([node].concat(this.content), this.size + node.nodeSize)\n};\n\n// : (Node) → Fragment\n// Create a new fragment by appending the given node to this\n// fragment.\nFragment.prototype.addToEnd = function addToEnd (node) {\n return new Fragment(this.content.concat(node), this.size + node.nodeSize)\n};\n\n// :: (Fragment) → bool\n// Compare this fragment to another one.\nFragment.prototype.eq = function eq (other) {\n if (this.content.length != other.content.length) { return false }\n for (var i = 0; i < this.content.length; i++)\n { if (!this.content[i].eq(other.content[i])) { return false } }\n return true\n};\n\n// :: ?Node\n// The first child of the fragment, or `null` if it is empty.\nprototypeAccessors.firstChild.get = function () { return this.content.length ? this.content[0] : null };\n\n// :: ?Node\n// The last child of the fragment, or `null` if it is empty.\nprototypeAccessors.lastChild.get = function () { return this.content.length ? this.content[this.content.length - 1] : null };\n\n// :: number\n// The number of child nodes in this fragment.\nprototypeAccessors.childCount.get = function () { return this.content.length };\n\n// :: (number) → Node\n// Get the child node at the given index. Raise an error when the\n// index is out of range.\nFragment.prototype.child = function child (index) {\n var found = this.content[index];\n if (!found) { throw new RangeError(\"Index \" + index + \" out of range for \" + this) }\n return found\n};\n\n// :: (number) → ?Node\n// Get the child node at the given index, if it exists.\nFragment.prototype.maybeChild = function maybeChild (index) {\n return this.content[index]\n};\n\n// :: ((node: Node, offset: number, index: number))\n// Call `f` for every child node, passing the node, its offset\n// into this parent node, and its index.\nFragment.prototype.forEach = function forEach (f) {\n for (var i = 0, p = 0; i < this.content.length; i++) {\n var child = this.content[i];\n f(child, p, i);\n p += child.nodeSize;\n }\n};\n\n// :: (Fragment) → ?number\n// Find the first position at which this fragment and another\n// fragment differ, or `null` if they are the same.\nFragment.prototype.findDiffStart = function findDiffStart$1 (other, pos) {\n if ( pos === void 0 ) pos = 0;\n\n return findDiffStart(this, other, pos)\n};\n\n// :: (Fragment) → ?{a: number, b: number}\n// Find the first position, searching from the end, at which this\n// fragment and the given fragment differ, or `null` if they are the\n// same. Since this position will not be the same in both nodes, an\n// object with two separate positions is returned.\nFragment.prototype.findDiffEnd = function findDiffEnd$1 (other, pos, otherPos) {\n if ( pos === void 0 ) pos = this.size;\n if ( otherPos === void 0 ) otherPos = other.size;\n\n return findDiffEnd(this, other, pos, otherPos)\n};\n\n// : (number, ?number) → {index: number, offset: number}\n// Find the index and inner offset corresponding to a given relative\n// position in this fragment. The result object will be reused\n// (overwritten) the next time the function is called. (Not public.)\nFragment.prototype.findIndex = function findIndex (pos, round) {\n if ( round === void 0 ) round = -1;\n\n if (pos == 0) { return retIndex(0, pos) }\n if (pos == this.size) { return retIndex(this.content.length, pos) }\n if (pos > this.size || pos < 0) { throw new RangeError((\"Position \" + pos + \" outside of fragment (\" + (this) + \")\")) }\n for (var i = 0, curPos = 0;; i++) {\n var cur = this.child(i), end = curPos + cur.nodeSize;\n if (end >= pos) {\n if (end == pos || round > 0) { return retIndex(i + 1, end) }\n return retIndex(i, curPos)\n }\n curPos = end;\n }\n};\n\n// :: () → string\n// Return a debugging string that describes this fragment.\nFragment.prototype.toString = function toString () { return \"<\" + this.toStringInner() + \">\" };\n\nFragment.prototype.toStringInner = function toStringInner () { return this.content.join(\", \") };\n\n// :: () → ?Object\n// Create a JSON-serializeable representation of this fragment.\nFragment.prototype.toJSON = function toJSON () {\n return this.content.length ? this.content.map(function (n) { return n.toJSON(); }) : null\n};\n\n// :: (Schema, ?Object) → Fragment\n// Deserialize a fragment from its JSON representation.\nFragment.fromJSON = function fromJSON (schema, value) {\n if (!value) { return Fragment.empty }\n if (!Array.isArray(value)) { throw new RangeError(\"Invalid input for Fragment.fromJSON\") }\n return new Fragment(value.map(schema.nodeFromJSON))\n};\n\n// :: ([Node]) → Fragment\n// Build a fragment from an array of nodes. Ensures that adjacent\n// text nodes with the same marks are joined together.\nFragment.fromArray = function fromArray (array) {\n if (!array.length) { return Fragment.empty }\n var joined, size = 0;\n for (var i = 0; i < array.length; i++) {\n var node = array[i];\n size += node.nodeSize;\n if (i && node.isText && array[i - 1].sameMarkup(node)) {\n if (!joined) { joined = array.slice(0, i); }\n joined[joined.length - 1] = node.withText(joined[joined.length - 1].text + node.text);\n } else if (joined) {\n joined.push(node);\n }\n }\n return new Fragment(joined || array, size)\n};\n\n// :: (?union<Fragment, Node, [Node]>) → Fragment\n// Create a fragment from something that can be interpreted as a set\n// of nodes. For `null`, it returns the empty fragment. For a\n// fragment, the fragment itself. For a node or array of nodes, a\n// fragment containing those nodes.\nFragment.from = function from (nodes) {\n if (!nodes) { return Fragment.empty }\n if (nodes instanceof Fragment) { return nodes }\n if (Array.isArray(nodes)) { return this.fromArray(nodes) }\n if (nodes.attrs) { return new Fragment([nodes], nodes.nodeSize) }\n throw new RangeError(\"Can not convert \" + nodes + \" to a Fragment\" +\n (nodes.nodesBetween ? \" (looks like multiple versions of prosemirror-model were loaded)\" : \"\"))\n};\n\nObject.defineProperties( Fragment.prototype, prototypeAccessors );\n\nvar found = {index: 0, offset: 0};\nfunction retIndex(index, offset) {\n found.index = index;\n found.offset = offset;\n return found\n}\n\n// :: Fragment\n// An empty fragment. Intended to be reused whenever a node doesn't\n// contain anything (rather than allocating a new empty fragment for\n// each leaf node).\nFragment.empty = new Fragment([], 0);\n\nfunction compareDeep(a, b) {\n if (a === b) { return true }\n if (!(a && typeof a == \"object\") ||\n !(b && typeof b == \"object\")) { return false }\n var array = Array.isArray(a);\n if (Array.isArray(b) != array) { return false }\n if (array) {\n if (a.length != b.length) { return false }\n for (var i = 0; i < a.length; i++) { if (!compareDeep(a[i], b[i])) { return false } }\n } else {\n for (var p in a) { if (!(p in b) || !compareDeep(a[p], b[p])) { return false } }\n for (var p$1 in b) { if (!(p$1 in a)) { return false } }\n }\n return true\n}\n\n// ::- A mark is a piece of information that can be attached to a node,\n// such as it being emphasized, in code font, or a link. It has a type\n// and optionally a set of attributes that provide further information\n// (such as the target of the link). Marks are created through a\n// `Schema`, which controls which types exist and which\n// attributes they have.\nvar Mark = function Mark(type, attrs) {\n // :: MarkType\n // The type of this mark.\n this.type = type;\n // :: Object\n // The attributes associated with this mark.\n this.attrs = attrs;\n};\n\n// :: ([Mark]) → [Mark]\n// Given a set of marks, create a new set which contains this one as\n// well, in the right position. If this mark is already in the set,\n// the set itself is returned. If any marks that are set to be\n// [exclusive](#model.MarkSpec.excludes) with this mark are present,\n// those are replaced by this one.\nMark.prototype.addToSet = function addToSet (set) {\n var copy, placed = false;\n for (var i = 0; i < set.length; i++) {\n var other = set[i];\n if (this.eq(other)) { return set }\n if (this.type.excludes(other.type)) {\n if (!copy) { copy = set.slice(0, i); }\n } else if (other.type.excludes(this.type)) {\n return set\n } else {\n if (!placed && other.type.rank > this.type.rank) {\n if (!copy) { copy = set.slice(0, i); }\n copy.push(this);\n placed = true;\n }\n if (copy) { copy.push(other); }\n }\n }\n if (!copy) { copy = set.slice(); }\n if (!placed) { copy.push(this); }\n return copy\n};\n\n// :: ([Mark]) → [Mark]\n// Remove this mark from the given set, returning a new set. If this\n// mark is not in the set, the set itself is returned.\nMark.prototype.removeFromSet = function removeFromSet (set) {\n for (var i = 0; i < set.length; i++)\n { if (this.eq(set[i]))\n { return set.slice(0, i).concat(set.slice(i + 1)) } }\n return set\n};\n\n// :: ([Mark]) → bool\n// Test whether this mark is in the given set of marks.\nMark.prototype.isInSet = function isInSet (set) {\n for (var i = 0; i < set.length; i++)\n { if (this.eq(set[i])) { return true } }\n return false\n};\n\n// :: (Mark) → bool\n// Test whether this mark has the same type and attributes as\n// another mark.\nMark.prototype.eq = function eq (other) {\n return this == other ||\n (this.type == other.type && compareDeep(this.attrs, other.attrs))\n};\n\n// :: () → Object\n// Convert this mark to a JSON-serializeable representation.\nMark.prototype.toJSON = function toJSON () {\n var obj = {type: this.type.name};\n for (var _ in this.attrs) {\n obj.attrs = this.attrs;\n break\n }\n return obj\n};\n\n// :: (Schema, Object) → Mark\nMark.fromJSON = function fromJSON (schema, json) {\n if (!json) { throw new RangeError(\"Invalid input for Mark.fromJSON\") }\n var type = schema.marks[json.type];\n if (!type) { throw new RangeError((\"There is no mark type \" + (json.type) + \" in this schema\")) }\n return type.create(json.attrs)\n};\n\n// :: ([Mark], [Mark]) → bool\n// Test whether two sets of marks are identical.\nMark.sameSet = function sameSet (a, b) {\n if (a == b) { return true }\n if (a.length != b.length) { return false }\n for (var i = 0; i < a.length; i++)\n { if (!a[i].eq(b[i])) { return false } }\n return true\n};\n\n// :: (?union<Mark, [Mark]>) → [Mark]\n// Create a properly sorted mark set from null, a single mark, or an\n// unsorted array of marks.\nMark.setFrom = function setFrom (marks) {\n if (!marks || marks.length == 0) { return Mark.none }\n if (marks instanceof Mark) { return [marks] }\n var copy = marks.slice();\n copy.sort(function (a, b) { return a.type.rank - b.type.rank; });\n return copy\n};\n\n// :: [Mark] The empty set of marks.\nMark.none = [];\n\n// ReplaceError:: class extends Error\n// Error type raised by [`Node.replace`](#model.Node.replace) when\n// given an invalid replacement.\n\nfunction ReplaceError(message) {\n var err = Error.call(this, message);\n err.__proto__ = ReplaceError.prototype;\n return err\n}\n\nReplaceError.prototype = Object.create(Error.prototype);\nReplaceError.prototype.constructor = ReplaceError;\nReplaceError.prototype.name = \"ReplaceError\";\n\n// ::- A slice represents a piece cut out of a larger document. It\n// stores not only a fragment, but also the depth up to which nodes on\n// both side are ‘open’ (cut through).\nvar Slice = function Slice(content, openStart, openEnd) {\n // :: Fragment The slice's content.\n this.content = content;\n // :: number The open depth at the start.\n this.openStart = openStart;\n // :: number The open depth at the end.\n this.openEnd = openEnd;\n};\n\nvar prototypeAccessors$1 = { size: { configurable: true } };\n\n// :: number\n// The size this slice would add when inserted into a document.\nprototypeAccessors$1.size.get = function () {\n return this.content.size - this.openStart - this.openEnd\n};\n\nSlice.prototype.insertAt = function insertAt (pos, fragment) {\n var content = insertInto(this.content, pos + this.openStart, fragment, null);\n return content && new Slice(content, this.openStart, this.openEnd)\n};\n\nSlice.prototype.removeBetween = function removeBetween (from, to) {\n return new Slice(removeRange(this.content, from + this.openStart, to + this.openStart), this.openStart, this.openEnd)\n};\n\n// :: (Slice) → bool\n// Tests whether this slice is equal to another slice.\nSlice.prototype.eq = function eq (other) {\n return this.content.eq(other.content) && this.openStart == other.openStart && this.openEnd == other.openEnd\n};\n\nSlice.prototype.toString = function toString () {\n return this.content + \"(\" + this.openStart + \",\" + this.openEnd + \")\"\n};\n\n// :: () → ?Object\n// Convert a slice to a JSON-serializable representation.\nSlice.prototype.toJSON = function toJSON () {\n if (!this.content.size) { return null }\n var json = {content: this.content.toJSON()};\n if (this.openStart > 0) { json.openStart = this.openStart; }\n if (this.openEnd > 0) { json.openEnd = this.openEnd; }\n return json\n};\n\n// :: (Schema, ?Object) → Slice\n// Deserialize a slice from its JSON representation.\nSlice.fromJSON = function fromJSON (schema, json) {\n if (!json) { return Slice.empty }\n var openStart = json.openStart || 0, openEnd = json.openEnd || 0;\n if (typeof openStart != \"number\" || typeof openEnd != \"number\")\n { throw new RangeError(\"Invalid input for Slice.fromJSON\") }\n return new Slice(Fragment.fromJSON(schema, json.content), openStart, openEnd)\n};\n\n// :: (Fragment, ?bool) → Slice\n// Create a slice from a fragment by taking the maximum possible\n// open value on both side of the fragment.\nSlice.maxOpen = function maxOpen (fragment, openIsolating) {\n if ( openIsolating === void 0 ) openIsolating=true;\n\n var openStart = 0, openEnd = 0;\n for (var n = fragment.firstChild; n && !n.isLeaf && (openIsolating || !n.type.spec.isolating); n = n.firstChild) { openStart++; }\n for (var n$1 = fragment.lastChild; n$1 && !n$1.isLeaf && (openIsolating || !n$1.type.spec.isolating); n$1 = n$1.lastChild) { openEnd++; }\n return new Slice(fragment, openStart, openEnd)\n};\n\nObject.defineProperties( Slice.prototype, prototypeAccessors$1 );\n\nfunction removeRange(content, from, to) {\n var ref = content.findIndex(from);\n var index = ref.index;\n var offset = ref.offset;\n var child = content.maybeChild(index);\n var ref$1 = content.findIndex(to);\n var indexTo = ref$1.index;\n var offsetTo = ref$1.offset;\n if (offset == from || child.isText) {\n if (offsetTo != to && !content.child(indexTo).isText) { throw new RangeError(\"Removing non-flat range\") }\n return content.cut(0, from).append(content.cut(to))\n }\n if (index != indexTo) { throw new RangeError(\"Removing non-flat range\") }\n return content.replaceChild(index, child.copy(removeRange(child.content, from - offset - 1, to - offset - 1)))\n}\n\nfunction insertInto(content, dist, insert, parent) {\n var ref = content.findIndex(dist);\n var index = ref.index;\n var offset = ref.offset;\n var child = content.maybeChild(index);\n if (offset == dist || child.isText) {\n if (parent && !parent.canReplace(index, index, insert)) { return null }\n return content.cut(0, dist).append(insert).append(content.cut(dist))\n }\n var inner = insertInto(child.content, dist - offset - 1, insert);\n return inner && content.replaceChild(index, child.copy(inner))\n}\n\n// :: Slice\n// The empty slice.\nSlice.empty = new Slice(Fragment.empty, 0, 0);\n\nfunction replace($from, $to, slice) {\n if (slice.openStart > $from.depth)\n { throw new ReplaceError(\"Inserted content deeper than insertion position\") }\n if ($from.depth - slice.openStart != $to.depth - slice.openEnd)\n { throw new ReplaceError(\"Inconsistent open depths\") }\n return replaceOuter($from, $to, slice, 0)\n}\n\nfunction replaceOuter($from, $to, slice, depth) {\n var index = $from.index(depth), node = $from.node(depth);\n if (index == $to.index(depth) && depth < $from.depth - slice.openStart) {\n var inner = replaceOuter($from, $to, slice, depth + 1);\n return node.copy(node.content.replaceChild(index, inner))\n } else if (!slice.content.size) {\n return close(node, replaceTwoWay($from, $to, depth))\n } else if (!slice.openStart && !slice.openEnd && $from.depth == depth && $to.depth == depth) { // Simple, flat case\n var parent = $from.parent, content = parent.content;\n return close(parent, content.cut(0, $from.parentOffset).append(slice.content).append(content.cut($to.parentOffset)))\n } else {\n var ref = prepareSliceForReplace(slice, $from);\n var start = ref.start;\n var end = ref.end;\n return close(node, replaceThreeWay($from, start, end, $to, depth))\n }\n}\n\nfunction checkJoin(main, sub) {\n if (!sub.type.compatibleContent(main.type))\n { throw new ReplaceError(\"Cannot join \" + sub.type.name + \" onto \" + main.type.name) }\n}\n\nfunction joinable($before, $after, depth) {\n var node = $before.node(depth);\n checkJoin(node, $after.node(depth));\n return node\n}\n\nfunction addNode(child, target) {\n var last = target.length - 1;\n if (last >= 0 && child.isText && child.sameMarkup(target[last]))\n { target[last] = child.withText(target[last].text + child.text); }\n else\n { target.push(child); }\n}\n\nfunction addRange($start, $end, depth, target) {\n var node = ($end || $start).node(depth);\n var startIndex = 0, endIndex = $end ? $end.index(depth) : node.childCount;\n if ($start) {\n startIndex = $start.index(depth);\n if ($start.depth > depth) {\n startIndex++;\n } else if ($start.textOffset) {\n addNode($start.nodeAfter, target);\n startIndex++;\n }\n }\n for (var i = startIndex; i < endIndex; i++) { addNode(node.child(i), target); }\n if ($end && $end.depth == depth && $end.textOffset)\n { addNode($end.nodeBefore, target); }\n}\n\nfunction close(node, content) {\n if (!node.type.validContent(content))\n { throw new ReplaceError(\"Invalid content for node \" + node.type.name) }\n return node.copy(content)\n}\n\nfunction replaceThreeWay($from, $start, $end, $to, depth) {\n var openStart = $from.depth > depth && joinable($from, $start, depth + 1);\n var openEnd = $to.depth > depth && joinable($end, $to, depth + 1);\n\n var content = [];\n addRange(null, $from, depth, content);\n if (openStart && openEnd && $start.index(depth) == $end.index(depth)) {\n checkJoin(openStart, openEnd);\n addNode(close(openStart, replaceThreeWay($from, $start, $end, $to, depth + 1)), content);\n } else {\n if (openStart)\n { addNode(close(openStart, replaceTwoWay($from, $start, depth + 1)), content); }\n addRange($start, $end, depth, content);\n if (openEnd)\n { addNode(close(openEnd, replaceTwoWay($end, $to, depth + 1)), content); }\n }\n addRange($to, null, depth, content);\n return new Fragment(content)\n}\n\nfunction replaceTwoWay($from, $to, depth) {\n var content = [];\n addRange(null, $from, depth, content);\n if ($from.depth > depth) {\n var type = joinable($from, $to, depth + 1);\n addNode(close(type, replaceTwoWay($from, $to, depth + 1)), content);\n }\n addRange($to, null, depth, content);\n return new Fragment(content)\n}\n\nfunction prepareSliceForReplace(slice, $along) {\n var extra = $along.depth - slice.openStart, parent = $along.node(extra);\n var node = parent.copy(slice.content);\n for (var i = extra - 1; i >= 0; i--)\n { node = $along.node(i).copy(Fragment.from(node)); }\n return {start: node.resolveNoCache(slice.openStart + extra),\n end: node.resolveNoCache(node.content.size - slice.openEnd - extra)}\n}\n\n// ::- You can [_resolve_](#model.Node.resolve) a position to get more\n// information about it. Objects of this class represent such a\n// resolved position, providing various pieces of context information,\n// and some helper methods.\n//\n// Throughout this interface, methods that take an optional `depth`\n// parameter will interpret undefined as `this.depth` and negative\n// numbers as `this.depth + value`.\nvar ResolvedPos = function ResolvedPos(pos, path, parentOffset) {\n // :: number The position that was resolved.\n this.pos = pos;\n this.path = path;\n // :: number\n // The number of levels the parent node is from the root. If this\n // position points directly into the root node, it is 0. If it\n // points into a top-level paragraph, 1, and so on.\n this.depth = path.length / 3 - 1;\n // :: number The offset this position has into its parent node.\n this.parentOffset = parentOffset;\n};\n\nvar prototypeAccessors$2 = { parent: { configurable: true },doc: { configurable: true },textOffset: { configurable: true },nodeAfter: { configurable: true },nodeBefore: { configurable: true } };\n\nResolvedPos.prototype.resolveDepth = function resolveDepth (val) {\n if (val == null) { return this.depth }\n if (val < 0) { return this.depth + val }\n return val\n};\n\n// :: Node\n// The parent node that the position points into. Note that even if\n// a position points into a text node, that node is not considered\n// the parent—text nodes are ‘flat’ in this model, and have no content.\nprototypeAccessors$2.parent.get = function () { return this.node(this.depth) };\n\n// :: Node\n// The root node in which the position was resolved.\nprototypeAccessors$2.doc.get = function () { return this.node(0) };\n\n// :: (?number) → Node\n// The ancestor node at the given level. `p.node(p.depth)` is the\n// same as `p.parent`.\nResolvedPos.prototype.node = function node (depth) { return this.path[this.resolveDepth(depth) * 3] };\n\n// :: (?number) → number\n// The index into the ancestor at the given level. If this points at\n// the 3rd node in the 2nd paragraph on the top level, for example,\n// `p.index(0)` is 1 and `p.index(1)` is 2.\nResolvedPos.prototype.index = function index (depth) { return this.path[this.resolveDepth(depth) * 3 + 1] };\n\n// :: (?number) → number\n// The index pointing after this position into the ancestor at the\n// given level.\nResolvedPos.prototype.indexAfter = function indexAfter (depth) {\n depth = this.resolveDepth(depth);\n return this.index(depth) + (depth == this.depth && !this.textOffset ? 0 : 1)\n};\n\n// :: (?number) → number\n// The (absolute) position at the start of the node at the given\n// level.\nResolvedPos.prototype.start = function start (depth) {\n depth = this.resolveDepth(depth);\n return depth == 0 ? 0 : this.path[depth * 3 - 1] + 1\n};\n\n// :: (?number) → number\n// The (absolute) position at the end of the node at the given\n// level.\nResolvedPos.prototype.end = function end (depth) {\n depth = this.resolveDepth(depth);\n return this.start(depth) + this.node(depth).content.size\n};\n\n// :: (?number) → number\n// The (absolute) position directly before the wrapping node at the\n// given level, or, when `depth` is `this.depth + 1`, the original\n// position.\nResolvedPos.prototype.before = function before (depth) {\n depth = this.resolveDepth(depth);\n if (!depth) { throw new RangeError(\"There is no position before the top-level node\") }\n return depth == this.depth + 1 ? this.pos : this.path[depth * 3 - 1]\n};\n\n// :: (?number) → number\n// The (absolute) position directly after the wrapping node at the\n// given level, or the original position when `depth` is `this.depth + 1`.\nResolvedPos.prototype.after = function after (depth) {\n depth = this.resolveDepth(depth);\n if (!depth) { throw new RangeError(\"There is no position after the top-level node\") }\n return depth == this.depth + 1 ? this.pos : this.path[depth * 3 - 1] + this.path[depth * 3].nodeSize\n};\n\n// :: number\n// When this position points into a text node, this returns the\n// distance between the position and the start of the text node.\n// Will be zero for positions that point between nodes.\nprototypeAccessors$2.textOffset.get = function () { return this.pos - this.path[this.path.length - 1] };\n\n// :: ?Node\n// Get the node directly after the position, if any. If the position\n// points into a text node, only the part of that node after the\n// position is returned.\nprototypeAccessors$2.nodeAfter.get = function () {\n var parent = this.parent, index = this.index(this.depth);\n if (index == parent.childCount) { return null }\n var dOff = this.pos - this.path[this.path.length - 1], child = parent.child(index);\n return dOff ? parent.child(index).cut(dOff) : child\n};\n\n// :: ?Node\n// Get the node directly before the position, if any. If the\n// position points into a text node, only the part of that node\n// before the position is returned.\nprototypeAccessors$2.nodeBefore.get = function () {\n var index = this.index(this.depth);\n var dOff = this.pos - this.path[this.path.length - 1];\n if (dOff) { return this.parent.child(index).cut(0, dOff) }\n return index == 0 ? null : this.parent.child(index - 1)\n};\n\n// :: (number, ?number) → number\n// Get the position at the given index in the parent node at the\n// given depth (which defaults to `this.depth`).\nResolvedPos.prototype.posAtIndex = function posAtIndex (index, depth) {\n depth = this.resolveDepth(depth);\n var node = this.path[depth * 3], pos = depth == 0 ? 0 : this.path[depth * 3 - 1] + 1;\n for (var i = 0; i < index; i++) { pos += node.child(i).nodeSize; }\n return pos\n};\n\n// :: () → [Mark]\n// Get the marks at this position, factoring in the surrounding\n// marks' [`inclusive`](#model.MarkSpec.inclusive) property. If the\n// position is at the start of a non-empty node, the marks of the\n// node after it (if any) are returned.\nResolvedPos.prototype.marks = function marks () {\n var parent = this.parent, index = this.index();\n\n // In an empty parent, return the empty array\n if (parent.content.size == 0) { return Mark.none }\n\n // When inside a text node, just return the text node's marks\n if (this.textOffset) { return parent.child(index).marks }\n\n var main = parent.maybeChild(index - 1), other = parent.maybeChild(index);\n // If the `after` flag is true of there is no node before, make\n // the node after this position the main reference.\n if (!main) { var tmp = main; main = other; other = tmp; }\n\n // Use all marks in the main node, except those that have\n // `inclusive` set to false and are not present in the other node.\n var marks = main.marks;\n for (var i = 0; i < marks.length; i++)\n { if (marks[i].type.spec.inclusive === false && (!other || !marks[i].isInSet(other.marks)))\n { marks = marks[i--].removeFromSet(marks); } }\n\n return marks\n};\n\n// :: (ResolvedPos) → ?[Mark]\n// Get the marks after the current position, if any, except those\n// that are non-inclusive and not present at position `$end`. This\n// is mostly useful for getting the set of marks to preserve after a\n// deletion. Will return `null` if this position is at the end of\n// its parent node or its parent node isn't a textblock (in which\n// case no marks should be preserved).\nResolvedPos.prototype.marksAcross = function marksAcross ($end) {\n var after = this.parent.maybeChild(this.index());\n if (!after || !after.isInline) { return null }\n\n var marks = after.marks, next = $end.parent.maybeChild($end.index());\n for (var i = 0; i < marks.length; i++)\n { if (marks[i].type.spec.inclusive === false && (!next || !marks[i].isInSet(next.marks)))\n { marks = marks[i--].removeFromSet(marks); } }\n return marks\n};\n\n// :: (number) → number\n// The depth up to which this position and the given (non-resolved)\n// position share the same parent nodes.\nResolvedPos.prototype.sharedDepth = function sharedDepth (pos) {\n for (var depth = this.depth; depth > 0; depth--)\n { if (this.start(depth) <= pos && this.end(depth) >= pos) { return depth } }\n return 0\n};\n\n// :: (?ResolvedPos, ?(Node) → bool) → ?NodeRange\n// Returns a range based on the place where this position and the\n// given position diverge around block content. If both point into\n// the same textblock, for example, a range around that textblock\n// will be returned. If they point into different blocks, the range\n// around those blocks in their shared ancestor is returned. You can\n// pass in an optional predicate that will be called with a parent\n// node to see if a range into that parent is acceptable.\nResolvedPos.prototype.blockRange = function blockRange (other, pred) {\n if ( other === void 0 ) other = this;\n\n if (other.pos < this.pos) { return other.blockRange(this) }\n for (var d = this.depth - (this.parent.inlineContent || this.pos == other.pos ? 1 : 0); d >= 0; d--)\n { if (other.pos <= this.end(d) && (!pred || pred(this.node(d))))\n { return new NodeRange(this, other, d) } }\n};\n\n// :: (ResolvedPos) → bool\n// Query whether the given position shares the same parent node.\nResolvedPos.prototype.sameParent = function sameParent (other) {\n return this.pos - this.parentOffset == other.pos - other.parentOffset\n};\n\n// :: (ResolvedPos) → ResolvedPos\n// Return the greater of this and the given position.\nResolvedPos.prototype.max = function max (other) {\n return other.pos > this.pos ? other : this\n};\n\n// :: (ResolvedPos) → ResolvedPos\n// Return the smaller of this and the given position.\nResolvedPos.prototype.min = function min (other) {\n return other.pos < this.pos ? other : this\n};\n\nResolvedPos.prototype.toString = function toString () {\n var str = \"\";\n for (var i = 1; i <= this.depth; i++)\n { str += (str ? \"/\" : \"\") + this.node(i).type.name + \"_\" + this.index(i - 1); }\n return str + \":\" + this.parentOffset\n};\n\nResolvedPos.resolve = function resolve (doc, pos) {\n if (!(pos >= 0 && pos <= doc.content.size)) { throw new RangeError(\"Position \" + pos + \" out of range\") }\n var path = [];\n var start = 0, parentOffset = pos;\n for (var node = doc;;) {\n var ref = node.content.findIndex(parentOffset);\n var index = ref.index;\n var offset = ref.offset;\n var rem = parentOffset - offset;\n path.push(node, index, start + offset);\n if (!rem) { break }\n node = node.child(index);\n if (node.isText) { break }\n parentOffset = rem - 1;\n start += offset + 1;\n }\n return new ResolvedPos(pos, path, parentOffset)\n};\n\nResolvedPos.resolveCached = function resolveCached (doc, pos) {\n for (var i = 0; i < resolveCache.length; i++) {\n var cached = resolveCache[i];\n if (cached.pos == pos && cached.doc == doc) { return cached }\n }\n var result = resolveCache[resolveCachePos] = ResolvedPos.resolve(doc, pos);\n resolveCachePos = (resolveCachePos + 1) % resolveCacheSize;\n return result\n};\n\nObject.defineProperties( ResolvedPos.prototype, prototypeAccessors$2 );\n\nvar resolveCache = [], resolveCachePos = 0, resolveCacheSize = 12;\n\n// ::- Represents a flat range of content, i.e. one that starts and\n// ends in the same node.\nvar NodeRange = function NodeRange($from, $to, depth) {\n // :: ResolvedPos A resolved position along the start of the\n // content. May have a `depth` greater than this object's `depth`\n // property, since these are the positions that were used to\n // compute the range, not re-resolved positions directly at its\n // boundaries.\n this.$from = $from;\n // :: ResolvedPos A position along the end of the content. See\n // caveat for [`$from`](#model.NodeRange.$from).\n this.$to = $to;\n // :: number The depth of the node that this range points into.\n this.depth = depth;\n};\n\nvar prototypeAccessors$1$1 = { start: { configurable: true },end: { configurable: true },parent: { configurable: true },startIndex: { configurable: true },endIndex: { configurable: true } };\n\n// :: number The position at the start of the range.\nprototypeAccessors$1$1.start.get = function () { return this.$from.before(this.depth + 1) };\n// :: number The position at the end of the range.\nprototypeAccessors$1$1.end.get = function () { return this.$to.after(this.depth + 1) };\n\n// :: Node The parent node that the range points into.\nprototypeAccessors$1$1.parent.get = function () { return this.$from.node(this.depth) };\n// :: number The start index of the range in the parent node.\nprototypeAccessors$1$1.startIndex.get = function () { return this.$from.index(this.depth) };\n// :: number The end index of the range in the parent node.\nprototypeAccessors$1$1.endIndex.get = function () { return this.$to.indexAfter(this.depth) };\n\nObject.defineProperties( NodeRange.prototype, prototypeAccessors$1$1 );\n\nvar emptyAttrs = Object.create(null);\n\n// ::- This class represents a node in the tree that makes up a\n// ProseMirror document. So a document is an instance of `Node`, with\n// children that are also instances of `Node`.\n//\n// Nodes are persistent data structures. Instead of changing them, you\n// create new ones with the content you want. Old ones keep pointing\n// at the old document shape. This is made cheaper by sharing\n// structure between the old and new data as much as possible, which a\n// tree shape like this (without back pointers) makes easy.\n//\n// **Do not** directly mutate the properties of a `Node` object. See\n// [the guide](/docs/guide/#doc) for more information.\nvar Node = function Node(type, attrs, content, marks) {\n // :: NodeType\n // The type of node that this is.\n this.type = type;\n\n // :: Object\n // An object mapping attribute names to values. The kind of\n // attributes allowed and required are\n // [determined](#model.NodeSpec.attrs) by the node type.\n this.attrs = attrs;\n\n // :: Fragment\n // A container holding the node's children.\n this.content = content || Fragment.empty;\n\n // :: [Mark]\n // The marks (things like whether it is emphasized or part of a\n // link) applied to this node.\n this.marks = marks || Mark.none;\n};\n\nvar prototypeAccessors$3 = { nodeSize: { configurable: true },childCount: { configurable: true },textContent: { configurable: true },firstChild: { configurable: true },lastChild: { configurable: true },isBlock: { configurable: true },isTextblock: { configurable: true },inlineContent: { configurable: true },isInline: { configurable: true },isText: { configurable: true },isLeaf: { configurable: true },isAtom: { configurable: true } };\n\n// text:: ?string\n// For text nodes, this contains the node's text content.\n\n// :: number\n// The size of this node, as defined by the integer-based [indexing\n// scheme](/docs/guide/#doc.indexing). For text nodes, this is the\n// amount of characters. For other leaf nodes, it is one. For\n// non-leaf nodes, it is the size of the content plus two (the start\n// and end token).\nprototypeAccessors$3.nodeSize.get = function () { return this.isLeaf ? 1 : 2 + this.content.size };\n\n// :: number\n// The number of children that the node has.\nprototypeAccessors$3.childCount.get = function () { return this.content.childCount };\n\n// :: (number) → Node\n// Get the child node at the given index. Raises an error when the\n// index is out of range.\nNode.prototype.child = function child (index) { return this.content.child(index) };\n\n// :: (number) → ?Node\n// Get the child node at the given index, if it exists.\nNode.prototype.maybeChild = function maybeChild (index) { return this.content.maybeChild(index) };\n\n// :: ((node: Node, offset: number, index: number))\n// Call `f` for every child node, passing the node, its offset\n// into this parent node, and its index.\nNode.prototype.forEach = function forEach (f) { this.content.forEach(f); };\n\n// :: (number, number, (node: Node, pos: number, parent: Node, index: number) → ?bool, ?number)\n// Invoke a callback for all descendant nodes recursively between\n// the given two positions that are relative to start of this node's\n// content. The callback is invoked with the node, its\n// parent-relative position, its parent node, and its child index.\n// When the callback returns false for a given node, that node's\n// children will not be recursed over. The last parameter can be\n// used to specify a starting position to count from.\nNode.prototype.nodesBetween = function nodesBetween (from, to, f, startPos) {\n if ( startPos === void 0 ) startPos = 0;\n\n this.content.nodesBetween(from, to, f, startPos, this);\n};\n\n// :: ((node: Node, pos: number, parent: Node) → ?bool)\n// Call the given callback for every descendant node. Doesn't\n// descend into a node when the callback returns `false`.\nNode.prototype.descendants = function descendants (f) {\n this.nodesBetween(0, this.content.size, f);\n};\n\n// :: string\n// Concatenates all the text nodes found in this fragment and its\n// children.\nprototypeAccessors$3.textContent.get = function () { return this.textBetween(0, this.content.size, \"\") };\n\n// :: (number, number, ?string, ?string) → string\n// Get all text between positions `from` and `to`. When\n// `blockSeparator` is given, it will be inserted whenever a new\n// block node is started. When `leafText` is given, it'll be\n// inserted for every non-text leaf node encountered.\nNode.prototype.textBetween = function textBetween (from, to, blockSeparator, leafText) {\n return this.content.textBetween(from, to, blockSeparator, leafText)\n};\n\n// :: ?Node\n// Returns this node's first child, or `null` if there are no\n// children.\nprototypeAccessors$3.firstChild.get = function () { return this.content.firstChild };\n\n// :: ?Node\n// Returns this node's last child, or `null` if there are no\n// children.\nprototypeAccessors$3.lastChild.get = function () { return this.content.lastChild };\n\n// :: (Node) → bool\n// Test whether two nodes represent the same piece of document.\nNode.prototype.eq = function eq (other) {\n return this == other || (this.sameMarkup(other) && this.content.eq(other.content))\n};\n\n// :: (Node) → bool\n// Compare the markup (type, attributes, and marks) of this node to\n// those of another. Returns `true` if both have the same markup.\nNode.prototype.sameMarkup = function sameMarkup (other) {\n return this.hasMarkup(other.type, other.attrs, other.marks)\n};\n\n// :: (NodeType, ?Object, ?[Mark]) → bool\n// Check whether this node's markup correspond to the given type,\n// attributes, and marks.\nNode.prototype.hasMarkup = function hasMarkup (type, attrs, marks) {\n return this.type == type &&\n compareDeep(this.attrs, attrs || type.defaultAttrs || emptyAttrs) &&\n Mark.sameSet(this.marks, marks || Mark.none)\n};\n\n// :: (?Fragment) → Node\n// Create a new node with the same markup as this node, containing\n// the given content (or empty, if no content is given).\nNode.prototype.copy = function copy (content) {\n if ( content === void 0 ) content = null;\n\n if (content == this.content) { return this }\n return new this.constructor(this.type, this.attrs, content, this.marks)\n};\n\n// :: ([Mark]) → Node\n// Create a copy of this node, with the given set of marks instead\n// of the node's own marks.\nNode.prototype.mark = function mark (marks) {\n return marks == this.marks ? this : new this.constructor(this.type, this.attrs, this.content, marks)\n};\n\n// :: (number, ?number) → Node\n// Create a copy of this node with only the content between the\n// given positions. If `to` is not given, it defaults to the end of\n// the node.\nNode.prototype.cut = function cut (from, to) {\n if (from == 0 && to == this.content.size) { return this }\n return this.copy(this.content.cut(from, to))\n};\n\n// :: (number, ?number) → Slice\n// Cut out the part of the document between the given positions, and\n// return it as a `Slice` object.\nNode.prototype.slice = function slice (from, to, includeParents) {\n if ( to === void 0 ) to = this.content.size;\n if ( includeParents === void 0 ) includeParents = false;\n\n if (from == to) { return Slice.empty }\n\n var $from = this.resolve(from), $to = this.resolve(to);\n var depth = includeParents ? 0 : $from.sharedDepth(to);\n var start = $from.start(depth), node = $from.node(depth);\n var content = node.content.cut($from.pos - start, $to.pos - start);\n return new Slice(content, $from.depth - depth, $to.depth - depth)\n};\n\n// :: (number, number, Slice) → Node\n// Replace the part of the document between the given positions with\n// the given slice. The slice must 'fit', meaning its open sides\n// must be able to connect to the surrounding content, and its\n// content nodes must be valid children for the node they are placed\n// into. If any of this is violated, an error of type\n// [`ReplaceError`](#model.ReplaceError) is thrown.\nNode.prototype.replace = function replace$1 (from, to, slice) {\n return replace(this.resolve(from), this.resolve(to), slice)\n};\n\n// :: (number) → ?Node\n// Find the node directly after the given position.\nNode.prototype.nodeAt = function nodeAt (pos) {\n for (var node = this;;) {\n var ref = node.content.findIndex(pos);\n var index = ref.index;\n var offset = ref.offset;\n node = node.maybeChild(index);\n if (!node) { return null }\n if (offset == pos || node.isText) { return node }\n pos -= offset + 1;\n }\n};\n\n// :: (number) → {node: ?Node, index: number, offset: number}\n// Find the (direct) child node after the given offset, if any,\n// and return it along with its index and offset relative to this\n// node.\nNode.prototype.childAfter = function childAfter (pos) {\n var ref = this.content.findIndex(pos);\n var index = ref.index;\n var offset = ref.offset;\n return {node: this.content.maybeChild(index), index: index, offset: offset}\n};\n\n// :: (number) → {node: ?Node, index: number, offset: number}\n// Find the (direct) child node before the given offset, if any,\n// and return it along with its index and offset relative to this\n// node.\nNode.prototype.childBefore = function childBefore (pos) {\n if (pos == 0) { return {node: null, index: 0, offset: 0} }\n var ref = this.content.findIndex(pos);\n var index = ref.index;\n var offset = ref.offset;\n if (offset < pos) { return {node: this.content.child(index), index: index, offset: offset} }\n var node = this.content.child(index - 1);\n return {node: node, index: index - 1, offset: offset - node.nodeSize}\n};\n\n// :: (number) → ResolvedPos\n// Resolve the given position in the document, returning an\n// [object](#model.ResolvedPos) with information about its context.\nNode.prototype.resolve = function resolve (pos) { return ResolvedPos.resolveCached(this, pos) };\n\nNode.prototype.resolveNoCache = function resolveNoCache (pos) { return ResolvedPos.resolve(this, pos) };\n\n// :: (number, number, union<Mark, MarkType>) → bool\n// Test whether a given mark or mark type occurs in this document\n// between the two given positions.\nNode.prototype.rangeHasMark = function rangeHasMark (from, to, type) {\n var found = false;\n if (to > from) { this.nodesBetween(from, to, function (node) {\n if (type.isInSet(node.marks)) { found = true; }\n return !found\n }); }\n return found\n};\n\n// :: bool\n// True when this is a block (non-inline node)\nprototypeAccessors$3.isBlock.get = function () { return this.type.isBlock };\n\n// :: bool\n// True when this is a textblock node, a block node with inline\n// content.\nprototypeAccessors$3.isTextblock.get = function () { return this.type.isTextblock };\n\n// :: bool\n// True when this node allows inline content.\nprototypeAccessors$3.inlineContent.get = function () { return this.type.inlineContent };\n\n// :: bool\n// True when this is an inline node (a text node or a node that can\n// appear among text).\nprototypeAccessors$3.isInline.get = function () { return this.type.isInline };\n\n// :: bool\n// True when this is a text node.\nprototypeAccessors$3.isText.get = function () { return this.type.isText };\n\n// :: bool\n// True when this is a leaf node.\nprototypeAccessors$3.isLeaf.get = function () { return this.type.isLeaf };\n\n// :: bool\n// True when this is an atom, i.e. when it does not have directly\n// editable content. This is usually the same as `isLeaf`, but can\n// be configured with the [`atom` property](#model.NodeSpec.atom) on\n// a node's spec (typically used when the node is displayed as an\n// uneditable [node view](#view.NodeView)).\nprototypeAccessors$3.isAtom.get = function () { return this.type.isAtom };\n\n// :: () → string\n// Return a string representation of this node for debugging\n// purposes.\nNode.prototype.toString = function toString () {\n if (this.type.spec.toDebugString) { return this.type.spec.toDebugString(this) }\n var name = this.type.name;\n if (this.content.size)\n { name += \"(\" + this.content.toStringInner() + \")\"; }\n return wrapMarks(this.marks, name)\n};\n\n// :: (number) → ContentMatch\n// Get the content match in this node at the given index.\nNode.prototype.contentMatchAt = function contentMatchAt (index) {\n var match = this.type.contentMatch.matchFragment(this.content, 0, index);\n if (!match) { throw new Error(\"Called contentMatchAt on a node with invalid content\") }\n return match\n};\n\n// :: (number, number, ?Fragment, ?number, ?number) → bool\n// Test whether replacing the range between `from` and `to` (by\n// child index) with the given replacement fragment (which defaults\n// to the empty fragment) would leave the node's content valid. You\n// can optionally pass `start` and `end` indices into the\n// replacement fragment.\nNode.prototype.canReplace = function canReplace (from, to, replacement, start, end) {\n if ( replacement === void 0 ) replacement = Fragment.empty;\n if ( start === void 0 ) start = 0;\n if ( end === void 0 ) end = replacement.childCount;\n\n var one = this.contentMatchAt(from).matchFragment(replacement, start, end);\n var two = one && one.matchFragment(this.content, to);\n if (!two || !two.validEnd) { return false }\n for (var i = start; i < end; i++) { if (!this.type.allowsMarks(replacement.child(i).marks)) { return false } }\n return true\n};\n\n// :: (number, number, NodeType, ?[Mark]) → bool\n// Test whether replacing the range `from` to `to` (by index) with a\n// node of the given type would leave the node's content valid.\nNode.prototype.canReplaceWith = function canReplaceWith (from, to, type, marks) {\n if (marks && !this.type.allowsMarks(marks)) { return false }\n var start = this.contentMatchAt(from).matchType(type);\n var end = start && start.matchFragment(this.content, to);\n return end ? end.validEnd : false\n};\n\n// :: (Node) → bool\n// Test whether the given node's content could be appended to this\n// node. If that node is empty, this will only return true if there\n// is at least one node type that can appear in both nodes (to avoid\n// merging completely incompatible nodes).\nNode.prototype.canAppend = function canAppend (other) {\n if (other.content.size) { return this.canReplace(this.childCount, this.childCount, other.content) }\n else { return this.type.compatibleContent(other.type) }\n};\n\n// :: ()\n// Check whether this node and its descendants conform to the\n// schema, and raise error when they do not.\nNode.prototype.check = function check () {\n if (!this.type.validContent(this.content))\n { throw new RangeError((\"Invalid content for node \" + (this.type.name) + \": \" + (this.content.toString().slice(0, 50)))) }\n this.content.forEach(function (node) { return node.check(); });\n};\n\n// :: () → Object\n// Return a JSON-serializeable representation of this node.\nNode.prototype.toJSON = function toJSON () {\n var obj = {type: this.type.name};\n for (var _ in this.attrs) {\n obj.attrs = this.attrs;\n break\n }\n if (this.content.size)\n { obj.content = this.content.toJSON(); }\n if (this.marks.length)\n { obj.marks = this.marks.map(function (n) { return n.toJSON(); }); }\n return obj\n};\n\n// :: (Schema, Object) → Node\n// Deserialize a node from its JSON representation.\nNode.fromJSON = function fromJSON (schema, json) {\n if (!json) { throw new RangeError(\"Invalid input for Node.fromJSON\") }\n var marks = null;\n if (json.marks) {\n if (!Array.isArray(json.marks)) { throw new RangeError(\"Invalid mark data for Node.fromJSON\") }\n marks = json.marks.map(schema.markFromJSON);\n }\n if (json.type == \"text\") {\n if (typeof json.text != \"string\") { throw new RangeError(\"Invalid text node in JSON\") }\n return schema.text(json.text, marks)\n }\n var content = Fragment.fromJSON(schema, json.content);\n return schema.nodeType(json.type).create(json.attrs, content, marks)\n};\n\nObject.defineProperties( Node.prototype, prototypeAccessors$3 );\n\nvar TextNode = /*@__PURE__*/(function (Node) {\n function TextNode(type, attrs, content, marks) {\n Node.call(this, type, attrs, null, marks);\n\n if (!content) { throw new RangeError(\"Empty text nodes are not allowed\") }\n\n this.text = content;\n }\n\n if ( Node ) TextNode.__proto__ = Node;\n TextNode.prototype = Object.create( Node && Node.prototype );\n TextNode.prototype.constructor = TextNode;\n\n var prototypeAccessors$1 = { textContent: { configurable: true },nodeSize: { configurable: true } };\n\n TextNode.prototype.toString = function toString () {\n if (this.type.spec.toDebugString) { return this.type.spec.toDebugString(this) }\n return wrapMarks(this.marks, JSON.stringify(this.text))\n };\n\n prototypeAccessors$1.textContent.get = function () { return this.text };\n\n TextNode.prototype.textBetween = function textBetween (from, to) { return this.text.slice(from, to) };\n\n prototypeAccessors$1.nodeSize.get = function () { return this.text.length };\n\n TextNode.prototype.mark = function mark (marks) {\n return marks == this.marks ? this : new TextNode(this.type, this.attrs, this.text, marks)\n };\n\n TextNode.prototype.withText = function withText (text) {\n if (text == this.text) { return this }\n return new TextNode(this.type, this.attrs, text, this.marks)\n };\n\n TextNode.prototype.cut = function cut (from, to) {\n if ( from === void 0 ) from = 0;\n if ( to === void 0 ) to = this.text.length;\n\n if (from == 0 && to == this.text.length) { return this }\n return this.withText(this.text.slice(from, to))\n };\n\n TextNode.prototype.eq = function eq (other) {\n return this.sameMarkup(other) && this.text == other.text\n };\n\n TextNode.prototype.toJSON = function toJSON () {\n var base = Node.prototype.toJSON.call(this);\n base.text = this.text;\n return base\n };\n\n Object.defineProperties( TextNode.prototype, prototypeAccessors$1 );\n\n return TextNode;\n}(Node));\n\nfunction wrapMarks(marks, str) {\n for (var i = marks.length - 1; i >= 0; i--)\n { str = marks[i].type.name + \"(\" + str + \")\"; }\n return str\n}\n\n// ::- Instances of this class represent a match state of a node\n// type's [content expression](#model.NodeSpec.content), and can be\n// used to find out whether further content matches here, and whether\n// a given position is a valid end of the node.\nvar ContentMatch = function ContentMatch(validEnd) {\n // :: bool\n // True when this match state represents a valid end of the node.\n this.validEnd = validEnd;\n this.next = [];\n this.wrapCache = [];\n};\n\nvar prototypeAccessors$4 = { inlineContent: { configurable: true },defaultType: { configurable: true },edgeCount: { configurable: true } };\n\nContentMatch.parse = function parse (string, nodeTypes) {\n var stream = new TokenStream(string, nodeTypes);\n if (stream.next == null) { return ContentMatch.empty }\n var expr = parseExpr(stream);\n if (stream.next) { stream.err(\"Unexpected trailing text\"); }\n var match = dfa(nfa(expr));\n checkForDeadEnds(match, stream);\n return match\n};\n\n// :: (NodeType) → ?ContentMatch\n// Match a node type, returning a match after that node if\n// successful.\nContentMatch.prototype.matchType = function matchType (type) {\n for (var i = 0; i < this.next.length; i += 2)\n { if (this.next[i] == type) { return this.next[i + 1] } }\n return null\n};\n\n// :: (Fragment, ?number, ?number) → ?ContentMatch\n// Try to match a fragment. Returns the resulting match when\n// successful.\nContentMatch.prototype.matchFragment = function matchFragment (frag, start, end) {\n if ( start === void 0 ) start = 0;\n if ( end === void 0 ) end = frag.childCount;\n\n var cur = this;\n for (var i = start; cur && i < end; i++)\n { cur = cur.matchType(frag.child(i).type); }\n return cur\n};\n\nprototypeAccessors$4.inlineContent.get = function () {\n var first = this.next[0];\n return first ? first.isInline : false\n};\n\n// :: ?NodeType\n// Get the first matching node type at this match position that can\n// be generated.\nprototypeAccessors$4.defaultType.get = function () {\n for (var i = 0; i < this.next.length; i += 2) {\n var type = this.next[i];\n if (!(type.isText || type.hasRequiredAttrs())) { return type }\n }\n};\n\nContentMatch.prototype.compatible = function compatible (other) {\n for (var i = 0; i < this.next.length; i += 2)\n { for (var j = 0; j < other.next.length; j += 2)\n { if (this.next[i] == other.next[j]) { return true } } }\n return false\n};\n\n// :: (Fragment, bool, ?number) → ?Fragment\n// Try to match the given fragment, and if that fails, see if it can\n// be made to match by inserting nodes in front of it. When\n// successful, return a fragment of inserted nodes (which may be\n// empty if nothing had to be inserted). When `toEnd` is true, only\n// return a fragment if the resulting match goes to the end of the\n// content expression.\nContentMatch.prototype.fillBefore = function fillBefore (after, toEnd, startIndex) {\n if ( toEnd === void 0 ) toEnd = false;\n if ( startIndex === void 0 ) startIndex = 0;\n\n var seen = [this];\n function search(match, types) {\n var finished = match.matchFragment(after, startIndex);\n if (finished && (!toEnd || finished.validEnd))\n { return Fragment.from(types.map(function (tp) { return tp.createAndFill(); })) }\n\n for (var i = 0; i < match.next.length; i += 2) {\n var type = match.next[i], next = match.next[i + 1];\n if (!(type.isText || type.hasRequiredAttrs()) && seen.indexOf(next) == -1) {\n seen.push(next);\n var found = search(next, types.concat(type));\n if (found) { return found }\n }\n }\n }\n\n return search(this, [])\n};\n\n// :: (NodeType) → ?[NodeType]\n// Find a set of wrapping node types that would allow a node of the\n// given type to appear at this position. The result may be empty\n// (when it fits directly) and will be null when no such wrapping\n// exists.\nContentMatch.prototype.findWrapping = function findWrapping (target) {\n for (var i = 0; i < this.wrapCache.length; i += 2)\n { if (this.wrapCache[i] == target) { return this.wrapCache[i + 1] } }\n var computed = this.computeWrapping(target);\n this.wrapCache.push(target, computed);\n return computed\n};\n\nContentMatch.prototype.computeWrapping = function computeWrapping (target) {\n var seen = Object.create(null), active = [{match: this, type: null, via: null}];\n while (active.length) {\n var current = active.shift(), match = current.match;\n if (match.matchType(target)) {\n var result = [];\n for (var obj = current; obj.type; obj = obj.via)\n { result.push(obj.type); }\n return result.reverse()\n }\n for (var i = 0; i < match.next.length; i += 2) {\n var type = match.next[i];\n if (!type.isLeaf && !type.hasRequiredAttrs() && !(type.name in seen) && (!current.type || match.next[i + 1].validEnd)) {\n active.push({match: type.contentMatch, type: type, via: current});\n seen[type.name] = true;\n }\n }\n }\n};\n\n// :: number\n// The number of outgoing edges this node has in the finite\n// automaton that describes the content expression.\nprototypeAccessors$4.edgeCount.get = function () {\n return this.next.length >> 1\n};\n\n// :: (number) → {type: NodeType, next: ContentMatch}\n// Get the _n_​th outgoing edge from this node in the finite\n// automaton that describes the content expression.\nContentMatch.prototype.edge = function edge (n) {\n var i = n << 1;\n if (i >= this.next.length) { throw new RangeError((\"There's no \" + n + \"th edge in this content match\")) }\n return {type: this.next[i], next: this.next[i + 1]}\n};\n\nContentMatch.prototype.toString = function toString () {\n var seen = [];\n function scan(m) {\n seen.push(m);\n for (var i = 1; i < m.next.length; i += 2)\n { if (seen.indexOf(m.next[i]) == -1) { scan(m.next[i]); } }\n }\n scan(this);\n return seen.map(function (m, i) {\n var out = i + (m.validEnd ? \"*\" : \" \") + \" \";\n for (var i$1 = 0; i$1 < m.next.length; i$1 += 2)\n { out += (i$1 ? \", \" : \"\") + m.next[i$1].name + \"->\" + seen.indexOf(m.next[i$1 + 1]); }\n return out\n }).join(\"\\n\")\n};\n\nObject.defineProperties( ContentMatch.prototype, prototypeAccessors$4 );\n\nContentMatch.empty = new ContentMatch(true);\n\nvar TokenStream = function TokenStream(string, nodeTypes) {\n this.string = string;\n this.nodeTypes = nodeTypes;\n this.inline = null;\n this.pos = 0;\n this.tokens = string.split(/\\s*(?=\\b|\\W|$)/);\n if (this.tokens[this.tokens.length - 1] == \"\") { this.tokens.pop(); }\n if (this.tokens[0] == \"\") { this.tokens.unshift(); }\n};\n\nvar prototypeAccessors$1$2 = { next: { configurable: true } };\n\nprototypeAccessors$1$2.next.get = function () { return this.tokens[this.pos] };\n\nTokenStream.prototype.eat = function eat (tok) { return this.next == tok && (this.pos++ || true) };\n\nTokenStream.prototype.err = function err (str) { throw new SyntaxError(str + \" (in content expression '\" + this.string + \"')\") };\n\nObject.defineProperties( TokenStream.prototype, prototypeAccessors$1$2 );\n\nfunction parseExpr(stream) {\n var exprs = [];\n do { exprs.push(parseExprSeq(stream)); }\n while (stream.eat(\"|\"))\n return exprs.length == 1 ? exprs[0] : {type: \"choice\", exprs: exprs}\n}\n\nfunction parseExprSeq(stream) {\n var exprs = [];\n do { exprs.push(parseExprSubscript(stream)); }\n while (stream.next && stream.next != \")\" && stream.next != \"|\")\n return exprs.length == 1 ? exprs[0] : {type: \"seq\", exprs: exprs}\n}\n\nfunction parseExprSubscript(stream) {\n var expr = parseExprAtom(stream);\n for (;;) {\n if (stream.eat(\"+\"))\n { expr = {type: \"plus\", expr: expr}; }\n else if (stream.eat(\"*\"))\n { expr = {type: \"star\", expr: expr}; }\n else if (stream.eat(\"?\"))\n { expr = {type: \"opt\", expr: expr}; }\n else if (stream.eat(\"{\"))\n { expr = parseExprRange(stream, expr); }\n else { break }\n }\n return expr\n}\n\nfunction parseNum(stream) {\n if (/\\D/.test(stream.next)) { stream.err(\"Expected number, got '\" + stream.next + \"'\"); }\n var result = Number(stream.next);\n stream.pos++;\n return result\n}\n\nfunction parseExprRange(stream, expr) {\n var min = parseNum(stream), max = min;\n if (stream.eat(\",\")) {\n if (stream.next != \"}\") { max = parseNum(stream); }\n else { max = -1; }\n }\n if (!stream.eat(\"}\")) { stream.err(\"Unclosed braced range\"); }\n return {type: \"range\", min: min, max: max, expr: expr}\n}\n\nfunction resolveName(stream, name) {\n var types = stream.nodeTypes, type = types[name];\n if (type) { return [type] }\n var result = [];\n for (var typeName in types) {\n var type$1 = types[typeName];\n if (type$1.groups.indexOf(name) > -1) { result.push(type$1); }\n }\n if (result.length == 0) { stream.err(\"No node type or group '\" + name + \"' found\"); }\n return result\n}\n\nfunction parseExprAtom(stream) {\n if (stream.eat(\"(\")) {\n var expr = parseExpr(stream);\n if (!stream.eat(\")\")) { stream.err(\"Missing closing paren\"); }\n return expr\n } else if (!/\\W/.test(stream.next)) {\n var exprs = resolveName(stream, stream.next).map(function (type) {\n if (stream.inline == null) { stream.inline = type.isInline; }\n else if (stream.inline != type.isInline) { stream.err(\"Mixing inline and block content\"); }\n return {type: \"name\", value: type}\n });\n stream.pos++;\n return exprs.length == 1 ? exprs[0] : {type: \"choice\", exprs: exprs}\n } else {\n stream.err(\"Unexpected token '\" + stream.next + \"'\");\n }\n}\n\n// The code below helps compile a regular-expression-like language\n// into a deterministic finite automaton. For a good introduction to\n// these concepts, see https://swtch.com/~rsc/regexp/regexp1.html\n\n// : (Object) → [[{term: ?any, to: number}]]\n// Construct an NFA from an expression as returned by the parser. The\n// NFA is represented as an array of states, which are themselves\n// arrays of edges, which are `{term, to}` objects. The first state is\n// the entry state and the last node is the success state.\n//\n// Note that unlike typical NFAs, the edge ordering in this one is\n// significant, in that it is used to contruct filler content when\n// necessary.\nfunction nfa(expr) {\n var nfa = [[]];\n connect(compile(expr, 0), node());\n return nfa\n\n function node() { return nfa.push([]) - 1 }\n function edge(from, to, term) {\n var edge = {term: term, to: to};\n nfa[from].push(edge);\n return edge\n }\n function connect(edges, to) { edges.forEach(function (edge) { return edge.to = to; }); }\n\n function compile(expr, from) {\n if (expr.type == \"choice\") {\n return expr.exprs.reduce(function (out, expr) { return out.concat(compile(expr, from)); }, [])\n } else if (expr.type == \"seq\") {\n for (var i = 0;; i++) {\n var next = compile(expr.exprs[i], from);\n if (i == expr.exprs.length - 1) { return next }\n connect(next, from = node());\n }\n } else if (expr.type == \"star\") {\n var loop = node();\n edge(from, loop);\n connect(compile(expr.expr, loop), loop);\n return [edge(loop)]\n } else if (expr.type == \"plus\") {\n var loop$1 = node();\n connect(compile(expr.expr, from), loop$1);\n connect(compile(expr.expr, loop$1), loop$1);\n return [edge(loop$1)]\n } else if (expr.type == \"opt\") {\n return [edge(from)].concat(compile(expr.expr, from))\n } else if (expr.type == \"range\") {\n var cur = from;\n for (var i$1 = 0; i$1 < expr.min; i$1++) {\n var next$1 = node();\n connect(compile(expr.expr, cur), next$1);\n cur = next$1;\n }\n if (expr.max == -1) {\n connect(compile(expr.expr, cur), cur);\n } else {\n for (var i$2 = expr.min; i$2 < expr.max; i$2++) {\n var next$2 = node();\n edge(cur, next$2);\n connect(compile(expr.expr, cur), next$2);\n cur = next$2;\n }\n }\n return [edge(cur)]\n } else if (expr.type == \"name\") {\n return [edge(from, null, expr.value)]\n }\n }\n}\n\nfunction cmp(a, b) { return b - a }\n\n// Get the set of nodes reachable by null edges from `node`. Omit\n// nodes with only a single null-out-edge, since they may lead to\n// needless duplicated nodes.\nfunction nullFrom(nfa, node) {\n var result = [];\n scan(node);\n return result.sort(cmp)\n\n function scan(node) {\n var edges = nfa[node];\n if (edges.length == 1 && !edges[0].term) { return scan(edges[0].to) }\n result.push(node);\n for (var i = 0; i < edges.length; i++) {\n var ref = edges[i];\n var term = ref.term;\n var to = ref.to;\n if (!term && result.indexOf(to) == -1) { scan(to); }\n }\n }\n}\n\n// : ([[{term: ?any, to: number}]]) → ContentMatch\n// Compiles an NFA as produced by `nfa` into a DFA, modeled as a set\n// of state objects (`ContentMatch` instances) with transitions\n// between them.\nfunction dfa(nfa) {\n var labeled = Object.create(null);\n return explore(nullFrom(nfa, 0))\n\n function explore(states) {\n var out = [];\n states.forEach(function (node) {\n nfa[node].forEach(function (ref) {\n var term = ref.term;\n var to = ref.to;\n\n if (!term) { return }\n var known = out.indexOf(term), set = known > -1 && out[known + 1];\n nullFrom(nfa, to).forEach(function (node) {\n if (!set) { out.push(term, set = []); }\n if (set.indexOf(node) == -1) { set.push(node); }\n });\n });\n });\n var state = labeled[states.join(\",\")] = new ContentMatch(states.indexOf(nfa.length - 1) > -1);\n for (var i = 0; i < out.length; i += 2) {\n var states$1 = out[i + 1].sort(cmp);\n state.next.push(out[i], labeled[states$1.join(\",\")] || explore(states$1));\n }\n return state\n }\n}\n\nfunction checkForDeadEnds(match, stream) {\n for (var i = 0, work = [match]; i < work.length; i++) {\n var state = work[i], dead = !state.validEnd, nodes = [];\n for (var j = 0; j < state.next.length; j += 2) {\n var node = state.next[j], next = state.next[j + 1];\n nodes.push(node.name);\n if (dead && !(node.isText || node.hasRequiredAttrs())) { dead = false; }\n if (work.indexOf(next) == -1) { work.push(next); }\n }\n if (dead) { stream.err(\"Only non-generatable nodes (\" + nodes.join(\", \") + \") in a required position (see https://prosemirror.net/docs/guide/#generatable)\"); }\n }\n}\n\n// For node types where all attrs have a default value (or which don't\n// have any attributes), build up a single reusable default attribute\n// object, and use it for all nodes that don't specify specific\n// attributes.\nfunction defaultAttrs(attrs) {\n var defaults = Object.create(null);\n for (var attrName in attrs) {\n var attr = attrs[attrName];\n if (!attr.hasDefault) { return null }\n defaults[attrName] = attr.default;\n }\n return defaults\n}\n\nfunction computeAttrs(attrs, value) {\n var built = Object.create(null);\n for (var name in attrs) {\n var given = value && value[name];\n if (given === undefined) {\n var attr = attrs[name];\n if (attr.hasDefault) { given = attr.default; }\n else { throw new RangeError(\"No value supplied for attribute \" + name) }\n }\n built[name] = given;\n }\n return built\n}\n\nfunction initAttrs(attrs) {\n var result = Object.create(null);\n if (attrs) { for (var name in attrs) { result[name] = new Attribute(attrs[name]); } }\n return result\n}\n\n// ::- Node types are objects allocated once per `Schema` and used to\n// [tag](#model.Node.type) `Node` instances. They contain information\n// about the node type, such as its name and what kind of node it\n// represents.\nvar NodeType = function NodeType(name, schema, spec) {\n // :: string\n // The name the node type has in this schema.\n this.name = name;\n\n // :: Schema\n // A link back to the `Schema` the node type belongs to.\n this.schema = schema;\n\n // :: NodeSpec\n // The spec that this type is based on\n this.spec = spec;\n\n this.groups = spec.group ? spec.group.split(\" \") : [];\n this.attrs = initAttrs(spec.attrs);\n\n this.defaultAttrs = defaultAttrs(this.attrs);\n\n // :: ContentMatch\n // The starting match of the node type's content expression.\n this.contentMatch = null;\n\n // : ?[MarkType]\n // The set of marks allowed in this node. `null` means all marks\n // are allowed.\n this.markSet = null;\n\n // :: bool\n // True if this node type has inline content.\n this.inlineContent = null;\n\n // :: bool\n // True if this is a block type\n this.isBlock = !(spec.inline || name == \"text\");\n\n // :: bool\n // True if this is the text node type.\n this.isText = name == \"text\";\n};\n\nvar prototypeAccessors$5 = { isInline: { configurable: true },isTextblock: { configurable: true },isLeaf: { configurable: true },isAtom: { configurable: true } };\n\n// :: bool\n// True if this is an inline type.\nprototypeAccessors$5.isInline.get = function () { return !this.isBlock };\n\n// :: bool\n// True if this is a textblock type, a block that contains inline\n// content.\nprototypeAccessors$5.isTextblock.get = function () { return this.isBlock && this.inlineContent };\n\n// :: bool\n// True for node types that allow no content.\nprototypeAccessors$5.isLeaf.get = function () { return this.contentMatch == ContentMatch.empty };\n\n// :: bool\n// True when this node is an atom, i.e. when it does not have\n// directly editable content.\nprototypeAccessors$5.isAtom.get = function () { return this.isLeaf || this.spec.atom };\n\n// :: () → bool\n// Tells you whether this node type has any required attributes.\nNodeType.prototype.hasRequiredAttrs = function hasRequiredAttrs () {\n for (var n in this.attrs) { if (this.attrs[n].isRequired) { return true } }\n return false\n};\n\nNodeType.prototype.compatibleContent = function compatibleContent (other) {\n return this == other || this.contentMatch.compatible(other.contentMatch)\n};\n\nNodeType.prototype.computeAttrs = function computeAttrs$1 (attrs) {\n if (!attrs && this.defaultAttrs) { return this.defaultAttrs }\n else { return computeAttrs(this.attrs, attrs) }\n};\n\n// :: (?Object, ?union<Fragment, Node, [Node]>, ?[Mark]) → Node\n// Create a `Node` of this type. The given attributes are\n// checked and defaulted (you can pass `null` to use the type's\n// defaults entirely, if no required attributes exist). `content`\n// may be a `Fragment`, a node, an array of nodes, or\n// `null`. Similarly `marks` may be `null` to default to the empty\n// set of marks.\nNodeType.prototype.create = function create (attrs, content, marks) {\n if (this.isText) { throw new Error(\"NodeType.create can't construct text nodes\") }\n return new Node(this, this.computeAttrs(attrs), Fragment.from(content), Mark.setFrom(marks))\n};\n\n// :: (?Object, ?union<Fragment, Node, [Node]>, ?[Mark]) → Node\n// Like [`create`](#model.NodeType.create), but check the given content\n// against the node type's content restrictions, and throw an error\n// if it doesn't match.\nNodeType.prototype.createChecked = function createChecked (attrs, content, marks) {\n content = Fragment.from(content);\n if (!this.validContent(content))\n { throw new RangeError(\"Invalid content for node \" + this.name) }\n return new Node(this, this.computeAttrs(attrs), content, Mark.setFrom(marks))\n};\n\n// :: (?Object, ?union<Fragment, Node, [Node]>, ?[Mark]) → ?Node\n// Like [`create`](#model.NodeType.create), but see if it is necessary to\n// add nodes to the start or end of the given fragment to make it\n// fit the node. If no fitting wrapping can be found, return null.\n// Note that, due to the fact that required nodes can always be\n// created, this will always succeed if you pass null or\n// `Fragment.empty` as content.\nNodeType.prototype.createAndFill = function createAndFill (attrs, content, marks) {\n attrs = this.computeAttrs(attrs);\n content = Fragment.from(content);\n if (content.size) {\n var before = this.contentMatch.fillBefore(content);\n if (!before) { return null }\n content = before.append(content);\n }\n var after = this.contentMatch.matchFragment(content).fillBefore(Fragment.empty, true);\n if (!after) { return null }\n return new Node(this, attrs, content.append(after), Mark.setFrom(marks))\n};\n\n// :: (Fragment) → bool\n// Returns true if the given fragment is valid content for this node\n// type with the given attributes.\nNodeType.prototype.validContent = function validContent (content) {\n var result = this.contentMatch.matchFragment(content);\n if (!result || !result.validEnd) { return false }\n for (var i = 0; i < content.childCount; i++)\n { if (!this.allowsMarks(content.child(i).marks)) { return false } }\n return true\n};\n\n// :: (MarkType) → bool\n// Check whether the given mark type is allowed in this node.\nNodeType.prototype.allowsMarkType = function allowsMarkType (markType) {\n return this.markSet == null || this.markSet.indexOf(markType) > -1\n};\n\n// :: ([Mark]) → bool\n// Test whether the given set of marks are allowed in this node.\nNodeType.prototype.allowsMarks = function allowsMarks (marks) {\n if (this.markSet == null) { return true }\n for (var i = 0; i < marks.length; i++) { if (!this.allowsMarkType(marks[i].type)) { return false } }\n return true\n};\n\n// :: ([Mark]) → [Mark]\n// Removes the marks that are not allowed in this node from the given set.\nNodeType.prototype.allowedMarks = function allowedMarks (marks) {\n if (this.markSet == null) { return marks }\n var copy;\n for (var i = 0; i < marks.length; i++) {\n if (!this.allowsMarkType(marks[i].type)) {\n if (!copy) { copy = marks.slice(0, i); }\n } else if (copy) {\n copy.push(marks[i]);\n }\n }\n return !copy ? marks : copy.length ? copy : Mark.empty\n};\n\nNodeType.compile = function compile (nodes, schema) {\n var result = Object.create(null);\n nodes.forEach(function (name, spec) { return result[name] = new NodeType(name, schema, spec); });\n\n var topType = schema.spec.topNode || \"doc\";\n if (!result[topType]) { throw new RangeError(\"Schema is missing its top node type ('\" + topType + \"')\") }\n if (!result.text) { throw new RangeError(\"Every schema needs a 'text' type\") }\n for (var _ in result.text.attrs) { throw new RangeError(\"The text node type should not have attributes\") }\n\n return result\n};\n\nObject.defineProperties( NodeType.prototype, prototypeAccessors$5 );\n\n// Attribute descriptors\n\nvar Attribute = function Attribute(options) {\n this.hasDefault = Object.prototype.hasOwnProperty.call(options, \"default\");\n this.default = options.default;\n};\n\nvar prototypeAccessors$1$3 = { isRequired: { configurable: true } };\n\nprototypeAccessors$1$3.isRequired.get = function () {\n return !this.hasDefault\n};\n\nObject.defineProperties( Attribute.prototype, prototypeAccessors$1$3 );\n\n// Marks\n\n// ::- Like nodes, marks (which are associated with nodes to signify\n// things like emphasis or being part of a link) are\n// [tagged](#model.Mark.type) with type objects, which are\n// instantiated once per `Schema`.\nvar MarkType = function MarkType(name, rank, schema, spec) {\n // :: string\n // The name of the mark type.\n this.name = name;\n\n // :: Schema\n // The schema that this mark type instance is part of.\n this.schema = schema;\n\n // :: MarkSpec\n // The spec on which the type is based.\n this.spec = spec;\n\n this.attrs = initAttrs(spec.attrs);\n\n this.rank = rank;\n this.excluded = null;\n var defaults = defaultAttrs(this.attrs);\n this.instance = defaults && new Mark(this, defaults);\n};\n\n// :: (?Object) → Mark\n// Create a mark of this type. `attrs` may be `null` or an object\n// containing only some of the mark's attributes. The others, if\n// they have defaults, will be added.\nMarkType.prototype.create = function create (attrs) {\n if (!attrs && this.instance) { return this.instance }\n return new Mark(this, computeAttrs(this.attrs, attrs))\n};\n\nMarkType.compile = function compile (marks, schema) {\n var result = Object.create(null), rank = 0;\n marks.forEach(function (name, spec) { return result[name] = new MarkType(name, rank++, schema, spec); });\n return result\n};\n\n// :: ([Mark]) → [Mark]\n// When there is a mark of this type in the given set, a new set\n// without it is returned. Otherwise, the input set is returned.\nMarkType.prototype.removeFromSet = function removeFromSet (set) {\n for (var i = 0; i < set.length; i++)\n { if (set[i].type == this)\n { return set.slice(0, i).concat(set.slice(i + 1)) } }\n return set\n};\n\n// :: ([Mark]) → ?Mark\n// Tests whether there is a mark of this type in the given set.\nMarkType.prototype.isInSet = function isInSet (set) {\n for (var i = 0; i < set.length; i++)\n { if (set[i].type == this) { return set[i] } }\n};\n\n// :: (MarkType) → bool\n// Queries whether a given mark type is\n// [excluded](#model.MarkSpec.excludes) by this one.\nMarkType.prototype.excludes = function excludes (other) {\n return this.excluded.indexOf(other) > -1\n};\n\n// SchemaSpec:: interface\n// An object describing a schema, as passed to the [`Schema`](#model.Schema)\n// constructor.\n//\n// nodes:: union<Object<NodeSpec>, OrderedMap<NodeSpec>>\n// The node types in this schema. Maps names to\n// [`NodeSpec`](#model.NodeSpec) objects that describe the node type\n// associated with that name. Their order is significant—it\n// determines which [parse rules](#model.NodeSpec.parseDOM) take\n// precedence by default, and which nodes come first in a given\n// [group](#model.NodeSpec.group).\n//\n// marks:: ?union<Object<MarkSpec>, OrderedMap<MarkSpec>>\n// The mark types that exist in this schema. The order in which they\n// are provided determines the order in which [mark\n// sets](#model.Mark.addToSet) are sorted and in which [parse\n// rules](#model.MarkSpec.parseDOM) are tried.\n//\n// topNode:: ?string\n// The name of the default top-level node for the schema. Defaults\n// to `\"doc\"`.\n\n// NodeSpec:: interface\n//\n// content:: ?string\n// The content expression for this node, as described in the [schema\n// guide](/docs/guide/#schema.content_expressions). When not given,\n// the node does not allow any content.\n//\n// marks:: ?string\n// The marks that are allowed inside of this node. May be a\n// space-separated string referring to mark names or groups, `\"_\"`\n// to explicitly allow all marks, or `\"\"` to disallow marks. When\n// not given, nodes with inline content default to allowing all\n// marks, other nodes default to not allowing marks.\n//\n// group:: ?string\n// The group or space-separated groups to which this node belongs,\n// which can be referred to in the content expressions for the\n// schema.\n//\n// inline:: ?bool\n// Should be set to true for inline nodes. (Implied for text nodes.)\n//\n// atom:: ?bool\n// Can be set to true to indicate that, though this isn't a [leaf\n// node](#model.NodeType.isLeaf), it doesn't have directly editable\n// content and should be treated as a single unit in the view.\n//\n// attrs:: ?Object<AttributeSpec>\n// The attributes that nodes of this type get.\n//\n// selectable:: ?bool\n// Controls whether nodes of this type can be selected as a [node\n// selection](#state.NodeSelection). Defaults to true for non-text\n// nodes.\n//\n// draggable:: ?bool\n// Determines whether nodes of this type can be dragged without\n// being selected. Defaults to false.\n//\n// code:: ?bool\n// Can be used to indicate that this node contains code, which\n// causes some commands to behave differently.\n//\n// defining:: ?bool\n// Determines whether this node is considered an important parent\n// node during replace operations (such as paste). Non-defining (the\n// default) nodes get dropped when their entire content is replaced,\n// whereas defining nodes persist and wrap the inserted content.\n// Likewise, in _inserted_ content the defining parents of the\n// content are preserved when possible. Typically,\n// non-default-paragraph textblock types, and possibly list items,\n// are marked as defining.\n//\n// isolating:: ?bool\n// When enabled (default is false), the sides of nodes of this type\n// count as boundaries that regular editing operations, like\n// backspacing or lifting, won't cross. An example of a node that\n// should probably have this enabled is a table cell.\n//\n// toDOM:: ?(node: Node) → DOMOutputSpec\n// Defines the default way a node of this type should be serialized\n// to DOM/HTML (as used by\n// [`DOMSerializer.fromSchema`](#model.DOMSerializer^fromSchema)).\n// Should return a DOM node or an [array\n// structure](#model.DOMOutputSpec) that describes one, with an\n// optional number zero (“hole”) in it to indicate where the node's\n// content should be inserted.\n//\n// For text nodes, the default is to create a text DOM node. Though\n// it is possible to create a serializer where text is rendered\n// differently, this is not supported inside the editor, so you\n// shouldn't override that in your text node spec.\n//\n// parseDOM:: ?[ParseRule]\n// Associates DOM parser information with this node, which can be\n// used by [`DOMParser.fromSchema`](#model.DOMParser^fromSchema) to\n// automatically derive a parser. The `node` field in the rules is\n// implied (the name of this node will be filled in automatically).\n// If you supply your own parser, you do not need to also specify\n// parsing rules in your schema.\n//\n// toDebugString:: ?(node: Node) -> string\n// Defines the default way a node of this type should be serialized\n// to a string representation for debugging (e.g. in error messages).\n\n// MarkSpec:: interface\n//\n// attrs:: ?Object<AttributeSpec>\n// The attributes that marks of this type get.\n//\n// inclusive:: ?bool\n// Whether this mark should be active when the cursor is positioned\n// at its end (or at its start when that is also the start of the\n// parent node). Defaults to true.\n//\n// excludes:: ?string\n// Determines which other marks this mark can coexist with. Should\n// be a space-separated strings naming other marks or groups of marks.\n// When a mark is [added](#model.Mark.addToSet) to a set, all marks\n// that it excludes are removed in the process. If the set contains\n// any mark that excludes the new mark but is not, itself, excluded\n// by the new mark, the mark can not be added an the set. You can\n// use the value `\"_\"` to indicate that the mark excludes all\n// marks in the schema.\n//\n// Defaults to only being exclusive with marks of the same type. You\n// can set it to an empty string (or any string not containing the\n// mark's own name) to allow multiple marks of a given type to\n// coexist (as long as they have different attributes).\n//\n// group:: ?string\n// The group or space-separated groups to which this mark belongs.\n//\n// spanning:: ?bool\n// Determines whether marks of this type can span multiple adjacent\n// nodes when serialized to DOM/HTML. Defaults to true.\n//\n// toDOM:: ?(mark: Mark, inline: bool) → DOMOutputSpec\n// Defines the default way marks of this type should be serialized\n// to DOM/HTML. When the resulting spec contains a hole, that is\n// where the marked content is placed. Otherwise, it is appended to\n// the top node.\n//\n// parseDOM:: ?[ParseRule]\n// Associates DOM parser information with this mark (see the\n// corresponding [node spec field](#model.NodeSpec.parseDOM)). The\n// `mark` field in the rules is implied.\n\n// AttributeSpec:: interface\n//\n// Used to [define](#model.NodeSpec.attrs) attributes on nodes or\n// marks.\n//\n// default:: ?any\n// The default value for this attribute, to use when no explicit\n// value is provided. Attributes that have no default must be\n// provided whenever a node or mark of a type that has them is\n// created.\n\n// ::- A document schema. Holds [node](#model.NodeType) and [mark\n// type](#model.MarkType) objects for the nodes and marks that may\n// occur in conforming documents, and provides functionality for\n// creating and deserializing such documents.\nvar Schema = function Schema(spec) {\n // :: SchemaSpec\n // The [spec](#model.SchemaSpec) on which the schema is based,\n // with the added guarantee that its `nodes` and `marks`\n // properties are\n // [`OrderedMap`](https://github.com/marijnh/orderedmap) instances\n // (not raw objects).\n this.spec = {};\n for (var prop in spec) { this.spec[prop] = spec[prop]; }\n this.spec.nodes = OrderedMap.from(spec.nodes);\n this.spec.marks = OrderedMap.from(spec.marks);\n\n // :: Object<NodeType>\n // An object mapping the schema's node names to node type objects.\n this.nodes = NodeType.compile(this.spec.nodes, this);\n\n // :: Object<MarkType>\n // A map from mark names to mark type objects.\n this.marks = MarkType.compile(this.spec.marks, this);\n\n var contentExprCache = Object.create(null);\n for (var prop$1 in this.nodes) {\n if (prop$1 in this.marks)\n { throw new RangeError(prop$1 + \" can not be both a node and a mark\") }\n var type = this.nodes[prop$1], contentExpr = type.spec.content || \"\", markExpr = type.spec.marks;\n type.contentMatch = contentExprCache[contentExpr] ||\n (contentExprCache[contentExpr] = ContentMatch.parse(contentExpr, this.nodes));\n type.inlineContent = type.contentMatch.inlineContent;\n type.markSet = markExpr == \"_\" ? null :\n markExpr ? gatherMarks(this, markExpr.split(\" \")) :\n markExpr == \"\" || !type.inlineContent ? [] : null;\n }\n for (var prop$2 in this.marks) {\n var type$1 = this.marks[prop$2], excl = type$1.spec.excludes;\n type$1.excluded = excl == null ? [type$1] : excl == \"\" ? [] : gatherMarks(this, excl.split(\" \"));\n }\n\n this.nodeFromJSON = this.nodeFromJSON.bind(this);\n this.markFromJSON = this.markFromJSON.bind(this);\n\n // :: NodeType\n // The type of the [default top node](#model.SchemaSpec.topNode)\n // for this schema.\n this.topNodeType = this.nodes[this.spec.topNode || \"doc\"];\n\n // :: Object\n // An object for storing whatever values modules may want to\n // compute and cache per schema. (If you want to store something\n // in it, try to use property names unlikely to clash.)\n this.cached = Object.create(null);\n this.cached.wrappings = Object.create(null);\n};\n\n// :: (union<string, NodeType>, ?Object, ?union<Fragment, Node, [Node]>, ?[Mark]) → Node\n// Create a node in this schema. The `type` may be a string or a\n// `NodeType` instance. Attributes will be extended\n// with defaults, `content` may be a `Fragment`,\n// `null`, a `Node`, or an array of nodes.\nSchema.prototype.node = function node (type, attrs, content, marks) {\n if (typeof type == \"string\")\n { type = this.nodeType(type); }\n else if (!(type instanceof NodeType))\n { throw new RangeError(\"Invalid node type: \" + type) }\n else if (type.schema != this)\n { throw new RangeError(\"Node type from different schema used (\" + type.name + \")\") }\n\n return type.createChecked(attrs, content, marks)\n};\n\n// :: (string, ?[Mark]) → Node\n// Create a text node in the schema. Empty text nodes are not\n// allowed.\nSchema.prototype.text = function text (text$1, marks) {\n var type = this.nodes.text;\n return new TextNode(type, type.defaultAttrs, text$1, Mark.setFrom(marks))\n};\n\n// :: (union<string, MarkType>, ?Object) → Mark\n// Create a mark with the given type and attributes.\nSchema.prototype.mark = function mark (type, attrs) {\n if (typeof type == \"string\") { type = this.marks[type]; }\n return type.create(attrs)\n};\n\n// :: (Object) → Node\n// Deserialize a node from its JSON representation. This method is\n// bound.\nSchema.prototype.nodeFromJSON = function nodeFromJSON (json) {\n return Node.fromJSON(this, json)\n};\n\n// :: (Object) → Mark\n// Deserialize a mark from its JSON representation. This method is\n// bound.\nSchema.prototype.markFromJSON = function markFromJSON (json) {\n return Mark.fromJSON(this, json)\n};\n\nSchema.prototype.nodeType = function nodeType (name) {\n var found = this.nodes[name];\n if (!found) { throw new RangeError(\"Unknown node type: \" + name) }\n return found\n};\n\nfunction gatherMarks(schema, marks) {\n var found = [];\n for (var i = 0; i < marks.length; i++) {\n var name = marks[i], mark = schema.marks[name], ok = mark;\n if (mark) {\n found.push(mark);\n } else {\n for (var prop in schema.marks) {\n var mark$1 = schema.marks[prop];\n if (name == \"_\" || (mark$1.spec.group && mark$1.spec.group.split(\" \").indexOf(name) > -1))\n { found.push(ok = mark$1); }\n }\n }\n if (!ok) { throw new SyntaxError(\"Unknown mark type: '\" + marks[i] + \"'\") }\n }\n return found\n}\n\n// ParseOptions:: interface\n// These are the options recognized by the\n// [`parse`](#model.DOMParser.parse) and\n// [`parseSlice`](#model.DOMParser.parseSlice) methods.\n//\n// preserveWhitespace:: ?union<bool, \"full\">\n// By default, whitespace is collapsed as per HTML's rules. Pass\n// `true` to preserve whitespace, but normalize newlines to\n// spaces, and `\"full\"` to preserve whitespace entirely.\n//\n// findPositions:: ?[{node: dom.Node, offset: number}]\n// When given, the parser will, beside parsing the content,\n// record the document positions of the given DOM positions. It\n// will do so by writing to the objects, adding a `pos` property\n// that holds the document position. DOM positions that are not\n// in the parsed content will not be written to.\n//\n// from:: ?number\n// The child node index to start parsing from.\n//\n// to:: ?number\n// The child node index to stop parsing at.\n//\n// topNode:: ?Node\n// By default, the content is parsed into the schema's default\n// [top node type](#model.Schema.topNodeType). You can pass this\n// option to use the type and attributes from a different node\n// as the top container.\n//\n// topMatch:: ?ContentMatch\n// Provide the starting content match that content parsed into the\n// top node is matched against.\n//\n// context:: ?ResolvedPos\n// A set of additional nodes to count as\n// [context](#model.ParseRule.context) when parsing, above the\n// given [top node](#model.ParseOptions.topNode).\n\n// ParseRule:: interface\n// A value that describes how to parse a given DOM node or inline\n// style as a ProseMirror node or mark.\n//\n// tag:: ?string\n// A CSS selector describing the kind of DOM elements to match. A\n// single rule should have _either_ a `tag` or a `style` property.\n//\n// namespace:: ?string\n// The namespace to match. This should be used with `tag`.\n// Nodes are only matched when the namespace matches or this property\n// is null.\n//\n// style:: ?string\n// A CSS property name to match. When given, this rule matches\n// inline styles that list that property. May also have the form\n// `\"property=value\"`, in which case the rule only matches if the\n// propery's value exactly matches the given value. (For more\n// complicated filters, use [`getAttrs`](#model.ParseRule.getAttrs)\n// and return false to indicate that the match failed.)\n//\n// priority:: ?number\n// Can be used to change the order in which the parse rules in a\n// schema are tried. Those with higher priority come first. Rules\n// without a priority are counted as having priority 50. This\n// property is only meaningful in a schema—when directly\n// constructing a parser, the order of the rule array is used.\n//\n// context:: ?string\n// When given, restricts this rule to only match when the current\n// context—the parent nodes into which the content is being\n// parsed—matches this expression. Should contain one or more node\n// names or node group names followed by single or double slashes.\n// For example `\"paragraph/\"` means the rule only matches when the\n// parent node is a paragraph, `\"blockquote/paragraph/\"` restricts\n// it to be in a paragraph that is inside a blockquote, and\n// `\"section//\"` matches any position inside a section—a double\n// slash matches any sequence of ancestor nodes. To allow multiple\n// different contexts, they can be separated by a pipe (`|`)\n// character, as in `\"blockquote/|list_item/\"`.\n//\n// node:: ?string\n// The name of the node type to create when this rule matches. Only\n// valid for rules with a `tag` property, not for style rules. Each\n// rule should have one of a `node`, `mark`, or `ignore` property\n// (except when it appears in a [node](#model.NodeSpec.parseDOM) or\n// [mark spec](#model.MarkSpec.parseDOM), in which case the `node`\n// or `mark` property will be derived from its position).\n//\n// mark:: ?string\n// The name of the mark type to wrap the matched content in.\n//\n// ignore:: ?bool\n// When true, ignore content that matches this rule.\n//\n// closeParent:: ?bool\n// When true, finding an element that matches this rule will close\n// the current node.\n//\n// skip:: ?bool\n// When true, ignore the node that matches this rule, but do parse\n// its content.\n//\n// attrs:: ?Object\n// Attributes for the node or mark created by this rule. When\n// `getAttrs` is provided, it takes precedence.\n//\n// getAttrs:: ?(union<dom.Node, string>) → ?union<Object, false>\n// A function used to compute the attributes for the node or mark\n// created by this rule. Can also be used to describe further\n// conditions the DOM element or style must match. When it returns\n// `false`, the rule won't match. When it returns null or undefined,\n// that is interpreted as an empty/default set of attributes.\n//\n// Called with a DOM Element for `tag` rules, and with a string (the\n// style's value) for `style` rules.\n//\n// contentElement:: ?union<string, (dom.Node) → dom.Node>\n// For `tag` rules that produce non-leaf nodes or marks, by default\n// the content of the DOM element is parsed as content of the mark\n// or node. If the child nodes are in a descendent node, this may be\n// a CSS selector string that the parser must use to find the actual\n// content element, or a function that returns the actual content\n// element to the parser.\n//\n// getContent:: ?(dom.Node, schema: Schema) → Fragment\n// Can be used to override the content of a matched node. When\n// present, instead of parsing the node's child nodes, the result of\n// this function is used.\n//\n// preserveWhitespace:: ?union<bool, \"full\">\n// Controls whether whitespace should be preserved when parsing the\n// content inside the matched element. `false` means whitespace may\n// be collapsed, `true` means that whitespace should be preserved\n// but newlines normalized to spaces, and `\"full\"` means that\n// newlines should also be preserved.\n\n// ::- A DOM parser represents a strategy for parsing DOM content into\n// a ProseMirror document conforming to a given schema. Its behavior\n// is defined by an array of [rules](#model.ParseRule).\nvar DOMParser = function DOMParser(schema, rules) {\n var this$1 = this;\n\n // :: Schema\n // The schema into which the parser parses.\n this.schema = schema;\n // :: [ParseRule]\n // The set of [parse rules](#model.ParseRule) that the parser\n // uses, in order of precedence.\n this.rules = rules;\n this.tags = [];\n this.styles = [];\n\n rules.forEach(function (rule) {\n if (rule.tag) { this$1.tags.push(rule); }\n else if (rule.style) { this$1.styles.push(rule); }\n });\n\n // Only normalize list elements when lists in the schema can't directly contain themselves\n this.normalizeLists = !this.tags.some(function (r) {\n if (!/^(ul|ol)\\b/.test(r.tag) || !r.node) { return false }\n var node = schema.nodes[r.node];\n return node.contentMatch.matchType(node)\n });\n};\n\n// :: (dom.Node, ?ParseOptions) → Node\n// Parse a document from the content of a DOM node.\nDOMParser.prototype.parse = function parse (dom, options) {\n if ( options === void 0 ) options = {};\n\n var context = new ParseContext(this, options, false);\n context.addAll(dom, null, options.from, options.to);\n return context.finish()\n};\n\n// :: (dom.Node, ?ParseOptions) → Slice\n// Parses the content of the given DOM node, like\n// [`parse`](#model.DOMParser.parse), and takes the same set of\n// options. But unlike that method, which produces a whole node,\n// this one returns a slice that is open at the sides, meaning that\n// the schema constraints aren't applied to the start of nodes to\n// the left of the input and the end of nodes at the end.\nDOMParser.prototype.parseSlice = function parseSlice (dom, options) {\n if ( options === void 0 ) options = {};\n\n var context = new ParseContext(this, options, true);\n context.addAll(dom, null, options.from, options.to);\n return Slice.maxOpen(context.finish())\n};\n\nDOMParser.prototype.matchTag = function matchTag (dom, context) {\n for (var i = 0; i < this.tags.length; i++) {\n var rule = this.tags[i];\n if (matches(dom, rule.tag) &&\n (rule.namespace === undefined || dom.namespaceURI == rule.namespace) &&\n (!rule.context || context.matchesContext(rule.context))) {\n if (rule.getAttrs) {\n var result = rule.getAttrs(dom);\n if (result === false) { continue }\n rule.attrs = result;\n }\n return rule\n }\n }\n};\n\nDOMParser.prototype.matchStyle = function matchStyle (prop, value, context) {\n for (var i = 0; i < this.styles.length; i++) {\n var rule = this.styles[i];\n if (rule.style.indexOf(prop) != 0 ||\n rule.context && !context.matchesContext(rule.context) ||\n // Test that the style string either precisely matches the prop,\n // or has an '=' sign after the prop, followed by the given\n // value.\n rule.style.length > prop.length &&\n (rule.style.charCodeAt(prop.length) != 61 || rule.style.slice(prop.length + 1) != value))\n { continue }\n if (rule.getAttrs) {\n var result = rule.getAttrs(value);\n if (result === false) { continue }\n rule.attrs = result;\n }\n return rule\n }\n};\n\n// : (Schema) → [ParseRule]\nDOMParser.schemaRules = function schemaRules (schema) {\n var result = [];\n function insert(rule) {\n var priority = rule.priority == null ? 50 : rule.priority, i = 0;\n for (; i < result.length; i++) {\n var next = result[i], nextPriority = next.priority == null ? 50 : next.priority;\n if (nextPriority < priority) { break }\n }\n result.splice(i, 0, rule);\n }\n\n var loop = function ( name ) {\n var rules = schema.marks[name].spec.parseDOM;\n if (rules) { rules.forEach(function (rule) {\n insert(rule = copy(rule));\n rule.mark = name;\n }); }\n };\n\n for (var name in schema.marks) loop( name );\n var loop$1 = function ( name ) {\n var rules$1 = schema.nodes[name$1].spec.parseDOM;\n if (rules$1) { rules$1.forEach(function (rule) {\n insert(rule = copy(rule));\n rule.node = name$1;\n }); }\n };\n\n for (var name$1 in schema.nodes) loop$1();\n return result\n};\n\n// :: (Schema) → DOMParser\n// Construct a DOM parser using the parsing rules listed in a\n// schema's [node specs](#model.NodeSpec.parseDOM), reordered by\n// [priority](#model.ParseRule.priority).\nDOMParser.fromSchema = function fromSchema (schema) {\n return schema.cached.domParser ||\n (schema.cached.domParser = new DOMParser(schema, DOMParser.schemaRules(schema)))\n};\n\n// : Object<bool> The block-level tags in HTML5\nvar blockTags = {\n address: true, article: true, aside: true, blockquote: true, canvas: true,\n dd: true, div: true, dl: true, fieldset: true, figcaption: true, figure: true,\n footer: true, form: true, h1: true, h2: true, h3: true, h4: true, h5: true,\n h6: true, header: true, hgroup: true, hr: true, li: true, noscript: true, ol: true,\n output: true, p: true, pre: true, section: true, table: true, tfoot: true, ul: true\n};\n\n// : Object<bool> The tags that we normally ignore.\nvar ignoreTags = {\n head: true, noscript: true, object: true, script: true, style: true, title: true\n};\n\n// : Object<bool> List tags.\nvar listTags = {ol: true, ul: true};\n\n// Using a bitfield for node context options\nvar OPT_PRESERVE_WS = 1, OPT_PRESERVE_WS_FULL = 2, OPT_OPEN_LEFT = 4;\n\nfunction wsOptionsFor(preserveWhitespace) {\n return (preserveWhitespace ? OPT_PRESERVE_WS : 0) | (preserveWhitespace === \"full\" ? OPT_PRESERVE_WS_FULL : 0)\n}\n\nvar NodeContext = function NodeContext(type, attrs, marks, pendingMarks, solid, match, options) {\n this.type = type;\n this.attrs = attrs;\n this.solid = solid;\n this.match = match || (options & OPT_OPEN_LEFT ? null : type.contentMatch);\n this.options = options;\n this.content = [];\n // Marks applied to this node itself\n this.marks = marks;\n // Marks applied to its children\n this.activeMarks = Mark.none;\n // Marks that can't apply here, but will be used in children if possible\n this.pendingMarks = pendingMarks;\n};\n\nNodeContext.prototype.findWrapping = function findWrapping (node) {\n if (!this.match) {\n if (!this.type) { return [] }\n var fill = this.type.contentMatch.fillBefore(Fragment.from(node));\n if (fill) {\n this.match = this.type.contentMatch.matchFragment(fill);\n } else {\n var start = this.type.contentMatch, wrap;\n if (wrap = start.findWrapping(node.type)) {\n this.match = start;\n return wrap\n } else {\n return null\n }\n }\n }\n return this.match.findWrapping(node.type)\n};\n\nNodeContext.prototype.finish = function finish (openEnd) {\n if (!(this.options & OPT_PRESERVE_WS)) { // Strip trailing whitespace\n var last = this.content[this.content.length - 1], m;\n if (last && last.isText && (m = /[ \\t\\r\\n\\u000c]+$/.exec(last.text))) {\n if (last.text.length == m[0].length) { this.content.pop(); }\n else { this.content[this.content.length - 1] = last.withText(last.text.slice(0, last.text.length - m[0].length)); }\n }\n }\n var content = Fragment.from(this.content);\n if (!openEnd && this.match)\n { content = content.append(this.match.fillBefore(Fragment.empty, true)); }\n return this.type ? this.type.create(this.attrs, content, this.marks) : content\n};\n\nNodeContext.prototype.applyPending = function applyPending (nextType) {\n for (var i = 0, pending = this.pendingMarks; i < pending.length; i++) {\n var mark = pending[i];\n if ((this.type ? this.type.allowsMarkType(mark.type) : markMayApply(mark.type, nextType)) &&\n !mark.isInSet(this.activeMarks)) {\n this.activeMarks = mark.addToSet(this.activeMarks);\n this.pendingMarks = mark.removeFromSet(this.pendingMarks);\n }\n }\n};\n\nvar ParseContext = function ParseContext(parser, options, open) {\n // : DOMParser The parser we are using.\n this.parser = parser;\n // : Object The options passed to this parse.\n this.options = options;\n this.isOpen = open;\n var topNode = options.topNode, topContext;\n var topOptions = wsOptionsFor(options.preserveWhitespace) | (open ? OPT_OPEN_LEFT : 0);\n if (topNode)\n { topContext = new NodeContext(topNode.type, topNode.attrs, Mark.none, Mark.none, true,\n options.topMatch || topNode.type.contentMatch, topOptions); }\n else if (open)\n { topContext = new NodeContext(null, null, Mark.none, Mark.none, true, null, topOptions); }\n else\n { topContext = new NodeContext(parser.schema.topNodeType, null, Mark.none, Mark.none, true, null, topOptions); }\n this.nodes = [topContext];\n // : [Mark] The current set of marks\n this.open = 0;\n this.find = options.findPositions;\n this.needsBlock = false;\n};\n\nvar prototypeAccessors$6 = { top: { configurable: true },currentPos: { configurable: true } };\n\nprototypeAccessors$6.top.get = function () {\n return this.nodes[this.open]\n};\n\n// : (dom.Node)\n// Add a DOM node to the content. Text is inserted as text node,\n// otherwise, the node is passed to `addElement` or, if it has a\n// `style` attribute, `addElementWithStyles`.\nParseContext.prototype.addDOM = function addDOM (dom) {\n if (dom.nodeType == 3) {\n this.addTextNode(dom);\n } else if (dom.nodeType == 1) {\n var style = dom.getAttribute(\"style\");\n var marks = style ? this.readStyles(parseStyles(style)) : null, top = this.top;\n if (marks != null) { for (var i = 0; i < marks.length; i++) { this.addPendingMark(marks[i]); } }\n this.addElement(dom);\n if (marks != null) { for (var i$1 = 0; i$1 < marks.length; i$1++) { this.removePendingMark(marks[i$1], top); } }\n }\n};\n\nParseContext.prototype.addTextNode = function addTextNode (dom) {\n var value = dom.nodeValue;\n var top = this.top;\n if ((top.type ? top.type.inlineContent : top.content.length && top.content[0].isInline) || /[^ \\t\\r\\n\\u000c]/.test(value)) {\n if (!(top.options & OPT_PRESERVE_WS)) {\n value = value.replace(/[ \\t\\r\\n\\u000c]+/g, \" \");\n // If this starts with whitespace, and there is no node before it, or\n // a hard break, or a text node that ends with whitespace, strip the\n // leading space.\n if (/^[ \\t\\r\\n\\u000c]/.test(value) && this.open == this.nodes.length - 1) {\n var nodeBefore = top.content[top.content.length - 1];\n var domNodeBefore = dom.previousSibling;\n if (!nodeBefore ||\n (domNodeBefore && domNodeBefore.nodeName == 'BR') ||\n (nodeBefore.isText && /[ \\t\\r\\n\\u000c]$/.test(nodeBefore.text)))\n { value = value.slice(1); }\n }\n } else if (!(top.options & OPT_PRESERVE_WS_FULL)) {\n value = value.replace(/\\r?\\n|\\r/g, \" \");\n }\n if (value) { this.insertNode(this.parser.schema.text(value)); }\n this.findInText(dom);\n } else {\n this.findInside(dom);\n }\n};\n\n// : (dom.Element)\n// Try to find a handler for the given tag and use that to parse. If\n// none is found, the element's content nodes are added directly.\nParseContext.prototype.addElement = function addElement (dom) {\n var name = dom.nodeName.toLowerCase();\n if (listTags.hasOwnProperty(name) && this.parser.normalizeLists) { normalizeList(dom); }\n var rule = (this.options.ruleFromNode && this.options.ruleFromNode(dom)) || this.parser.matchTag(dom, this);\n if (rule ? rule.ignore : ignoreTags.hasOwnProperty(name)) {\n this.findInside(dom);\n } else if (!rule || rule.skip || rule.closeParent) {\n if (rule && rule.closeParent) { this.open = Math.max(0, this.open - 1); }\n else if (rule && rule.skip.nodeType) { dom = rule.skip; }\n var sync, top = this.top, oldNeedsBlock = this.needsBlock;\n if (blockTags.hasOwnProperty(name)) {\n sync = true;\n if (!top.type) { this.needsBlock = true; }\n } else if (!dom.firstChild) {\n this.leafFallback(dom);\n return\n }\n this.addAll(dom);\n if (sync) { this.sync(top); }\n this.needsBlock = oldNeedsBlock;\n } else {\n this.addElementByRule(dom, rule);\n }\n};\n\n// Called for leaf DOM nodes that would otherwise be ignored\nParseContext.prototype.leafFallback = function leafFallback (dom) {\n if (dom.nodeName == \"BR\" && this.top.type && this.top.type.inlineContent)\n { this.addTextNode(dom.ownerDocument.createTextNode(\"\\n\")); }\n};\n\n// Run any style parser associated with the node's styles. Either\n// return an array of marks, or null to indicate some of the styles\n// had a rule with `ignore` set.\nParseContext.prototype.readStyles = function readStyles (styles) {\n var marks = Mark.none;\n for (var i = 0; i < styles.length; i += 2) {\n var rule = this.parser.matchStyle(styles[i], styles[i + 1], this);\n if (!rule) { continue }\n if (rule.ignore) { return null }\n marks = this.parser.schema.marks[rule.mark].create(rule.attrs).addToSet(marks);\n }\n return marks\n};\n\n// : (dom.Element, ParseRule) → bool\n// Look up a handler for the given node. If none are found, return\n// false. Otherwise, apply it, use its return value to drive the way\n// the node's content is wrapped, and return true.\nParseContext.prototype.addElementByRule = function addElementByRule (dom, rule) {\n var this$1 = this;\n\n var sync, nodeType, markType, mark;\n if (rule.node) {\n nodeType = this.parser.schema.nodes[rule.node];\n if (!nodeType.isLeaf) {\n sync = this.enter(nodeType, rule.attrs, rule.preserveWhitespace);\n } else if (!this.insertNode(nodeType.create(rule.attrs))) {\n this.leafFallback(dom);\n }\n } else {\n markType = this.parser.schema.marks[rule.mark];\n mark = markType.create(rule.attrs);\n this.addPendingMark(mark);\n }\n var startIn = this.top;\n\n if (nodeType && nodeType.isLeaf) {\n this.findInside(dom);\n } else if (rule.getContent) {\n this.findInside(dom);\n rule.getContent(dom, this.parser.schema).forEach(function (node) { return this$1.insertNode(node); });\n } else {\n var contentDOM = rule.contentElement;\n if (typeof contentDOM == \"string\") { contentDOM = dom.querySelector(contentDOM); }\n else if (typeof contentDOM == \"function\") { contentDOM = contentDOM(dom); }\n if (!contentDOM) { contentDOM = dom; }\n this.findAround(dom, contentDOM, true);\n this.addAll(contentDOM, sync);\n }\n if (sync) { this.sync(startIn); this.open--; }\n if (mark) { this.removePendingMark(mark, startIn); }\n};\n\n// : (dom.Node, ?NodeBuilder, ?number, ?number)\n// Add all child nodes between `startIndex` and `endIndex` (or the\n// whole node, if not given). If `sync` is passed, use it to\n// synchronize after every block element.\nParseContext.prototype.addAll = function addAll (parent, sync, startIndex, endIndex) {\n var index = startIndex || 0;\n for (var dom = startIndex ? parent.childNodes[startIndex] : parent.firstChild,\n end = endIndex == null ? null : parent.childNodes[endIndex];\n dom != end; dom = dom.nextSibling, ++index) {\n this.findAtPoint(parent, index);\n this.addDOM(dom);\n if (sync && blockTags.hasOwnProperty(dom.nodeName.toLowerCase()))\n { this.sync(sync); }\n }\n this.findAtPoint(parent, index);\n};\n\n// Try to find a way to fit the given node type into the current\n// context. May add intermediate wrappers and/or leave non-solid\n// nodes that we're in.\nParseContext.prototype.findPlace = function findPlace (node) {\n var route, sync;\n for (var depth = this.open; depth >= 0; depth--) {\n var cx = this.nodes[depth];\n var found = cx.findWrapping(node);\n if (found && (!route || route.length > found.length)) {\n route = found;\n sync = cx;\n if (!found.length) { break }\n }\n if (cx.solid) { break }\n }\n if (!route) { return false }\n this.sync(sync);\n for (var i = 0; i < route.length; i++)\n { this.enterInner(route[i], null, false); }\n return true\n};\n\n// : (Node) → ?Node\n// Try to insert the given node, adjusting the context when needed.\nParseContext.prototype.insertNode = function insertNode (node) {\n if (node.isInline && this.needsBlock && !this.top.type) {\n var block = this.textblockFromContext();\n if (block) { this.enterInner(block); }\n }\n if (this.findPlace(node)) {\n this.closeExtra();\n var top = this.top;\n top.applyPending(node.type);\n if (top.match) { top.match = top.match.matchType(node.type); }\n var marks = top.activeMarks;\n for (var i = 0; i < node.marks.length; i++)\n { if (!top.type || top.type.allowsMarkType(node.marks[i].type))\n { marks = node.marks[i].addToSet(marks); } }\n top.content.push(node.mark(marks));\n return true\n }\n return false\n};\n\n// : (NodeType, ?Object) → bool\n// Try to start a node of the given type, adjusting the context when\n// necessary.\nParseContext.prototype.enter = function enter (type, attrs, preserveWS) {\n var ok = this.findPlace(type.create(attrs));\n if (ok) { this.enterInner(type, attrs, true, preserveWS); }\n return ok\n};\n\n// Open a node of the given type\nParseContext.prototype.enterInner = function enterInner (type, attrs, solid, preserveWS) {\n this.closeExtra();\n var top = this.top;\n top.applyPending(type);\n top.match = top.match && top.match.matchType(type, attrs);\n var options = preserveWS == null ? top.options & ~OPT_OPEN_LEFT : wsOptionsFor(preserveWS);\n if ((top.options & OPT_OPEN_LEFT) && top.content.length == 0) { options |= OPT_OPEN_LEFT; }\n this.nodes.push(new NodeContext(type, attrs, top.activeMarks, top.pendingMarks, solid, null, options));\n this.open++;\n};\n\n// Make sure all nodes above this.open are finished and added to\n// their parents\nParseContext.prototype.closeExtra = function closeExtra (openEnd) {\n var i = this.nodes.length - 1;\n if (i > this.open) {\n for (; i > this.open; i--) { this.nodes[i - 1].content.push(this.nodes[i].finish(openEnd)); }\n this.nodes.length = this.open + 1;\n }\n};\n\nParseContext.prototype.finish = function finish () {\n this.open = 0;\n this.closeExtra(this.isOpen);\n return this.nodes[0].finish(this.isOpen || this.options.topOpen)\n};\n\nParseContext.prototype.sync = function sync (to) {\n for (var i = this.open; i >= 0; i--) { if (this.nodes[i] == to) {\n this.open = i;\n return\n } }\n};\n\nprototypeAccessors$6.currentPos.get = function () {\n this.closeExtra();\n var pos = 0;\n for (var i = this.open; i >= 0; i--) {\n var content = this.nodes[i].content;\n for (var j = content.length - 1; j >= 0; j--)\n { pos += content[j].nodeSize; }\n if (i) { pos++; }\n }\n return pos\n};\n\nParseContext.prototype.findAtPoint = function findAtPoint (parent, offset) {\n if (this.find) { for (var i = 0; i < this.find.length; i++) {\n if (this.find[i].node == parent && this.find[i].offset == offset)\n { this.find[i].pos = this.currentPos; }\n } }\n};\n\nParseContext.prototype.findInside = function findInside (parent) {\n if (this.find) { for (var i = 0; i < this.find.length; i++) {\n if (this.find[i].pos == null && parent.nodeType == 1 && parent.contains(this.find[i].node))\n { this.find[i].pos = this.currentPos; }\n } }\n};\n\nParseContext.prototype.findAround = function findAround (parent, content, before) {\n if (parent != content && this.find) { for (var i = 0; i < this.find.length; i++) {\n if (this.find[i].pos == null && parent.nodeType == 1 && parent.contains(this.find[i].node)) {\n var pos = content.compareDocumentPosition(this.find[i].node);\n if (pos & (before ? 2 : 4))\n { this.find[i].pos = this.currentPos; }\n }\n } }\n};\n\nParseContext.prototype.findInText = function findInText (textNode) {\n if (this.find) { for (var i = 0; i < this.find.length; i++) {\n if (this.find[i].node == textNode)\n { this.find[i].pos = this.currentPos - (textNode.nodeValue.length - this.find[i].offset); }\n } }\n};\n\n// : (string) → bool\n// Determines whether the given [context\n// string](#ParseRule.context) matches this context.\nParseContext.prototype.matchesContext = function matchesContext (context) {\n var this$1 = this;\n\n if (context.indexOf(\"|\") > -1)\n { return context.split(/\\s*\\|\\s*/).some(this.matchesContext, this) }\n\n var parts = context.split(\"/\");\n var option = this.options.context;\n var useRoot = !this.isOpen && (!option || option.parent.type == this.nodes[0].type);\n var minDepth = -(option ? option.depth + 1 : 0) + (useRoot ? 0 : 1);\n var match = function (i, depth) {\n for (; i >= 0; i--) {\n var part = parts[i];\n if (part == \"\") {\n if (i == parts.length - 1 || i == 0) { continue }\n for (; depth >= minDepth; depth--)\n { if (match(i - 1, depth)) { return true } }\n return false\n } else {\n var next = depth > 0 || (depth == 0 && useRoot) ? this$1.nodes[depth].type\n : option && depth >= minDepth ? option.node(depth - minDepth).type\n : null;\n if (!next || (next.name != part && next.groups.indexOf(part) == -1))\n { return false }\n depth--;\n }\n }\n return true\n };\n return match(parts.length - 1, this.open)\n};\n\nParseContext.prototype.textblockFromContext = function textblockFromContext () {\n var $context = this.options.context;\n if ($context) { for (var d = $context.depth; d >= 0; d--) {\n var deflt = $context.node(d).contentMatchAt($context.indexAfter(d)).defaultType;\n if (deflt && deflt.isTextblock && deflt.defaultAttrs) { return deflt }\n } }\n for (var name in this.parser.schema.nodes) {\n var type = this.parser.schema.nodes[name];\n if (type.isTextblock && type.defaultAttrs) { return type }\n }\n};\n\nParseContext.prototype.addPendingMark = function addPendingMark (mark) {\n this.top.pendingMarks = mark.addToSet(this.top.pendingMarks);\n};\n\nParseContext.prototype.removePendingMark = function removePendingMark (mark, upto) {\n for (var depth = this.open; depth >= 0; depth--) {\n var level = this.nodes[depth];\n var found = level.pendingMarks.lastIndexOf(mark);\n if (found > -1) { level.pendingMarks = mark.removeFromSet(level.pendingMarks); }\n else { level.activeMarks = mark.removeFromSet(level.activeMarks); }\n if (level == upto) { break }\n }\n};\n\nObject.defineProperties( ParseContext.prototype, prototypeAccessors$6 );\n\n// Kludge to work around directly nested list nodes produced by some\n// tools and allowed by browsers to mean that the nested list is\n// actually part of the list item above it.\nfunction normalizeList(dom) {\n for (var child = dom.firstChild, prevItem = null; child; child = child.nextSibling) {\n var name = child.nodeType == 1 ? child.nodeName.toLowerCase() : null;\n if (name && listTags.hasOwnProperty(name) && prevItem) {\n prevItem.appendChild(child);\n child = prevItem;\n } else if (name == \"li\") {\n prevItem = child;\n } else if (name) {\n prevItem = null;\n }\n }\n}\n\n// Apply a CSS selector.\nfunction matches(dom, selector) {\n return (dom.matches || dom.msMatchesSelector || dom.webkitMatchesSelector || dom.mozMatchesSelector).call(dom, selector)\n}\n\n// : (string) → [string]\n// Tokenize a style attribute into property/value pairs.\nfunction parseStyles(style) {\n var re = /\\s*([\\w-]+)\\s*:\\s*([^;]+)/g, m, result = [];\n while (m = re.exec(style)) { result.push(m[1], m[2].trim()); }\n return result\n}\n\nfunction copy(obj) {\n var copy = {};\n for (var prop in obj) { copy[prop] = obj[prop]; }\n return copy\n}\n\n// Used when finding a mark at the top level of a fragment parse.\n// Checks whether it would be reasonable to apply a given mark type to\n// a given node, by looking at the way the mark occurs in the schema.\nfunction markMayApply(markType, nodeType) {\n var nodes = nodeType.schema.nodes;\n var loop = function ( name ) {\n var parent = nodes[name];\n if (!parent.allowsMarkType(markType)) { return }\n var seen = [], scan = function (match) {\n seen.push(match);\n for (var i = 0; i < match.edgeCount; i++) {\n var ref = match.edge(i);\n var type = ref.type;\n var next = ref.next;\n if (type == nodeType) { return true }\n if (seen.indexOf(next) < 0 && scan(next)) { return true }\n }\n };\n if (scan(parent.contentMatch)) { return { v: true } }\n };\n\n for (var name in nodes) {\n var returned = loop( name );\n\n if ( returned ) return returned.v;\n }\n}\n\n// DOMOutputSpec:: interface\n// A description of a DOM structure. Can be either a string, which is\n// interpreted as a text node, a DOM node, which is interpreted as\n// itself, or an array.\n//\n// An array describes a DOM element. The first value in the array\n// should be a string—the name of the DOM element, optionally prefixed\n// by a namespace URL and a space. If the second element is plain\n// object, it is interpreted as a set of attributes for the element.\n// Any elements after that (including the 2nd if it's not an attribute\n// object) are interpreted as children of the DOM elements, and must\n// either be valid `DOMOutputSpec` values, or the number zero.\n//\n// The number zero (pronounced “hole”) is used to indicate the place\n// where a node's child nodes should be inserted. If it occurs in an\n// output spec, it should be the only child element in its parent\n// node.\n\n// ::- A DOM serializer knows how to convert ProseMirror nodes and\n// marks of various types to DOM nodes.\nvar DOMSerializer = function DOMSerializer(nodes, marks) {\n // :: Object<(node: Node) → DOMOutputSpec>\n // The node serialization functions.\n this.nodes = nodes || {};\n // :: Object<?(mark: Mark, inline: bool) → DOMOutputSpec>\n // The mark serialization functions.\n this.marks = marks || {};\n};\n\n// :: (Fragment, ?Object) → dom.DocumentFragment\n// Serialize the content of this fragment to a DOM fragment. When\n// not in the browser, the `document` option, containing a DOM\n// document, should be passed so that the serializer can create\n// nodes.\nDOMSerializer.prototype.serializeFragment = function serializeFragment (fragment, options, target) {\n var this$1 = this;\n if ( options === void 0 ) options = {};\n\n if (!target) { target = doc(options).createDocumentFragment(); }\n\n var top = target, active = null;\n fragment.forEach(function (node) {\n if (active || node.marks.length) {\n if (!active) { active = []; }\n var keep = 0, rendered = 0;\n while (keep < active.length && rendered < node.marks.length) {\n var next = node.marks[rendered];\n if (!this$1.marks[next.type.name]) { rendered++; continue }\n if (!next.eq(active[keep]) || next.type.spec.spanning === false) { break }\n keep += 2; rendered++;\n }\n while (keep < active.length) {\n top = active.pop();\n active.pop();\n }\n while (rendered < node.marks.length) {\n var add = node.marks[rendered++];\n var markDOM = this$1.serializeMark(add, node.isInline, options);\n if (markDOM) {\n active.push(add, top);\n top.appendChild(markDOM.dom);\n top = markDOM.contentDOM || markDOM.dom;\n }\n }\n }\n top.appendChild(this$1.serializeNode(node, options));\n });\n\n return target\n};\n\n// :: (Node, ?Object) → dom.Node\n// Serialize this node to a DOM node. This can be useful when you\n// need to serialize a part of a document, as opposed to the whole\n// document. To serialize a whole document, use\n// [`serializeFragment`](#model.DOMSerializer.serializeFragment) on\n// its [content](#model.Node.content).\nDOMSerializer.prototype.serializeNode = function serializeNode (node, options) {\n if ( options === void 0 ) options = {};\n\n var ref =\n DOMSerializer.renderSpec(doc(options), this.nodes[node.type.name](node));\n var dom = ref.dom;\n var contentDOM = ref.contentDOM;\n if (contentDOM) {\n if (node.isLeaf)\n { throw new RangeError(\"Content hole not allowed in a leaf node spec\") }\n if (options.onContent)\n { options.onContent(node, contentDOM, options); }\n else\n { this.serializeFragment(node.content, options, contentDOM); }\n }\n return dom\n};\n\nDOMSerializer.prototype.serializeNodeAndMarks = function serializeNodeAndMarks (node, options) {\n if ( options === void 0 ) options = {};\n\n var dom = this.serializeNode(node, options);\n for (var i = node.marks.length - 1; i >= 0; i--) {\n var wrap = this.serializeMark(node.marks[i], node.isInline, options);\n if (wrap) {\n(wrap.contentDOM || wrap.dom).appendChild(dom);\n dom = wrap.dom;\n }\n }\n return dom\n};\n\nDOMSerializer.prototype.serializeMark = function serializeMark (mark, inline, options) {\n if ( options === void 0 ) options = {};\n\n var toDOM = this.marks[mark.type.name];\n return toDOM && DOMSerializer.renderSpec(doc(options), toDOM(mark, inline))\n};\n\n// :: (dom.Document, DOMOutputSpec) → {dom: dom.Node, contentDOM: ?dom.Node}\n// Render an [output spec](#model.DOMOutputSpec) to a DOM node. If\n// the spec has a hole (zero) in it, `contentDOM` will point at the\n// node with the hole.\nDOMSerializer.renderSpec = function renderSpec (doc, structure, xmlNS) {\n if ( xmlNS === void 0 ) xmlNS = null;\n\n if (typeof structure == \"string\")\n { return {dom: doc.createTextNode(structure)} }\n if (structure.nodeType != null)\n { return {dom: structure} }\n var tagName = structure[0], space = tagName.indexOf(\" \");\n if (space > 0) {\n xmlNS = tagName.slice(0, space);\n tagName = tagName.slice(space + 1);\n }\n var contentDOM = null, dom = xmlNS ? doc.createElementNS(xmlNS, tagName) : doc.createElement(tagName);\n var attrs = structure[1], start = 1;\n if (attrs && typeof attrs == \"object\" && attrs.nodeType == null && !Array.isArray(attrs)) {\n start = 2;\n for (var name in attrs) { if (attrs[name] != null) {\n var space$1 = name.indexOf(\" \");\n if (space$1 > 0) { dom.setAttributeNS(name.slice(0, space$1), name.slice(space$1 + 1), attrs[name]); }\n else { dom.setAttribute(name, attrs[name]); }\n } }\n }\n for (var i = start; i < structure.length; i++) {\n var child = structure[i];\n if (child === 0) {\n if (i < structure.length - 1 || i > start)\n { throw new RangeError(\"Content hole must be the only child of its parent node\") }\n return {dom: dom, contentDOM: dom}\n } else {\n var ref = DOMSerializer.renderSpec(doc, child, xmlNS);\n var inner = ref.dom;\n var innerContent = ref.contentDOM;\n dom.appendChild(inner);\n if (innerContent) {\n if (contentDOM) { throw new RangeError(\"Multiple content holes\") }\n contentDOM = innerContent;\n }\n }\n }\n return {dom: dom, contentDOM: contentDOM}\n};\n\n// :: (Schema) → DOMSerializer\n// Build a serializer using the [`toDOM`](#model.NodeSpec.toDOM)\n// properties in a schema's node and mark specs.\nDOMSerializer.fromSchema = function fromSchema (schema) {\n return schema.cached.domSerializer ||\n (schema.cached.domSerializer = new DOMSerializer(this.nodesFromSchema(schema), this.marksFromSchema(schema)))\n};\n\n// : (Schema) → Object<(node: Node) → DOMOutputSpec>\n// Gather the serializers in a schema's node specs into an object.\n// This can be useful as a base to build a custom serializer from.\nDOMSerializer.nodesFromSchema = function nodesFromSchema (schema) {\n var result = gatherToDOM(schema.nodes);\n if (!result.text) { result.text = function (node) { return node.text; }; }\n return result\n};\n\n// : (Schema) → Object<(mark: Mark) → DOMOutputSpec>\n// Gather the serializers in a schema's mark specs into an object.\nDOMSerializer.marksFromSchema = function marksFromSchema (schema) {\n return gatherToDOM(schema.marks)\n};\n\nfunction gatherToDOM(obj) {\n var result = {};\n for (var name in obj) {\n var toDOM = obj[name].spec.toDOM;\n if (toDOM) { result[name] = toDOM; }\n }\n return result\n}\n\nfunction doc(options) {\n // declare global: window\n return options.document || window.document\n}\n\nexport { ContentMatch, DOMParser, DOMSerializer, Fragment, Mark, MarkType, Node, NodeRange, NodeType, ReplaceError, ResolvedPos, Schema, Slice };\n//# sourceMappingURL=index.es.js.map\n","import { Plugin } from 'prosemirror-state';\nimport { dropPoint } from 'prosemirror-transform';\n\n// :: (options: ?Object) → Plugin\n// Create a plugin that, when added to a ProseMirror instance,\n// causes a decoration to show up at the drop position when something\n// is dragged over the editor.\n//\n// options::- These options are supported:\n//\n// color:: ?string\n// The color of the cursor. Defaults to `black`.\n//\n// width:: ?number\n// The precise width of the cursor in pixels. Defaults to 1.\n//\n// class:: ?string\n// A CSS class name to add to the cursor element.\nfunction dropCursor(options) {\n if ( options === void 0 ) options = {};\n\n return new Plugin({\n view: function view(editorView) { return new DropCursorView(editorView, options) }\n })\n}\n\nvar DropCursorView = function DropCursorView(editorView, options) {\n var this$1 = this;\n\n this.editorView = editorView;\n this.width = options.width || 1;\n this.color = options.color || \"black\";\n this.class = options.class;\n this.cursorPos = null;\n this.element = null;\n this.timeout = null;\n\n this.handlers = [\"dragover\", \"dragend\", \"drop\", \"dragleave\"].map(function (name) {\n var handler = function (e) { return this$1[name](e); };\n editorView.dom.addEventListener(name, handler);\n return {name: name, handler: handler}\n });\n};\n\nDropCursorView.prototype.destroy = function destroy () {\n var this$1 = this;\n\n this.handlers.forEach(function (ref) {\n var name = ref.name;\n var handler = ref.handler;\n\n return this$1.editorView.dom.removeEventListener(name, handler);\n });\n};\n\nDropCursorView.prototype.update = function update (editorView, prevState) {\n if (this.cursorPos != null && prevState.doc != editorView.state.doc) { this.updateOverlay(); }\n};\n\nDropCursorView.prototype.setCursor = function setCursor (pos) {\n if (pos == this.cursorPos) { return }\n this.cursorPos = pos;\n if (pos == null) {\n this.element.parentNode.removeChild(this.element);\n this.element = null;\n } else {\n this.updateOverlay();\n }\n};\n\nDropCursorView.prototype.updateOverlay = function updateOverlay () {\n var $pos = this.editorView.state.doc.resolve(this.cursorPos), rect;\n if (!$pos.parent.inlineContent) {\n var before = $pos.nodeBefore, after = $pos.nodeAfter;\n if (before || after) {\n var nodeRect = this.editorView.nodeDOM(this.cursorPos - (before ?before.nodeSize : 0)).getBoundingClientRect();\n var top = before ? nodeRect.bottom : nodeRect.top;\n if (before && after)\n { top = (top + this.editorView.nodeDOM(this.cursorPos).getBoundingClientRect().top) / 2; }\n rect = {left: nodeRect.left, right: nodeRect.right, top: top - this.width / 2, bottom: top + this.width / 2};\n }\n }\n if (!rect) {\n var coords = this.editorView.coordsAtPos(this.cursorPos);\n rect = {left: coords.left - this.width / 2, right: coords.left + this.width / 2, top: coords.top, bottom: coords.bottom};\n }\n\n var parent = this.editorView.dom.offsetParent;\n if (!this.element) {\n this.element = parent.appendChild(document.createElement(\"div\"));\n if (this.class) { this.element.className = this.class; }\n this.element.style.cssText = \"position: absolute; z-index: 50; pointer-events: none; background-color: \" + this.color;\n }\n var parentRect = !parent || parent == document.body && getComputedStyle(parent).position == \"static\"\n ? {left: -pageXOffset, top: -pageYOffset} : parent.getBoundingClientRect();\n this.element.style.left = (rect.left - parentRect.left) + \"px\";\n this.element.style.top = (rect.top - parentRect.top) + \"px\";\n this.element.style.width = (rect.right - rect.left) + \"px\";\n this.element.style.height = (rect.bottom - rect.top) + \"px\";\n};\n\nDropCursorView.prototype.scheduleRemoval = function scheduleRemoval (timeout) {\n var this$1 = this;\n\n clearTimeout(this.timeout);\n this.timeout = setTimeout(function () { return this$1.setCursor(null); }, timeout);\n};\n\nDropCursorView.prototype.dragover = function dragover (event) {\n if (!this.editorView.editable) { return }\n var pos = this.editorView.posAtCoords({left: event.clientX, top: event.clientY});\n if (pos) {\n var target = pos.pos;\n if (this.editorView.dragging && this.editorView.dragging.slice) {\n target = dropPoint(this.editorView.state.doc, target, this.editorView.dragging.slice);\n if (target == null) { target = pos.pos; }\n }\n this.setCursor(target);\n this.scheduleRemoval(5000);\n }\n};\n\nDropCursorView.prototype.dragend = function dragend () {\n this.scheduleRemoval(20);\n};\n\nDropCursorView.prototype.drop = function drop () {\n this.scheduleRemoval(20);\n};\n\nDropCursorView.prototype.dragleave = function dragleave (event) {\n if (event.target == this.editorView.dom || !this.editorView.dom.contains(event.relatedTarget))\n { this.setCursor(null); }\n};\n\nexport { dropCursor };\n//# sourceMappingURL=index.es.js.map\n","import { keydownHandler } from 'prosemirror-keymap';\nimport { NodeSelection, Selection, Plugin, TextSelection } from 'prosemirror-state';\nimport { DecorationSet, Decoration } from 'prosemirror-view';\nimport { Slice } from 'prosemirror-model';\n\n// ::- Gap cursor selections are represented using this class. Its\n// `$anchor` and `$head` properties both point at the cursor position.\nvar GapCursor = /*@__PURE__*/(function (Selection) {\n function GapCursor($pos) {\n Selection.call(this, $pos, $pos);\n }\n\n if ( Selection ) GapCursor.__proto__ = Selection;\n GapCursor.prototype = Object.create( Selection && Selection.prototype );\n GapCursor.prototype.constructor = GapCursor;\n\n GapCursor.prototype.map = function map (doc, mapping) {\n var $pos = doc.resolve(mapping.map(this.head));\n return GapCursor.valid($pos) ? new GapCursor($pos) : Selection.near($pos)\n };\n\n GapCursor.prototype.content = function content () { return Slice.empty };\n\n GapCursor.prototype.eq = function eq (other) {\n return other instanceof GapCursor && other.head == this.head\n };\n\n GapCursor.prototype.toJSON = function toJSON () {\n return {type: \"gapcursor\", pos: this.head}\n };\n\n GapCursor.fromJSON = function fromJSON (doc, json) {\n if (typeof json.pos != \"number\") { throw new RangeError(\"Invalid input for GapCursor.fromJSON\") }\n return new GapCursor(doc.resolve(json.pos))\n };\n\n GapCursor.prototype.getBookmark = function getBookmark () { return new GapBookmark(this.anchor) };\n\n GapCursor.valid = function valid ($pos) {\n var parent = $pos.parent;\n if (parent.isTextblock || !closedBefore($pos) || !closedAfter($pos)) { return false }\n var override = parent.type.spec.allowGapCursor;\n if (override != null) { return override }\n var deflt = parent.contentMatchAt($pos.index()).defaultType;\n return deflt && deflt.isTextblock\n };\n\n GapCursor.findFrom = function findFrom ($pos, dir, mustMove) {\n search: for (;;) {\n if (!mustMove && GapCursor.valid($pos)) { return $pos }\n var pos = $pos.pos, next = null;\n // Scan up from this position\n for (var d = $pos.depth;; d--) {\n var parent = $pos.node(d);\n if (dir > 0 ? $pos.indexAfter(d) < parent.childCount : $pos.index(d) > 0) {\n next = parent.child(dir > 0 ? $pos.indexAfter(d) : $pos.index(d) - 1);\n break\n } else if (d == 0) {\n return null\n }\n pos += dir;\n var $cur = $pos.doc.resolve(pos);\n if (GapCursor.valid($cur)) { return $cur }\n }\n\n // And then down into the next node\n for (;;) {\n var inside = dir > 0 ? next.firstChild : next.lastChild;\n if (!inside) {\n if (next.isAtom && !next.isText && !NodeSelection.isSelectable(next)) {\n $pos = $pos.doc.resolve(pos + next.nodeSize * dir);\n mustMove = false;\n continue search\n }\n break\n }\n next = inside;\n pos += dir;\n var $cur$1 = $pos.doc.resolve(pos);\n if (GapCursor.valid($cur$1)) { return $cur$1 }\n }\n\n return null\n }\n };\n\n return GapCursor;\n}(Selection));\n\nGapCursor.prototype.visible = false;\n\nSelection.jsonID(\"gapcursor\", GapCursor);\n\nvar GapBookmark = function GapBookmark(pos) {\n this.pos = pos;\n};\nGapBookmark.prototype.map = function map (mapping) {\n return new GapBookmark(mapping.map(this.pos))\n};\nGapBookmark.prototype.resolve = function resolve (doc) {\n var $pos = doc.resolve(this.pos);\n return GapCursor.valid($pos) ? new GapCursor($pos) : Selection.near($pos)\n};\n\nfunction closedBefore($pos) {\n for (var d = $pos.depth; d >= 0; d--) {\n var index = $pos.index(d);\n // At the start of this parent, look at next one\n if (index == 0) { continue }\n // See if the node before (or its first ancestor) is closed\n for (var before = $pos.node(d).child(index - 1);; before = before.lastChild) {\n if ((before.childCount == 0 && !before.inlineContent) || before.isAtom || before.type.spec.isolating) { return true }\n if (before.inlineContent) { return false }\n }\n }\n // Hit start of document\n return true\n}\n\nfunction closedAfter($pos) {\n for (var d = $pos.depth; d >= 0; d--) {\n var index = $pos.indexAfter(d), parent = $pos.node(d);\n if (index == parent.childCount) { continue }\n for (var after = parent.child(index);; after = after.firstChild) {\n if ((after.childCount == 0 && !after.inlineContent) || after.isAtom || after.type.spec.isolating) { return true }\n if (after.inlineContent) { return false }\n }\n }\n return true\n}\n\n// :: () → Plugin\n// Create a gap cursor plugin. When enabled, this will capture clicks\n// near and arrow-key-motion past places that don't have a normally\n// selectable position nearby, and create a gap cursor selection for\n// them. The cursor is drawn as an element with class\n// `ProseMirror-gapcursor`. You can either include\n// `style/gapcursor.css` from the package's directory or add your own\n// styles to make it visible.\nvar gapCursor = function() {\n return new Plugin({\n props: {\n decorations: drawGapCursor,\n\n createSelectionBetween: function createSelectionBetween(_view, $anchor, $head) {\n if ($anchor.pos == $head.pos && GapCursor.valid($head)) { return new GapCursor($head) }\n },\n\n handleClick: handleClick,\n handleKeyDown: handleKeyDown\n }\n })\n};\n\nvar handleKeyDown = keydownHandler({\n \"ArrowLeft\": arrow(\"horiz\", -1),\n \"ArrowRight\": arrow(\"horiz\", 1),\n \"ArrowUp\": arrow(\"vert\", -1),\n \"ArrowDown\": arrow(\"vert\", 1)\n});\n\nfunction arrow(axis, dir) {\n var dirStr = axis == \"vert\" ? (dir > 0 ? \"down\" : \"up\") : (dir > 0 ? \"right\" : \"left\");\n return function(state, dispatch, view) {\n var sel = state.selection;\n var $start = dir > 0 ? sel.$to : sel.$from, mustMove = sel.empty;\n if (sel instanceof TextSelection) {\n if (!view.endOfTextblock(dirStr) || $start.depth == 0) { return false }\n mustMove = false;\n $start = state.doc.resolve(dir > 0 ? $start.after() : $start.before());\n }\n var $found = GapCursor.findFrom($start, dir, mustMove);\n if (!$found) { return false }\n if (dispatch) { dispatch(state.tr.setSelection(new GapCursor($found))); }\n return true\n }\n}\n\nfunction handleClick(view, pos, event) {\n if (!view.editable) { return false }\n var $pos = view.state.doc.resolve(pos);\n if (!GapCursor.valid($pos)) { return false }\n var ref = view.posAtCoords({left: event.clientX, top: event.clientY});\n var inside = ref.inside;\n if (inside > -1 && NodeSelection.isSelectable(view.state.doc.nodeAt(inside))) { return false }\n view.dispatch(view.state.tr.setSelection(new GapCursor($pos)));\n return true\n}\n\nfunction drawGapCursor(state) {\n if (!(state.selection instanceof GapCursor)) { return null }\n var node = document.createElement(\"div\");\n node.className = \"ProseMirror-gapcursor\";\n return DecorationSet.create(state.doc, [Decoration.widget(state.selection.head, node, {key: \"gapcursor\"})])\n}\n\nexport { GapCursor, gapCursor };\n//# sourceMappingURL=index.es.js.map\n","\n /*!\n * tiptap v1.29.0\n * (c) 2020 Scrumpy UG (limited liability)\n * @license MIT\n */\n \nimport { EditorState, Plugin, PluginKey, TextSelection } from 'prosemirror-state';\nexport { NodeSelection, Plugin, PluginKey, TextSelection } from 'prosemirror-state';\nimport { EditorView } from 'prosemirror-view';\nimport { Schema, DOMParser, DOMSerializer } from 'prosemirror-model';\nimport { dropCursor } from 'prosemirror-dropcursor';\nimport { gapCursor } from 'prosemirror-gapcursor';\nimport { keymap } from 'prosemirror-keymap';\nimport { baseKeymap } from 'prosemirror-commands';\nimport { inputRules, undoInputRule } from 'prosemirror-inputrules';\nimport { getMarkRange, getMarkAttrs, getNodeAttrs, markIsActive, nodeIsActive } from 'tiptap-utils';\nimport Vue from 'vue';\nimport { setBlockType } from 'tiptap-commands';\n\nfunction _typeof(obj) {\n \"@babel/helpers - typeof\";\n\n if (typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\") {\n _typeof = function (obj) {\n return typeof obj;\n };\n } else {\n _typeof = function (obj) {\n return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj;\n };\n }\n\n return _typeof(obj);\n}\n\nfunction _classCallCheck(instance, Constructor) {\n if (!(instance instanceof Constructor)) {\n throw new TypeError(\"Cannot call a class as a function\");\n }\n}\n\nfunction _defineProperties(target, props) {\n for (var i = 0; i < props.length; i++) {\n var descriptor = props[i];\n descriptor.enumerable = descriptor.enumerable || false;\n descriptor.configurable = true;\n if (\"value\" in descriptor) descriptor.writable = true;\n Object.defineProperty(target, descriptor.key, descriptor);\n }\n}\n\nfunction _createClass(Constructor, protoProps, staticProps) {\n if (protoProps) _defineProperties(Constructor.prototype, protoProps);\n if (staticProps) _defineProperties(Constructor, staticProps);\n return Constructor;\n}\n\nfunction _defineProperty(obj, key, value) {\n if (key in obj) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n } else {\n obj[key] = value;\n }\n\n return obj;\n}\n\nfunction ownKeys(object, enumerableOnly) {\n var keys = Object.keys(object);\n\n if (Object.getOwnPropertySymbols) {\n var symbols = Object.getOwnPropertySymbols(object);\n if (enumerableOnly) symbols = symbols.filter(function (sym) {\n return Object.getOwnPropertyDescriptor(object, sym).enumerable;\n });\n keys.push.apply(keys, symbols);\n }\n\n return keys;\n}\n\nfunction _objectSpread2(target) {\n for (var i = 1; i < arguments.length; i++) {\n var source = arguments[i] != null ? arguments[i] : {};\n\n if (i % 2) {\n ownKeys(Object(source), true).forEach(function (key) {\n _defineProperty(target, key, source[key]);\n });\n } else if (Object.getOwnPropertyDescriptors) {\n Object.defineProperties(target, Object.getOwnPropertyDescriptors(source));\n } else {\n ownKeys(Object(source)).forEach(function (key) {\n Object.defineProperty(target, key, Object.getOwnPropertyDescriptor(source, key));\n });\n }\n }\n\n return target;\n}\n\nfunction _inherits(subClass, superClass) {\n if (typeof superClass !== \"function\" && superClass !== null) {\n throw new TypeError(\"Super expression must either be null or a function\");\n }\n\n subClass.prototype = Object.create(superClass && superClass.prototype, {\n constructor: {\n value: subClass,\n writable: true,\n configurable: true\n }\n });\n if (superClass) _setPrototypeOf(subClass, superClass);\n}\n\nfunction _getPrototypeOf(o) {\n _getPrototypeOf = Object.setPrototypeOf ? Object.getPrototypeOf : function _getPrototypeOf(o) {\n return o.__proto__ || Object.getPrototypeOf(o);\n };\n return _getPrototypeOf(o);\n}\n\nfunction _setPrototypeOf(o, p) {\n _setPrototypeOf = Object.setPrototypeOf || function _setPrototypeOf(o, p) {\n o.__proto__ = p;\n return o;\n };\n\n return _setPrototypeOf(o, p);\n}\n\nfunction _isNativeReflectConstruct() {\n if (typeof Reflect === \"undefined\" || !Reflect.construct) return false;\n if (Reflect.construct.sham) return false;\n if (typeof Proxy === \"function\") return true;\n\n try {\n Date.prototype.toString.call(Reflect.construct(Date, [], function () {}));\n return true;\n } catch (e) {\n return false;\n }\n}\n\nfunction _assertThisInitialized(self) {\n if (self === void 0) {\n throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\");\n }\n\n return self;\n}\n\nfunction _possibleConstructorReturn(self, call) {\n if (call && (typeof call === \"object\" || typeof call === \"function\")) {\n return call;\n }\n\n return _assertThisInitialized(self);\n}\n\nfunction _createSuper(Derived) {\n var hasNativeReflectConstruct = _isNativeReflectConstruct();\n\n return function _createSuperInternal() {\n var Super = _getPrototypeOf(Derived),\n result;\n\n if (hasNativeReflectConstruct) {\n var NewTarget = _getPrototypeOf(this).constructor;\n\n result = Reflect.construct(Super, arguments, NewTarget);\n } else {\n result = Super.apply(this, arguments);\n }\n\n return _possibleConstructorReturn(this, result);\n };\n}\n\nfunction _slicedToArray(arr, i) {\n return _arrayWithHoles(arr) || _iterableToArrayLimit(arr, i) || _unsupportedIterableToArray(arr, i) || _nonIterableRest();\n}\n\nfunction _toConsumableArray(arr) {\n return _arrayWithoutHoles(arr) || _iterableToArray(arr) || _unsupportedIterableToArray(arr) || _nonIterableSpread();\n}\n\nfunction _arrayWithoutHoles(arr) {\n if (Array.isArray(arr)) return _arrayLikeToArray(arr);\n}\n\nfunction _arrayWithHoles(arr) {\n if (Array.isArray(arr)) return arr;\n}\n\nfunction _iterableToArray(iter) {\n if (typeof Symbol !== \"undefined\" && Symbol.iterator in Object(iter)) return Array.from(iter);\n}\n\nfunction _iterableToArrayLimit(arr, i) {\n if (typeof Symbol === \"undefined\" || !(Symbol.iterator in Object(arr))) return;\n var _arr = [];\n var _n = true;\n var _d = false;\n var _e = undefined;\n\n try {\n for (var _i = arr[Symbol.iterator](), _s; !(_n = (_s = _i.next()).done); _n = true) {\n _arr.push(_s.value);\n\n if (i && _arr.length === i) break;\n }\n } catch (err) {\n _d = true;\n _e = err;\n } finally {\n try {\n if (!_n && _i[\"return\"] != null) _i[\"return\"]();\n } finally {\n if (_d) throw _e;\n }\n }\n\n return _arr;\n}\n\nfunction _unsupportedIterableToArray(o, minLen) {\n if (!o) return;\n if (typeof o === \"string\") return _arrayLikeToArray(o, minLen);\n var n = Object.prototype.toString.call(o).slice(8, -1);\n if (n === \"Object\" && o.constructor) n = o.constructor.name;\n if (n === \"Map\" || n === \"Set\") return Array.from(o);\n if (n === \"Arguments\" || /^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)) return _arrayLikeToArray(o, minLen);\n}\n\nfunction _arrayLikeToArray(arr, len) {\n if (len == null || len > arr.length) len = arr.length;\n\n for (var i = 0, arr2 = new Array(len); i < len; i++) arr2[i] = arr[i];\n\n return arr2;\n}\n\nfunction _nonIterableSpread() {\n throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nfunction _nonIterableRest() {\n throw new TypeError(\"Invalid attempt to destructure non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nfunction camelCase (str) {\n return str.replace(/(?:^\\w|[A-Z]|\\b\\w)/g, function (word, index) {\n return index === 0 ? word.toLowerCase() : word.toUpperCase();\n }).replace(/\\s+/g, '');\n}\n\nvar ComponentView = /*#__PURE__*/function () {\n function ComponentView(component, _ref) {\n var editor = _ref.editor,\n extension = _ref.extension,\n parent = _ref.parent,\n node = _ref.node,\n view = _ref.view,\n decorations = _ref.decorations,\n getPos = _ref.getPos;\n\n _classCallCheck(this, ComponentView);\n\n this.component = component;\n this.editor = editor;\n this.extension = extension;\n this.parent = parent;\n this.node = node;\n this.view = view;\n this.decorations = decorations;\n this.isNode = !!this.node.marks;\n this.isMark = !this.isNode;\n this.getPos = this.isMark ? this.getMarkPos : getPos;\n this.captureEvents = true;\n this.dom = this.createDOM();\n this.contentDOM = this.vm.$refs.content;\n }\n\n _createClass(ComponentView, [{\n key: \"createDOM\",\n value: function createDOM() {\n var _this = this;\n\n var Component = Vue.extend(this.component);\n var props = {\n editor: this.editor,\n node: this.node,\n view: this.view,\n getPos: function getPos() {\n return _this.getPos();\n },\n decorations: this.decorations,\n selected: false,\n options: this.extension.options,\n updateAttrs: function updateAttrs(attrs) {\n return _this.updateAttrs(attrs);\n }\n };\n\n if (typeof this.extension.setSelection === 'function') {\n this.setSelection = this.extension.setSelection;\n }\n\n if (typeof this.extension.update === 'function') {\n this.update = this.extension.update;\n }\n\n this.vm = new Component({\n parent: this.parent,\n propsData: props\n }).$mount();\n return this.vm.$el;\n }\n }, {\n key: \"update\",\n value: function update(node, decorations) {\n if (node.type !== this.node.type) {\n return false;\n }\n\n if (node === this.node && this.decorations === decorations) {\n return true;\n }\n\n this.node = node;\n this.decorations = decorations;\n this.updateComponentProps({\n node: node,\n decorations: decorations\n });\n return true;\n }\n }, {\n key: \"updateComponentProps\",\n value: function updateComponentProps(props) {\n var _this2 = this;\n\n if (!this.vm._props) {\n return;\n } // Update props in component\n // TODO: Avoid mutating a prop directly.\n // Maybe there is a better way to do this?\n\n\n var originalSilent = Vue.config.silent;\n Vue.config.silent = true;\n Object.entries(props).forEach(function (_ref2) {\n var _ref3 = _slicedToArray(_ref2, 2),\n key = _ref3[0],\n value = _ref3[1];\n\n _this2.vm._props[key] = value;\n }); // this.vm._props.node = node\n // this.vm._props.decorations = decorations\n\n Vue.config.silent = originalSilent;\n }\n }, {\n key: \"updateAttrs\",\n value: function updateAttrs(attrs) {\n if (!this.view.editable) {\n return;\n }\n\n var state = this.view.state;\n var type = this.node.type;\n var pos = this.getPos();\n\n var newAttrs = _objectSpread2(_objectSpread2({}, this.node.attrs), attrs);\n\n var transaction = this.isMark ? state.tr.removeMark(pos.from, pos.to, type).addMark(pos.from, pos.to, type.create(newAttrs)) : state.tr.setNodeMarkup(pos, null, newAttrs);\n this.view.dispatch(transaction);\n } // prevent a full re-render of the vue component on update\n // we'll handle prop updates in `update()`\n\n }, {\n key: \"ignoreMutation\",\n value: function ignoreMutation(mutation) {\n // allow leaf nodes to be selected\n if (mutation.type === 'selection') {\n return false;\n }\n\n if (!this.contentDOM) {\n return true;\n }\n\n return !this.contentDOM.contains(mutation.target);\n } // disable (almost) all prosemirror event listener for node views\n\n }, {\n key: \"stopEvent\",\n value: function stopEvent(event) {\n var _this3 = this;\n\n if (typeof this.extension.stopEvent === 'function') {\n return this.extension.stopEvent(event);\n }\n\n var draggable = !!this.extension.schema.draggable; // support a custom drag handle\n\n if (draggable && event.type === 'mousedown') {\n var dragHandle = event.target.closest && event.target.closest('[data-drag-handle]');\n var isValidDragHandle = dragHandle && (this.dom === dragHandle || this.dom.contains(dragHandle));\n\n if (isValidDragHandle) {\n this.captureEvents = false;\n document.addEventListener('dragend', function () {\n _this3.captureEvents = true;\n }, {\n once: true\n });\n }\n }\n\n var isCopy = event.type === 'copy';\n var isPaste = event.type === 'paste';\n var isCut = event.type === 'cut';\n var isDrag = event.type.startsWith('drag') || event.type === 'drop';\n\n if (draggable && isDrag || isCopy || isPaste || isCut) {\n return false;\n }\n\n return this.captureEvents;\n }\n }, {\n key: \"selectNode\",\n value: function selectNode() {\n this.updateComponentProps({\n selected: true\n });\n }\n }, {\n key: \"deselectNode\",\n value: function deselectNode() {\n this.updateComponentProps({\n selected: false\n });\n }\n }, {\n key: \"getMarkPos\",\n value: function getMarkPos() {\n var pos = this.view.posAtDOM(this.dom);\n var resolvedPos = this.view.state.doc.resolve(pos);\n var range = getMarkRange(resolvedPos, this.node.type);\n return range;\n }\n }, {\n key: \"destroy\",\n value: function destroy() {\n this.vm.$destroy();\n }\n }]);\n\n return ComponentView;\n}();\n\nvar Emitter = /*#__PURE__*/function () {\n function Emitter() {\n _classCallCheck(this, Emitter);\n }\n\n _createClass(Emitter, [{\n key: \"on\",\n // Add an event listener for given event\n value: function on(event, fn) {\n this._callbacks = this._callbacks || {}; // Create namespace for this event\n\n if (!this._callbacks[event]) {\n this._callbacks[event] = [];\n }\n\n this._callbacks[event].push(fn);\n\n return this;\n }\n }, {\n key: \"emit\",\n value: function emit(event) {\n var _this = this;\n\n for (var _len = arguments.length, args = new Array(_len > 1 ? _len - 1 : 0), _key = 1; _key < _len; _key++) {\n args[_key - 1] = arguments[_key];\n }\n\n this._callbacks = this._callbacks || {};\n var callbacks = this._callbacks[event];\n\n if (callbacks) {\n callbacks.forEach(function (callback) {\n return callback.apply(_this, args);\n });\n }\n\n return this;\n } // Remove event listener for given event.\n // If fn is not provided, all event listeners for that event will be removed.\n // If neither is provided, all event listeners will be removed.\n\n }, {\n key: \"off\",\n value: function off(event, fn) {\n if (!arguments.length) {\n this._callbacks = {};\n } else {\n // event listeners for the given event\n var callbacks = this._callbacks ? this._callbacks[event] : null;\n\n if (callbacks) {\n if (fn) {\n this._callbacks[event] = callbacks.filter(function (cb) {\n return cb !== fn;\n }); // remove specific handler\n } else {\n delete this._callbacks[event]; // remove all handlers\n }\n }\n }\n\n return this;\n }\n }]);\n\n return Emitter;\n}();\n\nvar Extension = /*#__PURE__*/function () {\n function Extension() {\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, Extension);\n\n this.options = _objectSpread2(_objectSpread2({}, this.defaultOptions), options);\n }\n\n _createClass(Extension, [{\n key: \"init\",\n value: function init() {\n return null;\n }\n }, {\n key: \"bindEditor\",\n value: function bindEditor() {\n var editor = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n this.editor = editor;\n }\n }, {\n key: \"inputRules\",\n value: function inputRules() {\n return [];\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules() {\n return [];\n }\n }, {\n key: \"keys\",\n value: function keys() {\n return {};\n }\n }, {\n key: \"name\",\n get: function get() {\n return null;\n }\n }, {\n key: \"type\",\n get: function get() {\n return 'extension';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {};\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [];\n }\n }]);\n\n return Extension;\n}();\n\nvar ExtensionManager = /*#__PURE__*/function () {\n function ExtensionManager() {\n var extensions = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : [];\n var editor = arguments.length > 1 ? arguments[1] : undefined;\n\n _classCallCheck(this, ExtensionManager);\n\n extensions.forEach(function (extension) {\n extension.bindEditor(editor);\n extension.init();\n });\n this.extensions = extensions;\n }\n\n _createClass(ExtensionManager, [{\n key: \"keymaps\",\n value: function keymaps(_ref) {\n var schema = _ref.schema;\n var extensionKeymaps = this.extensions.filter(function (extension) {\n return ['extension'].includes(extension.type);\n }).filter(function (extension) {\n return extension.keys;\n }).map(function (extension) {\n return extension.keys({\n schema: schema\n });\n });\n var nodeMarkKeymaps = this.extensions.filter(function (extension) {\n return ['node', 'mark'].includes(extension.type);\n }).filter(function (extension) {\n return extension.keys;\n }).map(function (extension) {\n return extension.keys({\n type: schema[\"\".concat(extension.type, \"s\")][extension.name],\n schema: schema\n });\n });\n return [].concat(_toConsumableArray(extensionKeymaps), _toConsumableArray(nodeMarkKeymaps)).map(function (keys) {\n return keymap(keys);\n });\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref2) {\n var schema = _ref2.schema,\n excludedExtensions = _ref2.excludedExtensions;\n if (!(excludedExtensions instanceof Array) && excludedExtensions) return [];\n var allowedExtensions = excludedExtensions instanceof Array ? this.extensions.filter(function (extension) {\n return !excludedExtensions.includes(extension.name);\n }) : this.extensions;\n var extensionInputRules = allowedExtensions.filter(function (extension) {\n return ['extension'].includes(extension.type);\n }).filter(function (extension) {\n return extension.inputRules;\n }).map(function (extension) {\n return extension.inputRules({\n schema: schema\n });\n });\n var nodeMarkInputRules = allowedExtensions.filter(function (extension) {\n return ['node', 'mark'].includes(extension.type);\n }).filter(function (extension) {\n return extension.inputRules;\n }).map(function (extension) {\n return extension.inputRules({\n type: schema[\"\".concat(extension.type, \"s\")][extension.name],\n schema: schema\n });\n });\n return [].concat(_toConsumableArray(extensionInputRules), _toConsumableArray(nodeMarkInputRules)).reduce(function (allInputRules, inputRules) {\n return [].concat(_toConsumableArray(allInputRules), _toConsumableArray(inputRules));\n }, []);\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref3) {\n var schema = _ref3.schema,\n excludedExtensions = _ref3.excludedExtensions;\n if (!(excludedExtensions instanceof Array) && excludedExtensions) return [];\n var allowedExtensions = excludedExtensions instanceof Array ? this.extensions.filter(function (extension) {\n return !excludedExtensions.includes(extension.name);\n }) : this.extensions;\n var extensionPasteRules = allowedExtensions.filter(function (extension) {\n return ['extension'].includes(extension.type);\n }).filter(function (extension) {\n return extension.pasteRules;\n }).map(function (extension) {\n return extension.pasteRules({\n schema: schema\n });\n });\n var nodeMarkPasteRules = allowedExtensions.filter(function (extension) {\n return ['node', 'mark'].includes(extension.type);\n }).filter(function (extension) {\n return extension.pasteRules;\n }).map(function (extension) {\n return extension.pasteRules({\n type: schema[\"\".concat(extension.type, \"s\")][extension.name],\n schema: schema\n });\n });\n return [].concat(_toConsumableArray(extensionPasteRules), _toConsumableArray(nodeMarkPasteRules)).reduce(function (allPasteRules, pasteRules) {\n return [].concat(_toConsumableArray(allPasteRules), _toConsumableArray(pasteRules));\n }, []);\n }\n }, {\n key: \"commands\",\n value: function commands(_ref4) {\n var schema = _ref4.schema,\n view = _ref4.view;\n return this.extensions.filter(function (extension) {\n return extension.commands;\n }).reduce(function (allCommands, extension) {\n var name = extension.name,\n type = extension.type;\n var commands = {};\n var value = extension.commands(_objectSpread2({\n schema: schema\n }, ['node', 'mark'].includes(type) ? {\n type: schema[\"\".concat(type, \"s\")][name]\n } : {}));\n\n var apply = function apply(cb, attrs) {\n if (!view.editable) {\n return false;\n }\n\n view.focus();\n return cb(attrs)(view.state, view.dispatch, view);\n };\n\n var handle = function handle(_name, _value) {\n if (Array.isArray(_value)) {\n commands[_name] = function (attrs) {\n return _value.forEach(function (callback) {\n return apply(callback, attrs);\n });\n };\n } else if (typeof _value === 'function') {\n commands[_name] = function (attrs) {\n return apply(_value, attrs);\n };\n }\n };\n\n if (_typeof(value) === 'object') {\n Object.entries(value).forEach(function (_ref5) {\n var _ref6 = _slicedToArray(_ref5, 2),\n commandName = _ref6[0],\n commandValue = _ref6[1];\n\n handle(commandName, commandValue);\n });\n } else {\n handle(name, value);\n }\n\n return _objectSpread2(_objectSpread2({}, allCommands), commands);\n }, {});\n }\n }, {\n key: \"nodes\",\n get: function get() {\n return this.extensions.filter(function (extension) {\n return extension.type === 'node';\n }).reduce(function (nodes, _ref7) {\n var name = _ref7.name,\n schema = _ref7.schema;\n return _objectSpread2(_objectSpread2({}, nodes), {}, _defineProperty({}, name, schema));\n }, {});\n }\n }, {\n key: \"options\",\n get: function get() {\n var view = this.view;\n return this.extensions.reduce(function (nodes, extension) {\n return _objectSpread2(_objectSpread2({}, nodes), {}, _defineProperty({}, extension.name, new Proxy(extension.options, {\n set: function set(obj, prop, value) {\n var changed = obj[prop] !== value;\n Object.assign(obj, _defineProperty({}, prop, value));\n\n if (changed) {\n view.updateState(view.state);\n }\n\n return true;\n }\n })));\n }, {});\n }\n }, {\n key: \"marks\",\n get: function get() {\n return this.extensions.filter(function (extension) {\n return extension.type === 'mark';\n }).reduce(function (marks, _ref8) {\n var name = _ref8.name,\n schema = _ref8.schema;\n return _objectSpread2(_objectSpread2({}, marks), {}, _defineProperty({}, name, schema));\n }, {});\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return this.extensions.filter(function (extension) {\n return extension.plugins;\n }).reduce(function (allPlugins, _ref9) {\n var plugins = _ref9.plugins;\n return [].concat(_toConsumableArray(allPlugins), _toConsumableArray(plugins));\n }, []);\n }\n }]);\n\n return ExtensionManager;\n}();\n\nfunction injectCSS (css) {\n if (process.env.NODE_ENV !== 'test') {\n var style = document.createElement('style');\n style.type = 'text/css';\n style.textContent = css;\n var _document = document,\n head = _document.head;\n var firstChild = head.firstChild;\n\n if (firstChild) {\n head.insertBefore(style, firstChild);\n } else {\n head.appendChild(style);\n }\n }\n}\n\nvar Mark = /*#__PURE__*/function (_Extension) {\n _inherits(Mark, _Extension);\n\n var _super = _createSuper(Mark);\n\n function Mark() {\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, Mark);\n\n return _super.call(this, options);\n }\n\n _createClass(Mark, [{\n key: \"command\",\n value: function command() {\n return function () {};\n }\n }, {\n key: \"type\",\n get: function get() {\n return 'mark';\n }\n }, {\n key: \"view\",\n get: function get() {\n return null;\n }\n }, {\n key: \"schema\",\n get: function get() {\n return null;\n }\n }]);\n\n return Mark;\n}(Extension);\n\nfunction minMax() {\n var value = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : 0;\n var min = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 0;\n var max = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : 0;\n return Math.min(Math.max(parseInt(value, 10), min), max);\n}\n\nvar Node = /*#__PURE__*/function (_Extension) {\n _inherits(Node, _Extension);\n\n var _super = _createSuper(Node);\n\n function Node() {\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, Node);\n\n return _super.call(this, options);\n }\n\n _createClass(Node, [{\n key: \"command\",\n value: function command() {\n return function () {};\n }\n }, {\n key: \"type\",\n get: function get() {\n return 'node';\n }\n }, {\n key: \"view\",\n get: function get() {\n return null;\n }\n }, {\n key: \"schema\",\n get: function get() {\n return null;\n }\n }]);\n\n return Node;\n}(Extension);\n\nvar Doc = /*#__PURE__*/function (_Node) {\n _inherits(Doc, _Node);\n\n var _super = _createSuper(Doc);\n\n function Doc() {\n _classCallCheck(this, Doc);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Doc, [{\n key: \"name\",\n get: function get() {\n return 'doc';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'block+'\n };\n }\n }]);\n\n return Doc;\n}(Node);\n\nvar Paragraph = /*#__PURE__*/function (_Node) {\n _inherits(Paragraph, _Node);\n\n var _super = _createSuper(Paragraph);\n\n function Paragraph() {\n _classCallCheck(this, Paragraph);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Paragraph, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type;\n return function () {\n return setBlockType(type);\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'paragraph';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'inline*',\n group: 'block',\n draggable: false,\n parseDOM: [{\n tag: 'p'\n }],\n toDOM: function toDOM() {\n return ['p', 0];\n }\n };\n }\n }]);\n\n return Paragraph;\n}(Node);\n\nvar Text = /*#__PURE__*/function (_Node) {\n _inherits(Text, _Node);\n\n var _super = _createSuper(Text);\n\n function Text() {\n _classCallCheck(this, Text);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Text, [{\n key: \"name\",\n get: function get() {\n return 'text';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n group: 'inline'\n };\n }\n }]);\n\n return Text;\n}(Node);\n\nvar css = \".ProseMirror {\\n position: relative;\\n}\\n\\n.ProseMirror {\\n word-wrap: break-word;\\n white-space: pre-wrap;\\n -webkit-font-variant-ligatures: none;\\n font-variant-ligatures: none;\\n}\\n\\n.ProseMirror pre {\\n white-space: pre-wrap;\\n}\\n\\n.ProseMirror-gapcursor {\\n display: none;\\n pointer-events: none;\\n position: absolute;\\n}\\n\\n.ProseMirror-gapcursor:after {\\n content: \\\"\\\";\\n display: block;\\n position: absolute;\\n top: -2px;\\n width: 20px;\\n border-top: 1px solid black;\\n animation: ProseMirror-cursor-blink 1.1s steps(2, start) infinite;\\n}\\n\\n@keyframes ProseMirror-cursor-blink {\\n to {\\n visibility: hidden;\\n }\\n}\\n\\n.ProseMirror-hideselection *::selection {\\n background: transparent;\\n}\\n\\n.ProseMirror-hideselection *::-moz-selection {\\n background: transparent;\\n}\\n\\n.ProseMirror-hideselection * {\\n caret-color: transparent;\\n}\\n\\n.ProseMirror-focused .ProseMirror-gapcursor {\\n display: block;\\n}\\n\";\n\nvar Editor = /*#__PURE__*/function (_Emitter) {\n _inherits(Editor, _Emitter);\n\n var _super = _createSuper(Editor);\n\n function Editor() {\n var _this;\n\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, Editor);\n\n _this = _super.call(this);\n _this.defaultOptions = {\n editorProps: {},\n editable: true,\n autoFocus: null,\n extensions: [],\n content: '',\n topNode: 'doc',\n emptyDocument: {\n type: 'doc',\n content: [{\n type: 'paragraph'\n }]\n },\n useBuiltInExtensions: true,\n disableInputRules: false,\n disablePasteRules: false,\n dropCursor: {},\n parseOptions: {},\n injectCSS: true,\n onInit: function onInit() {},\n onTransaction: function onTransaction() {},\n onUpdate: function onUpdate() {},\n onFocus: function onFocus() {},\n onBlur: function onBlur() {},\n onPaste: function onPaste() {},\n onDrop: function onDrop() {}\n };\n _this.events = ['init', 'transaction', 'update', 'focus', 'blur', 'paste', 'drop'];\n\n _this.init(options);\n\n return _this;\n }\n\n _createClass(Editor, [{\n key: \"init\",\n value: function init() {\n var _this2 = this;\n\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n this.setOptions(_objectSpread2(_objectSpread2({}, this.defaultOptions), options));\n this.focused = false;\n this.selection = {\n from: 0,\n to: 0\n };\n this.element = document.createElement('div');\n this.extensions = this.createExtensions();\n this.nodes = this.createNodes();\n this.marks = this.createMarks();\n this.schema = this.createSchema();\n this.plugins = this.createPlugins();\n this.keymaps = this.createKeymaps();\n this.inputRules = this.createInputRules();\n this.pasteRules = this.createPasteRules();\n this.view = this.createView();\n this.commands = this.createCommands();\n this.setActiveNodesAndMarks();\n\n if (this.options.injectCSS) {\n injectCSS(css);\n }\n\n if (this.options.autoFocus !== null) {\n this.focus(this.options.autoFocus);\n }\n\n this.events.forEach(function (name) {\n _this2.on(name, _this2.options[camelCase(\"on \".concat(name))] || function () {});\n });\n this.emit('init', {\n view: this.view,\n state: this.state\n }); // give extension manager access to our view\n\n this.extensions.view = this.view;\n }\n }, {\n key: \"setOptions\",\n value: function setOptions(options) {\n this.options = _objectSpread2(_objectSpread2({}, this.options), options);\n\n if (this.view && this.state) {\n this.view.updateState(this.state);\n }\n }\n }, {\n key: \"createExtensions\",\n value: function createExtensions() {\n return new ExtensionManager([].concat(_toConsumableArray(this.builtInExtensions), _toConsumableArray(this.options.extensions)), this);\n }\n }, {\n key: \"createPlugins\",\n value: function createPlugins() {\n return this.extensions.plugins;\n }\n }, {\n key: \"createKeymaps\",\n value: function createKeymaps() {\n return this.extensions.keymaps({\n schema: this.schema\n });\n }\n }, {\n key: \"createInputRules\",\n value: function createInputRules() {\n return this.extensions.inputRules({\n schema: this.schema,\n excludedExtensions: this.options.disableInputRules\n });\n }\n }, {\n key: \"createPasteRules\",\n value: function createPasteRules() {\n return this.extensions.pasteRules({\n schema: this.schema,\n excludedExtensions: this.options.disablePasteRules\n });\n }\n }, {\n key: \"createCommands\",\n value: function createCommands() {\n return this.extensions.commands({\n schema: this.schema,\n view: this.view\n });\n }\n }, {\n key: \"createNodes\",\n value: function createNodes() {\n return this.extensions.nodes;\n }\n }, {\n key: \"createMarks\",\n value: function createMarks() {\n return this.extensions.marks;\n }\n }, {\n key: \"createSchema\",\n value: function createSchema() {\n return new Schema({\n topNode: this.options.topNode,\n nodes: this.nodes,\n marks: this.marks\n });\n }\n }, {\n key: \"createState\",\n value: function createState() {\n var _this3 = this;\n\n return EditorState.create({\n schema: this.schema,\n doc: this.createDocument(this.options.content),\n plugins: [].concat(_toConsumableArray(this.plugins), [inputRules({\n rules: this.inputRules\n })], _toConsumableArray(this.pasteRules), _toConsumableArray(this.keymaps), [keymap({\n Backspace: undoInputRule\n }), keymap(baseKeymap), dropCursor(this.options.dropCursor), gapCursor(), new Plugin({\n key: new PluginKey('editable'),\n props: {\n editable: function editable() {\n return _this3.options.editable;\n }\n }\n }), new Plugin({\n props: {\n attributes: {\n tabindex: 0\n },\n handleDOMEvents: {\n focus: function focus(view, event) {\n _this3.focused = true;\n\n _this3.emit('focus', {\n event: event,\n state: view.state,\n view: view\n });\n\n var transaction = _this3.state.tr.setMeta('focused', true);\n\n _this3.view.dispatch(transaction);\n },\n blur: function blur(view, event) {\n _this3.focused = false;\n\n _this3.emit('blur', {\n event: event,\n state: view.state,\n view: view\n });\n\n var transaction = _this3.state.tr.setMeta('focused', false);\n\n _this3.view.dispatch(transaction);\n }\n }\n }\n }), new Plugin({\n props: this.options.editorProps\n })])\n });\n }\n }, {\n key: \"createDocument\",\n value: function createDocument(content) {\n var parseOptions = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : this.options.parseOptions;\n\n if (content === null) {\n return this.schema.nodeFromJSON(this.options.emptyDocument);\n }\n\n if (_typeof(content) === 'object') {\n try {\n return this.schema.nodeFromJSON(content);\n } catch (error) {\n console.warn('[tiptap warn]: Invalid content.', 'Passed value:', content, 'Error:', error);\n return this.schema.nodeFromJSON(this.options.emptyDocument);\n }\n }\n\n if (typeof content === 'string') {\n var htmlString = \"<div>\".concat(content, \"</div>\");\n var parser = new window.DOMParser();\n var element = parser.parseFromString(htmlString, 'text/html').body;\n return DOMParser.fromSchema(this.schema).parse(element, parseOptions);\n }\n\n return false;\n }\n }, {\n key: \"createView\",\n value: function createView() {\n var _this4 = this;\n\n return new EditorView(this.element, {\n state: this.createState(),\n handlePaste: function handlePaste() {\n for (var _len = arguments.length, args = new Array(_len), _key = 0; _key < _len; _key++) {\n args[_key] = arguments[_key];\n }\n\n _this4.emit.apply(_this4, ['paste'].concat(args));\n },\n handleDrop: function handleDrop() {\n for (var _len2 = arguments.length, args = new Array(_len2), _key2 = 0; _key2 < _len2; _key2++) {\n args[_key2] = arguments[_key2];\n }\n\n _this4.emit.apply(_this4, ['drop'].concat(args));\n },\n dispatchTransaction: this.dispatchTransaction.bind(this)\n });\n }\n }, {\n key: \"setParentComponent\",\n value: function setParentComponent() {\n var component = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n\n if (!component) {\n return;\n }\n\n this.view.setProps({\n nodeViews: this.initNodeViews({\n parent: component,\n extensions: [].concat(_toConsumableArray(this.builtInExtensions), _toConsumableArray(this.options.extensions))\n })\n });\n }\n }, {\n key: \"initNodeViews\",\n value: function initNodeViews(_ref) {\n var _this5 = this;\n\n var parent = _ref.parent,\n extensions = _ref.extensions;\n return extensions.filter(function (extension) {\n return ['node', 'mark'].includes(extension.type);\n }).filter(function (extension) {\n return extension.view;\n }).reduce(function (nodeViews, extension) {\n var nodeView = function nodeView(node, view, getPos, decorations) {\n var component = extension.view;\n return new ComponentView(component, {\n editor: _this5,\n extension: extension,\n parent: parent,\n node: node,\n view: view,\n getPos: getPos,\n decorations: decorations\n });\n };\n\n return _objectSpread2(_objectSpread2({}, nodeViews), {}, _defineProperty({}, extension.name, nodeView));\n }, {});\n }\n }, {\n key: \"dispatchTransaction\",\n value: function dispatchTransaction(transaction) {\n var newState = this.state.apply(transaction);\n this.view.updateState(newState);\n this.selection = {\n from: this.state.selection.from,\n to: this.state.selection.to\n };\n this.setActiveNodesAndMarks();\n this.emit('transaction', {\n getHTML: this.getHTML.bind(this),\n getJSON: this.getJSON.bind(this),\n state: this.state,\n transaction: transaction\n });\n\n if (!transaction.docChanged || transaction.getMeta('preventUpdate')) {\n return;\n }\n\n this.emitUpdate(transaction);\n }\n }, {\n key: \"emitUpdate\",\n value: function emitUpdate(transaction) {\n this.emit('update', {\n getHTML: this.getHTML.bind(this),\n getJSON: this.getJSON.bind(this),\n state: this.state,\n transaction: transaction\n });\n }\n }, {\n key: \"resolveSelection\",\n value: function resolveSelection() {\n var position = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n\n if (this.selection && position === null) {\n return this.selection;\n }\n\n if (position === 'start' || position === true) {\n return {\n from: 0,\n to: 0\n };\n }\n\n if (position === 'end') {\n var doc = this.state.doc;\n return {\n from: doc.content.size,\n to: doc.content.size\n };\n }\n\n return {\n from: position,\n to: position\n };\n }\n }, {\n key: \"focus\",\n value: function focus() {\n var _this6 = this;\n\n var position = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n\n if (this.view.focused && position === null || position === false) {\n return;\n }\n\n var _this$resolveSelectio = this.resolveSelection(position),\n from = _this$resolveSelectio.from,\n to = _this$resolveSelectio.to;\n\n this.setSelection(from, to);\n setTimeout(function () {\n return _this6.view.focus();\n }, 10);\n }\n }, {\n key: \"setSelection\",\n value: function setSelection() {\n var from = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : 0;\n var to = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 0;\n var _this$state = this.state,\n doc = _this$state.doc,\n tr = _this$state.tr;\n var resolvedFrom = minMax(from, 0, doc.content.size);\n var resolvedEnd = minMax(to, 0, doc.content.size);\n var selection = TextSelection.create(doc, resolvedFrom, resolvedEnd);\n var transaction = tr.setSelection(selection);\n this.view.dispatch(transaction);\n }\n }, {\n key: \"blur\",\n value: function blur() {\n this.view.dom.blur();\n }\n }, {\n key: \"getSchemaJSON\",\n value: function getSchemaJSON() {\n return JSON.parse(JSON.stringify({\n nodes: this.extensions.nodes,\n marks: this.extensions.marks\n }));\n }\n }, {\n key: \"getHTML\",\n value: function getHTML() {\n var div = document.createElement('div');\n var fragment = DOMSerializer.fromSchema(this.schema).serializeFragment(this.state.doc.content);\n div.appendChild(fragment);\n return div.innerHTML;\n }\n }, {\n key: \"getJSON\",\n value: function getJSON() {\n return this.state.doc.toJSON();\n }\n }, {\n key: \"setContent\",\n value: function setContent() {\n var content = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n var emitUpdate = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n var parseOptions = arguments.length > 2 ? arguments[2] : undefined;\n var _this$state2 = this.state,\n doc = _this$state2.doc,\n tr = _this$state2.tr;\n var document = this.createDocument(content, parseOptions);\n var selection = TextSelection.create(doc, 0, doc.content.size);\n var transaction = tr.setSelection(selection).replaceSelectionWith(document, false).setMeta('preventUpdate', !emitUpdate);\n this.view.dispatch(transaction);\n }\n }, {\n key: \"clearContent\",\n value: function clearContent() {\n var emitUpdate = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : false;\n this.setContent(this.options.emptyDocument, emitUpdate);\n }\n }, {\n key: \"setActiveNodesAndMarks\",\n value: function setActiveNodesAndMarks() {\n var _this7 = this;\n\n this.activeMarks = Object.entries(this.schema.marks).reduce(function (marks, _ref2) {\n var _ref3 = _slicedToArray(_ref2, 2),\n name = _ref3[0],\n mark = _ref3[1];\n\n return _objectSpread2(_objectSpread2({}, marks), {}, _defineProperty({}, name, function () {\n var attrs = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n return markIsActive(_this7.state, mark, attrs);\n }));\n }, {});\n this.activeMarkAttrs = Object.entries(this.schema.marks).reduce(function (marks, _ref4) {\n var _ref5 = _slicedToArray(_ref4, 2),\n name = _ref5[0],\n mark = _ref5[1];\n\n return _objectSpread2(_objectSpread2({}, marks), {}, _defineProperty({}, name, getMarkAttrs(_this7.state, mark)));\n }, {});\n this.activeNodes = Object.entries(this.schema.nodes).reduce(function (nodes, _ref6) {\n var _ref7 = _slicedToArray(_ref6, 2),\n name = _ref7[0],\n node = _ref7[1];\n\n return _objectSpread2(_objectSpread2({}, nodes), {}, _defineProperty({}, name, function () {\n var attrs = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n return nodeIsActive(_this7.state, node, attrs);\n }));\n }, {});\n }\n }, {\n key: \"getMarkAttrs\",\n value: function getMarkAttrs() {\n var type = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n return this.activeMarkAttrs[type];\n }\n }, {\n key: \"getNodeAttrs\",\n value: function getNodeAttrs$1() {\n var type = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n return _objectSpread2({}, getNodeAttrs(this.state, this.schema.nodes[type]));\n }\n }, {\n key: \"registerPlugin\",\n value: function registerPlugin() {\n var plugin = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n var handlePlugins = arguments.length > 1 ? arguments[1] : undefined;\n var plugins = typeof handlePlugins === 'function' ? handlePlugins(plugin, this.state.plugins) : [plugin].concat(_toConsumableArray(this.state.plugins));\n var newState = this.state.reconfigure({\n plugins: plugins\n });\n this.view.updateState(newState);\n }\n }, {\n key: \"unregisterPlugin\",\n value: function unregisterPlugin() {\n var name = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n\n if (!name || !this.view.docView) {\n return;\n }\n\n var newState = this.state.reconfigure({\n plugins: this.state.plugins.filter(function (plugin) {\n return !plugin.key.startsWith(\"\".concat(name, \"$\"));\n })\n });\n this.view.updateState(newState);\n }\n }, {\n key: \"destroy\",\n value: function destroy() {\n if (!this.view) {\n return;\n }\n\n this.view.destroy();\n }\n }, {\n key: \"builtInExtensions\",\n get: function get() {\n if (!this.options.useBuiltInExtensions) {\n return [];\n }\n\n return [new Doc(), new Text(), new Paragraph()];\n }\n }, {\n key: \"state\",\n get: function get() {\n return this.view ? this.view.state : null;\n }\n }, {\n key: \"isActive\",\n get: function get() {\n return Object.entries(_objectSpread2(_objectSpread2({}, this.activeMarks), this.activeNodes)).reduce(function (types, _ref8) {\n var _ref9 = _slicedToArray(_ref8, 2),\n name = _ref9[0],\n value = _ref9[1];\n\n return _objectSpread2(_objectSpread2({}, types), {}, _defineProperty({}, name, function () {\n var attrs = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n return value(attrs);\n }));\n }, {});\n }\n }]);\n\n return Editor;\n}(Emitter);\n\nvar EditorContent = {\n props: {\n editor: {\n default: null,\n type: Object\n }\n },\n watch: {\n editor: {\n immediate: true,\n handler: function handler(editor) {\n var _this = this;\n\n if (editor && editor.element) {\n this.$nextTick(function () {\n _this.$el.appendChild(editor.element.firstChild);\n\n editor.setParentComponent(_this);\n });\n }\n }\n }\n },\n render: function render(createElement) {\n return createElement('div');\n },\n beforeDestroy: function beforeDestroy() {\n this.editor.element = this.$el;\n }\n};\n\nvar Menu = /*#__PURE__*/function () {\n function Menu(_ref) {\n var _this = this;\n\n var options = _ref.options;\n\n _classCallCheck(this, Menu);\n\n this.options = options;\n this.preventHide = false; // the mousedown event is fired before blur so we can prevent it\n\n this.mousedownHandler = this.handleClick.bind(this);\n this.options.element.addEventListener('mousedown', this.mousedownHandler, {\n capture: true\n });\n\n this.blurHandler = function () {\n if (_this.preventHide) {\n _this.preventHide = false;\n return;\n }\n\n _this.options.editor.emit('menubar:focusUpdate', false);\n };\n\n this.options.editor.on('blur', this.blurHandler);\n }\n\n _createClass(Menu, [{\n key: \"handleClick\",\n value: function handleClick() {\n this.preventHide = true;\n }\n }, {\n key: \"destroy\",\n value: function destroy() {\n this.options.element.removeEventListener('mousedown', this.mousedownHandler);\n this.options.editor.off('blur', this.blurHandler);\n }\n }]);\n\n return Menu;\n}();\n\nfunction MenuBar (options) {\n return new Plugin({\n key: new PluginKey('menu_bar'),\n view: function view(editorView) {\n return new Menu({\n editorView: editorView,\n options: options\n });\n }\n });\n}\n\nvar EditorMenuBar = {\n props: {\n editor: {\n default: null,\n type: Object\n }\n },\n data: function data() {\n return {\n focused: false\n };\n },\n watch: {\n editor: {\n immediate: true,\n handler: function handler(editor) {\n var _this = this;\n\n if (editor) {\n this.$nextTick(function () {\n editor.registerPlugin(MenuBar({\n editor: editor,\n element: _this.$el\n }));\n _this.focused = editor.focused;\n editor.on('focus', function () {\n _this.focused = true;\n });\n editor.on('menubar:focusUpdate', function (focused) {\n _this.focused = focused;\n });\n });\n }\n }\n }\n },\n render: function render() {\n if (!this.editor) {\n return null;\n }\n\n return this.$scopedSlots.default({\n focused: this.focused,\n focus: this.editor.focus,\n commands: this.editor.commands,\n isActive: this.editor.isActive,\n getMarkAttrs: this.editor.getMarkAttrs.bind(this.editor),\n getNodeAttrs: this.editor.getNodeAttrs.bind(this.editor)\n });\n }\n};\n\nfunction textRange(node, from, to) {\n var range = document.createRange();\n range.setEnd(node, to == null ? node.nodeValue.length : to);\n range.setStart(node, from || 0);\n return range;\n}\n\nfunction singleRect(object, bias) {\n var rects = object.getClientRects();\n return !rects.length ? object.getBoundingClientRect() : rects[bias < 0 ? 0 : rects.length - 1];\n}\n\nfunction coordsAtPos(view, pos) {\n var end = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : false;\n\n var _view$docView$domFrom = view.docView.domFromPos(pos),\n node = _view$docView$domFrom.node,\n offset = _view$docView$domFrom.offset;\n\n var side;\n var rect;\n\n if (node.nodeType === 3) {\n if (end && offset < node.nodeValue.length) {\n rect = singleRect(textRange(node, offset - 1, offset), -1);\n side = 'right';\n } else if (offset < node.nodeValue.length) {\n rect = singleRect(textRange(node, offset, offset + 1), -1);\n side = 'left';\n }\n } else if (node.firstChild) {\n if (offset < node.childNodes.length) {\n var child = node.childNodes[offset];\n rect = singleRect(child.nodeType === 3 ? textRange(child) : child, -1);\n side = 'left';\n }\n\n if ((!rect || rect.top === rect.bottom) && offset) {\n var _child = node.childNodes[offset - 1];\n rect = singleRect(_child.nodeType === 3 ? textRange(_child) : _child, 1);\n side = 'right';\n }\n } else {\n rect = node.getBoundingClientRect();\n side = 'left';\n }\n\n var x = rect[side];\n return {\n top: rect.top,\n bottom: rect.bottom,\n left: x,\n right: x\n };\n}\n\nvar Menu$1 = /*#__PURE__*/function () {\n function Menu(_ref) {\n var _this = this;\n\n var options = _ref.options,\n editorView = _ref.editorView;\n\n _classCallCheck(this, Menu);\n\n this.options = _objectSpread2(_objectSpread2({}, {\n element: null,\n keepInBounds: true,\n onUpdate: function onUpdate() {\n return false;\n }\n }), options);\n this.editorView = editorView;\n this.isActive = false;\n this.left = 0;\n this.bottom = 0;\n this.top = 0;\n this.preventHide = false; // the mousedown event is fired before blur so we can prevent it\n\n this.mousedownHandler = this.handleClick.bind(this);\n this.options.element.addEventListener('mousedown', this.mousedownHandler, {\n capture: true\n });\n\n this.focusHandler = function (_ref2) {\n var view = _ref2.view;\n\n _this.update(view);\n };\n\n this.options.editor.on('focus', this.focusHandler);\n\n this.blurHandler = function (_ref3) {\n var event = _ref3.event;\n\n if (_this.preventHide) {\n _this.preventHide = false;\n return;\n }\n\n _this.hide(event);\n };\n\n this.options.editor.on('blur', this.blurHandler);\n }\n\n _createClass(Menu, [{\n key: \"handleClick\",\n value: function handleClick() {\n this.preventHide = true;\n }\n }, {\n key: \"update\",\n value: function update(view, lastState) {\n var state = view.state;\n\n if (view.composing) {\n return;\n } // Don't do anything if the document/selection didn't change\n\n\n if (lastState && lastState.doc.eq(state.doc) && lastState.selection.eq(state.selection)) {\n return;\n } // Hide the tooltip if the selection is empty\n\n\n if (state.selection.empty) {\n this.hide();\n return;\n } // Otherwise, reposition it and update its content\n\n\n var _state$selection = state.selection,\n from = _state$selection.from,\n to = _state$selection.to; // These are in screen coordinates\n // We can't use EditorView.cordsAtPos here because it can't handle linebreaks correctly\n // See: https://github.com/ProseMirror/prosemirror-view/pull/47\n\n var start = coordsAtPos(view, from);\n var end = coordsAtPos(view, to, true); // The box in which the tooltip is positioned, to use as base\n\n var parent = this.options.element.offsetParent;\n\n if (!parent) {\n this.hide();\n return;\n }\n\n var box = parent.getBoundingClientRect();\n var el = this.options.element.getBoundingClientRect(); // Find a center-ish x position from the selection endpoints (when\n // crossing lines, end may be more to the left)\n\n var left = (start.left + end.left) / 2 - box.left; // Keep the menuBubble in the bounding box of the offsetParent i\n\n this.left = Math.round(this.options.keepInBounds ? Math.min(box.width - el.width / 2, Math.max(left, el.width / 2)) : left);\n this.bottom = Math.round(box.bottom - start.top);\n this.top = Math.round(end.bottom - box.top);\n this.isActive = true;\n this.sendUpdate();\n }\n }, {\n key: \"sendUpdate\",\n value: function sendUpdate() {\n this.options.onUpdate({\n isActive: this.isActive,\n left: this.left,\n bottom: this.bottom,\n top: this.top\n });\n }\n }, {\n key: \"hide\",\n value: function hide(event) {\n if (event && event.relatedTarget && this.options.element.parentNode && this.options.element.parentNode.contains(event.relatedTarget)) {\n return;\n }\n\n this.isActive = false;\n this.sendUpdate();\n }\n }, {\n key: \"destroy\",\n value: function destroy() {\n this.options.element.removeEventListener('mousedown', this.mousedownHandler);\n this.options.editor.off('focus', this.focusHandler);\n this.options.editor.off('blur', this.blurHandler);\n }\n }]);\n\n return Menu;\n}();\n\nfunction MenuBubble (options) {\n return new Plugin({\n key: new PluginKey('menu_bubble'),\n view: function view(editorView) {\n return new Menu$1({\n editorView: editorView,\n options: options\n });\n }\n });\n}\n\nvar EditorMenuBubble = {\n props: {\n editor: {\n default: null,\n type: Object\n },\n keepInBounds: {\n default: true,\n type: Boolean\n }\n },\n data: function data() {\n return {\n menu: {\n isActive: false,\n left: 0,\n bottom: 0\n }\n };\n },\n watch: {\n editor: {\n immediate: true,\n handler: function handler(editor) {\n var _this = this;\n\n if (editor) {\n this.$nextTick(function () {\n editor.registerPlugin(MenuBubble({\n editor: editor,\n element: _this.$el,\n keepInBounds: _this.keepInBounds,\n onUpdate: function onUpdate(menu) {\n // the second check ensures event is fired only once\n if (menu.isActive && _this.menu.isActive === false) {\n _this.$emit('show', menu);\n } else if (!menu.isActive && _this.menu.isActive === true) {\n _this.$emit('hide', menu);\n }\n\n _this.menu = menu;\n }\n }));\n });\n }\n }\n }\n },\n render: function render() {\n if (!this.editor) {\n return null;\n }\n\n return this.$scopedSlots.default({\n focused: this.editor.view.focused,\n focus: this.editor.focus,\n commands: this.editor.commands,\n isActive: this.editor.isActive,\n getMarkAttrs: this.editor.getMarkAttrs.bind(this.editor),\n getNodeAttrs: this.editor.getNodeAttrs.bind(this.editor),\n menu: this.menu\n });\n },\n beforeDestroy: function beforeDestroy() {\n this.editor.unregisterPlugin('menu_bubble');\n }\n};\n\nvar Menu$2 = /*#__PURE__*/function () {\n function Menu(_ref) {\n var _this = this;\n\n var options = _ref.options,\n editorView = _ref.editorView;\n\n _classCallCheck(this, Menu);\n\n this.options = _objectSpread2(_objectSpread2({}, {\n resizeObserver: true,\n element: null,\n onUpdate: function onUpdate() {\n return false;\n }\n }), options);\n this.preventHide = false;\n this.editorView = editorView;\n this.isActive = false;\n this.top = 0; // the mousedown event is fired before blur so we can prevent it\n\n this.mousedownHandler = this.handleClick.bind(this);\n this.options.element.addEventListener('mousedown', this.mousedownHandler, {\n capture: true\n });\n\n this.focusHandler = function (_ref2) {\n var view = _ref2.view;\n\n _this.update(view);\n };\n\n this.options.editor.on('focus', this.focusHandler);\n\n this.blurHandler = function (_ref3) {\n var event = _ref3.event;\n\n if (_this.preventHide) {\n _this.preventHide = false;\n return;\n }\n\n _this.hide(event);\n };\n\n this.options.editor.on('blur', this.blurHandler); // sometimes we have to update the position\n // because of a loaded images for example\n\n if (this.options.resizeObserver && window.ResizeObserver) {\n this.resizeObserver = new ResizeObserver(function () {\n if (_this.isActive) {\n _this.update(_this.editorView);\n }\n });\n this.resizeObserver.observe(this.editorView.dom);\n }\n }\n\n _createClass(Menu, [{\n key: \"handleClick\",\n value: function handleClick() {\n this.preventHide = true;\n }\n }, {\n key: \"update\",\n value: function update(view, lastState) {\n var state = view.state; // Don't do anything if the document/selection didn't change\n\n if (lastState && lastState.doc.eq(state.doc) && lastState.selection.eq(state.selection)) {\n return;\n }\n\n if (!state.selection.empty) {\n this.hide();\n return;\n }\n\n var currentDom = view.domAtPos(state.selection.anchor);\n var isActive = currentDom.node.innerHTML === '<br>' && currentDom.node.tagName === 'P' && currentDom.node.parentNode === view.dom;\n\n if (!isActive) {\n this.hide();\n return;\n }\n\n var parent = this.options.element.offsetParent;\n\n if (!parent) {\n this.hide();\n return;\n }\n\n var editorBoundings = parent.getBoundingClientRect();\n var cursorBoundings = view.coordsAtPos(state.selection.anchor);\n var top = cursorBoundings.top - editorBoundings.top;\n this.isActive = true;\n this.top = top;\n this.sendUpdate();\n }\n }, {\n key: \"sendUpdate\",\n value: function sendUpdate() {\n this.options.onUpdate({\n isActive: this.isActive,\n top: this.top\n });\n }\n }, {\n key: \"hide\",\n value: function hide(event) {\n if (event && event.relatedTarget && this.options.element.parentNode && this.options.element.parentNode.contains(event.relatedTarget)) {\n return;\n }\n\n this.isActive = false;\n this.sendUpdate();\n }\n }, {\n key: \"destroy\",\n value: function destroy() {\n this.options.element.removeEventListener('mousedown', this.mousedownHandler);\n\n if (this.resizeObserver) {\n this.resizeObserver.unobserve(this.editorView.dom);\n }\n\n this.options.editor.off('focus', this.focusHandler);\n this.options.editor.off('blur', this.blurHandler);\n }\n }]);\n\n return Menu;\n}();\n\nfunction FloatingMenu (options) {\n return new Plugin({\n key: new PluginKey('floating_menu'),\n view: function view(editorView) {\n return new Menu$2({\n editorView: editorView,\n options: options\n });\n }\n });\n}\n\nvar EditorFloatingMenu = {\n props: {\n editor: {\n default: null,\n type: Object\n }\n },\n data: function data() {\n return {\n menu: {\n isActive: false,\n left: 0,\n bottom: 0\n }\n };\n },\n watch: {\n editor: {\n immediate: true,\n handler: function handler(editor) {\n var _this = this;\n\n if (editor) {\n this.$nextTick(function () {\n editor.registerPlugin(FloatingMenu({\n editor: editor,\n element: _this.$el,\n onUpdate: function onUpdate(menu) {\n // the second check ensures event is fired only once\n if (menu.isActive && _this.menu.isActive === false) {\n _this.$emit('show', menu);\n } else if (!menu.isActive && _this.menu.isActive === true) {\n _this.$emit('hide', menu);\n }\n\n _this.menu = menu;\n }\n }));\n });\n }\n }\n }\n },\n render: function render() {\n if (!this.editor) {\n return null;\n }\n\n return this.$scopedSlots.default({\n focused: this.editor.view.focused,\n focus: this.editor.focus,\n commands: this.editor.commands,\n isActive: this.editor.isActive,\n getMarkAttrs: this.editor.getMarkAttrs.bind(this.editor),\n getNodeAttrs: this.editor.getNodeAttrs.bind(this.editor),\n menu: this.menu\n });\n },\n beforeDestroy: function beforeDestroy() {\n this.editor.unregisterPlugin('floating_menu');\n }\n};\n\nexport { Doc, Editor, EditorContent, EditorFloatingMenu, EditorMenuBar, EditorMenuBubble, Extension, Mark, Node, Paragraph, Text };\n","import { ReplaceError, Slice, Fragment, MarkType } from 'prosemirror-model';\n\n// Mappable:: interface\n// There are several things that positions can be mapped through.\n// Such objects conform to this interface.\n//\n// map:: (pos: number, assoc: ?number) → number\n// Map a position through this object. When given, `assoc` (should\n// be -1 or 1, defaults to 1) determines with which side the\n// position is associated, which determines in which direction to\n// move when a chunk of content is inserted at the mapped position.\n//\n// mapResult:: (pos: number, assoc: ?number) → MapResult\n// Map a position, and return an object containing additional\n// information about the mapping. The result's `deleted` field tells\n// you whether the position was deleted (completely enclosed in a\n// replaced range) during the mapping. When content on only one side\n// is deleted, the position itself is only considered deleted when\n// `assoc` points in the direction of the deleted content.\n\n// Recovery values encode a range index and an offset. They are\n// represented as numbers, because tons of them will be created when\n// mapping, for example, a large number of decorations. The number's\n// lower 16 bits provide the index, the remaining bits the offset.\n//\n// Note: We intentionally don't use bit shift operators to en- and\n// decode these, since those clip to 32 bits, which we might in rare\n// cases want to overflow. A 64-bit float can represent 48-bit\n// integers precisely.\n\nvar lower16 = 0xffff;\nvar factor16 = Math.pow(2, 16);\n\nfunction makeRecover(index, offset) { return index + offset * factor16 }\nfunction recoverIndex(value) { return value & lower16 }\nfunction recoverOffset(value) { return (value - (value & lower16)) / factor16 }\n\n// ::- An object representing a mapped position with extra\n// information.\nvar MapResult = function MapResult(pos, deleted, recover) {\n if ( deleted === void 0 ) deleted = false;\n if ( recover === void 0 ) recover = null;\n\n // :: number The mapped version of the position.\n this.pos = pos;\n // :: bool Tells you whether the position was deleted, that is,\n // whether the step removed its surroundings from the document.\n this.deleted = deleted;\n this.recover = recover;\n};\n\n// :: class extends Mappable\n// A map describing the deletions and insertions made by a step, which\n// can be used to find the correspondence between positions in the\n// pre-step version of a document and the same position in the\n// post-step version.\nvar StepMap = function StepMap(ranges, inverted) {\n if ( inverted === void 0 ) inverted = false;\n\n this.ranges = ranges;\n this.inverted = inverted;\n};\n\nStepMap.prototype.recover = function recover (value) {\n var diff = 0, index = recoverIndex(value);\n if (!this.inverted) { for (var i = 0; i < index; i++)\n { diff += this.ranges[i * 3 + 2] - this.ranges[i * 3 + 1]; } }\n return this.ranges[index * 3] + diff + recoverOffset(value)\n};\n\n// : (number, ?number) → MapResult\nStepMap.prototype.mapResult = function mapResult (pos, assoc) {\n if ( assoc === void 0 ) assoc = 1;\n return this._map(pos, assoc, false) };\n\n// : (number, ?number) → number\nStepMap.prototype.map = function map (pos, assoc) {\n if ( assoc === void 0 ) assoc = 1;\n return this._map(pos, assoc, true) };\n\nStepMap.prototype._map = function _map (pos, assoc, simple) {\n var diff = 0, oldIndex = this.inverted ? 2 : 1, newIndex = this.inverted ? 1 : 2;\n for (var i = 0; i < this.ranges.length; i += 3) {\n var start = this.ranges[i] - (this.inverted ? diff : 0);\n if (start > pos) { break }\n var oldSize = this.ranges[i + oldIndex], newSize = this.ranges[i + newIndex], end = start + oldSize;\n if (pos <= end) {\n var side = !oldSize ? assoc : pos == start ? -1 : pos == end ? 1 : assoc;\n var result = start + diff + (side < 0 ? 0 : newSize);\n if (simple) { return result }\n var recover = pos == (assoc < 0 ? start : end) ? null : makeRecover(i / 3, pos - start);\n return new MapResult(result, assoc < 0 ? pos != start : pos != end, recover)\n }\n diff += newSize - oldSize;\n }\n return simple ? pos + diff : new MapResult(pos + diff)\n};\n\nStepMap.prototype.touches = function touches (pos, recover) {\n var diff = 0, index = recoverIndex(recover);\n var oldIndex = this.inverted ? 2 : 1, newIndex = this.inverted ? 1 : 2;\n for (var i = 0; i < this.ranges.length; i += 3) {\n var start = this.ranges[i] - (this.inverted ? diff : 0);\n if (start > pos) { break }\n var oldSize = this.ranges[i + oldIndex], end = start + oldSize;\n if (pos <= end && i == index * 3) { return true }\n diff += this.ranges[i + newIndex] - oldSize;\n }\n return false\n};\n\n// :: ((oldStart: number, oldEnd: number, newStart: number, newEnd: number))\n// Calls the given function on each of the changed ranges included in\n// this map.\nStepMap.prototype.forEach = function forEach (f) {\n var oldIndex = this.inverted ? 2 : 1, newIndex = this.inverted ? 1 : 2;\n for (var i = 0, diff = 0; i < this.ranges.length; i += 3) {\n var start = this.ranges[i], oldStart = start - (this.inverted ? diff : 0), newStart = start + (this.inverted ? 0 : diff);\n var oldSize = this.ranges[i + oldIndex], newSize = this.ranges[i + newIndex];\n f(oldStart, oldStart + oldSize, newStart, newStart + newSize);\n diff += newSize - oldSize;\n }\n};\n\n// :: () → StepMap\n// Create an inverted version of this map. The result can be used to\n// map positions in the post-step document to the pre-step document.\nStepMap.prototype.invert = function invert () {\n return new StepMap(this.ranges, !this.inverted)\n};\n\nStepMap.prototype.toString = function toString () {\n return (this.inverted ? \"-\" : \"\") + JSON.stringify(this.ranges)\n};\n\n// :: (n: number) → StepMap\n// Create a map that moves all positions by offset `n` (which may be\n// negative). This can be useful when applying steps meant for a\n// sub-document to a larger document, or vice-versa.\nStepMap.offset = function offset (n) {\n return n == 0 ? StepMap.empty : new StepMap(n < 0 ? [0, -n, 0] : [0, 0, n])\n};\n\nStepMap.empty = new StepMap([]);\n\n// :: class extends Mappable\n// A mapping represents a pipeline of zero or more [step\n// maps](#transform.StepMap). It has special provisions for losslessly\n// handling mapping positions through a series of steps in which some\n// steps are inverted versions of earlier steps. (This comes up when\n// ‘[rebasing](/docs/guide/#transform.rebasing)’ steps for\n// collaboration or history management.)\nvar Mapping = function Mapping(maps, mirror, from, to) {\n // :: [StepMap]\n // The step maps in this mapping.\n this.maps = maps || [];\n // :: number\n // The starting position in the `maps` array, used when `map` or\n // `mapResult` is called.\n this.from = from || 0;\n // :: number\n // The end position in the `maps` array.\n this.to = to == null ? this.maps.length : to;\n this.mirror = mirror;\n};\n\n// :: (?number, ?number) → Mapping\n// Create a mapping that maps only through a part of this one.\nMapping.prototype.slice = function slice (from, to) {\n if ( from === void 0 ) from = 0;\n if ( to === void 0 ) to = this.maps.length;\n\n return new Mapping(this.maps, this.mirror, from, to)\n};\n\nMapping.prototype.copy = function copy () {\n return new Mapping(this.maps.slice(), this.mirror && this.mirror.slice(), this.from, this.to)\n};\n\n// :: (StepMap, ?number)\n// Add a step map to the end of this mapping. If `mirrors` is\n// given, it should be the index of the step map that is the mirror\n// image of this one.\nMapping.prototype.appendMap = function appendMap (map, mirrors) {\n this.to = this.maps.push(map);\n if (mirrors != null) { this.setMirror(this.maps.length - 1, mirrors); }\n};\n\n// :: (Mapping)\n// Add all the step maps in a given mapping to this one (preserving\n// mirroring information).\nMapping.prototype.appendMapping = function appendMapping (mapping) {\n for (var i = 0, startSize = this.maps.length; i < mapping.maps.length; i++) {\n var mirr = mapping.getMirror(i);\n this.appendMap(mapping.maps[i], mirr != null && mirr < i ? startSize + mirr : null);\n }\n};\n\n// :: (number) → ?number\n// Finds the offset of the step map that mirrors the map at the\n// given offset, in this mapping (as per the second argument to\n// `appendMap`).\nMapping.prototype.getMirror = function getMirror (n) {\n if (this.mirror) { for (var i = 0; i < this.mirror.length; i++)\n { if (this.mirror[i] == n) { return this.mirror[i + (i % 2 ? -1 : 1)] } } }\n};\n\nMapping.prototype.setMirror = function setMirror (n, m) {\n if (!this.mirror) { this.mirror = []; }\n this.mirror.push(n, m);\n};\n\n// :: (Mapping)\n// Append the inverse of the given mapping to this one.\nMapping.prototype.appendMappingInverted = function appendMappingInverted (mapping) {\n for (var i = mapping.maps.length - 1, totalSize = this.maps.length + mapping.maps.length; i >= 0; i--) {\n var mirr = mapping.getMirror(i);\n this.appendMap(mapping.maps[i].invert(), mirr != null && mirr > i ? totalSize - mirr - 1 : null);\n }\n};\n\n// :: () → Mapping\n// Create an inverted version of this mapping.\nMapping.prototype.invert = function invert () {\n var inverse = new Mapping;\n inverse.appendMappingInverted(this);\n return inverse\n};\n\n// : (number, ?number) → number\n// Map a position through this mapping.\nMapping.prototype.map = function map (pos, assoc) {\n if ( assoc === void 0 ) assoc = 1;\n\n if (this.mirror) { return this._map(pos, assoc, true) }\n for (var i = this.from; i < this.to; i++)\n { pos = this.maps[i].map(pos, assoc); }\n return pos\n};\n\n// : (number, ?number) → MapResult\n// Map a position through this mapping, returning a mapping\n// result.\nMapping.prototype.mapResult = function mapResult (pos, assoc) {\n if ( assoc === void 0 ) assoc = 1;\n return this._map(pos, assoc, false) };\n\nMapping.prototype._map = function _map (pos, assoc, simple) {\n var deleted = false;\n\n for (var i = this.from; i < this.to; i++) {\n var map = this.maps[i], result = map.mapResult(pos, assoc);\n if (result.recover != null) {\n var corr = this.getMirror(i);\n if (corr != null && corr > i && corr < this.to) {\n i = corr;\n pos = this.maps[corr].recover(result.recover);\n continue\n }\n }\n\n if (result.deleted) { deleted = true; }\n pos = result.pos;\n }\n\n return simple ? pos : new MapResult(pos, deleted)\n};\n\nfunction TransformError(message) {\n var err = Error.call(this, message);\n err.__proto__ = TransformError.prototype;\n return err\n}\n\nTransformError.prototype = Object.create(Error.prototype);\nTransformError.prototype.constructor = TransformError;\nTransformError.prototype.name = \"TransformError\";\n\n// ::- Abstraction to build up and track an array of\n// [steps](#transform.Step) representing a document transformation.\n//\n// Most transforming methods return the `Transform` object itself, so\n// that they can be chained.\nvar Transform = function Transform(doc) {\n // :: Node\n // The current document (the result of applying the steps in the\n // transform).\n this.doc = doc;\n // :: [Step]\n // The steps in this transform.\n this.steps = [];\n // :: [Node]\n // The documents before each of the steps.\n this.docs = [];\n // :: Mapping\n // A mapping with the maps for each of the steps in this transform.\n this.mapping = new Mapping;\n};\n\nvar prototypeAccessors = { before: { configurable: true },docChanged: { configurable: true } };\n\n// :: Node The starting document.\nprototypeAccessors.before.get = function () { return this.docs.length ? this.docs[0] : this.doc };\n\n// :: (step: Step) → this\n// Apply a new step in this transform, saving the result. Throws an\n// error when the step fails.\nTransform.prototype.step = function step (object) {\n var result = this.maybeStep(object);\n if (result.failed) { throw new TransformError(result.failed) }\n return this\n};\n\n// :: (Step) → StepResult\n// Try to apply a step in this transformation, ignoring it if it\n// fails. Returns the step result.\nTransform.prototype.maybeStep = function maybeStep (step) {\n var result = step.apply(this.doc);\n if (!result.failed) { this.addStep(step, result.doc); }\n return result\n};\n\n// :: bool\n// True when the document has been changed (when there are any\n// steps).\nprototypeAccessors.docChanged.get = function () {\n return this.steps.length > 0\n};\n\nTransform.prototype.addStep = function addStep (step, doc) {\n this.docs.push(this.doc);\n this.steps.push(step);\n this.mapping.appendMap(step.getMap());\n this.doc = doc;\n};\n\nObject.defineProperties( Transform.prototype, prototypeAccessors );\n\nfunction mustOverride() { throw new Error(\"Override me\") }\n\nvar stepsByID = Object.create(null);\n\n// ::- A step object represents an atomic change. It generally applies\n// only to the document it was created for, since the positions\n// stored in it will only make sense for that document.\n//\n// New steps are defined by creating classes that extend `Step`,\n// overriding the `apply`, `invert`, `map`, `getMap` and `fromJSON`\n// methods, and registering your class with a unique\n// JSON-serialization identifier using\n// [`Step.jsonID`](#transform.Step^jsonID).\nvar Step = function Step () {};\n\nStep.prototype.apply = function apply (_doc) { return mustOverride() };\n\n// :: () → StepMap\n// Get the step map that represents the changes made by this step,\n// and which can be used to transform between positions in the old\n// and the new document.\nStep.prototype.getMap = function getMap () { return StepMap.empty };\n\n// :: (doc: Node) → Step\n// Create an inverted version of this step. Needs the document as it\n// was before the step as argument.\nStep.prototype.invert = function invert (_doc) { return mustOverride() };\n\n// :: (mapping: Mappable) → ?Step\n// Map this step through a mappable thing, returning either a\n// version of that step with its positions adjusted, or `null` if\n// the step was entirely deleted by the mapping.\nStep.prototype.map = function map (_mapping) { return mustOverride() };\n\n// :: (other: Step) → ?Step\n// Try to merge this step with another one, to be applied directly\n// after it. Returns the merged step when possible, null if the\n// steps can't be merged.\nStep.prototype.merge = function merge (_other) { return null };\n\n// :: () → Object\n// Create a JSON-serializeable representation of this step. When\n// defining this for a custom subclass, make sure the result object\n// includes the step type's [JSON id](#transform.Step^jsonID) under\n// the `stepType` property.\nStep.prototype.toJSON = function toJSON () { return mustOverride() };\n\n// :: (Schema, Object) → Step\n// Deserialize a step from its JSON representation. Will call\n// through to the step class' own implementation of this method.\nStep.fromJSON = function fromJSON (schema, json) {\n if (!json || !json.stepType) { throw new RangeError(\"Invalid input for Step.fromJSON\") }\n var type = stepsByID[json.stepType];\n if (!type) { throw new RangeError((\"No step type \" + (json.stepType) + \" defined\")) }\n return type.fromJSON(schema, json)\n};\n\n// :: (string, constructor<Step>)\n// To be able to serialize steps to JSON, each step needs a string\n// ID to attach to its JSON representation. Use this method to\n// register an ID for your step classes. Try to pick something\n// that's unlikely to clash with steps from other modules.\nStep.jsonID = function jsonID (id, stepClass) {\n if (id in stepsByID) { throw new RangeError(\"Duplicate use of step JSON ID \" + id) }\n stepsByID[id] = stepClass;\n stepClass.prototype.jsonID = id;\n return stepClass\n};\n\n// ::- The result of [applying](#transform.Step.apply) a step. Contains either a\n// new document or a failure value.\nvar StepResult = function StepResult(doc, failed) {\n // :: ?Node The transformed document.\n this.doc = doc;\n // :: ?string Text providing information about a failed step.\n this.failed = failed;\n};\n\n// :: (Node) → StepResult\n// Create a successful step result.\nStepResult.ok = function ok (doc) { return new StepResult(doc, null) };\n\n// :: (string) → StepResult\n// Create a failed step result.\nStepResult.fail = function fail (message) { return new StepResult(null, message) };\n\n// :: (Node, number, number, Slice) → StepResult\n// Call [`Node.replace`](#model.Node.replace) with the given\n// arguments. Create a successful result if it succeeds, and a\n// failed one if it throws a `ReplaceError`.\nStepResult.fromReplace = function fromReplace (doc, from, to, slice) {\n try {\n return StepResult.ok(doc.replace(from, to, slice))\n } catch (e) {\n if (e instanceof ReplaceError) { return StepResult.fail(e.message) }\n throw e\n }\n};\n\n// ::- Replace a part of the document with a slice of new content.\nvar ReplaceStep = /*@__PURE__*/(function (Step) {\n function ReplaceStep(from, to, slice, structure) {\n Step.call(this);\n this.from = from;\n this.to = to;\n this.slice = slice;\n this.structure = !!structure;\n }\n\n if ( Step ) ReplaceStep.__proto__ = Step;\n ReplaceStep.prototype = Object.create( Step && Step.prototype );\n ReplaceStep.prototype.constructor = ReplaceStep;\n\n ReplaceStep.prototype.apply = function apply (doc) {\n if (this.structure && contentBetween(doc, this.from, this.to))\n { return StepResult.fail(\"Structure replace would overwrite content\") }\n return StepResult.fromReplace(doc, this.from, this.to, this.slice)\n };\n\n ReplaceStep.prototype.getMap = function getMap () {\n return new StepMap([this.from, this.to - this.from, this.slice.size])\n };\n\n ReplaceStep.prototype.invert = function invert (doc) {\n return new ReplaceStep(this.from, this.from + this.slice.size, doc.slice(this.from, this.to))\n };\n\n ReplaceStep.prototype.map = function map (mapping) {\n var from = mapping.mapResult(this.from, 1), to = mapping.mapResult(this.to, -1);\n if (from.deleted && to.deleted) { return null }\n return new ReplaceStep(from.pos, Math.max(from.pos, to.pos), this.slice)\n };\n\n ReplaceStep.prototype.merge = function merge (other) {\n if (!(other instanceof ReplaceStep) || other.structure != this.structure) { return null }\n\n if (this.from + this.slice.size == other.from && !this.slice.openEnd && !other.slice.openStart) {\n var slice = this.slice.size + other.slice.size == 0 ? Slice.empty\n : new Slice(this.slice.content.append(other.slice.content), this.slice.openStart, other.slice.openEnd);\n return new ReplaceStep(this.from, this.to + (other.to - other.from), slice, this.structure)\n } else if (other.to == this.from && !this.slice.openStart && !other.slice.openEnd) {\n var slice$1 = this.slice.size + other.slice.size == 0 ? Slice.empty\n : new Slice(other.slice.content.append(this.slice.content), other.slice.openStart, this.slice.openEnd);\n return new ReplaceStep(other.from, this.to, slice$1, this.structure)\n } else {\n return null\n }\n };\n\n ReplaceStep.prototype.toJSON = function toJSON () {\n var json = {stepType: \"replace\", from: this.from, to: this.to};\n if (this.slice.size) { json.slice = this.slice.toJSON(); }\n if (this.structure) { json.structure = true; }\n return json\n };\n\n ReplaceStep.fromJSON = function fromJSON (schema, json) {\n if (typeof json.from != \"number\" || typeof json.to != \"number\")\n { throw new RangeError(\"Invalid input for ReplaceStep.fromJSON\") }\n return new ReplaceStep(json.from, json.to, Slice.fromJSON(schema, json.slice), !!json.structure)\n };\n\n return ReplaceStep;\n}(Step));\n\nStep.jsonID(\"replace\", ReplaceStep);\n\n// ::- Replace a part of the document with a slice of content, but\n// preserve a range of the replaced content by moving it into the\n// slice.\nvar ReplaceAroundStep = /*@__PURE__*/(function (Step) {\n function ReplaceAroundStep(from, to, gapFrom, gapTo, slice, insert, structure) {\n Step.call(this);\n this.from = from;\n this.to = to;\n this.gapFrom = gapFrom;\n this.gapTo = gapTo;\n this.slice = slice;\n this.insert = insert;\n this.structure = !!structure;\n }\n\n if ( Step ) ReplaceAroundStep.__proto__ = Step;\n ReplaceAroundStep.prototype = Object.create( Step && Step.prototype );\n ReplaceAroundStep.prototype.constructor = ReplaceAroundStep;\n\n ReplaceAroundStep.prototype.apply = function apply (doc) {\n if (this.structure && (contentBetween(doc, this.from, this.gapFrom) ||\n contentBetween(doc, this.gapTo, this.to)))\n { return StepResult.fail(\"Structure gap-replace would overwrite content\") }\n\n var gap = doc.slice(this.gapFrom, this.gapTo);\n if (gap.openStart || gap.openEnd)\n { return StepResult.fail(\"Gap is not a flat range\") }\n var inserted = this.slice.insertAt(this.insert, gap.content);\n if (!inserted) { return StepResult.fail(\"Content does not fit in gap\") }\n return StepResult.fromReplace(doc, this.from, this.to, inserted)\n };\n\n ReplaceAroundStep.prototype.getMap = function getMap () {\n return new StepMap([this.from, this.gapFrom - this.from, this.insert,\n this.gapTo, this.to - this.gapTo, this.slice.size - this.insert])\n };\n\n ReplaceAroundStep.prototype.invert = function invert (doc) {\n var gap = this.gapTo - this.gapFrom;\n return new ReplaceAroundStep(this.from, this.from + this.slice.size + gap,\n this.from + this.insert, this.from + this.insert + gap,\n doc.slice(this.from, this.to).removeBetween(this.gapFrom - this.from, this.gapTo - this.from),\n this.gapFrom - this.from, this.structure)\n };\n\n ReplaceAroundStep.prototype.map = function map (mapping) {\n var from = mapping.mapResult(this.from, 1), to = mapping.mapResult(this.to, -1);\n var gapFrom = mapping.map(this.gapFrom, -1), gapTo = mapping.map(this.gapTo, 1);\n if ((from.deleted && to.deleted) || gapFrom < from.pos || gapTo > to.pos) { return null }\n return new ReplaceAroundStep(from.pos, to.pos, gapFrom, gapTo, this.slice, this.insert, this.structure)\n };\n\n ReplaceAroundStep.prototype.toJSON = function toJSON () {\n var json = {stepType: \"replaceAround\", from: this.from, to: this.to,\n gapFrom: this.gapFrom, gapTo: this.gapTo, insert: this.insert};\n if (this.slice.size) { json.slice = this.slice.toJSON(); }\n if (this.structure) { json.structure = true; }\n return json\n };\n\n ReplaceAroundStep.fromJSON = function fromJSON (schema, json) {\n if (typeof json.from != \"number\" || typeof json.to != \"number\" ||\n typeof json.gapFrom != \"number\" || typeof json.gapTo != \"number\" || typeof json.insert != \"number\")\n { throw new RangeError(\"Invalid input for ReplaceAroundStep.fromJSON\") }\n return new ReplaceAroundStep(json.from, json.to, json.gapFrom, json.gapTo,\n Slice.fromJSON(schema, json.slice), json.insert, !!json.structure)\n };\n\n return ReplaceAroundStep;\n}(Step));\n\nStep.jsonID(\"replaceAround\", ReplaceAroundStep);\n\nfunction contentBetween(doc, from, to) {\n var $from = doc.resolve(from), dist = to - from, depth = $from.depth;\n while (dist > 0 && depth > 0 && $from.indexAfter(depth) == $from.node(depth).childCount) {\n depth--;\n dist--;\n }\n if (dist > 0) {\n var next = $from.node(depth).maybeChild($from.indexAfter(depth));\n while (dist > 0) {\n if (!next || next.isLeaf) { return true }\n next = next.firstChild;\n dist--;\n }\n }\n return false\n}\n\nfunction canCut(node, start, end) {\n return (start == 0 || node.canReplace(start, node.childCount)) &&\n (end == node.childCount || node.canReplace(0, end))\n}\n\n// :: (NodeRange) → ?number\n// Try to find a target depth to which the content in the given range\n// can be lifted. Will not go across\n// [isolating](#model.NodeSpec.isolating) parent nodes.\nfunction liftTarget(range) {\n var parent = range.parent;\n var content = parent.content.cutByIndex(range.startIndex, range.endIndex);\n for (var depth = range.depth;; --depth) {\n var node = range.$from.node(depth);\n var index = range.$from.index(depth), endIndex = range.$to.indexAfter(depth);\n if (depth < range.depth && node.canReplace(index, endIndex, content))\n { return depth }\n if (depth == 0 || node.type.spec.isolating || !canCut(node, index, endIndex)) { break }\n }\n}\n\n// :: (NodeRange, number) → this\n// Split the content in the given range off from its parent, if there\n// is sibling content before or after it, and move it up the tree to\n// the depth specified by `target`. You'll probably want to use\n// [`liftTarget`](#transform.liftTarget) to compute `target`, to make\n// sure the lift is valid.\nTransform.prototype.lift = function(range, target) {\n var $from = range.$from;\n var $to = range.$to;\n var depth = range.depth;\n\n var gapStart = $from.before(depth + 1), gapEnd = $to.after(depth + 1);\n var start = gapStart, end = gapEnd;\n\n var before = Fragment.empty, openStart = 0;\n for (var d = depth, splitting = false; d > target; d--)\n { if (splitting || $from.index(d) > 0) {\n splitting = true;\n before = Fragment.from($from.node(d).copy(before));\n openStart++;\n } else {\n start--;\n } }\n var after = Fragment.empty, openEnd = 0;\n for (var d$1 = depth, splitting$1 = false; d$1 > target; d$1--)\n { if (splitting$1 || $to.after(d$1 + 1) < $to.end(d$1)) {\n splitting$1 = true;\n after = Fragment.from($to.node(d$1).copy(after));\n openEnd++;\n } else {\n end++;\n } }\n\n return this.step(new ReplaceAroundStep(start, end, gapStart, gapEnd,\n new Slice(before.append(after), openStart, openEnd),\n before.size - openStart, true))\n};\n\n// :: (NodeRange, NodeType, ?Object, ?NodeRange) → ?[{type: NodeType, attrs: ?Object}]\n// Try to find a valid way to wrap the content in the given range in a\n// node of the given type. May introduce extra nodes around and inside\n// the wrapper node, if necessary. Returns null if no valid wrapping\n// could be found. When `innerRange` is given, that range's content is\n// used as the content to fit into the wrapping, instead of the\n// content of `range`.\nfunction findWrapping(range, nodeType, attrs, innerRange) {\n if ( innerRange === void 0 ) innerRange = range;\n\n var around = findWrappingOutside(range, nodeType);\n var inner = around && findWrappingInside(innerRange, nodeType);\n if (!inner) { return null }\n return around.map(withAttrs).concat({type: nodeType, attrs: attrs}).concat(inner.map(withAttrs))\n}\n\nfunction withAttrs(type) { return {type: type, attrs: null} }\n\nfunction findWrappingOutside(range, type) {\n var parent = range.parent;\n var startIndex = range.startIndex;\n var endIndex = range.endIndex;\n var around = parent.contentMatchAt(startIndex).findWrapping(type);\n if (!around) { return null }\n var outer = around.length ? around[0] : type;\n return parent.canReplaceWith(startIndex, endIndex, outer) ? around : null\n}\n\nfunction findWrappingInside(range, type) {\n var parent = range.parent;\n var startIndex = range.startIndex;\n var endIndex = range.endIndex;\n var inner = parent.child(startIndex);\n var inside = type.contentMatch.findWrapping(inner.type);\n if (!inside) { return null }\n var lastType = inside.length ? inside[inside.length - 1] : type;\n var innerMatch = lastType.contentMatch;\n for (var i = startIndex; innerMatch && i < endIndex; i++)\n { innerMatch = innerMatch.matchType(parent.child(i).type); }\n if (!innerMatch || !innerMatch.validEnd) { return null }\n return inside\n}\n\n// :: (NodeRange, [{type: NodeType, attrs: ?Object}]) → this\n// Wrap the given [range](#model.NodeRange) in the given set of wrappers.\n// The wrappers are assumed to be valid in this position, and should\n// probably be computed with [`findWrapping`](#transform.findWrapping).\nTransform.prototype.wrap = function(range, wrappers) {\n var content = Fragment.empty;\n for (var i = wrappers.length - 1; i >= 0; i--)\n { content = Fragment.from(wrappers[i].type.create(wrappers[i].attrs, content)); }\n\n var start = range.start, end = range.end;\n return this.step(new ReplaceAroundStep(start, end, start, end, new Slice(content, 0, 0), wrappers.length, true))\n};\n\n// :: (number, ?number, NodeType, ?Object) → this\n// Set the type of all textblocks (partly) between `from` and `to` to\n// the given node type with the given attributes.\nTransform.prototype.setBlockType = function(from, to, type, attrs) {\n var this$1 = this;\n if ( to === void 0 ) to = from;\n\n if (!type.isTextblock) { throw new RangeError(\"Type given to setBlockType should be a textblock\") }\n var mapFrom = this.steps.length;\n this.doc.nodesBetween(from, to, function (node, pos) {\n if (node.isTextblock && !node.hasMarkup(type, attrs) && canChangeType(this$1.doc, this$1.mapping.slice(mapFrom).map(pos), type)) {\n // Ensure all markup that isn't allowed in the new node type is cleared\n this$1.clearIncompatible(this$1.mapping.slice(mapFrom).map(pos, 1), type);\n var mapping = this$1.mapping.slice(mapFrom);\n var startM = mapping.map(pos, 1), endM = mapping.map(pos + node.nodeSize, 1);\n this$1.step(new ReplaceAroundStep(startM, endM, startM + 1, endM - 1,\n new Slice(Fragment.from(type.create(attrs, null, node.marks)), 0, 0), 1, true));\n return false\n }\n });\n return this\n};\n\nfunction canChangeType(doc, pos, type) {\n var $pos = doc.resolve(pos), index = $pos.index();\n return $pos.parent.canReplaceWith(index, index + 1, type)\n}\n\n// :: (number, ?NodeType, ?Object, ?[Mark]) → this\n// Change the type, attributes, and/or marks of the node at `pos`.\n// When `type` isn't given, the existing node type is preserved,\nTransform.prototype.setNodeMarkup = function(pos, type, attrs, marks) {\n var node = this.doc.nodeAt(pos);\n if (!node) { throw new RangeError(\"No node at given position\") }\n if (!type) { type = node.type; }\n var newNode = type.create(attrs, null, marks || node.marks);\n if (node.isLeaf)\n { return this.replaceWith(pos, pos + node.nodeSize, newNode) }\n\n if (!type.validContent(node.content))\n { throw new RangeError(\"Invalid content for node type \" + type.name) }\n\n return this.step(new ReplaceAroundStep(pos, pos + node.nodeSize, pos + 1, pos + node.nodeSize - 1,\n new Slice(Fragment.from(newNode), 0, 0), 1, true))\n};\n\n// :: (Node, number, number, ?[?{type: NodeType, attrs: ?Object}]) → bool\n// Check whether splitting at the given position is allowed.\nfunction canSplit(doc, pos, depth, typesAfter) {\n if ( depth === void 0 ) depth = 1;\n\n var $pos = doc.resolve(pos), base = $pos.depth - depth;\n var innerType = (typesAfter && typesAfter[typesAfter.length - 1]) || $pos.parent;\n if (base < 0 || $pos.parent.type.spec.isolating ||\n !$pos.parent.canReplace($pos.index(), $pos.parent.childCount) ||\n !innerType.type.validContent($pos.parent.content.cutByIndex($pos.index(), $pos.parent.childCount)))\n { return false }\n for (var d = $pos.depth - 1, i = depth - 2; d > base; d--, i--) {\n var node = $pos.node(d), index$1 = $pos.index(d);\n if (node.type.spec.isolating) { return false }\n var rest = node.content.cutByIndex(index$1, node.childCount);\n var after = (typesAfter && typesAfter[i]) || node;\n if (after != node) { rest = rest.replaceChild(0, after.type.create(after.attrs)); }\n if (!node.canReplace(index$1 + 1, node.childCount) || !after.type.validContent(rest))\n { return false }\n }\n var index = $pos.indexAfter(base);\n var baseType = typesAfter && typesAfter[0];\n return $pos.node(base).canReplaceWith(index, index, baseType ? baseType.type : $pos.node(base + 1).type)\n}\n\n// :: (number, ?number, ?[?{type: NodeType, attrs: ?Object}]) → this\n// Split the node at the given position, and optionally, if `depth` is\n// greater than one, any number of nodes above that. By default, the\n// parts split off will inherit the node type of the original node.\n// This can be changed by passing an array of types and attributes to\n// use after the split.\nTransform.prototype.split = function(pos, depth, typesAfter) {\n if ( depth === void 0 ) depth = 1;\n\n var $pos = this.doc.resolve(pos), before = Fragment.empty, after = Fragment.empty;\n for (var d = $pos.depth, e = $pos.depth - depth, i = depth - 1; d > e; d--, i--) {\n before = Fragment.from($pos.node(d).copy(before));\n var typeAfter = typesAfter && typesAfter[i];\n after = Fragment.from(typeAfter ? typeAfter.type.create(typeAfter.attrs, after) : $pos.node(d).copy(after));\n }\n return this.step(new ReplaceStep(pos, pos, new Slice(before.append(after), depth, depth), true))\n};\n\n// :: (Node, number) → bool\n// Test whether the blocks before and after a given position can be\n// joined.\nfunction canJoin(doc, pos) {\n var $pos = doc.resolve(pos), index = $pos.index();\n return joinable($pos.nodeBefore, $pos.nodeAfter) &&\n $pos.parent.canReplace(index, index + 1)\n}\n\nfunction joinable(a, b) {\n return a && b && !a.isLeaf && a.canAppend(b)\n}\n\n// :: (Node, number, ?number) → ?number\n// Find an ancestor of the given position that can be joined to the\n// block before (or after if `dir` is positive). Returns the joinable\n// point, if any.\nfunction joinPoint(doc, pos, dir) {\n if ( dir === void 0 ) dir = -1;\n\n var $pos = doc.resolve(pos);\n for (var d = $pos.depth;; d--) {\n var before = (void 0), after = (void 0), index = $pos.index(d);\n if (d == $pos.depth) {\n before = $pos.nodeBefore;\n after = $pos.nodeAfter;\n } else if (dir > 0) {\n before = $pos.node(d + 1);\n index++;\n after = $pos.node(d).maybeChild(index);\n } else {\n before = $pos.node(d).maybeChild(index - 1);\n after = $pos.node(d + 1);\n }\n if (before && !before.isTextblock && joinable(before, after) &&\n $pos.node(d).canReplace(index, index + 1)) { return pos }\n if (d == 0) { break }\n pos = dir < 0 ? $pos.before(d) : $pos.after(d);\n }\n}\n\n// :: (number, ?number) → this\n// Join the blocks around the given position. If depth is 2, their\n// last and first siblings are also joined, and so on.\nTransform.prototype.join = function(pos, depth) {\n if ( depth === void 0 ) depth = 1;\n\n var step = new ReplaceStep(pos - depth, pos + depth, Slice.empty, true);\n return this.step(step)\n};\n\n// :: (Node, number, NodeType) → ?number\n// Try to find a point where a node of the given type can be inserted\n// near `pos`, by searching up the node hierarchy when `pos` itself\n// isn't a valid place but is at the start or end of a node. Return\n// null if no position was found.\nfunction insertPoint(doc, pos, nodeType) {\n var $pos = doc.resolve(pos);\n if ($pos.parent.canReplaceWith($pos.index(), $pos.index(), nodeType)) { return pos }\n\n if ($pos.parentOffset == 0)\n { for (var d = $pos.depth - 1; d >= 0; d--) {\n var index = $pos.index(d);\n if ($pos.node(d).canReplaceWith(index, index, nodeType)) { return $pos.before(d + 1) }\n if (index > 0) { return null }\n } }\n if ($pos.parentOffset == $pos.parent.content.size)\n { for (var d$1 = $pos.depth - 1; d$1 >= 0; d$1--) {\n var index$1 = $pos.indexAfter(d$1);\n if ($pos.node(d$1).canReplaceWith(index$1, index$1, nodeType)) { return $pos.after(d$1 + 1) }\n if (index$1 < $pos.node(d$1).childCount) { return null }\n } }\n}\n\n// :: (Node, number, Slice) → ?number\n// Finds a position at or around the given position where the given\n// slice can be inserted. Will look at parent nodes' nearest boundary\n// and try there, even if the original position wasn't directly at the\n// start or end of that node. Returns null when no position was found.\nfunction dropPoint(doc, pos, slice) {\n var $pos = doc.resolve(pos);\n if (!slice.content.size) { return pos }\n var content = slice.content;\n for (var i = 0; i < slice.openStart; i++) { content = content.firstChild.content; }\n for (var pass = 1; pass <= (slice.openStart == 0 && slice.size ? 2 : 1); pass++) {\n for (var d = $pos.depth; d >= 0; d--) {\n var bias = d == $pos.depth ? 0 : $pos.pos <= ($pos.start(d + 1) + $pos.end(d + 1)) / 2 ? -1 : 1;\n var insertPos = $pos.index(d) + (bias > 0 ? 1 : 0);\n if (pass == 1\n ? $pos.node(d).canReplace(insertPos, insertPos, content)\n : $pos.node(d).contentMatchAt(insertPos).findWrapping(content.firstChild.type))\n { return bias == 0 ? $pos.pos : bias < 0 ? $pos.before(d + 1) : $pos.after(d + 1) }\n }\n }\n return null\n}\n\nfunction mapFragment(fragment, f, parent) {\n var mapped = [];\n for (var i = 0; i < fragment.childCount; i++) {\n var child = fragment.child(i);\n if (child.content.size) { child = child.copy(mapFragment(child.content, f, child)); }\n if (child.isInline) { child = f(child, parent, i); }\n mapped.push(child);\n }\n return Fragment.fromArray(mapped)\n}\n\n// ::- Add a mark to all inline content between two positions.\nvar AddMarkStep = /*@__PURE__*/(function (Step) {\n function AddMarkStep(from, to, mark) {\n Step.call(this);\n this.from = from;\n this.to = to;\n this.mark = mark;\n }\n\n if ( Step ) AddMarkStep.__proto__ = Step;\n AddMarkStep.prototype = Object.create( Step && Step.prototype );\n AddMarkStep.prototype.constructor = AddMarkStep;\n\n AddMarkStep.prototype.apply = function apply (doc) {\n var this$1 = this;\n\n var oldSlice = doc.slice(this.from, this.to), $from = doc.resolve(this.from);\n var parent = $from.node($from.sharedDepth(this.to));\n var slice = new Slice(mapFragment(oldSlice.content, function (node, parent) {\n if (!parent.type.allowsMarkType(this$1.mark.type)) { return node }\n return node.mark(this$1.mark.addToSet(node.marks))\n }, parent), oldSlice.openStart, oldSlice.openEnd);\n return StepResult.fromReplace(doc, this.from, this.to, slice)\n };\n\n AddMarkStep.prototype.invert = function invert () {\n return new RemoveMarkStep(this.from, this.to, this.mark)\n };\n\n AddMarkStep.prototype.map = function map (mapping) {\n var from = mapping.mapResult(this.from, 1), to = mapping.mapResult(this.to, -1);\n if (from.deleted && to.deleted || from.pos >= to.pos) { return null }\n return new AddMarkStep(from.pos, to.pos, this.mark)\n };\n\n AddMarkStep.prototype.merge = function merge (other) {\n if (other instanceof AddMarkStep &&\n other.mark.eq(this.mark) &&\n this.from <= other.to && this.to >= other.from)\n { return new AddMarkStep(Math.min(this.from, other.from),\n Math.max(this.to, other.to), this.mark) }\n };\n\n AddMarkStep.prototype.toJSON = function toJSON () {\n return {stepType: \"addMark\", mark: this.mark.toJSON(),\n from: this.from, to: this.to}\n };\n\n AddMarkStep.fromJSON = function fromJSON (schema, json) {\n if (typeof json.from != \"number\" || typeof json.to != \"number\")\n { throw new RangeError(\"Invalid input for AddMarkStep.fromJSON\") }\n return new AddMarkStep(json.from, json.to, schema.markFromJSON(json.mark))\n };\n\n return AddMarkStep;\n}(Step));\n\nStep.jsonID(\"addMark\", AddMarkStep);\n\n// ::- Remove a mark from all inline content between two positions.\nvar RemoveMarkStep = /*@__PURE__*/(function (Step) {\n function RemoveMarkStep(from, to, mark) {\n Step.call(this);\n this.from = from;\n this.to = to;\n this.mark = mark;\n }\n\n if ( Step ) RemoveMarkStep.__proto__ = Step;\n RemoveMarkStep.prototype = Object.create( Step && Step.prototype );\n RemoveMarkStep.prototype.constructor = RemoveMarkStep;\n\n RemoveMarkStep.prototype.apply = function apply (doc) {\n var this$1 = this;\n\n var oldSlice = doc.slice(this.from, this.to);\n var slice = new Slice(mapFragment(oldSlice.content, function (node) {\n return node.mark(this$1.mark.removeFromSet(node.marks))\n }), oldSlice.openStart, oldSlice.openEnd);\n return StepResult.fromReplace(doc, this.from, this.to, slice)\n };\n\n RemoveMarkStep.prototype.invert = function invert () {\n return new AddMarkStep(this.from, this.to, this.mark)\n };\n\n RemoveMarkStep.prototype.map = function map (mapping) {\n var from = mapping.mapResult(this.from, 1), to = mapping.mapResult(this.to, -1);\n if (from.deleted && to.deleted || from.pos >= to.pos) { return null }\n return new RemoveMarkStep(from.pos, to.pos, this.mark)\n };\n\n RemoveMarkStep.prototype.merge = function merge (other) {\n if (other instanceof RemoveMarkStep &&\n other.mark.eq(this.mark) &&\n this.from <= other.to && this.to >= other.from)\n { return new RemoveMarkStep(Math.min(this.from, other.from),\n Math.max(this.to, other.to), this.mark) }\n };\n\n RemoveMarkStep.prototype.toJSON = function toJSON () {\n return {stepType: \"removeMark\", mark: this.mark.toJSON(),\n from: this.from, to: this.to}\n };\n\n RemoveMarkStep.fromJSON = function fromJSON (schema, json) {\n if (typeof json.from != \"number\" || typeof json.to != \"number\")\n { throw new RangeError(\"Invalid input for RemoveMarkStep.fromJSON\") }\n return new RemoveMarkStep(json.from, json.to, schema.markFromJSON(json.mark))\n };\n\n return RemoveMarkStep;\n}(Step));\n\nStep.jsonID(\"removeMark\", RemoveMarkStep);\n\n// :: (number, number, Mark) → this\n// Add the given mark to the inline content between `from` and `to`.\nTransform.prototype.addMark = function(from, to, mark) {\n var this$1 = this;\n\n var removed = [], added = [], removing = null, adding = null;\n this.doc.nodesBetween(from, to, function (node, pos, parent) {\n if (!node.isInline) { return }\n var marks = node.marks;\n if (!mark.isInSet(marks) && parent.type.allowsMarkType(mark.type)) {\n var start = Math.max(pos, from), end = Math.min(pos + node.nodeSize, to);\n var newSet = mark.addToSet(marks);\n\n for (var i = 0; i < marks.length; i++) {\n if (!marks[i].isInSet(newSet)) {\n if (removing && removing.to == start && removing.mark.eq(marks[i]))\n { removing.to = end; }\n else\n { removed.push(removing = new RemoveMarkStep(start, end, marks[i])); }\n }\n }\n\n if (adding && adding.to == start)\n { adding.to = end; }\n else\n { added.push(adding = new AddMarkStep(start, end, mark)); }\n }\n });\n\n removed.forEach(function (s) { return this$1.step(s); });\n added.forEach(function (s) { return this$1.step(s); });\n return this\n};\n\n// :: (number, number, ?union<Mark, MarkType>) → this\n// Remove marks from inline nodes between `from` and `to`. When `mark`\n// is a single mark, remove precisely that mark. When it is a mark type,\n// remove all marks of that type. When it is null, remove all marks of\n// any type.\nTransform.prototype.removeMark = function(from, to, mark) {\n var this$1 = this;\n if ( mark === void 0 ) mark = null;\n\n var matched = [], step = 0;\n this.doc.nodesBetween(from, to, function (node, pos) {\n if (!node.isInline) { return }\n step++;\n var toRemove = null;\n if (mark instanceof MarkType) {\n var found = mark.isInSet(node.marks);\n if (found) { toRemove = [found]; }\n } else if (mark) {\n if (mark.isInSet(node.marks)) { toRemove = [mark]; }\n } else {\n toRemove = node.marks;\n }\n if (toRemove && toRemove.length) {\n var end = Math.min(pos + node.nodeSize, to);\n for (var i = 0; i < toRemove.length; i++) {\n var style = toRemove[i], found$1 = (void 0);\n for (var j = 0; j < matched.length; j++) {\n var m = matched[j];\n if (m.step == step - 1 && style.eq(matched[j].style)) { found$1 = m; }\n }\n if (found$1) {\n found$1.to = end;\n found$1.step = step;\n } else {\n matched.push({style: style, from: Math.max(pos, from), to: end, step: step});\n }\n }\n }\n });\n matched.forEach(function (m) { return this$1.step(new RemoveMarkStep(m.from, m.to, m.style)); });\n return this\n};\n\n// :: (number, NodeType, ?ContentMatch) → this\n// Removes all marks and nodes from the content of the node at `pos`\n// that don't match the given new parent node type. Accepts an\n// optional starting [content match](#model.ContentMatch) as third\n// argument.\nTransform.prototype.clearIncompatible = function(pos, parentType, match) {\n if ( match === void 0 ) match = parentType.contentMatch;\n\n var node = this.doc.nodeAt(pos);\n var delSteps = [], cur = pos + 1;\n for (var i = 0; i < node.childCount; i++) {\n var child = node.child(i), end = cur + child.nodeSize;\n var allowed = match.matchType(child.type, child.attrs);\n if (!allowed) {\n delSteps.push(new ReplaceStep(cur, end, Slice.empty));\n } else {\n match = allowed;\n for (var j = 0; j < child.marks.length; j++) { if (!parentType.allowsMarkType(child.marks[j].type))\n { this.step(new RemoveMarkStep(cur, end, child.marks[j])); } }\n }\n cur = end;\n }\n if (!match.validEnd) {\n var fill = match.fillBefore(Fragment.empty, true);\n this.replace(cur, cur, new Slice(fill, 0, 0));\n }\n for (var i$1 = delSteps.length - 1; i$1 >= 0; i$1--) { this.step(delSteps[i$1]); }\n return this\n};\n\n// :: (Node, number, ?number, ?Slice) → ?Step\n// ‘Fit’ a slice into a given position in the document, producing a\n// [step](#transform.Step) that inserts it. Will return null if\n// there's no meaningful way to insert the slice here, or inserting it\n// would be a no-op (an empty slice over an empty range).\nfunction replaceStep(doc, from, to, slice) {\n if ( to === void 0 ) to = from;\n if ( slice === void 0 ) slice = Slice.empty;\n\n if (from == to && !slice.size) { return null }\n\n var $from = doc.resolve(from), $to = doc.resolve(to);\n // Optimization -- avoid work if it's obvious that it's not needed.\n if (fitsTrivially($from, $to, slice)) { return new ReplaceStep(from, to, slice) }\n return new Fitter($from, $to, slice).fit()\n}\n\n// :: (number, ?number, ?Slice) → this\n// Replace the part of the document between `from` and `to` with the\n// given `slice`.\nTransform.prototype.replace = function(from, to, slice) {\n if ( to === void 0 ) to = from;\n if ( slice === void 0 ) slice = Slice.empty;\n\n var step = replaceStep(this.doc, from, to, slice);\n if (step) { this.step(step); }\n return this\n};\n\n// :: (number, number, union<Fragment, Node, [Node]>) → this\n// Replace the given range with the given content, which may be a\n// fragment, node, or array of nodes.\nTransform.prototype.replaceWith = function(from, to, content) {\n return this.replace(from, to, new Slice(Fragment.from(content), 0, 0))\n};\n\n// :: (number, number) → this\n// Delete the content between the given positions.\nTransform.prototype.delete = function(from, to) {\n return this.replace(from, to, Slice.empty)\n};\n\n// :: (number, union<Fragment, Node, [Node]>) → this\n// Insert the given content at the given position.\nTransform.prototype.insert = function(pos, content) {\n return this.replaceWith(pos, pos, content)\n};\n\nfunction fitsTrivially($from, $to, slice) {\n return !slice.openStart && !slice.openEnd && $from.start() == $to.start() &&\n $from.parent.canReplace($from.index(), $to.index(), slice.content)\n}\n\n// Algorithm for 'placing' the elements of a slice into a gap:\n//\n// We consider the content of each node that is open to the left to be\n// independently placeable. I.e. in <p(\"foo\"), p(\"bar\")>, when the\n// paragraph on the left is open, \"foo\" can be placed (somewhere on\n// the left side of the replacement gap) independently from p(\"bar\").\n//\n// This class tracks the state of the placement progress in the\n// following properties:\n//\n// - `frontier` holds a stack of `{type, match}` objects that\n// represent the open side of the replacement. It starts at\n// `$from`, then moves forward as content is placed, and is finally\n// reconciled with `$to`.\n//\n// - `unplaced` is a slice that represents the content that hasn't\n// been placed yet.\n//\n// - `placed` is a fragment of placed content. Its open-start value\n// is implicit in `$from`, and its open-end value in `frontier`.\nvar Fitter = function Fitter($from, $to, slice) {\n this.$to = $to;\n this.$from = $from;\n this.unplaced = slice;\n\n this.frontier = [];\n for (var i = 0; i <= $from.depth; i++) {\n var node = $from.node(i);\n this.frontier.push({\n type: node.type,\n match: node.contentMatchAt($from.indexAfter(i))\n });\n }\n\n this.placed = Fragment.empty;\n for (var i$1 = $from.depth; i$1 > 0; i$1--)\n { this.placed = Fragment.from($from.node(i$1).copy(this.placed)); }\n};\n\nvar prototypeAccessors$1 = { depth: { configurable: true } };\n\nprototypeAccessors$1.depth.get = function () { return this.frontier.length - 1 };\n\nFitter.prototype.fit = function fit () {\n // As long as there's unplaced content, try to place some of it.\n // If that fails, either increase the open score of the unplaced\n // slice, or drop nodes from it, and then try again.\n while (this.unplaced.size) {\n var fit = this.findFittable();\n if (fit) { this.placeNodes(fit); }\n else { this.openMore() || this.dropNode(); }\n }\n // When there's inline content directly after the frontier _and_\n // directly after `this.$to`, we must generate a `ReplaceAround`\n // step that pulls that content into the node after the frontier.\n // That means the fitting must be done to the end of the textblock\n // node after `this.$to`, not `this.$to` itself.\n var moveInline = this.mustMoveInline(), placedSize = this.placed.size - this.depth - this.$from.depth;\n var $from = this.$from, $to = this.close(moveInline < 0 ? this.$to : $from.doc.resolve(moveInline));\n if (!$to) { return null }\n\n // If closing to `$to` succeeded, create a step\n var content = this.placed, openStart = $from.depth, openEnd = $to.depth;\n while (openStart && openEnd && content.childCount == 1) { // Normalize by dropping open parent nodes\n content = content.firstChild.content;\n openStart--; openEnd--;\n }\n var slice = new Slice(content, openStart, openEnd);\n if (moveInline > -1)\n { return new ReplaceAroundStep($from.pos, moveInline, this.$to.pos, this.$to.end(), slice, placedSize) }\n if (slice.size || $from.pos != this.$to.pos) // Don't generate no-op steps\n { return new ReplaceStep($from.pos, $to.pos, slice) }\n};\n\n// Find a position on the start spine of `this.unplaced` that has\n// content that can be moved somewhere on the frontier. Returns two\n// depths, one for the slice and one for the frontier.\nFitter.prototype.findFittable = function findFittable () {\n // Only try wrapping nodes (pass 2) after finding a place without\n // wrapping failed.\n for (var pass = 1; pass <= 2; pass++) {\n for (var sliceDepth = this.unplaced.openStart; sliceDepth >= 0; sliceDepth--) {\n var fragment = (void 0), parent = (void 0);\n if (sliceDepth) {\n parent = contentAt(this.unplaced.content, sliceDepth - 1).firstChild;\n fragment = parent.content;\n } else {\n fragment = this.unplaced.content;\n }\n var first = fragment.firstChild;\n for (var frontierDepth = this.depth; frontierDepth >= 0; frontierDepth--) {\n var ref = this.frontier[frontierDepth];\n var type = ref.type;\n var match = ref.match;\n var wrap = (void 0), inject = (void 0);\n // In pass 1, if the next node matches, or there is no next\n // node but the parents look compatible, we've found a\n // place.\n if (pass == 1 && (first ? match.matchType(first.type) || (inject = match.fillBefore(Fragment.from(first), false))\n : type.compatibleContent(parent.type)))\n { return {sliceDepth: sliceDepth, frontierDepth: frontierDepth, parent: parent, inject: inject} }\n // In pass 2, look for a set of wrapping nodes that make\n // `first` fit here.\n else if (pass == 2 && first && (wrap = match.findWrapping(first.type)))\n { return {sliceDepth: sliceDepth, frontierDepth: frontierDepth, parent: parent, wrap: wrap} }\n // Don't continue looking further up if the parent node\n // would fit here.\n if (parent && match.matchType(parent.type)) { break }\n }\n }\n }\n};\n\nFitter.prototype.openMore = function openMore () {\n var ref = this.unplaced;\n var content = ref.content;\n var openStart = ref.openStart;\n var openEnd = ref.openEnd;\n var inner = contentAt(content, openStart);\n if (!inner.childCount || inner.firstChild.isLeaf) { return false }\n this.unplaced = new Slice(content, openStart + 1,\n Math.max(openEnd, inner.size + openStart >= content.size - openEnd ? openStart + 1 : 0));\n return true\n};\n\nFitter.prototype.dropNode = function dropNode () {\n var ref = this.unplaced;\n var content = ref.content;\n var openStart = ref.openStart;\n var openEnd = ref.openEnd;\n var inner = contentAt(content, openStart);\n if (inner.childCount <= 1 && openStart > 0) {\n var openAtEnd = content.size - openStart <= openStart + inner.size;\n this.unplaced = new Slice(dropFromFragment(content, openStart - 1, 1), openStart - 1,\n openAtEnd ? openStart - 1 : openEnd);\n } else {\n this.unplaced = new Slice(dropFromFragment(content, openStart, 1), openStart, openEnd);\n }\n};\n\n// : ({sliceDepth: number, frontierDepth: number, parent: ?Node, wrap: ?[NodeType], inject: ?Fragment})\n// Move content from the unplaced slice at `sliceDepth` to the\n// frontier node at `frontierDepth`. Close that frontier node when\n// applicable.\nFitter.prototype.placeNodes = function placeNodes (ref) {\n var sliceDepth = ref.sliceDepth;\n var frontierDepth = ref.frontierDepth;\n var parent = ref.parent;\n var inject = ref.inject;\n var wrap = ref.wrap;\n\n while (this.depth > frontierDepth) { this.closeFrontierNode(); }\n if (wrap) { for (var i = 0; i < wrap.length; i++) { this.openFrontierNode(wrap[i]); } }\n\n var slice = this.unplaced, fragment = parent ? parent.content : slice.content;\n var openStart = slice.openStart - sliceDepth;\n var taken = 0, add = [];\n var ref$1 = this.frontier[frontierDepth];\n var match = ref$1.match;\n var type = ref$1.type;\n if (inject) {\n for (var i$1 = 0; i$1 < inject.childCount; i$1++) { add.push(inject.child(i$1)); }\n match = match.matchFragment(inject);\n }\n // Computes the amount of (end) open nodes at the end of the\n // fragment. When 0, the parent is open, but no more. When\n // negative, nothing is open.\n var openEndCount = (fragment.size + sliceDepth) - (slice.content.size - slice.openEnd);\n // Scan over the fragment, fitting as many child nodes as\n // possible.\n while (taken < fragment.childCount) {\n var next = fragment.child(taken), matches = match.matchType(next.type);\n if (!matches) { break }\n taken++;\n if (taken > 1 || openStart == 0 || next.content.size) { // Drop empty open nodes\n match = matches;\n add.push(closeNodeStart(next.mark(type.allowedMarks(next.marks)), taken == 1 ? openStart : 0,\n taken == fragment.childCount ? openEndCount : -1));\n }\n }\n var toEnd = taken == fragment.childCount;\n if (!toEnd) { openEndCount = -1; }\n\n this.placed = addToFragment(this.placed, frontierDepth, Fragment.from(add));\n this.frontier[frontierDepth].match = match;\n\n // If the parent types match, and the entire node was moved, and\n // it's not open, close this frontier node right away.\n if (toEnd && openEndCount < 0 && parent && parent.type == this.frontier[this.depth].type && this.frontier.length > 1)\n { this.closeFrontierNode(); }\n\n // Add new frontier nodes for any open nodes at the end.\n for (var i$2 = 0, cur = fragment; i$2 < openEndCount; i$2++) {\n var node = cur.lastChild;\n this.frontier.push({type: node.type, match: node.contentMatchAt(node.childCount)});\n cur = node.content;\n }\n\n // Update `this.unplaced`. Drop the entire node from which we\n // placed it we got to its end, otherwise just drop the placed\n // nodes.\n this.unplaced = !toEnd ? new Slice(dropFromFragment(slice.content, sliceDepth, taken), slice.openStart, slice.openEnd)\n : sliceDepth == 0 ? Slice.empty\n : new Slice(dropFromFragment(slice.content, sliceDepth - 1, 1),\n sliceDepth - 1, openEndCount < 0 ? slice.openEnd : sliceDepth - 1);\n};\n\nFitter.prototype.mustMoveInline = function mustMoveInline () {\n if (!this.$to.parent.isTextblock || this.$to.end() == this.$to.pos) { return -1 }\n var top = this.frontier[this.depth], level;\n if (!top.type.isTextblock || !contentAfterFits(this.$to, this.$to.depth, top.type, top.match, false) ||\n (this.$to.depth == this.depth && (level = this.findCloseLevel(this.$to)) && level.depth == this.depth)) { return -1 }\n\n var ref = this.$to;\n var depth = ref.depth;\n var after = this.$to.after(depth);\n while (depth > 1 && after == this.$to.end(--depth)) { ++after; }\n return after\n};\n\nFitter.prototype.findCloseLevel = function findCloseLevel ($to) {\n scan: for (var i = Math.min(this.depth, $to.depth); i >= 0; i--) {\n var ref = this.frontier[i];\n var match = ref.match;\n var type = ref.type;\n var dropInner = i < $to.depth && $to.end(i + 1) == $to.pos + ($to.depth - (i + 1));\n var fit = contentAfterFits($to, i, type, match, dropInner);\n if (!fit) { continue }\n for (var d = i - 1; d >= 0; d--) {\n var ref$1 = this.frontier[d];\n var match$1 = ref$1.match;\n var type$1 = ref$1.type;\n var matches = contentAfterFits($to, d, type$1, match$1, true);\n if (!matches || matches.childCount) { continue scan }\n }\n return {depth: i, fit: fit, move: dropInner ? $to.doc.resolve($to.after(i + 1)) : $to}\n }\n};\n\nFitter.prototype.close = function close ($to) {\n var close = this.findCloseLevel($to);\n if (!close) { return null }\n\n while (this.depth > close.depth) { this.closeFrontierNode(); }\n if (close.fit.childCount) { this.placed = addToFragment(this.placed, close.depth, close.fit); }\n $to = close.move;\n for (var d = close.depth + 1; d <= $to.depth; d++) {\n var node = $to.node(d), add = node.type.contentMatch.fillBefore(node.content, true, $to.index(d));\n this.openFrontierNode(node.type, node.attrs, add);\n }\n return $to\n};\n\nFitter.prototype.openFrontierNode = function openFrontierNode (type, attrs, content) {\n var top = this.frontier[this.depth];\n top.match = top.match.matchType(type);\n this.placed = addToFragment(this.placed, this.depth, Fragment.from(type.create(attrs, content)));\n this.frontier.push({type: type, match: type.contentMatch});\n};\n\nFitter.prototype.closeFrontierNode = function closeFrontierNode () {\n var open = this.frontier.pop();\n var add = open.match.fillBefore(Fragment.empty, true);\n if (add.childCount) { this.placed = addToFragment(this.placed, this.frontier.length, add); }\n};\n\nObject.defineProperties( Fitter.prototype, prototypeAccessors$1 );\n\nfunction dropFromFragment(fragment, depth, count) {\n if (depth == 0) { return fragment.cutByIndex(count) }\n return fragment.replaceChild(0, fragment.firstChild.copy(dropFromFragment(fragment.firstChild.content, depth - 1, count)))\n}\n\nfunction addToFragment(fragment, depth, content) {\n if (depth == 0) { return fragment.append(content) }\n return fragment.replaceChild(fragment.childCount - 1,\n fragment.lastChild.copy(addToFragment(fragment.lastChild.content, depth - 1, content)))\n}\n\nfunction contentAt(fragment, depth) {\n for (var i = 0; i < depth; i++) { fragment = fragment.firstChild.content; }\n return fragment\n}\n\nfunction closeNodeStart(node, openStart, openEnd) {\n if (openStart <= 0) { return node }\n var frag = node.content;\n if (openStart > 1)\n { frag = frag.replaceChild(0, closeNodeStart(frag.firstChild, openStart - 1, frag.childCount == 1 ? openEnd - 1 : 0)); }\n if (openStart > 0) {\n frag = node.type.contentMatch.fillBefore(frag).append(frag);\n if (openEnd <= 0) { frag = frag.append(node.type.contentMatch.matchFragment(frag).fillBefore(Fragment.empty, true)); }\n }\n return node.copy(frag)\n}\n\nfunction contentAfterFits($to, depth, type, match, open) {\n var node = $to.node(depth), index = open ? $to.indexAfter(depth) : $to.index(depth);\n if (index == node.childCount && !type.compatibleContent(node.type)) { return null }\n var fit = match.fillBefore(node.content, true, index);\n return fit && !invalidMarks(type, node.content, index) ? fit : null\n}\n\nfunction invalidMarks(type, fragment, start) {\n for (var i = start; i < fragment.childCount; i++)\n { if (!type.allowsMarks(fragment.child(i).marks)) { return true } }\n return false\n}\n\n// :: (number, number, Slice) → this\n// Replace a range of the document with a given slice, using `from`,\n// `to`, and the slice's [`openStart`](#model.Slice.openStart) property\n// as hints, rather than fixed start and end points. This method may\n// grow the replaced area or close open nodes in the slice in order to\n// get a fit that is more in line with WYSIWYG expectations, by\n// dropping fully covered parent nodes of the replaced region when\n// they are marked [non-defining](#model.NodeSpec.defining), or\n// including an open parent node from the slice that _is_ marked as\n// [defining](#model.NodeSpec.defining).\n//\n// This is the method, for example, to handle paste. The similar\n// [`replace`](#transform.Transform.replace) method is a more\n// primitive tool which will _not_ move the start and end of its given\n// range, and is useful in situations where you need more precise\n// control over what happens.\nTransform.prototype.replaceRange = function(from, to, slice) {\n if (!slice.size) { return this.deleteRange(from, to) }\n\n var $from = this.doc.resolve(from), $to = this.doc.resolve(to);\n if (fitsTrivially($from, $to, slice))\n { return this.step(new ReplaceStep(from, to, slice)) }\n\n var targetDepths = coveredDepths($from, this.doc.resolve(to));\n // Can't replace the whole document, so remove 0 if it's present\n if (targetDepths[targetDepths.length - 1] == 0) { targetDepths.pop(); }\n // Negative numbers represent not expansion over the whole node at\n // that depth, but replacing from $from.before(-D) to $to.pos.\n var preferredTarget = -($from.depth + 1);\n targetDepths.unshift(preferredTarget);\n // This loop picks a preferred target depth, if one of the covering\n // depths is not outside of a defining node, and adds negative\n // depths for any depth that has $from at its start and does not\n // cross a defining node.\n for (var d = $from.depth, pos = $from.pos - 1; d > 0; d--, pos--) {\n var spec = $from.node(d).type.spec;\n if (spec.defining || spec.isolating) { break }\n if (targetDepths.indexOf(d) > -1) { preferredTarget = d; }\n else if ($from.before(d) == pos) { targetDepths.splice(1, 0, -d); }\n }\n // Try to fit each possible depth of the slice into each possible\n // target depth, starting with the preferred depths.\n var preferredTargetIndex = targetDepths.indexOf(preferredTarget);\n\n var leftNodes = [], preferredDepth = slice.openStart;\n for (var content = slice.content, i = 0;; i++) {\n var node = content.firstChild;\n leftNodes.push(node);\n if (i == slice.openStart) { break }\n content = node.content;\n }\n // Back up if the node directly above openStart, or the node above\n // that separated only by a non-defining textblock node, is defining.\n if (preferredDepth > 0 && leftNodes[preferredDepth - 1].type.spec.defining &&\n $from.node(preferredTargetIndex).type != leftNodes[preferredDepth - 1].type)\n { preferredDepth -= 1; }\n else if (preferredDepth >= 2 && leftNodes[preferredDepth - 1].isTextblock && leftNodes[preferredDepth - 2].type.spec.defining &&\n $from.node(preferredTargetIndex).type != leftNodes[preferredDepth - 2].type)\n { preferredDepth -= 2; }\n\n for (var j = slice.openStart; j >= 0; j--) {\n var openDepth = (j + preferredDepth + 1) % (slice.openStart + 1);\n var insert = leftNodes[openDepth];\n if (!insert) { continue }\n for (var i$1 = 0; i$1 < targetDepths.length; i$1++) {\n // Loop over possible expansion levels, starting with the\n // preferred one\n var targetDepth = targetDepths[(i$1 + preferredTargetIndex) % targetDepths.length], expand = true;\n if (targetDepth < 0) { expand = false; targetDepth = -targetDepth; }\n var parent = $from.node(targetDepth - 1), index = $from.index(targetDepth - 1);\n if (parent.canReplaceWith(index, index, insert.type, insert.marks))\n { return this.replace($from.before(targetDepth), expand ? $to.after(targetDepth) : to,\n new Slice(closeFragment(slice.content, 0, slice.openStart, openDepth),\n openDepth, slice.openEnd)) }\n }\n }\n\n var startSteps = this.steps.length;\n for (var i$2 = targetDepths.length - 1; i$2 >= 0; i$2--) {\n this.replace(from, to, slice);\n if (this.steps.length > startSteps) { break }\n var depth = targetDepths[i$2];\n if (i$2 < 0) { continue }\n from = $from.before(depth); to = $to.after(depth);\n }\n return this\n};\n\nfunction closeFragment(fragment, depth, oldOpen, newOpen, parent) {\n if (depth < oldOpen) {\n var first = fragment.firstChild;\n fragment = fragment.replaceChild(0, first.copy(closeFragment(first.content, depth + 1, oldOpen, newOpen, first)));\n }\n if (depth > newOpen) {\n var match = parent.contentMatchAt(0);\n var start = match.fillBefore(fragment).append(fragment);\n fragment = start.append(match.matchFragment(start).fillBefore(Fragment.empty, true));\n }\n return fragment\n}\n\n// :: (number, number, Node) → this\n// Replace the given range with a node, but use `from` and `to` as\n// hints, rather than precise positions. When from and to are the same\n// and are at the start or end of a parent node in which the given\n// node doesn't fit, this method may _move_ them out towards a parent\n// that does allow the given node to be placed. When the given range\n// completely covers a parent node, this method may completely replace\n// that parent node.\nTransform.prototype.replaceRangeWith = function(from, to, node) {\n if (!node.isInline && from == to && this.doc.resolve(from).parent.content.size) {\n var point = insertPoint(this.doc, from, node.type);\n if (point != null) { from = to = point; }\n }\n return this.replaceRange(from, to, new Slice(Fragment.from(node), 0, 0))\n};\n\n// :: (number, number) → this\n// Delete the given range, expanding it to cover fully covered\n// parent nodes until a valid replace is found.\nTransform.prototype.deleteRange = function(from, to) {\n var $from = this.doc.resolve(from), $to = this.doc.resolve(to);\n var covered = coveredDepths($from, $to);\n for (var i = 0; i < covered.length; i++) {\n var depth = covered[i], last = i == covered.length - 1;\n if ((last && depth == 0) || $from.node(depth).type.contentMatch.validEnd)\n { return this.delete($from.start(depth), $to.end(depth)) }\n if (depth > 0 && (last || $from.node(depth - 1).canReplace($from.index(depth - 1), $to.indexAfter(depth - 1))))\n { return this.delete($from.before(depth), $to.after(depth)) }\n }\n for (var d = 1; d <= $from.depth && d <= $to.depth; d++) {\n if (from - $from.start(d) == $from.depth - d && to > $from.end(d) && $to.end(d) - to != $to.depth - d)\n { return this.delete($from.before(d), to) }\n }\n return this.delete(from, to)\n};\n\n// : (ResolvedPos, ResolvedPos) → [number]\n// Returns an array of all depths for which $from - $to spans the\n// whole content of the nodes at that depth.\nfunction coveredDepths($from, $to) {\n var result = [], minDepth = Math.min($from.depth, $to.depth);\n for (var d = minDepth; d >= 0; d--) {\n var start = $from.start(d);\n if (start < $from.pos - ($from.depth - d) ||\n $to.end(d) > $to.pos + ($to.depth - d) ||\n $from.node(d).type.spec.isolating ||\n $to.node(d).type.spec.isolating) { break }\n if (start == $to.start(d)) { result.push(d); }\n }\n return result\n}\n\nexport { AddMarkStep, MapResult, Mapping, RemoveMarkStep, ReplaceAroundStep, ReplaceStep, Step, StepMap, StepResult, Transform, TransformError, canJoin, canSplit, dropPoint, findWrapping, insertPoint, joinPoint, liftTarget, replaceStep };\n//# sourceMappingURL=index.es.js.map\n","import { Plugin } from 'prosemirror-state';\nimport { findWrapping, canJoin } from 'prosemirror-transform';\n\n// ::- Input rules are regular expressions describing a piece of text\n// that, when typed, causes something to happen. This might be\n// changing two dashes into an emdash, wrapping a paragraph starting\n// with `\"> \"` into a blockquote, or something entirely different.\nvar InputRule = function InputRule(match, handler) {\n this.match = match;\n this.handler = typeof handler == \"string\" ? stringHandler(handler) : handler;\n};\n\nfunction stringHandler(string) {\n return function(state, match, start, end) {\n var insert = string;\n if (match[1]) {\n var offset = match[0].lastIndexOf(match[1]);\n insert += match[0].slice(offset + match[1].length);\n start += offset;\n var cutOff = start - end;\n if (cutOff > 0) {\n insert = match[0].slice(offset - cutOff, offset) + insert;\n start = end;\n }\n }\n return state.tr.insertText(insert, start, end)\n }\n}\n\nvar MAX_MATCH = 500;\n\n// :: (config: {rules: [InputRule]}) → Plugin\n// Create an input rules plugin. When enabled, it will cause text\n// input that matches any of the given rules to trigger the rule's\n// action.\nfunction inputRules(ref) {\n var rules = ref.rules;\n\n var plugin = new Plugin({\n state: {\n init: function init() { return null },\n apply: function apply(tr, prev) {\n var stored = tr.getMeta(this);\n if (stored) { return stored }\n return tr.selectionSet || tr.docChanged ? null : prev\n }\n },\n\n props: {\n handleTextInput: function handleTextInput(view, from, to, text) {\n return run(view, from, to, text, rules, plugin)\n },\n handleDOMEvents: {\n compositionend: function (view) {\n setTimeout(function () {\n var ref = view.state.selection;\n var $cursor = ref.$cursor;\n if ($cursor) { run(view, $cursor.pos, $cursor.pos, \"\", rules, plugin); }\n });\n }\n }\n },\n\n isInputRules: true\n });\n return plugin\n}\n\nfunction run(view, from, to, text, rules, plugin) {\n if (view.composing) { return false }\n var state = view.state, $from = state.doc.resolve(from);\n if ($from.parent.type.spec.code) { return false }\n var textBefore = $from.parent.textBetween(Math.max(0, $from.parentOffset - MAX_MATCH), $from.parentOffset,\n null, \"\\ufffc\") + text;\n for (var i = 0; i < rules.length; i++) {\n var match = rules[i].match.exec(textBefore);\n var tr = match && rules[i].handler(state, match, from - (match[0].length - text.length), to);\n if (!tr) { continue }\n view.dispatch(tr.setMeta(plugin, {transform: tr, from: from, to: to, text: text}));\n return true\n }\n return false\n}\n\n// :: (EditorState, ?(Transaction)) → bool\n// This is a command that will undo an input rule, if applying such a\n// rule was the last thing that the user did.\nfunction undoInputRule(state, dispatch) {\n var plugins = state.plugins;\n for (var i = 0; i < plugins.length; i++) {\n var plugin = plugins[i], undoable = (void 0);\n if (plugin.spec.isInputRules && (undoable = plugin.getState(state))) {\n if (dispatch) {\n var tr = state.tr, toUndo = undoable.transform;\n for (var j = toUndo.steps.length - 1; j >= 0; j--)\n { tr.step(toUndo.steps[j].invert(toUndo.docs[j])); }\n var marks = tr.doc.resolve(undoable.from).marks();\n dispatch(tr.replaceWith(undoable.from, undoable.to, state.schema.text(undoable.text, marks)));\n }\n return true\n }\n }\n return false\n}\n\n// :: InputRule Converts double dashes to an emdash.\nvar emDash = new InputRule(/--$/, \"—\");\n// :: InputRule Converts three dots to an ellipsis character.\nvar ellipsis = new InputRule(/\\.\\.\\.$/, \"…\");\n// :: InputRule “Smart” opening double quotes.\nvar openDoubleQuote = new InputRule(/(?:^|[\\s\\{\\[\\(\\<'\"\\u2018\\u201C])(\")$/, \"“\");\n// :: InputRule “Smart” closing double quotes.\nvar closeDoubleQuote = new InputRule(/\"$/, \"”\");\n// :: InputRule “Smart” opening single quotes.\nvar openSingleQuote = new InputRule(/(?:^|[\\s\\{\\[\\(\\<'\"\\u2018\\u201C])(')$/, \"‘\");\n// :: InputRule “Smart” closing single quotes.\nvar closeSingleQuote = new InputRule(/'$/, \"’\");\n\n// :: [InputRule] Smart-quote related input rules.\nvar smartQuotes = [openDoubleQuote, closeDoubleQuote, openSingleQuote, closeSingleQuote];\n\n// :: (RegExp, NodeType, ?union<Object, ([string]) → ?Object>, ?([string], Node) → bool) → InputRule\n// Build an input rule for automatically wrapping a textblock when a\n// given string is typed. The `regexp` argument is\n// directly passed through to the `InputRule` constructor. You'll\n// probably want the regexp to start with `^`, so that the pattern can\n// only occur at the start of a textblock.\n//\n// `nodeType` is the type of node to wrap in. If it needs attributes,\n// you can either pass them directly, or pass a function that will\n// compute them from the regular expression match.\n//\n// By default, if there's a node with the same type above the newly\n// wrapped node, the rule will try to [join](#transform.Transform.join) those\n// two nodes. You can pass a join predicate, which takes a regular\n// expression match and the node before the wrapped node, and can\n// return a boolean to indicate whether a join should happen.\nfunction wrappingInputRule(regexp, nodeType, getAttrs, joinPredicate) {\n return new InputRule(regexp, function (state, match, start, end) {\n var attrs = getAttrs instanceof Function ? getAttrs(match) : getAttrs;\n var tr = state.tr.delete(start, end);\n var $start = tr.doc.resolve(start), range = $start.blockRange(), wrapping = range && findWrapping(range, nodeType, attrs);\n if (!wrapping) { return null }\n tr.wrap(range, wrapping);\n var before = tr.doc.resolve(start - 1).nodeBefore;\n if (before && before.type == nodeType && canJoin(tr.doc, start - 1) &&\n (!joinPredicate || joinPredicate(match, before)))\n { tr.join(start - 1); }\n return tr\n })\n}\n\n// :: (RegExp, NodeType, ?union<Object, ([string]) → ?Object>) → InputRule\n// Build an input rule that changes the type of a textblock when the\n// matched text is typed into it. You'll usually want to start your\n// regexp with `^` to that it is only matched at the start of a\n// textblock. The optional `getAttrs` parameter can be used to compute\n// the new node's attributes, and works the same as in the\n// `wrappingInputRule` function.\nfunction textblockTypeInputRule(regexp, nodeType, getAttrs) {\n return new InputRule(regexp, function (state, match, start, end) {\n var $start = state.doc.resolve(start);\n var attrs = getAttrs instanceof Function ? getAttrs(match) : getAttrs;\n if (!$start.node(-1).canReplaceWith($start.index(-1), $start.indexAfter(-1), nodeType)) { return null }\n return state.tr\n .delete(start, end)\n .setBlockType(start, start, nodeType, attrs)\n })\n}\n\nexport { InputRule, closeDoubleQuote, closeSingleQuote, ellipsis, emDash, inputRules, openDoubleQuote, openSingleQuote, smartQuotes, textblockTypeInputRule, undoInputRule, wrappingInputRule };\n//# sourceMappingURL=index.es.js.map\n","!function(t,e){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=e():\"function\"==typeof define&&define.amd?define(\"Directives/Tooltip\",[],e):\"object\"==typeof exports?exports[\"Directives/Tooltip\"]=e():(t.NextcloudVue=t.NextcloudVue||{},t.NextcloudVue[\"Directives/Tooltip\"]=e())}(window,(function(){return function(t){var e={};function r(o){if(e[o])return e[o].exports;var n=e[o]={i:o,l:!1,exports:{}};return t[o].call(n.exports,n,n.exports,r),n.l=!0,n.exports}return r.m=t,r.c=e,r.d=function(t,e,o){r.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:o})},r.r=function(t){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(t,\"__esModule\",{value:!0})},r.t=function(t,e){if(1&e&&(t=r(t)),8&e)return t;if(4&e&&\"object\"==typeof t&&t&&t.__esModule)return t;var o=Object.create(null);if(r.r(o),Object.defineProperty(o,\"default\",{enumerable:!0,value:t}),2&e&&\"string\"!=typeof t)for(var n in t)r.d(o,n,function(e){return t[e]}.bind(null,n));return o},r.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return r.d(e,\"a\",e),e},r.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},r.p=\"/dist/\",r(r.s=13)}({1:function(t,e,r){\"use strict\";t.exports=function(t){var e=[];return e.toString=function(){return this.map((function(e){var r=function(t,e){var r=t[1]||\"\",o=t[3];if(!o)return r;if(e&&\"function\"==typeof btoa){var n=(a=o,l=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),c=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(l),\"/*# \".concat(c,\" */\")),i=o.sources.map((function(t){return\"/*# sourceURL=\".concat(o.sourceRoot||\"\").concat(t,\" */\")}));return[r].concat(i).concat([n]).join(\"\\n\")}var a,l,c;return[r].join(\"\\n\")}(e,t);return e[2]?\"@media \".concat(e[2],\" {\").concat(r,\"}\"):r})).join(\"\")},e.i=function(t,r,o){\"string\"==typeof t&&(t=[[null,t,\"\"]]);var n={};if(o)for(var i=0;i<this.length;i++){var a=this[i][0];null!=a&&(n[a]=!0)}for(var l=0;l<t.length;l++){var c=[].concat(t[l]);o&&n[c[0]]||(r&&(c[2]?c[2]=\"\".concat(r,\" and \").concat(c[2]):c[2]=r),e.push(c))}},e}},13:function(t,e,r){\"use strict\";r.r(e);var o=r(4);r(43);\n/**\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\no.VTooltip.options.defaultTemplate='<div class=\"vue-tooltip\" role=\"tooltip\" data-v-'.concat(\"91c9b6f\",'><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>'),o.VTooltip.options.defaultHtml=!1,e.default=o.VTooltip},2:function(t,e,r){\"use strict\";function o(t,e){for(var r=[],o={},n=0;n<e.length;n++){var i=e[n],a=i[0],l={id:t+\":\"+n,css:i[1],media:i[2],sourceMap:i[3]};o[a]?o[a].parts.push(l):r.push(o[a]={id:a,parts:[l]})}return r}r.r(e),r.d(e,\"default\",(function(){return f}));var n=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!n)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var i={},a=n&&(document.head||document.getElementsByTagName(\"head\")[0]),l=null,c=0,s=!1,p=function(){},d=null,u=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function f(t,e,r,n){s=r,d=n||{};var a=o(t,e);return v(a),function(e){for(var r=[],n=0;n<a.length;n++){var l=a[n];(c=i[l.id]).refs--,r.push(c)}e?v(a=o(t,e)):a=[];for(n=0;n<r.length;n++){var c;if(0===(c=r[n]).refs){for(var s=0;s<c.parts.length;s++)c.parts[s]();delete i[c.id]}}}}function v(t){for(var e=0;e<t.length;e++){var r=t[e],o=i[r.id];if(o){o.refs++;for(var n=0;n<o.parts.length;n++)o.parts[n](r.parts[n]);for(;n<r.parts.length;n++)o.parts.push(h(r.parts[n]));o.parts.length>r.parts.length&&(o.parts.length=r.parts.length)}else{var a=[];for(n=0;n<r.parts.length;n++)a.push(h(r.parts[n]));i[r.id]={id:r.id,refs:1,parts:a}}}}function b(){var t=document.createElement(\"style\");return t.type=\"text/css\",a.appendChild(t),t}function h(t){var e,r,o=document.querySelector('style[data-vue-ssr-id~=\"'+t.id+'\"]');if(o){if(s)return p;o.parentNode.removeChild(o)}if(u){var n=c++;o=l||(l=b()),e=x.bind(null,o,n,!1),r=x.bind(null,o,n,!0)}else o=b(),e=y.bind(null,o),r=function(){o.parentNode.removeChild(o)};return e(t),function(o){if(o){if(o.css===t.css&&o.media===t.media&&o.sourceMap===t.sourceMap)return;e(t=o)}else r()}}var g,m=(g=[],function(t,e){return g[t]=e,g.filter(Boolean).join(\"\\n\")});function x(t,e,r,o){var n=r?\"\":o.css;if(t.styleSheet)t.styleSheet.cssText=m(e,n);else{var i=document.createTextNode(n),a=t.childNodes;a[e]&&t.removeChild(a[e]),a.length?t.insertBefore(i,a[e]):t.appendChild(i)}}function y(t,e){var r=e.css,o=e.media,n=e.sourceMap;if(o&&t.setAttribute(\"media\",o),d.ssrId&&t.setAttribute(\"data-vue-ssr-id\",e.id),n&&(r+=\"\\n/*# sourceURL=\"+n.sources[0]+\" */\",r+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(n))))+\" */\"),t.styleSheet)t.styleSheet.cssText=r;else{for(;t.firstChild;)t.removeChild(t.firstChild);t.appendChild(document.createTextNode(r))}}},4:function(t,e){t.exports=require(\"v-tooltip\")},43:function(t,e,r){var o=r(44);\"string\"==typeof o&&(o=[[t.i,o,\"\"]]),o.locals&&(t.exports=o.locals);(0,r(2).default)(\"941c791e\",o,!0,{})},44:function(t,e,r){(e=r(1)(!1)).push([t.i,\".vue-tooltip[data-v-91c9b6f]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;opacity:0;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-91c9b6f][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-91c9b6f][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-91c9b6f][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-91c9b6f] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-91c9b6f] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\\n\",\"\"]),t.exports=e}})}));\n//# sourceMappingURL=Tooltip.js.map","var GOOD_LEAF_SIZE = 200;\n\n// :: class<T> A rope sequence is a persistent sequence data structure\n// that supports appending, prepending, and slicing without doing a\n// full copy. It is represented as a mostly-balanced tree.\nvar RopeSequence = function RopeSequence () {};\n\nRopeSequence.prototype.append = function append (other) {\n if (!other.length) { return this }\n other = RopeSequence.from(other);\n\n return (!this.length && other) ||\n (other.length < GOOD_LEAF_SIZE && this.leafAppend(other)) ||\n (this.length < GOOD_LEAF_SIZE && other.leafPrepend(this)) ||\n this.appendInner(other)\n};\n\n// :: (union<[T], RopeSequence<T>>) → RopeSequence<T>\n// Prepend an array or other rope to this one, returning a new rope.\nRopeSequence.prototype.prepend = function prepend (other) {\n if (!other.length) { return this }\n return RopeSequence.from(other).append(this)\n};\n\nRopeSequence.prototype.appendInner = function appendInner (other) {\n return new Append(this, other)\n};\n\n// :: (?number, ?number) → RopeSequence<T>\n// Create a rope repesenting a sub-sequence of this rope.\nRopeSequence.prototype.slice = function slice (from, to) {\n if ( from === void 0 ) from = 0;\n if ( to === void 0 ) to = this.length;\n\n if (from >= to) { return RopeSequence.empty }\n return this.sliceInner(Math.max(0, from), Math.min(this.length, to))\n};\n\n// :: (number) → T\n// Retrieve the element at the given position from this rope.\nRopeSequence.prototype.get = function get (i) {\n if (i < 0 || i >= this.length) { return undefined }\n return this.getInner(i)\n};\n\n// :: ((element: T, index: number) → ?bool, ?number, ?number)\n// Call the given function for each element between the given\n// indices. This tends to be more efficient than looping over the\n// indices and calling `get`, because it doesn't have to descend the\n// tree for every element.\nRopeSequence.prototype.forEach = function forEach (f, from, to) {\n if ( from === void 0 ) from = 0;\n if ( to === void 0 ) to = this.length;\n\n if (from <= to)\n { this.forEachInner(f, from, to, 0); }\n else\n { this.forEachInvertedInner(f, from, to, 0); }\n};\n\n// :: ((element: T, index: number) → U, ?number, ?number) → [U]\n// Map the given functions over the elements of the rope, producing\n// a flat array.\nRopeSequence.prototype.map = function map (f, from, to) {\n if ( from === void 0 ) from = 0;\n if ( to === void 0 ) to = this.length;\n\n var result = [];\n this.forEach(function (elt, i) { return result.push(f(elt, i)); }, from, to);\n return result\n};\n\n// :: (?union<[T], RopeSequence<T>>) → RopeSequence<T>\n// Create a rope representing the given array, or return the rope\n// itself if a rope was given.\nRopeSequence.from = function from (values) {\n if (values instanceof RopeSequence) { return values }\n return values && values.length ? new Leaf(values) : RopeSequence.empty\n};\n\nvar Leaf = /*@__PURE__*/(function (RopeSequence) {\n function Leaf(values) {\n RopeSequence.call(this);\n this.values = values;\n }\n\n if ( RopeSequence ) Leaf.__proto__ = RopeSequence;\n Leaf.prototype = Object.create( RopeSequence && RopeSequence.prototype );\n Leaf.prototype.constructor = Leaf;\n\n var prototypeAccessors = { length: { configurable: true },depth: { configurable: true } };\n\n Leaf.prototype.flatten = function flatten () {\n return this.values\n };\n\n Leaf.prototype.sliceInner = function sliceInner (from, to) {\n if (from == 0 && to == this.length) { return this }\n return new Leaf(this.values.slice(from, to))\n };\n\n Leaf.prototype.getInner = function getInner (i) {\n return this.values[i]\n };\n\n Leaf.prototype.forEachInner = function forEachInner (f, from, to, start) {\n for (var i = from; i < to; i++)\n { if (f(this.values[i], start + i) === false) { return false } }\n };\n\n Leaf.prototype.forEachInvertedInner = function forEachInvertedInner (f, from, to, start) {\n for (var i = from - 1; i >= to; i--)\n { if (f(this.values[i], start + i) === false) { return false } }\n };\n\n Leaf.prototype.leafAppend = function leafAppend (other) {\n if (this.length + other.length <= GOOD_LEAF_SIZE)\n { return new Leaf(this.values.concat(other.flatten())) }\n };\n\n Leaf.prototype.leafPrepend = function leafPrepend (other) {\n if (this.length + other.length <= GOOD_LEAF_SIZE)\n { return new Leaf(other.flatten().concat(this.values)) }\n };\n\n prototypeAccessors.length.get = function () { return this.values.length };\n\n prototypeAccessors.depth.get = function () { return 0 };\n\n Object.defineProperties( Leaf.prototype, prototypeAccessors );\n\n return Leaf;\n}(RopeSequence));\n\n// :: RopeSequence\n// The empty rope sequence.\nRopeSequence.empty = new Leaf([]);\n\nvar Append = /*@__PURE__*/(function (RopeSequence) {\n function Append(left, right) {\n RopeSequence.call(this);\n this.left = left;\n this.right = right;\n this.length = left.length + right.length;\n this.depth = Math.max(left.depth, right.depth) + 1;\n }\n\n if ( RopeSequence ) Append.__proto__ = RopeSequence;\n Append.prototype = Object.create( RopeSequence && RopeSequence.prototype );\n Append.prototype.constructor = Append;\n\n Append.prototype.flatten = function flatten () {\n return this.left.flatten().concat(this.right.flatten())\n };\n\n Append.prototype.getInner = function getInner (i) {\n return i < this.left.length ? this.left.get(i) : this.right.get(i - this.left.length)\n };\n\n Append.prototype.forEachInner = function forEachInner (f, from, to, start) {\n var leftLen = this.left.length;\n if (from < leftLen &&\n this.left.forEachInner(f, from, Math.min(to, leftLen), start) === false)\n { return false }\n if (to > leftLen &&\n this.right.forEachInner(f, Math.max(from - leftLen, 0), Math.min(this.length, to) - leftLen, start + leftLen) === false)\n { return false }\n };\n\n Append.prototype.forEachInvertedInner = function forEachInvertedInner (f, from, to, start) {\n var leftLen = this.left.length;\n if (from > leftLen &&\n this.right.forEachInvertedInner(f, from - leftLen, Math.max(to, leftLen) - leftLen, start + leftLen) === false)\n { return false }\n if (to < leftLen &&\n this.left.forEachInvertedInner(f, Math.min(from, leftLen), to, start) === false)\n { return false }\n };\n\n Append.prototype.sliceInner = function sliceInner (from, to) {\n if (from == 0 && to == this.length) { return this }\n var leftLen = this.left.length;\n if (to <= leftLen) { return this.left.slice(from, to) }\n if (from >= leftLen) { return this.right.slice(from - leftLen, to - leftLen) }\n return this.left.slice(from, leftLen).append(this.right.slice(0, to - leftLen))\n };\n\n Append.prototype.leafAppend = function leafAppend (other) {\n var inner = this.right.leafAppend(other);\n if (inner) { return new Append(this.left, inner) }\n };\n\n Append.prototype.leafPrepend = function leafPrepend (other) {\n var inner = this.left.leafPrepend(other);\n if (inner) { return new Append(inner, this.right) }\n };\n\n Append.prototype.appendInner = function appendInner (other) {\n if (this.left.depth >= Math.max(this.right.depth, other.depth) + 1)\n { return new Append(this.left, new Append(this.right, other)) }\n return new Append(this, other)\n };\n\n return Append;\n}(RopeSequence));\n\nvar ropeSequence = RopeSequence;\n\nexport default ropeSequence;\n","import RopeSequence from 'rope-sequence';\nimport { Mapping } from 'prosemirror-transform';\nimport { PluginKey, Plugin } from 'prosemirror-state';\n\n// ProseMirror's history isn't simply a way to roll back to a previous\n// state, because ProseMirror supports applying changes without adding\n// them to the history (for example during collaboration).\n//\n// To this end, each 'Branch' (one for the undo history and one for\n// the redo history) keeps an array of 'Items', which can optionally\n// hold a step (an actual undoable change), and always hold a position\n// map (which is needed to move changes below them to apply to the\n// current document).\n//\n// An item that has both a step and a selection bookmark is the start\n// of an 'event' — a group of changes that will be undone or redone at\n// once. (It stores only the bookmark, since that way we don't have to\n// provide a document until the selection is actually applied, which\n// is useful when compressing.)\n\n// Used to schedule history compression\nvar max_empty_items = 500;\n\nvar Branch = function Branch(items, eventCount) {\n this.items = items;\n this.eventCount = eventCount;\n};\n\n// : (EditorState, bool) → ?{transform: Transform, selection: ?SelectionBookmark, remaining: Branch}\n// Pop the latest event off the branch's history and apply it\n// to a document transform.\nBranch.prototype.popEvent = function popEvent (state, preserveItems) {\n var this$1 = this;\n\n if (this.eventCount == 0) { return null }\n\n var end = this.items.length;\n for (;; end--) {\n var next = this.items.get(end - 1);\n if (next.selection) { --end; break }\n }\n\n var remap, mapFrom;\n if (preserveItems) {\n remap = this.remapping(end, this.items.length);\n mapFrom = remap.maps.length;\n }\n var transform = state.tr;\n var selection, remaining;\n var addAfter = [], addBefore = [];\n\n this.items.forEach(function (item, i) {\n if (!item.step) {\n if (!remap) {\n remap = this$1.remapping(end, i + 1);\n mapFrom = remap.maps.length;\n }\n mapFrom--;\n addBefore.push(item);\n return\n }\n\n if (remap) {\n addBefore.push(new Item(item.map));\n var step = item.step.map(remap.slice(mapFrom)), map;\n\n if (step && transform.maybeStep(step).doc) {\n map = transform.mapping.maps[transform.mapping.maps.length - 1];\n addAfter.push(new Item(map, null, null, addAfter.length + addBefore.length));\n }\n mapFrom--;\n if (map) { remap.appendMap(map, mapFrom); }\n } else {\n transform.maybeStep(item.step);\n }\n\n if (item.selection) {\n selection = remap ? item.selection.map(remap.slice(mapFrom)) : item.selection;\n remaining = new Branch(this$1.items.slice(0, end).append(addBefore.reverse().concat(addAfter)), this$1.eventCount - 1);\n return false\n }\n }, this.items.length, 0);\n\n return {remaining: remaining, transform: transform, selection: selection}\n};\n\n// : (Transform, ?SelectionBookmark, Object) → Branch\n// Create a new branch with the given transform added.\nBranch.prototype.addTransform = function addTransform (transform, selection, histOptions, preserveItems) {\n var newItems = [], eventCount = this.eventCount;\n var oldItems = this.items, lastItem = !preserveItems && oldItems.length ? oldItems.get(oldItems.length - 1) : null;\n\n for (var i = 0; i < transform.steps.length; i++) {\n var step = transform.steps[i].invert(transform.docs[i]);\n var item = new Item(transform.mapping.maps[i], step, selection), merged = (void 0);\n if (merged = lastItem && lastItem.merge(item)) {\n item = merged;\n if (i) { newItems.pop(); }\n else { oldItems = oldItems.slice(0, oldItems.length - 1); }\n }\n newItems.push(item);\n if (selection) {\n eventCount++;\n selection = null;\n }\n if (!preserveItems) { lastItem = item; }\n }\n var overflow = eventCount - histOptions.depth;\n if (overflow > DEPTH_OVERFLOW) {\n oldItems = cutOffEvents(oldItems, overflow);\n eventCount -= overflow;\n }\n return new Branch(oldItems.append(newItems), eventCount)\n};\n\nBranch.prototype.remapping = function remapping (from, to) {\n var maps = new Mapping;\n this.items.forEach(function (item, i) {\n var mirrorPos = item.mirrorOffset != null && i - item.mirrorOffset >= from\n ? maps.maps.length - item.mirrorOffset : null;\n maps.appendMap(item.map, mirrorPos);\n }, from, to);\n return maps\n};\n\nBranch.prototype.addMaps = function addMaps (array) {\n if (this.eventCount == 0) { return this }\n return new Branch(this.items.append(array.map(function (map) { return new Item(map); })), this.eventCount)\n};\n\n// : (Transform, number)\n// When the collab module receives remote changes, the history has\n// to know about those, so that it can adjust the steps that were\n// rebased on top of the remote changes, and include the position\n// maps for the remote changes in its array of items.\nBranch.prototype.rebased = function rebased (rebasedTransform, rebasedCount) {\n if (!this.eventCount) { return this }\n\n var rebasedItems = [], start = Math.max(0, this.items.length - rebasedCount);\n\n var mapping = rebasedTransform.mapping;\n var newUntil = rebasedTransform.steps.length;\n var eventCount = this.eventCount;\n this.items.forEach(function (item) { if (item.selection) { eventCount--; } }, start);\n\n var iRebased = rebasedCount;\n this.items.forEach(function (item) {\n var pos = mapping.getMirror(--iRebased);\n if (pos == null) { return }\n newUntil = Math.min(newUntil, pos);\n var map = mapping.maps[pos];\n if (item.step) {\n var step = rebasedTransform.steps[pos].invert(rebasedTransform.docs[pos]);\n var selection = item.selection && item.selection.map(mapping.slice(iRebased + 1, pos));\n if (selection) { eventCount++; }\n rebasedItems.push(new Item(map, step, selection));\n } else {\n rebasedItems.push(new Item(map));\n }\n }, start);\n\n var newMaps = [];\n for (var i = rebasedCount; i < newUntil; i++)\n { newMaps.push(new Item(mapping.maps[i])); }\n var items = this.items.slice(0, start).append(newMaps).append(rebasedItems);\n var branch = new Branch(items, eventCount);\n\n if (branch.emptyItemCount() > max_empty_items)\n { branch = branch.compress(this.items.length - rebasedItems.length); }\n return branch\n};\n\nBranch.prototype.emptyItemCount = function emptyItemCount () {\n var count = 0;\n this.items.forEach(function (item) { if (!item.step) { count++; } });\n return count\n};\n\n// Compressing a branch means rewriting it to push the air (map-only\n// items) out. During collaboration, these naturally accumulate\n// because each remote change adds one. The `upto` argument is used\n// to ensure that only the items below a given level are compressed,\n// because `rebased` relies on a clean, untouched set of items in\n// order to associate old items with rebased steps.\nBranch.prototype.compress = function compress (upto) {\n if ( upto === void 0 ) upto = this.items.length;\n\n var remap = this.remapping(0, upto), mapFrom = remap.maps.length;\n var items = [], events = 0;\n this.items.forEach(function (item, i) {\n if (i >= upto) {\n items.push(item);\n if (item.selection) { events++; }\n } else if (item.step) {\n var step = item.step.map(remap.slice(mapFrom)), map = step && step.getMap();\n mapFrom--;\n if (map) { remap.appendMap(map, mapFrom); }\n if (step) {\n var selection = item.selection && item.selection.map(remap.slice(mapFrom));\n if (selection) { events++; }\n var newItem = new Item(map.invert(), step, selection), merged, last = items.length - 1;\n if (merged = items.length && items[last].merge(newItem))\n { items[last] = merged; }\n else\n { items.push(newItem); }\n }\n } else if (item.map) {\n mapFrom--;\n }\n }, this.items.length, 0);\n return new Branch(RopeSequence.from(items.reverse()), events)\n};\n\nBranch.empty = new Branch(RopeSequence.empty, 0);\n\nfunction cutOffEvents(items, n) {\n var cutPoint;\n items.forEach(function (item, i) {\n if (item.selection && (n-- == 0)) {\n cutPoint = i;\n return false\n }\n });\n return items.slice(cutPoint)\n}\n\nvar Item = function Item(map, step, selection, mirrorOffset) {\n // The (forward) step map for this item.\n this.map = map;\n // The inverted step\n this.step = step;\n // If this is non-null, this item is the start of a group, and\n // this selection is the starting selection for the group (the one\n // that was active before the first step was applied)\n this.selection = selection;\n // If this item is the inverse of a previous mapping on the stack,\n // this points at the inverse's offset\n this.mirrorOffset = mirrorOffset;\n};\n\nItem.prototype.merge = function merge (other) {\n if (this.step && other.step && !other.selection) {\n var step = other.step.merge(this.step);\n if (step) { return new Item(step.getMap().invert(), step, this.selection) }\n }\n};\n\n// The value of the state field that tracks undo/redo history for that\n// state. Will be stored in the plugin state when the history plugin\n// is active.\nvar HistoryState = function HistoryState(done, undone, prevRanges, prevTime) {\n this.done = done;\n this.undone = undone;\n this.prevRanges = prevRanges;\n this.prevTime = prevTime;\n};\n\nvar DEPTH_OVERFLOW = 20;\n\n// : (HistoryState, EditorState, Transaction, Object)\n// Record a transformation in undo history.\nfunction applyTransaction(history, state, tr, options) {\n var historyTr = tr.getMeta(historyKey), rebased;\n if (historyTr) { return historyTr.historyState }\n\n if (tr.getMeta(closeHistoryKey)) { history = new HistoryState(history.done, history.undone, null, 0); }\n\n var appended = tr.getMeta(\"appendedTransaction\");\n\n if (tr.steps.length == 0) {\n return history\n } else if (appended && appended.getMeta(historyKey)) {\n if (appended.getMeta(historyKey).redo)\n { return new HistoryState(history.done.addTransform(tr, null, options, mustPreserveItems(state)),\n history.undone, rangesFor(tr.mapping.maps[tr.steps.length - 1]), history.prevTime) }\n else\n { return new HistoryState(history.done, history.undone.addTransform(tr, null, options, mustPreserveItems(state)),\n null, history.prevTime) }\n } else if (tr.getMeta(\"addToHistory\") !== false && !(appended && appended.getMeta(\"addToHistory\") === false)) {\n // Group transforms that occur in quick succession into one event.\n var newGroup = history.prevTime == 0 || !appended && (history.prevTime < (tr.time || 0) - options.newGroupDelay ||\n !isAdjacentTo(tr, history.prevRanges));\n var prevRanges = appended ? mapRanges(history.prevRanges, tr.mapping) : rangesFor(tr.mapping.maps[tr.steps.length - 1]);\n return new HistoryState(history.done.addTransform(tr, newGroup ? state.selection.getBookmark() : null,\n options, mustPreserveItems(state)),\n Branch.empty, prevRanges, tr.time)\n } else if (rebased = tr.getMeta(\"rebased\")) {\n // Used by the collab module to tell the history that some of its\n // content has been rebased.\n return new HistoryState(history.done.rebased(tr, rebased),\n history.undone.rebased(tr, rebased),\n mapRanges(history.prevRanges, tr.mapping), history.prevTime)\n } else {\n return new HistoryState(history.done.addMaps(tr.mapping.maps),\n history.undone.addMaps(tr.mapping.maps),\n mapRanges(history.prevRanges, tr.mapping), history.prevTime)\n }\n}\n\nfunction isAdjacentTo(transform, prevRanges) {\n if (!prevRanges) { return false }\n if (!transform.docChanged) { return true }\n var adjacent = false;\n transform.mapping.maps[0].forEach(function (start, end) {\n for (var i = 0; i < prevRanges.length; i += 2)\n { if (start <= prevRanges[i + 1] && end >= prevRanges[i])\n { adjacent = true; } }\n });\n return adjacent\n}\n\nfunction rangesFor(map) {\n var result = [];\n map.forEach(function (_from, _to, from, to) { return result.push(from, to); });\n return result\n}\n\nfunction mapRanges(ranges, mapping) {\n if (!ranges) { return null }\n var result = [];\n for (var i = 0; i < ranges.length; i += 2) {\n var from = mapping.map(ranges[i], 1), to = mapping.map(ranges[i + 1], -1);\n if (from <= to) { result.push(from, to); }\n }\n return result\n}\n\n// : (HistoryState, EditorState, (tr: Transaction), bool)\n// Apply the latest event from one branch to the document and shift the event\n// onto the other branch.\nfunction histTransaction(history, state, dispatch, redo) {\n var preserveItems = mustPreserveItems(state), histOptions = historyKey.get(state).spec.config;\n var pop = (redo ? history.undone : history.done).popEvent(state, preserveItems);\n if (!pop) { return }\n\n var selection = pop.selection.resolve(pop.transform.doc);\n var added = (redo ? history.done : history.undone).addTransform(pop.transform, state.selection.getBookmark(),\n histOptions, preserveItems);\n\n var newHist = new HistoryState(redo ? added : pop.remaining, redo ? pop.remaining : added, null, 0);\n dispatch(pop.transform.setSelection(selection).setMeta(historyKey, {redo: redo, historyState: newHist}).scrollIntoView());\n}\n\nvar cachedPreserveItems = false, cachedPreserveItemsPlugins = null;\n// Check whether any plugin in the given state has a\n// `historyPreserveItems` property in its spec, in which case we must\n// preserve steps exactly as they came in, so that they can be\n// rebased.\nfunction mustPreserveItems(state) {\n var plugins = state.plugins;\n if (cachedPreserveItemsPlugins != plugins) {\n cachedPreserveItems = false;\n cachedPreserveItemsPlugins = plugins;\n for (var i = 0; i < plugins.length; i++) { if (plugins[i].spec.historyPreserveItems) {\n cachedPreserveItems = true;\n break\n } }\n }\n return cachedPreserveItems\n}\n\n// :: (Transaction) → Transaction\n// Set a flag on the given transaction that will prevent further steps\n// from being appended to an existing history event (so that they\n// require a separate undo command to undo).\nfunction closeHistory(tr) {\n return tr.setMeta(closeHistoryKey, true)\n}\n\nvar historyKey = new PluginKey(\"history\");\nvar closeHistoryKey = new PluginKey(\"closeHistory\");\n\n// :: (?Object) → Plugin\n// Returns a plugin that enables the undo history for an editor. The\n// plugin will track undo and redo stacks, which can be used with the\n// [`undo`](#history.undo) and [`redo`](#history.redo) commands.\n//\n// You can set an `\"addToHistory\"` [metadata\n// property](#state.Transaction.setMeta) of `false` on a transaction\n// to prevent it from being rolled back by undo.\n//\n// config::-\n// Supports the following configuration options:\n//\n// depth:: ?number\n// The amount of history events that are collected before the\n// oldest events are discarded. Defaults to 100.\n//\n// newGroupDelay:: ?number\n// The delay between changes after which a new group should be\n// started. Defaults to 500 (milliseconds). Note that when changes\n// aren't adjacent, a new group is always started.\nfunction history(config) {\n config = {depth: config && config.depth || 100,\n newGroupDelay: config && config.newGroupDelay || 500};\n return new Plugin({\n key: historyKey,\n\n state: {\n init: function init() {\n return new HistoryState(Branch.empty, Branch.empty, null, 0)\n },\n apply: function apply(tr, hist, state) {\n return applyTransaction(hist, state, tr, config)\n }\n },\n\n config: config\n })\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// A command function that undoes the last change, if any.\nfunction undo(state, dispatch) {\n var hist = historyKey.getState(state);\n if (!hist || hist.done.eventCount == 0) { return false }\n if (dispatch) { histTransaction(hist, state, dispatch, false); }\n return true\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// A command function that redoes the last undone change, if any.\nfunction redo(state, dispatch) {\n var hist = historyKey.getState(state);\n if (!hist || hist.undone.eventCount == 0) { return false }\n if (dispatch) { histTransaction(hist, state, dispatch, true); }\n return true\n}\n\n// :: (EditorState) → number\n// The amount of undoable events available in a given state.\nfunction undoDepth(state) {\n var hist = historyKey.getState(state);\n return hist ? hist.done.eventCount : 0\n}\n\n// :: (EditorState) → number\n// The amount of redoable events available in a given editor state.\nfunction redoDepth(state) {\n var hist = historyKey.getState(state);\n return hist ? hist.undone.eventCount : 0\n}\n\nexport { HistoryState, closeHistory, history, redo, redoDepth, undo, undoDepth };\n//# sourceMappingURL=index.es.js.map\n","\n /*!\n * tiptap-extensions v1.32.1\n * (c) 2020 überdosis GbR (limited liability)\n * @license MIT\n */\n \nimport { Node, Plugin, PluginKey, Mark, Extension } from 'tiptap';\nimport { toggleWrap, wrappingInputRule, toggleList, toggleBlockType, setBlockType, textblockTypeInputRule, chainCommands, exitCode, nodeInputRule, splitListItem, sinkListItem, liftListItem, insertText, replaceText, splitToDefaultListItem, toggleMark, markInputRule, markPasteRule, updateMark, removeMark, pasteRule } from 'tiptap-commands';\nimport low from 'lowlight/lib/core';\nimport { DecorationSet, Decoration } from 'prosemirror-view';\nimport { findBlockNodes, createTable } from 'prosemirror-utils';\nimport { Plugin as Plugin$1, PluginKey as PluginKey$1, TextSelection } from 'prosemirror-state';\nimport 'regenerator-runtime/runtime';\nimport { tableNodes, addColumnBefore, addColumnAfter, deleteColumn, addRowBefore, addRowAfter, deleteRow, deleteTable, mergeCells, splitCell, toggleHeaderColumn, toggleHeaderRow, toggleHeaderCell, setCellAttr, fixTables, goToNextCell, columnResizing, tableEditing } from 'prosemirror-tables';\nimport { getMarkAttrs, nodeEqualsType } from 'tiptap-utils';\nimport { Step } from 'prosemirror-transform';\nimport { sendableSteps, getVersion, receiveTransaction, collab } from 'prosemirror-collab';\nimport { undo, redo, undoDepth, redoDepth, history } from 'prosemirror-history';\n\nfunction asyncGeneratorStep(gen, resolve, reject, _next, _throw, key, arg) {\n try {\n var info = gen[key](arg);\n var value = info.value;\n } catch (error) {\n reject(error);\n return;\n }\n\n if (info.done) {\n resolve(value);\n } else {\n Promise.resolve(value).then(_next, _throw);\n }\n}\n\nfunction _asyncToGenerator(fn) {\n return function () {\n var self = this,\n args = arguments;\n return new Promise(function (resolve, reject) {\n var gen = fn.apply(self, args);\n\n function _next(value) {\n asyncGeneratorStep(gen, resolve, reject, _next, _throw, \"next\", value);\n }\n\n function _throw(err) {\n asyncGeneratorStep(gen, resolve, reject, _next, _throw, \"throw\", err);\n }\n\n _next(undefined);\n });\n };\n}\n\nfunction _classCallCheck(instance, Constructor) {\n if (!(instance instanceof Constructor)) {\n throw new TypeError(\"Cannot call a class as a function\");\n }\n}\n\nfunction _defineProperties(target, props) {\n for (var i = 0; i < props.length; i++) {\n var descriptor = props[i];\n descriptor.enumerable = descriptor.enumerable || false;\n descriptor.configurable = true;\n if (\"value\" in descriptor) descriptor.writable = true;\n Object.defineProperty(target, descriptor.key, descriptor);\n }\n}\n\nfunction _createClass(Constructor, protoProps, staticProps) {\n if (protoProps) _defineProperties(Constructor.prototype, protoProps);\n if (staticProps) _defineProperties(Constructor, staticProps);\n return Constructor;\n}\n\nfunction _defineProperty(obj, key, value) {\n if (key in obj) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n } else {\n obj[key] = value;\n }\n\n return obj;\n}\n\nfunction ownKeys(object, enumerableOnly) {\n var keys = Object.keys(object);\n\n if (Object.getOwnPropertySymbols) {\n var symbols = Object.getOwnPropertySymbols(object);\n if (enumerableOnly) symbols = symbols.filter(function (sym) {\n return Object.getOwnPropertyDescriptor(object, sym).enumerable;\n });\n keys.push.apply(keys, symbols);\n }\n\n return keys;\n}\n\nfunction _objectSpread2(target) {\n for (var i = 1; i < arguments.length; i++) {\n var source = arguments[i] != null ? arguments[i] : {};\n\n if (i % 2) {\n ownKeys(Object(source), true).forEach(function (key) {\n _defineProperty(target, key, source[key]);\n });\n } else if (Object.getOwnPropertyDescriptors) {\n Object.defineProperties(target, Object.getOwnPropertyDescriptors(source));\n } else {\n ownKeys(Object(source)).forEach(function (key) {\n Object.defineProperty(target, key, Object.getOwnPropertyDescriptor(source, key));\n });\n }\n }\n\n return target;\n}\n\nfunction _inherits(subClass, superClass) {\n if (typeof superClass !== \"function\" && superClass !== null) {\n throw new TypeError(\"Super expression must either be null or a function\");\n }\n\n subClass.prototype = Object.create(superClass && superClass.prototype, {\n constructor: {\n value: subClass,\n writable: true,\n configurable: true\n }\n });\n if (superClass) _setPrototypeOf(subClass, superClass);\n}\n\nfunction _getPrototypeOf(o) {\n _getPrototypeOf = Object.setPrototypeOf ? Object.getPrototypeOf : function _getPrototypeOf(o) {\n return o.__proto__ || Object.getPrototypeOf(o);\n };\n return _getPrototypeOf(o);\n}\n\nfunction _setPrototypeOf(o, p) {\n _setPrototypeOf = Object.setPrototypeOf || function _setPrototypeOf(o, p) {\n o.__proto__ = p;\n return o;\n };\n\n return _setPrototypeOf(o, p);\n}\n\nfunction _isNativeReflectConstruct() {\n if (typeof Reflect === \"undefined\" || !Reflect.construct) return false;\n if (Reflect.construct.sham) return false;\n if (typeof Proxy === \"function\") return true;\n\n try {\n Date.prototype.toString.call(Reflect.construct(Date, [], function () {}));\n return true;\n } catch (e) {\n return false;\n }\n}\n\nfunction _assertThisInitialized(self) {\n if (self === void 0) {\n throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\");\n }\n\n return self;\n}\n\nfunction _possibleConstructorReturn(self, call) {\n if (call && (typeof call === \"object\" || typeof call === \"function\")) {\n return call;\n }\n\n return _assertThisInitialized(self);\n}\n\nfunction _createSuper(Derived) {\n var hasNativeReflectConstruct = _isNativeReflectConstruct();\n\n return function _createSuperInternal() {\n var Super = _getPrototypeOf(Derived),\n result;\n\n if (hasNativeReflectConstruct) {\n var NewTarget = _getPrototypeOf(this).constructor;\n\n result = Reflect.construct(Super, arguments, NewTarget);\n } else {\n result = Super.apply(this, arguments);\n }\n\n return _possibleConstructorReturn(this, result);\n };\n}\n\nfunction _slicedToArray(arr, i) {\n return _arrayWithHoles(arr) || _iterableToArrayLimit(arr, i) || _unsupportedIterableToArray(arr, i) || _nonIterableRest();\n}\n\nfunction _toConsumableArray(arr) {\n return _arrayWithoutHoles(arr) || _iterableToArray(arr) || _unsupportedIterableToArray(arr) || _nonIterableSpread();\n}\n\nfunction _arrayWithoutHoles(arr) {\n if (Array.isArray(arr)) return _arrayLikeToArray(arr);\n}\n\nfunction _arrayWithHoles(arr) {\n if (Array.isArray(arr)) return arr;\n}\n\nfunction _iterableToArray(iter) {\n if (typeof Symbol !== \"undefined\" && Symbol.iterator in Object(iter)) return Array.from(iter);\n}\n\nfunction _iterableToArrayLimit(arr, i) {\n if (typeof Symbol === \"undefined\" || !(Symbol.iterator in Object(arr))) return;\n var _arr = [];\n var _n = true;\n var _d = false;\n var _e = undefined;\n\n try {\n for (var _i = arr[Symbol.iterator](), _s; !(_n = (_s = _i.next()).done); _n = true) {\n _arr.push(_s.value);\n\n if (i && _arr.length === i) break;\n }\n } catch (err) {\n _d = true;\n _e = err;\n } finally {\n try {\n if (!_n && _i[\"return\"] != null) _i[\"return\"]();\n } finally {\n if (_d) throw _e;\n }\n }\n\n return _arr;\n}\n\nfunction _unsupportedIterableToArray(o, minLen) {\n if (!o) return;\n if (typeof o === \"string\") return _arrayLikeToArray(o, minLen);\n var n = Object.prototype.toString.call(o).slice(8, -1);\n if (n === \"Object\" && o.constructor) n = o.constructor.name;\n if (n === \"Map\" || n === \"Set\") return Array.from(o);\n if (n === \"Arguments\" || /^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)) return _arrayLikeToArray(o, minLen);\n}\n\nfunction _arrayLikeToArray(arr, len) {\n if (len == null || len > arr.length) len = arr.length;\n\n for (var i = 0, arr2 = new Array(len); i < len; i++) arr2[i] = arr[i];\n\n return arr2;\n}\n\nfunction _nonIterableSpread() {\n throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nfunction _nonIterableRest() {\n throw new TypeError(\"Invalid attempt to destructure non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nvar Blockquote = /*#__PURE__*/function (_Node) {\n _inherits(Blockquote, _Node);\n\n var _super = _createSuper(Blockquote);\n\n function Blockquote() {\n _classCallCheck(this, Blockquote);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Blockquote, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function () {\n return toggleWrap(type, schema.nodes.paragraph);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref2) {\n var type = _ref2.type;\n return {\n 'Ctrl->': toggleWrap(type)\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [wrappingInputRule(/^\\s*>\\s$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'blockquote';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'block*',\n group: 'block',\n defining: true,\n draggable: false,\n parseDOM: [{\n tag: 'blockquote'\n }],\n toDOM: function toDOM() {\n return ['blockquote', 0];\n }\n };\n }\n }]);\n\n return Blockquote;\n}(Node);\n\nvar BulletList = /*#__PURE__*/function (_Node) {\n _inherits(BulletList, _Node);\n\n var _super = _createSuper(BulletList);\n\n function BulletList() {\n _classCallCheck(this, BulletList);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(BulletList, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function () {\n return toggleList(type, schema.nodes.list_item);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref2) {\n var type = _ref2.type,\n schema = _ref2.schema;\n return {\n 'Shift-Ctrl-8': toggleList(type, schema.nodes.list_item)\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [wrappingInputRule(/^\\s*([-+*])\\s$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'bullet_list';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'list_item+',\n group: 'block',\n parseDOM: [{\n tag: 'ul'\n }],\n toDOM: function toDOM() {\n return ['ul', 0];\n }\n };\n }\n }]);\n\n return BulletList;\n}(Node);\n\nvar CodeBlock = /*#__PURE__*/function (_Node) {\n _inherits(CodeBlock, _Node);\n\n var _super = _createSuper(CodeBlock);\n\n function CodeBlock() {\n _classCallCheck(this, CodeBlock);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(CodeBlock, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function () {\n return toggleBlockType(type, schema.nodes.paragraph);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref2) {\n var type = _ref2.type;\n return {\n 'Shift-Ctrl-\\\\': setBlockType(type)\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [textblockTypeInputRule(/^```$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'code_block';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'text*',\n marks: '',\n group: 'block',\n code: true,\n defining: true,\n draggable: false,\n parseDOM: [{\n tag: 'pre',\n preserveWhitespace: 'full'\n }],\n toDOM: function toDOM() {\n return ['pre', ['code', 0]];\n }\n };\n }\n }]);\n\n return CodeBlock;\n}(Node);\n\nfunction getDecorations(_ref) {\n var doc = _ref.doc,\n name = _ref.name;\n var decorations = [];\n var blocks = findBlockNodes(doc).filter(function (item) {\n return item.node.type.name === name;\n });\n\n var flatten = function flatten(list) {\n return list.reduce(function (a, b) {\n return a.concat(Array.isArray(b) ? flatten(b) : b);\n }, []);\n };\n\n function parseNodes(nodes) {\n var className = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : [];\n return nodes.map(function (node) {\n var classes = [].concat(_toConsumableArray(className), _toConsumableArray(node.properties ? node.properties.className : []));\n\n if (node.children) {\n return parseNodes(node.children, classes);\n }\n\n return {\n text: node.value,\n classes: classes\n };\n });\n }\n\n blocks.forEach(function (block) {\n var startPos = block.pos + 1;\n var nodes = low.highlightAuto(block.node.textContent).value;\n flatten(parseNodes(nodes)).map(function (node) {\n var from = startPos;\n var to = from + node.text.length;\n startPos = to;\n return _objectSpread2(_objectSpread2({}, node), {}, {\n from: from,\n to: to\n });\n }).forEach(function (node) {\n var decoration = Decoration.inline(node.from, node.to, {\n class: node.classes.join(' ')\n });\n decorations.push(decoration);\n });\n });\n return DecorationSet.create(doc, decorations);\n}\n\nfunction HighlightPlugin(_ref2) {\n var name = _ref2.name;\n return new Plugin({\n name: new PluginKey('highlight'),\n state: {\n init: function init(_, _ref3) {\n var doc = _ref3.doc;\n return getDecorations({\n doc: doc,\n name: name\n });\n },\n apply: function apply(transaction, decorationSet, oldState, newState) {\n // TODO: find way to cache decorations\n // https://discuss.prosemirror.net/t/how-to-update-multiple-inline-decorations-on-node-change/1493\n var oldNodeName = oldState.selection.$head.parent.type.name;\n var newNodeName = newState.selection.$head.parent.type.name;\n var oldNodes = findBlockNodes(oldState.doc).filter(function (item) {\n return item.node.type.name === name;\n });\n var newNodes = findBlockNodes(newState.doc).filter(function (item) {\n return item.node.type.name === name;\n }); // Apply decorations if selection includes named node, or transaction changes named node.\n\n if (transaction.docChanged && ([oldNodeName, newNodeName].includes(name) || newNodes.length !== oldNodes.length)) {\n return getDecorations({\n doc: transaction.doc,\n name: name\n });\n }\n\n return decorationSet.map(transaction.mapping, transaction.doc);\n }\n },\n props: {\n decorations: function decorations(state) {\n return this.getState(state);\n }\n }\n });\n}\n\nvar CodeBlockHighlight = /*#__PURE__*/function (_Node) {\n _inherits(CodeBlockHighlight, _Node);\n\n var _super = _createSuper(CodeBlockHighlight);\n\n function CodeBlockHighlight() {\n var _this;\n\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, CodeBlockHighlight);\n\n _this = _super.call(this, options);\n\n try {\n Object.entries(_this.options.languages).forEach(function (_ref) {\n var _ref2 = _slicedToArray(_ref, 2),\n name = _ref2[0],\n mapping = _ref2[1];\n\n low.registerLanguage(name, mapping);\n });\n } catch (err) {\n throw new Error('Invalid syntax highlight definitions: define at least one highlight.js language mapping');\n }\n\n return _this;\n }\n\n _createClass(CodeBlockHighlight, [{\n key: \"commands\",\n value: function commands(_ref3) {\n var type = _ref3.type,\n schema = _ref3.schema;\n return function () {\n return toggleBlockType(type, schema.nodes.paragraph);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref4) {\n var type = _ref4.type;\n return {\n 'Shift-Ctrl-\\\\': setBlockType(type)\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref5) {\n var type = _ref5.type;\n return [textblockTypeInputRule(/^```$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'code_block';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n languages: {}\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'text*',\n marks: '',\n group: 'block',\n code: true,\n defining: true,\n draggable: false,\n parseDOM: [{\n tag: 'pre',\n preserveWhitespace: 'full'\n }],\n toDOM: function toDOM() {\n return ['pre', ['code', 0]];\n }\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [HighlightPlugin({\n name: this.name\n })];\n }\n }]);\n\n return CodeBlockHighlight;\n}(Node);\n\nvar HardBreak = /*#__PURE__*/function (_Node) {\n _inherits(HardBreak, _Node);\n\n var _super = _createSuper(HardBreak);\n\n function HardBreak() {\n _classCallCheck(this, HardBreak);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(HardBreak, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n var command = chainCommands(exitCode, function (state, dispatch) {\n dispatch(state.tr.replaceSelectionWith(type.create()).scrollIntoView());\n return true;\n });\n return {\n 'Mod-Enter': command,\n 'Shift-Enter': command\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'hard_break';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n inline: true,\n group: 'inline',\n selectable: false,\n parseDOM: [{\n tag: 'br'\n }],\n toDOM: function toDOM() {\n return ['br'];\n }\n };\n }\n }]);\n\n return HardBreak;\n}(Node);\n\nvar Heading = /*#__PURE__*/function (_Node) {\n _inherits(Heading, _Node);\n\n var _super = _createSuper(Heading);\n\n function Heading() {\n _classCallCheck(this, Heading);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Heading, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function (attrs) {\n return toggleBlockType(type, schema.nodes.paragraph, attrs);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref2) {\n var type = _ref2.type;\n return this.options.levels.reduce(function (items, level) {\n return _objectSpread2(_objectSpread2({}, items), _defineProperty({}, \"Shift-Ctrl-\".concat(level), setBlockType(type, {\n level: level\n })));\n }, {});\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return this.options.levels.map(function (level) {\n return textblockTypeInputRule(new RegExp(\"^(#{1,\".concat(level, \"})\\\\s$\")), type, function () {\n return {\n level: level\n };\n });\n });\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'heading';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n levels: [1, 2, 3, 4, 5, 6]\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n attrs: {\n level: {\n default: 1\n }\n },\n content: 'inline*',\n group: 'block',\n defining: true,\n draggable: false,\n parseDOM: this.options.levels.map(function (level) {\n return {\n tag: \"h\".concat(level),\n attrs: {\n level: level\n }\n };\n }),\n toDOM: function toDOM(node) {\n return [\"h\".concat(node.attrs.level), 0];\n }\n };\n }\n }]);\n\n return Heading;\n}(Node);\n\nvar HorizontalRule = /*#__PURE__*/function (_Node) {\n _inherits(HorizontalRule, _Node);\n\n var _super = _createSuper(HorizontalRule);\n\n function HorizontalRule() {\n _classCallCheck(this, HorizontalRule);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(HorizontalRule, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type;\n return function () {\n return function (state, dispatch) {\n return dispatch(state.tr.replaceSelectionWith(type.create()));\n };\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref2) {\n var type = _ref2.type;\n return [nodeInputRule(/^(?:---|___\\s|\\*\\*\\*\\s)$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'horizontal_rule';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n group: 'block',\n parseDOM: [{\n tag: 'hr'\n }],\n toDOM: function toDOM() {\n return ['hr'];\n }\n };\n }\n }]);\n\n return HorizontalRule;\n}(Node);\n\n/**\n * Matches following attributes in Markdown-typed image: [, alt, src, title]\n *\n * Example:\n * ![Lorem](image.jpg) -> [, \"Lorem\", \"image.jpg\"]\n * ![](image.jpg \"Ipsum\") -> [, \"\", \"image.jpg\", \"Ipsum\"]\n * ![Lorem](image.jpg \"Ipsum\") -> [, \"Lorem\", \"image.jpg\", \"Ipsum\"]\n */\n\nvar IMAGE_INPUT_REGEX = /!\\[(.+|:?)]\\((\\S+)(?:(?:\\s+)[\"'](\\S+)[\"'])?\\)/;\n\nvar Image = /*#__PURE__*/function (_Node) {\n _inherits(Image, _Node);\n\n var _super = _createSuper(Image);\n\n function Image() {\n _classCallCheck(this, Image);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Image, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type;\n return function (attrs) {\n return function (state, dispatch) {\n var selection = state.selection;\n var position = selection.$cursor ? selection.$cursor.pos : selection.$to.pos;\n var node = type.create(attrs);\n var transaction = state.tr.insert(position, node);\n dispatch(transaction);\n };\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref2) {\n var type = _ref2.type;\n return [nodeInputRule(IMAGE_INPUT_REGEX, type, function (match) {\n var _match = _slicedToArray(match, 4),\n alt = _match[1],\n src = _match[2],\n title = _match[3];\n\n return {\n src: src,\n alt: alt,\n title: title\n };\n })];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'image';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n inline: true,\n attrs: {\n src: {},\n alt: {\n default: null\n },\n title: {\n default: null\n }\n },\n group: 'inline',\n draggable: true,\n parseDOM: [{\n tag: 'img[src]',\n getAttrs: function getAttrs(dom) {\n return {\n src: dom.getAttribute('src'),\n title: dom.getAttribute('title'),\n alt: dom.getAttribute('alt')\n };\n }\n }],\n toDOM: function toDOM(node) {\n return ['img', node.attrs];\n }\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [new Plugin({\n props: {\n handleDOMEvents: {\n drop: function drop(view, event) {\n var hasFiles = event.dataTransfer && event.dataTransfer.files && event.dataTransfer.files.length;\n\n if (!hasFiles) {\n return;\n }\n\n var images = Array.from(event.dataTransfer.files).filter(function (file) {\n return /image/i.test(file.type);\n });\n\n if (images.length === 0) {\n return;\n }\n\n event.preventDefault();\n var schema = view.state.schema;\n var coordinates = view.posAtCoords({\n left: event.clientX,\n top: event.clientY\n });\n images.forEach(function (image) {\n var reader = new FileReader();\n\n reader.onload = function (readerEvent) {\n var node = schema.nodes.image.create({\n src: readerEvent.target.result\n });\n var transaction = view.state.tr.insert(coordinates.pos, node);\n view.dispatch(transaction);\n };\n\n reader.readAsDataURL(image);\n });\n }\n }\n }\n })];\n }\n }]);\n\n return Image;\n}(Node);\n\nvar ListItem = /*#__PURE__*/function (_Node) {\n _inherits(ListItem, _Node);\n\n var _super = _createSuper(ListItem);\n\n function ListItem() {\n _classCallCheck(this, ListItem);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(ListItem, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n Enter: splitListItem(type),\n Tab: sinkListItem(type),\n 'Shift-Tab': liftListItem(type)\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'list_item';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n content: 'paragraph block*',\n defining: true,\n draggable: false,\n parseDOM: [{\n tag: 'li'\n }],\n toDOM: function toDOM() {\n return ['li', 0];\n }\n };\n }\n }]);\n\n return ListItem;\n}(Node);\n\nfunction triggerCharacter(_ref) {\n var _ref$char = _ref.char,\n char = _ref$char === void 0 ? '@' : _ref$char,\n _ref$allowSpaces = _ref.allowSpaces,\n allowSpaces = _ref$allowSpaces === void 0 ? false : _ref$allowSpaces,\n _ref$startOfLine = _ref.startOfLine,\n startOfLine = _ref$startOfLine === void 0 ? false : _ref$startOfLine;\n return function ($position) {\n // cancel if top level node\n if ($position.depth <= 0) {\n return false;\n } // Matching expressions used for later\n\n\n var escapedChar = \"\\\\\".concat(char);\n var suffix = new RegExp(\"\\\\s\".concat(escapedChar, \"$\"));\n var prefix = startOfLine ? '^' : '';\n var regexp = allowSpaces ? new RegExp(\"\".concat(prefix).concat(escapedChar, \".*?(?=\\\\s\").concat(escapedChar, \"|$)\"), 'gm') : new RegExp(\"\".concat(prefix, \"(?:^)?\").concat(escapedChar, \"[^\\\\s\").concat(escapedChar, \"]*\"), 'gm'); // Lookup the boundaries of the current node\n\n var textFrom = $position.before();\n var textTo = $position.end();\n var text = $position.doc.textBetween(textFrom, textTo, '\\0', '\\0');\n var match = regexp.exec(text);\n var position;\n\n while (match !== null) {\n // JavaScript doesn't have lookbehinds; this hacks a check that first character is \" \"\n // or the line beginning\n var matchPrefix = match.input.slice(Math.max(0, match.index - 1), match.index);\n\n if (/^[\\s\\0]?$/.test(matchPrefix)) {\n // The absolute position of the match in the document\n var from = match.index + $position.start();\n var to = from + match[0].length; // Edge case handling; if spaces are allowed and we're directly in between\n // two triggers\n\n if (allowSpaces && suffix.test(text.slice(to - 1, to + 1))) {\n match[0] += ' ';\n to += 1;\n } // If the $position is located within the matched substring, return that range\n\n\n if (from < $position.pos && to >= $position.pos) {\n position = {\n range: {\n from: from,\n to: to\n },\n query: match[0].slice(char.length),\n text: match[0]\n };\n }\n }\n\n match = regexp.exec(text);\n }\n\n return position;\n };\n}\n\nfunction SuggestionsPlugin(_ref2) {\n var _ref2$matcher = _ref2.matcher,\n matcher = _ref2$matcher === void 0 ? {\n char: '@',\n allowSpaces: false,\n startOfLine: false\n } : _ref2$matcher,\n _ref2$appendText = _ref2.appendText,\n appendText = _ref2$appendText === void 0 ? null : _ref2$appendText,\n _ref2$suggestionClass = _ref2.suggestionClass,\n suggestionClass = _ref2$suggestionClass === void 0 ? 'suggestion' : _ref2$suggestionClass,\n _ref2$command = _ref2.command,\n _command = _ref2$command === void 0 ? function () {\n return false;\n } : _ref2$command,\n _ref2$items = _ref2.items,\n items = _ref2$items === void 0 ? [] : _ref2$items,\n _ref2$onEnter = _ref2.onEnter,\n onEnter = _ref2$onEnter === void 0 ? function () {\n return false;\n } : _ref2$onEnter,\n _ref2$onChange = _ref2.onChange,\n onChange = _ref2$onChange === void 0 ? function () {\n return false;\n } : _ref2$onChange,\n _ref2$onExit = _ref2.onExit,\n onExit = _ref2$onExit === void 0 ? function () {\n return false;\n } : _ref2$onExit,\n _ref2$onKeyDown = _ref2.onKeyDown,\n onKeyDown = _ref2$onKeyDown === void 0 ? function () {\n return false;\n } : _ref2$onKeyDown,\n _ref2$onFilter = _ref2.onFilter,\n onFilter = _ref2$onFilter === void 0 ? function (searchItems, query) {\n if (!query) {\n return searchItems;\n }\n\n return searchItems.filter(function (item) {\n return JSON.stringify(item).toLowerCase().includes(query.toLowerCase());\n });\n } : _ref2$onFilter;\n\n return new Plugin$1({\n key: new PluginKey$1('suggestions'),\n view: function view() {\n var _this = this;\n\n return {\n update: function () {\n var _update = _asyncToGenerator( /*#__PURE__*/regeneratorRuntime.mark(function _callee(view, prevState) {\n var prev, next, moved, started, stopped, changed, handleStart, handleChange, handleExit, state, decorationNode, virtualNode, props;\n return regeneratorRuntime.wrap(function _callee$(_context) {\n while (1) {\n switch (_context.prev = _context.next) {\n case 0:\n prev = _this.key.getState(prevState);\n next = _this.key.getState(view.state); // See how the state changed\n\n moved = prev.active && next.active && prev.range.from !== next.range.from;\n started = !prev.active && next.active;\n stopped = prev.active && !next.active;\n changed = !started && !stopped && prev.query !== next.query;\n handleStart = started || moved;\n handleChange = changed && !moved;\n handleExit = stopped || moved; // Cancel when suggestion isn't active\n\n if (!(!handleStart && !handleChange && !handleExit)) {\n _context.next = 11;\n break;\n }\n\n return _context.abrupt(\"return\");\n\n case 11:\n state = handleExit ? prev : next;\n decorationNode = document.querySelector(\"[data-decoration-id=\\\"\".concat(state.decorationId, \"\\\"]\")); // build a virtual node for popper.js or tippy.js\n // this can be used for building popups without a DOM node\n\n virtualNode = decorationNode ? {\n getBoundingClientRect: function getBoundingClientRect() {\n return decorationNode.getBoundingClientRect();\n },\n clientWidth: decorationNode.clientWidth,\n clientHeight: decorationNode.clientHeight\n } : null;\n _context.t0 = view;\n _context.t1 = state.range;\n _context.t2 = state.query;\n _context.t3 = state.text;\n _context.t4 = decorationNode;\n _context.t5 = virtualNode;\n\n if (!(handleChange || handleStart)) {\n _context.next = 36;\n break;\n }\n\n _context.t7 = onFilter;\n\n if (!Array.isArray(items)) {\n _context.next = 26;\n break;\n }\n\n _context.t8 = items;\n _context.next = 29;\n break;\n\n case 26:\n _context.next = 28;\n return items();\n\n case 28:\n _context.t8 = _context.sent;\n\n case 29:\n _context.t9 = _context.t8;\n _context.t10 = state.query;\n _context.next = 33;\n return (0, _context.t7)(_context.t9, _context.t10);\n\n case 33:\n _context.t6 = _context.sent;\n _context.next = 37;\n break;\n\n case 36:\n _context.t6 = [];\n\n case 37:\n _context.t11 = _context.t6;\n\n _context.t12 = function command(_ref3) {\n var range = _ref3.range,\n attrs = _ref3.attrs;\n\n _command({\n range: range,\n attrs: attrs,\n schema: view.state.schema\n })(view.state, view.dispatch, view);\n\n if (appendText) {\n insertText(appendText)(view.state, view.dispatch, view);\n }\n };\n\n props = {\n view: _context.t0,\n range: _context.t1,\n query: _context.t2,\n text: _context.t3,\n decorationNode: _context.t4,\n virtualNode: _context.t5,\n items: _context.t11,\n command: _context.t12\n };\n\n // Trigger the hooks when necessary\n if (handleExit) {\n onExit(props);\n }\n\n if (handleChange) {\n onChange(props);\n }\n\n if (handleStart) {\n onEnter(props);\n }\n\n case 43:\n case \"end\":\n return _context.stop();\n }\n }\n }, _callee);\n }));\n\n function update(_x, _x2) {\n return _update.apply(this, arguments);\n }\n\n return update;\n }()\n };\n },\n state: {\n // Initialize the plugin's internal state.\n init: function init() {\n return {\n active: false,\n range: {},\n query: null,\n text: null\n };\n },\n // Apply changes to the plugin state from a view transaction.\n apply: function apply(tr, prev) {\n var selection = tr.selection;\n\n var next = _objectSpread2({}, prev); // We can only be suggesting if there is no selection\n\n\n if (selection.from === selection.to) {\n // Reset active state if we just left the previous suggestion range\n if (selection.from < prev.range.from || selection.from > prev.range.to) {\n next.active = false;\n } // Try to match against where our cursor currently is\n\n\n var $position = selection.$from;\n var match = triggerCharacter(matcher)($position);\n var decorationId = (Math.random() + 1).toString(36).substr(2, 5); // If we found a match, update the current state to show it\n\n if (match) {\n next.active = true;\n next.decorationId = prev.decorationId ? prev.decorationId : decorationId;\n next.range = match.range;\n next.query = match.query;\n next.text = match.text;\n } else {\n next.active = false;\n }\n } else {\n next.active = false;\n } // Make sure to empty the range if suggestion is inactive\n\n\n if (!next.active) {\n next.decorationId = null;\n next.range = {};\n next.query = null;\n next.text = null;\n }\n\n return next;\n }\n },\n props: {\n // Call the keydown hook if suggestion is active.\n handleKeyDown: function handleKeyDown(view, event) {\n var _this$getState = this.getState(view.state),\n active = _this$getState.active,\n range = _this$getState.range;\n\n if (!active) return false;\n return onKeyDown({\n view: view,\n event: event,\n range: range\n });\n },\n // Setup decorator on the currently active suggestion.\n decorations: function decorations(editorState) {\n var _this$getState2 = this.getState(editorState),\n active = _this$getState2.active,\n range = _this$getState2.range,\n decorationId = _this$getState2.decorationId;\n\n if (!active) return null;\n return DecorationSet.create(editorState.doc, [Decoration.inline(range.from, range.to, {\n nodeName: 'span',\n class: suggestionClass,\n 'data-decoration-id': decorationId\n })]);\n }\n }\n });\n}\n\nvar Mention = /*#__PURE__*/function (_Node) {\n _inherits(Mention, _Node);\n\n var _super = _createSuper(Mention);\n\n function Mention() {\n _classCallCheck(this, Mention);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Mention, [{\n key: \"commands\",\n value: function commands(_ref) {\n var _this = this;\n\n var schema = _ref.schema;\n return function (attrs) {\n return replaceText(null, schema.nodes[_this.name], attrs);\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'mention';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n matcher: {\n char: '@',\n allowSpaces: false,\n startOfLine: false\n },\n mentionClass: 'mention',\n suggestionClass: 'mention-suggestion'\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n var _this2 = this;\n\n return {\n attrs: {\n id: {},\n label: {}\n },\n group: 'inline',\n inline: true,\n selectable: false,\n atom: true,\n toDOM: function toDOM(node) {\n return ['span', {\n class: _this2.options.mentionClass,\n 'data-mention-id': node.attrs.id\n }, \"\".concat(_this2.options.matcher.char).concat(node.attrs.label)];\n },\n parseDOM: [{\n tag: 'span[data-mention-id]',\n getAttrs: function getAttrs(dom) {\n var id = dom.getAttribute('data-mention-id');\n var label = dom.innerText.split(_this2.options.matcher.char).join('');\n return {\n id: id,\n label: label\n };\n }\n }]\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n var _this3 = this;\n\n return [SuggestionsPlugin({\n command: function command(_ref2) {\n var range = _ref2.range,\n attrs = _ref2.attrs,\n schema = _ref2.schema;\n return replaceText(range, schema.nodes[_this3.name], attrs);\n },\n appendText: ' ',\n matcher: this.options.matcher,\n items: this.options.items,\n onEnter: this.options.onEnter,\n onChange: this.options.onChange,\n onExit: this.options.onExit,\n onKeyDown: this.options.onKeyDown,\n onFilter: this.options.onFilter,\n suggestionClass: this.options.suggestionClass\n })];\n }\n }]);\n\n return Mention;\n}(Node);\n\nvar OrderedList = /*#__PURE__*/function (_Node) {\n _inherits(OrderedList, _Node);\n\n var _super = _createSuper(OrderedList);\n\n function OrderedList() {\n _classCallCheck(this, OrderedList);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(OrderedList, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function () {\n return toggleList(type, schema.nodes.list_item);\n };\n }\n }, {\n key: \"keys\",\n value: function keys(_ref2) {\n var type = _ref2.type,\n schema = _ref2.schema;\n return {\n 'Shift-Ctrl-9': toggleList(type, schema.nodes.list_item)\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [wrappingInputRule(/^(\\d+)\\.\\s$/, type, function (match) {\n return {\n order: +match[1]\n };\n }, function (match, node) {\n return node.childCount + node.attrs.order === +match[1];\n })];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'ordered_list';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n attrs: {\n order: {\n default: 1\n }\n },\n content: 'list_item+',\n group: 'block',\n parseDOM: [{\n tag: 'ol',\n getAttrs: function getAttrs(dom) {\n return {\n order: dom.hasAttribute('start') ? +dom.getAttribute('start') : 1\n };\n }\n }],\n toDOM: function toDOM(node) {\n return node.attrs.order === 1 ? ['ol', 0] : ['ol', {\n start: node.attrs.order\n }, 0];\n }\n };\n }\n }]);\n\n return OrderedList;\n}(Node);\n\nvar TableNodes = tableNodes({\n tableGroup: 'block',\n cellContent: 'block+',\n cellAttributes: {\n background: {\n default: null,\n getFromDOM: function getFromDOM(dom) {\n return dom.style.backgroundColor || null;\n },\n setDOMAttr: function setDOMAttr(value, attrs) {\n if (value) {\n var style = {\n style: \"\".concat(attrs.style || '', \"background-color: \").concat(value, \";\")\n };\n Object.assign(attrs, style);\n }\n }\n }\n }\n});\n\nvar Table = /*#__PURE__*/function (_Node) {\n _inherits(Table, _Node);\n\n var _super = _createSuper(Table);\n\n function Table() {\n _classCallCheck(this, Table);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Table, [{\n key: \"commands\",\n value: function commands(_ref) {\n var schema = _ref.schema;\n return {\n createTable: function createTable$1(_ref2) {\n var rowsCount = _ref2.rowsCount,\n colsCount = _ref2.colsCount,\n withHeaderRow = _ref2.withHeaderRow;\n return function (state, dispatch) {\n var offset = state.tr.selection.anchor + 1;\n\n var nodes = createTable(schema, rowsCount, colsCount, withHeaderRow);\n\n var tr = state.tr.replaceSelectionWith(nodes).scrollIntoView();\n var resolvedPos = tr.doc.resolve(offset);\n tr.setSelection(TextSelection.near(resolvedPos));\n dispatch(tr);\n };\n },\n addColumnBefore: function addColumnBefore$1() {\n return addColumnBefore;\n },\n addColumnAfter: function addColumnAfter$1() {\n return addColumnAfter;\n },\n deleteColumn: function deleteColumn$1() {\n return deleteColumn;\n },\n addRowBefore: function addRowBefore$1() {\n return addRowBefore;\n },\n addRowAfter: function addRowAfter$1() {\n return addRowAfter;\n },\n deleteRow: function deleteRow$1() {\n return deleteRow;\n },\n deleteTable: function deleteTable$1() {\n return deleteTable;\n },\n toggleCellMerge: function toggleCellMerge() {\n return function (state, dispatch) {\n if (mergeCells(state, dispatch)) {\n return;\n }\n\n splitCell(state, dispatch);\n };\n },\n mergeCells: function mergeCells$1() {\n return mergeCells;\n },\n splitCell: function splitCell$1() {\n return splitCell;\n },\n toggleHeaderColumn: function toggleHeaderColumn$1() {\n return toggleHeaderColumn;\n },\n toggleHeaderRow: function toggleHeaderRow$1() {\n return toggleHeaderRow;\n },\n toggleHeaderCell: function toggleHeaderCell$1() {\n return toggleHeaderCell;\n },\n setCellAttr: function setCellAttr$1() {\n return setCellAttr;\n },\n fixTables: function fixTables$1() {\n return fixTables;\n }\n };\n }\n }, {\n key: \"keys\",\n value: function keys() {\n return {\n Tab: goToNextCell(1),\n 'Shift-Tab': goToNextCell(-1)\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'table';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n resizable: false\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n return TableNodes.table;\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [].concat(_toConsumableArray(this.options.resizable ? [columnResizing()] : []), [tableEditing()]);\n }\n }]);\n\n return Table;\n}(Node);\n\nvar TableHeader = /*#__PURE__*/function (_Node) {\n _inherits(TableHeader, _Node);\n\n var _super = _createSuper(TableHeader);\n\n function TableHeader() {\n _classCallCheck(this, TableHeader);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TableHeader, [{\n key: \"name\",\n get: function get() {\n return 'table_header';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return TableNodes.table_header;\n }\n }]);\n\n return TableHeader;\n}(Node);\n\nvar TableCell = /*#__PURE__*/function (_Node) {\n _inherits(TableCell, _Node);\n\n var _super = _createSuper(TableCell);\n\n function TableCell() {\n _classCallCheck(this, TableCell);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TableCell, [{\n key: \"name\",\n get: function get() {\n return 'table_cell';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return TableNodes.table_cell;\n }\n }]);\n\n return TableCell;\n}(Node);\n\nvar TableRow = /*#__PURE__*/function (_Node) {\n _inherits(TableRow, _Node);\n\n var _super = _createSuper(TableRow);\n\n function TableRow() {\n _classCallCheck(this, TableRow);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TableRow, [{\n key: \"name\",\n get: function get() {\n return 'table_row';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return TableNodes.table_row;\n }\n }]);\n\n return TableRow;\n}(Node);\n\nvar TodoItem = /*#__PURE__*/function (_Node) {\n _inherits(TodoItem, _Node);\n\n var _super = _createSuper(TodoItem);\n\n function TodoItem() {\n _classCallCheck(this, TodoItem);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TodoItem, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n Enter: splitToDefaultListItem(type),\n Tab: this.options.nested ? sinkListItem(type) : function () {},\n 'Shift-Tab': liftListItem(type)\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'todo_item';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n nested: false\n };\n }\n }, {\n key: \"view\",\n get: function get() {\n return {\n props: ['node', 'updateAttrs', 'view'],\n methods: {\n onChange: function onChange() {\n this.updateAttrs({\n done: !this.node.attrs.done\n });\n }\n },\n template: \"\\n <li :data-type=\\\"node.type.name\\\" :data-done=\\\"node.attrs.done.toString()\\\" data-drag-handle>\\n <span class=\\\"todo-checkbox\\\" contenteditable=\\\"false\\\" @click=\\\"onChange\\\"></span>\\n <div class=\\\"todo-content\\\" ref=\\\"content\\\" :contenteditable=\\\"view.editable.toString()\\\"></div>\\n </li>\\n \"\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n var _this = this;\n\n return {\n attrs: {\n done: {\n default: false\n }\n },\n draggable: true,\n content: this.options.nested ? '(paragraph|todo_list)+' : 'paragraph+',\n toDOM: function toDOM(node) {\n var done = node.attrs.done;\n return ['li', {\n 'data-type': _this.name,\n 'data-done': done.toString()\n }, ['span', {\n class: 'todo-checkbox',\n contenteditable: 'false'\n }], ['div', {\n class: 'todo-content'\n }, 0]];\n },\n parseDOM: [{\n priority: 51,\n tag: \"[data-type=\\\"\".concat(this.name, \"\\\"]\"),\n getAttrs: function getAttrs(dom) {\n return {\n done: dom.getAttribute('data-done') === 'true'\n };\n }\n }]\n };\n }\n }]);\n\n return TodoItem;\n}(Node);\n\nvar TodoList = /*#__PURE__*/function (_Node) {\n _inherits(TodoList, _Node);\n\n var _super = _createSuper(TodoList);\n\n function TodoList() {\n _classCallCheck(this, TodoList);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TodoList, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type,\n schema = _ref.schema;\n return function () {\n return toggleList(type, schema.nodes.todo_item);\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref2) {\n var type = _ref2.type;\n return [wrappingInputRule(/^\\s*(\\[ \\])\\s$/, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'todo_list';\n }\n }, {\n key: \"schema\",\n get: function get() {\n var _this = this;\n\n return {\n group: 'block',\n content: 'todo_item+',\n toDOM: function toDOM() {\n return ['ul', {\n 'data-type': _this.name\n }, 0];\n },\n parseDOM: [{\n priority: 51,\n tag: \"[data-type=\\\"\".concat(this.name, \"\\\"]\")\n }]\n };\n }\n }]);\n\n return TodoList;\n}(Node);\n\nvar Bold = /*#__PURE__*/function (_Mark) {\n _inherits(Bold, _Mark);\n\n var _super = _createSuper(Bold);\n\n function Bold() {\n _classCallCheck(this, Bold);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Bold, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n 'Mod-b': toggleMark(type)\n };\n }\n }, {\n key: \"commands\",\n value: function commands(_ref2) {\n var type = _ref2.type;\n return function () {\n return toggleMark(type);\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [markInputRule(/(?:\\*\\*|__)([^*_]+)(?:\\*\\*|__)$/, type)];\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref4) {\n var type = _ref4.type;\n return [markPasteRule(/(?:\\*\\*|__)([^*_]+)(?:\\*\\*|__)/g, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'bold';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n parseDOM: [{\n tag: 'strong'\n }, {\n tag: 'b',\n getAttrs: function getAttrs(node) {\n return node.style.fontWeight !== 'normal' && null;\n }\n }, {\n style: 'font-weight',\n getAttrs: function getAttrs(value) {\n return /^(bold(er)?|[5-9]\\d{2,})$/.test(value) && null;\n }\n }],\n toDOM: function toDOM() {\n return ['strong', 0];\n }\n };\n }\n }]);\n\n return Bold;\n}(Mark);\n\nvar Code = /*#__PURE__*/function (_Mark) {\n _inherits(Code, _Mark);\n\n var _super = _createSuper(Code);\n\n function Code() {\n _classCallCheck(this, Code);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Code, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n 'Mod-`': toggleMark(type)\n };\n }\n }, {\n key: \"commands\",\n value: function commands(_ref2) {\n var type = _ref2.type;\n return function () {\n return toggleMark(type);\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [markInputRule(/(?:`)([^`]+)(?:`)$/, type)];\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref4) {\n var type = _ref4.type;\n return [markPasteRule(/(?:`)([^`]+)(?:`)/g, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'code';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n excludes: '_',\n parseDOM: [{\n tag: 'code'\n }],\n toDOM: function toDOM() {\n return ['code', 0];\n }\n };\n }\n }]);\n\n return Code;\n}(Mark);\n\nvar Italic = /*#__PURE__*/function (_Mark) {\n _inherits(Italic, _Mark);\n\n var _super = _createSuper(Italic);\n\n function Italic() {\n _classCallCheck(this, Italic);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Italic, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n 'Mod-i': toggleMark(type)\n };\n }\n }, {\n key: \"commands\",\n value: function commands(_ref2) {\n var type = _ref2.type;\n return function () {\n return toggleMark(type);\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [markInputRule(/(?:^|[^_])(_([^_]+)_)$/, type), markInputRule(/(?:^|[^*])(\\*([^*]+)\\*)$/, type)];\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref4) {\n var type = _ref4.type;\n return [markPasteRule(/_([^_]+)_/g, type), markPasteRule(/\\*([^*]+)\\*/g, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'italic';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n parseDOM: [{\n tag: 'i'\n }, {\n tag: 'em'\n }, {\n style: 'font-style=italic'\n }],\n toDOM: function toDOM() {\n return ['em', 0];\n }\n };\n }\n }]);\n\n return Italic;\n}(Mark);\n\nvar Link = /*#__PURE__*/function (_Mark) {\n _inherits(Link, _Mark);\n\n var _super = _createSuper(Link);\n\n function Link() {\n _classCallCheck(this, Link);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Link, [{\n key: \"commands\",\n value: function commands(_ref) {\n var type = _ref.type;\n return function (attrs) {\n if (attrs.href) {\n return updateMark(type, attrs);\n }\n\n return removeMark(type);\n };\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref2) {\n var type = _ref2.type;\n return [pasteRule(/https?:\\/\\/(www\\.)?[-a-zA-Z0-9@:%._+~#=]{1,256}\\.[a-zA-Z]{2,}\\b([-a-zA-Z0-9@:%_+.~#?&//=]*)/gi, type, function (url) {\n return {\n href: url\n };\n })];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'link';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n openOnClick: true,\n target: null\n };\n }\n }, {\n key: \"schema\",\n get: function get() {\n var _this = this;\n\n return {\n attrs: {\n href: {\n default: null\n },\n target: {\n default: null\n }\n },\n inclusive: false,\n parseDOM: [{\n tag: 'a[href]',\n getAttrs: function getAttrs(dom) {\n return {\n href: dom.getAttribute('href'),\n target: dom.getAttribute('target')\n };\n }\n }],\n toDOM: function toDOM(node) {\n return ['a', _objectSpread2(_objectSpread2({}, node.attrs), {}, {\n rel: 'noopener noreferrer nofollow',\n target: _this.options.target\n }), 0];\n }\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n if (!this.options.openOnClick) {\n return [];\n }\n\n return [new Plugin({\n props: {\n handleClick: function handleClick(view, pos, event) {\n var schema = view.state.schema;\n var attrs = getMarkAttrs(view.state, schema.marks.link);\n\n if (attrs.href && event.target instanceof HTMLAnchorElement) {\n event.stopPropagation();\n window.open(attrs.href, attrs.target);\n }\n }\n }\n })];\n }\n }]);\n\n return Link;\n}(Mark);\n\nvar Strike = /*#__PURE__*/function (_Mark) {\n _inherits(Strike, _Mark);\n\n var _super = _createSuper(Strike);\n\n function Strike() {\n _classCallCheck(this, Strike);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Strike, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n 'Mod-d': toggleMark(type)\n };\n }\n }, {\n key: \"commands\",\n value: function commands(_ref2) {\n var type = _ref2.type;\n return function () {\n return toggleMark(type);\n };\n }\n }, {\n key: \"inputRules\",\n value: function inputRules(_ref3) {\n var type = _ref3.type;\n return [markInputRule(/~([^~]+)~$/, type)];\n }\n }, {\n key: \"pasteRules\",\n value: function pasteRules(_ref4) {\n var type = _ref4.type;\n return [markPasteRule(/~([^~]+)~/g, type)];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'strike';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n parseDOM: [{\n tag: 's'\n }, {\n tag: 'del'\n }, {\n tag: 'strike'\n }, {\n style: 'text-decoration',\n getAttrs: function getAttrs(value) {\n return value === 'line-through';\n }\n }],\n toDOM: function toDOM() {\n return ['s', 0];\n }\n };\n }\n }]);\n\n return Strike;\n}(Mark);\n\nvar Underline = /*#__PURE__*/function (_Mark) {\n _inherits(Underline, _Mark);\n\n var _super = _createSuper(Underline);\n\n function Underline() {\n _classCallCheck(this, Underline);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Underline, [{\n key: \"keys\",\n value: function keys(_ref) {\n var type = _ref.type;\n return {\n 'Mod-u': toggleMark(type)\n };\n }\n }, {\n key: \"commands\",\n value: function commands(_ref2) {\n var type = _ref2.type;\n return function () {\n return toggleMark(type);\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'underline';\n }\n }, {\n key: \"schema\",\n get: function get() {\n return {\n parseDOM: [{\n tag: 'u'\n }, {\n style: 'text-decoration',\n getAttrs: function getAttrs(value) {\n return value === 'underline';\n }\n }],\n toDOM: function toDOM() {\n return ['u', 0];\n }\n };\n }\n }]);\n\n return Underline;\n}(Mark);\n\nvar Collaboration = /*#__PURE__*/function (_Extension) {\n _inherits(Collaboration, _Extension);\n\n var _super = _createSuper(Collaboration);\n\n function Collaboration() {\n _classCallCheck(this, Collaboration);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Collaboration, [{\n key: \"init\",\n value: function init() {\n var _this = this;\n\n this.getSendableSteps = this.debounce(function (state) {\n var sendable = sendableSteps(state);\n\n if (sendable) {\n _this.options.onSendable({\n editor: _this.editor,\n sendable: {\n version: sendable.version,\n steps: sendable.steps.map(function (step) {\n return step.toJSON();\n }),\n clientID: sendable.clientID\n }\n });\n }\n }, this.options.debounce);\n this.editor.on('transaction', function (_ref) {\n var state = _ref.state;\n\n _this.getSendableSteps(state);\n });\n }\n }, {\n key: \"debounce\",\n value: function debounce(fn, delay) {\n var timeout;\n return function () {\n for (var _len = arguments.length, args = new Array(_len), _key = 0; _key < _len; _key++) {\n args[_key] = arguments[_key];\n }\n\n if (timeout) {\n clearTimeout(timeout);\n }\n\n timeout = setTimeout(function () {\n fn.apply(void 0, args);\n timeout = null;\n }, delay);\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'collaboration';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n var _this2 = this;\n\n return {\n version: 0,\n clientID: Math.floor(Math.random() * 0xFFFFFFFF),\n debounce: 250,\n onSendable: function onSendable() {},\n update: function update(_ref2) {\n var steps = _ref2.steps,\n version = _ref2.version;\n var _this2$editor = _this2.editor,\n state = _this2$editor.state,\n view = _this2$editor.view,\n schema = _this2$editor.schema;\n\n if (getVersion(state) > version) {\n return;\n }\n\n view.dispatch(receiveTransaction(state, steps.map(function (item) {\n return Step.fromJSON(schema, item.step);\n }), steps.map(function (item) {\n return item.clientID;\n })));\n }\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [collab({\n version: this.options.version,\n clientID: this.options.clientID\n })];\n }\n }]);\n\n return Collaboration;\n}(Extension);\n\nvar Focus = /*#__PURE__*/function (_Extension) {\n _inherits(Focus, _Extension);\n\n var _super = _createSuper(Focus);\n\n function Focus() {\n _classCallCheck(this, Focus);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Focus, [{\n key: \"name\",\n get: function get() {\n return 'focus';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n className: 'has-focus',\n nested: false\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n var _this = this;\n\n return [new Plugin({\n props: {\n decorations: function decorations(_ref) {\n var doc = _ref.doc,\n plugins = _ref.plugins,\n selection = _ref.selection;\n var editablePlugin = plugins.find(function (plugin) {\n return plugin.key.startsWith('editable$');\n });\n var editable = editablePlugin.props.editable();\n var active = editable && _this.options.className;\n var focused = _this.editor.focused;\n var anchor = selection.anchor;\n var decorations = [];\n\n if (!active || !focused) {\n return false;\n }\n\n doc.descendants(function (node, pos) {\n var hasAnchor = anchor >= pos && anchor <= pos + node.nodeSize;\n\n if (hasAnchor && !node.isText) {\n var decoration = Decoration.node(pos, pos + node.nodeSize, {\n class: _this.options.className\n });\n decorations.push(decoration);\n }\n\n return _this.options.nested;\n });\n return DecorationSet.create(doc, decorations);\n }\n }\n })];\n }\n }]);\n\n return Focus;\n}(Extension);\n\nvar History = /*#__PURE__*/function (_Extension) {\n _inherits(History, _Extension);\n\n var _super = _createSuper(History);\n\n function History() {\n _classCallCheck(this, History);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(History, [{\n key: \"keys\",\n value: function keys() {\n var keymap = {\n 'Mod-z': undo,\n 'Mod-y': redo,\n 'Shift-Mod-z': redo,\n // Russian language\n 'Mod-я': undo,\n 'Shift-Mod-я': redo\n };\n return keymap;\n }\n }, {\n key: \"commands\",\n value: function commands() {\n return {\n undo: function undo$1() {\n return undo;\n },\n redo: function redo$1() {\n return redo;\n },\n undoDepth: function undoDepth$1() {\n return undoDepth;\n },\n redoDepth: function redoDepth$1() {\n return redoDepth;\n }\n };\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'history';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n depth: '',\n newGroupDelay: ''\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n return [history({\n depth: this.options.depth,\n newGroupDelay: this.options.newGroupDelay\n })];\n }\n }]);\n\n return History;\n}(Extension);\n\nvar Placeholder = /*#__PURE__*/function (_Extension) {\n _inherits(Placeholder, _Extension);\n\n var _super = _createSuper(Placeholder);\n\n function Placeholder() {\n _classCallCheck(this, Placeholder);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(Placeholder, [{\n key: \"name\",\n get: function get() {\n return 'placeholder';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n emptyEditorClass: 'is-editor-empty',\n emptyNodeClass: 'is-empty',\n emptyNodeText: 'Write something …',\n showOnlyWhenEditable: true,\n showOnlyCurrent: true\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n var _this = this;\n\n return [new Plugin({\n props: {\n decorations: function decorations(_ref) {\n var doc = _ref.doc,\n plugins = _ref.plugins,\n selection = _ref.selection;\n var editablePlugin = plugins.find(function (plugin) {\n return plugin.key.startsWith('editable$');\n });\n var editable = editablePlugin.props.editable();\n var active = editable || !_this.options.showOnlyWhenEditable;\n var anchor = selection.anchor;\n var decorations = [];\n var isEditorEmpty = doc.textContent.length === 0;\n\n if (!active) {\n return false;\n }\n\n doc.descendants(function (node, pos) {\n var hasAnchor = anchor >= pos && anchor <= pos + node.nodeSize;\n var isNodeEmpty = node.content.size === 0;\n\n if ((hasAnchor || !_this.options.showOnlyCurrent) && isNodeEmpty) {\n var classes = [_this.options.emptyNodeClass];\n\n if (isEditorEmpty) {\n classes.push(_this.options.emptyEditorClass);\n }\n\n var decoration = Decoration.node(pos, pos + node.nodeSize, {\n class: classes.join(' '),\n 'data-empty-text': typeof _this.options.emptyNodeText === 'function' ? _this.options.emptyNodeText(node) : _this.options.emptyNodeText\n });\n decorations.push(decoration);\n }\n\n return false;\n });\n return DecorationSet.create(doc, decorations);\n }\n }\n })];\n }\n }]);\n\n return Placeholder;\n}(Extension);\n\nvar Search = /*#__PURE__*/function (_Extension) {\n _inherits(Search, _Extension);\n\n var _super = _createSuper(Search);\n\n function Search() {\n var _this;\n\n var options = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {};\n\n _classCallCheck(this, Search);\n\n _this = _super.call(this, options);\n _this.results = [];\n _this.searchTerm = null;\n _this._updating = false;\n return _this;\n }\n\n _createClass(Search, [{\n key: \"commands\",\n value: function commands() {\n var _this2 = this;\n\n return {\n find: function find(attrs) {\n return _this2.find(attrs);\n },\n replace: function replace(attrs) {\n return _this2.replace(attrs);\n },\n replaceAll: function replaceAll(attrs) {\n return _this2.replaceAll(attrs);\n },\n clearSearch: function clearSearch() {\n return _this2.clear();\n }\n };\n }\n }, {\n key: \"_search\",\n value: function _search(doc) {\n var _this3 = this;\n\n this.results = [];\n var mergedTextNodes = [];\n var index = 0;\n\n if (!this.searchTerm) {\n return;\n }\n\n doc.descendants(function (node, pos) {\n if (node.isText) {\n if (mergedTextNodes[index]) {\n mergedTextNodes[index] = {\n text: mergedTextNodes[index].text + node.text,\n pos: mergedTextNodes[index].pos\n };\n } else {\n mergedTextNodes[index] = {\n text: node.text,\n pos: pos\n };\n }\n } else {\n index += 1;\n }\n });\n mergedTextNodes.forEach(function (_ref) {\n var text = _ref.text,\n pos = _ref.pos;\n var search = _this3.findRegExp;\n var m; // eslint-disable-next-line no-cond-assign\n\n while (m = search.exec(text)) {\n if (m[0] === '') {\n break;\n }\n\n _this3.results.push({\n from: pos + m.index,\n to: pos + m.index + m[0].length\n });\n }\n });\n }\n }, {\n key: \"replace\",\n value: function replace(_replace) {\n var _this4 = this;\n\n return function (state, dispatch) {\n var firstResult = _this4.results[0];\n\n if (!firstResult) {\n return;\n }\n\n var _this4$results$ = _this4.results[0],\n from = _this4$results$.from,\n to = _this4$results$.to;\n dispatch(state.tr.insertText(_replace, from, to));\n\n _this4.editor.commands.find(_this4.searchTerm);\n };\n }\n }, {\n key: \"rebaseNextResult\",\n value: function rebaseNextResult(replace, index) {\n var lastOffset = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : 0;\n var nextIndex = index + 1;\n\n if (!this.results[nextIndex]) {\n return null;\n }\n\n var _this$results$index = this.results[index],\n currentFrom = _this$results$index.from,\n currentTo = _this$results$index.to;\n var offset = currentTo - currentFrom - replace.length + lastOffset;\n var _this$results$nextInd = this.results[nextIndex],\n from = _this$results$nextInd.from,\n to = _this$results$nextInd.to;\n this.results[nextIndex] = {\n to: to - offset,\n from: from - offset\n };\n return offset;\n }\n }, {\n key: \"replaceAll\",\n value: function replaceAll(replace) {\n var _this5 = this;\n\n return function (_ref2, dispatch) {\n var tr = _ref2.tr;\n var offset;\n\n if (!_this5.results.length) {\n return;\n }\n\n _this5.results.forEach(function (_ref3, index) {\n var from = _ref3.from,\n to = _ref3.to;\n tr.insertText(replace, from, to);\n offset = _this5.rebaseNextResult(replace, index, offset);\n });\n\n dispatch(tr);\n\n _this5.editor.commands.find(_this5.searchTerm);\n };\n }\n }, {\n key: \"find\",\n value: function find(searchTerm) {\n var _this6 = this;\n\n return function (state, dispatch) {\n _this6.searchTerm = _this6.options.disableRegex ? searchTerm.replace(/[-/\\\\^$*+?.()|[\\]{}]/g, '\\\\$&') : searchTerm;\n\n _this6.updateView(state, dispatch);\n };\n }\n }, {\n key: \"clear\",\n value: function clear() {\n var _this7 = this;\n\n return function (state, dispatch) {\n _this7.searchTerm = null;\n\n _this7.updateView(state, dispatch);\n };\n }\n }, {\n key: \"updateView\",\n value: function updateView(_ref4, dispatch) {\n var tr = _ref4.tr;\n this._updating = true;\n dispatch(tr);\n this._updating = false;\n }\n }, {\n key: \"createDeco\",\n value: function createDeco(doc) {\n this._search(doc);\n\n return this.decorations ? DecorationSet.create(doc, this.decorations) : [];\n }\n }, {\n key: \"name\",\n get: function get() {\n return 'search';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n autoSelectNext: true,\n findClass: 'find',\n searching: false,\n caseSensitive: false,\n disableRegex: true,\n alwaysSearch: false\n };\n }\n }, {\n key: \"findRegExp\",\n get: function get() {\n return RegExp(this.searchTerm, !this.options.caseSensitive ? 'gui' : 'gu');\n }\n }, {\n key: \"decorations\",\n get: function get() {\n var _this8 = this;\n\n return this.results.map(function (deco) {\n return Decoration.inline(deco.from, deco.to, {\n class: _this8.options.findClass\n });\n });\n }\n }, {\n key: \"plugins\",\n get: function get() {\n var _this9 = this;\n\n return [new Plugin({\n state: {\n init: function init() {\n return DecorationSet.empty;\n },\n apply: function apply(tr, old) {\n if (_this9._updating || _this9.options.searching || tr.docChanged && _this9.options.alwaysSearch) {\n return _this9.createDeco(tr.doc);\n }\n\n if (tr.docChanged) {\n return old.map(tr.mapping, tr.doc);\n }\n\n return old;\n }\n },\n props: {\n decorations: function decorations(state) {\n return this.getState(state);\n }\n }\n })];\n }\n }]);\n\n return Search;\n}(Extension);\n\nvar TrailingNode = /*#__PURE__*/function (_Extension) {\n _inherits(TrailingNode, _Extension);\n\n var _super = _createSuper(TrailingNode);\n\n function TrailingNode() {\n _classCallCheck(this, TrailingNode);\n\n return _super.apply(this, arguments);\n }\n\n _createClass(TrailingNode, [{\n key: \"name\",\n get: function get() {\n return 'trailing_node';\n }\n }, {\n key: \"defaultOptions\",\n get: function get() {\n return {\n node: 'paragraph',\n notAfter: ['paragraph']\n };\n }\n }, {\n key: \"plugins\",\n get: function get() {\n var _this = this;\n\n var plugin = new PluginKey(this.name);\n var disabledNodes = Object.entries(this.editor.schema.nodes).map(function (_ref) {\n var _ref2 = _slicedToArray(_ref, 2),\n value = _ref2[1];\n\n return value;\n }).filter(function (node) {\n return _this.options.notAfter.includes(node.name);\n });\n return [new Plugin({\n key: plugin,\n view: function view() {\n return {\n update: function update(view) {\n var state = view.state;\n var insertNodeAtEnd = plugin.getState(state);\n\n if (!insertNodeAtEnd) {\n return;\n }\n\n var doc = state.doc,\n schema = state.schema,\n tr = state.tr;\n var type = schema.nodes[_this.options.node];\n var transaction = tr.insert(doc.content.size, type.create());\n view.dispatch(transaction);\n }\n };\n },\n state: {\n init: function init(_, state) {\n var lastNode = state.tr.doc.lastChild;\n return !nodeEqualsType({\n node: lastNode,\n types: disabledNodes\n });\n },\n apply: function apply(tr, value) {\n if (!tr.docChanged) {\n return value;\n }\n\n var lastNode = tr.doc.lastChild;\n return !nodeEqualsType({\n node: lastNode,\n types: disabledNodes\n });\n }\n }\n })];\n }\n }]);\n\n return TrailingNode;\n}(Extension);\n\nexport { Blockquote, Bold, BulletList, Code, CodeBlock, CodeBlockHighlight, Collaboration, Focus, HardBreak, Heading, HighlightPlugin as Highlight, History, HorizontalRule, Image, Italic, Link, ListItem, Mention, OrderedList, Placeholder, Search, Strike, SuggestionsPlugin as Suggestions, Table, TableCell, TableHeader, TableRow, TodoItem, TodoList, TrailingNode, Underline };\n","import { TextSelection, Selection, NodeSelection } from 'prosemirror-state';\nimport { DOMSerializer, Fragment, Mark, DOMParser, Slice } from 'prosemirror-model';\nimport { dropPoint } from 'prosemirror-transform';\n\nvar result = {};\n\nif (typeof navigator != \"undefined\" && typeof document != \"undefined\") {\n var ie_edge = /Edge\\/(\\d+)/.exec(navigator.userAgent);\n var ie_upto10 = /MSIE \\d/.test(navigator.userAgent);\n var ie_11up = /Trident\\/(?:[7-9]|\\d{2,})\\..*rv:(\\d+)/.exec(navigator.userAgent);\n\n result.mac = /Mac/.test(navigator.platform);\n var ie = result.ie = !!(ie_upto10 || ie_11up || ie_edge);\n result.ie_version = ie_upto10 ? document.documentMode || 6 : ie_11up ? +ie_11up[1] : ie_edge ? +ie_edge[1] : null;\n result.gecko = !ie && /gecko\\/(\\d+)/i.test(navigator.userAgent);\n result.gecko_version = result.gecko && +(/Firefox\\/(\\d+)/.exec(navigator.userAgent) || [0, 0])[1];\n var chrome = !ie && /Chrome\\/(\\d+)/.exec(navigator.userAgent);\n result.chrome = !!chrome;\n result.chrome_version = chrome && +chrome[1];\n result.ios = !ie && /AppleWebKit/.test(navigator.userAgent) && /Mobile\\/\\w+/.test(navigator.userAgent);\n result.android = /Android \\d/.test(navigator.userAgent);\n result.webkit = \"webkitFontSmoothing\" in document.documentElement.style;\n result.safari = /Apple Computer/.test(navigator.vendor);\n result.webkit_version = result.webkit && +(/\\bAppleWebKit\\/(\\d+)/.exec(navigator.userAgent) || [0, 0])[1];\n}\n\nvar domIndex = function(node) {\n for (var index = 0;; index++) {\n node = node.previousSibling;\n if (!node) { return index }\n }\n};\n\nvar parentNode = function(node) {\n var parent = node.parentNode;\n return parent && parent.nodeType == 11 ? parent.host : parent\n};\n\nvar textRange = function(node, from, to) {\n var range = document.createRange();\n range.setEnd(node, to == null ? node.nodeValue.length : to);\n range.setStart(node, from || 0);\n return range\n};\n\n// Scans forward and backward through DOM positions equivalent to the\n// given one to see if the two are in the same place (i.e. after a\n// text node vs at the end of that text node)\nvar isEquivalentPosition = function(node, off, targetNode, targetOff) {\n return targetNode && (scanFor(node, off, targetNode, targetOff, -1) ||\n scanFor(node, off, targetNode, targetOff, 1))\n};\n\nvar atomElements = /^(img|br|input|textarea|hr)$/i;\n\nfunction scanFor(node, off, targetNode, targetOff, dir) {\n for (;;) {\n if (node == targetNode && off == targetOff) { return true }\n if (off == (dir < 0 ? 0 : nodeSize(node))) {\n var parent = node.parentNode;\n if (parent.nodeType != 1 || hasBlockDesc(node) || atomElements.test(node.nodeName) || node.contentEditable == \"false\")\n { return false }\n off = domIndex(node) + (dir < 0 ? 0 : 1);\n node = parent;\n } else if (node.nodeType == 1) {\n node = node.childNodes[off + (dir < 0 ? -1 : 0)];\n if (node.contentEditable == \"false\") { return false }\n off = dir < 0 ? nodeSize(node) : 0;\n } else {\n return false\n }\n }\n}\n\nfunction nodeSize(node) {\n return node.nodeType == 3 ? node.nodeValue.length : node.childNodes.length\n}\n\nfunction isOnEdge(node, offset, parent) {\n for (var atStart = offset == 0, atEnd = offset == nodeSize(node); atStart || atEnd;) {\n if (node == parent) { return true }\n var index = domIndex(node);\n node = node.parentNode;\n if (!node) { return false }\n atStart = atStart && index == 0;\n atEnd = atEnd && index == nodeSize(node);\n }\n}\n\nfunction hasBlockDesc(dom) {\n var desc;\n for (var cur = dom; cur; cur = cur.parentNode) { if (desc = cur.pmViewDesc) { break } }\n return desc && desc.node && desc.node.isBlock && (desc.dom == dom || desc.contentDOM == dom)\n}\n\n// Work around Chrome issue https://bugs.chromium.org/p/chromium/issues/detail?id=447523\n// (isCollapsed inappropriately returns true in shadow dom)\nvar selectionCollapsed = function(domSel) {\n var collapsed = domSel.isCollapsed;\n if (collapsed && result.chrome && domSel.rangeCount && !domSel.getRangeAt(0).collapsed)\n { collapsed = false; }\n return collapsed\n};\n\nfunction keyEvent(keyCode, key) {\n var event = document.createEvent(\"Event\");\n event.initEvent(\"keydown\", true, true);\n event.keyCode = keyCode;\n event.key = event.code = key;\n return event\n}\n\nfunction windowRect(doc) {\n return {left: 0, right: doc.documentElement.clientWidth,\n top: 0, bottom: doc.documentElement.clientHeight}\n}\n\nfunction getSide(value, side) {\n return typeof value == \"number\" ? value : value[side]\n}\n\nfunction clientRect(node) {\n var rect = node.getBoundingClientRect();\n // Make sure scrollbar width isn't included in the rectangle\n return {left: rect.left, right: rect.left + node.clientWidth,\n top: rect.top, bottom: rect.top + node.clientHeight}\n}\n\nfunction scrollRectIntoView(view, rect, startDOM) {\n var scrollThreshold = view.someProp(\"scrollThreshold\") || 0, scrollMargin = view.someProp(\"scrollMargin\") || 5;\n var doc = view.dom.ownerDocument;\n for (var parent = startDOM || view.dom;; parent = parentNode(parent)) {\n if (!parent) { break }\n if (parent.nodeType != 1) { continue }\n var atTop = parent == doc.body || parent.nodeType != 1;\n var bounding = atTop ? windowRect(doc) : clientRect(parent);\n var moveX = 0, moveY = 0;\n if (rect.top < bounding.top + getSide(scrollThreshold, \"top\"))\n { moveY = -(bounding.top - rect.top + getSide(scrollMargin, \"top\")); }\n else if (rect.bottom > bounding.bottom - getSide(scrollThreshold, \"bottom\"))\n { moveY = rect.bottom - bounding.bottom + getSide(scrollMargin, \"bottom\"); }\n if (rect.left < bounding.left + getSide(scrollThreshold, \"left\"))\n { moveX = -(bounding.left - rect.left + getSide(scrollMargin, \"left\")); }\n else if (rect.right > bounding.right - getSide(scrollThreshold, \"right\"))\n { moveX = rect.right - bounding.right + getSide(scrollMargin, \"right\"); }\n if (moveX || moveY) {\n if (atTop) {\n doc.defaultView.scrollBy(moveX, moveY);\n } else {\n var startX = parent.scrollLeft, startY = parent.scrollTop;\n if (moveY) { parent.scrollTop += moveY; }\n if (moveX) { parent.scrollLeft += moveX; }\n var dX = parent.scrollLeft - startX, dY = parent.scrollTop - startY;\n rect = {left: rect.left - dX, top: rect.top - dY, right: rect.right - dX, bottom: rect.bottom - dY};\n }\n }\n if (atTop) { break }\n }\n}\n\n// Store the scroll position of the editor's parent nodes, along with\n// the top position of an element near the top of the editor, which\n// will be used to make sure the visible viewport remains stable even\n// when the size of the content above changes.\nfunction storeScrollPos(view) {\n var rect = view.dom.getBoundingClientRect(), startY = Math.max(0, rect.top);\n var refDOM, refTop;\n for (var x = (rect.left + rect.right) / 2, y = startY + 1;\n y < Math.min(innerHeight, rect.bottom); y += 5) {\n var dom = view.root.elementFromPoint(x, y);\n if (dom == view.dom || !view.dom.contains(dom)) { continue }\n var localRect = dom.getBoundingClientRect();\n if (localRect.top >= startY - 20) {\n refDOM = dom;\n refTop = localRect.top;\n break\n }\n }\n return {refDOM: refDOM, refTop: refTop, stack: scrollStack(view.dom)}\n}\n\nfunction scrollStack(dom) {\n var stack = [], doc = dom.ownerDocument;\n for (; dom; dom = parentNode(dom)) {\n stack.push({dom: dom, top: dom.scrollTop, left: dom.scrollLeft});\n if (dom == doc) { break }\n }\n return stack\n}\n\n// Reset the scroll position of the editor's parent nodes to that what\n// it was before, when storeScrollPos was called.\nfunction resetScrollPos(ref) {\n var refDOM = ref.refDOM;\n var refTop = ref.refTop;\n var stack = ref.stack;\n\n var newRefTop = refDOM ? refDOM.getBoundingClientRect().top : 0;\n restoreScrollStack(stack, newRefTop == 0 ? 0 : newRefTop - refTop);\n}\n\nfunction restoreScrollStack(stack, dTop) {\n for (var i = 0; i < stack.length; i++) {\n var ref = stack[i];\n var dom = ref.dom;\n var top = ref.top;\n var left = ref.left;\n if (dom.scrollTop != top + dTop) { dom.scrollTop = top + dTop; }\n if (dom.scrollLeft != left) { dom.scrollLeft = left; }\n }\n}\n\nvar preventScrollSupported = null;\n// Feature-detects support for .focus({preventScroll: true}), and uses\n// a fallback kludge when not supported.\nfunction focusPreventScroll(dom) {\n if (dom.setActive) { return dom.setActive() } // in IE\n if (preventScrollSupported) { return dom.focus(preventScrollSupported) }\n\n var stored = scrollStack(dom);\n dom.focus(preventScrollSupported == null ? {\n get preventScroll() {\n preventScrollSupported = {preventScroll: true};\n return true\n }\n } : undefined);\n if (!preventScrollSupported) {\n preventScrollSupported = false;\n restoreScrollStack(stored, 0);\n }\n}\n\nfunction findOffsetInNode(node, coords) {\n var closest, dxClosest = 2e8, coordsClosest, offset = 0;\n var rowBot = coords.top, rowTop = coords.top;\n for (var child = node.firstChild, childIndex = 0; child; child = child.nextSibling, childIndex++) {\n var rects = (void 0);\n if (child.nodeType == 1) { rects = child.getClientRects(); }\n else if (child.nodeType == 3) { rects = textRange(child).getClientRects(); }\n else { continue }\n\n for (var i = 0; i < rects.length; i++) {\n var rect = rects[i];\n if (rect.top <= rowBot && rect.bottom >= rowTop) {\n rowBot = Math.max(rect.bottom, rowBot);\n rowTop = Math.min(rect.top, rowTop);\n var dx = rect.left > coords.left ? rect.left - coords.left\n : rect.right < coords.left ? coords.left - rect.right : 0;\n if (dx < dxClosest) {\n closest = child;\n dxClosest = dx;\n coordsClosest = dx && closest.nodeType == 3 ? {left: rect.right < coords.left ? rect.right : rect.left, top: coords.top} : coords;\n if (child.nodeType == 1 && dx)\n { offset = childIndex + (coords.left >= (rect.left + rect.right) / 2 ? 1 : 0); }\n continue\n }\n }\n if (!closest && (coords.left >= rect.right && coords.top >= rect.top ||\n coords.left >= rect.left && coords.top >= rect.bottom))\n { offset = childIndex + 1; }\n }\n }\n if (closest && closest.nodeType == 3) { return findOffsetInText(closest, coordsClosest) }\n if (!closest || (dxClosest && closest.nodeType == 1)) { return {node: node, offset: offset} }\n return findOffsetInNode(closest, coordsClosest)\n}\n\nfunction findOffsetInText(node, coords) {\n var len = node.nodeValue.length;\n var range = document.createRange();\n for (var i = 0; i < len; i++) {\n range.setEnd(node, i + 1);\n range.setStart(node, i);\n var rect = singleRect(range, 1);\n if (rect.top == rect.bottom) { continue }\n if (inRect(coords, rect))\n { return {node: node, offset: i + (coords.left >= (rect.left + rect.right) / 2 ? 1 : 0)} }\n }\n return {node: node, offset: 0}\n}\n\nfunction inRect(coords, rect) {\n return coords.left >= rect.left - 1 && coords.left <= rect.right + 1&&\n coords.top >= rect.top - 1 && coords.top <= rect.bottom + 1\n}\n\nfunction targetKludge(dom, coords) {\n var parent = dom.parentNode;\n if (parent && /^li$/i.test(parent.nodeName) && coords.left < dom.getBoundingClientRect().left)\n { return parent }\n return dom\n}\n\nfunction posFromElement(view, elt, coords) {\n var ref = findOffsetInNode(elt, coords);\n var node = ref.node;\n var offset = ref.offset;\n var bias = -1;\n if (node.nodeType == 1 && !node.firstChild) {\n var rect = node.getBoundingClientRect();\n bias = rect.left != rect.right && coords.left > (rect.left + rect.right) / 2 ? 1 : -1;\n }\n return view.docView.posFromDOM(node, offset, bias)\n}\n\nfunction posFromCaret(view, node, offset, coords) {\n // Browser (in caretPosition/RangeFromPoint) will agressively\n // normalize towards nearby inline nodes. Since we are interested in\n // positions between block nodes too, we first walk up the hierarchy\n // of nodes to see if there are block nodes that the coordinates\n // fall outside of. If so, we take the position before/after that\n // block. If not, we call `posFromDOM` on the raw node/offset.\n var outside = -1;\n for (var cur = node;;) {\n if (cur == view.dom) { break }\n var desc = view.docView.nearestDesc(cur, true);\n if (!desc) { return null }\n if (desc.node.isBlock && desc.parent) {\n var rect = desc.dom.getBoundingClientRect();\n if (rect.left > coords.left || rect.top > coords.top) { outside = desc.posBefore; }\n else if (rect.right < coords.left || rect.bottom < coords.top) { outside = desc.posAfter; }\n else { break }\n }\n cur = desc.dom.parentNode;\n }\n return outside > -1 ? outside : view.docView.posFromDOM(node, offset)\n}\n\nfunction elementFromPoint(element, coords, box) {\n var len = element.childNodes.length;\n if (len && box.top < box.bottom) {\n for (var startI = Math.max(0, Math.min(len - 1, Math.floor(len * (coords.top - box.top) / (box.bottom - box.top)) - 2)), i = startI;;) {\n var child = element.childNodes[i];\n if (child.nodeType == 1) {\n var rects = child.getClientRects();\n for (var j = 0; j < rects.length; j++) {\n var rect = rects[j];\n if (inRect(coords, rect)) { return elementFromPoint(child, coords, rect) }\n }\n }\n if ((i = (i + 1) % len) == startI) { break }\n }\n }\n return element\n}\n\n// Given an x,y position on the editor, get the position in the document.\nfunction posAtCoords(view, coords) {\n var assign, assign$1;\n\n var root = view.root, node, offset;\n if (root.caretPositionFromPoint) {\n try { // Firefox throws for this call in hard-to-predict circumstances (#994)\n var pos$1 = root.caretPositionFromPoint(coords.left, coords.top);\n if (pos$1) { ((assign = pos$1, node = assign.offsetNode, offset = assign.offset)); }\n } catch (_) {}\n }\n if (!node && root.caretRangeFromPoint) {\n var range = root.caretRangeFromPoint(coords.left, coords.top);\n if (range) { ((assign$1 = range, node = assign$1.startContainer, offset = assign$1.startOffset)); }\n }\n\n var elt = root.elementFromPoint(coords.left, coords.top + 1), pos;\n if (!elt || !view.dom.contains(elt.nodeType != 1 ? elt.parentNode : elt)) {\n var box = view.dom.getBoundingClientRect();\n if (!inRect(coords, box)) { return null }\n elt = elementFromPoint(view.dom, coords, box);\n if (!elt) { return null }\n }\n // Safari's caretRangeFromPoint returns nonsense when on a draggable element\n if (result.safari && elt.draggable) { node = offset = null; }\n elt = targetKludge(elt, coords);\n if (node) {\n if (result.gecko && node.nodeType == 1) {\n // Firefox will sometimes return offsets into <input> nodes, which\n // have no actual children, from caretPositionFromPoint (#953)\n offset = Math.min(offset, node.childNodes.length);\n // It'll also move the returned position before image nodes,\n // even if those are behind it.\n if (offset < node.childNodes.length) {\n var next = node.childNodes[offset], box$1;\n if (next.nodeName == \"IMG\" && (box$1 = next.getBoundingClientRect()).right <= coords.left &&\n box$1.bottom > coords.top)\n { offset++; }\n }\n }\n // Suspiciously specific kludge to work around caret*FromPoint\n // never returning a position at the end of the document\n if (node == view.dom && offset == node.childNodes.length - 1 && node.lastChild.nodeType == 1 &&\n coords.top > node.lastChild.getBoundingClientRect().bottom)\n { pos = view.state.doc.content.size; }\n // Ignore positions directly after a BR, since caret*FromPoint\n // 'round up' positions that would be more accurately placed\n // before the BR node.\n else if (offset == 0 || node.nodeType != 1 || node.childNodes[offset - 1].nodeName != \"BR\")\n { pos = posFromCaret(view, node, offset, coords); }\n }\n if (pos == null) { pos = posFromElement(view, elt, coords); }\n\n var desc = view.docView.nearestDesc(elt, true);\n return {pos: pos, inside: desc ? desc.posAtStart - desc.border : -1}\n}\n\nfunction singleRect(object, bias) {\n var rects = object.getClientRects();\n return !rects.length ? object.getBoundingClientRect() : rects[bias < 0 ? 0 : rects.length - 1]\n}\n\n// : (EditorView, number) → {left: number, top: number, right: number, bottom: number}\n// Given a position in the document model, get a bounding box of the\n// character at that position, relative to the window.\nfunction coordsAtPos(view, pos) {\n var ref = view.docView.domFromPos(pos);\n var node = ref.node;\n var offset = ref.offset;\n\n // These browsers support querying empty text ranges\n if (node.nodeType == 3 && (result.webkit || result.gecko)) {\n var rect = singleRect(textRange(node, offset, offset), 0);\n // Firefox returns bad results (the position before the space)\n // when querying a position directly after line-broken\n // whitespace. Detect this situation and and kludge around it\n if (result.gecko && offset && /\\s/.test(node.nodeValue[offset - 1]) && offset < node.nodeValue.length) {\n var rectBefore = singleRect(textRange(node, offset - 1, offset - 1), -1);\n if (rectBefore.top == rect.top) {\n var rectAfter = singleRect(textRange(node, offset, offset + 1), -1);\n if (rectAfter.top != rect.top)\n { return flattenV(rectAfter, rectAfter.left < rectBefore.left) }\n }\n }\n return rect\n }\n\n if (node.nodeType == 1 && !view.state.doc.resolve(pos).parent.inlineContent) {\n // Return a horizontal line in block context\n var top = true, rect$1;\n if (offset < node.childNodes.length) {\n var after = node.childNodes[offset];\n if (after.nodeType == 1) { rect$1 = after.getBoundingClientRect(); }\n }\n if (!rect$1 && offset) {\n var before = node.childNodes[offset - 1];\n if (before.nodeType == 1) { rect$1 = before.getBoundingClientRect(); top = false; }\n }\n return flattenH(rect$1 || node.getBoundingClientRect(), top)\n }\n\n // Not Firefox/Chrome, or not in a text node, so we have to use\n // actual element/character rectangles to get a solution (this part\n // is not very bidi-safe)\n //\n // Try the left side first, fall back to the right one if that\n // doesn't work.\n for (var dir = -1; dir < 2; dir += 2) {\n if (dir < 0 && offset) {\n var prev = (void 0), target = node.nodeType == 3 ? textRange(node, offset - 1, offset)\n : (prev = node.childNodes[offset - 1]).nodeType == 3 ? textRange(prev)\n : prev.nodeType == 1 && prev.nodeName != \"BR\" ? prev : null; // BR nodes tend to only return the rectangle before them\n if (target) {\n var rect$2 = singleRect(target, 1);\n if (rect$2.top < rect$2.bottom) { return flattenV(rect$2, false) }\n }\n } else if (dir > 0 && offset < nodeSize(node)) {\n var next = (void 0), target$1 = node.nodeType == 3 ? textRange(node, offset, offset + 1)\n : (next = node.childNodes[offset]).nodeType == 3 ? textRange(next)\n : next.nodeType == 1 ? next : null;\n if (target$1) {\n var rect$3 = singleRect(target$1, -1);\n if (rect$3.top < rect$3.bottom) { return flattenV(rect$3, true) }\n }\n }\n }\n // All else failed, just try to get a rectangle for the target node\n return flattenV(singleRect(node.nodeType == 3 ? textRange(node) : node, 0), false)\n}\n\nfunction flattenV(rect, left) {\n if (rect.width == 0) { return rect }\n var x = left ? rect.left : rect.right;\n return {top: rect.top, bottom: rect.bottom, left: x, right: x}\n}\n\nfunction flattenH(rect, top) {\n if (rect.height == 0) { return rect }\n var y = top ? rect.top : rect.bottom;\n return {top: y, bottom: y, left: rect.left, right: rect.right}\n}\n\nfunction withFlushedState(view, state, f) {\n var viewState = view.state, active = view.root.activeElement;\n if (viewState != state) { view.updateState(state); }\n if (active != view.dom) { view.focus(); }\n try {\n return f()\n } finally {\n if (viewState != state) { view.updateState(viewState); }\n if (active != view.dom && active) { active.focus(); }\n }\n}\n\n// : (EditorView, number, number)\n// Whether vertical position motion in a given direction\n// from a position would leave a text block.\nfunction endOfTextblockVertical(view, state, dir) {\n var sel = state.selection;\n var $pos = dir == \"up\" ? sel.$anchor.min(sel.$head) : sel.$anchor.max(sel.$head);\n return withFlushedState(view, state, function () {\n var ref = view.docView.domFromPos($pos.pos);\n var dom = ref.node;\n for (;;) {\n var nearest = view.docView.nearestDesc(dom, true);\n if (!nearest) { break }\n if (nearest.node.isBlock) { dom = nearest.dom; break }\n dom = nearest.dom.parentNode;\n }\n var coords = coordsAtPos(view, $pos.pos);\n for (var child = dom.firstChild; child; child = child.nextSibling) {\n var boxes = (void 0);\n if (child.nodeType == 1) { boxes = child.getClientRects(); }\n else if (child.nodeType == 3) { boxes = textRange(child, 0, child.nodeValue.length).getClientRects(); }\n else { continue }\n for (var i = 0; i < boxes.length; i++) {\n var box = boxes[i];\n if (box.bottom > box.top && (dir == \"up\" ? box.bottom < coords.top + 1 : box.top > coords.bottom - 1))\n { return false }\n }\n }\n return true\n })\n}\n\nvar maybeRTL = /[\\u0590-\\u08ac]/;\n\nfunction endOfTextblockHorizontal(view, state, dir) {\n var ref = state.selection;\n var $head = ref.$head;\n if (!$head.parent.isTextblock) { return false }\n var offset = $head.parentOffset, atStart = !offset, atEnd = offset == $head.parent.content.size;\n var sel = getSelection();\n // If the textblock is all LTR, or the browser doesn't support\n // Selection.modify (Edge), fall back to a primitive approach\n if (!maybeRTL.test($head.parent.textContent) || !sel.modify)\n { return dir == \"left\" || dir == \"backward\" ? atStart : atEnd }\n\n return withFlushedState(view, state, function () {\n // This is a huge hack, but appears to be the best we can\n // currently do: use `Selection.modify` to move the selection by\n // one character, and see if that moves the cursor out of the\n // textblock (or doesn't move it at all, when at the start/end of\n // the document).\n var oldRange = sel.getRangeAt(0), oldNode = sel.focusNode, oldOff = sel.focusOffset;\n var oldBidiLevel = sel.caretBidiLevel; // Only for Firefox\n sel.modify(\"move\", dir, \"character\");\n var parentDOM = $head.depth ? view.docView.domAfterPos($head.before()) : view.dom;\n var result = !parentDOM.contains(sel.focusNode.nodeType == 1 ? sel.focusNode : sel.focusNode.parentNode) ||\n (oldNode == sel.focusNode && oldOff == sel.focusOffset);\n // Restore the previous selection\n sel.removeAllRanges();\n sel.addRange(oldRange);\n if (oldBidiLevel != null) { sel.caretBidiLevel = oldBidiLevel; }\n return result\n })\n}\n\nvar cachedState = null, cachedDir = null, cachedResult = false;\nfunction endOfTextblock(view, state, dir) {\n if (cachedState == state && cachedDir == dir) { return cachedResult }\n cachedState = state; cachedDir = dir;\n return cachedResult = dir == \"up\" || dir == \"down\"\n ? endOfTextblockVertical(view, state, dir)\n : endOfTextblockHorizontal(view, state, dir)\n}\n\n// NodeView:: interface\n//\n// By default, document nodes are rendered using the result of the\n// [`toDOM`](#model.NodeSpec.toDOM) method of their spec, and managed\n// entirely by the editor. For some use cases, such as embedded\n// node-specific editing interfaces, you want more control over\n// the behavior of a node's in-editor representation, and need to\n// [define](#view.EditorProps.nodeViews) a custom node view.\n//\n// Mark views only support `dom` and `contentDOM`, and don't support\n// any of the node view methods.\n//\n// Objects returned as node views must conform to this interface.\n//\n// dom:: ?dom.Node\n// The outer DOM node that represents the document node. When not\n// given, the default strategy is used to create a DOM node.\n//\n// contentDOM:: ?dom.Node\n// The DOM node that should hold the node's content. Only meaningful\n// if the node view also defines a `dom` property and if its node\n// type is not a leaf node type. When this is present, ProseMirror\n// will take care of rendering the node's children into it. When it\n// is not present, the node view itself is responsible for rendering\n// (or deciding not to render) its child nodes.\n//\n// update:: ?(node: Node, decorations: [Decoration]) → bool\n// When given, this will be called when the view is updating itself.\n// It will be given a node (possibly of a different type), and an\n// array of active decorations (which are automatically drawn, and\n// the node view may ignore if it isn't interested in them), and\n// should return true if it was able to update to that node, and\n// false otherwise. If the node view has a `contentDOM` property (or\n// no `dom` property), updating its child nodes will be handled by\n// ProseMirror.\n//\n// selectNode:: ?()\n// Can be used to override the way the node's selected status (as a\n// node selection) is displayed.\n//\n// deselectNode:: ?()\n// When defining a `selectNode` method, you should also provide a\n// `deselectNode` method to remove the effect again.\n//\n// setSelection:: ?(anchor: number, head: number, root: dom.Document)\n// This will be called to handle setting the selection inside the\n// node. The `anchor` and `head` positions are relative to the start\n// of the node. By default, a DOM selection will be created between\n// the DOM positions corresponding to those positions, but if you\n// override it you can do something else.\n//\n// stopEvent:: ?(event: dom.Event) → bool\n// Can be used to prevent the editor view from trying to handle some\n// or all DOM events that bubble up from the node view. Events for\n// which this returns true are not handled by the editor.\n//\n// ignoreMutation:: ?(dom.MutationRecord) → bool\n// Called when a DOM\n// [mutation](https://developer.mozilla.org/en-US/docs/Web/API/MutationObserver)\n// or a selection change happens within the view. When the change is\n// a selection change, the record will have a `type` property of\n// `\"selection\"` (which doesn't occur for native mutation records).\n// Return false if the editor should re-read the selection or\n// re-parse the range around the mutation, true if it can safely be\n// ignored.\n//\n// destroy:: ?()\n// Called when the node view is removed from the editor or the whole\n// editor is destroyed.\n\n// View descriptions are data structures that describe the DOM that is\n// used to represent the editor's content. They are used for:\n//\n// - Incremental redrawing when the document changes\n//\n// - Figuring out what part of the document a given DOM position\n// corresponds to\n//\n// - Wiring in custom implementations of the editing interface for a\n// given node\n//\n// They form a doubly-linked mutable tree, starting at `view.docView`.\n\nvar NOT_DIRTY = 0, CHILD_DIRTY = 1, CONTENT_DIRTY = 2, NODE_DIRTY = 3;\n\n// Superclass for the various kinds of descriptions. Defines their\n// basic structure and shared methods.\nvar ViewDesc = function ViewDesc(parent, children, dom, contentDOM) {\n this.parent = parent;\n this.children = children;\n this.dom = dom;\n // An expando property on the DOM node provides a link back to its\n // description.\n dom.pmViewDesc = this;\n // This is the node that holds the child views. It may be null for\n // descs that don't have children.\n this.contentDOM = contentDOM;\n this.dirty = NOT_DIRTY;\n};\n\nvar prototypeAccessors = { beforePosition: { configurable: true },size: { configurable: true },border: { configurable: true },posBefore: { configurable: true },posAtStart: { configurable: true },posAfter: { configurable: true },posAtEnd: { configurable: true },contentLost: { configurable: true } };\n\n// Used to check whether a given description corresponds to a\n// widget/mark/node.\nViewDesc.prototype.matchesWidget = function matchesWidget () { return false };\nViewDesc.prototype.matchesMark = function matchesMark () { return false };\nViewDesc.prototype.matchesNode = function matchesNode () { return false };\nViewDesc.prototype.matchesHack = function matchesHack () { return false };\n\nprototypeAccessors.beforePosition.get = function () { return false };\n\n// : () → ?ParseRule\n// When parsing in-editor content (in domchange.js), we allow\n// descriptions to determine the parse rules that should be used to\n// parse them.\nViewDesc.prototype.parseRule = function parseRule () { return null };\n\n// : (dom.Event) → bool\n// Used by the editor's event handler to ignore events that come\n// from certain descs.\nViewDesc.prototype.stopEvent = function stopEvent () { return false };\n\n// The size of the content represented by this desc.\nprototypeAccessors.size.get = function () {\n var size = 0;\n for (var i = 0; i < this.children.length; i++) { size += this.children[i].size; }\n return size\n};\n\n// For block nodes, this represents the space taken up by their\n// start/end tokens.\nprototypeAccessors.border.get = function () { return 0 };\n\nViewDesc.prototype.destroy = function destroy () {\n this.parent = null;\n if (this.dom.pmViewDesc == this) { this.dom.pmViewDesc = null; }\n for (var i = 0; i < this.children.length; i++)\n { this.children[i].destroy(); }\n};\n\nViewDesc.prototype.posBeforeChild = function posBeforeChild (child) {\n for (var i = 0, pos = this.posAtStart; i < this.children.length; i++) {\n var cur = this.children[i];\n if (cur == child) { return pos }\n pos += cur.size;\n }\n};\n\nprototypeAccessors.posBefore.get = function () {\n return this.parent.posBeforeChild(this)\n};\n\nprototypeAccessors.posAtStart.get = function () {\n return this.parent ? this.parent.posBeforeChild(this) + this.border : 0\n};\n\nprototypeAccessors.posAfter.get = function () {\n return this.posBefore + this.size\n};\n\nprototypeAccessors.posAtEnd.get = function () {\n return this.posAtStart + this.size - 2 * this.border\n};\n\n// : (dom.Node, number, ?number) → number\nViewDesc.prototype.localPosFromDOM = function localPosFromDOM (dom, offset, bias) {\n // If the DOM position is in the content, use the child desc after\n // it to figure out a position.\n if (this.contentDOM && this.contentDOM.contains(dom.nodeType == 1 ? dom : dom.parentNode)) {\n if (bias < 0) {\n var domBefore, desc;\n if (dom == this.contentDOM) {\n domBefore = dom.childNodes[offset - 1];\n } else {\n while (dom.parentNode != this.contentDOM) { dom = dom.parentNode; }\n domBefore = dom.previousSibling;\n }\n while (domBefore && !((desc = domBefore.pmViewDesc) && desc.parent == this)) { domBefore = domBefore.previousSibling; }\n return domBefore ? this.posBeforeChild(desc) + desc.size : this.posAtStart\n } else {\n var domAfter, desc$1;\n if (dom == this.contentDOM) {\n domAfter = dom.childNodes[offset];\n } else {\n while (dom.parentNode != this.contentDOM) { dom = dom.parentNode; }\n domAfter = dom.nextSibling;\n }\n while (domAfter && !((desc$1 = domAfter.pmViewDesc) && desc$1.parent == this)) { domAfter = domAfter.nextSibling; }\n return domAfter ? this.posBeforeChild(desc$1) : this.posAtEnd\n }\n }\n // Otherwise, use various heuristics, falling back on the bias\n // parameter, to determine whether to return the position at the\n // start or at the end of this view desc.\n var atEnd;\n if (this.contentDOM && this.contentDOM != this.dom && this.dom.contains(this.contentDOM)) {\n atEnd = dom.compareDocumentPosition(this.contentDOM) & 2;\n } else if (this.dom.firstChild) {\n if (offset == 0) { for (var search = dom;; search = search.parentNode) {\n if (search == this.dom) { atEnd = false; break }\n if (search.parentNode.firstChild != search) { break }\n } }\n if (atEnd == null && offset == dom.childNodes.length) { for (var search$1 = dom;; search$1 = search$1.parentNode) {\n if (search$1 == this.dom) { atEnd = true; break }\n if (search$1.parentNode.lastChild != search$1) { break }\n } }\n }\n return (atEnd == null ? bias > 0 : atEnd) ? this.posAtEnd : this.posAtStart\n};\n\n// Scan up the dom finding the first desc that is a descendant of\n// this one.\nViewDesc.prototype.nearestDesc = function nearestDesc (dom, onlyNodes) {\n for (var first = true, cur = dom; cur; cur = cur.parentNode) {\n var desc = this.getDesc(cur);\n if (desc && (!onlyNodes || desc.node)) {\n // If dom is outside of this desc's nodeDOM, don't count it.\n if (first && desc.nodeDOM &&\n !(desc.nodeDOM.nodeType == 1 ? desc.nodeDOM.contains(dom.nodeType == 1 ? dom : dom.parentNode) : desc.nodeDOM == dom))\n { first = false; }\n else\n { return desc }\n }\n }\n};\n\nViewDesc.prototype.getDesc = function getDesc (dom) {\n var desc = dom.pmViewDesc;\n for (var cur = desc; cur; cur = cur.parent) { if (cur == this) { return desc } }\n};\n\nViewDesc.prototype.posFromDOM = function posFromDOM (dom, offset, bias) {\n for (var scan = dom; scan; scan = scan.parentNode) {\n var desc = this.getDesc(scan);\n if (desc) { return desc.localPosFromDOM(dom, offset, bias) }\n }\n return -1\n};\n\n// : (number) → ?NodeViewDesc\n// Find the desc for the node after the given pos, if any. (When a\n// parent node overrode rendering, there might not be one.)\nViewDesc.prototype.descAt = function descAt (pos) {\n for (var i = 0, offset = 0; i < this.children.length; i++) {\n var child = this.children[i], end = offset + child.size;\n if (offset == pos && end != offset) {\n while (!child.border && child.children.length) { child = child.children[0]; }\n return child\n }\n if (pos < end) { return child.descAt(pos - offset - child.border) }\n offset = end;\n }\n};\n\n// : (number) → {node: dom.Node, offset: number}\nViewDesc.prototype.domFromPos = function domFromPos (pos) {\n if (!this.contentDOM) { return {node: this.dom, offset: 0} }\n for (var offset = 0, i = 0;; i++) {\n if (offset == pos) {\n while (i < this.children.length && (this.children[i].beforePosition || this.children[i].dom.parentNode != this.contentDOM)) { i++; }\n return {node: this.contentDOM,\n offset: i == this.children.length ? this.contentDOM.childNodes.length : domIndex(this.children[i].dom)}\n }\n if (i == this.children.length) { throw new Error(\"Invalid position \" + pos) }\n var child = this.children[i], end = offset + child.size;\n if (pos < end) { return child.domFromPos(pos - offset - child.border) }\n offset = end;\n }\n};\n\n// Used to find a DOM range in a single parent for a given changed\n// range.\nViewDesc.prototype.parseRange = function parseRange (from, to, base) {\n if ( base === void 0 ) base = 0;\n\n if (this.children.length == 0)\n { return {node: this.contentDOM, from: from, to: to, fromOffset: 0, toOffset: this.contentDOM.childNodes.length} }\n\n var fromOffset = -1, toOffset = -1;\n for (var offset = base, i = 0;; i++) {\n var child = this.children[i], end = offset + child.size;\n if (fromOffset == -1 && from <= end) {\n var childBase = offset + child.border;\n // FIXME maybe descend mark views to parse a narrower range?\n if (from >= childBase && to <= end - child.border && child.node &&\n child.contentDOM && this.contentDOM.contains(child.contentDOM))\n { return child.parseRange(from, to, childBase) }\n\n from = offset;\n for (var j = i; j > 0; j--) {\n var prev = this.children[j - 1];\n if (prev.size && prev.dom.parentNode == this.contentDOM && !prev.emptyChildAt(1)) {\n fromOffset = domIndex(prev.dom) + 1;\n break\n }\n from -= prev.size;\n }\n if (fromOffset == -1) { fromOffset = 0; }\n }\n if (fromOffset > -1 && (end > to || i == this.children.length - 1)) {\n to = end;\n for (var j$1 = i + 1; j$1 < this.children.length; j$1++) {\n var next = this.children[j$1];\n if (next.size && next.dom.parentNode == this.contentDOM && !next.emptyChildAt(-1)) {\n toOffset = domIndex(next.dom);\n break\n }\n to += next.size;\n }\n if (toOffset == -1) { toOffset = this.contentDOM.childNodes.length; }\n break\n }\n offset = end;\n }\n return {node: this.contentDOM, from: from, to: to, fromOffset: fromOffset, toOffset: toOffset}\n};\n\nViewDesc.prototype.emptyChildAt = function emptyChildAt (side) {\n if (this.border || !this.contentDOM || !this.children.length) { return false }\n var child = this.children[side < 0 ? 0 : this.children.length - 1];\n return child.size == 0 || child.emptyChildAt(side)\n};\n\n// : (number) → dom.Node\nViewDesc.prototype.domAfterPos = function domAfterPos (pos) {\n var ref = this.domFromPos(pos);\n var node = ref.node;\n var offset = ref.offset;\n if (node.nodeType != 1 || offset == node.childNodes.length)\n { throw new RangeError(\"No node after pos \" + pos) }\n return node.childNodes[offset]\n};\n\n// : (number, number, dom.Document)\n// View descs are responsible for setting any selection that falls\n// entirely inside of them, so that custom implementations can do\n// custom things with the selection. Note that this falls apart when\n// a selection starts in such a node and ends in another, in which\n// case we just use whatever domFromPos produces as a best effort.\nViewDesc.prototype.setSelection = function setSelection (anchor, head, root, force) {\n // If the selection falls entirely in a child, give it to that child\n var from = Math.min(anchor, head), to = Math.max(anchor, head);\n for (var i = 0, offset = 0; i < this.children.length; i++) {\n var child = this.children[i], end = offset + child.size;\n if (from > offset && to < end)\n { return child.setSelection(anchor - offset - child.border, head - offset - child.border, root, force) }\n offset = end;\n }\n\n var anchorDOM = this.domFromPos(anchor), headDOM = this.domFromPos(head);\n var domSel = root.getSelection();\n\n if (!force &&\n isEquivalentPosition(anchorDOM.node, anchorDOM.offset, domSel.anchorNode, domSel.anchorOffset) &&\n isEquivalentPosition(headDOM.node, headDOM.offset, domSel.focusNode, domSel.focusOffset))\n { return }\n\n // Selection.extend can be used to create an 'inverted' selection\n // (one where the focus is before the anchor), but not all\n // browsers support it yet.\n var domSelExtended = false;\n if (domSel.extend || anchor == head) {\n domSel.collapse(anchorDOM.node, anchorDOM.offset);\n try {\n if (anchor != head) { domSel.extend(headDOM.node, headDOM.offset); }\n domSelExtended = true;\n } catch (err) {\n // In some cases with Chrome the selection is empty after calling\n // collapse, even when it should be valid. This appears to be a bug, but\n // it is difficult to isolate. If this happens fallback to the old path\n // without using extend.\n if (!(err instanceof DOMException)) { throw err }\n }\n }\n if (!domSelExtended) {\n if (anchor > head) { var tmp = anchorDOM; anchorDOM = headDOM; headDOM = tmp; }\n var range = document.createRange();\n range.setEnd(headDOM.node, headDOM.offset);\n range.setStart(anchorDOM.node, anchorDOM.offset);\n domSel.removeAllRanges();\n domSel.addRange(range);\n }\n};\n\n// : (dom.MutationRecord) → bool\nViewDesc.prototype.ignoreMutation = function ignoreMutation (mutation) {\n return !this.contentDOM && mutation.type != \"selection\"\n};\n\nprototypeAccessors.contentLost.get = function () {\n return this.contentDOM && this.contentDOM != this.dom && !this.dom.contains(this.contentDOM)\n};\n\n// Remove a subtree of the element tree that has been touched\n// by a DOM change, so that the next update will redraw it.\nViewDesc.prototype.markDirty = function markDirty (from, to) {\n for (var offset = 0, i = 0; i < this.children.length; i++) {\n var child = this.children[i], end = offset + child.size;\n if (offset == end ? from <= end && to >= offset : from < end && to > offset) {\n var startInside = offset + child.border, endInside = end - child.border;\n if (from >= startInside && to <= endInside) {\n this.dirty = from == offset || to == end ? CONTENT_DIRTY : CHILD_DIRTY;\n if (from == startInside && to == endInside &&\n (child.contentLost || child.dom.parentNode != this.contentDOM)) { child.dirty = NODE_DIRTY; }\n else { child.markDirty(from - startInside, to - startInside); }\n return\n } else {\n child.dirty = NODE_DIRTY;\n }\n }\n offset = end;\n }\n this.dirty = CONTENT_DIRTY;\n};\n\nViewDesc.prototype.markParentsDirty = function markParentsDirty () {\n var level = 1;\n for (var node = this.parent; node; node = node.parent, level++) {\n var dirty = level == 1 ? CONTENT_DIRTY : CHILD_DIRTY;\n if (node.dirty < dirty) { node.dirty = dirty; }\n }\n};\n\nObject.defineProperties( ViewDesc.prototype, prototypeAccessors );\n\n// Reused array to avoid allocating fresh arrays for things that will\n// stay empty anyway.\nvar nothing = [];\n\n// A widget desc represents a widget decoration, which is a DOM node\n// drawn between the document nodes.\nvar WidgetViewDesc = /*@__PURE__*/(function (ViewDesc) {\n function WidgetViewDesc(parent, widget, view, pos) {\n var self, dom = widget.type.toDOM;\n if (typeof dom == \"function\") { dom = dom(view, function () {\n if (!self) { return pos }\n if (self.parent) { return self.parent.posBeforeChild(self) }\n }); }\n if (!widget.type.spec.raw) {\n if (dom.nodeType != 1) {\n var wrap = document.createElement(\"span\");\n wrap.appendChild(dom);\n dom = wrap;\n }\n dom.contentEditable = false;\n dom.classList.add(\"ProseMirror-widget\");\n }\n ViewDesc.call(this, parent, nothing, dom, null);\n this.widget = widget;\n self = this;\n }\n\n if ( ViewDesc ) WidgetViewDesc.__proto__ = ViewDesc;\n WidgetViewDesc.prototype = Object.create( ViewDesc && ViewDesc.prototype );\n WidgetViewDesc.prototype.constructor = WidgetViewDesc;\n\n var prototypeAccessors$1 = { beforePosition: { configurable: true } };\n\n prototypeAccessors$1.beforePosition.get = function () {\n return this.widget.type.side < 0\n };\n\n WidgetViewDesc.prototype.matchesWidget = function matchesWidget (widget) {\n return this.dirty == NOT_DIRTY && widget.type.eq(this.widget.type)\n };\n\n WidgetViewDesc.prototype.parseRule = function parseRule () { return {ignore: true} };\n\n WidgetViewDesc.prototype.stopEvent = function stopEvent (event) {\n var stop = this.widget.spec.stopEvent;\n return stop ? stop(event) : false\n };\n\n WidgetViewDesc.prototype.ignoreMutation = function ignoreMutation (mutation) {\n return mutation.type != \"selection\" || this.widget.spec.ignoreSelection\n };\n\n Object.defineProperties( WidgetViewDesc.prototype, prototypeAccessors$1 );\n\n return WidgetViewDesc;\n}(ViewDesc));\n\nvar CompositionViewDesc = /*@__PURE__*/(function (ViewDesc) {\n function CompositionViewDesc(parent, dom, textDOM, text) {\n ViewDesc.call(this, parent, nothing, dom, null);\n this.textDOM = textDOM;\n this.text = text;\n }\n\n if ( ViewDesc ) CompositionViewDesc.__proto__ = ViewDesc;\n CompositionViewDesc.prototype = Object.create( ViewDesc && ViewDesc.prototype );\n CompositionViewDesc.prototype.constructor = CompositionViewDesc;\n\n var prototypeAccessors$2 = { size: { configurable: true } };\n\n prototypeAccessors$2.size.get = function () { return this.text.length };\n\n CompositionViewDesc.prototype.localPosFromDOM = function localPosFromDOM (dom, offset) {\n if (dom != this.textDOM) { return this.posAtStart + (offset ? this.size : 0) }\n return this.posAtStart + offset\n };\n\n CompositionViewDesc.prototype.domFromPos = function domFromPos (pos) {\n return {node: this.textDOM, offset: pos}\n };\n\n CompositionViewDesc.prototype.ignoreMutation = function ignoreMutation (mut) {\n return mut.type === 'characterData' && mut.target.nodeValue == mut.oldValue\n };\n\n Object.defineProperties( CompositionViewDesc.prototype, prototypeAccessors$2 );\n\n return CompositionViewDesc;\n}(ViewDesc));\n\n// A mark desc represents a mark. May have multiple children,\n// depending on how the mark is split. Note that marks are drawn using\n// a fixed nesting order, for simplicity and predictability, so in\n// some cases they will be split more often than would appear\n// necessary.\nvar MarkViewDesc = /*@__PURE__*/(function (ViewDesc) {\n function MarkViewDesc(parent, mark, dom, contentDOM) {\n ViewDesc.call(this, parent, [], dom, contentDOM);\n this.mark = mark;\n }\n\n if ( ViewDesc ) MarkViewDesc.__proto__ = ViewDesc;\n MarkViewDesc.prototype = Object.create( ViewDesc && ViewDesc.prototype );\n MarkViewDesc.prototype.constructor = MarkViewDesc;\n\n MarkViewDesc.create = function create (parent, mark, inline, view) {\n var custom = view.nodeViews[mark.type.name];\n var spec = custom && custom(mark, view, inline);\n if (!spec || !spec.dom)\n { spec = DOMSerializer.renderSpec(document, mark.type.spec.toDOM(mark, inline)); }\n return new MarkViewDesc(parent, mark, spec.dom, spec.contentDOM || spec.dom)\n };\n\n MarkViewDesc.prototype.parseRule = function parseRule () { return {mark: this.mark.type.name, attrs: this.mark.attrs, contentElement: this.contentDOM} };\n\n MarkViewDesc.prototype.matchesMark = function matchesMark (mark) { return this.dirty != NODE_DIRTY && this.mark.eq(mark) };\n\n MarkViewDesc.prototype.markDirty = function markDirty (from, to) {\n ViewDesc.prototype.markDirty.call(this, from, to);\n // Move dirty info to nearest node view\n if (this.dirty != NOT_DIRTY) {\n var parent = this.parent;\n while (!parent.node) { parent = parent.parent; }\n if (parent.dirty < this.dirty) { parent.dirty = this.dirty; }\n this.dirty = NOT_DIRTY;\n }\n };\n\n MarkViewDesc.prototype.slice = function slice (from, to, view) {\n var copy = MarkViewDesc.create(this.parent, this.mark, true, view);\n var nodes = this.children, size = this.size;\n if (to < size) { nodes = replaceNodes(nodes, to, size, view); }\n if (from > 0) { nodes = replaceNodes(nodes, 0, from, view); }\n for (var i = 0; i < nodes.length; i++) { nodes[i].parent = copy; }\n copy.children = nodes;\n return copy\n };\n\n return MarkViewDesc;\n}(ViewDesc));\n\n// Node view descs are the main, most common type of view desc, and\n// correspond to an actual node in the document. Unlike mark descs,\n// they populate their child array themselves.\nvar NodeViewDesc = /*@__PURE__*/(function (ViewDesc) {\n function NodeViewDesc(parent, node, outerDeco, innerDeco, dom, contentDOM, nodeDOM, view, pos) {\n ViewDesc.call(this, parent, node.isLeaf ? nothing : [], dom, contentDOM);\n this.nodeDOM = nodeDOM;\n this.node = node;\n this.outerDeco = outerDeco;\n this.innerDeco = innerDeco;\n if (contentDOM) { this.updateChildren(view, pos); }\n }\n\n if ( ViewDesc ) NodeViewDesc.__proto__ = ViewDesc;\n NodeViewDesc.prototype = Object.create( ViewDesc && ViewDesc.prototype );\n NodeViewDesc.prototype.constructor = NodeViewDesc;\n\n var prototypeAccessors$3 = { size: { configurable: true },border: { configurable: true } };\n\n // By default, a node is rendered using the `toDOM` method from the\n // node type spec. But client code can use the `nodeViews` spec to\n // supply a custom node view, which can influence various aspects of\n // the way the node works.\n //\n // (Using subclassing for this was intentionally decided against,\n // since it'd require exposing a whole slew of finnicky\n // implementation details to the user code that they probably will\n // never need.)\n NodeViewDesc.create = function create (parent, node, outerDeco, innerDeco, view, pos) {\n var assign;\n\n var custom = view.nodeViews[node.type.name], descObj;\n var spec = custom && custom(node, view, function () {\n // (This is a function that allows the custom view to find its\n // own position)\n if (!descObj) { return pos }\n if (descObj.parent) { return descObj.parent.posBeforeChild(descObj) }\n }, outerDeco);\n\n var dom = spec && spec.dom, contentDOM = spec && spec.contentDOM;\n if (node.isText) {\n if (!dom) { dom = document.createTextNode(node.text); }\n else if (dom.nodeType != 3) { throw new RangeError(\"Text must be rendered as a DOM text node\") }\n } else if (!dom) {\n((assign = DOMSerializer.renderSpec(document, node.type.spec.toDOM(node)), dom = assign.dom, contentDOM = assign.contentDOM));\n }\n if (!contentDOM && !node.isText && dom.nodeName != \"BR\") { // Chrome gets confused by <br contenteditable=false>\n if (!dom.hasAttribute(\"contenteditable\")) { dom.contentEditable = false; }\n if (node.type.spec.draggable) { dom.draggable = true; }\n }\n\n var nodeDOM = dom;\n dom = applyOuterDeco(dom, outerDeco, node);\n\n if (spec)\n { return descObj = new CustomNodeViewDesc(parent, node, outerDeco, innerDeco, dom, contentDOM, nodeDOM,\n spec, view, pos + 1) }\n else if (node.isText)\n { return new TextViewDesc(parent, node, outerDeco, innerDeco, dom, nodeDOM, view) }\n else\n { return new NodeViewDesc(parent, node, outerDeco, innerDeco, dom, contentDOM, nodeDOM, view, pos + 1) }\n };\n\n NodeViewDesc.prototype.parseRule = function parseRule () {\n var this$1 = this;\n\n // Experimental kludge to allow opt-in re-parsing of nodes\n if (this.node.type.spec.reparseInView) { return null }\n // FIXME the assumption that this can always return the current\n // attrs means that if the user somehow manages to change the\n // attrs in the dom, that won't be picked up. Not entirely sure\n // whether this is a problem\n var rule = {node: this.node.type.name, attrs: this.node.attrs};\n if (this.node.type.spec.code) { rule.preserveWhitespace = \"full\"; }\n if (this.contentDOM && !this.contentLost) { rule.contentElement = this.contentDOM; }\n else { rule.getContent = function () { return this$1.contentDOM ? Fragment.empty : this$1.node.content; }; }\n return rule\n };\n\n NodeViewDesc.prototype.matchesNode = function matchesNode (node, outerDeco, innerDeco) {\n return this.dirty == NOT_DIRTY && node.eq(this.node) &&\n sameOuterDeco(outerDeco, this.outerDeco) && innerDeco.eq(this.innerDeco)\n };\n\n prototypeAccessors$3.size.get = function () { return this.node.nodeSize };\n\n prototypeAccessors$3.border.get = function () { return this.node.isLeaf ? 0 : 1 };\n\n // Syncs `this.children` to match `this.node.content` and the local\n // decorations, possibly introducing nesting for marks. Then, in a\n // separate step, syncs the DOM inside `this.contentDOM` to\n // `this.children`.\n NodeViewDesc.prototype.updateChildren = function updateChildren (view, pos) {\n var this$1 = this;\n\n var inline = this.node.inlineContent, off = pos;\n var composition = inline && view.composing && this.localCompositionNode(view, pos);\n var updater = new ViewTreeUpdater(this, composition && composition.node);\n iterDeco(this.node, this.innerDeco, function (widget, i, insideNode) {\n if (widget.spec.marks)\n { updater.syncToMarks(widget.spec.marks, inline, view); }\n else if (widget.type.side >= 0 && !insideNode)\n { updater.syncToMarks(i == this$1.node.childCount ? Mark.none : this$1.node.child(i).marks, inline, view); }\n // If the next node is a desc matching this widget, reuse it,\n // otherwise insert the widget as a new view desc.\n updater.placeWidget(widget, view, off);\n }, function (child, outerDeco, innerDeco, i) {\n // Make sure the wrapping mark descs match the node's marks.\n updater.syncToMarks(child.marks, inline, view);\n // Either find an existing desc that exactly matches this node,\n // and drop the descs before it.\n updater.findNodeMatch(child, outerDeco, innerDeco, i) ||\n // Or try updating the next desc to reflect this node.\n updater.updateNextNode(child, outerDeco, innerDeco, view, i) ||\n // Or just add it as a new desc.\n updater.addNode(child, outerDeco, innerDeco, view, off);\n off += child.nodeSize;\n });\n // Drop all remaining descs after the current position.\n updater.syncToMarks(nothing, inline, view);\n if (this.node.isTextblock) { updater.addTextblockHacks(); }\n updater.destroyRest();\n\n // Sync the DOM if anything changed\n if (updater.changed || this.dirty == CONTENT_DIRTY) {\n // May have to protect focused DOM from being changed if a composition is active\n if (composition) { this.protectLocalComposition(view, composition); }\n renderDescs(this.contentDOM, this.children, view);\n if (result.ios) { iosHacks(this.dom); }\n }\n };\n\n NodeViewDesc.prototype.localCompositionNode = function localCompositionNode (view, pos) {\n // Only do something if both the selection and a focused text node\n // are inside of this node, and the node isn't already part of a\n // view that's a child of this view\n var ref = view.state.selection;\n var from = ref.from;\n var to = ref.to;\n if (!(view.state.selection instanceof TextSelection) || from < pos || to > pos + this.node.content.size) { return }\n var sel = view.root.getSelection();\n var textNode = nearbyTextNode(sel.focusNode, sel.focusOffset);\n if (!textNode || !this.dom.contains(textNode.parentNode)) { return }\n\n // Find the text in the focused node in the node, stop if it's not\n // there (may have been modified through other means, in which\n // case it should overwritten)\n var text = textNode.nodeValue;\n var textPos = findTextInFragment(this.node.content, text, from - pos, to - pos);\n\n return textPos < 0 ? null : {node: textNode, pos: textPos, text: text}\n };\n\n NodeViewDesc.prototype.protectLocalComposition = function protectLocalComposition (view, ref) {\n var node = ref.node;\n var pos = ref.pos;\n var text = ref.text;\n\n // The node is already part of a local view desc, leave it there\n if (this.getDesc(node)) { return }\n\n // Create a composition view for the orphaned nodes\n var topNode = node;\n for (;; topNode = topNode.parentNode) {\n if (topNode.parentNode == this.contentDOM) { break }\n while (topNode.previousSibling) { topNode.parentNode.removeChild(topNode.previousSibling); }\n while (topNode.nextSibling) { topNode.parentNode.removeChild(topNode.nextSibling); }\n if (topNode.pmViewDesc) { topNode.pmViewDesc = null; }\n }\n var desc = new CompositionViewDesc(this, topNode, node, text);\n view.compositionNodes.push(desc);\n\n // Patch up this.children to contain the composition view\n this.children = replaceNodes(this.children, pos, pos + text.length, view, desc);\n };\n\n // : (Node, [Decoration], DecorationSet, EditorView) → bool\n // If this desc be updated to match the given node decoration,\n // do so and return true.\n NodeViewDesc.prototype.update = function update (node, outerDeco, innerDeco, view) {\n if (this.dirty == NODE_DIRTY ||\n !node.sameMarkup(this.node)) { return false }\n this.updateInner(node, outerDeco, innerDeco, view);\n return true\n };\n\n NodeViewDesc.prototype.updateInner = function updateInner (node, outerDeco, innerDeco, view) {\n this.updateOuterDeco(outerDeco);\n this.node = node;\n this.innerDeco = innerDeco;\n if (this.contentDOM) { this.updateChildren(view, this.posAtStart); }\n this.dirty = NOT_DIRTY;\n };\n\n NodeViewDesc.prototype.updateOuterDeco = function updateOuterDeco (outerDeco) {\n if (sameOuterDeco(outerDeco, this.outerDeco)) { return }\n var needsWrap = this.nodeDOM.nodeType != 1;\n var oldDOM = this.dom;\n this.dom = patchOuterDeco(this.dom, this.nodeDOM,\n computeOuterDeco(this.outerDeco, this.node, needsWrap),\n computeOuterDeco(outerDeco, this.node, needsWrap));\n if (this.dom != oldDOM) {\n oldDOM.pmViewDesc = null;\n this.dom.pmViewDesc = this;\n }\n this.outerDeco = outerDeco;\n };\n\n // Mark this node as being the selected node.\n NodeViewDesc.prototype.selectNode = function selectNode () {\n this.nodeDOM.classList.add(\"ProseMirror-selectednode\");\n if (this.contentDOM || !this.node.type.spec.draggable) { this.dom.draggable = true; }\n };\n\n // Remove selected node marking from this node.\n NodeViewDesc.prototype.deselectNode = function deselectNode () {\n this.nodeDOM.classList.remove(\"ProseMirror-selectednode\");\n if (this.contentDOM || !this.node.type.spec.draggable) { this.dom.removeAttribute(\"draggable\"); }\n };\n\n Object.defineProperties( NodeViewDesc.prototype, prototypeAccessors$3 );\n\n return NodeViewDesc;\n}(ViewDesc));\n\n// Create a view desc for the top-level document node, to be exported\n// and used by the view class.\nfunction docViewDesc(doc, outerDeco, innerDeco, dom, view) {\n applyOuterDeco(dom, outerDeco, doc);\n return new NodeViewDesc(null, doc, outerDeco, innerDeco, dom, dom, dom, view, 0)\n}\n\nvar TextViewDesc = /*@__PURE__*/(function (NodeViewDesc) {\n function TextViewDesc(parent, node, outerDeco, innerDeco, dom, nodeDOM, view) {\n NodeViewDesc.call(this, parent, node, outerDeco, innerDeco, dom, null, nodeDOM, view);\n }\n\n if ( NodeViewDesc ) TextViewDesc.__proto__ = NodeViewDesc;\n TextViewDesc.prototype = Object.create( NodeViewDesc && NodeViewDesc.prototype );\n TextViewDesc.prototype.constructor = TextViewDesc;\n\n TextViewDesc.prototype.parseRule = function parseRule () {\n var skip = this.nodeDOM.parentNode;\n while (skip && skip != this.dom && !skip.pmIsDeco) { skip = skip.parentNode; }\n return {skip: skip || true}\n };\n\n TextViewDesc.prototype.update = function update (node, outerDeco, _, view) {\n if (this.dirty == NODE_DIRTY || (this.dirty != NOT_DIRTY && !this.inParent()) ||\n !node.sameMarkup(this.node)) { return false }\n this.updateOuterDeco(outerDeco);\n if ((this.dirty != NOT_DIRTY || node.text != this.node.text) && node.text != this.nodeDOM.nodeValue) {\n this.nodeDOM.nodeValue = node.text;\n if (view.trackWrites == this.nodeDOM) { view.trackWrites = null; }\n }\n this.node = node;\n this.dirty = NOT_DIRTY;\n return true\n };\n\n TextViewDesc.prototype.inParent = function inParent () {\n var parentDOM = this.parent.contentDOM;\n for (var n = this.nodeDOM; n; n = n.parentNode) { if (n == parentDOM) { return true } }\n return false\n };\n\n TextViewDesc.prototype.domFromPos = function domFromPos (pos) {\n return {node: this.nodeDOM, offset: pos}\n };\n\n TextViewDesc.prototype.localPosFromDOM = function localPosFromDOM (dom, offset, bias) {\n if (dom == this.nodeDOM) { return this.posAtStart + Math.min(offset, this.node.text.length) }\n return NodeViewDesc.prototype.localPosFromDOM.call(this, dom, offset, bias)\n };\n\n TextViewDesc.prototype.ignoreMutation = function ignoreMutation (mutation) {\n return mutation.type != \"characterData\" && mutation.type != \"selection\"\n };\n\n TextViewDesc.prototype.slice = function slice (from, to, view) {\n var node = this.node.cut(from, to), dom = document.createTextNode(node.text);\n return new TextViewDesc(this.parent, node, this.outerDeco, this.innerDeco, dom, dom, view)\n };\n\n return TextViewDesc;\n}(NodeViewDesc));\n\n// A dummy desc used to tag trailing BR or span nodes created to work\n// around contentEditable terribleness.\nvar BRHackViewDesc = /*@__PURE__*/(function (ViewDesc) {\n function BRHackViewDesc () {\n ViewDesc.apply(this, arguments);\n }\n\n if ( ViewDesc ) BRHackViewDesc.__proto__ = ViewDesc;\n BRHackViewDesc.prototype = Object.create( ViewDesc && ViewDesc.prototype );\n BRHackViewDesc.prototype.constructor = BRHackViewDesc;\n\n BRHackViewDesc.prototype.parseRule = function parseRule () { return {ignore: true} };\n BRHackViewDesc.prototype.matchesHack = function matchesHack () { return this.dirty == NOT_DIRTY };\n\n return BRHackViewDesc;\n}(ViewDesc));\n\n// A separate subclass is used for customized node views, so that the\n// extra checks only have to be made for nodes that are actually\n// customized.\nvar CustomNodeViewDesc = /*@__PURE__*/(function (NodeViewDesc) {\n function CustomNodeViewDesc(parent, node, outerDeco, innerDeco, dom, contentDOM, nodeDOM, spec, view, pos) {\n NodeViewDesc.call(this, parent, node, outerDeco, innerDeco, dom, contentDOM, nodeDOM, view, pos);\n this.spec = spec;\n }\n\n if ( NodeViewDesc ) CustomNodeViewDesc.__proto__ = NodeViewDesc;\n CustomNodeViewDesc.prototype = Object.create( NodeViewDesc && NodeViewDesc.prototype );\n CustomNodeViewDesc.prototype.constructor = CustomNodeViewDesc;\n\n // A custom `update` method gets to decide whether the update goes\n // through. If it does, and there's a `contentDOM` node, our logic\n // updates the children.\n CustomNodeViewDesc.prototype.update = function update (node, outerDeco, innerDeco, view) {\n if (this.dirty == NODE_DIRTY) { return false }\n if (this.spec.update) {\n var result = this.spec.update(node, outerDeco);\n if (result) { this.updateInner(node, outerDeco, innerDeco, view); }\n return result\n } else if (!this.contentDOM && !node.isLeaf) {\n return false\n } else {\n return NodeViewDesc.prototype.update.call(this, node, outerDeco, innerDeco, view)\n }\n };\n\n CustomNodeViewDesc.prototype.selectNode = function selectNode () {\n this.spec.selectNode ? this.spec.selectNode() : NodeViewDesc.prototype.selectNode.call(this);\n };\n\n CustomNodeViewDesc.prototype.deselectNode = function deselectNode () {\n this.spec.deselectNode ? this.spec.deselectNode() : NodeViewDesc.prototype.deselectNode.call(this);\n };\n\n CustomNodeViewDesc.prototype.setSelection = function setSelection (anchor, head, root, force) {\n this.spec.setSelection ? this.spec.setSelection(anchor, head, root)\n : NodeViewDesc.prototype.setSelection.call(this, anchor, head, root, force);\n };\n\n CustomNodeViewDesc.prototype.destroy = function destroy () {\n if (this.spec.destroy) { this.spec.destroy(); }\n NodeViewDesc.prototype.destroy.call(this);\n };\n\n CustomNodeViewDesc.prototype.stopEvent = function stopEvent (event) {\n return this.spec.stopEvent ? this.spec.stopEvent(event) : false\n };\n\n CustomNodeViewDesc.prototype.ignoreMutation = function ignoreMutation (mutation) {\n return this.spec.ignoreMutation ? this.spec.ignoreMutation(mutation) : NodeViewDesc.prototype.ignoreMutation.call(this, mutation)\n };\n\n return CustomNodeViewDesc;\n}(NodeViewDesc));\n\n// : (dom.Node, [ViewDesc])\n// Sync the content of the given DOM node with the nodes associated\n// with the given array of view descs, recursing into mark descs\n// because this should sync the subtree for a whole node at a time.\nfunction renderDescs(parentDOM, descs, view) {\n var dom = parentDOM.firstChild, written = false;\n for (var i = 0; i < descs.length; i++) {\n var desc = descs[i], childDOM = desc.dom;\n if (childDOM.parentNode == parentDOM) {\n while (childDOM != dom) { dom = rm(dom); written = true; }\n dom = dom.nextSibling;\n } else {\n written = true;\n parentDOM.insertBefore(childDOM, dom);\n }\n if (desc instanceof MarkViewDesc) {\n var pos = dom ? dom.previousSibling : parentDOM.lastChild;\n renderDescs(desc.contentDOM, desc.children, view);\n dom = pos ? pos.nextSibling : parentDOM.firstChild;\n }\n }\n while (dom) { dom = rm(dom); written = true; }\n if (written && view.trackWrites == parentDOM) { view.trackWrites = null; }\n}\n\nfunction OuterDecoLevel(nodeName) {\n if (nodeName) { this.nodeName = nodeName; }\n}\nOuterDecoLevel.prototype = Object.create(null);\n\nvar noDeco = [new OuterDecoLevel];\n\nfunction computeOuterDeco(outerDeco, node, needsWrap) {\n if (outerDeco.length == 0) { return noDeco }\n\n var top = needsWrap ? noDeco[0] : new OuterDecoLevel, result = [top];\n\n for (var i = 0; i < outerDeco.length; i++) {\n var attrs = outerDeco[i].type.attrs, cur = top;\n if (!attrs) { continue }\n if (attrs.nodeName)\n { result.push(cur = new OuterDecoLevel(attrs.nodeName)); }\n\n for (var name in attrs) {\n var val = attrs[name];\n if (val == null) { continue }\n if (needsWrap && result.length == 1)\n { result.push(cur = top = new OuterDecoLevel(node.isInline ? \"span\" : \"div\")); }\n if (name == \"class\") { cur.class = (cur.class ? cur.class + \" \" : \"\") + val; }\n else if (name == \"style\") { cur.style = (cur.style ? cur.style + \";\" : \"\") + val; }\n else if (name != \"nodeName\") { cur[name] = val; }\n }\n }\n\n return result\n}\n\nfunction patchOuterDeco(outerDOM, nodeDOM, prevComputed, curComputed) {\n // Shortcut for trivial case\n if (prevComputed == noDeco && curComputed == noDeco) { return nodeDOM }\n\n var curDOM = nodeDOM;\n for (var i = 0; i < curComputed.length; i++) {\n var deco = curComputed[i], prev = prevComputed[i];\n if (i) {\n var parent = (void 0);\n if (prev && prev.nodeName == deco.nodeName && curDOM != outerDOM &&\n (parent = curDOM.parentNode) && parent.tagName.toLowerCase() == deco.nodeName) {\n curDOM = parent;\n } else {\n parent = document.createElement(deco.nodeName);\n parent.pmIsDeco = true;\n parent.appendChild(curDOM);\n prev = noDeco[0];\n curDOM = parent;\n }\n }\n patchAttributes(curDOM, prev || noDeco[0], deco);\n }\n return curDOM\n}\n\nfunction patchAttributes(dom, prev, cur) {\n for (var name in prev)\n { if (name != \"class\" && name != \"style\" && name != \"nodeName\" && !(name in cur))\n { dom.removeAttribute(name); } }\n for (var name$1 in cur)\n { if (name$1 != \"class\" && name$1 != \"style\" && name$1 != \"nodeName\" && cur[name$1] != prev[name$1])\n { dom.setAttribute(name$1, cur[name$1]); } }\n if (prev.class != cur.class) {\n var prevList = prev.class ? prev.class.split(\" \") : nothing;\n var curList = cur.class ? cur.class.split(\" \") : nothing;\n for (var i = 0; i < prevList.length; i++) { if (curList.indexOf(prevList[i]) == -1)\n { dom.classList.remove(prevList[i]); } }\n for (var i$1 = 0; i$1 < curList.length; i$1++) { if (prevList.indexOf(curList[i$1]) == -1)\n { dom.classList.add(curList[i$1]); } }\n }\n if (prev.style != cur.style) {\n if (prev.style) {\n var prop = /\\s*([\\w\\-\\xa1-\\uffff]+)\\s*:(?:\"(?:\\\\.|[^\"])*\"|'(?:\\\\.|[^'])*'|\\(.*?\\)|[^;])*/g, m;\n while (m = prop.exec(prev.style))\n { dom.style.removeProperty(m[1]); }\n }\n if (cur.style)\n { dom.style.cssText += cur.style; }\n }\n}\n\nfunction applyOuterDeco(dom, deco, node) {\n return patchOuterDeco(dom, dom, noDeco, computeOuterDeco(deco, node, dom.nodeType != 1))\n}\n\n// : ([Decoration], [Decoration]) → bool\nfunction sameOuterDeco(a, b) {\n if (a.length != b.length) { return false }\n for (var i = 0; i < a.length; i++) { if (!a[i].type.eq(b[i].type)) { return false } }\n return true\n}\n\n// Remove a DOM node and return its next sibling.\nfunction rm(dom) {\n var next = dom.nextSibling;\n dom.parentNode.removeChild(dom);\n return next\n}\n\n// Helper class for incrementally updating a tree of mark descs and\n// the widget and node descs inside of them.\nvar ViewTreeUpdater = function ViewTreeUpdater(top, lockedNode) {\n this.top = top;\n this.lock = lockedNode;\n // Index into `this.top`'s child array, represents the current\n // update position.\n this.index = 0;\n // When entering a mark, the current top and index are pushed\n // onto this.\n this.stack = [];\n // Tracks whether anything was changed\n this.changed = false;\n\n var pre = preMatch(top.node.content, top.children);\n this.preMatched = pre.nodes;\n this.preMatchOffset = pre.offset;\n};\n\nViewTreeUpdater.prototype.getPreMatch = function getPreMatch (index) {\n return index >= this.preMatchOffset ? this.preMatched[index - this.preMatchOffset] : null\n};\n\n// Destroy and remove the children between the given indices in\n// `this.top`.\nViewTreeUpdater.prototype.destroyBetween = function destroyBetween (start, end) {\n if (start == end) { return }\n for (var i = start; i < end; i++) { this.top.children[i].destroy(); }\n this.top.children.splice(start, end - start);\n this.changed = true;\n};\n\n// Destroy all remaining children in `this.top`.\nViewTreeUpdater.prototype.destroyRest = function destroyRest () {\n this.destroyBetween(this.index, this.top.children.length);\n};\n\n// : ([Mark], EditorView)\n// Sync the current stack of mark descs with the given array of\n// marks, reusing existing mark descs when possible.\nViewTreeUpdater.prototype.syncToMarks = function syncToMarks (marks, inline, view) {\n var keep = 0, depth = this.stack.length >> 1;\n var maxKeep = Math.min(depth, marks.length);\n while (keep < maxKeep &&\n (keep == depth - 1 ? this.top : this.stack[(keep + 1) << 1]).matchesMark(marks[keep]) && marks[keep].type.spec.spanning !== false)\n { keep++; }\n\n while (keep < depth) {\n this.destroyRest();\n this.top.dirty = NOT_DIRTY;\n this.index = this.stack.pop();\n this.top = this.stack.pop();\n depth--;\n }\n while (depth < marks.length) {\n this.stack.push(this.top, this.index + 1);\n var found = -1;\n for (var i = this.index; i < Math.min(this.index + 3, this.top.children.length); i++) {\n if (this.top.children[i].matchesMark(marks[depth])) { found = i; break }\n }\n if (found > -1) {\n if (found > this.index) {\n this.changed = true;\n this.destroyBetween(this.index, found);\n }\n this.top = this.top.children[this.index];\n } else {\n var markDesc = MarkViewDesc.create(this.top, marks[depth], inline, view);\n this.top.children.splice(this.index, 0, markDesc);\n this.top = markDesc;\n this.changed = true;\n }\n this.index = 0;\n depth++;\n }\n};\n\n// : (Node, [Decoration], DecorationSet) → bool\n// Try to find a node desc matching the given data. Skip over it and\n// return true when successful.\nViewTreeUpdater.prototype.findNodeMatch = function findNodeMatch (node, outerDeco, innerDeco, index) {\n var found = -1, preMatch = index < 0 ? undefined : this.getPreMatch(index), children = this.top.children;\n if (preMatch && preMatch.matchesNode(node, outerDeco, innerDeco)) {\n found = children.indexOf(preMatch);\n } else {\n for (var i = this.index, e = Math.min(children.length, i + 5); i < e; i++) {\n var child = children[i];\n if (child.matchesNode(node, outerDeco, innerDeco) && this.preMatched.indexOf(child) < 0) {\n found = i;\n break\n }\n }\n }\n if (found < 0) { return false }\n this.destroyBetween(this.index, found);\n this.index++;\n return true\n};\n\n// : (Node, [Decoration], DecorationSet, EditorView, Fragment, number) → bool\n// Try to update the next node, if any, to the given data. Checks\n// pre-matches to avoid overwriting nodes that could still be used.\nViewTreeUpdater.prototype.updateNextNode = function updateNextNode (node, outerDeco, innerDeco, view, index) {\n for (var i = this.index; i < this.top.children.length; i++) {\n var next = this.top.children[i];\n if (next instanceof NodeViewDesc) {\n var preMatch = this.preMatched.indexOf(next);\n if (preMatch > -1 && preMatch + this.preMatchOffset != index) { return false }\n var nextDOM = next.dom;\n\n // Can't update if nextDOM is or contains this.lock, except if\n // it's a text node whose content already matches the new text\n // and whose decorations match the new ones.\n var locked = this.lock && (nextDOM == this.lock || nextDOM.nodeType == 1 && nextDOM.contains(this.lock.parentNode)) &&\n !(node.isText && next.node && next.node.isText && next.nodeDOM.nodeValue == node.text &&\n next.dirty != NODE_DIRTY && sameOuterDeco(outerDeco, next.outerDeco));\n if (!locked && next.update(node, outerDeco, innerDeco, view)) {\n this.destroyBetween(this.index, i);\n if (next.dom != nextDOM) { this.changed = true; }\n this.index++;\n return true\n }\n break\n }\n }\n return false\n};\n\n// : (Node, [Decoration], DecorationSet, EditorView)\n// Insert the node as a newly created node desc.\nViewTreeUpdater.prototype.addNode = function addNode (node, outerDeco, innerDeco, view, pos) {\n this.top.children.splice(this.index++, 0, NodeViewDesc.create(this.top, node, outerDeco, innerDeco, view, pos));\n this.changed = true;\n};\n\nViewTreeUpdater.prototype.placeWidget = function placeWidget (widget, view, pos) {\n var next = this.index < this.top.children.length ? this.top.children[this.index] : null;\n if (next && next.matchesWidget(widget) && (widget == next.widget || !next.widget.type.toDOM.parentNode)) {\n this.index++;\n } else {\n var desc = new WidgetViewDesc(this.top, widget, view, pos);\n this.top.children.splice(this.index++, 0, desc);\n this.changed = true;\n }\n};\n\n// Make sure a textblock looks and behaves correctly in\n// contentEditable.\nViewTreeUpdater.prototype.addTextblockHacks = function addTextblockHacks () {\n var lastChild = this.top.children[this.index - 1];\n while (lastChild instanceof MarkViewDesc) { lastChild = lastChild.children[lastChild.children.length - 1]; }\n\n if (!lastChild || // Empty textblock\n !(lastChild instanceof TextViewDesc) ||\n /\\n$/.test(lastChild.node.text)) {\n if (this.index < this.top.children.length && this.top.children[this.index].matchesHack()) {\n this.index++;\n } else {\n var dom = document.createElement(\"br\");\n this.top.children.splice(this.index++, 0, new BRHackViewDesc(this.top, nothing, dom, null));\n this.changed = true;\n }\n }\n};\n\n// : (Fragment, [ViewDesc]) → [ViewDesc]\n// Iterate from the end of the fragment and array of descs to find\n// directly matching ones, in order to avoid overeagerly reusing\n// those for other nodes. Returns an array whose positions correspond\n// to node positions in the fragment, and whose elements are either\n// descs matched to the child at that index, or empty.\nfunction preMatch(frag, descs) {\n var result = [], end = frag.childCount;\n for (var i = descs.length - 1; end > 0 && i >= 0; i--) {\n var desc = descs[i], node = desc.node;\n if (!node) { continue }\n if (node != frag.child(end - 1)) { break }\n result.push(desc);\n --end;\n }\n return {nodes: result.reverse(), offset: end}\n}\n\nfunction compareSide(a, b) { return a.type.side - b.type.side }\n\n// : (ViewDesc, DecorationSet, (Decoration, number), (Node, [Decoration], DecorationSet, number))\n// This function abstracts iterating over the nodes and decorations in\n// a fragment. Calls `onNode` for each node, with its local and child\n// decorations. Splits text nodes when there is a decoration starting\n// or ending inside of them. Calls `onWidget` for each widget.\nfunction iterDeco(parent, deco, onWidget, onNode) {\n var locals = deco.locals(parent), offset = 0;\n // Simple, cheap variant for when there are no local decorations\n if (locals.length == 0) {\n for (var i = 0; i < parent.childCount; i++) {\n var child = parent.child(i);\n onNode(child, locals, deco.forChild(offset, child), i);\n offset += child.nodeSize;\n }\n return\n }\n\n var decoIndex = 0, active = [], restNode = null;\n for (var parentIndex = 0;;) {\n if (decoIndex < locals.length && locals[decoIndex].to == offset) {\n var widget = locals[decoIndex++], widgets = (void 0);\n while (decoIndex < locals.length && locals[decoIndex].to == offset)\n { (widgets || (widgets = [widget])).push(locals[decoIndex++]); }\n if (widgets) {\n widgets.sort(compareSide);\n for (var i$1 = 0; i$1 < widgets.length; i$1++) { onWidget(widgets[i$1], parentIndex, !!restNode); }\n } else {\n onWidget(widget, parentIndex, !!restNode);\n }\n }\n\n var child$1 = (void 0), index = (void 0);\n if (restNode) {\n index = -1;\n child$1 = restNode;\n restNode = null;\n } else if (parentIndex < parent.childCount) {\n index = parentIndex;\n child$1 = parent.child(parentIndex++);\n } else {\n break\n }\n\n for (var i$2 = 0; i$2 < active.length; i$2++) { if (active[i$2].to <= offset) { active.splice(i$2--, 1); } }\n while (decoIndex < locals.length && locals[decoIndex].from <= offset && locals[decoIndex].to > offset) { active.push(locals[decoIndex++]); }\n\n var end = offset + child$1.nodeSize;\n if (child$1.isText) {\n var cutAt = end;\n if (decoIndex < locals.length && locals[decoIndex].from < cutAt) { cutAt = locals[decoIndex].from; }\n for (var i$3 = 0; i$3 < active.length; i$3++) { if (active[i$3].to < cutAt) { cutAt = active[i$3].to; } }\n if (cutAt < end) {\n restNode = child$1.cut(cutAt - offset);\n child$1 = child$1.cut(0, cutAt - offset);\n end = cutAt;\n index = -1;\n }\n }\n\n onNode(child$1, active.length ? active.slice() : nothing, deco.forChild(offset, child$1), index);\n offset = end;\n }\n}\n\n// List markers in Mobile Safari will mysteriously disappear\n// sometimes. This works around that.\nfunction iosHacks(dom) {\n if (dom.nodeName == \"UL\" || dom.nodeName == \"OL\") {\n var oldCSS = dom.style.cssText;\n dom.style.cssText = oldCSS + \"; list-style: square !important\";\n window.getComputedStyle(dom).listStyle;\n dom.style.cssText = oldCSS;\n }\n}\n\nfunction nearbyTextNode(node, offset) {\n for (;;) {\n if (node.nodeType == 3) { return node }\n if (node.nodeType == 1 && offset > 0) {\n if (node.childNodes.length > offset && node.childNodes[offset].nodeType == 3)\n { return node.childNodes[offset] }\n node = node.childNodes[offset - 1];\n offset = nodeSize(node);\n } else if (node.nodeType == 1 && offset < node.childNodes.length) {\n node = node.childNodes[offset];\n offset = 0;\n } else {\n return null\n }\n }\n}\n\n// Find a piece of text in an inline fragment, overlapping from-to\nfunction findTextInFragment(frag, text, from, to) {\n for (var i = 0, pos = 0; i < frag.childCount && pos <= to;) {\n var child = frag.child(i++), childStart = pos;\n pos += child.nodeSize;\n if (!child.isText) { continue }\n var str = child.text;\n while (i < frag.childCount) {\n var next = frag.child(i++);\n pos += next.nodeSize;\n if (!next.isText) { break }\n str += next.text;\n }\n if (pos >= from) {\n var found = str.lastIndexOf(text, to - childStart);\n if (found >= 0 && found + text.length + childStart >= from)\n { return childStart + found }\n }\n }\n return -1\n}\n\n// Replace range from-to in an array of view descs with replacement\n// (may be null to just delete). This goes very much against the grain\n// of the rest of this code, which tends to create nodes with the\n// right shape in one go, rather than messing with them after\n// creation, but is necessary in the composition hack.\nfunction replaceNodes(nodes, from, to, view, replacement) {\n var result = [];\n for (var i = 0, off = 0; i < nodes.length; i++) {\n var child = nodes[i], start = off, end = off += child.size;\n if (start >= to || end <= from) {\n result.push(child);\n } else {\n if (start < from) { result.push(child.slice(0, from - start, view)); }\n if (replacement) {\n result.push(replacement);\n replacement = null;\n }\n if (end > to) { result.push(child.slice(to - start, child.size, view)); }\n }\n }\n return result\n}\n\nfunction moveSelectionBlock(state, dir) {\n var ref = state.selection;\n var $anchor = ref.$anchor;\n var $head = ref.$head;\n var $side = dir > 0 ? $anchor.max($head) : $anchor.min($head);\n var $start = !$side.parent.inlineContent ? $side : $side.depth ? state.doc.resolve(dir > 0 ? $side.after() : $side.before()) : null;\n return $start && Selection.findFrom($start, dir)\n}\n\nfunction apply(view, sel) {\n view.dispatch(view.state.tr.setSelection(sel).scrollIntoView());\n return true\n}\n\nfunction selectHorizontally(view, dir, mods) {\n var sel = view.state.selection;\n if (sel instanceof TextSelection) {\n if (!sel.empty || mods.indexOf(\"s\") > -1) {\n return false\n } else if (view.endOfTextblock(dir > 0 ? \"right\" : \"left\")) {\n var next = moveSelectionBlock(view.state, dir);\n if (next && (next instanceof NodeSelection)) { return apply(view, next) }\n return false\n } else if (!(result.mac && mods.indexOf(\"m\") > -1)) {\n var $head = sel.$head, node = $head.textOffset ? null : dir < 0 ? $head.nodeBefore : $head.nodeAfter, desc;\n if (!node || node.isText) { return false }\n var nodePos = dir < 0 ? $head.pos - node.nodeSize : $head.pos;\n if (!(node.isAtom || (desc = view.docView.descAt(nodePos)) && !desc.contentDOM)) { return false }\n if (NodeSelection.isSelectable(node)) {\n return apply(view, new NodeSelection(dir < 0 ? view.state.doc.resolve($head.pos - node.nodeSize) : $head))\n } else if (result.webkit) {\n // Chrome and Safari will introduce extra pointless cursor\n // positions around inline uneditable nodes, so we have to\n // take over and move the cursor past them (#937)\n return apply(view, new TextSelection(view.state.doc.resolve(dir < 0 ? nodePos : nodePos + node.nodeSize)))\n } else {\n return false\n }\n }\n } else if (sel instanceof NodeSelection && sel.node.isInline) {\n return apply(view, new TextSelection(dir > 0 ? sel.$to : sel.$from))\n } else {\n var next$1 = moveSelectionBlock(view.state, dir);\n if (next$1) { return apply(view, next$1) }\n return false\n }\n}\n\nfunction nodeLen(node) {\n return node.nodeType == 3 ? node.nodeValue.length : node.childNodes.length\n}\n\nfunction isIgnorable(dom) {\n var desc = dom.pmViewDesc;\n return desc && desc.size == 0 && (dom.nextSibling || dom.nodeName != \"BR\")\n}\n\n// Make sure the cursor isn't directly after one or more ignored\n// nodes, which will confuse the browser's cursor motion logic.\nfunction skipIgnoredNodesLeft(view) {\n var sel = view.root.getSelection();\n var node = sel.focusNode, offset = sel.focusOffset;\n if (!node) { return }\n var moveNode, moveOffset, force = false;\n // Gecko will do odd things when the selection is directly in front\n // of a non-editable node, so in that case, move it into the next\n // node if possible. Issue prosemirror/prosemirror#832.\n if (result.gecko && node.nodeType == 1 && offset < nodeLen(node) && isIgnorable(node.childNodes[offset])) { force = true; }\n for (;;) {\n if (offset > 0) {\n if (node.nodeType != 1) {\n break\n } else {\n var before = node.childNodes[offset - 1];\n if (isIgnorable(before)) {\n moveNode = node;\n moveOffset = --offset;\n } else if (before.nodeType == 3) {\n node = before;\n offset = node.nodeValue.length;\n } else { break }\n }\n } else if (isBlockNode(node)) {\n break\n } else {\n var prev = node.previousSibling;\n while (prev && isIgnorable(prev)) {\n moveNode = node.parentNode;\n moveOffset = domIndex(prev);\n prev = prev.previousSibling;\n }\n if (!prev) {\n node = node.parentNode;\n if (node == view.dom) { break }\n offset = 0;\n } else {\n node = prev;\n offset = nodeLen(node);\n }\n }\n }\n if (force) { setSelFocus(view, sel, node, offset); }\n else if (moveNode) { setSelFocus(view, sel, moveNode, moveOffset); }\n}\n\n// Make sure the cursor isn't directly before one or more ignored\n// nodes.\nfunction skipIgnoredNodesRight(view) {\n var sel = view.root.getSelection();\n var node = sel.focusNode, offset = sel.focusOffset;\n if (!node) { return }\n var len = nodeLen(node);\n var moveNode, moveOffset;\n for (;;) {\n if (offset < len) {\n if (node.nodeType != 1) { break }\n var after = node.childNodes[offset];\n if (isIgnorable(after)) {\n moveNode = node;\n moveOffset = ++offset;\n }\n else { break }\n } else if (isBlockNode(node)) {\n break\n } else {\n var next = node.nextSibling;\n while (next && isIgnorable(next)) {\n moveNode = next.parentNode;\n moveOffset = domIndex(next) + 1;\n next = next.nextSibling;\n }\n if (!next) {\n node = node.parentNode;\n if (node == view.dom) { break }\n offset = len = 0;\n } else {\n node = next;\n offset = 0;\n len = nodeLen(node);\n }\n }\n }\n if (moveNode) { setSelFocus(view, sel, moveNode, moveOffset); }\n}\n\nfunction isBlockNode(dom) {\n var desc = dom.pmViewDesc;\n return desc && desc.node && desc.node.isBlock\n}\n\nfunction setSelFocus(view, sel, node, offset) {\n if (selectionCollapsed(sel)) {\n var range = document.createRange();\n range.setEnd(node, offset);\n range.setStart(node, offset);\n sel.removeAllRanges();\n sel.addRange(range);\n } else if (sel.extend) {\n sel.extend(node, offset);\n }\n view.domObserver.setCurSelection();\n}\n\n// : (EditorState, number)\n// Check whether vertical selection motion would involve node\n// selections. If so, apply it (if not, the result is left to the\n// browser)\nfunction selectVertically(view, dir, mods) {\n var sel = view.state.selection;\n if (sel instanceof TextSelection && !sel.empty || mods.indexOf(\"s\") > -1) { return false }\n if (result.mac && mods.indexOf(\"m\") > -1) { return false }\n var $from = sel.$from;\n var $to = sel.$to;\n\n if (!$from.parent.inlineContent || view.endOfTextblock(dir < 0 ? \"up\" : \"down\")) {\n var next = moveSelectionBlock(view.state, dir);\n if (next && (next instanceof NodeSelection))\n { return apply(view, next) }\n }\n if (!$from.parent.inlineContent) {\n var beyond = Selection.findFrom(dir < 0 ? $from : $to, dir);\n return beyond ? apply(view, beyond) : true\n }\n return false\n}\n\nfunction stopNativeHorizontalDelete(view, dir) {\n if (!(view.state.selection instanceof TextSelection)) { return true }\n var ref = view.state.selection;\n var $head = ref.$head;\n var $anchor = ref.$anchor;\n var empty = ref.empty;\n if (!$head.sameParent($anchor)) { return true }\n if (!empty) { return false }\n if (view.endOfTextblock(dir > 0 ? \"forward\" : \"backward\")) { return true }\n var nextNode = !$head.textOffset && (dir < 0 ? $head.nodeBefore : $head.nodeAfter);\n if (nextNode && !nextNode.isText) {\n var tr = view.state.tr;\n if (dir < 0) { tr.delete($head.pos - nextNode.nodeSize, $head.pos); }\n else { tr.delete($head.pos, $head.pos + nextNode.nodeSize); }\n view.dispatch(tr);\n return true\n }\n return false\n}\n\nfunction switchEditable(view, node, state) {\n view.domObserver.stop();\n node.contentEditable = state;\n view.domObserver.start();\n}\n\n// Issue #867 / https://bugs.chromium.org/p/chromium/issues/detail?id=903821\n// In which Chrome does really wrong things when the down arrow is\n// pressed when the cursor is directly at the start of a textblock and\n// has an uneditable node after it\nfunction chromeDownArrowBug(view) {\n if (!result.chrome || view.state.selection.$head.parentOffset > 0) { return }\n var ref = view.root.getSelection();\n var focusNode = ref.focusNode;\n var focusOffset = ref.focusOffset;\n if (focusNode && focusNode.nodeType == 1 && focusOffset == 0 &&\n focusNode.firstChild && focusNode.firstChild.contentEditable == \"false\") {\n var child = focusNode.firstChild;\n switchEditable(view, child, true);\n setTimeout(function () { return switchEditable(view, child, false); }, 20);\n }\n}\n\n// A backdrop key mapping used to make sure we always suppress keys\n// that have a dangerous default effect, even if the commands they are\n// bound to return false, and to make sure that cursor-motion keys\n// find a cursor (as opposed to a node selection) when pressed. For\n// cursor-motion keys, the code in the handlers also takes care of\n// block selections.\n\nfunction getMods(event) {\n var result = \"\";\n if (event.ctrlKey) { result += \"c\"; }\n if (event.metaKey) { result += \"m\"; }\n if (event.altKey) { result += \"a\"; }\n if (event.shiftKey) { result += \"s\"; }\n return result\n}\n\nfunction captureKeyDown(view, event) {\n var code = event.keyCode, mods = getMods(event);\n if (code == 8 || (result.mac && code == 72 && mods == \"c\")) { // Backspace, Ctrl-h on Mac\n return stopNativeHorizontalDelete(view, -1) || skipIgnoredNodesLeft(view)\n } else if (code == 46 || (result.mac && code == 68 && mods == \"c\")) { // Delete, Ctrl-d on Mac\n return stopNativeHorizontalDelete(view, 1) || skipIgnoredNodesRight(view)\n } else if (code == 13 || code == 27) { // Enter, Esc\n return true\n } else if (code == 37) { // Left arrow\n return selectHorizontally(view, -1, mods) || skipIgnoredNodesLeft(view)\n } else if (code == 39) { // Right arrow\n return selectHorizontally(view, 1, mods) || skipIgnoredNodesRight(view)\n } else if (code == 38) { // Up arrow\n return selectVertically(view, -1, mods) || skipIgnoredNodesLeft(view)\n } else if (code == 40) { // Down arrow\n return chromeDownArrowBug(view) || selectVertically(view, 1, mods) || skipIgnoredNodesRight(view)\n } else if (mods == (result.mac ? \"m\" : \"c\") &&\n (code == 66 || code == 73 || code == 89 || code == 90)) { // Mod-[biyz]\n return true\n }\n return false\n}\n\nfunction selectionFromDOM(view, origin) {\n var domSel = view.root.getSelection(), doc = view.state.doc;\n if (!domSel.focusNode) { return null }\n var nearestDesc = view.docView.nearestDesc(domSel.focusNode), inWidget = nearestDesc && nearestDesc.size == 0;\n var head = view.docView.posFromDOM(domSel.focusNode, domSel.focusOffset);\n if (head < 0) { return null }\n var $head = doc.resolve(head), $anchor, selection;\n if (selectionCollapsed(domSel)) {\n $anchor = $head;\n while (nearestDesc && !nearestDesc.node) { nearestDesc = nearestDesc.parent; }\n if (nearestDesc && nearestDesc.node.isAtom && NodeSelection.isSelectable(nearestDesc.node) && nearestDesc.parent\n && !(nearestDesc.node.isInline && isOnEdge(domSel.focusNode, domSel.focusOffset, nearestDesc.dom))) {\n var pos = nearestDesc.posBefore;\n selection = new NodeSelection(head == pos ? $head : doc.resolve(pos));\n }\n } else {\n var anchor = view.docView.posFromDOM(domSel.anchorNode, domSel.anchorOffset);\n if (anchor < 0) { return null }\n $anchor = doc.resolve(anchor);\n }\n\n if (!selection) {\n var bias = origin == \"pointer\" || (view.state.selection.head < $head.pos && !inWidget) ? 1 : -1;\n selection = selectionBetween(view, $anchor, $head, bias);\n }\n return selection\n}\n\nfunction selectionToDOM(view, force) {\n var sel = view.state.selection;\n syncNodeSelection(view, sel);\n\n if (view.editable ? !view.hasFocus() :\n !(hasSelection(view) && document.activeElement && document.activeElement.contains(view.dom))) { return }\n\n view.domObserver.disconnectSelection();\n\n if (view.cursorWrapper) {\n selectCursorWrapper(view);\n } else {\n var anchor = sel.anchor;\n var head = sel.head;\n var resetEditableFrom, resetEditableTo;\n if (brokenSelectBetweenUneditable && !(sel instanceof TextSelection)) {\n if (!sel.$from.parent.inlineContent)\n { resetEditableFrom = temporarilyEditableNear(view, sel.from); }\n if (!sel.empty && !sel.$from.parent.inlineContent)\n { resetEditableTo = temporarilyEditableNear(view, sel.to); }\n }\n view.docView.setSelection(anchor, head, view.root, force);\n if (brokenSelectBetweenUneditable) {\n if (resetEditableFrom) { resetEditable(resetEditableFrom); }\n if (resetEditableTo) { resetEditable(resetEditableTo); }\n }\n if (sel.visible) {\n view.dom.classList.remove(\"ProseMirror-hideselection\");\n } else {\n view.dom.classList.add(\"ProseMirror-hideselection\");\n if (\"onselectionchange\" in document) { removeClassOnSelectionChange(view); }\n }\n }\n\n view.domObserver.setCurSelection();\n view.domObserver.connectSelection();\n}\n\n// Kludge to work around Webkit not allowing a selection to start/end\n// between non-editable block nodes. We briefly make something\n// editable, set the selection, then set it uneditable again.\n\nvar brokenSelectBetweenUneditable = result.safari || result.chrome && result.chrome_version < 63;\n\nfunction temporarilyEditableNear(view, pos) {\n var ref = view.docView.domFromPos(pos);\n var node = ref.node;\n var offset = ref.offset;\n var after = offset < node.childNodes.length ? node.childNodes[offset] : null;\n var before = offset ? node.childNodes[offset - 1] : null;\n if (result.safari && after && after.contentEditable == \"false\") { return setEditable(after) }\n if ((!after || after.contentEditable == \"false\") && (!before || before.contentEditable == \"false\")) {\n if (after) { return setEditable(after) }\n else if (before) { return setEditable(before) }\n }\n}\n\nfunction setEditable(element) {\n element.contentEditable = \"true\";\n if (result.safari && element.draggable) { element.draggable = false; element.wasDraggable = true; }\n return element\n}\n\nfunction resetEditable(element) {\n element.contentEditable = \"false\";\n if (element.wasDraggable) { element.draggable = true; element.wasDraggable = null; }\n}\n\nfunction removeClassOnSelectionChange(view) {\n var doc = view.dom.ownerDocument;\n doc.removeEventListener(\"selectionchange\", view.hideSelectionGuard);\n var domSel = view.root.getSelection();\n var node = domSel.anchorNode, offset = domSel.anchorOffset;\n doc.addEventListener(\"selectionchange\", view.hideSelectionGuard = function () {\n if (domSel.anchorNode != node || domSel.anchorOffset != offset) {\n doc.removeEventListener(\"selectionchange\", view.hideSelectionGuard);\n view.dom.classList.remove(\"ProseMirror-hideselection\");\n }\n });\n}\n\nfunction selectCursorWrapper(view) {\n var domSel = view.root.getSelection(), range = document.createRange();\n var node = view.cursorWrapper.dom, img = node.nodeName == \"IMG\";\n if (img) { range.setEnd(node.parentNode, domIndex(node) + 1); }\n else { range.setEnd(node, 0); }\n range.collapse(false);\n domSel.removeAllRanges();\n domSel.addRange(range);\n // Kludge to kill 'control selection' in IE11 when selecting an\n // invisible cursor wrapper, since that would result in those weird\n // resize handles and a selection that considers the absolutely\n // positioned wrapper, rather than the root editable node, the\n // focused element.\n if (!img && !view.state.selection.visible && result.ie && result.ie_version <= 11) {\n node.disabled = true;\n node.disabled = false;\n }\n}\n\nfunction syncNodeSelection(view, sel) {\n if (sel instanceof NodeSelection) {\n var desc = view.docView.descAt(sel.from);\n if (desc != view.lastSelectedViewDesc) {\n clearNodeSelection(view);\n if (desc) { desc.selectNode(); }\n view.lastSelectedViewDesc = desc;\n }\n } else {\n clearNodeSelection(view);\n }\n}\n\n// Clear all DOM statefulness of the last node selection.\nfunction clearNodeSelection(view) {\n if (view.lastSelectedViewDesc) {\n if (view.lastSelectedViewDesc.parent)\n { view.lastSelectedViewDesc.deselectNode(); }\n view.lastSelectedViewDesc = null;\n }\n}\n\nfunction selectionBetween(view, $anchor, $head, bias) {\n return view.someProp(\"createSelectionBetween\", function (f) { return f(view, $anchor, $head); })\n || TextSelection.between($anchor, $head, bias)\n}\n\nfunction hasFocusAndSelection(view) {\n if (view.editable && view.root.activeElement != view.dom) { return false }\n return hasSelection(view)\n}\n\nfunction hasSelection(view) {\n var sel = view.root.getSelection();\n if (!sel.anchorNode) { return false }\n try {\n // Firefox will raise 'permission denied' errors when accessing\n // properties of `sel.anchorNode` when it's in a generated CSS\n // element.\n return view.dom.contains(sel.anchorNode.nodeType == 3 ? sel.anchorNode.parentNode : sel.anchorNode) &&\n (view.editable || view.dom.contains(sel.focusNode.nodeType == 3 ? sel.focusNode.parentNode : sel.focusNode))\n } catch(_) {\n return false\n }\n}\n\nfunction anchorInRightPlace(view) {\n var anchorDOM = view.docView.domFromPos(view.state.selection.anchor);\n var domSel = view.root.getSelection();\n return isEquivalentPosition(anchorDOM.node, anchorDOM.offset, domSel.anchorNode, domSel.anchorOffset)\n}\n\n// Note that all referencing and parsing is done with the\n// start-of-operation selection and document, since that's the one\n// that the DOM represents. If any changes came in in the meantime,\n// the modification is mapped over those before it is applied, in\n// readDOMChange.\n\nfunction parseBetween(view, from_, to_) {\n var ref = view.docView.parseRange(from_, to_);\n var parent = ref.node;\n var fromOffset = ref.fromOffset;\n var toOffset = ref.toOffset;\n var from = ref.from;\n var to = ref.to;\n\n var domSel = view.root.getSelection(), find = null, anchor = domSel.anchorNode;\n if (anchor && view.dom.contains(anchor.nodeType == 1 ? anchor : anchor.parentNode)) {\n find = [{node: anchor, offset: domSel.anchorOffset}];\n if (!selectionCollapsed(domSel))\n { find.push({node: domSel.focusNode, offset: domSel.focusOffset}); }\n }\n // Work around issue in Chrome where backspacing sometimes replaces\n // the deleted content with a random BR node (issues #799, #831)\n if (result.chrome && view.lastKeyCode === 8) {\n for (var off = toOffset; off > fromOffset; off--) {\n var node = parent.childNodes[off - 1], desc = node.pmViewDesc;\n if (node.nodeType == \"BR\" && !desc) { toOffset = off; break }\n if (!desc || desc.size) { break }\n }\n }\n var startDoc = view.state.doc;\n var parser = view.someProp(\"domParser\") || DOMParser.fromSchema(view.state.schema);\n var $from = startDoc.resolve(from);\n\n var sel = null, doc = parser.parse(parent, {\n topNode: $from.parent,\n topMatch: $from.parent.contentMatchAt($from.index()),\n topOpen: true,\n from: fromOffset,\n to: toOffset,\n preserveWhitespace: $from.parent.type.spec.code ? \"full\" : true,\n editableContent: true,\n findPositions: find,\n ruleFromNode: ruleFromNode,\n context: $from\n });\n if (find && find[0].pos != null) {\n var anchor$1 = find[0].pos, head = find[1] && find[1].pos;\n if (head == null) { head = anchor$1; }\n sel = {anchor: anchor$1 + from, head: head + from};\n }\n return {doc: doc, sel: sel, from: from, to: to}\n}\n\nfunction ruleFromNode(dom) {\n var desc = dom.pmViewDesc;\n if (desc) {\n return desc.parseRule()\n } else if (dom.nodeName == \"BR\" && dom.parentNode) {\n // Safari replaces the list item or table cell with a BR\n // directly in the list node (?!) if you delete the last\n // character in a list item or table cell (#708, #862)\n if (result.safari && /^(ul|ol)$/i.test(dom.parentNode.nodeName)) {\n var skip = document.createElement(\"div\");\n skip.appendChild(document.createElement(\"li\"));\n return {skip: skip}\n } else if (dom.parentNode.lastChild == dom || result.safari && /^(tr|table)$/i.test(dom.parentNode.nodeName)) {\n return {ignore: true}\n }\n } else if (dom.nodeName == \"IMG\" && dom.getAttribute(\"mark-placeholder\")) {\n return {ignore: true}\n }\n}\n\nfunction readDOMChange(view, from, to, typeOver, addedNodes) {\n if (from < 0) {\n var origin = view.lastSelectionTime > Date.now() - 50 ? view.lastSelectionOrigin : null;\n var newSel = selectionFromDOM(view, origin);\n if (newSel && !view.state.selection.eq(newSel)) {\n var tr$1 = view.state.tr.setSelection(newSel);\n if (origin == \"pointer\") { tr$1.setMeta(\"pointer\", true); }\n else if (origin == \"key\") { tr$1.scrollIntoView(); }\n view.dispatch(tr$1);\n }\n return\n }\n\n var $before = view.state.doc.resolve(from);\n var shared = $before.sharedDepth(to);\n from = $before.before(shared + 1);\n to = view.state.doc.resolve(to).after(shared + 1);\n\n var sel = view.state.selection;\n var parse = parseBetween(view, from, to);\n\n var doc = view.state.doc, compare = doc.slice(parse.from, parse.to);\n var preferredPos, preferredSide;\n // Prefer anchoring to end when Backspace is pressed\n if (view.lastKeyCode === 8 && Date.now() - 100 < view.lastKeyCodeTime) {\n preferredPos = view.state.selection.to;\n preferredSide = \"end\";\n } else {\n preferredPos = view.state.selection.from;\n preferredSide = \"start\";\n }\n view.lastKeyCode = null;\n\n var change = findDiff(compare.content, parse.doc.content, parse.from, preferredPos, preferredSide);\n if (!change) {\n if (typeOver && sel instanceof TextSelection && !sel.empty && sel.$head.sameParent(sel.$anchor) &&\n !view.composing && !(parse.sel && parse.sel.anchor != parse.sel.head)) {\n change = {start: sel.from, endA: sel.to, endB: sel.to};\n } else {\n if (parse.sel) {\n var sel$1 = resolveSelection(view, view.state.doc, parse.sel);\n if (sel$1 && !sel$1.eq(view.state.selection)) { view.dispatch(view.state.tr.setSelection(sel$1)); }\n }\n return\n }\n }\n view.domChangeCount++;\n // Handle the case where overwriting a selection by typing matches\n // the start or end of the selected content, creating a change\n // that's smaller than what was actually overwritten.\n if (view.state.selection.from < view.state.selection.to &&\n change.start == change.endB &&\n view.state.selection instanceof TextSelection) {\n if (change.start > view.state.selection.from && change.start <= view.state.selection.from + 2) {\n change.start = view.state.selection.from;\n } else if (change.endA < view.state.selection.to && change.endA >= view.state.selection.to - 2) {\n change.endB += (view.state.selection.to - change.endA);\n change.endA = view.state.selection.to;\n }\n }\n\n // IE11 will insert a non-breaking space _ahead_ of the space after\n // the cursor space when adding a space before another space. When\n // that happened, adjust the change to cover the space instead.\n if (result.ie && result.ie_version <= 11 && change.endB == change.start + 1 &&\n change.endA == change.start && change.start > parse.from &&\n parse.doc.textBetween(change.start - parse.from - 1, change.start - parse.from + 1) == \" \\u00a0\") {\n change.start--;\n change.endA--;\n change.endB--;\n }\n\n var $from = parse.doc.resolveNoCache(change.start - parse.from);\n var $to = parse.doc.resolveNoCache(change.endB - parse.from);\n var inlineChange = $from.sameParent($to) && $from.parent.inlineContent;\n var nextSel;\n // If this looks like the effect of pressing Enter (or was recorded\n // as being an iOS enter press), just dispatch an Enter key instead.\n if (((result.ios && view.lastIOSEnter > Date.now() - 225 &&\n (!inlineChange || addedNodes.some(function (n) { return n.nodeName == \"DIV\" || n.nodeName == \"P\"; }))) ||\n (!inlineChange && $from.pos < parse.doc.content.size &&\n (nextSel = Selection.findFrom(parse.doc.resolve($from.pos + 1), 1, true)) &&\n nextSel.head == $to.pos)) &&\n view.someProp(\"handleKeyDown\", function (f) { return f(view, keyEvent(13, \"Enter\")); })) {\n view.lastIOSEnter = 0;\n return\n }\n // Same for backspace\n if (view.state.selection.anchor > change.start &&\n looksLikeJoin(doc, change.start, change.endA, $from, $to) &&\n view.someProp(\"handleKeyDown\", function (f) { return f(view, keyEvent(8, \"Backspace\")); })) {\n if (result.android && result.chrome) { view.domObserver.suppressSelectionUpdates(); } // #820\n return\n }\n\n // This tries to detect Android virtual keyboard\n // enter-and-pick-suggestion action. That sometimes (see issue\n // #1059) first fires a DOM mutation, before moving the selection to\n // the newly created block. And then, because ProseMirror cleans up\n // the DOM selection, it gives up moving the selection entirely,\n // leaving the cursor in the wrong place. When that happens, we drop\n // the new paragraph from the initial change, and fire a simulated\n // enter key afterwards.\n if (result.android && !inlineChange && $from.start() != $to.start() && $to.parentOffset == 0 && $from.depth == $to.depth &&\n parse.sel && parse.sel.anchor == parse.sel.head && parse.sel.head == change.endA) {\n change.endB -= 2;\n $to = parse.doc.resolveNoCache(change.endB - parse.from);\n setTimeout(function () {\n view.someProp(\"handleKeyDown\", function (f) { return f(view, keyEvent(13, \"Enter\")); });\n }, 20);\n }\n\n var chFrom = change.start, chTo = change.endA;\n\n var tr, storedMarks, markChange, $from1;\n if (inlineChange) {\n if ($from.pos == $to.pos) { // Deletion\n // IE11 sometimes weirdly moves the DOM selection around after\n // backspacing out the first element in a textblock\n if (result.ie && result.ie_version <= 11 && $from.parentOffset == 0) {\n view.domObserver.suppressSelectionUpdates();\n setTimeout(function () { return selectionToDOM(view); }, 20);\n }\n tr = view.state.tr.delete(chFrom, chTo);\n storedMarks = doc.resolve(change.start).marksAcross(doc.resolve(change.endA));\n } else if ( // Adding or removing a mark\n change.endA == change.endB && ($from1 = doc.resolve(change.start)) &&\n (markChange = isMarkChange($from.parent.content.cut($from.parentOffset, $to.parentOffset),\n $from1.parent.content.cut($from1.parentOffset, change.endA - $from1.start())))\n ) {\n tr = view.state.tr;\n if (markChange.type == \"add\") { tr.addMark(chFrom, chTo, markChange.mark); }\n else { tr.removeMark(chFrom, chTo, markChange.mark); }\n } else if ($from.parent.child($from.index()).isText && $from.index() == $to.index() - ($to.textOffset ? 0 : 1)) {\n // Both positions in the same text node -- simply insert text\n var text = $from.parent.textBetween($from.parentOffset, $to.parentOffset);\n if (view.someProp(\"handleTextInput\", function (f) { return f(view, chFrom, chTo, text); })) { return }\n tr = view.state.tr.insertText(text, chFrom, chTo);\n }\n }\n\n if (!tr)\n { tr = view.state.tr.replace(chFrom, chTo, parse.doc.slice(change.start - parse.from, change.endB - parse.from)); }\n if (parse.sel) {\n var sel$2 = resolveSelection(view, tr.doc, parse.sel);\n // Chrome Android will sometimes, during composition, report the\n // selection in the wrong place. If it looks like that is\n // happening, don't update the selection.\n // Edge just doesn't move the cursor forward when you start typing\n // in an empty block or between br nodes.\n if (sel$2 && !(result.chrome && result.android && view.composing && sel$2.empty &&\n (sel$2.head == chFrom || sel$2.head == tr.mapping.map(chTo) - 1) ||\n result.ie && sel$2.empty && sel$2.head == chFrom))\n { tr.setSelection(sel$2); }\n }\n if (storedMarks) { tr.ensureMarks(storedMarks); }\n view.dispatch(tr.scrollIntoView());\n}\n\nfunction resolveSelection(view, doc, parsedSel) {\n if (Math.max(parsedSel.anchor, parsedSel.head) > doc.content.size) { return null }\n return selectionBetween(view, doc.resolve(parsedSel.anchor), doc.resolve(parsedSel.head))\n}\n\n// : (Fragment, Fragment) → ?{mark: Mark, type: string}\n// Given two same-length, non-empty fragments of inline content,\n// determine whether the first could be created from the second by\n// removing or adding a single mark type.\nfunction isMarkChange(cur, prev) {\n var curMarks = cur.firstChild.marks, prevMarks = prev.firstChild.marks;\n var added = curMarks, removed = prevMarks, type, mark, update;\n for (var i = 0; i < prevMarks.length; i++) { added = prevMarks[i].removeFromSet(added); }\n for (var i$1 = 0; i$1 < curMarks.length; i$1++) { removed = curMarks[i$1].removeFromSet(removed); }\n if (added.length == 1 && removed.length == 0) {\n mark = added[0];\n type = \"add\";\n update = function (node) { return node.mark(mark.addToSet(node.marks)); };\n } else if (added.length == 0 && removed.length == 1) {\n mark = removed[0];\n type = \"remove\";\n update = function (node) { return node.mark(mark.removeFromSet(node.marks)); };\n } else {\n return null\n }\n var updated = [];\n for (var i$2 = 0; i$2 < prev.childCount; i$2++) { updated.push(update(prev.child(i$2))); }\n if (Fragment.from(updated).eq(cur)) { return {mark: mark, type: type} }\n}\n\nfunction looksLikeJoin(old, start, end, $newStart, $newEnd) {\n if (!$newStart.parent.isTextblock ||\n // The content must have shrunk\n end - start <= $newEnd.pos - $newStart.pos ||\n // newEnd must point directly at or after the end of the block that newStart points into\n skipClosingAndOpening($newStart, true, false) < $newEnd.pos)\n { return false }\n\n var $start = old.resolve(start);\n // Start must be at the end of a block\n if ($start.parentOffset < $start.parent.content.size || !$start.parent.isTextblock)\n { return false }\n var $next = old.resolve(skipClosingAndOpening($start, true, true));\n // The next textblock must start before end and end near it\n if (!$next.parent.isTextblock || $next.pos > end ||\n skipClosingAndOpening($next, true, false) < end)\n { return false }\n\n // The fragments after the join point must match\n return $newStart.parent.content.cut($newStart.parentOffset).eq($next.parent.content)\n}\n\nfunction skipClosingAndOpening($pos, fromEnd, mayOpen) {\n var depth = $pos.depth, end = fromEnd ? $pos.end() : $pos.pos;\n while (depth > 0 && (fromEnd || $pos.indexAfter(depth) == $pos.node(depth).childCount)) {\n depth--;\n end++;\n fromEnd = false;\n }\n if (mayOpen) {\n var next = $pos.node(depth).maybeChild($pos.indexAfter(depth));\n while (next && !next.isLeaf) {\n next = next.firstChild;\n end++;\n }\n }\n return end\n}\n\nfunction findDiff(a, b, pos, preferredPos, preferredSide) {\n var start = a.findDiffStart(b, pos);\n if (start == null) { return null }\n var ref = a.findDiffEnd(b, pos + a.size, pos + b.size);\n var endA = ref.a;\n var endB = ref.b;\n if (preferredSide == \"end\") {\n var adjust = Math.max(0, start - Math.min(endA, endB));\n preferredPos -= endA + adjust - start;\n }\n if (endA < start && a.size < b.size) {\n var move = preferredPos <= start && preferredPos >= endA ? start - preferredPos : 0;\n start -= move;\n endB = start + (endB - endA);\n endA = start;\n } else if (endB < start) {\n var move$1 = preferredPos <= start && preferredPos >= endB ? start - preferredPos : 0;\n start -= move$1;\n endA = start + (endA - endB);\n endB = start;\n }\n return {start: start, endA: endA, endB: endB}\n}\n\nfunction serializeForClipboard(view, slice) {\n var context = [];\n var content = slice.content;\n var openStart = slice.openStart;\n var openEnd = slice.openEnd;\n while (openStart > 1 && openEnd > 1 && content.childCount == 1 && content.firstChild.childCount == 1) {\n openStart--;\n openEnd--;\n var node = content.firstChild;\n context.push(node.type.name, node.type.hasRequiredAttrs() ? node.attrs : null);\n content = node.content;\n }\n\n var serializer = view.someProp(\"clipboardSerializer\") || DOMSerializer.fromSchema(view.state.schema);\n var doc = detachedDoc(), wrap = doc.createElement(\"div\");\n wrap.appendChild(serializer.serializeFragment(content, {document: doc}));\n\n var firstChild = wrap.firstChild, needsWrap;\n while (firstChild && firstChild.nodeType == 1 && (needsWrap = wrapMap[firstChild.nodeName.toLowerCase()])) {\n for (var i = needsWrap.length - 1; i >= 0; i--) {\n var wrapper = doc.createElement(needsWrap[i]);\n while (wrap.firstChild) { wrapper.appendChild(wrap.firstChild); }\n wrap.appendChild(wrapper);\n }\n firstChild = wrap.firstChild;\n }\n\n if (firstChild && firstChild.nodeType == 1)\n { firstChild.setAttribute(\"data-pm-slice\", (openStart + \" \" + openEnd + \" \" + (JSON.stringify(context)))); }\n\n var text = view.someProp(\"clipboardTextSerializer\", function (f) { return f(slice); }) ||\n slice.content.textBetween(0, slice.content.size, \"\\n\\n\");\n\n return {dom: wrap, text: text}\n}\n\n// : (EditorView, string, string, ?bool, ResolvedPos) → ?Slice\n// Read a slice of content from the clipboard (or drop data).\nfunction parseFromClipboard(view, text, html, plainText, $context) {\n var dom, inCode = $context.parent.type.spec.code, slice;\n if (!html && !text) { return null }\n var asText = text && (plainText || inCode || !html);\n if (asText) {\n view.someProp(\"transformPastedText\", function (f) { text = f(text, inCode || plainText); });\n if (inCode) { return new Slice(Fragment.from(view.state.schema.text(text)), 0, 0) }\n var parsed = view.someProp(\"clipboardTextParser\", function (f) { return f(text, $context, plainText); });\n if (parsed) {\n slice = parsed;\n } else {\n dom = document.createElement(\"div\");\n text.trim().split(/(?:\\r\\n?|\\n)+/).forEach(function (block) {\n dom.appendChild(document.createElement(\"p\")).textContent = block;\n });\n }\n } else {\n view.someProp(\"transformPastedHTML\", function (f) { html = f(html); });\n dom = readHTML(html);\n }\n\n var contextNode = dom && dom.querySelector(\"[data-pm-slice]\");\n var sliceData = contextNode && /^(\\d+) (\\d+) (.*)/.exec(contextNode.getAttribute(\"data-pm-slice\"));\n if (!slice) {\n var parser = view.someProp(\"clipboardParser\") || view.someProp(\"domParser\") || DOMParser.fromSchema(view.state.schema);\n slice = parser.parseSlice(dom, {preserveWhitespace: !!(asText || sliceData), context: $context});\n }\n if (sliceData)\n { slice = addContext(closeSlice(slice, +sliceData[1], +sliceData[2]), sliceData[3]); }\n else // HTML wasn't created by ProseMirror. Make sure top-level siblings are coherent\n { slice = Slice.maxOpen(normalizeSiblings(slice.content, $context), false); }\n\n view.someProp(\"transformPasted\", function (f) { slice = f(slice); });\n return slice\n}\n\n// Takes a slice parsed with parseSlice, which means there hasn't been\n// any content-expression checking done on the top nodes, tries to\n// find a parent node in the current context that might fit the nodes,\n// and if successful, rebuilds the slice so that it fits into that parent.\n//\n// This addresses the problem that Transform.replace expects a\n// coherent slice, and will fail to place a set of siblings that don't\n// fit anywhere in the schema.\nfunction normalizeSiblings(fragment, $context) {\n if (fragment.childCount < 2) { return fragment }\n var loop = function ( d ) {\n var parent = $context.node(d);\n var match = parent.contentMatchAt($context.index(d));\n var lastWrap = (void 0), result = [];\n fragment.forEach(function (node) {\n if (!result) { return }\n var wrap = match.findWrapping(node.type), inLast;\n if (!wrap) { return result = null }\n if (inLast = result.length && lastWrap.length && addToSibling(wrap, lastWrap, node, result[result.length - 1], 0)) {\n result[result.length - 1] = inLast;\n } else {\n if (result.length) { result[result.length - 1] = closeRight(result[result.length - 1], lastWrap.length); }\n var wrapped = withWrappers(node, wrap);\n result.push(wrapped);\n match = match.matchType(wrapped.type, wrapped.attrs);\n lastWrap = wrap;\n }\n });\n if (result) { return { v: Fragment.from(result) } }\n };\n\n for (var d = $context.depth; d >= 0; d--) {\n var returned = loop( d );\n\n if ( returned ) return returned.v;\n }\n return fragment\n}\n\nfunction withWrappers(node, wrap, from) {\n if ( from === void 0 ) from = 0;\n\n for (var i = wrap.length - 1; i >= from; i--)\n { node = wrap[i].create(null, Fragment.from(node)); }\n return node\n}\n\n// Used to group adjacent nodes wrapped in similar parents by\n// normalizeSiblings into the same parent node\nfunction addToSibling(wrap, lastWrap, node, sibling, depth) {\n if (depth < wrap.length && depth < lastWrap.length && wrap[depth] == lastWrap[depth]) {\n var inner = addToSibling(wrap, lastWrap, node, sibling.lastChild, depth + 1);\n if (inner) { return sibling.copy(sibling.content.replaceChild(sibling.childCount - 1, inner)) }\n var match = sibling.contentMatchAt(sibling.childCount);\n if (match.matchType(depth == wrap.length - 1 ? node.type : wrap[depth + 1]))\n { return sibling.copy(sibling.content.append(Fragment.from(withWrappers(node, wrap, depth + 1)))) }\n }\n}\n\nfunction closeRight(node, depth) {\n if (depth == 0) { return node }\n var fragment = node.content.replaceChild(node.childCount - 1, closeRight(node.lastChild, depth - 1));\n var fill = node.contentMatchAt(node.childCount).fillBefore(Fragment.empty, true);\n return node.copy(fragment.append(fill))\n}\n\nfunction closeRange(fragment, side, from, to, depth, openEnd) {\n var node = side < 0 ? fragment.firstChild : fragment.lastChild, inner = node.content;\n if (depth < to - 1) { inner = closeRange(inner, side, from, to, depth + 1, openEnd); }\n if (depth >= from)\n { inner = side < 0 ? node.contentMatchAt(0).fillBefore(inner, fragment.childCount > 1 || openEnd <= depth).append(inner)\n : inner.append(node.contentMatchAt(node.childCount).fillBefore(Fragment.empty, true)); }\n return fragment.replaceChild(side < 0 ? 0 : fragment.childCount - 1, node.copy(inner))\n}\n\nfunction closeSlice(slice, openStart, openEnd) {\n if (openStart < slice.openStart)\n { slice = new Slice(closeRange(slice.content, -1, openStart, slice.openStart, 0, slice.openEnd), openStart, slice.openEnd); }\n if (openEnd < slice.openEnd)\n { slice = new Slice(closeRange(slice.content, 1, openEnd, slice.openEnd, 0, 0), slice.openStart, openEnd); }\n return slice\n}\n\n// Trick from jQuery -- some elements must be wrapped in other\n// elements for innerHTML to work. I.e. if you do `div.innerHTML =\n// \"<td>..</td>\"` the table cells are ignored.\nvar wrapMap = {\n thead: [\"table\"],\n tbody: [\"table\"],\n tfoot: [\"table\"],\n caption: [\"table\"],\n colgroup: [\"table\"],\n col: [\"table\", \"colgroup\"],\n tr: [\"table\", \"tbody\"],\n td: [\"table\", \"tbody\", \"tr\"],\n th: [\"table\", \"tbody\", \"tr\"]\n};\n\nvar _detachedDoc = null;\nfunction detachedDoc() {\n return _detachedDoc || (_detachedDoc = document.implementation.createHTMLDocument(\"title\"))\n}\n\nfunction readHTML(html) {\n var metas = /(\\s*<meta [^>]*>)*/.exec(html);\n if (metas) { html = html.slice(metas[0].length); }\n var elt = detachedDoc().createElement(\"div\");\n var firstTag = /(?:<meta [^>]*>)*<([a-z][^>\\s]+)/i.exec(html), wrap, depth = 0;\n if (wrap = firstTag && wrapMap[firstTag[1].toLowerCase()]) {\n html = wrap.map(function (n) { return \"<\" + n + \">\"; }).join(\"\") + html + wrap.map(function (n) { return \"</\" + n + \">\"; }).reverse().join(\"\");\n depth = wrap.length;\n }\n elt.innerHTML = html;\n for (var i = 0; i < depth; i++) { elt = elt.firstChild; }\n return elt\n}\n\nfunction addContext(slice, context) {\n if (!slice.size) { return slice }\n var schema = slice.content.firstChild.type.schema, array;\n try { array = JSON.parse(context); }\n catch(e) { return slice }\n var content = slice.content;\n var openStart = slice.openStart;\n var openEnd = slice.openEnd;\n for (var i = array.length - 2; i >= 0; i -= 2) {\n var type = schema.nodes[array[i]];\n if (!type || type.hasRequiredAttrs()) { break }\n content = Fragment.from(type.create(array[i + 1], content));\n openStart++; openEnd++;\n }\n return new Slice(content, openStart, openEnd)\n}\n\nvar observeOptions = {\n childList: true,\n characterData: true,\n characterDataOldValue: true,\n attributes: true,\n attributeOldValue: true,\n subtree: true\n};\n// IE11 has very broken mutation observers, so we also listen to DOMCharacterDataModified\nvar useCharData = result.ie && result.ie_version <= 11;\n\nvar SelectionState = function SelectionState() {\n this.anchorNode = this.anchorOffset = this.focusNode = this.focusOffset = null;\n};\n\nSelectionState.prototype.set = function set (sel) {\n this.anchorNode = sel.anchorNode; this.anchorOffset = sel.anchorOffset;\n this.focusNode = sel.focusNode; this.focusOffset = sel.focusOffset;\n};\n\nSelectionState.prototype.eq = function eq (sel) {\n return sel.anchorNode == this.anchorNode && sel.anchorOffset == this.anchorOffset &&\n sel.focusNode == this.focusNode && sel.focusOffset == this.focusOffset\n};\n\nvar DOMObserver = function DOMObserver(view, handleDOMChange) {\n var this$1 = this;\n\n this.view = view;\n this.handleDOMChange = handleDOMChange;\n this.queue = [];\n this.flushingSoon = -1;\n this.observer = window.MutationObserver &&\n new window.MutationObserver(function (mutations) {\n for (var i = 0; i < mutations.length; i++) { this$1.queue.push(mutations[i]); }\n // IE11 will sometimes (on backspacing out a single character\n // text node after a BR node) call the observer callback\n // before actually updating the DOM, which will cause\n // ProseMirror to miss the change (see #930)\n if (result.ie && result.ie_version <= 11 && mutations.some(\n function (m) { return m.type == \"childList\" && m.removedNodes.length ||\n m.type == \"characterData\" && m.oldValue.length > m.target.nodeValue.length; }))\n { this$1.flushSoon(); }\n else\n { this$1.flush(); }\n });\n this.currentSelection = new SelectionState;\n if (useCharData) {\n this.onCharData = function (e) {\n this$1.queue.push({target: e.target, type: \"characterData\", oldValue: e.prevValue});\n this$1.flushSoon();\n };\n }\n this.onSelectionChange = this.onSelectionChange.bind(this);\n this.suppressingSelectionUpdates = false;\n};\n\nDOMObserver.prototype.flushSoon = function flushSoon () {\n var this$1 = this;\n\n if (this.flushingSoon < 0)\n { this.flushingSoon = window.setTimeout(function () { this$1.flushingSoon = -1; this$1.flush(); }, 20); }\n};\n\nDOMObserver.prototype.forceFlush = function forceFlush () {\n if (this.flushingSoon > -1) {\n window.clearTimeout(this.flushingSoon);\n this.flushingSoon = -1;\n this.flush();\n }\n};\n\nDOMObserver.prototype.start = function start () {\n if (this.observer)\n { this.observer.observe(this.view.dom, observeOptions); }\n if (useCharData)\n { this.view.dom.addEventListener(\"DOMCharacterDataModified\", this.onCharData); }\n this.connectSelection();\n};\n\nDOMObserver.prototype.stop = function stop () {\n var this$1 = this;\n\n if (this.observer) {\n var take = this.observer.takeRecords();\n if (take.length) {\n for (var i = 0; i < take.length; i++) { this.queue.push(take[i]); }\n window.setTimeout(function () { return this$1.flush(); }, 20);\n }\n this.observer.disconnect();\n }\n if (useCharData) { this.view.dom.removeEventListener(\"DOMCharacterDataModified\", this.onCharData); }\n this.disconnectSelection();\n};\n\nDOMObserver.prototype.connectSelection = function connectSelection () {\n this.view.dom.ownerDocument.addEventListener(\"selectionchange\", this.onSelectionChange);\n};\n\nDOMObserver.prototype.disconnectSelection = function disconnectSelection () {\n this.view.dom.ownerDocument.removeEventListener(\"selectionchange\", this.onSelectionChange);\n};\n\nDOMObserver.prototype.suppressSelectionUpdates = function suppressSelectionUpdates () {\n var this$1 = this;\n\n this.suppressingSelectionUpdates = true;\n setTimeout(function () { return this$1.suppressingSelectionUpdates = false; }, 50);\n};\n\nDOMObserver.prototype.onSelectionChange = function onSelectionChange () {\n if (!hasFocusAndSelection(this.view)) { return }\n if (this.suppressingSelectionUpdates) { return selectionToDOM(this.view) }\n // Deletions on IE11 fire their events in the wrong order, giving\n // us a selection change event before the DOM changes are\n // reported.\n if (result.ie && result.ie_version <= 11 && !this.view.state.selection.empty) {\n var sel = this.view.root.getSelection();\n // Selection.isCollapsed isn't reliable on IE\n if (sel.focusNode && isEquivalentPosition(sel.focusNode, sel.focusOffset, sel.anchorNode, sel.anchorOffset))\n { return this.flushSoon() }\n }\n this.flush();\n};\n\nDOMObserver.prototype.setCurSelection = function setCurSelection () {\n this.currentSelection.set(this.view.root.getSelection());\n};\n\nDOMObserver.prototype.ignoreSelectionChange = function ignoreSelectionChange (sel) {\n if (sel.rangeCount == 0) { return true }\n var container = sel.getRangeAt(0).commonAncestorContainer;\n var desc = this.view.docView.nearestDesc(container);\n if (desc && desc.ignoreMutation({type: \"selection\", target: container.nodeType == 3 ? container.parentNode : container})) {\n this.setCurSelection();\n return true\n }\n};\n\nDOMObserver.prototype.flush = function flush () {\n if (!this.view.docView || this.flushingSoon > -1) { return }\n var mutations = this.observer ? this.observer.takeRecords() : [];\n if (this.queue.length) {\n mutations = this.queue.concat(mutations);\n this.queue.length = 0;\n }\n\n var sel = this.view.root.getSelection();\n var newSel = !this.suppressingSelectionUpdates && !this.currentSelection.eq(sel) && hasSelection(this.view) && !this.ignoreSelectionChange(sel);\n\n var from = -1, to = -1, typeOver = false, added = [];\n if (this.view.editable) {\n for (var i = 0; i < mutations.length; i++) {\n var result$1 = this.registerMutation(mutations[i], added);\n if (result$1) {\n from = from < 0 ? result$1.from : Math.min(result$1.from, from);\n to = to < 0 ? result$1.to : Math.max(result$1.to, to);\n if (result$1.typeOver) { typeOver = true; }\n }\n }\n }\n\n if (result.gecko && added.length > 1) {\n var brs = added.filter(function (n) { return n.nodeName == \"BR\"; });\n if (brs.length == 2) {\n var a = brs[0];\n var b = brs[1];\n if (a.parentNode && a.parentNode.parentNode == b.parentNode) { b.remove(); }\n else { a.remove(); }\n }\n }\n\n if (from > -1 || newSel) {\n if (from > -1) {\n this.view.docView.markDirty(from, to);\n checkCSS(this.view);\n }\n this.handleDOMChange(from, to, typeOver, added);\n if (this.view.docView.dirty) { this.view.updateState(this.view.state); }\n else if (!this.currentSelection.eq(sel)) { selectionToDOM(this.view); }\n }\n};\n\nDOMObserver.prototype.registerMutation = function registerMutation (mut, added) {\n // Ignore mutations inside nodes that were already noted as inserted\n if (added.indexOf(mut.target) > -1) { return null }\n var desc = this.view.docView.nearestDesc(mut.target);\n if (mut.type == \"attributes\" &&\n (desc == this.view.docView || mut.attributeName == \"contenteditable\" ||\n // Firefox sometimes fires spurious events for null/empty styles\n (mut.attributeName == \"style\" && !mut.oldValue && !mut.target.getAttribute(\"style\"))))\n { return null }\n if (!desc || desc.ignoreMutation(mut)) { return null }\n\n if (mut.type == \"childList\") {\n var prev = mut.previousSibling, next = mut.nextSibling;\n if (result.ie && result.ie_version <= 11 && mut.addedNodes.length) {\n // IE11 gives us incorrect next/prev siblings for some\n // insertions, so if there are added nodes, recompute those\n for (var i = 0; i < mut.addedNodes.length; i++) {\n var ref = mut.addedNodes[i];\n var previousSibling = ref.previousSibling;\n var nextSibling = ref.nextSibling;\n if (!previousSibling || Array.prototype.indexOf.call(mut.addedNodes, previousSibling) < 0) { prev = previousSibling; }\n if (!nextSibling || Array.prototype.indexOf.call(mut.addedNodes, nextSibling) < 0) { next = nextSibling; }\n }\n }\n var fromOffset = prev && prev.parentNode == mut.target\n ? domIndex(prev) + 1 : 0;\n var from = desc.localPosFromDOM(mut.target, fromOffset, -1);\n var toOffset = next && next.parentNode == mut.target\n ? domIndex(next) : mut.target.childNodes.length;\n for (var i$1 = 0; i$1 < mut.addedNodes.length; i$1++) { added.push(mut.addedNodes[i$1]); }\n var to = desc.localPosFromDOM(mut.target, toOffset, 1);\n return {from: from, to: to}\n } else if (mut.type == \"attributes\") {\n return {from: desc.posAtStart - desc.border, to: desc.posAtEnd + desc.border}\n } else { // \"characterData\"\n return {\n from: desc.posAtStart,\n to: desc.posAtEnd,\n // An event was generated for a text change that didn't change\n // any text. Mark the dom change to fall back to assuming the\n // selection was typed over with an identical value if it can't\n // find another change.\n typeOver: mut.target.nodeValue == mut.oldValue\n }\n }\n};\n\nvar cssChecked = false;\n\nfunction checkCSS(view) {\n if (cssChecked) { return }\n cssChecked = true;\n if (getComputedStyle(view.dom).whiteSpace == \"normal\")\n { console[\"warn\"](\"ProseMirror expects the CSS white-space property to be set, preferably to 'pre-wrap'. It is recommended to load style/prosemirror.css from the prosemirror-view package.\"); }\n}\n\n// A collection of DOM events that occur within the editor, and callback functions\n// to invoke when the event fires.\nvar handlers = {}, editHandlers = {};\n\nfunction initInput(view) {\n view.shiftKey = false;\n view.mouseDown = null;\n view.lastKeyCode = null;\n view.lastKeyCodeTime = 0;\n view.lastClick = {time: 0, x: 0, y: 0, type: \"\"};\n view.lastSelectionOrigin = null;\n view.lastSelectionTime = 0;\n\n view.lastIOSEnter = 0;\n view.lastIOSEnterFallbackTimeout = null;\n\n view.composing = false;\n view.composingTimeout = null;\n view.compositionNodes = [];\n view.compositionEndedAt = -2e8;\n\n view.domObserver = new DOMObserver(view, function (from, to, typeOver, added) { return readDOMChange(view, from, to, typeOver, added); });\n view.domObserver.start();\n // Used by hacks like the beforeinput handler to check whether anything happened in the DOM\n view.domChangeCount = 0;\n\n view.eventHandlers = Object.create(null);\n var loop = function ( event ) {\n var handler = handlers[event];\n view.dom.addEventListener(event, view.eventHandlers[event] = function (event) {\n if (eventBelongsToView(view, event) && !runCustomHandler(view, event) &&\n (view.editable || !(event.type in editHandlers)))\n { handler(view, event); }\n });\n };\n\n for (var event in handlers) loop( event );\n // On Safari, for reasons beyond my understanding, adding an input\n // event handler makes an issue where the composition vanishes when\n // you press enter go away.\n if (result.safari) { view.dom.addEventListener(\"input\", function () { return null; }); }\n\n ensureListeners(view);\n}\n\nfunction setSelectionOrigin(view, origin) {\n view.lastSelectionOrigin = origin;\n view.lastSelectionTime = Date.now();\n}\n\nfunction destroyInput(view) {\n view.domObserver.stop();\n for (var type in view.eventHandlers)\n { view.dom.removeEventListener(type, view.eventHandlers[type]); }\n clearTimeout(view.composingTimeout);\n clearTimeout(view.lastIOSEnterFallbackTimeout);\n}\n\nfunction ensureListeners(view) {\n view.someProp(\"handleDOMEvents\", function (currentHandlers) {\n for (var type in currentHandlers) { if (!view.eventHandlers[type])\n { view.dom.addEventListener(type, view.eventHandlers[type] = function (event) { return runCustomHandler(view, event); }); } }\n });\n}\n\nfunction runCustomHandler(view, event) {\n return view.someProp(\"handleDOMEvents\", function (handlers) {\n var handler = handlers[event.type];\n return handler ? handler(view, event) || event.defaultPrevented : false\n })\n}\n\nfunction eventBelongsToView(view, event) {\n if (!event.bubbles) { return true }\n if (event.defaultPrevented) { return false }\n for (var node = event.target; node != view.dom; node = node.parentNode)\n { if (!node || node.nodeType == 11 ||\n (node.pmViewDesc && node.pmViewDesc.stopEvent(event)))\n { return false } }\n return true\n}\n\nfunction dispatchEvent(view, event) {\n if (!runCustomHandler(view, event) && handlers[event.type] &&\n (view.editable || !(event.type in editHandlers)))\n { handlers[event.type](view, event); }\n}\n\neditHandlers.keydown = function (view, event) {\n view.shiftKey = event.keyCode == 16 || event.shiftKey;\n if (inOrNearComposition(view, event)) { return }\n view.domObserver.forceFlush();\n view.lastKeyCode = event.keyCode;\n view.lastKeyCodeTime = Date.now();\n // On iOS, if we preventDefault enter key presses, the virtual\n // keyboard gets confused. So the hack here is to set a flag that\n // makes the DOM change code recognize that what just happens should\n // be replaced by whatever the Enter key handlers do.\n if (result.ios && event.keyCode == 13 && !event.ctrlKey && !event.altKey && !event.metaKey) {\n var now = Date.now();\n view.lastIOSEnter = now;\n view.lastIOSEnterFallbackTimeout = setTimeout(function () {\n if (view.lastIOSEnter == now) {\n view.someProp(\"handleKeyDown\", function (f) { return f(view, keyEvent(13, \"Enter\")); });\n view.lastIOSEnter = 0;\n }\n }, 200);\n } else if (view.someProp(\"handleKeyDown\", function (f) { return f(view, event); }) || captureKeyDown(view, event)) {\n event.preventDefault();\n } else {\n setSelectionOrigin(view, \"key\");\n }\n};\n\neditHandlers.keyup = function (view, e) {\n if (e.keyCode == 16) { view.shiftKey = false; }\n};\n\neditHandlers.keypress = function (view, event) {\n if (inOrNearComposition(view, event) || !event.charCode ||\n event.ctrlKey && !event.altKey || result.mac && event.metaKey) { return }\n\n if (view.someProp(\"handleKeyPress\", function (f) { return f(view, event); })) {\n event.preventDefault();\n return\n }\n\n var sel = view.state.selection;\n if (!(sel instanceof TextSelection) || !sel.$from.sameParent(sel.$to)) {\n var text = String.fromCharCode(event.charCode);\n if (!view.someProp(\"handleTextInput\", function (f) { return f(view, sel.$from.pos, sel.$to.pos, text); }))\n { view.dispatch(view.state.tr.insertText(text).scrollIntoView()); }\n event.preventDefault();\n }\n};\n\nfunction eventCoords(event) { return {left: event.clientX, top: event.clientY} }\n\nfunction isNear(event, click) {\n var dx = click.x - event.clientX, dy = click.y - event.clientY;\n return dx * dx + dy * dy < 100\n}\n\nfunction runHandlerOnContext(view, propName, pos, inside, event) {\n if (inside == -1) { return false }\n var $pos = view.state.doc.resolve(inside);\n var loop = function ( i ) {\n if (view.someProp(propName, function (f) { return i > $pos.depth ? f(view, pos, $pos.nodeAfter, $pos.before(i), event, true)\n : f(view, pos, $pos.node(i), $pos.before(i), event, false); }))\n { return { v: true } }\n };\n\n for (var i = $pos.depth + 1; i > 0; i--) {\n var returned = loop( i );\n\n if ( returned ) return returned.v;\n }\n return false\n}\n\nfunction updateSelection(view, selection, origin) {\n if (!view.focused) { view.focus(); }\n var tr = view.state.tr.setSelection(selection);\n if (origin == \"pointer\") { tr.setMeta(\"pointer\", true); }\n view.dispatch(tr);\n}\n\nfunction selectClickedLeaf(view, inside) {\n if (inside == -1) { return false }\n var $pos = view.state.doc.resolve(inside), node = $pos.nodeAfter;\n if (node && node.isAtom && NodeSelection.isSelectable(node)) {\n updateSelection(view, new NodeSelection($pos), \"pointer\");\n return true\n }\n return false\n}\n\nfunction selectClickedNode(view, inside) {\n if (inside == -1) { return false }\n var sel = view.state.selection, selectedNode, selectAt;\n if (sel instanceof NodeSelection) { selectedNode = sel.node; }\n\n var $pos = view.state.doc.resolve(inside);\n for (var i = $pos.depth + 1; i > 0; i--) {\n var node = i > $pos.depth ? $pos.nodeAfter : $pos.node(i);\n if (NodeSelection.isSelectable(node)) {\n if (selectedNode && sel.$from.depth > 0 &&\n i >= sel.$from.depth && $pos.before(sel.$from.depth + 1) == sel.$from.pos)\n { selectAt = $pos.before(sel.$from.depth); }\n else\n { selectAt = $pos.before(i); }\n break\n }\n }\n\n if (selectAt != null) {\n updateSelection(view, NodeSelection.create(view.state.doc, selectAt), \"pointer\");\n return true\n } else {\n return false\n }\n}\n\nfunction handleSingleClick(view, pos, inside, event, selectNode) {\n return runHandlerOnContext(view, \"handleClickOn\", pos, inside, event) ||\n view.someProp(\"handleClick\", function (f) { return f(view, pos, event); }) ||\n (selectNode ? selectClickedNode(view, inside) : selectClickedLeaf(view, inside))\n}\n\nfunction handleDoubleClick(view, pos, inside, event) {\n return runHandlerOnContext(view, \"handleDoubleClickOn\", pos, inside, event) ||\n view.someProp(\"handleDoubleClick\", function (f) { return f(view, pos, event); })\n}\n\nfunction handleTripleClick(view, pos, inside, event) {\n return runHandlerOnContext(view, \"handleTripleClickOn\", pos, inside, event) ||\n view.someProp(\"handleTripleClick\", function (f) { return f(view, pos, event); }) ||\n defaultTripleClick(view, inside)\n}\n\nfunction defaultTripleClick(view, inside) {\n var doc = view.state.doc;\n if (inside == -1) {\n if (doc.inlineContent) {\n updateSelection(view, TextSelection.create(doc, 0, doc.content.size), \"pointer\");\n return true\n }\n return false\n }\n\n var $pos = doc.resolve(inside);\n for (var i = $pos.depth + 1; i > 0; i--) {\n var node = i > $pos.depth ? $pos.nodeAfter : $pos.node(i);\n var nodePos = $pos.before(i);\n if (node.inlineContent)\n { updateSelection(view, TextSelection.create(doc, nodePos + 1, nodePos + 1 + node.content.size), \"pointer\"); }\n else if (NodeSelection.isSelectable(node))\n { updateSelection(view, NodeSelection.create(doc, nodePos), \"pointer\"); }\n else\n { continue }\n return true\n }\n}\n\nfunction forceDOMFlush(view) {\n return endComposition(view)\n}\n\nvar selectNodeModifier = result.mac ? \"metaKey\" : \"ctrlKey\";\n\nhandlers.mousedown = function (view, event) {\n view.shiftKey = event.shiftKey;\n var flushed = forceDOMFlush(view);\n var now = Date.now(), type = \"singleClick\";\n if (now - view.lastClick.time < 500 && isNear(event, view.lastClick) && !event[selectNodeModifier]) {\n if (view.lastClick.type == \"singleClick\") { type = \"doubleClick\"; }\n else if (view.lastClick.type == \"doubleClick\") { type = \"tripleClick\"; }\n }\n view.lastClick = {time: now, x: event.clientX, y: event.clientY, type: type};\n\n var pos = view.posAtCoords(eventCoords(event));\n if (!pos) { return }\n\n if (type == \"singleClick\")\n { view.mouseDown = new MouseDown(view, pos, event, flushed); }\n else if ((type == \"doubleClick\" ? handleDoubleClick : handleTripleClick)(view, pos.pos, pos.inside, event))\n { event.preventDefault(); }\n else\n { setSelectionOrigin(view, \"pointer\"); }\n};\n\nvar MouseDown = function MouseDown(view, pos, event, flushed) {\n var this$1 = this;\n\n this.view = view;\n this.startDoc = view.state.doc;\n this.pos = pos;\n this.event = event;\n this.flushed = flushed;\n this.selectNode = event[selectNodeModifier];\n this.allowDefault = event.shiftKey;\n\n var targetNode, targetPos;\n if (pos.inside > -1) {\n targetNode = view.state.doc.nodeAt(pos.inside);\n targetPos = pos.inside;\n } else {\n var $pos = view.state.doc.resolve(pos.pos);\n targetNode = $pos.parent;\n targetPos = $pos.depth ? $pos.before() : 0;\n }\n\n this.mightDrag = null;\n\n var target = flushed ? null : event.target;\n var targetDesc = target ? view.docView.nearestDesc(target, true) : null;\n this.target = targetDesc ? targetDesc.dom : null;\n\n if (targetNode.type.spec.draggable && targetNode.type.spec.selectable !== false ||\n view.state.selection instanceof NodeSelection && targetPos == view.state.selection.from)\n { this.mightDrag = {node: targetNode,\n pos: targetPos,\n addAttr: this.target && !this.target.draggable,\n setUneditable: this.target && result.gecko && !this.target.hasAttribute(\"contentEditable\")}; }\n\n if (this.target && this.mightDrag && (this.mightDrag.addAttr || this.mightDrag.setUneditable)) {\n this.view.domObserver.stop();\n if (this.mightDrag.addAttr) { this.target.draggable = true; }\n if (this.mightDrag.setUneditable)\n { setTimeout(function () { return this$1.target.setAttribute(\"contentEditable\", \"false\"); }, 20); }\n this.view.domObserver.start();\n }\n\n view.root.addEventListener(\"mouseup\", this.up = this.up.bind(this));\n view.root.addEventListener(\"mousemove\", this.move = this.move.bind(this));\n setSelectionOrigin(view, \"pointer\");\n};\n\nMouseDown.prototype.done = function done () {\n this.view.root.removeEventListener(\"mouseup\", this.up);\n this.view.root.removeEventListener(\"mousemove\", this.move);\n if (this.mightDrag && this.target) {\n this.view.domObserver.stop();\n if (this.mightDrag.addAttr) { this.target.removeAttribute(\"draggable\"); }\n if (this.mightDrag.setUneditable) { this.target.removeAttribute(\"contentEditable\"); }\n this.view.domObserver.start();\n }\n this.view.mouseDown = null;\n};\n\nMouseDown.prototype.up = function up (event) {\n this.done();\n\n if (!this.view.dom.contains(event.target.nodeType == 3 ? event.target.parentNode : event.target))\n { return }\n\n var pos = this.pos;\n if (this.view.state.doc != this.startDoc) { pos = this.view.posAtCoords(eventCoords(event)); }\n\n if (this.allowDefault || !pos) {\n setSelectionOrigin(this.view, \"pointer\");\n } else if (handleSingleClick(this.view, pos.pos, pos.inside, event, this.selectNode)) {\n event.preventDefault();\n } else if (this.flushed ||\n // Safari ignores clicks on draggable elements\n (result.safari && this.mightDrag && !this.mightDrag.node.isAtom) ||\n // Chrome will sometimes treat a node selection as a\n // cursor, but still report that the node is selected\n // when asked through getSelection. You'll then get a\n // situation where clicking at the point where that\n // (hidden) cursor is doesn't change the selection, and\n // thus doesn't get a reaction from ProseMirror. This\n // works around that.\n (result.chrome && !(this.view.state.selection instanceof TextSelection) &&\n (pos.pos == this.view.state.selection.from || pos.pos == this.view.state.selection.to))) {\n updateSelection(this.view, Selection.near(this.view.state.doc.resolve(pos.pos)), \"pointer\");\n event.preventDefault();\n } else {\n setSelectionOrigin(this.view, \"pointer\");\n }\n};\n\nMouseDown.prototype.move = function move (event) {\n if (!this.allowDefault && (Math.abs(this.event.x - event.clientX) > 4 ||\n Math.abs(this.event.y - event.clientY) > 4))\n { this.allowDefault = true; }\n setSelectionOrigin(this.view, \"pointer\");\n};\n\nhandlers.touchdown = function (view) {\n forceDOMFlush(view);\n setSelectionOrigin(view, \"pointer\");\n};\n\nhandlers.contextmenu = function (view) { return forceDOMFlush(view); };\n\nfunction inOrNearComposition(view, event) {\n if (view.composing) { return true }\n // See https://www.stum.de/2016/06/24/handling-ime-events-in-javascript/.\n // On Japanese input method editors (IMEs), the Enter key is used to confirm character\n // selection. On Safari, when Enter is pressed, compositionend and keydown events are\n // emitted. The keydown event triggers newline insertion, which we don't want.\n // This method returns true if the keydown event should be ignored.\n // We only ignore it once, as pressing Enter a second time *should* insert a newline.\n // Furthermore, the keydown event timestamp must be close to the compositionEndedAt timestamp.\n // This guards against the case where compositionend is triggered without the keyboard\n // (e.g. character confirmation may be done with the mouse), and keydown is triggered\n // afterwards- we wouldn't want to ignore the keydown event in this case.\n if (result.safari && Math.abs(event.timeStamp - view.compositionEndedAt) < 500) {\n view.compositionEndedAt = -2e8;\n return true\n }\n return false\n}\n\n// Drop active composition after 5 seconds of inactivity on Android\nvar timeoutComposition = result.android ? 5000 : -1;\n\neditHandlers.compositionstart = editHandlers.compositionupdate = function (view) {\n if (!view.composing) {\n view.domObserver.flush();\n var state = view.state;\n var $pos = state.selection.$from;\n if (state.selection.empty &&\n (state.storedMarks || (!$pos.textOffset && $pos.parentOffset && $pos.nodeBefore.marks.some(function (m) { return m.type.spec.inclusive === false; })))) {\n // Need to wrap the cursor in mark nodes different from the ones in the DOM context\n view.markCursor = view.state.storedMarks || $pos.marks();\n endComposition(view, true);\n view.markCursor = null;\n } else {\n endComposition(view);\n // In firefox, if the cursor is after but outside a marked node,\n // the inserted text won't inherit the marks. So this moves it\n // inside if necessary.\n if (result.gecko && state.selection.empty && $pos.parentOffset && !$pos.textOffset && $pos.nodeBefore.marks.length) {\n var sel = view.root.getSelection();\n for (var node = sel.focusNode, offset = sel.focusOffset; node && node.nodeType == 1 && offset != 0;) {\n var before = offset < 0 ? node.lastChild : node.childNodes[offset - 1];\n if (!before) { break }\n if (before.nodeType == 3) {\n sel.collapse(before, before.nodeValue.length);\n break\n } else {\n node = before;\n offset = -1;\n }\n }\n }\n }\n view.composing = true;\n }\n scheduleComposeEnd(view, timeoutComposition);\n};\n\neditHandlers.compositionend = function (view, event) {\n if (view.composing) {\n view.composing = false;\n view.compositionEndedAt = event.timeStamp;\n scheduleComposeEnd(view, 20);\n }\n};\n\nfunction scheduleComposeEnd(view, delay) {\n clearTimeout(view.composingTimeout);\n if (delay > -1) { view.composingTimeout = setTimeout(function () { return endComposition(view); }, delay); }\n}\n\nfunction endComposition(view, forceUpdate) {\n view.domObserver.forceFlush();\n view.composing = false;\n while (view.compositionNodes.length > 0) { view.compositionNodes.pop().markParentsDirty(); }\n if (forceUpdate || view.docView.dirty) {\n var sel = selectionFromDOM(view);\n if (sel && !sel.eq(view.state.selection)) { view.dispatch(view.state.tr.setSelection(sel)); }\n else { view.updateState(view.state); }\n return true\n }\n return false\n}\n\nfunction captureCopy(view, dom) {\n // The extra wrapper is somehow necessary on IE/Edge to prevent the\n // content from being mangled when it is put onto the clipboard\n if (!view.dom.parentNode) { return }\n var wrap = view.dom.parentNode.appendChild(document.createElement(\"div\"));\n wrap.appendChild(dom);\n wrap.style.cssText = \"position: fixed; left: -10000px; top: 10px\";\n var sel = getSelection(), range = document.createRange();\n range.selectNodeContents(dom);\n // Done because IE will fire a selectionchange moving the selection\n // to its start when removeAllRanges is called and the editor still\n // has focus (which will mess up the editor's selection state).\n view.dom.blur();\n sel.removeAllRanges();\n sel.addRange(range);\n setTimeout(function () {\n if (wrap.parentNode) { wrap.parentNode.removeChild(wrap); }\n view.focus();\n }, 50);\n}\n\n// This is very crude, but unfortunately both these browsers _pretend_\n// that they have a clipboard API—all the objects and methods are\n// there, they just don't work, and they are hard to test.\nvar brokenClipboardAPI = (result.ie && result.ie_version < 15) ||\n (result.ios && result.webkit_version < 604);\n\nhandlers.copy = editHandlers.cut = function (view, e) {\n var sel = view.state.selection, cut = e.type == \"cut\";\n if (sel.empty) { return }\n\n // IE and Edge's clipboard interface is completely broken\n var data = brokenClipboardAPI ? null : e.clipboardData;\n var slice = sel.content();\n var ref = serializeForClipboard(view, slice);\n var dom = ref.dom;\n var text = ref.text;\n if (data) {\n e.preventDefault();\n data.clearData();\n data.setData(\"text/html\", dom.innerHTML);\n data.setData(\"text/plain\", text);\n } else {\n captureCopy(view, dom);\n }\n if (cut) { view.dispatch(view.state.tr.deleteSelection().scrollIntoView().setMeta(\"uiEvent\", \"cut\")); }\n};\n\nfunction sliceSingleNode(slice) {\n return slice.openStart == 0 && slice.openEnd == 0 && slice.content.childCount == 1 ? slice.content.firstChild : null\n}\n\nfunction capturePaste(view, e) {\n if (!view.dom.parentNode) { return }\n var plainText = view.shiftKey || view.state.selection.$from.parent.type.spec.code;\n var target = view.dom.parentNode.appendChild(document.createElement(plainText ? \"textarea\" : \"div\"));\n if (!plainText) { target.contentEditable = \"true\"; }\n target.style.cssText = \"position: fixed; left: -10000px; top: 10px\";\n target.focus();\n setTimeout(function () {\n view.focus();\n if (target.parentNode) { target.parentNode.removeChild(target); }\n if (plainText) { doPaste(view, target.value, null, e); }\n else { doPaste(view, target.textContent, target.innerHTML, e); }\n }, 50);\n}\n\nfunction doPaste(view, text, html, e) {\n var slice = parseFromClipboard(view, text, html, view.shiftKey, view.state.selection.$from);\n if (view.someProp(\"handlePaste\", function (f) { return f(view, e, slice || Slice.empty); }) || !slice) { return }\n\n var singleNode = sliceSingleNode(slice);\n var tr = singleNode ? view.state.tr.replaceSelectionWith(singleNode, view.shiftKey) : view.state.tr.replaceSelection(slice);\n view.dispatch(tr.scrollIntoView().setMeta(\"paste\", true).setMeta(\"uiEvent\", \"paste\"));\n}\n\neditHandlers.paste = function (view, e) {\n var data = brokenClipboardAPI ? null : e.clipboardData;\n var html = data && data.getData(\"text/html\"), text = data && data.getData(\"text/plain\");\n if (data && (html || text || data.files.length)) {\n doPaste(view, text, html, e);\n e.preventDefault();\n } else {\n capturePaste(view, e);\n }\n};\n\nvar Dragging = function Dragging(slice, move) {\n this.slice = slice;\n this.move = move;\n};\n\nvar dragCopyModifier = result.mac ? \"altKey\" : \"ctrlKey\";\n\nhandlers.dragstart = function (view, e) {\n var mouseDown = view.mouseDown;\n if (mouseDown) { mouseDown.done(); }\n if (!e.dataTransfer) { return }\n\n var sel = view.state.selection;\n var pos = sel.empty ? null : view.posAtCoords(eventCoords(e));\n if (pos && pos.pos >= sel.from && pos.pos <= (sel instanceof NodeSelection ? sel.to - 1: sel.to)) ; else if (mouseDown && mouseDown.mightDrag) {\n view.dispatch(view.state.tr.setSelection(NodeSelection.create(view.state.doc, mouseDown.mightDrag.pos)));\n } else if (e.target && e.target.nodeType == 1) {\n var desc = view.docView.nearestDesc(e.target, true);\n if (!desc || !desc.node.type.spec.draggable || desc == view.docView) { return }\n view.dispatch(view.state.tr.setSelection(NodeSelection.create(view.state.doc, desc.posBefore)));\n }\n var slice = view.state.selection.content();\n var ref = serializeForClipboard(view, slice);\n var dom = ref.dom;\n var text = ref.text;\n e.dataTransfer.clearData();\n e.dataTransfer.setData(brokenClipboardAPI ? \"Text\" : \"text/html\", dom.innerHTML);\n if (!brokenClipboardAPI) { e.dataTransfer.setData(\"text/plain\", text); }\n view.dragging = new Dragging(slice, !e[dragCopyModifier]);\n};\n\nhandlers.dragend = function (view) {\n window.setTimeout(function () { return view.dragging = null; }, 50);\n};\n\neditHandlers.dragover = editHandlers.dragenter = function (_, e) { return e.preventDefault(); };\n\neditHandlers.drop = function (view, e) {\n var dragging = view.dragging;\n view.dragging = null;\n\n if (!e.dataTransfer) { return }\n\n var eventPos = view.posAtCoords(eventCoords(e));\n if (!eventPos) { return }\n var $mouse = view.state.doc.resolve(eventPos.pos);\n if (!$mouse) { return }\n var slice = dragging && dragging.slice ||\n parseFromClipboard(view, e.dataTransfer.getData(brokenClipboardAPI ? \"Text\" : \"text/plain\"),\n brokenClipboardAPI ? null : e.dataTransfer.getData(\"text/html\"), false, $mouse);\n if (view.someProp(\"handleDrop\", function (f) { return f(view, e, slice || Slice.empty, dragging && dragging.move); })) {\n e.preventDefault();\n return\n }\n if (!slice) { return }\n\n e.preventDefault();\n var insertPos = slice ? dropPoint(view.state.doc, $mouse.pos, slice) : $mouse.pos;\n if (insertPos == null) { insertPos = $mouse.pos; }\n\n var tr = view.state.tr;\n if (dragging && dragging.move) { tr.deleteSelection(); }\n\n var pos = tr.mapping.map(insertPos);\n var isNode = slice.openStart == 0 && slice.openEnd == 0 && slice.content.childCount == 1;\n var beforeInsert = tr.doc;\n if (isNode)\n { tr.replaceRangeWith(pos, pos, slice.content.firstChild); }\n else\n { tr.replaceRange(pos, pos, slice); }\n if (tr.doc.eq(beforeInsert)) { return }\n\n var $pos = tr.doc.resolve(pos);\n if (isNode && NodeSelection.isSelectable(slice.content.firstChild) &&\n $pos.nodeAfter && $pos.nodeAfter.sameMarkup(slice.content.firstChild))\n { tr.setSelection(new NodeSelection($pos)); }\n else\n { tr.setSelection(selectionBetween(view, $pos, tr.doc.resolve(tr.mapping.map(insertPos)))); }\n view.focus();\n view.dispatch(tr.setMeta(\"uiEvent\", \"drop\"));\n};\n\nhandlers.focus = function (view) {\n if (!view.focused) {\n view.domObserver.stop();\n view.dom.classList.add(\"ProseMirror-focused\");\n view.domObserver.start();\n view.focused = true;\n setTimeout(function () {\n if (view.docView && view.hasFocus() && !view.domObserver.currentSelection.eq(view.root.getSelection()))\n { selectionToDOM(view); }\n }, 20);\n }\n};\n\nhandlers.blur = function (view) {\n if (view.focused) {\n view.domObserver.stop();\n view.dom.classList.remove(\"ProseMirror-focused\");\n view.domObserver.start();\n view.domObserver.currentSelection.set({});\n view.focused = false;\n }\n};\n\nhandlers.beforeinput = function (view, event) {\n // We should probably do more with beforeinput events, but support\n // is so spotty that I'm still waiting to see where they are going.\n\n // Very specific hack to deal with backspace sometimes failing on\n // Chrome Android when after an uneditable node.\n if (result.chrome && result.android && event.inputType == \"deleteContentBackward\") {\n var domChangeCount = view.domChangeCount;\n setTimeout(function () {\n if (view.domChangeCount != domChangeCount) { return } // Event already had some effect\n // This bug tends to close the virtual keyboard, so we refocus\n view.dom.blur();\n view.focus();\n if (view.someProp(\"handleKeyDown\", function (f) { return f(view, keyEvent(8, \"Backspace\")); })) { return }\n var ref = view.state.selection;\n var $cursor = ref.$cursor;\n // Crude approximation of backspace behavior when no command handled it\n if ($cursor && $cursor.pos > 0) { view.dispatch(view.state.tr.delete($cursor.pos - 1, $cursor.pos).scrollIntoView()); }\n }, 50);\n }\n};\n\n// Make sure all handlers get registered\nfor (var prop in editHandlers) { handlers[prop] = editHandlers[prop]; }\n\nfunction compareObjs(a, b) {\n if (a == b) { return true }\n for (var p in a) { if (a[p] !== b[p]) { return false } }\n for (var p$1 in b) { if (!(p$1 in a)) { return false } }\n return true\n}\n\nvar WidgetType = function WidgetType(toDOM, spec) {\n this.spec = spec || noSpec;\n this.side = this.spec.side || 0;\n this.toDOM = toDOM;\n};\n\nWidgetType.prototype.map = function map (mapping, span, offset, oldOffset) {\n var ref = mapping.mapResult(span.from + oldOffset, this.side < 0 ? -1 : 1);\n var pos = ref.pos;\n var deleted = ref.deleted;\n return deleted ? null : new Decoration(pos - offset, pos - offset, this)\n};\n\nWidgetType.prototype.valid = function valid () { return true };\n\nWidgetType.prototype.eq = function eq (other) {\n return this == other ||\n (other instanceof WidgetType &&\n (this.spec.key && this.spec.key == other.spec.key ||\n this.toDOM == other.toDOM && compareObjs(this.spec, other.spec)))\n};\n\nvar InlineType = function InlineType(attrs, spec) {\n this.spec = spec || noSpec;\n this.attrs = attrs;\n};\n\nInlineType.prototype.map = function map (mapping, span, offset, oldOffset) {\n var from = mapping.map(span.from + oldOffset, this.spec.inclusiveStart ? -1 : 1) - offset;\n var to = mapping.map(span.to + oldOffset, this.spec.inclusiveEnd ? 1 : -1) - offset;\n return from >= to ? null : new Decoration(from, to, this)\n};\n\nInlineType.prototype.valid = function valid (_, span) { return span.from < span.to };\n\nInlineType.prototype.eq = function eq (other) {\n return this == other ||\n (other instanceof InlineType && compareObjs(this.attrs, other.attrs) &&\n compareObjs(this.spec, other.spec))\n};\n\nInlineType.is = function is (span) { return span.type instanceof InlineType };\n\nvar NodeType = function NodeType(attrs, spec) {\n this.spec = spec || noSpec;\n this.attrs = attrs;\n};\n\nNodeType.prototype.map = function map (mapping, span, offset, oldOffset) {\n var from = mapping.mapResult(span.from + oldOffset, 1);\n if (from.deleted) { return null }\n var to = mapping.mapResult(span.to + oldOffset, -1);\n if (to.deleted || to.pos <= from.pos) { return null }\n return new Decoration(from.pos - offset, to.pos - offset, this)\n};\n\nNodeType.prototype.valid = function valid (node, span) {\n var ref = node.content.findIndex(span.from);\n var index = ref.index;\n var offset = ref.offset;\n return offset == span.from && offset + node.child(index).nodeSize == span.to\n};\n\nNodeType.prototype.eq = function eq (other) {\n return this == other ||\n (other instanceof NodeType && compareObjs(this.attrs, other.attrs) &&\n compareObjs(this.spec, other.spec))\n};\n\n// ::- Decoration objects can be provided to the view through the\n// [`decorations` prop](#view.EditorProps.decorations). They come in\n// several variants—see the static members of this class for details.\nvar Decoration = function Decoration(from, to, type) {\n // :: number\n // The start position of the decoration.\n this.from = from;\n // :: number\n // The end position. Will be the same as `from` for [widget\n // decorations](#view.Decoration^widget).\n this.to = to;\n this.type = type;\n};\n\nvar prototypeAccessors$1 = { spec: { configurable: true } };\n\nDecoration.prototype.copy = function copy (from, to) {\n return new Decoration(from, to, this.type)\n};\n\nDecoration.prototype.eq = function eq (other, offset) {\n if ( offset === void 0 ) offset = 0;\n\n return this.type.eq(other.type) && this.from + offset == other.from && this.to + offset == other.to\n};\n\nDecoration.prototype.map = function map (mapping, offset, oldOffset) {\n return this.type.map(mapping, this, offset, oldOffset)\n};\n\n// :: (number, union<(view: EditorView, getPos: () → number) → dom.Node, dom.Node>, ?Object) → Decoration\n// Creates a widget decoration, which is a DOM node that's shown in\n// the document at the given position. It is recommended that you\n// delay rendering the widget by passing a function that will be\n// called when the widget is actually drawn in a view, but you can\n// also directly pass a DOM node. `getPos` can be used to find the\n// widget's current document position.\n//\n// spec::- These options are supported:\n//\n// side:: ?number\n// Controls which side of the document position this widget is\n// associated with. When negative, it is drawn before a cursor\n// at its position, and content inserted at that position ends\n// up after the widget. When zero (the default) or positive, the\n// widget is drawn after the cursor and content inserted there\n// ends up before the widget.\n//\n// When there are multiple widgets at a given position, their\n// `side` values determine the order in which they appear. Those\n// with lower values appear first. The ordering of widgets with\n// the same `side` value is unspecified.\n//\n// When `marks` is null, `side` also determines the marks that\n// the widget is wrapped in—those of the node before when\n// negative, those of the node after when positive.\n//\n// marks:: ?[Mark]\n// The precise set of marks to draw around the widget.\n//\n// stopEvent:: ?(event: dom.Event) → bool\n// Can be used to control which DOM events, when they bubble out\n// of this widget, the editor view should ignore.\n//\n// ignoreSelection:: ?bool\n// When set (defaults to false), selection changes inside the\n// widget are ignored, and don't cause ProseMirror to try and\n// re-sync the selection with its selection state.\n//\n// key:: ?string\n// When comparing decorations of this type (in order to decide\n// whether it needs to be redrawn), ProseMirror will by default\n// compare the widget DOM node by identity. If you pass a key,\n// that key will be compared instead, which can be useful when\n// you generate decorations on the fly and don't want to store\n// and reuse DOM nodes. Make sure that any widgets with the same\n// key are interchangeable—if widgets differ in, for example,\n// the behavior of some event handler, they should get\n// different keys.\nDecoration.widget = function widget (pos, toDOM, spec) {\n return new Decoration(pos, pos, new WidgetType(toDOM, spec))\n};\n\n// :: (number, number, DecorationAttrs, ?Object) → Decoration\n// Creates an inline decoration, which adds the given attributes to\n// each inline node between `from` and `to`.\n//\n// spec::- These options are recognized:\n//\n// inclusiveStart:: ?bool\n// Determines how the left side of the decoration is\n// [mapped](#transform.Position_Mapping) when content is\n// inserted directly at that position. By default, the decoration\n// won't include the new content, but you can set this to `true`\n// to make it inclusive.\n//\n// inclusiveEnd:: ?bool\n// Determines how the right side of the decoration is mapped.\n// See\n// [`inclusiveStart`](#view.Decoration^inline^spec.inclusiveStart).\nDecoration.inline = function inline (from, to, attrs, spec) {\n return new Decoration(from, to, new InlineType(attrs, spec))\n};\n\n// :: (number, number, DecorationAttrs, ?Object) → Decoration\n// Creates a node decoration. `from` and `to` should point precisely\n// before and after a node in the document. That node, and only that\n// node, will receive the given attributes.\n//\n// spec::-\n//\n// Optional information to store with the decoration. It\n// is also used when comparing decorators for equality.\nDecoration.node = function node (from, to, attrs, spec) {\n return new Decoration(from, to, new NodeType(attrs, spec))\n};\n\n// :: Object\n// The spec provided when creating this decoration. Can be useful\n// if you've stored extra information in that object.\nprototypeAccessors$1.spec.get = function () { return this.type.spec };\n\nObject.defineProperties( Decoration.prototype, prototypeAccessors$1 );\n\n// DecorationAttrs:: interface\n// A set of attributes to add to a decorated node. Most properties\n// simply directly correspond to DOM attributes of the same name,\n// which will be set to the property's value. These are exceptions:\n//\n// class:: ?string\n// A CSS class name or a space-separated set of class names to be\n// _added_ to the classes that the node already had.\n//\n// style:: ?string\n// A string of CSS to be _added_ to the node's existing `style` property.\n//\n// nodeName:: ?string\n// When non-null, the target node is wrapped in a DOM element of\n// this type (and the other attributes are applied to this element).\n\nvar none = [], noSpec = {};\n\n// ::- A collection of [decorations](#view.Decoration), organized in\n// such a way that the drawing algorithm can efficiently use and\n// compare them. This is a persistent data structure—it is not\n// modified, updates create a new value.\nvar DecorationSet = function DecorationSet(local, children) {\n this.local = local && local.length ? local : none;\n this.children = children && children.length ? children : none;\n};\n\n// :: (Node, [Decoration]) → DecorationSet\n// Create a set of decorations, using the structure of the given\n// document.\nDecorationSet.create = function create (doc, decorations) {\n return decorations.length ? buildTree(decorations, doc, 0, noSpec) : empty\n};\n\n// :: (?number, ?number, ?(spec: Object) → bool) → [Decoration]\n// Find all decorations in this set which touch the given range\n// (including decorations that start or end directly at the\n// boundaries) and match the given predicate on their spec. When\n// `start` and `end` are omitted, all decorations in the set are\n// considered. When `predicate` isn't given, all decorations are\n// assumed to match.\nDecorationSet.prototype.find = function find (start, end, predicate) {\n var result = [];\n this.findInner(start == null ? 0 : start, end == null ? 1e9 : end, result, 0, predicate);\n return result\n};\n\nDecorationSet.prototype.findInner = function findInner (start, end, result, offset, predicate) {\n for (var i = 0; i < this.local.length; i++) {\n var span = this.local[i];\n if (span.from <= end && span.to >= start && (!predicate || predicate(span.spec)))\n { result.push(span.copy(span.from + offset, span.to + offset)); }\n }\n for (var i$1 = 0; i$1 < this.children.length; i$1 += 3) {\n if (this.children[i$1] < end && this.children[i$1 + 1] > start) {\n var childOff = this.children[i$1] + 1;\n this.children[i$1 + 2].findInner(start - childOff, end - childOff, result, offset + childOff, predicate);\n }\n }\n};\n\n// :: (Mapping, Node, ?Object) → DecorationSet\n// Map the set of decorations in response to a change in the\n// document.\n//\n// options::- An optional set of options.\n//\n// onRemove:: ?(decorationSpec: Object)\n// When given, this function will be called for each decoration\n// that gets dropped as a result of the mapping, passing the\n// spec of that decoration.\nDecorationSet.prototype.map = function map (mapping, doc, options) {\n if (this == empty || mapping.maps.length == 0) { return this }\n return this.mapInner(mapping, doc, 0, 0, options || noSpec)\n};\n\nDecorationSet.prototype.mapInner = function mapInner (mapping, node, offset, oldOffset, options) {\n var newLocal;\n for (var i = 0; i < this.local.length; i++) {\n var mapped = this.local[i].map(mapping, offset, oldOffset);\n if (mapped && mapped.type.valid(node, mapped)) { (newLocal || (newLocal = [])).push(mapped); }\n else if (options.onRemove) { options.onRemove(this.local[i].spec); }\n }\n\n if (this.children.length)\n { return mapChildren(this.children, newLocal, mapping, node, offset, oldOffset, options) }\n else\n { return newLocal ? new DecorationSet(newLocal.sort(byPos)) : empty }\n};\n\n// :: (Node, [Decoration]) → DecorationSet\n// Add the given array of decorations to the ones in the set,\n// producing a new set. Needs access to the current document to\n// create the appropriate tree structure.\nDecorationSet.prototype.add = function add (doc, decorations) {\n if (!decorations.length) { return this }\n if (this == empty) { return DecorationSet.create(doc, decorations) }\n return this.addInner(doc, decorations, 0)\n};\n\nDecorationSet.prototype.addInner = function addInner (doc, decorations, offset) {\n var this$1 = this;\n\n var children, childIndex = 0;\n doc.forEach(function (childNode, childOffset) {\n var baseOffset = childOffset + offset, found;\n if (!(found = takeSpansForNode(decorations, childNode, baseOffset))) { return }\n\n if (!children) { children = this$1.children.slice(); }\n while (childIndex < children.length && children[childIndex] < childOffset) { childIndex += 3; }\n if (children[childIndex] == childOffset)\n { children[childIndex + 2] = children[childIndex + 2].addInner(childNode, found, baseOffset + 1); }\n else\n { children.splice(childIndex, 0, childOffset, childOffset + childNode.nodeSize, buildTree(found, childNode, baseOffset + 1, noSpec)); }\n childIndex += 3;\n });\n\n var local = moveSpans(childIndex ? withoutNulls(decorations) : decorations, -offset);\n return new DecorationSet(local.length ? this.local.concat(local).sort(byPos) : this.local,\n children || this.children)\n};\n\n// :: ([Decoration]) → DecorationSet\n// Create a new set that contains the decorations in this set, minus\n// the ones in the given array.\nDecorationSet.prototype.remove = function remove (decorations) {\n if (decorations.length == 0 || this == empty) { return this }\n return this.removeInner(decorations, 0)\n};\n\nDecorationSet.prototype.removeInner = function removeInner (decorations, offset) {\n var children = this.children, local = this.local;\n for (var i = 0; i < children.length; i += 3) {\n var found = (void 0), from = children[i] + offset, to = children[i + 1] + offset;\n for (var j = 0, span = (void 0); j < decorations.length; j++) { if (span = decorations[j]) {\n if (span.from > from && span.to < to) {\n decorations[j] = null\n ;(found || (found = [])).push(span);\n }\n } }\n if (!found) { continue }\n if (children == this.children) { children = this.children.slice(); }\n var removed = children[i + 2].removeInner(found, from + 1);\n if (removed != empty) {\n children[i + 2] = removed;\n } else {\n children.splice(i, 3);\n i -= 3;\n }\n }\n if (local.length) { for (var i$1 = 0, span$1 = (void 0); i$1 < decorations.length; i$1++) { if (span$1 = decorations[i$1]) {\n for (var j$1 = 0; j$1 < local.length; j$1++) { if (local[j$1].eq(span$1, offset)) {\n if (local == this.local) { local = this.local.slice(); }\n local.splice(j$1--, 1);\n } }\n } } }\n if (children == this.children && local == this.local) { return this }\n return local.length || children.length ? new DecorationSet(local, children) : empty\n};\n\nDecorationSet.prototype.forChild = function forChild (offset, node) {\n if (this == empty) { return this }\n if (node.isLeaf) { return DecorationSet.empty }\n\n var child, local;\n for (var i = 0; i < this.children.length; i += 3) { if (this.children[i] >= offset) {\n if (this.children[i] == offset) { child = this.children[i + 2]; }\n break\n } }\n var start = offset + 1, end = start + node.content.size;\n for (var i$1 = 0; i$1 < this.local.length; i$1++) {\n var dec = this.local[i$1];\n if (dec.from < end && dec.to > start && (dec.type instanceof InlineType)) {\n var from = Math.max(start, dec.from) - start, to = Math.min(end, dec.to) - start;\n if (from < to) { (local || (local = [])).push(dec.copy(from, to)); }\n }\n }\n if (local) {\n var localSet = new DecorationSet(local.sort(byPos));\n return child ? new DecorationGroup([localSet, child]) : localSet\n }\n return child || empty\n};\n\nDecorationSet.prototype.eq = function eq (other) {\n if (this == other) { return true }\n if (!(other instanceof DecorationSet) ||\n this.local.length != other.local.length ||\n this.children.length != other.children.length) { return false }\n for (var i = 0; i < this.local.length; i++)\n { if (!this.local[i].eq(other.local[i])) { return false } }\n for (var i$1 = 0; i$1 < this.children.length; i$1 += 3)\n { if (this.children[i$1] != other.children[i$1] ||\n this.children[i$1 + 1] != other.children[i$1 + 1] ||\n !this.children[i$1 + 2].eq(other.children[i$1 + 2])) { return false } }\n return true\n};\n\nDecorationSet.prototype.locals = function locals (node) {\n return removeOverlap(this.localsInner(node))\n};\n\nDecorationSet.prototype.localsInner = function localsInner (node) {\n if (this == empty) { return none }\n if (node.inlineContent || !this.local.some(InlineType.is)) { return this.local }\n var result = [];\n for (var i = 0; i < this.local.length; i++) {\n if (!(this.local[i].type instanceof InlineType))\n { result.push(this.local[i]); }\n }\n return result\n};\n\nvar empty = new DecorationSet();\n\n// :: DecorationSet\n// The empty set of decorations.\nDecorationSet.empty = empty;\n\nDecorationSet.removeOverlap = removeOverlap;\n\n// :- An abstraction that allows the code dealing with decorations to\n// treat multiple DecorationSet objects as if it were a single object\n// with (a subset of) the same interface.\nvar DecorationGroup = function DecorationGroup(members) {\n this.members = members;\n};\n\nDecorationGroup.prototype.forChild = function forChild (offset, child) {\n if (child.isLeaf) { return DecorationSet.empty }\n var found = [];\n for (var i = 0; i < this.members.length; i++) {\n var result = this.members[i].forChild(offset, child);\n if (result == empty) { continue }\n if (result instanceof DecorationGroup) { found = found.concat(result.members); }\n else { found.push(result); }\n }\n return DecorationGroup.from(found)\n};\n\nDecorationGroup.prototype.eq = function eq (other) {\n if (!(other instanceof DecorationGroup) ||\n other.members.length != this.members.length) { return false }\n for (var i = 0; i < this.members.length; i++)\n { if (!this.members[i].eq(other.members[i])) { return false } }\n return true\n};\n\nDecorationGroup.prototype.locals = function locals (node) {\n var result, sorted = true;\n for (var i = 0; i < this.members.length; i++) {\n var locals = this.members[i].localsInner(node);\n if (!locals.length) { continue }\n if (!result) {\n result = locals;\n } else {\n if (sorted) {\n result = result.slice();\n sorted = false;\n }\n for (var j = 0; j < locals.length; j++) { result.push(locals[j]); }\n }\n }\n return result ? removeOverlap(sorted ? result : result.sort(byPos)) : none\n};\n\n// : ([DecorationSet]) → union<DecorationSet, DecorationGroup>\n// Create a group for the given array of decoration sets, or return\n// a single set when possible.\nDecorationGroup.from = function from (members) {\n switch (members.length) {\n case 0: return empty\n case 1: return members[0]\n default: return new DecorationGroup(members)\n }\n};\n\nfunction mapChildren(oldChildren, newLocal, mapping, node, offset, oldOffset, options) {\n var children = oldChildren.slice();\n\n // Mark the children that are directly touched by changes, and\n // move those that are after the changes.\n var shift = function (oldStart, oldEnd, newStart, newEnd) {\n for (var i = 0; i < children.length; i += 3) {\n var end = children[i + 1], dSize = (void 0);\n if (end == -1 || oldStart > end + oldOffset) { continue }\n if (oldEnd >= children[i] + oldOffset) {\n children[i + 1] = -1;\n } else if (newStart >= offset && (dSize = (newEnd - newStart) - (oldEnd - oldStart))) {\n children[i] += dSize;\n children[i + 1] += dSize;\n }\n }\n };\n for (var i = 0; i < mapping.maps.length; i++) { mapping.maps[i].forEach(shift); }\n\n // Find the child nodes that still correspond to a single node,\n // recursively call mapInner on them and update their positions.\n var mustRebuild = false;\n for (var i$1 = 0; i$1 < children.length; i$1 += 3) { if (children[i$1 + 1] == -1) { // Touched nodes\n var from = mapping.map(children[i$1] + oldOffset), fromLocal = from - offset;\n if (fromLocal < 0 || fromLocal >= node.content.size) {\n mustRebuild = true;\n continue\n }\n // Must read oldChildren because children was tagged with -1\n var to = mapping.map(oldChildren[i$1 + 1] + oldOffset, -1), toLocal = to - offset;\n var ref = node.content.findIndex(fromLocal);\n var index = ref.index;\n var childOffset = ref.offset;\n var childNode = node.maybeChild(index);\n if (childNode && childOffset == fromLocal && childOffset + childNode.nodeSize == toLocal) {\n var mapped = children[i$1 + 2].mapInner(mapping, childNode, from + 1, children[i$1] + oldOffset + 1, options);\n if (mapped != empty) {\n children[i$1] = fromLocal;\n children[i$1 + 1] = toLocal;\n children[i$1 + 2] = mapped;\n } else {\n children[i$1 + 1] = -2;\n mustRebuild = true;\n }\n } else {\n mustRebuild = true;\n }\n } }\n\n // Remaining children must be collected and rebuilt into the appropriate structure\n if (mustRebuild) {\n var decorations = mapAndGatherRemainingDecorations(children, oldChildren, newLocal || [], mapping,\n offset, oldOffset, options);\n var built = buildTree(decorations, node, 0, options);\n newLocal = built.local;\n for (var i$2 = 0; i$2 < children.length; i$2 += 3) { if (children[i$2 + 1] < 0) {\n children.splice(i$2, 3);\n i$2 -= 3;\n } }\n for (var i$3 = 0, j = 0; i$3 < built.children.length; i$3 += 3) {\n var from$1 = built.children[i$3];\n while (j < children.length && children[j] < from$1) { j += 3; }\n children.splice(j, 0, built.children[i$3], built.children[i$3 + 1], built.children[i$3 + 2]);\n }\n }\n\n return new DecorationSet(newLocal && newLocal.sort(byPos), children)\n}\n\nfunction moveSpans(spans, offset) {\n if (!offset || !spans.length) { return spans }\n var result = [];\n for (var i = 0; i < spans.length; i++) {\n var span = spans[i];\n result.push(new Decoration(span.from + offset, span.to + offset, span.type));\n }\n return result\n}\n\nfunction mapAndGatherRemainingDecorations(children, oldChildren, decorations, mapping, offset, oldOffset, options) {\n // Gather all decorations from the remaining marked children\n function gather(set, oldOffset) {\n for (var i = 0; i < set.local.length; i++) {\n var mapped = set.local[i].map(mapping, offset, oldOffset);\n if (mapped) { decorations.push(mapped); }\n else if (options.onRemove) { options.onRemove(set.local[i].spec); }\n }\n for (var i$1 = 0; i$1 < set.children.length; i$1 += 3)\n { gather(set.children[i$1 + 2], set.children[i$1] + oldOffset + 1); }\n }\n for (var i = 0; i < children.length; i += 3) { if (children[i + 1] == -1)\n { gather(children[i + 2], oldChildren[i] + oldOffset + 1); } }\n\n return decorations\n}\n\nfunction takeSpansForNode(spans, node, offset) {\n if (node.isLeaf) { return null }\n var end = offset + node.nodeSize, found = null;\n for (var i = 0, span = (void 0); i < spans.length; i++) {\n if ((span = spans[i]) && span.from > offset && span.to < end) {\n(found || (found = [])).push(span);\n spans[i] = null;\n }\n }\n return found\n}\n\nfunction withoutNulls(array) {\n var result = [];\n for (var i = 0; i < array.length; i++)\n { if (array[i] != null) { result.push(array[i]); } }\n return result\n}\n\n// : ([Decoration], Node, number) → DecorationSet\n// Build up a tree that corresponds to a set of decorations. `offset`\n// is a base offset that should be subtractet from the `from` and `to`\n// positions in the spans (so that we don't have to allocate new spans\n// for recursive calls).\nfunction buildTree(spans, node, offset, options) {\n var children = [], hasNulls = false;\n node.forEach(function (childNode, localStart) {\n var found = takeSpansForNode(spans, childNode, localStart + offset);\n if (found) {\n hasNulls = true;\n var subtree = buildTree(found, childNode, offset + localStart + 1, options);\n if (subtree != empty)\n { children.push(localStart, localStart + childNode.nodeSize, subtree); }\n }\n });\n var locals = moveSpans(hasNulls ? withoutNulls(spans) : spans, -offset).sort(byPos);\n for (var i = 0; i < locals.length; i++) { if (!locals[i].type.valid(node, locals[i])) {\n if (options.onRemove) { options.onRemove(locals[i].spec); }\n locals.splice(i--, 1);\n } }\n return locals.length || children.length ? new DecorationSet(locals, children) : empty\n}\n\n// : (Decoration, Decoration) → number\n// Used to sort decorations so that ones with a low start position\n// come first, and within a set with the same start position, those\n// with an smaller end position come first.\nfunction byPos(a, b) {\n return a.from - b.from || a.to - b.to\n}\n\n// : ([Decoration]) → [Decoration]\n// Scan a sorted array of decorations for partially overlapping spans,\n// and split those so that only fully overlapping spans are left (to\n// make subsequent rendering easier). Will return the input array if\n// no partially overlapping spans are found (the common case).\nfunction removeOverlap(spans) {\n var working = spans;\n for (var i = 0; i < working.length - 1; i++) {\n var span = working[i];\n if (span.from != span.to) { for (var j = i + 1; j < working.length; j++) {\n var next = working[j];\n if (next.from == span.from) {\n if (next.to != span.to) {\n if (working == spans) { working = spans.slice(); }\n // Followed by a partially overlapping larger span. Split that\n // span.\n working[j] = next.copy(next.from, span.to);\n insertAhead(working, j + 1, next.copy(span.to, next.to));\n }\n continue\n } else {\n if (next.from < span.to) {\n if (working == spans) { working = spans.slice(); }\n // The end of this one overlaps with a subsequent span. Split\n // this one.\n working[i] = span.copy(span.from, next.from);\n insertAhead(working, j, span.copy(next.from, span.to));\n }\n break\n }\n } }\n }\n return working\n}\n\nfunction insertAhead(array, i, deco) {\n while (i < array.length && byPos(deco, array[i]) > 0) { i++; }\n array.splice(i, 0, deco);\n}\n\n// : (EditorView) → union<DecorationSet, DecorationGroup>\n// Get the decorations associated with the current props of a view.\nfunction viewDecorations(view) {\n var found = [];\n view.someProp(\"decorations\", function (f) {\n var result = f(view.state);\n if (result && result != empty) { found.push(result); }\n });\n if (view.cursorWrapper)\n { found.push(DecorationSet.create(view.state.doc, [view.cursorWrapper.deco])); }\n return DecorationGroup.from(found)\n}\n\n// ::- An editor view manages the DOM structure that represents an\n// editable document. Its state and behavior are determined by its\n// [props](#view.DirectEditorProps).\nvar EditorView = function EditorView(place, props) {\n this._props = props;\n // :: EditorState\n // The view's current [state](#state.EditorState).\n this.state = props.state;\n\n this.dispatch = this.dispatch.bind(this);\n\n this._root = null;\n this.focused = false;\n // Kludge used to work around a Chrome bug\n this.trackWrites = null;\n\n // :: dom.Element\n // An editable DOM node containing the document. (You probably\n // should not directly interfere with its content.)\n this.dom = (place && place.mount) || document.createElement(\"div\");\n if (place) {\n if (place.appendChild) { place.appendChild(this.dom); }\n else if (place.apply) { place(this.dom); }\n else if (place.mount) { this.mounted = true; }\n }\n\n // :: bool\n // Indicates whether the editor is currently [editable](#view.EditorProps.editable).\n this.editable = getEditable(this);\n this.markCursor = null;\n this.cursorWrapper = null;\n updateCursorWrapper(this);\n this.nodeViews = buildNodeViews(this);\n this.docView = docViewDesc(this.state.doc, computeDocDeco(this), viewDecorations(this), this.dom, this);\n\n this.lastSelectedViewDesc = null;\n // :: ?{slice: Slice, move: bool}\n // When editor content is being dragged, this object contains\n // information about the dragged slice and whether it is being\n // copied or moved. At any other time, it is null.\n this.dragging = null;\n\n initInput(this);\n\n this.pluginViews = [];\n this.updatePluginViews();\n};\n\nvar prototypeAccessors$2 = { props: { configurable: true },root: { configurable: true } };\n\n// composing:: boolean\n// Holds `true` when a\n// [composition](https://developer.mozilla.org/en-US/docs/Mozilla/IME_handling_guide)\n// is active.\n\n// :: DirectEditorProps\n// The view's current [props](#view.EditorProps).\nprototypeAccessors$2.props.get = function () {\n if (this._props.state != this.state) {\n var prev = this._props;\n this._props = {};\n for (var name in prev) { this._props[name] = prev[name]; }\n this._props.state = this.state;\n }\n return this._props\n};\n\n// :: (DirectEditorProps)\n// Update the view's props. Will immediately cause an update to\n// the DOM.\nEditorView.prototype.update = function update (props) {\n if (props.handleDOMEvents != this._props.handleDOMEvents) { ensureListeners(this); }\n this._props = props;\n this.updateStateInner(props.state, true);\n};\n\n// :: (DirectEditorProps)\n// Update the view by updating existing props object with the object\n// given as argument. Equivalent to `view.update(Object.assign({},\n// view.props, props))`.\nEditorView.prototype.setProps = function setProps (props) {\n var updated = {};\n for (var name in this._props) { updated[name] = this._props[name]; }\n updated.state = this.state;\n for (var name$1 in props) { updated[name$1] = props[name$1]; }\n this.update(updated);\n};\n\n// :: (EditorState)\n// Update the editor's `state` prop, without touching any of the\n// other props.\nEditorView.prototype.updateState = function updateState (state) {\n this.updateStateInner(state, this.state.plugins != state.plugins);\n};\n\nEditorView.prototype.updateStateInner = function updateStateInner (state, reconfigured) {\n var this$1 = this;\n\n var prev = this.state, redraw = false;\n this.state = state;\n if (reconfigured) {\n var nodeViews = buildNodeViews(this);\n if (changedNodeViews(nodeViews, this.nodeViews)) {\n this.nodeViews = nodeViews;\n redraw = true;\n }\n ensureListeners(this);\n }\n\n this.editable = getEditable(this);\n updateCursorWrapper(this);\n var innerDeco = viewDecorations(this), outerDeco = computeDocDeco(this);\n\n var scroll = reconfigured ? \"reset\"\n : state.scrollToSelection > prev.scrollToSelection ? \"to selection\" : \"preserve\";\n var updateDoc = redraw || !this.docView.matchesNode(state.doc, outerDeco, innerDeco);\n var updateSel = updateDoc || !state.selection.eq(prev.selection);\n var oldScrollPos = scroll == \"preserve\" && updateSel && this.dom.style.overflowAnchor == null && storeScrollPos(this);\n\n if (updateSel) {\n this.domObserver.stop();\n // Work around an issue in Chrome, IE, and Edge where changing\n // the DOM around an active selection puts it into a broken\n // state where the thing the user sees differs from the\n // selection reported by the Selection object (#710, #973,\n // #1011, #1013, #1035).\n var forceSelUpdate = updateDoc && (result.ie || result.chrome) && !this.composing &&\n !prev.selection.empty && !state.selection.empty && selectionContextChanged(prev.selection, state.selection);\n if (updateDoc) {\n // If the node that the selection points into is written to,\n // Chrome sometimes starts misreporting the selection, so this\n // tracks that and forces a selection reset when our update\n // did write to the node.\n var chromeKludge = result.chrome ? (this.trackWrites = this.root.getSelection().focusNode) : null;\n if (redraw || !this.docView.update(state.doc, outerDeco, innerDeco, this)) {\n this.docView.updateOuterDeco([]);\n this.docView.destroy();\n this.docView = docViewDesc(state.doc, outerDeco, innerDeco, this.dom, this);\n }\n if (chromeKludge && !this.trackWrites) { forceSelUpdate = true; }\n }\n // Work around for an issue where an update arriving right between\n // a DOM selection change and the \"selectionchange\" event for it\n // can cause a spurious DOM selection update, disrupting mouse\n // drag selection.\n if (forceSelUpdate ||\n !(this.mouseDown && this.domObserver.currentSelection.eq(this.root.getSelection()) && anchorInRightPlace(this))) {\n selectionToDOM(this, forceSelUpdate);\n } else {\n syncNodeSelection(this, state.selection);\n this.domObserver.setCurSelection();\n }\n this.domObserver.start();\n }\n\n this.updatePluginViews(prev);\n\n if (scroll == \"reset\") {\n this.dom.scrollTop = 0;\n } else if (scroll == \"to selection\") {\n var startDOM = this.root.getSelection().focusNode;\n if (this.someProp(\"handleScrollToSelection\", function (f) { return f(this$1); }))\n ; // Handled\n else if (state.selection instanceof NodeSelection)\n { scrollRectIntoView(this, this.docView.domAfterPos(state.selection.from).getBoundingClientRect(), startDOM); }\n else\n { scrollRectIntoView(this, this.coordsAtPos(state.selection.head), startDOM); }\n } else if (oldScrollPos) {\n resetScrollPos(oldScrollPos);\n }\n};\n\nEditorView.prototype.destroyPluginViews = function destroyPluginViews () {\n var view;\n while (view = this.pluginViews.pop()) { if (view.destroy) { view.destroy(); } }\n};\n\nEditorView.prototype.updatePluginViews = function updatePluginViews (prevState) {\n if (!prevState || prevState.plugins != this.state.plugins) {\n this.destroyPluginViews();\n for (var i = 0; i < this.state.plugins.length; i++) {\n var plugin = this.state.plugins[i];\n if (plugin.spec.view) { this.pluginViews.push(plugin.spec.view(this)); }\n }\n } else {\n for (var i$1 = 0; i$1 < this.pluginViews.length; i$1++) {\n var pluginView = this.pluginViews[i$1];\n if (pluginView.update) { pluginView.update(this, prevState); }\n }\n }\n};\n\n// :: (string, ?(prop: *) → *) → *\n// Goes over the values of a prop, first those provided directly,\n// then those from plugins (in order), and calls `f` every time a\n// non-undefined value is found. When `f` returns a truthy value,\n// that is immediately returned. When `f` isn't provided, it is\n// treated as the identity function (the prop value is returned\n// directly).\nEditorView.prototype.someProp = function someProp (propName, f) {\n var prop = this._props && this._props[propName], value;\n if (prop != null && (value = f ? f(prop) : prop)) { return value }\n var plugins = this.state.plugins;\n if (plugins) { for (var i = 0; i < plugins.length; i++) {\n var prop$1 = plugins[i].props[propName];\n if (prop$1 != null && (value = f ? f(prop$1) : prop$1)) { return value }\n } }\n};\n\n// :: () → bool\n// Query whether the view has focus.\nEditorView.prototype.hasFocus = function hasFocus () {\n return this.root.activeElement == this.dom\n};\n\n// :: ()\n// Focus the editor.\nEditorView.prototype.focus = function focus () {\n this.domObserver.stop();\n if (this.editable) { focusPreventScroll(this.dom); }\n selectionToDOM(this);\n this.domObserver.start();\n};\n\n// :: union<dom.Document, dom.DocumentFragment>\n// Get the document root in which the editor exists. This will\n// usually be the top-level `document`, but might be a [shadow\n// DOM](https://developer.mozilla.org/en-US/docs/Web/Web_Components/Shadow_DOM)\n// root if the editor is inside one.\nprototypeAccessors$2.root.get = function () {\n var cached = this._root;\n if (cached == null) { for (var search = this.dom.parentNode; search; search = search.parentNode) {\n if (search.nodeType == 9 || (search.nodeType == 11 && search.host)) {\n if (!search.getSelection) { Object.getPrototypeOf(search).getSelection = function () { return document.getSelection(); }; }\n return this._root = search\n }\n } }\n return cached || document\n};\n\n// :: ({left: number, top: number}) → ?{pos: number, inside: number}\n// Given a pair of viewport coordinates, return the document\n// position that corresponds to them. May return null if the given\n// coordinates aren't inside of the editor. When an object is\n// returned, its `pos` property is the position nearest to the\n// coordinates, and its `inside` property holds the position of the\n// inner node that the position falls inside of, or -1 if it is at\n// the top level, not in any node.\nEditorView.prototype.posAtCoords = function posAtCoords$1 (coords) {\n return posAtCoords(this, coords)\n};\n\n// :: (number) → {left: number, right: number, top: number, bottom: number}\n// Returns the viewport rectangle at a given document position. `left`\n// and `right` will be the same number, as this returns a flat\n// cursor-ish rectangle.\nEditorView.prototype.coordsAtPos = function coordsAtPos$1 (pos) {\n return coordsAtPos(this, pos)\n};\n\n// :: (number) → {node: dom.Node, offset: number}\n// Find the DOM position that corresponds to the given document\n// position. Note that you should **not** mutate the editor's\n// internal DOM, only inspect it (and even that is usually not\n// necessary).\nEditorView.prototype.domAtPos = function domAtPos (pos) {\n return this.docView.domFromPos(pos)\n};\n\n// :: (number) → ?dom.Node\n// Find the DOM node that represents the document node after the\n// given position. May return `null` when the position doesn't point\n// in front of a node or if the node is inside an opaque node view.\n//\n// This is intended to be able to call things like\n// `getBoundingClientRect` on that DOM node. Do **not** mutate the\n// editor DOM directly, or add styling this way, since that will be\n// immediately overriden by the editor as it redraws the node.\nEditorView.prototype.nodeDOM = function nodeDOM (pos) {\n var desc = this.docView.descAt(pos);\n return desc ? desc.nodeDOM : null\n};\n\n// :: (dom.Node, number, ?number) → number\n// Find the document position that corresponds to a given DOM\n// position. (Whenever possible, it is preferable to inspect the\n// document structure directly, rather than poking around in the\n// DOM, but sometimes—for example when interpreting an event\n// target—you don't have a choice.)\n//\n// The `bias` parameter can be used to influence which side of a DOM\n// node to use when the position is inside a leaf node.\nEditorView.prototype.posAtDOM = function posAtDOM (node, offset, bias) {\n if ( bias === void 0 ) bias = -1;\n\n var pos = this.docView.posFromDOM(node, offset, bias);\n if (pos == null) { throw new RangeError(\"DOM position not inside the editor\") }\n return pos\n};\n\n// :: (union<\"up\", \"down\", \"left\", \"right\", \"forward\", \"backward\">, ?EditorState) → bool\n// Find out whether the selection is at the end of a textblock when\n// moving in a given direction. When, for example, given `\"left\"`,\n// it will return true if moving left from the current cursor\n// position would leave that position's parent textblock. Will apply\n// to the view's current state by default, but it is possible to\n// pass a different state.\nEditorView.prototype.endOfTextblock = function endOfTextblock$1 (dir, state) {\n return endOfTextblock(this, state || this.state, dir)\n};\n\n// :: ()\n// Removes the editor from the DOM and destroys all [node\n// views](#view.NodeView).\nEditorView.prototype.destroy = function destroy () {\n if (!this.docView) { return }\n destroyInput(this);\n this.destroyPluginViews();\n if (this.mounted) {\n this.docView.update(this.state.doc, [], viewDecorations(this), this);\n this.dom.textContent = \"\";\n } else if (this.dom.parentNode) {\n this.dom.parentNode.removeChild(this.dom);\n }\n this.docView.destroy();\n this.docView = null;\n};\n\n// Used for testing.\nEditorView.prototype.dispatchEvent = function dispatchEvent$1 (event) {\n return dispatchEvent(this, event)\n};\n\n// :: (Transaction)\n// Dispatch a transaction. Will call\n// [`dispatchTransaction`](#view.DirectEditorProps.dispatchTransaction)\n// when given, and otherwise defaults to applying the transaction to\n// the current state and calling\n// [`updateState`](#view.EditorView.updateState) with the result.\n// This method is bound to the view instance, so that it can be\n// easily passed around.\nEditorView.prototype.dispatch = function dispatch (tr) {\n var dispatchTransaction = this._props.dispatchTransaction;\n if (dispatchTransaction) { dispatchTransaction.call(this, tr); }\n else { this.updateState(this.state.apply(tr)); }\n};\n\nObject.defineProperties( EditorView.prototype, prototypeAccessors$2 );\n\nfunction computeDocDeco(view) {\n var attrs = Object.create(null);\n attrs.class = \"ProseMirror\";\n attrs.contenteditable = String(view.editable);\n\n view.someProp(\"attributes\", function (value) {\n if (typeof value == \"function\") { value = value(view.state); }\n if (value) { for (var attr in value) {\n if (attr == \"class\")\n { attrs.class += \" \" + value[attr]; }\n else if (!attrs[attr] && attr != \"contenteditable\" && attr != \"nodeName\")\n { attrs[attr] = String(value[attr]); }\n } }\n });\n\n return [Decoration.node(0, view.state.doc.content.size, attrs)]\n}\n\nfunction updateCursorWrapper(view) {\n if (view.markCursor) {\n var dom = document.createElement(\"img\");\n dom.setAttribute(\"mark-placeholder\", \"true\");\n view.cursorWrapper = {dom: dom, deco: Decoration.widget(view.state.selection.head, dom, {raw: true, marks: view.markCursor})};\n } else {\n view.cursorWrapper = null;\n }\n}\n\nfunction getEditable(view) {\n return !view.someProp(\"editable\", function (value) { return value(view.state) === false; })\n}\n\nfunction selectionContextChanged(sel1, sel2) {\n var depth = Math.min(sel1.$anchor.sharedDepth(sel1.head), sel2.$anchor.sharedDepth(sel2.head));\n return sel1.$anchor.start(depth) != sel2.$anchor.start(depth)\n}\n\nfunction buildNodeViews(view) {\n var result = {};\n view.someProp(\"nodeViews\", function (obj) {\n for (var prop in obj) { if (!Object.prototype.hasOwnProperty.call(result, prop))\n { result[prop] = obj[prop]; } }\n });\n return result\n}\n\nfunction changedNodeViews(a, b) {\n var nA = 0, nB = 0;\n for (var prop in a) {\n if (a[prop] != b[prop]) { return true }\n nA++;\n }\n for (var _ in b) { nB++; }\n return nA != nB\n}\n\n// EditorProps:: interface\n//\n// Props are configuration values that can be passed to an editor view\n// or included in a plugin. This interface lists the supported props.\n//\n// The various event-handling functions may all return `true` to\n// indicate that they handled the given event. The view will then take\n// care to call `preventDefault` on the event, except with\n// `handleDOMEvents`, where the handler itself is responsible for that.\n//\n// How a prop is resolved depends on the prop. Handler functions are\n// called one at a time, starting with the base props and then\n// searching through the plugins (in order of appearance) until one of\n// them returns true. For some props, the first plugin that yields a\n// value gets precedence.\n//\n// handleDOMEvents:: ?Object<(view: EditorView, event: dom.Event) → bool>\n// Can be an object mapping DOM event type names to functions that\n// handle them. Such functions will be called before any handling\n// ProseMirror does of events fired on the editable DOM element.\n// Contrary to the other event handling props, when returning true\n// from such a function, you are responsible for calling\n// `preventDefault` yourself (or not, if you want to allow the\n// default behavior).\n//\n// handleKeyDown:: ?(view: EditorView, event: dom.KeyboardEvent) → bool\n// Called when the editor receives a `keydown` event.\n//\n// handleKeyPress:: ?(view: EditorView, event: dom.KeyboardEvent) → bool\n// Handler for `keypress` events.\n//\n// handleTextInput:: ?(view: EditorView, from: number, to: number, text: string) → bool\n// Whenever the user directly input text, this handler is called\n// before the input is applied. If it returns `true`, the default\n// behavior of actually inserting the text is suppressed.\n//\n// handleClickOn:: ?(view: EditorView, pos: number, node: Node, nodePos: number, event: dom.MouseEvent, direct: bool) → bool\n// Called for each node around a click, from the inside out. The\n// `direct` flag will be true for the inner node.\n//\n// handleClick:: ?(view: EditorView, pos: number, event: dom.MouseEvent) → bool\n// Called when the editor is clicked, after `handleClickOn` handlers\n// have been called.\n//\n// handleDoubleClickOn:: ?(view: EditorView, pos: number, node: Node, nodePos: number, event: dom.MouseEvent, direct: bool) → bool\n// Called for each node around a double click.\n//\n// handleDoubleClick:: ?(view: EditorView, pos: number, event: dom.MouseEvent) → bool\n// Called when the editor is double-clicked, after `handleDoubleClickOn`.\n//\n// handleTripleClickOn:: ?(view: EditorView, pos: number, node: Node, nodePos: number, event: dom.MouseEvent, direct: bool) → bool\n// Called for each node around a triple click.\n//\n// handleTripleClick:: ?(view: EditorView, pos: number, event: dom.MouseEvent) → bool\n// Called when the editor is triple-clicked, after `handleTripleClickOn`.\n//\n// handlePaste:: ?(view: EditorView, event: dom.ClipboardEvent, slice: Slice) → bool\n// Can be used to override the behavior of pasting. `slice` is the\n// pasted content parsed by the editor, but you can directly access\n// the event to get at the raw content.\n//\n// handleDrop:: ?(view: EditorView, event: dom.Event, slice: Slice, moved: bool) → bool\n// Called when something is dropped on the editor. `moved` will be\n// true if this drop moves from the current selection (which should\n// thus be deleted).\n//\n// handleScrollToSelection:: ?(view: EditorView) → bool\n// Called when the view, after updating its state, tries to scroll\n// the selection into view. A handler function may return false to\n// indicate that it did not handle the scrolling and further\n// handlers or the default behavior should be tried.\n//\n// createSelectionBetween:: ?(view: EditorView, anchor: ResolvedPos, head: ResolvedPos) → ?Selection\n// Can be used to override the way a selection is created when\n// reading a DOM selection between the given anchor and head.\n//\n// domParser:: ?DOMParser\n// The [parser](#model.DOMParser) to use when reading editor changes\n// from the DOM. Defaults to calling\n// [`DOMParser.fromSchema`](#model.DOMParser^fromSchema) on the\n// editor's schema.\n//\n// transformPastedHTML:: ?(html: string) → string\n// Can be used to transform pasted HTML text, _before_ it is parsed,\n// for example to clean it up.\n//\n// clipboardParser:: ?DOMParser\n// The [parser](#model.DOMParser) to use when reading content from\n// the clipboard. When not given, the value of the\n// [`domParser`](#view.EditorProps.domParser) prop is used.\n//\n// transformPastedText:: ?(text: string, plain: bool) → string\n// Transform pasted plain text. The `plain` flag will be true when\n// the text is pasted as plain text.\n//\n// clipboardTextParser:: ?(text: string, $context: ResolvedPos, plain: bool) → Slice\n// A function to parse text from the clipboard into a document\n// slice. Called after\n// [`transformPastedText`](#view.EditorProps.transformPastedText).\n// The default behavior is to split the text into lines, wrap them\n// in `<p>` tags, and call\n// [`clipboardParser`](#view.EditorProps.clipboardParser) on it.\n// The `plain` flag will be true when the text is pasted as plain text.\n//\n// transformPasted:: ?(Slice) → Slice\n// Can be used to transform pasted content before it is applied to\n// the document.\n//\n// nodeViews:: ?Object<(node: Node, view: EditorView, getPos: () → number, decorations: [Decoration]) → NodeView>\n// Allows you to pass custom rendering and behavior logic for nodes\n// and marks. Should map node and mark names to constructor\n// functions that produce a [`NodeView`](#view.NodeView) object\n// implementing the node's display behavior. For nodes, the third\n// argument `getPos` is a function that can be called to get the\n// node's current position, which can be useful when creating\n// transactions to update it. For marks, the third argument is a\n// boolean that indicates whether the mark's content is inline.\n//\n// `decorations` is an array of node or inline decorations that are\n// active around the node. They are automatically drawn in the\n// normal way, and you will usually just want to ignore this, but\n// they can also be used as a way to provide context information to\n// the node view without adding it to the document itself.\n//\n// clipboardSerializer:: ?DOMSerializer\n// The DOM serializer to use when putting content onto the\n// clipboard. If not given, the result of\n// [`DOMSerializer.fromSchema`](#model.DOMSerializer^fromSchema)\n// will be used.\n//\n// clipboardTextSerializer:: ?(Slice) → string\n// A function that will be called to get the text for the current\n// selection when copying text to the clipboard. By default, the\n// editor will use [`textBetween`](#model.Node.textBetween) on the\n// selected range.\n//\n// decorations:: ?(state: EditorState) → ?DecorationSet\n// A set of [document decorations](#view.Decoration) to show in the\n// view.\n//\n// editable:: ?(state: EditorState) → bool\n// When this returns false, the content of the view is not directly\n// editable.\n//\n// attributes:: ?union<Object<string>, (EditorState) → ?Object<string>>\n// Control the DOM attributes of the editable element. May be either\n// an object or a function going from an editor state to an object.\n// By default, the element will get a class `\"ProseMirror\"`, and\n// will have its `contentEditable` attribute determined by the\n// [`editable` prop](#view.EditorProps.editable). Additional classes\n// provided here will be added to the class. For other attributes,\n// the value provided first (as in\n// [`someProp`](#view.EditorView.someProp)) will be used.\n//\n// scrollThreshold:: ?union<number, {top: number, right: number, bottom: number, left: number}>\n// Determines the distance (in pixels) between the cursor and the\n// end of the visible viewport at which point, when scrolling the\n// cursor into view, scrolling takes place. Defaults to 0.\n//\n// scrollMargin:: ?union<number, {top: number, right: number, bottom: number, left: number}>\n// Determines the extra space (in pixels) that is left above or\n// below the cursor when it is scrolled into view. Defaults to 5.\n\n// DirectEditorProps:: interface extends EditorProps\n//\n// The props object given directly to the editor view supports two\n// fields that can't be used in plugins:\n//\n// state:: EditorState\n// The current state of the editor.\n//\n// dispatchTransaction:: ?(tr: Transaction)\n// The callback over which to send transactions (state updates)\n// produced by the view. If you specify this, you probably want to\n// make sure this ends up calling the view's\n// [`updateState`](#view.EditorView.updateState) method with a new\n// state that has the transaction\n// [applied](#state.EditorState.apply). The callback will be bound to have\n// the view instance as its `this` binding.\n\nexport { Decoration, DecorationSet, EditorView, endComposition as __endComposition, parseFromClipboard as __parseFromClipboard, serializeForClipboard as __serializeForClipboard };\n//# sourceMappingURL=index.es.js.map\n","\n /*!\n * tiptap-utils v1.10.0\n * (c) 2020 Scrumpy UG (limited liability)\n * @license MIT\n */\n \nimport { findSelectedNodeOfType, findParentNode } from 'prosemirror-utils';\n\nfunction _defineProperty(obj, key, value) {\n if (key in obj) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n } else {\n obj[key] = value;\n }\n\n return obj;\n}\n\nfunction ownKeys(object, enumerableOnly) {\n var keys = Object.keys(object);\n\n if (Object.getOwnPropertySymbols) {\n var symbols = Object.getOwnPropertySymbols(object);\n if (enumerableOnly) symbols = symbols.filter(function (sym) {\n return Object.getOwnPropertyDescriptor(object, sym).enumerable;\n });\n keys.push.apply(keys, symbols);\n }\n\n return keys;\n}\n\nfunction _objectSpread2(target) {\n for (var i = 1; i < arguments.length; i++) {\n var source = arguments[i] != null ? arguments[i] : {};\n\n if (i % 2) {\n ownKeys(Object(source), true).forEach(function (key) {\n _defineProperty(target, key, source[key]);\n });\n } else if (Object.getOwnPropertyDescriptors) {\n Object.defineProperties(target, Object.getOwnPropertyDescriptors(source));\n } else {\n ownKeys(Object(source)).forEach(function (key) {\n Object.defineProperty(target, key, Object.getOwnPropertyDescriptor(source, key));\n });\n }\n }\n\n return target;\n}\n\nfunction _toConsumableArray(arr) {\n return _arrayWithoutHoles(arr) || _iterableToArray(arr) || _unsupportedIterableToArray(arr) || _nonIterableSpread();\n}\n\nfunction _arrayWithoutHoles(arr) {\n if (Array.isArray(arr)) return _arrayLikeToArray(arr);\n}\n\nfunction _iterableToArray(iter) {\n if (typeof Symbol !== \"undefined\" && Symbol.iterator in Object(iter)) return Array.from(iter);\n}\n\nfunction _unsupportedIterableToArray(o, minLen) {\n if (!o) return;\n if (typeof o === \"string\") return _arrayLikeToArray(o, minLen);\n var n = Object.prototype.toString.call(o).slice(8, -1);\n if (n === \"Object\" && o.constructor) n = o.constructor.name;\n if (n === \"Map\" || n === \"Set\") return Array.from(o);\n if (n === \"Arguments\" || /^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)) return _arrayLikeToArray(o, minLen);\n}\n\nfunction _arrayLikeToArray(arr, len) {\n if (len == null || len > arr.length) len = arr.length;\n\n for (var i = 0, arr2 = new Array(len); i < len; i++) arr2[i] = arr[i];\n\n return arr2;\n}\n\nfunction _nonIterableSpread() {\n throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nfunction getMarkAttrs(state, type) {\n var _state$selection = state.selection,\n from = _state$selection.from,\n to = _state$selection.to;\n var marks = [];\n state.doc.nodesBetween(from, to, function (node) {\n marks = [].concat(_toConsumableArray(marks), _toConsumableArray(node.marks));\n });\n var mark = marks.find(function (markItem) {\n return markItem.type.name === type.name;\n });\n\n if (mark) {\n return mark.attrs;\n }\n\n return {};\n}\n\nfunction getNodeAttrs(state, type) {\n var _state$selection = state.selection,\n from = _state$selection.from,\n to = _state$selection.to;\n var nodes = [];\n state.doc.nodesBetween(from, to, function (node) {\n nodes = [].concat(_toConsumableArray(nodes), [node]);\n });\n var node = nodes.reverse().find(function (nodeItem) {\n return nodeItem.type.name === type.name;\n });\n\n if (node) {\n return node.attrs;\n }\n\n return {};\n}\n\nfunction getMarkRange() {\n var $pos = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n var type = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : null;\n\n if (!$pos || !type) {\n return false;\n }\n\n var start = $pos.parent.childAfter($pos.parentOffset);\n\n if (!start.node) {\n return false;\n }\n\n var link = start.node.marks.find(function (mark) {\n return mark.type === type;\n });\n\n if (!link) {\n return false;\n }\n\n var startIndex = $pos.index();\n var startPos = $pos.start() + start.offset;\n var endIndex = startIndex + 1;\n var endPos = startPos + start.node.nodeSize;\n\n while (startIndex > 0 && link.isInSet($pos.parent.child(startIndex - 1).marks)) {\n startIndex -= 1;\n startPos -= $pos.parent.child(startIndex).nodeSize;\n }\n\n while (endIndex < $pos.parent.childCount && link.isInSet($pos.parent.child(endIndex).marks)) {\n endPos += $pos.parent.child(endIndex).nodeSize;\n endIndex += 1;\n }\n\n return {\n from: startPos,\n to: endPos\n };\n}\n\nfunction markIsActive(state, type) {\n var _state$selection = state.selection,\n from = _state$selection.from,\n $from = _state$selection.$from,\n to = _state$selection.to,\n empty = _state$selection.empty;\n\n if (empty) {\n return !!type.isInSet(state.storedMarks || $from.marks());\n }\n\n return !!state.doc.rangeHasMark(from, to, type);\n}\n\nfunction nodeEqualsType(_ref) {\n var types = _ref.types,\n node = _ref.node;\n return Array.isArray(types) && types.includes(node.type) || node.type === types;\n}\n\nfunction nodeIsActive(state, type) {\n var attrs = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n\n var predicate = function predicate(node) {\n return node.type === type;\n };\n\n var node = findSelectedNodeOfType(type)(state.selection) || findParentNode(predicate)(state.selection);\n\n if (!Object.keys(attrs).length || !node) {\n return !!node;\n }\n\n return node.node.hasMarkup(type, _objectSpread2(_objectSpread2({}, node.node.attrs), attrs));\n}\n\nexport { getMarkAttrs, getMarkRange, getNodeAttrs, markIsActive, nodeEqualsType, nodeIsActive };\n","'use strict';\n\nObject.defineProperty(exports, '__esModule', { value: true });\n\nvar prosemirrorState = require('prosemirror-state');\nvar prosemirrorModel = require('prosemirror-model');\nvar prosemirrorTables = require('prosemirror-tables');\n\n// :: (nodeType: union<NodeType, [NodeType]>) → (tr: Transaction) → Transaction\n// Returns a new transaction that removes a node of a given `nodeType`. It will return an original transaction if parent node hasn't been found.\n//\n// ```javascript\n// dispatch(\n// removeParentNodeOfType(schema.nodes.table)(tr)\n// );\n// ```\nvar removeParentNodeOfType = function removeParentNodeOfType(nodeType) {\n return function (tr) {\n var parent = findParentNodeOfType(nodeType)(tr.selection);\n if (parent) {\n return removeNodeAtPos(parent.pos)(tr);\n }\n return tr;\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>, content: union<ProseMirrorNode, Fragment>) → (tr: Transaction) → Transaction\n// Returns a new transaction that replaces parent node of a given `nodeType` with the given `content`. It will return an original transaction if either parent node hasn't been found or replacing is not possible.\n//\n// ```javascript\n// const node = schema.nodes.paragraph.createChecked({}, schema.text('new'));\n//\n// dispatch(\n// replaceParentNodeOfType(schema.nodes.table, node)(tr)\n// );\n// ```\nvar replaceParentNodeOfType = function replaceParentNodeOfType(nodeType, content) {\n return function (tr) {\n if (!Array.isArray(nodeType)) {\n nodeType = [nodeType];\n }\n for (var i = 0, count = nodeType.length; i < count; i++) {\n var parent = findParentNodeOfType(nodeType[i])(tr.selection);\n if (parent) {\n var newTr = replaceNodeAtPos(parent.pos, content)(tr);\n if (newTr !== tr) {\n return newTr;\n }\n }\n }\n return tr;\n };\n};\n\n// :: (tr: Transaction) → Transaction\n// Returns a new transaction that removes selected node. It will return an original transaction if current selection is not a `NodeSelection`.\n//\n// ```javascript\n// dispatch(\n// removeSelectedNode(tr)\n// );\n// ```\nvar removeSelectedNode = function removeSelectedNode(tr) {\n if (isNodeSelection(tr.selection)) {\n var from = tr.selection.$from.pos;\n var to = tr.selection.$to.pos;\n return cloneTr(tr.delete(from, to));\n }\n return tr;\n};\n\n// :: (content: union<ProseMirrorNode, ProseMirrorFragment>) → (tr: Transaction) → Transaction\n// Returns a new transaction that replaces selected node with a given `node`, keeping NodeSelection on the new `node`.\n// It will return the original transaction if either current selection is not a NodeSelection or replacing is not possible.\n//\n// ```javascript\n// const node = schema.nodes.paragraph.createChecked({}, schema.text('new'));\n// dispatch(\n// replaceSelectedNode(node)(tr)\n// );\n// ```\nvar replaceSelectedNode = function replaceSelectedNode(content) {\n return function (tr) {\n if (isNodeSelection(tr.selection)) {\n var _tr$selection = tr.selection,\n $from = _tr$selection.$from,\n $to = _tr$selection.$to;\n\n if (content instanceof prosemirrorModel.Fragment && $from.parent.canReplace($from.index(), $from.indexAfter(), content) || $from.parent.canReplaceWith($from.index(), $from.indexAfter(), content.type)) {\n return cloneTr(tr.replaceWith($from.pos, $to.pos, content)\n // restore node selection\n .setSelection(new prosemirrorState.NodeSelection(tr.doc.resolve($from.pos))));\n }\n }\n return tr;\n };\n};\n\n// :: (position: number, dir: ?number) → (tr: Transaction) → Transaction\n// Returns a new transaction that tries to find a valid cursor selection starting at the given `position`\n// and searching back if `dir` is negative, and forward if positive.\n// If a valid cursor position hasn't been found, it will return the original transaction.\n//\n// ```javascript\n// dispatch(\n// setTextSelection(5)(tr)\n// );\n// ```\nvar setTextSelection = function setTextSelection(position) {\n var dir = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 1;\n return function (tr) {\n var nextSelection = prosemirrorState.Selection.findFrom(tr.doc.resolve(position), dir, true);\n if (nextSelection) {\n return tr.setSelection(nextSelection);\n }\n return tr;\n };\n};\n\nvar isSelectableNode = function isSelectableNode(node) {\n return node.type && node.type.spec.selectable;\n};\nvar shouldSelectNode = function shouldSelectNode(node) {\n return isSelectableNode(node) && node.type.isLeaf;\n};\n\nvar setSelection = function setSelection(node, pos, tr) {\n if (shouldSelectNode(node)) {\n return tr.setSelection(new prosemirrorState.NodeSelection(tr.doc.resolve(pos)));\n }\n return setTextSelection(pos)(tr);\n};\n\n// :: (content: union<ProseMirrorNode, Fragment>, position: ?number, tryToReplace?: boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that inserts a given `content` at the current cursor position, or at a given `position`, if it is allowed by schema. If schema restricts such nesting, it will try to find an appropriate place for a given node in the document, looping through parent nodes up until the root document node.\n// If `tryToReplace` is true and current selection is a NodeSelection, it will replace selected node with inserted content if its allowed by schema.\n// If cursor is inside of an empty paragraph, it will try to replace that paragraph with the given content. If insertion is successful and inserted node has content, it will set cursor inside of that content.\n// It will return an original transaction if the place for insertion hasn't been found.\n//\n// ```javascript\n// const node = schema.nodes.extension.createChecked({});\n// dispatch(\n// safeInsert(node)(tr)\n// );\n// ```\nvar safeInsert = function safeInsert(content, position, tryToReplace) {\n return function (tr) {\n var hasPosition = typeof position === 'number';\n var $from = tr.selection.$from;\n\n var $insertPos = hasPosition ? tr.doc.resolve(position) : isNodeSelection(tr.selection) ? tr.doc.resolve($from.pos + 1) : $from;\n var parent = $insertPos.parent;\n\n // try to replace selected node\n\n if (isNodeSelection(tr.selection) && tryToReplace) {\n var oldTr = tr;\n tr = replaceSelectedNode(content)(tr);\n if (oldTr !== tr) {\n return tr;\n }\n }\n\n // try to replace an empty paragraph\n if (isEmptyParagraph(parent)) {\n var _oldTr = tr;\n tr = replaceParentNodeOfType(parent.type, content)(tr);\n if (_oldTr !== tr) {\n var pos = isSelectableNode(content) ? // for selectable node, selection position would be the position of the replaced parent\n $insertPos.before($insertPos.depth) : $insertPos.pos;\n return setSelection(content, pos, tr);\n }\n }\n\n // given node is allowed at the current cursor position\n if (canInsert($insertPos, content)) {\n tr.insert($insertPos.pos, content);\n var _pos = hasPosition ? $insertPos.pos : isSelectableNode(content) ? // for atom nodes selection position after insertion is the previous pos\n tr.selection.$anchor.pos - 1 : tr.selection.$anchor.pos;\n return cloneTr(setSelection(content, _pos, tr));\n }\n\n // looking for a place in the doc where the node is allowed\n for (var i = $insertPos.depth; i > 0; i--) {\n var _pos2 = $insertPos.after(i);\n var $pos = tr.doc.resolve(_pos2);\n if (canInsert($pos, content)) {\n tr.insert(_pos2, content);\n return cloneTr(setSelection(content, _pos2, tr));\n }\n }\n return tr;\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>, type: ?union<NodeType, null>, attrs: ?union<Object, null>, marks?: [Mark]) → (tr: Transaction) → Transaction\n// Returns a transaction that changes the type, attributes, and/or marks of the parent node of a given `nodeType`.\n//\n// ```javascript\n// const node = schema.nodes.extension.createChecked({});\n// dispatch(\n// setParentNodeMarkup(schema.nodes.panel, null, { panelType })(tr);\n// );\n// ```\nvar setParentNodeMarkup = function setParentNodeMarkup(nodeType, type, attrs, marks) {\n return function (tr) {\n var parent = findParentNodeOfType(nodeType)(tr.selection);\n if (parent) {\n return cloneTr(tr.setNodeMarkup(parent.pos, type, Object.assign({}, parent.node.attrs, attrs), marks));\n }\n return tr;\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>) → (tr: Transaction) → Transaction\n// Returns a new transaction that sets a `NodeSelection` on a parent node of a `given nodeType`.\n//\n// ```javascript\n// dispatch(\n// selectParentNodeOfType([tableCell, tableHeader])(state.tr)\n// );\n// ```\nvar selectParentNodeOfType = function selectParentNodeOfType(nodeType) {\n return function (tr) {\n if (!isNodeSelection(tr.selection)) {\n var parent = findParentNodeOfType(nodeType)(tr.selection);\n if (parent) {\n return cloneTr(tr.setSelection(prosemirrorState.NodeSelection.create(tr.doc, parent.pos)));\n }\n }\n return tr;\n };\n};\n\n// :: (tr: Transaction) → Transaction\n// Returns a new transaction that deletes previous node.\n//\n// ```javascript\n// dispatch(\n// removeNodeBefore(state.tr)\n// );\n// ```\nvar removeNodeBefore = function removeNodeBefore(tr) {\n var position = findPositionOfNodeBefore(tr.selection);\n if (typeof position === 'number') {\n return removeNodeAtPos(position)(tr);\n }\n return tr;\n};\n\n// :: (selection: Selection) → boolean\n// Checks if current selection is a `NodeSelection`.\n//\n// ```javascript\n// if (isNodeSelection(tr.selection)) {\n// // ...\n// }\n// ```\nvar isNodeSelection = function isNodeSelection(selection) {\n return selection instanceof prosemirrorState.NodeSelection;\n};\n\n// (nodeType: union<NodeType, [NodeType]>) → boolean\n// Checks if the type a given `node` equals to a given `nodeType`.\nvar equalNodeType = function equalNodeType(nodeType, node) {\n return Array.isArray(nodeType) && nodeType.indexOf(node.type) > -1 || node.type === nodeType;\n};\n\n// (tr: Transaction) → Transaction\n// Creates a new transaction object from a given transaction\nvar cloneTr = function cloneTr(tr) {\n return Object.assign(Object.create(tr), tr).setTime(Date.now());\n};\n\n// (position: number, content: union<ProseMirrorNode, Fragment>) → (tr: Transaction) → Transaction\n// Returns a `replace` transaction that replaces a node at a given position with the given `content`.\n// It will return the original transaction if replacing is not possible.\n// `position` should point at the position immediately before the node.\nvar replaceNodeAtPos = function replaceNodeAtPos(position, content) {\n return function (tr) {\n var node = tr.doc.nodeAt(position);\n var $pos = tr.doc.resolve(position);\n if (canReplace($pos, content)) {\n tr = tr.replaceWith(position, position + node.nodeSize, content);\n var start = tr.selection.$from.pos - 1;\n // put cursor inside of the inserted node\n tr = setTextSelection(Math.max(start, 0), -1)(tr);\n // move cursor to the start of the node\n tr = setTextSelection(tr.selection.$from.start())(tr);\n return cloneTr(tr);\n }\n return tr;\n };\n};\n\n// ($pos: ResolvedPos, doc: ProseMirrorNode, content: union<ProseMirrorNode, Fragment>, ) → boolean\n// Checks if replacing a node at a given `$pos` inside of the `doc` node with the given `content` is possible.\nvar canReplace = function canReplace($pos, content) {\n var node = $pos.node($pos.depth);\n return node && node.type.validContent(content instanceof prosemirrorModel.Fragment ? content : prosemirrorModel.Fragment.from(content));\n};\n\n// (position: number) → (tr: Transaction) → Transaction\n// Returns a `delete` transaction that removes a node at a given position with the given `node`.\n// `position` should point at the position immediately before the node.\nvar removeNodeAtPos = function removeNodeAtPos(position) {\n return function (tr) {\n var node = tr.doc.nodeAt(position);\n return cloneTr(tr.delete(position, position + node.nodeSize));\n };\n};\n\n// (schema: Schema) → {[key: string]: NodeType}\n// Returns a map where keys are tableRoles and values are NodeTypes.\nvar tableNodeTypes = function tableNodeTypes(schema) {\n if (schema.cached.tableNodeTypes) {\n return schema.cached.tableNodeTypes;\n }\n var roles = {};\n Object.keys(schema.nodes).forEach(function (type) {\n var nodeType = schema.nodes[type];\n if (nodeType.spec.tableRole) {\n roles[nodeType.spec.tableRole] = nodeType;\n }\n });\n schema.cached.tableNodeTypes = roles;\n return roles;\n};\n\n// :: ($pos: ResolvedPos, content: union<ProseMirrorNode, Fragment>) → boolean\n// Checks if a given `content` can be inserted at the given `$pos`\n//\n// ```javascript\n// const { selection: { $from } } = state;\n// const node = state.schema.nodes.atom.createChecked();\n// if (canInsert($from, node)) {\n// // ...\n// }\n// ```\nvar canInsert = function canInsert($pos, content) {\n var index = $pos.index();\n\n if (content instanceof prosemirrorModel.Fragment) {\n return $pos.parent.canReplace(index, index, content);\n } else if (content instanceof prosemirrorModel.Node) {\n return $pos.parent.canReplaceWith(index, index, content.type);\n }\n return false;\n};\n\n// (node: ProseMirrorNode) → boolean\n// Checks if a given `node` is an empty paragraph\nvar isEmptyParagraph = function isEmptyParagraph(node) {\n return !node || node.type.name === 'paragraph' && node.nodeSize === 2;\n};\n\n// ($pos: ResolvedPos) → ?{pos: number, start: number, node: ProseMirrorNode}\n// Iterates over parent nodes, returning a table node closest to a given `$pos`.\n//\n// ```javascript\n// const table = findTableClosestToPos(state.doc.resolve(10));\n// ```\nvar findTableClosestToPos = function findTableClosestToPos($pos) {\n var predicate = function predicate(node) {\n return node.type.spec.tableRole && /table/i.test(node.type.spec.tableRole);\n };\n return findParentNodeClosestToPos($pos, predicate);\n};\n\nvar createCell = function createCell(cellType) {\n var cellContent = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : null;\n\n if (cellContent) {\n return cellType.createChecked(null, cellContent);\n }\n\n return cellType.createAndFill();\n};\n\n// (rect: {left: number, right: number, top: number, bottom: number}) → (selection: Selection) → boolean\n// Checks if a given CellSelection rect is selected\nvar isRectSelected = function isRectSelected(rect) {\n return function (selection) {\n var map = prosemirrorTables.TableMap.get(selection.$anchorCell.node(-1));\n var start = selection.$anchorCell.start(-1);\n var cells = map.cellsInRect(rect);\n var selectedCells = map.cellsInRect(map.rectBetween(selection.$anchorCell.pos - start, selection.$headCell.pos - start));\n\n for (var i = 0, count = cells.length; i < count; i++) {\n if (selectedCells.indexOf(cells[i]) === -1) {\n return false;\n }\n }\n\n return true;\n };\n};\n\n// This function transposes an array of array flipping the columns for rows,\n// transposition is a familiar algebra concept;\n// you can get more details here:\n// https://en.wikipedia.org/wiki/Transpose\n//\n// ```javascript\n//\n// const arr = [\n// ['a1', 'a2', 'a3'],\n// ['b1', 'b2', 'b3'],\n// ['c1', 'c2', 'c3'],\n// ['d1', 'd2', 'd3'],\n// ];\n//\n// const result = transpose(arr);\n//\n// result === [\n// ['a1', 'b1', 'c1', 'd1'],\n// ['a2', 'b2', 'c2', 'd2'],\n// ['a3', 'b3', 'c3', 'd3'],\n// ]\n// ```\nvar transpose = function transpose(array) {\n return array[0].map(function (_, i) {\n return array.map(function (column) {\n return column[i];\n });\n });\n};\n\n// :: (tableNode: Node) -> Array<Node>\n// This function will transform the table node\n// into a matrix of rows and columns respecting merged cells,\n// for example this table will be convert to the below:\n//\n// ```\n// ____________________________\n// | | | |\n// | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// | A3 | B3 | C2 | |\n// |______|______|______|______|\n// ```\n//\n//\n// ```javascript\n// array = [\n// [A1, B1, C1, null],\n// [A2, B2, null, D1],\n// [A3. B3, C2, null],\n// ]\n// ```\nvar convertTableNodeToArrayOfRows = function convertTableNodeToArrayOfRows(tableNode) {\n var map = prosemirrorTables.TableMap.get(tableNode);\n var rows = [];\n for (var rowIndex = 0; rowIndex < map.height; rowIndex++) {\n var rowCells = [];\n var seen = {};\n\n for (var colIndex = 0; colIndex < map.width; colIndex++) {\n var cellPos = map.map[rowIndex * map.width + colIndex];\n var cell = tableNode.nodeAt(cellPos);\n var rect = map.findCell(cellPos);\n if (seen[cellPos] || rect.top !== rowIndex) {\n rowCells.push(null);\n continue;\n }\n seen[cellPos] = true;\n\n rowCells.push(cell);\n }\n\n rows.push(rowCells);\n }\n\n return rows;\n};\n\n// :: (tableNode: Node, tableArray: Array<Node>) -> Node\n// This function will transform a matrix of nodes\n// into table node respecting merged cells and rows configurations,\n// for example this array will be convert to the table below:\n//\n// ```javascript\n// array = [\n// [A1, B1, C1, null],\n// [A2, B2, null, D1],\n// [A3. B3, C2, null],\n// ]\n// ```\n//\n// ```\n// ____________________________\n// | | | |\n// | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// | A3 | B3 | C2 | |\n// |______|______|______|______|\n// ```\n//\nvar convertArrayOfRowsToTableNode = function convertArrayOfRowsToTableNode(tableNode, arrayOfNodes) {\n var rowsPM = [];\n var map = prosemirrorTables.TableMap.get(tableNode);\n for (var rowIndex = 0; rowIndex < map.height; rowIndex++) {\n var row = tableNode.child(rowIndex);\n var rowCells = [];\n\n for (var colIndex = 0; colIndex < map.width; colIndex++) {\n if (!arrayOfNodes[rowIndex][colIndex]) {\n continue;\n }\n var cellPos = map.map[rowIndex * map.width + colIndex];\n\n var cell = arrayOfNodes[rowIndex][colIndex];\n var oldCell = tableNode.nodeAt(cellPos);\n var newCell = oldCell.type.createChecked(Object.assign({}, cell.attrs), cell.content, cell.marks);\n rowCells.push(newCell);\n }\n\n rowsPM.push(row.type.createChecked(row.attrs, rowCells, row.marks));\n }\n\n var newTable = tableNode.type.createChecked(tableNode.attrs, rowsPM, tableNode.marks);\n\n return newTable;\n};\n\nvar moveTableColumn = function moveTableColumn(table, indexesOrigin, indexesTarget, direction) {\n var rows = transpose(convertTableNodeToArrayOfRows(table.node));\n\n rows = moveRowInArrayOfRows(rows, indexesOrigin, indexesTarget, direction);\n rows = transpose(rows);\n\n return convertArrayOfRowsToTableNode(table.node, rows);\n};\n\nvar moveTableRow = function moveTableRow(table, indexesOrigin, indexesTarget, direction) {\n var rows = convertTableNodeToArrayOfRows(table.node);\n\n rows = moveRowInArrayOfRows(rows, indexesOrigin, indexesTarget, direction);\n\n return convertArrayOfRowsToTableNode(table.node, rows);\n};\n\nvar moveRowInArrayOfRows = function moveRowInArrayOfRows(rows, indexesOrigin, indexesTarget, directionOverride) {\n var direction = indexesOrigin[0] > indexesTarget[0] ? -1 : 1;\n\n var rowsExtracted = rows.splice(indexesOrigin[0], indexesOrigin.length);\n var positionOffset = rowsExtracted.length % 2 === 0 ? 1 : 0;\n var target = void 0;\n\n if (directionOverride === -1 && direction === 1) {\n target = indexesTarget[0] - 1;\n } else if (directionOverride === 1 && direction === -1) {\n target = indexesTarget[indexesTarget.length - 1] - positionOffset + 1;\n } else {\n target = direction === -1 ? indexesTarget[0] : indexesTarget[indexesTarget.length - 1] - positionOffset;\n }\n\n rows.splice.apply(rows, [target, 0].concat(rowsExtracted));\n return rows;\n};\n\nvar checkInvalidMovements = function checkInvalidMovements(originIndex, targetIndex, targets, type) {\n var direction = originIndex > targetIndex ? -1 : 1;\n var errorMessage = 'Target position is invalid, you can\\'t move the ' + type + ' ' + originIndex + ' to ' + targetIndex + ', the target can\\'t be split. You could use tryToFit option.';\n\n if (direction === 1) {\n if (targets.slice(0, targets.length - 1).indexOf(targetIndex) !== -1) {\n throw new Error(errorMessage);\n }\n } else {\n if (targets.slice(1).indexOf(targetIndex) !== -1) {\n throw new Error(errorMessage);\n }\n }\n\n return true;\n};\n\n// :: (predicate: (node: ProseMirrorNode) → boolean) → (selection: Selection) → ?{pos: number, start: number, depth: number, node: ProseMirrorNode}\n// Iterates over parent nodes, returning the closest node and its start position `predicate` returns truthy for. `start` points to the start position of the node, `pos` points directly before the node.\n//\n// ```javascript\n// const predicate = node => node.type === schema.nodes.blockquote;\n// const parent = findParentNode(predicate)(selection);\n// ```\nvar findParentNode = function findParentNode(predicate) {\n return function (_ref) {\n var $from = _ref.$from;\n return findParentNodeClosestToPos($from, predicate);\n };\n};\n\n// :: ($pos: ResolvedPos, predicate: (node: ProseMirrorNode) → boolean) → ?{pos: number, start: number, depth: number, node: ProseMirrorNode}\n// Iterates over parent nodes starting from the given `$pos`, returning the closest node and its start position `predicate` returns truthy for. `start` points to the start position of the node, `pos` points directly before the node.\n//\n// ```javascript\n// const predicate = node => node.type === schema.nodes.blockquote;\n// const parent = findParentNodeClosestToPos(state.doc.resolve(5), predicate);\n// ```\nvar findParentNodeClosestToPos = function findParentNodeClosestToPos($pos, predicate) {\n for (var i = $pos.depth; i > 0; i--) {\n var node = $pos.node(i);\n if (predicate(node)) {\n return {\n pos: i > 0 ? $pos.before(i) : 0,\n start: $pos.start(i),\n depth: i,\n node: node\n };\n }\n }\n};\n\n// :: (predicate: (node: ProseMirrorNode) → boolean, domAtPos: (pos: number) → {node: dom.Node, offset: number}) → (selection: Selection) → ?dom.Node\n// Iterates over parent nodes, returning DOM reference of the closest node `predicate` returns truthy for.\n//\n// ```javascript\n// const domAtPos = view.domAtPos.bind(view);\n// const predicate = node => node.type === schema.nodes.table;\n// const parent = findParentDomRef(predicate, domAtPos)(selection); // <table>\n// ```\nvar findParentDomRef = function findParentDomRef(predicate, domAtPos) {\n return function (selection) {\n var parent = findParentNode(predicate)(selection);\n if (parent) {\n return findDomRefAtPos(parent.pos, domAtPos);\n }\n };\n};\n\n// :: (predicate: (node: ProseMirrorNode) → boolean) → (selection: Selection) → boolean\n// Checks if there's a parent node `predicate` returns truthy for.\n//\n// ```javascript\n// if (hasParentNode(node => node.type === schema.nodes.table)(selection)) {\n// // ....\n// }\n// ```\nvar hasParentNode = function hasParentNode(predicate) {\n return function (selection) {\n return !!findParentNode(predicate)(selection);\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>) → (selection: Selection) → ?{pos: number, start: number, depth: number, node: ProseMirrorNode}\n// Iterates over parent nodes, returning closest node of a given `nodeType`. `start` points to the start position of the node, `pos` points directly before the node.\n//\n// ```javascript\n// const parent = findParentNodeOfType(schema.nodes.paragraph)(selection);\n// ```\nvar findParentNodeOfType = function findParentNodeOfType(nodeType) {\n return function (selection) {\n return findParentNode(function (node) {\n return equalNodeType(nodeType, node);\n })(selection);\n };\n};\n\n// :: ($pos: ResolvedPos, nodeType: union<NodeType, [NodeType]>) → ?{pos: number, start: number, depth: number, node: ProseMirrorNode}\n// Iterates over parent nodes starting from the given `$pos`, returning closest node of a given `nodeType`. `start` points to the start position of the node, `pos` points directly before the node.\n//\n// ```javascript\n// const parent = findParentNodeOfTypeClosestToPos(state.doc.resolve(10), schema.nodes.paragraph);\n// ```\nvar findParentNodeOfTypeClosestToPos = function findParentNodeOfTypeClosestToPos($pos, nodeType) {\n return findParentNodeClosestToPos($pos, function (node) {\n return equalNodeType(nodeType, node);\n });\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>) → (selection: Selection) → boolean\n// Checks if there's a parent node of a given `nodeType`.\n//\n// ```javascript\n// if (hasParentNodeOfType(schema.nodes.table)(selection)) {\n// // ....\n// }\n// ```\nvar hasParentNodeOfType = function hasParentNodeOfType(nodeType) {\n return function (selection) {\n return hasParentNode(function (node) {\n return equalNodeType(nodeType, node);\n })(selection);\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>, domAtPos: (pos: number) → {node: dom.Node, offset: number}) → (selection: Selection) → ?dom.Node\n// Iterates over parent nodes, returning DOM reference of the closest node of a given `nodeType`.\n//\n// ```javascript\n// const domAtPos = view.domAtPos.bind(view);\n// const parent = findParentDomRefOfType(schema.nodes.codeBlock, domAtPos)(selection); // <pre>\n// ```\nvar findParentDomRefOfType = function findParentDomRefOfType(nodeType, domAtPos) {\n return function (selection) {\n return findParentDomRef(function (node) {\n return equalNodeType(nodeType, node);\n }, domAtPos)(selection);\n };\n};\n\n// :: (nodeType: union<NodeType, [NodeType]>) → (selection: Selection) → ?{pos: number, start: number, depth: number, node: ProseMirrorNode}\n// Returns a node of a given `nodeType` if it is selected. `start` points to the start position of the node, `pos` points directly before the node.\n//\n// ```javascript\n// const { extension, inlineExtension, bodiedExtension } = schema.nodes;\n// const selectedNode = findSelectedNodeOfType([\n// extension,\n// inlineExtension,\n// bodiedExtension,\n// ])(selection);\n// ```\nvar findSelectedNodeOfType = function findSelectedNodeOfType(nodeType) {\n return function (selection) {\n if (isNodeSelection(selection)) {\n var node = selection.node,\n $from = selection.$from;\n\n if (equalNodeType(nodeType, node)) {\n return { node: node, pos: $from.pos, depth: $from.depth };\n }\n }\n };\n};\n\n// :: (selection: Selection) → ?number\n// Returns position of the previous node.\n//\n// ```javascript\n// const pos = findPositionOfNodeBefore(tr.selection);\n// ```\nvar findPositionOfNodeBefore = function findPositionOfNodeBefore(selection) {\n var nodeBefore = selection.$from.nodeBefore;\n\n var maybeSelection = prosemirrorState.Selection.findFrom(selection.$from, -1);\n if (maybeSelection && nodeBefore) {\n // leaf node\n var parent = findParentNodeOfType(nodeBefore.type)(maybeSelection);\n if (parent) {\n return parent.pos;\n }\n return maybeSelection.$from.pos;\n }\n};\n\n// :: (position: number, domAtPos: (pos: number) → {node: dom.Node, offset: number}) → dom.Node\n// Returns DOM reference of a node at a given `position`. If the node type is of type `TEXT_NODE` it will return the reference of the parent node.\n//\n// ```javascript\n// const domAtPos = view.domAtPos.bind(view);\n// const ref = findDomRefAtPos($from.pos, domAtPos);\n// ```\nvar findDomRefAtPos = function findDomRefAtPos(position, domAtPos) {\n var dom = domAtPos(position);\n var node = dom.node.childNodes[dom.offset];\n\n if (dom.node.nodeType === Node.TEXT_NODE) {\n return dom.node.parentNode;\n }\n\n if (!node || node.nodeType === Node.TEXT_NODE) {\n return dom.node;\n }\n\n return node;\n};\n\n// :: (node: ProseMirrorNode, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Flattens descendants of a given `node`. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const children = flatten(node);\n// ```\nvar flatten = function flatten(node) {\n var descend = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : true;\n\n if (!node) {\n throw new Error('Invalid \"node\" parameter');\n }\n var result = [];\n node.descendants(function (child, pos) {\n result.push({ node: child, pos: pos });\n if (!descend) {\n return false;\n }\n });\n return result;\n};\n\n// :: (node: ProseMirrorNode, predicate: (node: ProseMirrorNode) → boolean, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Iterates over descendants of a given `node`, returning child nodes predicate returns truthy for. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const textNodes = findChildren(node, child => child.isText, false);\n// ```\nvar findChildren = function findChildren(node, predicate, descend) {\n if (!node) {\n throw new Error('Invalid \"node\" parameter');\n } else if (!predicate) {\n throw new Error('Invalid \"predicate\" parameter');\n }\n return flatten(node, descend).filter(function (child) {\n return predicate(child.node);\n });\n};\n\n// :: (node: ProseMirrorNode, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Returns text nodes of a given `node`. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const textNodes = findTextNodes(node);\n// ```\nvar findTextNodes = function findTextNodes(node, descend) {\n return findChildren(node, function (child) {\n return child.isText;\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Returns inline nodes of a given `node`. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const inlineNodes = findInlineNodes(node);\n// ```\nvar findInlineNodes = function findInlineNodes(node, descend) {\n return findChildren(node, function (child) {\n return child.isInline;\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Returns block descendants of a given `node`. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const blockNodes = findBlockNodes(node);\n// ```\nvar findBlockNodes = function findBlockNodes(node, descend) {\n return findChildren(node, function (child) {\n return child.isBlock;\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, predicate: (attrs: ?Object) → boolean, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Iterates over descendants of a given `node`, returning child nodes predicate returns truthy for. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const mergedCells = findChildrenByAttr(table, attrs => attrs.colspan === 2);\n// ```\nvar findChildrenByAttr = function findChildrenByAttr(node, predicate, descend) {\n return findChildren(node, function (child) {\n return !!predicate(child.attrs);\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, nodeType: NodeType, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Iterates over descendants of a given `node`, returning child nodes of a given nodeType. It doesn't descend into a node when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const cells = findChildrenByType(table, schema.nodes.tableCell);\n// ```\nvar findChildrenByType = function findChildrenByType(node, nodeType, descend) {\n return findChildren(node, function (child) {\n return child.type === nodeType;\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, markType: markType, descend: ?boolean) → [{ node: ProseMirrorNode, pos: number }]\n// Iterates over descendants of a given `node`, returning child nodes that have a mark of a given markType. It doesn't descend into a `node` when descend argument is `false` (defaults to `true`).\n//\n// ```javascript\n// const nodes = findChildrenByMark(state.doc, schema.marks.strong);\n// ```\nvar findChildrenByMark = function findChildrenByMark(node, markType, descend) {\n return findChildren(node, function (child) {\n return markType.isInSet(child.marks);\n }, descend);\n};\n\n// :: (node: ProseMirrorNode, nodeType: NodeType) → boolean\n// Returns `true` if a given node contains nodes of a given `nodeType`\n//\n// ```javascript\n// if (contains(panel, schema.nodes.listItem)) {\n// // ...\n// }\n// ```\nvar contains = function contains(node, nodeType) {\n return !!findChildrenByType(node, nodeType).length;\n};\n\nfunction _toConsumableArray(arr) { if (Array.isArray(arr)) { for (var i = 0, arr2 = Array(arr.length); i < arr.length; i++) { arr2[i] = arr[i]; } return arr2; } else { return Array.from(arr); } }\n\n// :: (selection: Selection) → ?{pos: number, start: number, node: ProseMirrorNode}\n// Iterates over parent nodes, returning the closest table node.\n//\n// ```javascript\n// const table = findTable(selection);\n// ```\nvar findTable = function findTable(selection) {\n return findParentNode(function (node) {\n return node.type.spec.tableRole && node.type.spec.tableRole === 'table';\n })(selection);\n};\n\n// :: (selection: Selection) → boolean\n// Checks if current selection is a `CellSelection`.\n//\n// ```javascript\n// if (isCellSelection(selection)) {\n// // ...\n// }\n// ```\nvar isCellSelection = function isCellSelection(selection) {\n return selection instanceof prosemirrorTables.CellSelection;\n};\n\n// :: (selection: Selection) → ?{left: number, right: number, top: number, bottom: number}\n// Get the selection rectangle. Returns `undefined` if selection is not a CellSelection.\n//\n// ```javascript\n// const rect = getSelectionRect(selection);\n// ```\nvar getSelectionRect = function getSelectionRect(selection) {\n if (!isCellSelection(selection)) {\n return;\n }\n var start = selection.$anchorCell.start(-1);\n var map = prosemirrorTables.TableMap.get(selection.$anchorCell.node(-1));\n return map.rectBetween(selection.$anchorCell.pos - start, selection.$headCell.pos - start);\n};\n\n// :: (columnIndex: number) → (selection: Selection) → boolean\n// Checks if entire column at index `columnIndex` is selected.\n//\n// ```javascript\n// const className = isColumnSelected(i)(selection) ? 'selected' : '';\n// ```\nvar isColumnSelected = function isColumnSelected(columnIndex) {\n return function (selection) {\n if (isCellSelection(selection)) {\n var map = prosemirrorTables.TableMap.get(selection.$anchorCell.node(-1));\n return isRectSelected({\n left: columnIndex,\n right: columnIndex + 1,\n top: 0,\n bottom: map.height\n })(selection);\n }\n\n return false;\n };\n};\n\n// :: (rowIndex: number) → (selection: Selection) → boolean\n// Checks if entire row at index `rowIndex` is selected.\n//\n// ```javascript\n// const className = isRowSelected(i)(selection) ? 'selected' : '';\n// ```\nvar isRowSelected = function isRowSelected(rowIndex) {\n return function (selection) {\n if (isCellSelection(selection)) {\n var map = prosemirrorTables.TableMap.get(selection.$anchorCell.node(-1));\n return isRectSelected({\n left: 0,\n right: map.width,\n top: rowIndex,\n bottom: rowIndex + 1\n })(selection);\n }\n\n return false;\n };\n};\n\n// :: (selection: Selection) → boolean\n// Checks if entire table is selected\n//\n// ```javascript\n// const className = isTableSelected(selection) ? 'selected' : '';\n// ```\nvar isTableSelected = function isTableSelected(selection) {\n if (isCellSelection(selection)) {\n var map = prosemirrorTables.TableMap.get(selection.$anchorCell.node(-1));\n return isRectSelected({\n left: 0,\n right: map.width,\n top: 0,\n bottom: map.height\n })(selection);\n }\n\n return false;\n};\n\n// :: (columnIndex: union<number, [number]>) → (selection: Selection) → ?[{pos: number, start: number, node: ProseMirrorNode}]\n// Returns an array of cells in a column(s), where `columnIndex` could be a column index or an array of column indexes.\n//\n// ```javascript\n// const cells = getCellsInColumn(i)(selection); // [{node, pos}, {node, pos}]\n// ```\nvar getCellsInColumn = function getCellsInColumn(columnIndex) {\n return function (selection) {\n var table = findTable(selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var indexes = Array.isArray(columnIndex) ? columnIndex : Array.from([columnIndex]);\n return indexes.reduce(function (acc, index) {\n if (index >= 0 && index <= map.width - 1) {\n var cells = map.cellsInRect({\n left: index,\n right: index + 1,\n top: 0,\n bottom: map.height\n });\n return acc.concat(cells.map(function (nodePos) {\n var node = table.node.nodeAt(nodePos);\n var pos = nodePos + table.start;\n return { pos: pos, start: pos + 1, node: node };\n }));\n }\n }, []);\n }\n };\n};\n\n// :: (rowIndex: union<number, [number]>) → (selection: Selection) → ?[{pos: number, start: number, node: ProseMirrorNode}]\n// Returns an array of cells in a row(s), where `rowIndex` could be a row index or an array of row indexes.\n//\n// ```javascript\n// const cells = getCellsInRow(i)(selection); // [{node, pos}, {node, pos}]\n// ```\nvar getCellsInRow = function getCellsInRow(rowIndex) {\n return function (selection) {\n var table = findTable(selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var indexes = Array.isArray(rowIndex) ? rowIndex : Array.from([rowIndex]);\n return indexes.reduce(function (acc, index) {\n if (index >= 0 && index <= map.height - 1) {\n var cells = map.cellsInRect({\n left: 0,\n right: map.width,\n top: index,\n bottom: index + 1\n });\n return acc.concat(cells.map(function (nodePos) {\n var node = table.node.nodeAt(nodePos);\n var pos = nodePos + table.start;\n return { pos: pos, start: pos + 1, node: node };\n }));\n }\n }, []);\n }\n };\n};\n\n// :: (selection: Selection) → ?[{pos: number, start: number, node: ProseMirrorNode}]\n// Returns an array of all cells in a table.\n//\n// ```javascript\n// const cells = getCellsInTable(selection); // [{node, pos}, {node, pos}]\n// ```\nvar getCellsInTable = function getCellsInTable(selection) {\n var table = findTable(selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var cells = map.cellsInRect({\n left: 0,\n right: map.width,\n top: 0,\n bottom: map.height\n });\n return cells.map(function (nodePos) {\n var node = table.node.nodeAt(nodePos);\n var pos = nodePos + table.start;\n return { pos: pos, start: pos + 1, node: node };\n });\n }\n};\n\nvar select = function select(type) {\n return function (index, expand) {\n return function (tr) {\n var table = findTable(tr.selection);\n var isRowSelection = type === 'row';\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n\n // Check if the index is valid\n if (index >= 0 && index < (isRowSelection ? map.height : map.width)) {\n var left = isRowSelection ? 0 : index;\n var top = isRowSelection ? index : 0;\n var right = isRowSelection ? map.width : index + 1;\n var bottom = isRowSelection ? index + 1 : map.height;\n\n if (expand) {\n var cell = findCellClosestToPos(tr.selection.$from);\n if (!cell) {\n return tr;\n }\n\n var selRect = map.findCell(cell.pos - table.start);\n if (isRowSelection) {\n top = Math.min(top, selRect.top);\n bottom = Math.max(bottom, selRect.bottom);\n } else {\n left = Math.min(left, selRect.left);\n right = Math.max(right, selRect.right);\n }\n }\n\n var cellsInFirstRow = map.cellsInRect({\n left: left,\n top: top,\n right: isRowSelection ? right : left + 1,\n bottom: isRowSelection ? top + 1 : bottom\n });\n\n var cellsInLastRow = bottom - top === 1 ? cellsInFirstRow : map.cellsInRect({\n left: isRowSelection ? left : right - 1,\n top: isRowSelection ? bottom - 1 : top,\n right: right,\n bottom: bottom\n });\n\n var head = table.start + cellsInFirstRow[0];\n var anchor = table.start + cellsInLastRow[cellsInLastRow.length - 1];\n var $head = tr.doc.resolve(head);\n var $anchor = tr.doc.resolve(anchor);\n\n return cloneTr(tr.setSelection(new prosemirrorTables.CellSelection($anchor, $head)));\n }\n }\n return tr;\n };\n };\n};\n\n// :: (columnIndex: number, expand: ?boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that creates a `CellSelection` on a column at index `columnIndex`.\n// Use the optional `expand` param to extend from current selection.\n//\n// ```javascript\n// dispatch(\n// selectColumn(i)(state.tr)\n// );\n// ```\nvar selectColumn = select('column');\n\n// :: (rowIndex: number, expand: ?boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that creates a `CellSelection` on a column at index `rowIndex`.\n// Use the optional `expand` param to extend from current selection.\n//\n// ```javascript\n// dispatch(\n// selectRow(i)(state.tr)\n// );\n// ```\nvar selectRow = select('row');\n\n// :: (selection: Selection) → (tr: Transaction) → Transaction\n// Returns a new transaction that creates a `CellSelection` on the entire table.\n//\n// ```javascript\n// dispatch(\n// selectTable(i)(state.tr)\n// );\n// ```\nvar selectTable = function selectTable(tr) {\n var table = findTable(tr.selection);\n if (table) {\n var _TableMap$get = prosemirrorTables.TableMap.get(table.node),\n map = _TableMap$get.map;\n\n if (map && map.length) {\n var head = table.start + map[0];\n var anchor = table.start + map[map.length - 1];\n var $head = tr.doc.resolve(head);\n var $anchor = tr.doc.resolve(anchor);\n\n return cloneTr(tr.setSelection(new prosemirrorTables.CellSelection($anchor, $head)));\n }\n }\n return tr;\n};\n\n// :: (cell: {pos: number, node: ProseMirrorNode}, schema: Schema) → (tr: Transaction) → Transaction\n// Returns a new transaction that clears the content of a given `cell`.\n//\n// ```javascript\n// const $pos = state.doc.resolve(13);\n// dispatch(\n// emptyCell(findCellClosestToPos($pos), state.schema)(state.tr)\n// );\n// ```\nvar emptyCell = function emptyCell(cell, schema) {\n return function (tr) {\n if (cell) {\n var _tableNodeTypes$cell$ = tableNodeTypes(schema).cell.createAndFill(),\n content = _tableNodeTypes$cell$.content;\n\n if (!cell.node.content.eq(content)) {\n tr.replaceWith(cell.pos + 1, cell.pos + cell.node.nodeSize, content);\n return cloneTr(tr);\n }\n }\n return tr;\n };\n};\n\n// :: (columnIndex: number) → (tr: Transaction) → Transaction\n// Returns a new transaction that adds a new column at index `columnIndex`.\n//\n// ```javascript\n// dispatch(\n// addColumnAt(i)(state.tr)\n// );\n// ```\nvar addColumnAt = function addColumnAt(columnIndex) {\n return function (tr) {\n var table = findTable(tr.selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n if (columnIndex >= 0 && columnIndex <= map.width) {\n return cloneTr(prosemirrorTables.addColumn(tr, {\n map: map,\n tableStart: table.start,\n table: table.node\n }, columnIndex));\n }\n }\n return tr;\n };\n};\n\n// :: (originRowIndex: number, targetRowIndex: targetColumnIndex, options?: MovementOptions) → (tr: Transaction) → Transaction\n// Returns a new transaction that moves the origin row to the target index;\n//\n// by default \"tryToFit\" is false, that means if you try to move a row to a place\n// where we will need to split a row with merged cells it'll throw an exception, for example:\n//\n// ```\n// ____________________________\n// | | | |\n// 0 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// 1 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 2 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// ```\n//\n// if you try to move the row 0 to the row index 1 with tryToFit false,\n// it'll throw an exception since you can't split the row 1;\n// but if \"tryToFit\" is true, it'll move the row using the current direction.\n//\n// We defined current direction using the target and origin values\n// if the origin is greater than the target, that means the course is `bottom-to-top`,\n// so the `tryToFit` logic will use this direction to determine\n// if we should move the column to the right or the left.\n//\n// for example, if you call the function using `moveRow(0, 1, { tryToFit: true })`\n// the result will be:\n// ```\n// ____________________________\n// | | | |\n// 0 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 1 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// | | | |\n// 2 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// ```\n//\n// since we could put the row zero on index one,\n// we pushed to the best place to fit the row index 0,\n// in this case, row index 2.\n//\n//\n// -------- HOW TO OVERRIDE DIRECTION --------\n//\n// If you set \"tryToFit\" to \"true\", it will try to figure out the best direction\n// place to fit using the origin and target index, for example:\n//\n//\n// ```\n// ____________________________\n// | | | |\n// 0 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// 1 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 2 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// | | | |\n// 3 | A4 | B4 | |\n// |______|______ ______| |\n// | | | | D2 |\n// 4 | A5 | B5 | C3 | |\n// |______|______|______|______|\n// ```\n//\n//\n// If you try to move the row 0 to row index 4 with \"tryToFit\" enabled, by default,\n// the code will put it on after the merged rows,\n// but you can override it using the \"direction\" option.\n//\n// -1: Always put the origin before the target\n// ```\n// ____________________________\n// | | | |\n// 0 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 1 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// | | | |\n// 2 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// 3 | A4 | B4 | |\n// |______|______ ______| |\n// | | | | D2 |\n// 4 | A5 | B5 | C3 | |\n// |______|______|______|______|\n// ```\n//\n// 0: Automatically decide the best place to fit\n// ```\n// ____________________________\n// | | | |\n// 0 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 1 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// | | | |\n// 2 | A4 | B4 | |\n// |______|______ ______| |\n// | | | | D2 |\n// 3 | A5 | B5 | C3 | |\n// |______|______|______|______|\n// | | | |\n// 4 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// ```\n//\n// 1: Always put the origin after the target\n// ```\n// ____________________________\n// | | | |\n// 0 | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// 1 | A3 | B3 | C2 | |\n// |______|______|______|______|\n// | | | |\n// 2 | A4 | B4 | |\n// |______|______ ______| |\n// | | | | D2 |\n// 3 | A5 | B5 | C3 | |\n// |______|______|______|______|\n// | | | |\n// 4 | A1 | B1 | C1 |\n// |______|______|______ ______|\n// ```\n//\n// ```javascript\n// dispatch(\n// moveRow(x, y, options)(state.tr)\n// );\n// ```\nvar moveRow = function moveRow(originRowIndex, targetRowIndex, opts) {\n return function (tr) {\n var defaultOptions = { tryToFit: false, direction: 0 };\n var options = Object.assign(defaultOptions, opts);\n var table = findTable(tr.selection);\n if (!table) {\n return tr;\n }\n\n var _getSelectionRangeInR = getSelectionRangeInRow(originRowIndex)(tr),\n indexesOriginRow = _getSelectionRangeInR.indexes;\n\n var _getSelectionRangeInR2 = getSelectionRangeInRow(targetRowIndex)(tr),\n indexesTargetRow = _getSelectionRangeInR2.indexes;\n\n if (indexesOriginRow.indexOf(targetRowIndex) > -1) {\n return tr;\n }\n\n if (!options.tryToFit && indexesTargetRow.length > 1) {\n checkInvalidMovements(originRowIndex, targetRowIndex, indexesTargetRow, 'row');\n }\n\n var newTable = moveTableRow(table, indexesOriginRow, indexesTargetRow, options.direction);\n\n return cloneTr(tr).replaceWith(table.pos, table.pos + table.node.nodeSize, newTable);\n };\n};\n\n// :: (originColumnIndex: number, targetColumnIndex: targetColumnIndex, options?: MovementOptions) → (tr: Transaction) → Transaction\n// Returns a new transaction that moves the origin column to the target index;\n//\n// by default \"tryToFit\" is false, that means if you try to move a column to a place\n// where we will need to split a column with merged cells it'll throw an exception, for example:\n//\n// ```\n// 0 1 2\n// ____________________________\n// | | | |\n// | A1 | B1 | C1 |\n// |______|______|______ ______|\n// | | | |\n// | A2 | B2 | |\n// |______|______ ______| |\n// | | | | D1 |\n// | A3 | B3 | C2 | |\n// |______|______|______|______|\n// ```\n//\n//\n// if you try to move the column 0 to the column index 1 with tryToFit false,\n// it'll throw an exception since you can't split the column 1;\n// but if \"tryToFit\" is true, it'll move the column using the current direction.\n//\n// We defined current direction using the target and origin values\n// if the origin is greater than the target, that means the course is `right-to-left`,\n// so the `tryToFit` logic will use this direction to determine\n// if we should move the column to the right or the left.\n//\n// for example, if you call the function using `moveColumn(0, 1, { tryToFit: true })`\n// the result will be:\n//\n// ```\n// 0 1 2\n// _____________________ _______\n// | | | |\n// | B1 | C1 | A1 |\n// |______|______ ______|______|\n// | | | |\n// | B2 | | A2 |\n// |______ ______| |______|\n// | | | D1 | |\n// | B3 | C2 | | A3 |\n// |______|______|______|______|\n// ```\n//\n// since we could put the column zero on index one,\n// we pushed to the best place to fit the column 0, in this case, column index 2.\n//\n// -------- HOW TO OVERRIDE DIRECTION --------\n//\n// If you set \"tryToFit\" to \"true\", it will try to figure out the best direction\n// place to fit using the origin and target index, for example:\n//\n//\n// ```\n// 0 1 2 3 4 5 6\n// _________________________________________________\n// | | | | | |\n// | A1 | B1 | C1 | E1 | F1 |\n// |______|______|______ ______|______|______ ______|\n// | | | | | |\n// | A2 | B2 | | E2 | |\n// |______|______ ______| |______ ______| |\n// | | | | D1 | | | G2 |\n// | A3 | B3 | C3 | | E3 | F3 | |\n// |______|______|______|______|______|______|______|\n// ```\n//\n//\n// If you try to move the column 0 to column index 5 with \"tryToFit\" enabled, by default,\n// the code will put it on after the merged columns,\n// but you can override it using the \"direction\" option.\n//\n// -1: Always put the origin before the target\n//\n// ```\n// 0 1 2 3 4 5 6\n// _________________________________________________\n// | | | | | |\n// | B1 | C1 | A1 | E1 | F1 |\n// |______|______ ______|______|______|______ ______|\n// | | | | | |\n// | B2 | | A2 | E2 | |\n// |______ ______| |______|______ ______| |\n// | | | D1 | | | | G2 |\n// | B3 | C3 | | A3 | E3 | F3 | |\n// |______|______|______|______|______|______|______|\n// ```\n//\n// 0: Automatically decide the best place to fit\n//\n// ```\n// 0 1 2 3 4 5 6\n// _________________________________________________\n// | | | | | |\n// | B1 | C1 | E1 | F1 | A1 |\n// |______|______ ______|______|______ ______|______|\n// | | | | | |\n// | B2 | | E2 | | A2 |\n// |______ ______| |______ ______| |______|\n// | | | D1 | | | G2 | |\n// | B3 | C3 | | E3 | F3 | | A3 |\n// |______|______|______|______|______|______|______|\n// ```\n//\n// 1: Always put the origin after the target\n//\n// ```\n// 0 1 2 3 4 5 6\n// _________________________________________________\n// | | | | | |\n// | B1 | C1 | E1 | F1 | A1 |\n// |______|______ ______|______|______ ______|______|\n// | | | | | |\n// | B2 | | E2 | | A2 |\n// |______ ______| |______ ______| |______|\n// | | | D1 | | | G2 | |\n// | B3 | C3 | | E3 | F3 | | A3 |\n// |______|______|______|______|______|______|______|\n// ```\n//\n// ```javascript\n// dispatch(\n// moveColumn(x, y, options)(state.tr)\n// );\n// ```\nvar moveColumn = function moveColumn(originColumnIndex, targetColumnIndex, opts) {\n return function (tr) {\n var defaultOptions = { tryToFit: false, direction: 0 };\n var options = Object.assign(defaultOptions, opts);\n var table = findTable(tr.selection);\n if (!table) {\n return tr;\n }\n\n var _getSelectionRangeInC = getSelectionRangeInColumn(originColumnIndex)(tr),\n indexesOriginColumn = _getSelectionRangeInC.indexes;\n\n var _getSelectionRangeInC2 = getSelectionRangeInColumn(targetColumnIndex)(tr),\n indexesTargetColumn = _getSelectionRangeInC2.indexes;\n\n if (indexesOriginColumn.indexOf(targetColumnIndex) > -1) {\n return tr;\n }\n\n if (!options.tryToFit && indexesTargetColumn.length > 1) {\n checkInvalidMovements(originColumnIndex, targetColumnIndex, indexesTargetColumn, 'column');\n }\n\n var newTable = moveTableColumn(table, indexesOriginColumn, indexesTargetColumn, options.direction);\n\n return cloneTr(tr).replaceWith(table.pos, table.pos + table.node.nodeSize, newTable);\n };\n};\n\n// :: (rowIndex: number, clonePreviousRow?: boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that adds a new row at index `rowIndex`. Optionally clone the previous row.\n//\n// ```javascript\n// dispatch(\n// addRowAt(i)(state.tr)\n// );\n// ```\n//\n// ```javascript\n// dispatch(\n// addRowAt(i, true)(state.tr)\n// );\n// ```\nvar addRowAt = function addRowAt(rowIndex, clonePreviousRow) {\n return function (tr) {\n var table = findTable(tr.selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var cloneRowIndex = rowIndex - 1;\n\n if (clonePreviousRow && cloneRowIndex >= 0) {\n return cloneTr(cloneRowAt(cloneRowIndex)(tr));\n }\n\n if (rowIndex >= 0 && rowIndex <= map.height) {\n return cloneTr(prosemirrorTables.addRow(tr, {\n map: map,\n tableStart: table.start,\n table: table.node\n }, rowIndex));\n }\n }\n return tr;\n };\n};\n\n// :: (cloneRowIndex: number) → (tr: Transaction) → Transaction\n// Returns a new transaction that adds a new row after `cloneRowIndex`, cloning the row attributes at `cloneRowIndex`.\n//\n// ```javascript\n// dispatch(\n// cloneRowAt(i)(state.tr)\n// );\n// ```\nvar cloneRowAt = function cloneRowAt(rowIndex) {\n return function (tr) {\n var table = findTable(tr.selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n\n if (rowIndex >= 0 && rowIndex <= map.height) {\n var tableNode = table.node;\n var tableNodes = tableNodeTypes(tableNode.type.schema);\n\n var rowPos = table.start;\n for (var i = 0; i < rowIndex + 1; i++) {\n rowPos += tableNode.child(i).nodeSize;\n }\n\n var cloneRow = tableNode.child(rowIndex);\n // Re-create the same nodes with same attrs, dropping the node content.\n var cells = [];\n var rowWidth = 0;\n cloneRow.forEach(function (cell) {\n // If we're copying a row with rowspan somewhere, we dont want to copy that cell\n // We'll increment its span below.\n if (cell.attrs.rowspan === 1) {\n rowWidth += cell.attrs.colspan;\n cells.push(tableNodes[cell.type.spec.tableRole].createAndFill(cell.attrs, cell.marks));\n }\n });\n\n // If a higher row spans past our clone row, bump the higher row to cover this new row too.\n if (rowWidth < map.width) {\n var rowSpanCells = [];\n\n var _loop = function _loop(_i) {\n var foundCells = filterCellsInRow(_i, function (cell, tr) {\n var rowspan = cell.node.attrs.rowspan;\n var spanRange = _i + rowspan;\n return rowspan > 1 && spanRange > rowIndex;\n })(tr);\n rowSpanCells.push.apply(rowSpanCells, _toConsumableArray(foundCells));\n };\n\n for (var _i = rowIndex; _i >= 0; _i--) {\n _loop(_i);\n }\n\n if (rowSpanCells.length) {\n rowSpanCells.forEach(function (cell) {\n tr = setCellAttrs(cell, {\n rowspan: cell.node.attrs.rowspan + 1\n })(tr);\n });\n }\n }\n\n return safeInsert(tableNodes.row.create(cloneRow.attrs, cells), rowPos)(tr);\n }\n }\n return tr;\n };\n};\n\n// :: (columnIndex: number) → (tr: Transaction) → Transaction\n// Returns a new transaction that removes a column at index `columnIndex`. If there is only one column left, it will remove the entire table.\n//\n// ```javascript\n// dispatch(\n// removeColumnAt(i)(state.tr)\n// );\n// ```\nvar removeColumnAt = function removeColumnAt(columnIndex) {\n return function (tr) {\n var table = findTable(tr.selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n if (columnIndex === 0 && map.width === 1) {\n return removeTable(tr);\n } else if (columnIndex >= 0 && columnIndex <= map.width) {\n prosemirrorTables.removeColumn(tr, {\n map: map,\n tableStart: table.start,\n table: table.node\n }, columnIndex);\n return cloneTr(tr);\n }\n }\n return tr;\n };\n};\n\n// :: (rowIndex: number) → (tr: Transaction) → Transaction\n// Returns a new transaction that removes a row at index `rowIndex`. If there is only one row left, it will remove the entire table.\n//\n// ```javascript\n// dispatch(\n// removeRowAt(i)(state.tr)\n// );\n// ```\nvar removeRowAt = function removeRowAt(rowIndex) {\n return function (tr) {\n var table = findTable(tr.selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n if (rowIndex === 0 && map.height === 1) {\n return removeTable(tr);\n } else if (rowIndex >= 0 && rowIndex <= map.height) {\n prosemirrorTables.removeRow(tr, {\n map: map,\n tableStart: table.start,\n table: table.node\n }, rowIndex);\n return cloneTr(tr);\n }\n }\n return tr;\n };\n};\n\n// :: (tr: Transaction) → Transaction\n// Returns a new transaction that removes a table node if the cursor is inside of it.\n//\n// ```javascript\n// dispatch(\n// removeTable(state.tr)\n// );\n// ```\nvar removeTable = function removeTable(tr) {\n var $from = tr.selection.$from;\n\n for (var depth = $from.depth; depth > 0; depth--) {\n var node = $from.node(depth);\n if (node.type.spec.tableRole === 'table') {\n return cloneTr(tr.delete($from.before(depth), $from.after(depth)));\n }\n }\n return tr;\n};\n\n// :: (tr: Transaction) → Transaction\n// Returns a new transaction that removes selected columns.\n//\n// ```javascript\n// dispatch(\n// removeSelectedColumns(state.tr)\n// );\n// ```\nvar removeSelectedColumns = function removeSelectedColumns(tr) {\n var selection = tr.selection;\n\n if (isTableSelected(selection)) {\n return removeTable(tr);\n }\n if (isCellSelection(selection)) {\n var table = findTable(selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var rect = map.rectBetween(selection.$anchorCell.pos - table.start, selection.$headCell.pos - table.start);\n\n if (rect.left == 0 && rect.right == map.width) {\n return false;\n }\n\n var pmTableRect = Object.assign({}, rect, {\n map: map,\n table: table.node,\n tableStart: table.start\n });\n\n for (var i = pmTableRect.right - 1;; i--) {\n prosemirrorTables.removeColumn(tr, pmTableRect, i);\n if (i === pmTableRect.left) {\n break;\n }\n pmTableRect.table = pmTableRect.tableStart ? tr.doc.nodeAt(pmTableRect.tableStart - 1) : tr.doc;\n pmTableRect.map = prosemirrorTables.TableMap.get(pmTableRect.table);\n }\n return cloneTr(tr);\n }\n }\n return tr;\n};\n\n// :: (tr: Transaction) → Transaction\n// Returns a new transaction that removes selected rows.\n//\n// ```javascript\n// dispatch(\n// removeSelectedRows(state.tr)\n// );\n// ```\nvar removeSelectedRows = function removeSelectedRows(tr) {\n var selection = tr.selection;\n\n if (isTableSelected(selection)) {\n return removeTable(tr);\n }\n if (isCellSelection(selection)) {\n var table = findTable(selection);\n if (table) {\n var map = prosemirrorTables.TableMap.get(table.node);\n var rect = map.rectBetween(selection.$anchorCell.pos - table.start, selection.$headCell.pos - table.start);\n\n if (rect.top == 0 && rect.bottom == map.height) {\n return false;\n }\n\n var pmTableRect = Object.assign({}, rect, {\n map: map,\n table: table.node,\n tableStart: table.start\n });\n\n for (var i = pmTableRect.bottom - 1;; i--) {\n prosemirrorTables.removeRow(tr, pmTableRect, i);\n if (i === pmTableRect.top) {\n break;\n }\n pmTableRect.table = pmTableRect.tableStart ? tr.doc.nodeAt(pmTableRect.tableStart - 1) : tr.doc;\n pmTableRect.map = prosemirrorTables.TableMap.get(pmTableRect.table);\n }\n\n return cloneTr(tr);\n }\n }\n return tr;\n};\n\n// :: ($pos: ResolvedPos) → (tr: Transaction) → Transaction\n// Returns a new transaction that removes a column closest to a given `$pos`.\n//\n// ```javascript\n// dispatch(\n// removeColumnClosestToPos(state.doc.resolve(3))(state.tr)\n// );\n// ```\nvar removeColumnClosestToPos = function removeColumnClosestToPos($pos) {\n return function (tr) {\n var rect = findCellRectClosestToPos($pos);\n if (rect) {\n return removeColumnAt(rect.left)(setTextSelection($pos.pos)(tr));\n }\n return tr;\n };\n};\n\n// :: ($pos: ResolvedPos) → (tr: Transaction) → Transaction\n// Returns a new transaction that removes a row closest to a given `$pos`.\n//\n// ```javascript\n// dispatch(\n// removeRowClosestToPos(state.doc.resolve(3))(state.tr)\n// );\n// ```\nvar removeRowClosestToPos = function removeRowClosestToPos($pos) {\n return function (tr) {\n var rect = findCellRectClosestToPos($pos);\n if (rect) {\n return removeRowAt(rect.top)(setTextSelection($pos.pos)(tr));\n }\n return tr;\n };\n};\n\n// :: (columnIndex: number, cellTransform: (cell: {pos: number, start: number, node: ProseMirrorNode}, tr: Transaction) → Transaction, setCursorToLastCell: ?boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that maps a given `cellTransform` function to each cell in a column at a given `columnIndex`.\n// It will set the selection into the last cell of the column if `setCursorToLastCell` param is set to `true`.\n//\n// ```javascript\n// dispatch(\n// forEachCellInColumn(0, (cell, tr) => emptyCell(cell, state.schema)(tr))(state.tr)\n// );\n// ```\nvar forEachCellInColumn = function forEachCellInColumn(columnIndex, cellTransform, setCursorToLastCell) {\n return function (tr) {\n var cells = getCellsInColumn(columnIndex)(tr.selection);\n if (cells) {\n for (var i = cells.length - 1; i >= 0; i--) {\n tr = cellTransform(cells[i], tr);\n }\n if (setCursorToLastCell) {\n var $pos = tr.doc.resolve(tr.mapping.map(cells[cells.length - 1].pos));\n tr.setSelection(prosemirrorState.Selection.near($pos));\n }\n return cloneTr(tr);\n }\n return tr;\n };\n};\n\n// :: (rowIndex: number, cellTransform: (cell: {pos: number, start: number, node: ProseMirrorNode}, tr: Transaction) → Transaction, setCursorToLastCell: ?boolean) → (tr: Transaction) → Transaction\n// Returns a new transaction that maps a given `cellTransform` function to each cell in a row at a given `rowIndex`.\n// It will set the selection into the last cell of the row if `setCursorToLastCell` param is set to `true`.\n//\n// ```javascript\n// dispatch(\n// forEachCellInRow(0, (cell, tr) => setCellAttrs(cell, { background: 'red' })(tr))(state.tr)\n// );\n// ```\nvar forEachCellInRow = function forEachCellInRow(rowIndex, cellTransform, setCursorToLastCell) {\n return function (tr) {\n var cells = getCellsInRow(rowIndex)(tr.selection);\n if (cells) {\n for (var i = cells.length - 1; i >= 0; i--) {\n tr = cellTransform(cells[i], tr);\n }\n if (setCursorToLastCell) {\n var $pos = tr.doc.resolve(tr.mapping.map(cells[cells.length - 1].pos));\n tr.setSelection(prosemirrorState.Selection.near($pos));\n }\n }\n return tr;\n };\n};\n\n// :: (cell: {pos: number, start: number, node: ProseMirrorNode}, attrs: Object) → (tr: Transaction) → Transaction\n// Returns a new transaction that sets given `attrs` to a given `cell`.\n//\n// ```javascript\n// dispatch(\n// setCellAttrs(findCellClosestToPos($pos), { background: 'blue' })(tr);\n// );\n// ```\nvar setCellAttrs = function setCellAttrs(cell, attrs) {\n return function (tr) {\n if (cell) {\n tr.setNodeMarkup(cell.pos, null, Object.assign({}, cell.node.attrs, attrs));\n return cloneTr(tr);\n }\n return tr;\n };\n};\n\n// :: (schema: Schema, rowsCount: ?number, colsCount: ?number, withHeaderRow: ?boolean, cellContent: ?Node) → Node\n// Returns a table node of a given size.\n// `withHeaderRow` defines whether the first row of the table will be a header row.\n// `cellContent` defines the content of each cell.\n//\n// ```javascript\n// const table = createTable(state.schema); // 3x3 table node\n// dispatch(\n// tr.replaceSelectionWith(table).scrollIntoView()\n// );\n// ```\nvar createTable = function createTable(schema) {\n var rowsCount = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 3;\n var colsCount = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : 3;\n var withHeaderRow = arguments.length > 3 && arguments[3] !== undefined ? arguments[3] : true;\n var cellContent = arguments.length > 4 && arguments[4] !== undefined ? arguments[4] : null;\n\n var _tableNodeTypes = tableNodeTypes(schema),\n tableCell = _tableNodeTypes.cell,\n tableHeader = _tableNodeTypes.header_cell,\n tableRow = _tableNodeTypes.row,\n table = _tableNodeTypes.table;\n\n var cells = [];\n var headerCells = [];\n for (var i = 0; i < colsCount; i++) {\n cells.push(createCell(tableCell, cellContent));\n\n if (withHeaderRow) {\n headerCells.push(createCell(tableHeader, cellContent));\n }\n }\n\n var rows = [];\n for (var _i2 = 0; _i2 < rowsCount; _i2++) {\n rows.push(tableRow.createChecked(null, withHeaderRow && _i2 === 0 ? headerCells : cells));\n }\n\n return table.createChecked(null, rows);\n};\n\n// :: ($pos: ResolvedPos) → ?{pos: number, start: number, node: ProseMirrorNode}\n// Iterates over parent nodes, returning a table cell or a table header node closest to a given `$pos`.\n//\n// ```javascript\n// const cell = findCellClosestToPos(state.selection.$from);\n// ```\nvar findCellClosestToPos = function findCellClosestToPos($pos) {\n var predicate = function predicate(node) {\n return node.type.spec.tableRole && /cell/i.test(node.type.spec.tableRole);\n };\n return findParentNodeClosestToPos($pos, predicate);\n};\n\n// :: ($pos: ResolvedPos) → ?{left: number, top: number, right: number, bottom: number}\n// Returns the rectangle spanning a cell closest to a given `$pos`.\n//\n// ```javascript\n// dispatch(\n// findCellRectClosestToPos(state.selection.$from)\n// );\n// ```\nvar findCellRectClosestToPos = function findCellRectClosestToPos($pos) {\n var cell = findCellClosestToPos($pos);\n if (cell) {\n var table = findTableClosestToPos($pos);\n var map = prosemirrorTables.TableMap.get(table.node);\n var cellPos = cell.pos - table.start;\n return map.rectBetween(cellPos, cellPos);\n }\n};\n\nvar filterCellsInRow = function filterCellsInRow(rowIndex, predicate) {\n return function (tr) {\n var foundCells = [];\n var cells = getCellsInRow(rowIndex)(tr.selection);\n if (cells) {\n for (var j = cells.length - 1; j >= 0; j--) {\n if (predicate(cells[j], tr)) {\n foundCells.push(cells[j]);\n }\n }\n }\n\n return foundCells;\n };\n};\n\n// :: (columnIndex: number) → (tr: Transaction) → {$anchor: ResolvedPos, $head: ResolvedPos, indexes: [number]}\n// Returns a range of rectangular selection spanning all merged cells around a column at index `columnIndex`.\n//\n// ```javascript\n// const range = getSelectionRangeInColumn(3)(state.tr);\n// ```\nvar getSelectionRangeInColumn = function getSelectionRangeInColumn(columnIndex) {\n return function (tr) {\n var startIndex = columnIndex;\n var endIndex = columnIndex;\n\n // looking for selection start column (startIndex)\n\n var _loop2 = function _loop2(i) {\n var cells = getCellsInColumn(i)(tr.selection);\n if (cells) {\n cells.forEach(function (cell) {\n var maybeEndIndex = cell.node.attrs.colspan + i - 1;\n if (maybeEndIndex >= startIndex) {\n startIndex = i;\n }\n if (maybeEndIndex > endIndex) {\n endIndex = maybeEndIndex;\n }\n });\n }\n };\n\n for (var i = columnIndex; i >= 0; i--) {\n _loop2(i);\n }\n // looking for selection end column (endIndex)\n\n var _loop3 = function _loop3(i) {\n var cells = getCellsInColumn(i)(tr.selection);\n if (cells) {\n cells.forEach(function (cell) {\n var maybeEndIndex = cell.node.attrs.colspan + i - 1;\n if (cell.node.attrs.colspan > 1 && maybeEndIndex > endIndex) {\n endIndex = maybeEndIndex;\n }\n });\n }\n };\n\n for (var i = columnIndex; i <= endIndex; i++) {\n _loop3(i);\n }\n\n // filter out columns without cells (where all rows have colspan > 1 in the same column)\n var indexes = [];\n for (var i = startIndex; i <= endIndex; i++) {\n var maybeCells = getCellsInColumn(i)(tr.selection);\n if (maybeCells && maybeCells.length) {\n indexes.push(i);\n }\n }\n startIndex = indexes[0];\n endIndex = indexes[indexes.length - 1];\n\n var firstSelectedColumnCells = getCellsInColumn(startIndex)(tr.selection);\n var firstRowCells = getCellsInRow(0)(tr.selection);\n var $anchor = tr.doc.resolve(firstSelectedColumnCells[firstSelectedColumnCells.length - 1].pos);\n\n var headCell = void 0;\n for (var _i3 = endIndex; _i3 >= startIndex; _i3--) {\n var columnCells = getCellsInColumn(_i3)(tr.selection);\n if (columnCells && columnCells.length) {\n for (var j = firstRowCells.length - 1; j >= 0; j--) {\n if (firstRowCells[j].pos === columnCells[0].pos) {\n headCell = columnCells[0];\n break;\n }\n }\n if (headCell) {\n break;\n }\n }\n }\n\n var $head = tr.doc.resolve(headCell.pos);\n return { $anchor: $anchor, $head: $head, indexes: indexes };\n };\n};\n\n// :: (rowIndex: number) → (tr: Transaction) → {$anchor: ResolvedPos, $head: ResolvedPos, indexes: [number]}\n// Returns a range of rectangular selection spanning all merged cells around a row at index `rowIndex`.\n//\n// ```javascript\n// const range = getSelectionRangeInRow(3)(state.tr);\n// ```\nvar getSelectionRangeInRow = function getSelectionRangeInRow(rowIndex) {\n return function (tr) {\n var startIndex = rowIndex;\n var endIndex = rowIndex;\n // looking for selection start row (startIndex)\n\n var _loop4 = function _loop4(i) {\n var cells = getCellsInRow(i)(tr.selection);\n cells.forEach(function (cell) {\n var maybeEndIndex = cell.node.attrs.rowspan + i - 1;\n if (maybeEndIndex >= startIndex) {\n startIndex = i;\n }\n if (maybeEndIndex > endIndex) {\n endIndex = maybeEndIndex;\n }\n });\n };\n\n for (var i = rowIndex; i >= 0; i--) {\n _loop4(i);\n }\n // looking for selection end row (endIndex)\n\n var _loop5 = function _loop5(i) {\n var cells = getCellsInRow(i)(tr.selection);\n cells.forEach(function (cell) {\n var maybeEndIndex = cell.node.attrs.rowspan + i - 1;\n if (cell.node.attrs.rowspan > 1 && maybeEndIndex > endIndex) {\n endIndex = maybeEndIndex;\n }\n });\n };\n\n for (var i = rowIndex; i <= endIndex; i++) {\n _loop5(i);\n }\n\n // filter out rows without cells (where all columns have rowspan > 1 in the same row)\n var indexes = [];\n for (var i = startIndex; i <= endIndex; i++) {\n var maybeCells = getCellsInRow(i)(tr.selection);\n if (maybeCells && maybeCells.length) {\n indexes.push(i);\n }\n }\n startIndex = indexes[0];\n endIndex = indexes[indexes.length - 1];\n\n var firstSelectedRowCells = getCellsInRow(startIndex)(tr.selection);\n var firstColumnCells = getCellsInColumn(0)(tr.selection);\n var $anchor = tr.doc.resolve(firstSelectedRowCells[firstSelectedRowCells.length - 1].pos);\n\n var headCell = void 0;\n for (var _i4 = endIndex; _i4 >= startIndex; _i4--) {\n var rowCells = getCellsInRow(_i4)(tr.selection);\n if (rowCells && rowCells.length) {\n for (var j = firstColumnCells.length - 1; j >= 0; j--) {\n if (firstColumnCells[j].pos === rowCells[0].pos) {\n headCell = rowCells[0];\n break;\n }\n }\n if (headCell) {\n break;\n }\n }\n }\n\n var $head = tr.doc.resolve(headCell.pos);\n return { $anchor: $anchor, $head: $head, indexes: indexes };\n };\n};\n\nexports.isNodeSelection = isNodeSelection;\nexports.canInsert = canInsert;\nexports.convertTableNodeToArrayOfRows = convertTableNodeToArrayOfRows;\nexports.convertArrayOfRowsToTableNode = convertArrayOfRowsToTableNode;\nexports.findParentNode = findParentNode;\nexports.findParentNodeClosestToPos = findParentNodeClosestToPos;\nexports.findParentDomRef = findParentDomRef;\nexports.hasParentNode = hasParentNode;\nexports.findParentNodeOfType = findParentNodeOfType;\nexports.findParentNodeOfTypeClosestToPos = findParentNodeOfTypeClosestToPos;\nexports.hasParentNodeOfType = hasParentNodeOfType;\nexports.findParentDomRefOfType = findParentDomRefOfType;\nexports.findSelectedNodeOfType = findSelectedNodeOfType;\nexports.findPositionOfNodeBefore = findPositionOfNodeBefore;\nexports.findDomRefAtPos = findDomRefAtPos;\nexports.flatten = flatten;\nexports.findChildren = findChildren;\nexports.findTextNodes = findTextNodes;\nexports.findInlineNodes = findInlineNodes;\nexports.findBlockNodes = findBlockNodes;\nexports.findChildrenByAttr = findChildrenByAttr;\nexports.findChildrenByType = findChildrenByType;\nexports.findChildrenByMark = findChildrenByMark;\nexports.contains = contains;\nexports.findTable = findTable;\nexports.isCellSelection = isCellSelection;\nexports.getSelectionRect = getSelectionRect;\nexports.isColumnSelected = isColumnSelected;\nexports.isRowSelected = isRowSelected;\nexports.isTableSelected = isTableSelected;\nexports.getCellsInColumn = getCellsInColumn;\nexports.getCellsInRow = getCellsInRow;\nexports.getCellsInTable = getCellsInTable;\nexports.selectColumn = selectColumn;\nexports.selectRow = selectRow;\nexports.selectTable = selectTable;\nexports.emptyCell = emptyCell;\nexports.addColumnAt = addColumnAt;\nexports.moveRow = moveRow;\nexports.moveColumn = moveColumn;\nexports.addRowAt = addRowAt;\nexports.cloneRowAt = cloneRowAt;\nexports.removeColumnAt = removeColumnAt;\nexports.removeRowAt = removeRowAt;\nexports.removeTable = removeTable;\nexports.removeSelectedColumns = removeSelectedColumns;\nexports.removeSelectedRows = removeSelectedRows;\nexports.removeColumnClosestToPos = removeColumnClosestToPos;\nexports.removeRowClosestToPos = removeRowClosestToPos;\nexports.forEachCellInColumn = forEachCellInColumn;\nexports.forEachCellInRow = forEachCellInRow;\nexports.setCellAttrs = setCellAttrs;\nexports.createTable = createTable;\nexports.findCellClosestToPos = findCellClosestToPos;\nexports.findCellRectClosestToPos = findCellRectClosestToPos;\nexports.getSelectionRangeInColumn = getSelectionRangeInColumn;\nexports.getSelectionRangeInRow = getSelectionRangeInRow;\nexports.removeParentNodeOfType = removeParentNodeOfType;\nexports.replaceParentNodeOfType = replaceParentNodeOfType;\nexports.removeSelectedNode = removeSelectedNode;\nexports.replaceSelectedNode = replaceSelectedNode;\nexports.setTextSelection = setTextSelection;\nexports.safeInsert = safeInsert;\nexports.setParentNodeMarkup = setParentNodeMarkup;\nexports.selectParentNodeOfType = selectParentNodeOfType;\nexports.removeNodeBefore = removeNodeBefore;\n//# sourceMappingURL=index.js.map\n","import { findWrapping, ReplaceAroundStep, canSplit, liftTarget } from 'prosemirror-transform';\nimport { NodeRange, Fragment, Slice } from 'prosemirror-model';\n\nvar olDOM = [\"ol\", 0], ulDOM = [\"ul\", 0], liDOM = [\"li\", 0];\n\n// :: NodeSpec\n// An ordered list [node spec](#model.NodeSpec). Has a single\n// attribute, `order`, which determines the number at which the list\n// starts counting, and defaults to 1. Represented as an `<ol>`\n// element.\nvar orderedList = {\n attrs: {order: {default: 1}},\n parseDOM: [{tag: \"ol\", getAttrs: function getAttrs(dom) {\n return {order: dom.hasAttribute(\"start\") ? +dom.getAttribute(\"start\") : 1}\n }}],\n toDOM: function toDOM(node) {\n return node.attrs.order == 1 ? olDOM : [\"ol\", {start: node.attrs.order}, 0]\n }\n};\n\n// :: NodeSpec\n// A bullet list node spec, represented in the DOM as `<ul>`.\nvar bulletList = {\n parseDOM: [{tag: \"ul\"}],\n toDOM: function toDOM() { return ulDOM }\n};\n\n// :: NodeSpec\n// A list item (`<li>`) spec.\nvar listItem = {\n parseDOM: [{tag: \"li\"}],\n toDOM: function toDOM() { return liDOM },\n defining: true\n};\n\nfunction add(obj, props) {\n var copy = {};\n for (var prop in obj) { copy[prop] = obj[prop]; }\n for (var prop$1 in props) { copy[prop$1] = props[prop$1]; }\n return copy\n}\n\n// :: (OrderedMap<NodeSpec>, string, ?string) → OrderedMap<NodeSpec>\n// Convenience function for adding list-related node types to a map\n// specifying the nodes for a schema. Adds\n// [`orderedList`](#schema-list.orderedList) as `\"ordered_list\"`,\n// [`bulletList`](#schema-list.bulletList) as `\"bullet_list\"`, and\n// [`listItem`](#schema-list.listItem) as `\"list_item\"`.\n//\n// `itemContent` determines the content expression for the list items.\n// If you want the commands defined in this module to apply to your\n// list structure, it should have a shape like `\"paragraph block*\"` or\n// `\"paragraph (ordered_list | bullet_list)*\"`. `listGroup` can be\n// given to assign a group name to the list node types, for example\n// `\"block\"`.\nfunction addListNodes(nodes, itemContent, listGroup) {\n return nodes.append({\n ordered_list: add(orderedList, {content: \"list_item+\", group: listGroup}),\n bullet_list: add(bulletList, {content: \"list_item+\", group: listGroup}),\n list_item: add(listItem, {content: itemContent})\n })\n}\n\n// :: (NodeType, ?Object) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Returns a command function that wraps the selection in a list with\n// the given type an attributes. If `dispatch` is null, only return a\n// value to indicate whether this is possible, but don't actually\n// perform the change.\nfunction wrapInList(listType, attrs) {\n return function(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var range = $from.blockRange($to), doJoin = false, outerRange = range;\n if (!range) { return false }\n // This is at the top of an existing list item\n if (range.depth >= 2 && $from.node(range.depth - 1).type.compatibleContent(listType) && range.startIndex == 0) {\n // Don't do anything if this is the top of the list\n if ($from.index(range.depth - 1) == 0) { return false }\n var $insert = state.doc.resolve(range.start - 2);\n outerRange = new NodeRange($insert, $insert, range.depth);\n if (range.endIndex < range.parent.childCount)\n { range = new NodeRange($from, state.doc.resolve($to.end(range.depth)), range.depth); }\n doJoin = true;\n }\n var wrap = findWrapping(outerRange, listType, attrs, range);\n if (!wrap) { return false }\n if (dispatch) { dispatch(doWrapInList(state.tr, range, wrap, doJoin, listType).scrollIntoView()); }\n return true\n }\n}\n\nfunction doWrapInList(tr, range, wrappers, joinBefore, listType) {\n var content = Fragment.empty;\n for (var i = wrappers.length - 1; i >= 0; i--)\n { content = Fragment.from(wrappers[i].type.create(wrappers[i].attrs, content)); }\n\n tr.step(new ReplaceAroundStep(range.start - (joinBefore ? 2 : 0), range.end, range.start, range.end,\n new Slice(content, 0, 0), wrappers.length, true));\n\n var found = 0;\n for (var i$1 = 0; i$1 < wrappers.length; i$1++) { if (wrappers[i$1].type == listType) { found = i$1 + 1; } }\n var splitDepth = wrappers.length - found;\n\n var splitPos = range.start + wrappers.length - (joinBefore ? 2 : 0), parent = range.parent;\n for (var i$2 = range.startIndex, e = range.endIndex, first = true; i$2 < e; i$2++, first = false) {\n if (!first && canSplit(tr.doc, splitPos, splitDepth)) {\n tr.split(splitPos, splitDepth);\n splitPos += 2 * splitDepth;\n }\n splitPos += parent.child(i$2).nodeSize;\n }\n return tr\n}\n\n// :: (NodeType) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Build a command that splits a non-empty textblock at the top level\n// of a list item by also splitting that list item.\nfunction splitListItem(itemType) {\n return function(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var node = ref.node;\n if ((node && node.isBlock) || $from.depth < 2 || !$from.sameParent($to)) { return false }\n var grandParent = $from.node(-1);\n if (grandParent.type != itemType) { return false }\n if ($from.parent.content.size == 0 && $from.node(-1).childCount == $from.indexAfter(-1)) {\n // In an empty block. If this is a nested list, the wrapping\n // list item should be split. Otherwise, bail out and let next\n // command handle lifting.\n if ($from.depth == 2 || $from.node(-3).type != itemType ||\n $from.index(-2) != $from.node(-2).childCount - 1) { return false }\n if (dispatch) {\n var wrap = Fragment.empty, keepItem = $from.index(-1) > 0;\n // Build a fragment containing empty versions of the structure\n // from the outer list item to the parent node of the cursor\n for (var d = $from.depth - (keepItem ? 1 : 2); d >= $from.depth - 3; d--)\n { wrap = Fragment.from($from.node(d).copy(wrap)); }\n // Add a second list item with an empty default start node\n wrap = wrap.append(Fragment.from(itemType.createAndFill()));\n var tr$1 = state.tr.replace($from.before(keepItem ? null : -1), $from.after(-3), new Slice(wrap, keepItem ? 3 : 2, 2));\n tr$1.setSelection(state.selection.constructor.near(tr$1.doc.resolve($from.pos + (keepItem ? 3 : 2))));\n dispatch(tr$1.scrollIntoView());\n }\n return true\n }\n var nextType = $to.pos == $from.end() ? grandParent.contentMatchAt(0).defaultType : null;\n var tr = state.tr.delete($from.pos, $to.pos);\n var types = nextType && [null, {type: nextType}];\n if (!canSplit(tr.doc, $from.pos, 2, types)) { return false }\n if (dispatch) { dispatch(tr.split($from.pos, 2, types).scrollIntoView()); }\n return true\n }\n}\n\n// :: (NodeType) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Create a command to lift the list item around the selection up into\n// a wrapping list.\nfunction liftListItem(itemType) {\n return function(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var range = $from.blockRange($to, function (node) { return node.childCount && node.firstChild.type == itemType; });\n if (!range) { return false }\n if (!dispatch) { return true }\n if ($from.node(range.depth - 1).type == itemType) // Inside a parent list\n { return liftToOuterList(state, dispatch, itemType, range) }\n else // Outer list node\n { return liftOutOfList(state, dispatch, range) }\n }\n}\n\nfunction liftToOuterList(state, dispatch, itemType, range) {\n var tr = state.tr, end = range.end, endOfList = range.$to.end(range.depth);\n if (end < endOfList) {\n // There are siblings after the lifted items, which must become\n // children of the last item\n tr.step(new ReplaceAroundStep(end - 1, endOfList, end, endOfList,\n new Slice(Fragment.from(itemType.create(null, range.parent.copy())), 1, 0), 1, true));\n range = new NodeRange(tr.doc.resolve(range.$from.pos), tr.doc.resolve(endOfList), range.depth);\n }\n dispatch(tr.lift(range, liftTarget(range)).scrollIntoView());\n return true\n}\n\nfunction liftOutOfList(state, dispatch, range) {\n var tr = state.tr, list = range.parent;\n // Merge the list items into a single big item\n for (var pos = range.end, i = range.endIndex - 1, e = range.startIndex; i > e; i--) {\n pos -= list.child(i).nodeSize;\n tr.delete(pos - 1, pos + 1);\n }\n var $start = tr.doc.resolve(range.start), item = $start.nodeAfter;\n var atStart = range.startIndex == 0, atEnd = range.endIndex == list.childCount;\n var parent = $start.node(-1), indexBefore = $start.index(-1);\n if (!parent.canReplace(indexBefore + (atStart ? 0 : 1), indexBefore + 1,\n item.content.append(atEnd ? Fragment.empty : Fragment.from(list))))\n { return false }\n var start = $start.pos, end = start + item.nodeSize;\n // Strip off the surrounding list. At the sides where we're not at\n // the end of the list, the existing list is closed. At sides where\n // this is the end, it is overwritten to its end.\n tr.step(new ReplaceAroundStep(start - (atStart ? 1 : 0), end + (atEnd ? 1 : 0), start + 1, end - 1,\n new Slice((atStart ? Fragment.empty : Fragment.from(list.copy(Fragment.empty)))\n .append(atEnd ? Fragment.empty : Fragment.from(list.copy(Fragment.empty))),\n atStart ? 0 : 1, atEnd ? 0 : 1), atStart ? 0 : 1));\n dispatch(tr.scrollIntoView());\n return true\n}\n\n// :: (NodeType) → (state: EditorState, dispatch: ?(tr: Transaction)) → bool\n// Create a command to sink the list item around the selection down\n// into an inner list.\nfunction sinkListItem(itemType) {\n return function(state, dispatch) {\n var ref = state.selection;\n var $from = ref.$from;\n var $to = ref.$to;\n var range = $from.blockRange($to, function (node) { return node.childCount && node.firstChild.type == itemType; });\n if (!range) { return false }\n var startIndex = range.startIndex;\n if (startIndex == 0) { return false }\n var parent = range.parent, nodeBefore = parent.child(startIndex - 1);\n if (nodeBefore.type != itemType) { return false }\n\n if (dispatch) {\n var nestedBefore = nodeBefore.lastChild && nodeBefore.lastChild.type == parent.type;\n var inner = Fragment.from(nestedBefore ? itemType.create() : null);\n var slice = new Slice(Fragment.from(itemType.create(null, Fragment.from(parent.type.create(null, inner)))),\n nestedBefore ? 3 : 1, 0);\n var before = range.start, after = range.end;\n dispatch(state.tr.step(new ReplaceAroundStep(before - (nestedBefore ? 3 : 1), after,\n before, after, slice, 1, true))\n .scrollIntoView());\n }\n return true\n }\n}\n\nexport { addListNodes, bulletList, liftListItem, listItem, orderedList, sinkListItem, splitListItem, wrapInList };\n//# sourceMappingURL=index.es.js.map\n","\n /*!\n * tiptap-commands v1.14.0\n * (c) 2020 Scrumpy UG (limited liability)\n * @license MIT\n */\n \nimport { setBlockType, lift, wrapIn } from 'prosemirror-commands';\nexport { autoJoin, baseKeymap, chainCommands, createParagraphNear, deleteSelection, exitCode, joinBackward, joinDown, joinForward, joinUp, lift, liftEmptyBlock, macBaseKeymap, newlineInCode, pcBaseKeymap, selectAll, selectNodeBackward, selectNodeForward, selectParentNode, setBlockType, splitBlock, splitBlockKeepMarks, toggleMark, wrapIn } from 'prosemirror-commands';\nimport { liftListItem, wrapInList } from 'prosemirror-schema-list';\nexport { addListNodes, liftListItem, sinkListItem, splitListItem, wrapInList } from 'prosemirror-schema-list';\nimport { InputRule } from 'prosemirror-inputrules';\nexport { textblockTypeInputRule, wrappingInputRule } from 'prosemirror-inputrules';\nimport { Plugin } from 'prosemirror-state';\nimport { Slice, Fragment } from 'prosemirror-model';\nimport { getMarkRange, nodeIsActive } from 'tiptap-utils';\nimport { findParentNode } from 'prosemirror-utils';\n\nfunction insertText () {\n var text = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : '';\n return function (state, dispatch) {\n var $from = state.selection.$from;\n var pos = $from.pos.pos;\n dispatch(state.tr.insertText(text, pos));\n return true;\n };\n}\n\nfunction _toConsumableArray(arr) {\n return _arrayWithoutHoles(arr) || _iterableToArray(arr) || _unsupportedIterableToArray(arr) || _nonIterableSpread();\n}\n\nfunction _arrayWithoutHoles(arr) {\n if (Array.isArray(arr)) return _arrayLikeToArray(arr);\n}\n\nfunction _iterableToArray(iter) {\n if (typeof Symbol !== \"undefined\" && Symbol.iterator in Object(iter)) return Array.from(iter);\n}\n\nfunction _unsupportedIterableToArray(o, minLen) {\n if (!o) return;\n if (typeof o === \"string\") return _arrayLikeToArray(o, minLen);\n var n = Object.prototype.toString.call(o).slice(8, -1);\n if (n === \"Object\" && o.constructor) n = o.constructor.name;\n if (n === \"Map\" || n === \"Set\") return Array.from(o);\n if (n === \"Arguments\" || /^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n)) return _arrayLikeToArray(o, minLen);\n}\n\nfunction _arrayLikeToArray(arr, len) {\n if (len == null || len > arr.length) len = arr.length;\n\n for (var i = 0, arr2 = new Array(len); i < len; i++) arr2[i] = arr[i];\n\n return arr2;\n}\n\nfunction _nonIterableSpread() {\n throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\");\n}\n\nfunction getMarksBetween(start, end, state) {\n var marks = [];\n state.doc.nodesBetween(start, end, function (node, pos) {\n marks = [].concat(_toConsumableArray(marks), _toConsumableArray(node.marks.map(function (mark) {\n return {\n start: pos,\n end: pos + node.nodeSize,\n mark: mark\n };\n })));\n });\n return marks;\n}\n\nfunction markInputRule (regexp, markType, getAttrs) {\n return new InputRule(regexp, function (state, match, start, end) {\n var attrs = getAttrs instanceof Function ? getAttrs(match) : getAttrs;\n var tr = state.tr;\n var m = match.length - 1;\n var markEnd = end;\n var markStart = start;\n\n if (match[m]) {\n var matchStart = start + match[0].indexOf(match[m - 1]);\n var matchEnd = matchStart + match[m - 1].length - 1;\n var textStart = matchStart + match[m - 1].lastIndexOf(match[m]);\n var textEnd = textStart + match[m].length;\n var excludedMarks = getMarksBetween(start, end, state).filter(function (item) {\n var excluded = item.mark.type.excluded;\n return excluded.find(function (type) {\n return type.name === markType.name;\n });\n }).filter(function (item) {\n return item.end > matchStart;\n });\n\n if (excludedMarks.length) {\n return false;\n }\n\n if (textEnd < matchEnd) {\n tr.delete(textEnd, matchEnd);\n }\n\n if (textStart > matchStart) {\n tr.delete(matchStart, textStart);\n }\n\n markStart = matchStart;\n markEnd = markStart + match[m].length;\n }\n\n tr.addMark(markStart, markEnd, markType.create(attrs));\n tr.removeStoredMark(markType);\n return tr;\n });\n}\n\nfunction nodeInputRule (regexp, type, getAttrs) {\n return new InputRule(regexp, function (state, match, start, end) {\n var attrs = getAttrs instanceof Function ? getAttrs(match) : getAttrs;\n var tr = state.tr;\n\n if (match[0]) {\n tr.replaceWith(start - 1, end, type.create(attrs));\n }\n\n return tr;\n });\n}\n\nfunction pasteRule (regexp, type, getAttrs) {\n var handler = function handler(fragment) {\n var nodes = [];\n fragment.forEach(function (child) {\n if (child.isText) {\n var text = child.text;\n var pos = 0;\n var match;\n\n do {\n match = regexp.exec(text);\n\n if (match) {\n var start = match.index;\n var end = start + match[0].length;\n var attrs = getAttrs instanceof Function ? getAttrs(match[0]) : getAttrs;\n\n if (start > 0) {\n nodes.push(child.cut(pos, start));\n }\n\n nodes.push(child.cut(start, end).mark(type.create(attrs).addToSet(child.marks)));\n pos = end;\n }\n } while (match);\n\n if (pos < text.length) {\n nodes.push(child.cut(pos));\n }\n } else {\n nodes.push(child.copy(handler(child.content)));\n }\n });\n return Fragment.fromArray(nodes);\n };\n\n return new Plugin({\n props: {\n transformPasted: function transformPasted(slice) {\n return new Slice(handler(slice.content), slice.openStart, slice.openEnd);\n }\n }\n });\n}\n\nfunction markPasteRule (regexp, type, getAttrs) {\n var handler = function handler(fragment, parent) {\n var nodes = [];\n fragment.forEach(function (child) {\n if (child.isText) {\n var text = child.text,\n marks = child.marks;\n var pos = 0;\n var match;\n var isLink = !!marks.filter(function (x) {\n return x.type.name === 'link';\n })[0]; // eslint-disable-next-line\n\n while (!isLink && (match = regexp.exec(text)) !== null) {\n if (parent && parent.type.allowsMarkType(type) && match[1]) {\n var start = match.index;\n var end = start + match[0].length;\n var textStart = start + match[0].indexOf(match[1]);\n var textEnd = textStart + match[1].length;\n var attrs = getAttrs instanceof Function ? getAttrs(match) : getAttrs; // adding text before markdown to nodes\n\n if (start > 0) {\n nodes.push(child.cut(pos, start));\n } // adding the markdown part to nodes\n\n\n nodes.push(child.cut(textStart, textEnd).mark(type.create(attrs).addToSet(child.marks)));\n pos = end;\n }\n } // adding rest of text to nodes\n\n\n if (pos < text.length) {\n nodes.push(child.cut(pos));\n }\n } else {\n nodes.push(child.copy(handler(child.content, child)));\n }\n });\n return Fragment.fromArray(nodes);\n };\n\n return new Plugin({\n props: {\n transformPasted: function transformPasted(slice) {\n return new Slice(handler(slice.content), slice.openStart, slice.openEnd);\n }\n }\n });\n}\n\nfunction removeMark (type) {\n return function (state, dispatch) {\n var tr = state.tr,\n selection = state.selection;\n var from = selection.from,\n to = selection.to;\n var $from = selection.$from,\n empty = selection.empty;\n\n if (empty) {\n var range = getMarkRange($from, type);\n from = range.from;\n to = range.to;\n }\n\n tr.removeMark(from, to, type);\n return dispatch(tr);\n };\n}\n\nfunction replaceText () {\n var range = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n var type = arguments.length > 1 ? arguments[1] : undefined;\n var attrs = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n return function (state, dispatch) {\n var _state$selection = state.selection,\n $from = _state$selection.$from,\n $to = _state$selection.$to;\n var index = $from.index();\n var from = range ? range.from : $from.pos;\n var to = range ? range.to : $to.pos;\n\n if (!$from.parent.canReplaceWith(index, index, type)) {\n return false;\n }\n\n if (dispatch) {\n dispatch(state.tr.replaceWith(from, to, type.create(attrs)));\n }\n\n return true;\n };\n}\n\nfunction setInlineBlockType (type) {\n var attrs = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n return function (state, dispatch) {\n var $from = state.selection.$from;\n var index = $from.index();\n\n if (!$from.parent.canReplaceWith(index, index, type)) {\n return false;\n }\n\n if (dispatch) {\n dispatch(state.tr.replaceSelectionWith(type.create(attrs)));\n }\n\n return true;\n };\n}\n\n// see https://github.com/ProseMirror/prosemirror-transform/blob/master/src/structure.js\n// Since this piece of code was \"borrowed\" from prosemirror, ESLint rules are ignored.\n\n/* eslint-disable max-len, no-plusplus, no-undef, eqeqeq */\n\nfunction canSplit(doc, pos) {\n var depth = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : 1;\n var typesAfter = arguments.length > 3 ? arguments[3] : undefined;\n var $pos = doc.resolve(pos);\n var base = $pos.depth - depth;\n var innerType = typesAfter && typesAfter[typesAfter.length - 1] || $pos.parent;\n if (base < 0 || $pos.parent.type.spec.isolating || !$pos.parent.canReplace($pos.index(), $pos.parent.childCount) || !innerType.type.validContent($pos.parent.content.cutByIndex($pos.index(), $pos.parent.childCount))) return false;\n\n for (var d = $pos.depth - 1, i = depth - 2; d > base; d--, i--) {\n var node = $pos.node(d);\n\n var _index = $pos.index(d);\n\n if (node.type.spec.isolating) return false;\n var rest = node.content.cutByIndex(_index, node.childCount);\n var after = typesAfter && typesAfter[i] || node;\n if (after != node) rest = rest.replaceChild(0, after.type.create(after.attrs));\n /* Change starts from here */\n // if (!node.canReplace(index + 1, node.childCount) || !after.type.validContent(rest))\n // return false\n\n if (!node.canReplace(_index + 1, node.childCount)) return false;\n /* Change ends here */\n }\n\n var index = $pos.indexAfter(base);\n var baseType = typesAfter && typesAfter[0];\n return $pos.node(base).canReplaceWith(index, index, baseType ? baseType.type : $pos.node(base + 1).type);\n} // this is a copy of splitListItem\n// see https://github.com/ProseMirror/prosemirror-schema-list/blob/master/src/schema-list.js\n\n\nfunction splitToDefaultListItem(itemType) {\n return function (state, dispatch) {\n var _state$selection = state.selection,\n $from = _state$selection.$from,\n $to = _state$selection.$to,\n node = _state$selection.node;\n if (node && node.isBlock || $from.depth < 2 || !$from.sameParent($to)) return false;\n var grandParent = $from.node(-1);\n if (grandParent.type != itemType) return false;\n\n if ($from.parent.content.size == 0) {\n // In an empty block. If this is a nested list, the wrapping\n // list item should be split. Otherwise, bail out and let next\n // command handle lifting.\n if ($from.depth == 2 || $from.node(-3).type != itemType || $from.index(-2) != $from.node(-2).childCount - 1) return false;\n\n if (dispatch) {\n var wrap = Fragment.empty;\n var keepItem = $from.index(-1) > 0; // Build a fragment containing empty versions of the structure\n // from the outer list item to the parent node of the cursor\n\n for (var d = $from.depth - (keepItem ? 1 : 2); d >= $from.depth - 3; d--) {\n wrap = Fragment.from($from.node(d).copy(wrap));\n } // Add a second list item with an empty default start node\n\n\n wrap = wrap.append(Fragment.from(itemType.createAndFill()));\n\n var _tr = state.tr.replace($from.before(keepItem ? null : -1), $from.after(-3), new Slice(wrap, keepItem ? 3 : 2, 2));\n\n _tr.setSelection(state.selection.constructor.near(_tr.doc.resolve($from.pos + (keepItem ? 3 : 2))));\n\n dispatch(_tr.scrollIntoView());\n }\n\n return true;\n }\n\n var nextType = $to.pos == $from.end() ? grandParent.contentMatchAt($from.indexAfter(-1)).defaultType : null;\n var tr = state.tr.delete($from.pos, $to.pos);\n /* Change starts from here */\n // let types = nextType && [null, {type: nextType}]\n\n var types = nextType && [{\n type: itemType\n }, {\n type: nextType\n }];\n if (!types) types = [{\n type: itemType\n }, null];\n /* Change ends here */\n\n if (!canSplit(tr.doc, $from.pos, 2, types)) return false;\n if (dispatch) dispatch(tr.split($from.pos, 2, types).scrollIntoView());\n return true;\n };\n}\n/* eslint-enable max-len, no-plusplus, no-undef, eqeqeq */\n\nfunction toggleBlockType (type, toggletype) {\n var attrs = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n return function (state, dispatch, view) {\n var isActive = nodeIsActive(state, type, attrs);\n\n if (isActive) {\n return setBlockType(toggletype)(state, dispatch, view);\n }\n\n return setBlockType(type, attrs)(state, dispatch, view);\n };\n}\n\nfunction isList(node, schema) {\n return node.type === schema.nodes.bullet_list || node.type === schema.nodes.ordered_list || node.type === schema.nodes.todo_list;\n}\n\nfunction toggleList(listType, itemType) {\n return function (state, dispatch, view) {\n var schema = state.schema,\n selection = state.selection;\n var $from = selection.$from,\n $to = selection.$to;\n var range = $from.blockRange($to);\n\n if (!range) {\n return false;\n }\n\n var parentList = findParentNode(function (node) {\n return isList(node, schema);\n })(selection);\n\n if (range.depth >= 1 && parentList && range.depth - parentList.depth <= 1) {\n if (parentList.node.type === listType) {\n return liftListItem(itemType)(state, dispatch, view);\n }\n\n if (isList(parentList.node, schema) && listType.validContent(parentList.node.content)) {\n var tr = state.tr;\n tr.setNodeMarkup(parentList.pos, listType);\n\n if (dispatch) {\n dispatch(tr);\n }\n\n return false;\n }\n }\n\n return wrapInList(listType)(state, dispatch, view);\n };\n}\n\nfunction toggleWrap (type) {\n var attrs = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n return function (state, dispatch, view) {\n var isActive = nodeIsActive(state, type, attrs);\n\n if (isActive) {\n return lift(state, dispatch);\n }\n\n return wrapIn(type, attrs)(state, dispatch, view);\n };\n}\n\nfunction updateMark (type, attrs) {\n return function (state, dispatch) {\n var tr = state.tr,\n selection = state.selection,\n doc = state.doc;\n var from = selection.from,\n to = selection.to;\n var $from = selection.$from,\n empty = selection.empty;\n\n if (empty) {\n var range = getMarkRange($from, type);\n from = range.from;\n to = range.to;\n }\n\n var hasMark = doc.rangeHasMark(from, to, type);\n\n if (hasMark) {\n tr.removeMark(from, to, type);\n }\n\n tr.addMark(from, to, type.create(attrs));\n return dispatch(tr);\n };\n}\n\nexport { insertText, markInputRule, markPasteRule, nodeInputRule, pasteRule, removeMark, replaceText, setInlineBlockType, splitToDefaultListItem, toggleBlockType, toggleList, toggleWrap, updateMark };\n","import { PluginKey, Plugin, TextSelection } from 'prosemirror-state';\n\nvar Rebaseable = function Rebaseable(step, inverted, origin) {\n this.step = step;\n this.inverted = inverted;\n this.origin = origin;\n};\n\n// : ([Rebaseable], [Step], Transform) → [Rebaseable]\n// Undo a given set of steps, apply a set of other steps, and then\n// redo them.\nfunction rebaseSteps(steps, over, transform) {\n for (var i = steps.length - 1; i >= 0; i--) { transform.step(steps[i].inverted); }\n for (var i$1 = 0; i$1 < over.length; i$1++) { transform.step(over[i$1]); }\n var result = [];\n for (var i$2 = 0, mapFrom = steps.length; i$2 < steps.length; i$2++) {\n var mapped = steps[i$2].step.map(transform.mapping.slice(mapFrom));\n mapFrom--;\n if (mapped && !transform.maybeStep(mapped).failed) {\n transform.mapping.setMirror(mapFrom, transform.steps.length - 1);\n result.push(new Rebaseable(mapped, mapped.invert(transform.docs[transform.docs.length - 1]), steps[i$2].origin));\n }\n }\n return result\n}\n\n// This state field accumulates changes that have to be sent to the\n// central authority in the collaborating group and makes it possible\n// to integrate changes made by peers into our local document. It is\n// defined by the plugin, and will be available as the `collab` field\n// in the resulting editor state.\nvar CollabState = function CollabState(version, unconfirmed) {\n // : number\n // The version number of the last update received from the central\n // authority. Starts at 0 or the value of the `version` property\n // in the option object, for the editor's value when the option\n // was enabled.\n this.version = version;\n\n // : [Rebaseable]\n // The local steps that havent been successfully sent to the\n // server yet.\n this.unconfirmed = unconfirmed;\n};\n\nfunction unconfirmedFrom(transform) {\n var result = [];\n for (var i = 0; i < transform.steps.length; i++)\n { result.push(new Rebaseable(transform.steps[i],\n transform.steps[i].invert(transform.docs[i]),\n transform)); }\n return result\n}\n\nvar collabKey = new PluginKey(\"collab\");\n\n// :: (?Object) → Plugin\n//\n// Creates a plugin that enables the collaborative editing framework\n// for the editor.\n//\n// config::- An optional set of options\n//\n// version:: ?number\n// The starting version number of the collaborative editing.\n// Defaults to 0.\n//\n// clientID:: ?union<number, string>\n// This client's ID, used to distinguish its changes from those of\n// other clients. Defaults to a random 32-bit number.\nfunction collab(config) {\n if ( config === void 0 ) config = {};\n\n config = {version: config.version || 0,\n clientID: config.clientID == null ? Math.floor(Math.random() * 0xFFFFFFFF) : config.clientID};\n\n return new Plugin({\n key: collabKey,\n\n state: {\n init: function () { return new CollabState(config.version, []); },\n apply: function apply(tr, collab) {\n var newState = tr.getMeta(collabKey);\n if (newState)\n { return newState }\n if (tr.docChanged)\n { return new CollabState(collab.version, collab.unconfirmed.concat(unconfirmedFrom(tr))) }\n return collab\n }\n },\n\n config: config,\n // This is used to notify the history plugin to not merge steps,\n // so that the history can be rebased.\n historyPreserveItems: true\n })\n}\n\n// :: (state: EditorState, steps: [Step], clientIDs: [union<number, string>], options: ?Object) → Transaction\n// Create a transaction that represents a set of new steps received from\n// the authority. Applying this transaction moves the state forward to\n// adjust to the authority's view of the document.\n//\n// options::- Additional options.\n//\n// mapSelectionBackward:: ?boolean\n// When enabled (the default is `false`), if the current selection\n// is a [text selection](#state.TextSelection), its sides are\n// mapped with a negative bias for this transaction, so that\n// content inserted at the cursor ends up after the cursor. Users\n// usually prefer this, but it isn't done by default for reasons\n// of backwards compatibility.\nfunction receiveTransaction(state, steps, clientIDs, options) {\n // Pushes a set of steps (received from the central authority) into\n // the editor state (which should have the collab plugin enabled).\n // Will recognize its own changes, and confirm unconfirmed steps as\n // appropriate. Remaining unconfirmed steps will be rebased over\n // remote steps.\n var collabState = collabKey.getState(state);\n var version = collabState.version + steps.length;\n var ourID = collabKey.get(state).spec.config.clientID;\n\n // Find out which prefix of the steps originated with us\n var ours = 0;\n while (ours < clientIDs.length && clientIDs[ours] == ourID) { ++ours; }\n var unconfirmed = collabState.unconfirmed.slice(ours);\n steps = ours ? steps.slice(ours) : steps;\n\n // If all steps originated with us, we're done.\n if (!steps.length)\n { return state.tr.setMeta(collabKey, new CollabState(version, unconfirmed)) }\n\n var nUnconfirmed = unconfirmed.length;\n var tr = state.tr;\n if (nUnconfirmed) {\n unconfirmed = rebaseSteps(unconfirmed, steps, tr);\n } else {\n for (var i = 0; i < steps.length; i++) { tr.step(steps[i]); }\n unconfirmed = [];\n }\n\n var newCollabState = new CollabState(version, unconfirmed);\n if (options && options.mapSelectionBackward && state.selection instanceof TextSelection) {\n tr.setSelection(TextSelection.between(tr.doc.resolve(tr.mapping.map(state.selection.anchor, -1)),\n tr.doc.resolve(tr.mapping.map(state.selection.head, -1)), -1));\n tr.updated &= ~1;\n }\n return tr.setMeta(\"rebased\", nUnconfirmed).setMeta(\"addToHistory\", false).setMeta(collabKey, newCollabState)\n}\n\n// :: (state: EditorState) → ?{version: number, steps: [Step], clientID: union<number, string>, origins: [Transaction]}\n// Provides data describing the editor's unconfirmed steps, which need\n// to be sent to the central authority. Returns null when there is\n// nothing to send.\n//\n// `origins` holds the _original_ transactions that produced each\n// steps. This can be useful for looking up time stamps and other\n// metadata for the steps, but note that the steps may have been\n// rebased, whereas the origin transactions are still the old,\n// unchanged objects.\nfunction sendableSteps(state) {\n var collabState = collabKey.getState(state);\n if (collabState.unconfirmed.length == 0) { return null }\n return {\n version: collabState.version,\n steps: collabState.unconfirmed.map(function (s) { return s.step; }),\n clientID: collabKey.get(state).spec.config.clientID,\n get origins() { return this._origins || (this._origins = collabState.unconfirmed.map(function (s) { return s.origin; })) }\n }\n}\n\n// :: (EditorState) → number\n// Get the version up to which the collab plugin has synced with the\n// central authority.\nfunction getVersion(state) {\n return collabKey.getState(state).version\n}\n\nexport { collab, getVersion, rebaseSteps, receiveTransaction, sendableSteps };\n//# sourceMappingURL=index.es.js.map\n","module.exports=/[!-#%-\\*,-\\/:;\\?@\\[-\\]_\\{\\}\\xA1\\xA7\\xAB\\xB6\\xB7\\xBB\\xBF\\u037E\\u0387\\u055A-\\u055F\\u0589\\u058A\\u05BE\\u05C0\\u05C3\\u05C6\\u05F3\\u05F4\\u0609\\u060A\\u060C\\u060D\\u061B\\u061E\\u061F\\u066A-\\u066D\\u06D4\\u0700-\\u070D\\u07F7-\\u07F9\\u0830-\\u083E\\u085E\\u0964\\u0965\\u0970\\u09FD\\u0A76\\u0AF0\\u0C84\\u0DF4\\u0E4F\\u0E5A\\u0E5B\\u0F04-\\u0F12\\u0F14\\u0F3A-\\u0F3D\\u0F85\\u0FD0-\\u0FD4\\u0FD9\\u0FDA\\u104A-\\u104F\\u10FB\\u1360-\\u1368\\u1400\\u166D\\u166E\\u169B\\u169C\\u16EB-\\u16ED\\u1735\\u1736\\u17D4-\\u17D6\\u17D8-\\u17DA\\u1800-\\u180A\\u1944\\u1945\\u1A1E\\u1A1F\\u1AA0-\\u1AA6\\u1AA8-\\u1AAD\\u1B5A-\\u1B60\\u1BFC-\\u1BFF\\u1C3B-\\u1C3F\\u1C7E\\u1C7F\\u1CC0-\\u1CC7\\u1CD3\\u2010-\\u2027\\u2030-\\u2043\\u2045-\\u2051\\u2053-\\u205E\\u207D\\u207E\\u208D\\u208E\\u2308-\\u230B\\u2329\\u232A\\u2768-\\u2775\\u27C5\\u27C6\\u27E6-\\u27EF\\u2983-\\u2998\\u29D8-\\u29DB\\u29FC\\u29FD\\u2CF9-\\u2CFC\\u2CFE\\u2CFF\\u2D70\\u2E00-\\u2E2E\\u2E30-\\u2E4E\\u3001-\\u3003\\u3008-\\u3011\\u3014-\\u301F\\u3030\\u303D\\u30A0\\u30FB\\uA4FE\\uA4FF\\uA60D-\\uA60F\\uA673\\uA67E\\uA6F2-\\uA6F7\\uA874-\\uA877\\uA8CE\\uA8CF\\uA8F8-\\uA8FA\\uA8FC\\uA92E\\uA92F\\uA95F\\uA9C1-\\uA9CD\\uA9DE\\uA9DF\\uAA5C-\\uAA5F\\uAADE\\uAADF\\uAAF0\\uAAF1\\uABEB\\uFD3E\\uFD3F\\uFE10-\\uFE19\\uFE30-\\uFE52\\uFE54-\\uFE61\\uFE63\\uFE68\\uFE6A\\uFE6B\\uFF01-\\uFF03\\uFF05-\\uFF0A\\uFF0C-\\uFF0F\\uFF1A\\uFF1B\\uFF1F\\uFF20\\uFF3B-\\uFF3D\\uFF3F\\uFF5B\\uFF5D\\uFF5F-\\uFF65]|\\uD800[\\uDD00-\\uDD02\\uDF9F\\uDFD0]|\\uD801\\uDD6F|\\uD802[\\uDC57\\uDD1F\\uDD3F\\uDE50-\\uDE58\\uDE7F\\uDEF0-\\uDEF6\\uDF39-\\uDF3F\\uDF99-\\uDF9C]|\\uD803[\\uDF55-\\uDF59]|\\uD804[\\uDC47-\\uDC4D\\uDCBB\\uDCBC\\uDCBE-\\uDCC1\\uDD40-\\uDD43\\uDD74\\uDD75\\uDDC5-\\uDDC8\\uDDCD\\uDDDB\\uDDDD-\\uDDDF\\uDE38-\\uDE3D\\uDEA9]|\\uD805[\\uDC4B-\\uDC4F\\uDC5B\\uDC5D\\uDCC6\\uDDC1-\\uDDD7\\uDE41-\\uDE43\\uDE60-\\uDE6C\\uDF3C-\\uDF3E]|\\uD806[\\uDC3B\\uDE3F-\\uDE46\\uDE9A-\\uDE9C\\uDE9E-\\uDEA2]|\\uD807[\\uDC41-\\uDC45\\uDC70\\uDC71\\uDEF7\\uDEF8]|\\uD809[\\uDC70-\\uDC74]|\\uD81A[\\uDE6E\\uDE6F\\uDEF5\\uDF37-\\uDF3B\\uDF44]|\\uD81B[\\uDE97-\\uDE9A]|\\uD82F\\uDC9F|\\uD836[\\uDE87-\\uDE8B]|\\uD83A[\\uDD5E\\uDD5F]/","/**\n * class Ruler\n *\n * Helper class, used by [[MarkdownIt#core]], [[MarkdownIt#block]] and\n * [[MarkdownIt#inline]] to manage sequences of functions (rules):\n *\n * - keep rules in defined order\n * - assign the name to each rule\n * - enable/disable rules\n * - add/replace rules\n * - allow assign rules to additional named chains (in the same)\n * - cacheing lists of active rules\n *\n * You will not need use this class directly until write plugins. For simple\n * rules control use [[MarkdownIt.disable]], [[MarkdownIt.enable]] and\n * [[MarkdownIt.use]].\n **/\n'use strict';\n\n\n/**\n * new Ruler()\n **/\nfunction Ruler() {\n // List of added rules. Each element is:\n //\n // {\n // name: XXX,\n // enabled: Boolean,\n // fn: Function(),\n // alt: [ name2, name3 ]\n // }\n //\n this.__rules__ = [];\n\n // Cached rule chains.\n //\n // First level - chain name, '' for default.\n // Second level - diginal anchor for fast filtering by charcodes.\n //\n this.__cache__ = null;\n}\n\n////////////////////////////////////////////////////////////////////////////////\n// Helper methods, should not be used directly\n\n\n// Find rule index by name\n//\nRuler.prototype.__find__ = function (name) {\n for (var i = 0; i < this.__rules__.length; i++) {\n if (this.__rules__[i].name === name) {\n return i;\n }\n }\n return -1;\n};\n\n\n// Build rules lookup cache\n//\nRuler.prototype.__compile__ = function () {\n var self = this;\n var chains = [ '' ];\n\n // collect unique names\n self.__rules__.forEach(function (rule) {\n if (!rule.enabled) { return; }\n\n rule.alt.forEach(function (altName) {\n if (chains.indexOf(altName) < 0) {\n chains.push(altName);\n }\n });\n });\n\n self.__cache__ = {};\n\n chains.forEach(function (chain) {\n self.__cache__[chain] = [];\n self.__rules__.forEach(function (rule) {\n if (!rule.enabled) { return; }\n\n if (chain && rule.alt.indexOf(chain) < 0) { return; }\n\n self.__cache__[chain].push(rule.fn);\n });\n });\n};\n\n\n/**\n * Ruler.at(name, fn [, options])\n * - name (String): rule name to replace.\n * - fn (Function): new rule function.\n * - options (Object): new rule options (not mandatory).\n *\n * Replace rule by name with new function & options. Throws error if name not\n * found.\n *\n * ##### Options:\n *\n * - __alt__ - array with names of \"alternate\" chains.\n *\n * ##### Example\n *\n * Replace existing typographer replacement rule with new one:\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * md.core.ruler.at('replacements', function replace(state) {\n * //...\n * });\n * ```\n **/\nRuler.prototype.at = function (name, fn, options) {\n var index = this.__find__(name);\n var opt = options || {};\n\n if (index === -1) { throw new Error('Parser rule not found: ' + name); }\n\n this.__rules__[index].fn = fn;\n this.__rules__[index].alt = opt.alt || [];\n this.__cache__ = null;\n};\n\n\n/**\n * Ruler.before(beforeName, ruleName, fn [, options])\n * - beforeName (String): new rule will be added before this one.\n * - ruleName (String): name of added rule.\n * - fn (Function): rule function.\n * - options (Object): rule options (not mandatory).\n *\n * Add new rule to chain before one with given name. See also\n * [[Ruler.after]], [[Ruler.push]].\n *\n * ##### Options:\n *\n * - __alt__ - array with names of \"alternate\" chains.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * md.block.ruler.before('paragraph', 'my_rule', function replace(state) {\n * //...\n * });\n * ```\n **/\nRuler.prototype.before = function (beforeName, ruleName, fn, options) {\n var index = this.__find__(beforeName);\n var opt = options || {};\n\n if (index === -1) { throw new Error('Parser rule not found: ' + beforeName); }\n\n this.__rules__.splice(index, 0, {\n name: ruleName,\n enabled: true,\n fn: fn,\n alt: opt.alt || []\n });\n\n this.__cache__ = null;\n};\n\n\n/**\n * Ruler.after(afterName, ruleName, fn [, options])\n * - afterName (String): new rule will be added after this one.\n * - ruleName (String): name of added rule.\n * - fn (Function): rule function.\n * - options (Object): rule options (not mandatory).\n *\n * Add new rule to chain after one with given name. See also\n * [[Ruler.before]], [[Ruler.push]].\n *\n * ##### Options:\n *\n * - __alt__ - array with names of \"alternate\" chains.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * md.inline.ruler.after('text', 'my_rule', function replace(state) {\n * //...\n * });\n * ```\n **/\nRuler.prototype.after = function (afterName, ruleName, fn, options) {\n var index = this.__find__(afterName);\n var opt = options || {};\n\n if (index === -1) { throw new Error('Parser rule not found: ' + afterName); }\n\n this.__rules__.splice(index + 1, 0, {\n name: ruleName,\n enabled: true,\n fn: fn,\n alt: opt.alt || []\n });\n\n this.__cache__ = null;\n};\n\n/**\n * Ruler.push(ruleName, fn [, options])\n * - ruleName (String): name of added rule.\n * - fn (Function): rule function.\n * - options (Object): rule options (not mandatory).\n *\n * Push new rule to the end of chain. See also\n * [[Ruler.before]], [[Ruler.after]].\n *\n * ##### Options:\n *\n * - __alt__ - array with names of \"alternate\" chains.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * md.core.ruler.push('my_rule', function replace(state) {\n * //...\n * });\n * ```\n **/\nRuler.prototype.push = function (ruleName, fn, options) {\n var opt = options || {};\n\n this.__rules__.push({\n name: ruleName,\n enabled: true,\n fn: fn,\n alt: opt.alt || []\n });\n\n this.__cache__ = null;\n};\n\n\n/**\n * Ruler.enable(list [, ignoreInvalid]) -> Array\n * - list (String|Array): list of rule names to enable.\n * - ignoreInvalid (Boolean): set `true` to ignore errors when rule not found.\n *\n * Enable rules with given names. If any rule name not found - throw Error.\n * Errors can be disabled by second param.\n *\n * Returns list of found rule names (if no exception happened).\n *\n * See also [[Ruler.disable]], [[Ruler.enableOnly]].\n **/\nRuler.prototype.enable = function (list, ignoreInvalid) {\n if (!Array.isArray(list)) { list = [ list ]; }\n\n var result = [];\n\n // Search by name and enable\n list.forEach(function (name) {\n var idx = this.__find__(name);\n\n if (idx < 0) {\n if (ignoreInvalid) { return; }\n throw new Error('Rules manager: invalid rule name ' + name);\n }\n this.__rules__[idx].enabled = true;\n result.push(name);\n }, this);\n\n this.__cache__ = null;\n return result;\n};\n\n\n/**\n * Ruler.enableOnly(list [, ignoreInvalid])\n * - list (String|Array): list of rule names to enable (whitelist).\n * - ignoreInvalid (Boolean): set `true` to ignore errors when rule not found.\n *\n * Enable rules with given names, and disable everything else. If any rule name\n * not found - throw Error. Errors can be disabled by second param.\n *\n * See also [[Ruler.disable]], [[Ruler.enable]].\n **/\nRuler.prototype.enableOnly = function (list, ignoreInvalid) {\n if (!Array.isArray(list)) { list = [ list ]; }\n\n this.__rules__.forEach(function (rule) { rule.enabled = false; });\n\n this.enable(list, ignoreInvalid);\n};\n\n\n/**\n * Ruler.disable(list [, ignoreInvalid]) -> Array\n * - list (String|Array): list of rule names to disable.\n * - ignoreInvalid (Boolean): set `true` to ignore errors when rule not found.\n *\n * Disable rules with given names. If any rule name not found - throw Error.\n * Errors can be disabled by second param.\n *\n * Returns list of found rule names (if no exception happened).\n *\n * See also [[Ruler.enable]], [[Ruler.enableOnly]].\n **/\nRuler.prototype.disable = function (list, ignoreInvalid) {\n if (!Array.isArray(list)) { list = [ list ]; }\n\n var result = [];\n\n // Search by name and disable\n list.forEach(function (name) {\n var idx = this.__find__(name);\n\n if (idx < 0) {\n if (ignoreInvalid) { return; }\n throw new Error('Rules manager: invalid rule name ' + name);\n }\n this.__rules__[idx].enabled = false;\n result.push(name);\n }, this);\n\n this.__cache__ = null;\n return result;\n};\n\n\n/**\n * Ruler.getRules(chainName) -> Array\n *\n * Return array of active functions (rules) for given chain name. It analyzes\n * rules configuration, compiles caches if not exists and returns result.\n *\n * Default chain name is `''` (empty string). It can't be skipped. That's\n * done intentionally, to keep signature monomorphic for high speed.\n **/\nRuler.prototype.getRules = function (chainName) {\n if (this.__cache__ === null) {\n this.__compile__();\n }\n\n // Chain can be empty, if rules disabled. But we still have to return Array.\n return this.__cache__[chainName] || [];\n};\n\nmodule.exports = Ruler;\n","// Token class\n\n'use strict';\n\n\n/**\n * class Token\n **/\n\n/**\n * new Token(type, tag, nesting)\n *\n * Create new token and fill passed properties.\n **/\nfunction Token(type, tag, nesting) {\n /**\n * Token#type -> String\n *\n * Type of the token (string, e.g. \"paragraph_open\")\n **/\n this.type = type;\n\n /**\n * Token#tag -> String\n *\n * html tag name, e.g. \"p\"\n **/\n this.tag = tag;\n\n /**\n * Token#attrs -> Array\n *\n * Html attributes. Format: `[ [ name1, value1 ], [ name2, value2 ] ]`\n **/\n this.attrs = null;\n\n /**\n * Token#map -> Array\n *\n * Source map info. Format: `[ line_begin, line_end ]`\n **/\n this.map = null;\n\n /**\n * Token#nesting -> Number\n *\n * Level change (number in {-1, 0, 1} set), where:\n *\n * - `1` means the tag is opening\n * - `0` means the tag is self-closing\n * - `-1` means the tag is closing\n **/\n this.nesting = nesting;\n\n /**\n * Token#level -> Number\n *\n * nesting level, the same as `state.level`\n **/\n this.level = 0;\n\n /**\n * Token#children -> Array\n *\n * An array of child nodes (inline and img tokens)\n **/\n this.children = null;\n\n /**\n * Token#content -> String\n *\n * In a case of self-closing tag (code, html, fence, etc.),\n * it has contents of this tag.\n **/\n this.content = '';\n\n /**\n * Token#markup -> String\n *\n * '*' or '_' for emphasis, fence string for fence, etc.\n **/\n this.markup = '';\n\n /**\n * Token#info -> String\n *\n * fence infostring\n **/\n this.info = '';\n\n /**\n * Token#meta -> Object\n *\n * A place for plugins to store an arbitrary data\n **/\n this.meta = null;\n\n /**\n * Token#block -> Boolean\n *\n * True for block-level tokens, false for inline tokens.\n * Used in renderer to calculate line breaks\n **/\n this.block = false;\n\n /**\n * Token#hidden -> Boolean\n *\n * If it's true, ignore this element when rendering. Used for tight lists\n * to hide paragraphs.\n **/\n this.hidden = false;\n}\n\n\n/**\n * Token.attrIndex(name) -> Number\n *\n * Search attribute index by name.\n **/\nToken.prototype.attrIndex = function attrIndex(name) {\n var attrs, i, len;\n\n if (!this.attrs) { return -1; }\n\n attrs = this.attrs;\n\n for (i = 0, len = attrs.length; i < len; i++) {\n if (attrs[i][0] === name) { return i; }\n }\n return -1;\n};\n\n\n/**\n * Token.attrPush(attrData)\n *\n * Add `[ name, value ]` attribute to list. Init attrs if necessary\n **/\nToken.prototype.attrPush = function attrPush(attrData) {\n if (this.attrs) {\n this.attrs.push(attrData);\n } else {\n this.attrs = [ attrData ];\n }\n};\n\n\n/**\n * Token.attrSet(name, value)\n *\n * Set `name` attribute to `value`. Override old value if exists.\n **/\nToken.prototype.attrSet = function attrSet(name, value) {\n var idx = this.attrIndex(name),\n attrData = [ name, value ];\n\n if (idx < 0) {\n this.attrPush(attrData);\n } else {\n this.attrs[idx] = attrData;\n }\n};\n\n\n/**\n * Token.attrGet(name)\n *\n * Get the value of attribute `name`, or null if it does not exist.\n **/\nToken.prototype.attrGet = function attrGet(name) {\n var idx = this.attrIndex(name), value = null;\n if (idx >= 0) {\n value = this.attrs[idx][1];\n }\n return value;\n};\n\n\n/**\n * Token.attrJoin(name, value)\n *\n * Join value to existing attribute via space. Or create new attribute if not\n * exists. Useful to operate with token classes.\n **/\nToken.prototype.attrJoin = function attrJoin(name, value) {\n var idx = this.attrIndex(name);\n\n if (idx < 0) {\n this.attrPush([ name, value ]);\n } else {\n this.attrs[idx][1] = this.attrs[idx][1] + ' ' + value;\n }\n};\n\n\nmodule.exports = Token;\n","export var base = {\n 8: \"Backspace\",\n 9: \"Tab\",\n 10: \"Enter\",\n 12: \"NumLock\",\n 13: \"Enter\",\n 16: \"Shift\",\n 17: \"Control\",\n 18: \"Alt\",\n 20: \"CapsLock\",\n 27: \"Escape\",\n 32: \" \",\n 33: \"PageUp\",\n 34: \"PageDown\",\n 35: \"End\",\n 36: \"Home\",\n 37: \"ArrowLeft\",\n 38: \"ArrowUp\",\n 39: \"ArrowRight\",\n 40: \"ArrowDown\",\n 44: \"PrintScreen\",\n 45: \"Insert\",\n 46: \"Delete\",\n 59: \";\",\n 61: \"=\",\n 91: \"Meta\",\n 92: \"Meta\",\n 106: \"*\",\n 107: \"+\",\n 108: \",\",\n 109: \"-\",\n 110: \".\",\n 111: \"/\",\n 144: \"NumLock\",\n 145: \"ScrollLock\",\n 160: \"Shift\",\n 161: \"Shift\",\n 162: \"Control\",\n 163: \"Control\",\n 164: \"Alt\",\n 165: \"Alt\",\n 173: \"-\",\n 186: \";\",\n 187: \"=\",\n 188: \",\",\n 189: \"-\",\n 190: \".\",\n 191: \"/\",\n 192: \"`\",\n 219: \"[\",\n 220: \"\\\\\",\n 221: \"]\",\n 222: \"'\",\n 229: \"q\"\n}\n\nexport var shift = {\n 48: \")\",\n 49: \"!\",\n 50: \"@\",\n 51: \"#\",\n 52: \"$\",\n 53: \"%\",\n 54: \"^\",\n 55: \"&\",\n 56: \"*\",\n 57: \"(\",\n 59: \":\",\n 61: \"+\",\n 173: \"_\",\n 186: \":\",\n 187: \"+\",\n 188: \"<\",\n 189: \"_\",\n 190: \">\",\n 191: \"?\",\n 192: \"~\",\n 219: \"{\",\n 220: \"|\",\n 221: \"}\",\n 222: \"\\\"\",\n 229: \"Q\"\n}\n\nvar chrome = typeof navigator != \"undefined\" && /Chrome\\/(\\d+)/.exec(navigator.userAgent)\nvar safari = typeof navigator != \"undefined\" && /Apple Computer/.test(navigator.vendor)\nvar gecko = typeof navigator != \"undefined\" && /Gecko\\/\\d+/.test(navigator.userAgent)\nvar mac = typeof navigator != \"undefined\" && /Mac/.test(navigator.platform)\nvar ie = typeof navigator != \"undefined\" && /MSIE \\d|Trident\\/(?:[7-9]|\\d{2,})\\..*rv:(\\d+)/.exec(navigator.userAgent)\nvar brokenModifierNames = chrome && (mac || +chrome[1] < 57) || gecko && mac\n\n// Fill in the digit keys\nfor (var i = 0; i < 10; i++) base[48 + i] = base[96 + i] = String(i)\n\n// The function keys\nfor (var i = 1; i <= 24; i++) base[i + 111] = \"F\" + i\n\n// And the alphabetic keys\nfor (var i = 65; i <= 90; i++) {\n base[i] = String.fromCharCode(i + 32)\n shift[i] = String.fromCharCode(i)\n}\n\n// For each code that doesn't have a shift-equivalent, copy the base name\nfor (var code in base) if (!shift.hasOwnProperty(code)) shift[code] = base[code]\n\nexport function keyName(event) {\n // Don't trust event.key in Chrome when there are modifiers until\n // they fix https://bugs.chromium.org/p/chromium/issues/detail?id=633838\n var ignoreKey = brokenModifierNames && (event.ctrlKey || event.altKey || event.metaKey) ||\n (safari || ie) && event.shiftKey && event.key && event.key.length == 1\n var name = (!ignoreKey && event.key) ||\n (event.shiftKey ? shift : base)[event.keyCode] ||\n event.key || \"Unidentified\"\n // Edge sometimes produces wrong names (Issue #3)\n if (name == \"Esc\") name = \"Escape\"\n if (name == \"Del\") name = \"Delete\"\n // https://developer.microsoft.com/en-us/microsoft-edge/platform/issues/8860571/\n if (name == \"Left\") name = \"ArrowLeft\"\n if (name == \"Up\") name = \"ArrowUp\"\n if (name == \"Right\") name = \"ArrowRight\"\n if (name == \"Down\") name = \"ArrowDown\"\n return name\n}\n","import { keyName, base } from 'w3c-keyname';\nimport { Plugin } from 'prosemirror-state';\n\n// declare global: navigator\n\nvar mac = typeof navigator != \"undefined\" ? /Mac/.test(navigator.platform) : false;\n\nfunction normalizeKeyName(name) {\n var parts = name.split(/-(?!$)/), result = parts[parts.length - 1];\n if (result == \"Space\") { result = \" \"; }\n var alt, ctrl, shift, meta;\n for (var i = 0; i < parts.length - 1; i++) {\n var mod = parts[i];\n if (/^(cmd|meta|m)$/i.test(mod)) { meta = true; }\n else if (/^a(lt)?$/i.test(mod)) { alt = true; }\n else if (/^(c|ctrl|control)$/i.test(mod)) { ctrl = true; }\n else if (/^s(hift)?$/i.test(mod)) { shift = true; }\n else if (/^mod$/i.test(mod)) { if (mac) { meta = true; } else { ctrl = true; } }\n else { throw new Error(\"Unrecognized modifier name: \" + mod) }\n }\n if (alt) { result = \"Alt-\" + result; }\n if (ctrl) { result = \"Ctrl-\" + result; }\n if (meta) { result = \"Meta-\" + result; }\n if (shift) { result = \"Shift-\" + result; }\n return result\n}\n\nfunction normalize(map) {\n var copy = Object.create(null);\n for (var prop in map) { copy[normalizeKeyName(prop)] = map[prop]; }\n return copy\n}\n\nfunction modifiers(name, event, shift) {\n if (event.altKey) { name = \"Alt-\" + name; }\n if (event.ctrlKey) { name = \"Ctrl-\" + name; }\n if (event.metaKey) { name = \"Meta-\" + name; }\n if (shift !== false && event.shiftKey) { name = \"Shift-\" + name; }\n return name\n}\n\n// :: (Object) → Plugin\n// Create a keymap plugin for the given set of bindings.\n//\n// Bindings should map key names to [command](#commands)-style\n// functions, which will be called with `(EditorState, dispatch,\n// EditorView)` arguments, and should return true when they've handled\n// the key. Note that the view argument isn't part of the command\n// protocol, but can be used as an escape hatch if a binding needs to\n// directly interact with the UI.\n//\n// Key names may be strings like `\"Shift-Ctrl-Enter\"`—a key\n// identifier prefixed with zero or more modifiers. Key identifiers\n// are based on the strings that can appear in\n// [`KeyEvent.key`](https://developer.mozilla.org/en-US/docs/Web/API/KeyboardEvent/key).\n// Use lowercase letters to refer to letter keys (or uppercase letters\n// if you want shift to be held). You may use `\"Space\"` as an alias\n// for the `\" \"` name.\n//\n// Modifiers can be given in any order. `Shift-` (or `s-`), `Alt-` (or\n// `a-`), `Ctrl-` (or `c-` or `Control-`) and `Cmd-` (or `m-` or\n// `Meta-`) are recognized. For characters that are created by holding\n// shift, the `Shift-` prefix is implied, and should not be added\n// explicitly.\n//\n// You can use `Mod-` as a shorthand for `Cmd-` on Mac and `Ctrl-` on\n// other platforms.\n//\n// You can add multiple keymap plugins to an editor. The order in\n// which they appear determines their precedence (the ones early in\n// the array get to dispatch first).\nfunction keymap(bindings) {\n return new Plugin({props: {handleKeyDown: keydownHandler(bindings)}})\n}\n\n// :: (Object) → (view: EditorView, event: dom.Event) → bool\n// Given a set of bindings (using the same format as\n// [`keymap`](#keymap.keymap), return a [keydown\n// handler](#view.EditorProps.handleKeyDown) that handles them.\nfunction keydownHandler(bindings) {\n var map = normalize(bindings);\n return function(view, event) {\n var name = keyName(event), isChar = name.length == 1 && name != \" \", baseName;\n var direct = map[modifiers(name, event, !isChar)];\n if (direct && direct(view.state, view.dispatch, view)) { return true }\n if (isChar && (event.shiftKey || event.altKey || event.metaKey || name.charCodeAt(0) > 127) &&\n (baseName = base[event.keyCode]) && baseName != name) {\n // Try falling back to the keyCode when there's a modifier\n // active or the character produced isn't ASCII, and our table\n // produces a different name from the the keyCode. See #668,\n // #1060\n var fromCode = map[modifiers(baseName, event, true)];\n if (fromCode && fromCode(view.state, view.dispatch, view)) { return true }\n } else if (isChar && event.shiftKey) {\n // Otherwise, if shift is active, also try the binding with the\n // Shift- prefix enabled. See #997\n var withShift = map[modifiers(name, event, true)];\n if (withShift && withShift(view.state, view.dispatch, view)) { return true }\n }\n return false\n }\n}\n\nexport { keydownHandler, keymap };\n//# sourceMappingURL=index.es.js.map\n","/*!\n * escape-html\n * Copyright(c) 2012-2013 TJ Holowaychuk\n * Copyright(c) 2015 Andreas Lubbe\n * Copyright(c) 2015 Tiancheng \"Timothy\" Gu\n * MIT Licensed\n */\n\n'use strict';\n\n/**\n * Module variables.\n * @private\n */\n\nvar matchHtmlRegExp = /[\"'&<>]/;\n\n/**\n * Module exports.\n * @public\n */\n\nmodule.exports = escapeHtml;\n\n/**\n * Escape special characters in the given string of html.\n *\n * @param {string} string The string to escape for inserting into HTML\n * @return {string}\n * @public\n */\n\nfunction escapeHtml(string) {\n var str = '' + string;\n var match = matchHtmlRegExp.exec(str);\n\n if (!match) {\n return str;\n }\n\n var escape;\n var html = '';\n var index = 0;\n var lastIndex = 0;\n\n for (index = match.index; index < str.length; index++) {\n switch (str.charCodeAt(index)) {\n case 34: // \"\n escape = '&quot;';\n break;\n case 38: // &\n escape = '&amp;';\n break;\n case 39: // '\n escape = '&#39;';\n break;\n case 60: // <\n escape = '&lt;';\n break;\n case 62: // >\n escape = '&gt;';\n break;\n default:\n continue;\n }\n\n if (lastIndex !== index) {\n html += str.substring(lastIndex, index);\n }\n\n lastIndex = index + 1;\n html += escape;\n }\n\n return lastIndex !== index\n ? html + str.substring(lastIndex, index)\n : html;\n}\n","module.exports = function(module) {\n\tif (!module.webpackPolyfill) {\n\t\tmodule.deprecate = function() {};\n\t\tmodule.paths = [];\n\t\t// module.parent = undefined by default\n\t\tif (!module.children) module.children = [];\n\t\tObject.defineProperty(module, \"loaded\", {\n\t\t\tenumerable: true,\n\t\t\tget: function() {\n\t\t\t\treturn module.l;\n\t\t\t}\n\t\t});\n\t\tObject.defineProperty(module, \"id\", {\n\t\t\tenumerable: true,\n\t\t\tget: function() {\n\t\t\t\treturn module.i;\n\t\t\t}\n\t\t});\n\t\tmodule.webpackPolyfill = 1;\n\t}\n\treturn module;\n};\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var af = moment.defineLocale('af', {\n months : 'Januarie_Februarie_Maart_April_Mei_Junie_Julie_Augustus_September_Oktober_November_Desember'.split('_'),\n monthsShort : 'Jan_Feb_Mrt_Apr_Mei_Jun_Jul_Aug_Sep_Okt_Nov_Des'.split('_'),\n weekdays : 'Sondag_Maandag_Dinsdag_Woensdag_Donderdag_Vrydag_Saterdag'.split('_'),\n weekdaysShort : 'Son_Maa_Din_Woe_Don_Vry_Sat'.split('_'),\n weekdaysMin : 'So_Ma_Di_Wo_Do_Vr_Sa'.split('_'),\n meridiemParse: /vm|nm/i,\n isPM : function (input) {\n return /^nm$/i.test(input);\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 12) {\n return isLower ? 'vm' : 'VM';\n } else {\n return isLower ? 'nm' : 'NM';\n }\n },\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Vandag om] LT',\n nextDay : '[Môre om] LT',\n nextWeek : 'dddd [om] LT',\n lastDay : '[Gister om] LT',\n lastWeek : '[Laas] dddd [om] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'oor %s',\n past : '%s gelede',\n s : '\\'n paar sekondes',\n ss : '%d sekondes',\n m : '\\'n minuut',\n mm : '%d minute',\n h : '\\'n uur',\n hh : '%d ure',\n d : '\\'n dag',\n dd : '%d dae',\n M : '\\'n maand',\n MM : '%d maande',\n y : '\\'n jaar',\n yy : '%d jaar'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(ste|de)/,\n ordinal : function (number) {\n return number + ((number === 1 || number === 8 || number >= 20) ? 'ste' : 'de'); // Thanks to Joris Röling : https://github.com/jjupiter\n },\n week : {\n dow : 1, // Maandag is die eerste dag van die week.\n doy : 4 // Die week wat die 4de Januarie bevat is die eerste week van die jaar.\n }\n });\n\n return af;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '١',\n '2': '٢',\n '3': '٣',\n '4': '٤',\n '5': '٥',\n '6': '٦',\n '7': '٧',\n '8': '٨',\n '9': '٩',\n '0': '٠'\n }, numberMap = {\n '١': '1',\n '٢': '2',\n '٣': '3',\n '٤': '4',\n '٥': '5',\n '٦': '6',\n '٧': '7',\n '٨': '8',\n '٩': '9',\n '٠': '0'\n }, pluralForm = function (n) {\n return n === 0 ? 0 : n === 1 ? 1 : n === 2 ? 2 : n % 100 >= 3 && n % 100 <= 10 ? 3 : n % 100 >= 11 ? 4 : 5;\n }, plurals = {\n s : ['أقل من ثانية', 'ثانية واحدة', ['ثانيتان', 'ثانيتين'], '%d ثوان', '%d ثانية', '%d ثانية'],\n m : ['أقل من دقيقة', 'دقيقة واحدة', ['دقيقتان', 'دقيقتين'], '%d دقائق', '%d دقيقة', '%d دقيقة'],\n h : ['أقل من ساعة', 'ساعة واحدة', ['ساعتان', 'ساعتين'], '%d ساعات', '%d ساعة', '%d ساعة'],\n d : ['أقل من يوم', 'يوم واحد', ['يومان', 'يومين'], '%d أيام', '%d يومًا', '%d يوم'],\n M : ['أقل من شهر', 'شهر واحد', ['شهران', 'شهرين'], '%d أشهر', '%d شهرا', '%d شهر'],\n y : ['أقل من عام', 'عام واحد', ['عامان', 'عامين'], '%d أعوام', '%d عامًا', '%d عام']\n }, pluralize = function (u) {\n return function (number, withoutSuffix, string, isFuture) {\n var f = pluralForm(number),\n str = plurals[u][pluralForm(number)];\n if (f === 2) {\n str = str[withoutSuffix ? 0 : 1];\n }\n return str.replace(/%d/i, number);\n };\n }, months = [\n 'يناير',\n 'فبراير',\n 'مارس',\n 'أبريل',\n 'مايو',\n 'يونيو',\n 'يوليو',\n 'أغسطس',\n 'سبتمبر',\n 'أكتوبر',\n 'نوفمبر',\n 'ديسمبر'\n ];\n\n var ar = moment.defineLocale('ar', {\n months : months,\n monthsShort : months,\n weekdays : 'الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'D/\\u200FM/\\u200FYYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n meridiemParse: /ص|م/,\n isPM : function (input) {\n return 'م' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ص';\n } else {\n return 'م';\n }\n },\n calendar : {\n sameDay: '[اليوم عند الساعة] LT',\n nextDay: '[غدًا عند الساعة] LT',\n nextWeek: 'dddd [عند الساعة] LT',\n lastDay: '[أمس عند الساعة] LT',\n lastWeek: 'dddd [عند الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'بعد %s',\n past : 'منذ %s',\n s : pluralize('s'),\n ss : pluralize('s'),\n m : pluralize('m'),\n mm : pluralize('m'),\n h : pluralize('h'),\n hh : pluralize('h'),\n d : pluralize('d'),\n dd : pluralize('d'),\n M : pluralize('M'),\n MM : pluralize('M'),\n y : pluralize('y'),\n yy : pluralize('y')\n },\n preparse: function (string) {\n return string.replace(/[١٢٣٤٥٦٧٨٩٠]/g, function (match) {\n return numberMap[match];\n }).replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n }).replace(/,/g, '،');\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return ar;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var arDz = moment.defineLocale('ar-dz', {\n months : 'جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n monthsShort : 'جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n weekdays : 'الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'احد_اثنين_ثلاثاء_اربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'أح_إث_ثلا_أر_خم_جم_سب'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[اليوم على الساعة] LT',\n nextDay: '[غدا على الساعة] LT',\n nextWeek: 'dddd [على الساعة] LT',\n lastDay: '[أمس على الساعة] LT',\n lastWeek: 'dddd [على الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'في %s',\n past : 'منذ %s',\n s : 'ثوان',\n ss : '%d ثانية',\n m : 'دقيقة',\n mm : '%d دقائق',\n h : 'ساعة',\n hh : '%d ساعات',\n d : 'يوم',\n dd : '%d أيام',\n M : 'شهر',\n MM : '%d أشهر',\n y : 'سنة',\n yy : '%d سنوات'\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return arDz;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var arKw = moment.defineLocale('ar-kw', {\n months : 'يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر'.split('_'),\n monthsShort : 'يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر'.split('_'),\n weekdays : 'الأحد_الإتنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'احد_اتنين_ثلاثاء_اربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[اليوم على الساعة] LT',\n nextDay: '[غدا على الساعة] LT',\n nextWeek: 'dddd [على الساعة] LT',\n lastDay: '[أمس على الساعة] LT',\n lastWeek: 'dddd [على الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'في %s',\n past : 'منذ %s',\n s : 'ثوان',\n ss : '%d ثانية',\n m : 'دقيقة',\n mm : '%d دقائق',\n h : 'ساعة',\n hh : '%d ساعات',\n d : 'يوم',\n dd : '%d أيام',\n M : 'شهر',\n MM : '%d أشهر',\n y : 'سنة',\n yy : '%d سنوات'\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return arKw;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '1',\n '2': '2',\n '3': '3',\n '4': '4',\n '5': '5',\n '6': '6',\n '7': '7',\n '8': '8',\n '9': '9',\n '0': '0'\n }, pluralForm = function (n) {\n return n === 0 ? 0 : n === 1 ? 1 : n === 2 ? 2 : n % 100 >= 3 && n % 100 <= 10 ? 3 : n % 100 >= 11 ? 4 : 5;\n }, plurals = {\n s : ['أقل من ثانية', 'ثانية واحدة', ['ثانيتان', 'ثانيتين'], '%d ثوان', '%d ثانية', '%d ثانية'],\n m : ['أقل من دقيقة', 'دقيقة واحدة', ['دقيقتان', 'دقيقتين'], '%d دقائق', '%d دقيقة', '%d دقيقة'],\n h : ['أقل من ساعة', 'ساعة واحدة', ['ساعتان', 'ساعتين'], '%d ساعات', '%d ساعة', '%d ساعة'],\n d : ['أقل من يوم', 'يوم واحد', ['يومان', 'يومين'], '%d أيام', '%d يومًا', '%d يوم'],\n M : ['أقل من شهر', 'شهر واحد', ['شهران', 'شهرين'], '%d أشهر', '%d شهرا', '%d شهر'],\n y : ['أقل من عام', 'عام واحد', ['عامان', 'عامين'], '%d أعوام', '%d عامًا', '%d عام']\n }, pluralize = function (u) {\n return function (number, withoutSuffix, string, isFuture) {\n var f = pluralForm(number),\n str = plurals[u][pluralForm(number)];\n if (f === 2) {\n str = str[withoutSuffix ? 0 : 1];\n }\n return str.replace(/%d/i, number);\n };\n }, months = [\n 'يناير',\n 'فبراير',\n 'مارس',\n 'أبريل',\n 'مايو',\n 'يونيو',\n 'يوليو',\n 'أغسطس',\n 'سبتمبر',\n 'أكتوبر',\n 'نوفمبر',\n 'ديسمبر'\n ];\n\n var arLy = moment.defineLocale('ar-ly', {\n months : months,\n monthsShort : months,\n weekdays : 'الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'D/\\u200FM/\\u200FYYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n meridiemParse: /ص|م/,\n isPM : function (input) {\n return 'م' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ص';\n } else {\n return 'م';\n }\n },\n calendar : {\n sameDay: '[اليوم عند الساعة] LT',\n nextDay: '[غدًا عند الساعة] LT',\n nextWeek: 'dddd [عند الساعة] LT',\n lastDay: '[أمس عند الساعة] LT',\n lastWeek: 'dddd [عند الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'بعد %s',\n past : 'منذ %s',\n s : pluralize('s'),\n ss : pluralize('s'),\n m : pluralize('m'),\n mm : pluralize('m'),\n h : pluralize('h'),\n hh : pluralize('h'),\n d : pluralize('d'),\n dd : pluralize('d'),\n M : pluralize('M'),\n MM : pluralize('M'),\n y : pluralize('y'),\n yy : pluralize('y')\n },\n preparse: function (string) {\n return string.replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n }).replace(/,/g, '،');\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return arLy;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var arMa = moment.defineLocale('ar-ma', {\n months : 'يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر'.split('_'),\n monthsShort : 'يناير_فبراير_مارس_أبريل_ماي_يونيو_يوليوز_غشت_شتنبر_أكتوبر_نونبر_دجنبر'.split('_'),\n weekdays : 'الأحد_الإتنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'احد_اتنين_ثلاثاء_اربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[اليوم على الساعة] LT',\n nextDay: '[غدا على الساعة] LT',\n nextWeek: 'dddd [على الساعة] LT',\n lastDay: '[أمس على الساعة] LT',\n lastWeek: 'dddd [على الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'في %s',\n past : 'منذ %s',\n s : 'ثوان',\n ss : '%d ثانية',\n m : 'دقيقة',\n mm : '%d دقائق',\n h : 'ساعة',\n hh : '%d ساعات',\n d : 'يوم',\n dd : '%d أيام',\n M : 'شهر',\n MM : '%d أشهر',\n y : 'سنة',\n yy : '%d سنوات'\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return arMa;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '١',\n '2': '٢',\n '3': '٣',\n '4': '٤',\n '5': '٥',\n '6': '٦',\n '7': '٧',\n '8': '٨',\n '9': '٩',\n '0': '٠'\n }, numberMap = {\n '١': '1',\n '٢': '2',\n '٣': '3',\n '٤': '4',\n '٥': '5',\n '٦': '6',\n '٧': '7',\n '٨': '8',\n '٩': '9',\n '٠': '0'\n };\n\n var arSa = moment.defineLocale('ar-sa', {\n months : 'يناير_فبراير_مارس_أبريل_مايو_يونيو_يوليو_أغسطس_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n monthsShort : 'يناير_فبراير_مارس_أبريل_مايو_يونيو_يوليو_أغسطس_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n weekdays : 'الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort : 'أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin : 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n meridiemParse: /ص|م/,\n isPM : function (input) {\n return 'م' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ص';\n } else {\n return 'م';\n }\n },\n calendar : {\n sameDay: '[اليوم على الساعة] LT',\n nextDay: '[غدا على الساعة] LT',\n nextWeek: 'dddd [على الساعة] LT',\n lastDay: '[أمس على الساعة] LT',\n lastWeek: 'dddd [على الساعة] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'في %s',\n past : 'منذ %s',\n s : 'ثوان',\n ss : '%d ثانية',\n m : 'دقيقة',\n mm : '%d دقائق',\n h : 'ساعة',\n hh : '%d ساعات',\n d : 'يوم',\n dd : '%d أيام',\n M : 'شهر',\n MM : '%d أشهر',\n y : 'سنة',\n yy : '%d سنوات'\n },\n preparse: function (string) {\n return string.replace(/[١٢٣٤٥٦٧٨٩٠]/g, function (match) {\n return numberMap[match];\n }).replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n }).replace(/,/g, '،');\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return arSa;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var arTn = moment.defineLocale('ar-tn', {\n months: 'جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n monthsShort: 'جانفي_فيفري_مارس_أفريل_ماي_جوان_جويلية_أوت_سبتمبر_أكتوبر_نوفمبر_ديسمبر'.split('_'),\n weekdays: 'الأحد_الإثنين_الثلاثاء_الأربعاء_الخميس_الجمعة_السبت'.split('_'),\n weekdaysShort: 'أحد_إثنين_ثلاثاء_أربعاء_خميس_جمعة_سبت'.split('_'),\n weekdaysMin: 'ح_ن_ث_ر_خ_ج_س'.split('_'),\n weekdaysParseExact : true,\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY HH:mm',\n LLLL: 'dddd D MMMM YYYY HH:mm'\n },\n calendar: {\n sameDay: '[اليوم على الساعة] LT',\n nextDay: '[غدا على الساعة] LT',\n nextWeek: 'dddd [على الساعة] LT',\n lastDay: '[أمس على الساعة] LT',\n lastWeek: 'dddd [على الساعة] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: 'في %s',\n past: 'منذ %s',\n s: 'ثوان',\n ss : '%d ثانية',\n m: 'دقيقة',\n mm: '%d دقائق',\n h: 'ساعة',\n hh: '%d ساعات',\n d: 'يوم',\n dd: '%d أيام',\n M: 'شهر',\n MM: '%d أشهر',\n y: 'سنة',\n yy: '%d سنوات'\n },\n week: {\n dow: 1, // Monday is the first day of the week.\n doy: 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return arTn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var suffixes = {\n 1: '-inci',\n 5: '-inci',\n 8: '-inci',\n 70: '-inci',\n 80: '-inci',\n 2: '-nci',\n 7: '-nci',\n 20: '-nci',\n 50: '-nci',\n 3: '-üncü',\n 4: '-üncü',\n 100: '-üncü',\n 6: '-ncı',\n 9: '-uncu',\n 10: '-uncu',\n 30: '-uncu',\n 60: '-ıncı',\n 90: '-ıncı'\n };\n\n var az = moment.defineLocale('az', {\n months : 'yanvar_fevral_mart_aprel_may_iyun_iyul_avqust_sentyabr_oktyabr_noyabr_dekabr'.split('_'),\n monthsShort : 'yan_fev_mar_apr_may_iyn_iyl_avq_sen_okt_noy_dek'.split('_'),\n weekdays : 'Bazar_Bazar ertəsi_Çərşənbə axşamı_Çərşənbə_Cümə axşamı_Cümə_Şənbə'.split('_'),\n weekdaysShort : 'Baz_BzE_ÇAx_Çər_CAx_Cüm_Şən'.split('_'),\n weekdaysMin : 'Bz_BE_ÇA_Çə_CA_Cü_Şə'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[bugün saat] LT',\n nextDay : '[sabah saat] LT',\n nextWeek : '[gələn həftə] dddd [saat] LT',\n lastDay : '[dünən] LT',\n lastWeek : '[keçən həftə] dddd [saat] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s sonra',\n past : '%s əvvəl',\n s : 'birneçə saniyə',\n ss : '%d saniyə',\n m : 'bir dəqiqə',\n mm : '%d dəqiqə',\n h : 'bir saat',\n hh : '%d saat',\n d : 'bir gün',\n dd : '%d gün',\n M : 'bir ay',\n MM : '%d ay',\n y : 'bir il',\n yy : '%d il'\n },\n meridiemParse: /gecə|səhər|gündüz|axşam/,\n isPM : function (input) {\n return /^(gündüz|axşam)$/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'gecə';\n } else if (hour < 12) {\n return 'səhər';\n } else if (hour < 17) {\n return 'gündüz';\n } else {\n return 'axşam';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(ıncı|inci|nci|üncü|ncı|uncu)/,\n ordinal : function (number) {\n if (number === 0) { // special case for zero\n return number + '-ıncı';\n }\n var a = number % 10,\n b = number % 100 - a,\n c = number >= 100 ? 100 : null;\n return number + (suffixes[a] || suffixes[b] || suffixes[c]);\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return az;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function plural(word, num) {\n var forms = word.split('_');\n return num % 10 === 1 && num % 100 !== 11 ? forms[0] : (num % 10 >= 2 && num % 10 <= 4 && (num % 100 < 10 || num % 100 >= 20) ? forms[1] : forms[2]);\n }\n function relativeTimeWithPlural(number, withoutSuffix, key) {\n var format = {\n 'ss': withoutSuffix ? 'секунда_секунды_секунд' : 'секунду_секунды_секунд',\n 'mm': withoutSuffix ? 'хвіліна_хвіліны_хвілін' : 'хвіліну_хвіліны_хвілін',\n 'hh': withoutSuffix ? 'гадзіна_гадзіны_гадзін' : 'гадзіну_гадзіны_гадзін',\n 'dd': 'дзень_дні_дзён',\n 'MM': 'месяц_месяцы_месяцаў',\n 'yy': 'год_гады_гадоў'\n };\n if (key === 'm') {\n return withoutSuffix ? 'хвіліна' : 'хвіліну';\n }\n else if (key === 'h') {\n return withoutSuffix ? 'гадзіна' : 'гадзіну';\n }\n else {\n return number + ' ' + plural(format[key], +number);\n }\n }\n\n var be = moment.defineLocale('be', {\n months : {\n format: 'студзеня_лютага_сакавіка_красавіка_траўня_чэрвеня_ліпеня_жніўня_верасня_кастрычніка_лістапада_снежня'.split('_'),\n standalone: 'студзень_люты_сакавік_красавік_травень_чэрвень_ліпень_жнівень_верасень_кастрычнік_лістапад_снежань'.split('_')\n },\n monthsShort : 'студ_лют_сак_крас_трав_чэрв_ліп_жнів_вер_каст_ліст_снеж'.split('_'),\n weekdays : {\n format: 'нядзелю_панядзелак_аўторак_сераду_чацвер_пятніцу_суботу'.split('_'),\n standalone: 'нядзеля_панядзелак_аўторак_серада_чацвер_пятніца_субота'.split('_'),\n isFormat: /\\[ ?[Ууў] ?(?:мінулую|наступную)? ?\\] ?dddd/\n },\n weekdaysShort : 'нд_пн_ат_ср_чц_пт_сб'.split('_'),\n weekdaysMin : 'нд_пн_ат_ср_чц_пт_сб'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY г.',\n LLL : 'D MMMM YYYY г., HH:mm',\n LLLL : 'dddd, D MMMM YYYY г., HH:mm'\n },\n calendar : {\n sameDay: '[Сёння ў] LT',\n nextDay: '[Заўтра ў] LT',\n lastDay: '[Учора ў] LT',\n nextWeek: function () {\n return '[У] dddd [ў] LT';\n },\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n case 3:\n case 5:\n case 6:\n return '[У мінулую] dddd [ў] LT';\n case 1:\n case 2:\n case 4:\n return '[У мінулы] dddd [ў] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'праз %s',\n past : '%s таму',\n s : 'некалькі секунд',\n m : relativeTimeWithPlural,\n mm : relativeTimeWithPlural,\n h : relativeTimeWithPlural,\n hh : relativeTimeWithPlural,\n d : 'дзень',\n dd : relativeTimeWithPlural,\n M : 'месяц',\n MM : relativeTimeWithPlural,\n y : 'год',\n yy : relativeTimeWithPlural\n },\n meridiemParse: /ночы|раніцы|дня|вечара/,\n isPM : function (input) {\n return /^(дня|вечара)$/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'ночы';\n } else if (hour < 12) {\n return 'раніцы';\n } else if (hour < 17) {\n return 'дня';\n } else {\n return 'вечара';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(і|ы|га)/,\n ordinal: function (number, period) {\n switch (period) {\n case 'M':\n case 'd':\n case 'DDD':\n case 'w':\n case 'W':\n return (number % 10 === 2 || number % 10 === 3) && (number % 100 !== 12 && number % 100 !== 13) ? number + '-і' : number + '-ы';\n case 'D':\n return number + '-га';\n default:\n return number;\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return be;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var bg = moment.defineLocale('bg', {\n months : 'януари_февруари_март_април_май_юни_юли_август_септември_октомври_ноември_декември'.split('_'),\n monthsShort : 'янр_фев_мар_апр_май_юни_юли_авг_сеп_окт_ное_дек'.split('_'),\n weekdays : 'неделя_понеделник_вторник_сряда_четвъртък_петък_събота'.split('_'),\n weekdaysShort : 'нед_пон_вто_сря_чет_пет_съб'.split('_'),\n weekdaysMin : 'нд_пн_вт_ср_чт_пт_сб'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'D.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY H:mm',\n LLLL : 'dddd, D MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[Днес в] LT',\n nextDay : '[Утре в] LT',\n nextWeek : 'dddd [в] LT',\n lastDay : '[Вчера в] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 0:\n case 3:\n case 6:\n return '[В изминалата] dddd [в] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[В изминалия] dddd [в] LT';\n }\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'след %s',\n past : 'преди %s',\n s : 'няколко секунди',\n ss : '%d секунди',\n m : 'минута',\n mm : '%d минути',\n h : 'час',\n hh : '%d часа',\n d : 'ден',\n dd : '%d дни',\n M : 'месец',\n MM : '%d месеца',\n y : 'година',\n yy : '%d години'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(ев|ен|ти|ви|ри|ми)/,\n ordinal : function (number) {\n var lastDigit = number % 10,\n last2Digits = number % 100;\n if (number === 0) {\n return number + '-ев';\n } else if (last2Digits === 0) {\n return number + '-ен';\n } else if (last2Digits > 10 && last2Digits < 20) {\n return number + '-ти';\n } else if (lastDigit === 1) {\n return number + '-ви';\n } else if (lastDigit === 2) {\n return number + '-ри';\n } else if (lastDigit === 7 || lastDigit === 8) {\n return number + '-ми';\n } else {\n return number + '-ти';\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return bg;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var bm = moment.defineLocale('bm', {\n months : 'Zanwuyekalo_Fewuruyekalo_Marisikalo_Awirilikalo_Mɛkalo_Zuwɛnkalo_Zuluyekalo_Utikalo_Sɛtanburukalo_ɔkutɔburukalo_Nowanburukalo_Desanburukalo'.split('_'),\n monthsShort : 'Zan_Few_Mar_Awi_Mɛ_Zuw_Zul_Uti_Sɛt_ɔku_Now_Des'.split('_'),\n weekdays : 'Kari_Ntɛnɛn_Tarata_Araba_Alamisa_Juma_Sibiri'.split('_'),\n weekdaysShort : 'Kar_Ntɛ_Tar_Ara_Ala_Jum_Sib'.split('_'),\n weekdaysMin : 'Ka_Nt_Ta_Ar_Al_Ju_Si'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'MMMM [tile] D [san] YYYY',\n LLL : 'MMMM [tile] D [san] YYYY [lɛrɛ] HH:mm',\n LLLL : 'dddd MMMM [tile] D [san] YYYY [lɛrɛ] HH:mm'\n },\n calendar : {\n sameDay : '[Bi lɛrɛ] LT',\n nextDay : '[Sini lɛrɛ] LT',\n nextWeek : 'dddd [don lɛrɛ] LT',\n lastDay : '[Kunu lɛrɛ] LT',\n lastWeek : 'dddd [tɛmɛnen lɛrɛ] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s kɔnɔ',\n past : 'a bɛ %s bɔ',\n s : 'sanga dama dama',\n ss : 'sekondi %d',\n m : 'miniti kelen',\n mm : 'miniti %d',\n h : 'lɛrɛ kelen',\n hh : 'lɛrɛ %d',\n d : 'tile kelen',\n dd : 'tile %d',\n M : 'kalo kelen',\n MM : 'kalo %d',\n y : 'san kelen',\n yy : 'san %d'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return bm;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '১',\n '2': '২',\n '3': '৩',\n '4': '৪',\n '5': '৫',\n '6': '৬',\n '7': '৭',\n '8': '৮',\n '9': '৯',\n '0': '০'\n },\n numberMap = {\n '১': '1',\n '২': '2',\n '৩': '3',\n '৪': '4',\n '৫': '5',\n '৬': '6',\n '৭': '7',\n '৮': '8',\n '৯': '9',\n '০': '0'\n };\n\n var bn = moment.defineLocale('bn', {\n months : 'জানুয়ারী_ফেব্রুয়ারি_মার্চ_এপ্রিল_মে_জুন_জুলাই_আগস্ট_সেপ্টেম্বর_অক্টোবর_নভেম্বর_ডিসেম্বর'.split('_'),\n monthsShort : 'জানু_ফেব_মার্চ_এপ্র_মে_জুন_জুল_আগ_সেপ্ট_অক্টো_নভে_ডিসে'.split('_'),\n weekdays : 'রবিবার_সোমবার_মঙ্গলবার_বুধবার_বৃহস্পতিবার_শুক্রবার_শনিবার'.split('_'),\n weekdaysShort : 'রবি_সোম_মঙ্গল_বুধ_বৃহস্পতি_শুক্র_শনি'.split('_'),\n weekdaysMin : 'রবি_সোম_মঙ্গ_বুধ_বৃহঃ_শুক্র_শনি'.split('_'),\n longDateFormat : {\n LT : 'A h:mm সময়',\n LTS : 'A h:mm:ss সময়',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm সময়',\n LLLL : 'dddd, D MMMM YYYY, A h:mm সময়'\n },\n calendar : {\n sameDay : '[আজ] LT',\n nextDay : '[আগামীকাল] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[গতকাল] LT',\n lastWeek : '[গত] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s পরে',\n past : '%s আগে',\n s : 'কয়েক সেকেন্ড',\n ss : '%d সেকেন্ড',\n m : 'এক মিনিট',\n mm : '%d মিনিট',\n h : 'এক ঘন্টা',\n hh : '%d ঘন্টা',\n d : 'এক দিন',\n dd : '%d দিন',\n M : 'এক মাস',\n MM : '%d মাস',\n y : 'এক বছর',\n yy : '%d বছর'\n },\n preparse: function (string) {\n return string.replace(/[১২৩৪৫৬৭৮৯০]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n meridiemParse: /রাত|সকাল|দুপুর|বিকাল|রাত/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if ((meridiem === 'রাত' && hour >= 4) ||\n (meridiem === 'দুপুর' && hour < 5) ||\n meridiem === 'বিকাল') {\n return hour + 12;\n } else {\n return hour;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'রাত';\n } else if (hour < 10) {\n return 'সকাল';\n } else if (hour < 17) {\n return 'দুপুর';\n } else if (hour < 20) {\n return 'বিকাল';\n } else {\n return 'রাত';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return bn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '༡',\n '2': '༢',\n '3': '༣',\n '4': '༤',\n '5': '༥',\n '6': '༦',\n '7': '༧',\n '8': '༨',\n '9': '༩',\n '0': '༠'\n },\n numberMap = {\n '༡': '1',\n '༢': '2',\n '༣': '3',\n '༤': '4',\n '༥': '5',\n '༦': '6',\n '༧': '7',\n '༨': '8',\n '༩': '9',\n '༠': '0'\n };\n\n var bo = moment.defineLocale('bo', {\n months : 'ཟླ་བ་དང་པོ_ཟླ་བ་གཉིས་པ_ཟླ་བ་གསུམ་པ_ཟླ་བ་བཞི་པ_ཟླ་བ་ལྔ་པ_ཟླ་བ་དྲུག་པ_ཟླ་བ་བདུན་པ_ཟླ་བ་བརྒྱད་པ_ཟླ་བ་དགུ་པ_ཟླ་བ་བཅུ་པ_ཟླ་བ་བཅུ་གཅིག་པ_ཟླ་བ་བཅུ་གཉིས་པ'.split('_'),\n monthsShort : 'ཟླ་བ་དང་པོ_ཟླ་བ་གཉིས་པ_ཟླ་བ་གསུམ་པ_ཟླ་བ་བཞི་པ_ཟླ་བ་ལྔ་པ_ཟླ་བ་དྲུག་པ_ཟླ་བ་བདུན་པ_ཟླ་བ་བརྒྱད་པ_ཟླ་བ་དགུ་པ_ཟླ་བ་བཅུ་པ_ཟླ་བ་བཅུ་གཅིག་པ_ཟླ་བ་བཅུ་གཉིས་པ'.split('_'),\n weekdays : 'གཟའ་ཉི་མ་_གཟའ་ཟླ་བ་_གཟའ་མིག་དམར་_གཟའ་ལྷག་པ་_གཟའ་ཕུར་བུ_གཟའ་པ་སངས་_གཟའ་སྤེན་པ་'.split('_'),\n weekdaysShort : 'ཉི་མ་_ཟླ་བ་_མིག་དམར་_ལྷག་པ་_ཕུར་བུ_པ་སངས་_སྤེན་པ་'.split('_'),\n weekdaysMin : 'ཉི་མ་_ཟླ་བ་_མིག་དམར་_ལྷག་པ་_ཕུར་བུ_པ་སངས་_སྤེན་པ་'.split('_'),\n longDateFormat : {\n LT : 'A h:mm',\n LTS : 'A h:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm',\n LLLL : 'dddd, D MMMM YYYY, A h:mm'\n },\n calendar : {\n sameDay : '[དི་རིང] LT',\n nextDay : '[སང་ཉིན] LT',\n nextWeek : '[བདུན་ཕྲག་རྗེས་མ], LT',\n lastDay : '[ཁ་སང] LT',\n lastWeek : '[བདུན་ཕྲག་མཐའ་མ] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s ལ་',\n past : '%s སྔན་ལ',\n s : 'ལམ་སང',\n ss : '%d སྐར་ཆ།',\n m : 'སྐར་མ་གཅིག',\n mm : '%d སྐར་མ',\n h : 'ཆུ་ཚོད་གཅིག',\n hh : '%d ཆུ་ཚོད',\n d : 'ཉིན་གཅིག',\n dd : '%d ཉིན་',\n M : 'ཟླ་བ་གཅིག',\n MM : '%d ཟླ་བ',\n y : 'ལོ་གཅིག',\n yy : '%d ལོ'\n },\n preparse: function (string) {\n return string.replace(/[༡༢༣༤༥༦༧༨༩༠]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n meridiemParse: /མཚན་མོ|ཞོགས་ཀས|ཉིན་གུང|དགོང་དག|མཚན་མོ/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if ((meridiem === 'མཚན་མོ' && hour >= 4) ||\n (meridiem === 'ཉིན་གུང' && hour < 5) ||\n meridiem === 'དགོང་དག') {\n return hour + 12;\n } else {\n return hour;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'མཚན་མོ';\n } else if (hour < 10) {\n return 'ཞོགས་ཀས';\n } else if (hour < 17) {\n return 'ཉིན་གུང';\n } else if (hour < 20) {\n return 'དགོང་དག';\n } else {\n return 'མཚན་མོ';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return bo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function relativeTimeWithMutation(number, withoutSuffix, key) {\n var format = {\n 'mm': 'munutenn',\n 'MM': 'miz',\n 'dd': 'devezh'\n };\n return number + ' ' + mutation(format[key], number);\n }\n function specialMutationForYears(number) {\n switch (lastNumber(number)) {\n case 1:\n case 3:\n case 4:\n case 5:\n case 9:\n return number + ' bloaz';\n default:\n return number + ' vloaz';\n }\n }\n function lastNumber(number) {\n if (number > 9) {\n return lastNumber(number % 10);\n }\n return number;\n }\n function mutation(text, number) {\n if (number === 2) {\n return softMutation(text);\n }\n return text;\n }\n function softMutation(text) {\n var mutationTable = {\n 'm': 'v',\n 'b': 'v',\n 'd': 'z'\n };\n if (mutationTable[text.charAt(0)] === undefined) {\n return text;\n }\n return mutationTable[text.charAt(0)] + text.substring(1);\n }\n\n var br = moment.defineLocale('br', {\n months : 'Genver_C\\'hwevrer_Meurzh_Ebrel_Mae_Mezheven_Gouere_Eost_Gwengolo_Here_Du_Kerzu'.split('_'),\n monthsShort : 'Gen_C\\'hwe_Meu_Ebr_Mae_Eve_Gou_Eos_Gwe_Her_Du_Ker'.split('_'),\n weekdays : 'Sul_Lun_Meurzh_Merc\\'her_Yaou_Gwener_Sadorn'.split('_'),\n weekdaysShort : 'Sul_Lun_Meu_Mer_Yao_Gwe_Sad'.split('_'),\n weekdaysMin : 'Su_Lu_Me_Mer_Ya_Gw_Sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'h[e]mm A',\n LTS : 'h[e]mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D [a viz] MMMM YYYY',\n LLL : 'D [a viz] MMMM YYYY h[e]mm A',\n LLLL : 'dddd, D [a viz] MMMM YYYY h[e]mm A'\n },\n calendar : {\n sameDay : '[Hiziv da] LT',\n nextDay : '[Warc\\'hoazh da] LT',\n nextWeek : 'dddd [da] LT',\n lastDay : '[Dec\\'h da] LT',\n lastWeek : 'dddd [paset da] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'a-benn %s',\n past : '%s \\'zo',\n s : 'un nebeud segondennoù',\n ss : '%d eilenn',\n m : 'ur vunutenn',\n mm : relativeTimeWithMutation,\n h : 'un eur',\n hh : '%d eur',\n d : 'un devezh',\n dd : relativeTimeWithMutation,\n M : 'ur miz',\n MM : relativeTimeWithMutation,\n y : 'ur bloaz',\n yy : specialMutationForYears\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(añ|vet)/,\n ordinal : function (number) {\n var output = (number === 1) ? 'añ' : 'vet';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return br;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function translate(number, withoutSuffix, key) {\n var result = number + ' ';\n switch (key) {\n case 'ss':\n if (number === 1) {\n result += 'sekunda';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'sekunde';\n } else {\n result += 'sekundi';\n }\n return result;\n case 'm':\n return withoutSuffix ? 'jedna minuta' : 'jedne minute';\n case 'mm':\n if (number === 1) {\n result += 'minuta';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'minute';\n } else {\n result += 'minuta';\n }\n return result;\n case 'h':\n return withoutSuffix ? 'jedan sat' : 'jednog sata';\n case 'hh':\n if (number === 1) {\n result += 'sat';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'sata';\n } else {\n result += 'sati';\n }\n return result;\n case 'dd':\n if (number === 1) {\n result += 'dan';\n } else {\n result += 'dana';\n }\n return result;\n case 'MM':\n if (number === 1) {\n result += 'mjesec';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'mjeseca';\n } else {\n result += 'mjeseci';\n }\n return result;\n case 'yy':\n if (number === 1) {\n result += 'godina';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'godine';\n } else {\n result += 'godina';\n }\n return result;\n }\n }\n\n var bs = moment.defineLocale('bs', {\n months : 'januar_februar_mart_april_maj_juni_juli_august_septembar_oktobar_novembar_decembar'.split('_'),\n monthsShort : 'jan._feb._mar._apr._maj._jun._jul._aug._sep._okt._nov._dec.'.split('_'),\n monthsParseExact: true,\n weekdays : 'nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota'.split('_'),\n weekdaysShort : 'ned._pon._uto._sri._čet._pet._sub.'.split('_'),\n weekdaysMin : 'ne_po_ut_sr_če_pe_su'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd, D. MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[danas u] LT',\n nextDay : '[sutra u] LT',\n nextWeek : function () {\n switch (this.day()) {\n case 0:\n return '[u] [nedjelju] [u] LT';\n case 3:\n return '[u] [srijedu] [u] LT';\n case 6:\n return '[u] [subotu] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[u] dddd [u] LT';\n }\n },\n lastDay : '[jučer u] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 0:\n case 3:\n return '[prošlu] dddd [u] LT';\n case 6:\n return '[prošle] [subote] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[prošli] dddd [u] LT';\n }\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'prije %s',\n s : 'par sekundi',\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : 'dan',\n dd : translate,\n M : 'mjesec',\n MM : translate,\n y : 'godinu',\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return bs;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ca = moment.defineLocale('ca', {\n months : {\n standalone: 'gener_febrer_març_abril_maig_juny_juliol_agost_setembre_octubre_novembre_desembre'.split('_'),\n format: 'de gener_de febrer_de març_d\\'abril_de maig_de juny_de juliol_d\\'agost_de setembre_d\\'octubre_de novembre_de desembre'.split('_'),\n isFormat: /D[oD]?(\\s)+MMMM/\n },\n monthsShort : 'gen._febr._març_abr._maig_juny_jul._ag._set._oct._nov._des.'.split('_'),\n monthsParseExact : true,\n weekdays : 'diumenge_dilluns_dimarts_dimecres_dijous_divendres_dissabte'.split('_'),\n weekdaysShort : 'dg._dl._dt._dc._dj._dv._ds.'.split('_'),\n weekdaysMin : 'dg_dl_dt_dc_dj_dv_ds'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM [de] YYYY',\n ll : 'D MMM YYYY',\n LLL : 'D MMMM [de] YYYY [a les] H:mm',\n lll : 'D MMM YYYY, H:mm',\n LLLL : 'dddd D MMMM [de] YYYY [a les] H:mm',\n llll : 'ddd D MMM YYYY, H:mm'\n },\n calendar : {\n sameDay : function () {\n return '[avui a ' + ((this.hours() !== 1) ? 'les' : 'la') + '] LT';\n },\n nextDay : function () {\n return '[demà a ' + ((this.hours() !== 1) ? 'les' : 'la') + '] LT';\n },\n nextWeek : function () {\n return 'dddd [a ' + ((this.hours() !== 1) ? 'les' : 'la') + '] LT';\n },\n lastDay : function () {\n return '[ahir a ' + ((this.hours() !== 1) ? 'les' : 'la') + '] LT';\n },\n lastWeek : function () {\n return '[el] dddd [passat a ' + ((this.hours() !== 1) ? 'les' : 'la') + '] LT';\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'd\\'aquí %s',\n past : 'fa %s',\n s : 'uns segons',\n ss : '%d segons',\n m : 'un minut',\n mm : '%d minuts',\n h : 'una hora',\n hh : '%d hores',\n d : 'un dia',\n dd : '%d dies',\n M : 'un mes',\n MM : '%d mesos',\n y : 'un any',\n yy : '%d anys'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(r|n|t|è|a)/,\n ordinal : function (number, period) {\n var output = (number === 1) ? 'r' :\n (number === 2) ? 'n' :\n (number === 3) ? 'r' :\n (number === 4) ? 't' : 'è';\n if (period === 'w' || period === 'W') {\n output = 'a';\n }\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return ca;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = 'leden_únor_březen_duben_květen_červen_červenec_srpen_září_říjen_listopad_prosinec'.split('_'),\n monthsShort = 'led_úno_bře_dub_kvě_čvn_čvc_srp_zář_říj_lis_pro'.split('_');\n\n var monthsParse = [/^led/i, /^úno/i, /^bře/i, /^dub/i, /^kvě/i, /^(čvn|červen$|června)/i, /^(čvc|červenec|července)/i, /^srp/i, /^zář/i, /^říj/i, /^lis/i, /^pro/i];\n // NOTE: 'červen' is substring of 'červenec'; therefore 'červenec' must precede 'červen' in the regex to be fully matched.\n // Otherwise parser matches '1. červenec' as '1. červen' + 'ec'.\n var monthsRegex = /^(leden|únor|březen|duben|květen|červenec|července|červen|června|srpen|září|říjen|listopad|prosinec|led|úno|bře|dub|kvě|čvn|čvc|srp|zář|říj|lis|pro)/i;\n\n function plural(n) {\n return (n > 1) && (n < 5) && (~~(n / 10) !== 1);\n }\n function translate(number, withoutSuffix, key, isFuture) {\n var result = number + ' ';\n switch (key) {\n case 's': // a few seconds / in a few seconds / a few seconds ago\n return (withoutSuffix || isFuture) ? 'pár sekund' : 'pár sekundami';\n case 'ss': // 9 seconds / in 9 seconds / 9 seconds ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'sekundy' : 'sekund');\n } else {\n return result + 'sekundami';\n }\n break;\n case 'm': // a minute / in a minute / a minute ago\n return withoutSuffix ? 'minuta' : (isFuture ? 'minutu' : 'minutou');\n case 'mm': // 9 minutes / in 9 minutes / 9 minutes ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'minuty' : 'minut');\n } else {\n return result + 'minutami';\n }\n break;\n case 'h': // an hour / in an hour / an hour ago\n return withoutSuffix ? 'hodina' : (isFuture ? 'hodinu' : 'hodinou');\n case 'hh': // 9 hours / in 9 hours / 9 hours ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'hodiny' : 'hodin');\n } else {\n return result + 'hodinami';\n }\n break;\n case 'd': // a day / in a day / a day ago\n return (withoutSuffix || isFuture) ? 'den' : 'dnem';\n case 'dd': // 9 days / in 9 days / 9 days ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'dny' : 'dní');\n } else {\n return result + 'dny';\n }\n break;\n case 'M': // a month / in a month / a month ago\n return (withoutSuffix || isFuture) ? 'měsíc' : 'měsícem';\n case 'MM': // 9 months / in 9 months / 9 months ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'měsíce' : 'měsíců');\n } else {\n return result + 'měsíci';\n }\n break;\n case 'y': // a year / in a year / a year ago\n return (withoutSuffix || isFuture) ? 'rok' : 'rokem';\n case 'yy': // 9 years / in 9 years / 9 years ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'roky' : 'let');\n } else {\n return result + 'lety';\n }\n break;\n }\n }\n\n var cs = moment.defineLocale('cs', {\n months : months,\n monthsShort : monthsShort,\n monthsRegex : monthsRegex,\n monthsShortRegex : monthsRegex,\n // NOTE: 'červen' is substring of 'červenec'; therefore 'červenec' must precede 'červen' in the regex to be fully matched.\n // Otherwise parser matches '1. červenec' as '1. červen' + 'ec'.\n monthsStrictRegex : /^(leden|ledna|února|únor|březen|března|duben|dubna|květen|května|červenec|července|červen|června|srpen|srpna|září|říjen|října|listopadu|listopad|prosinec|prosince)/i,\n monthsShortStrictRegex : /^(led|úno|bře|dub|kvě|čvn|čvc|srp|zář|říj|lis|pro)/i,\n monthsParse : monthsParse,\n longMonthsParse : monthsParse,\n shortMonthsParse : monthsParse,\n weekdays : 'neděle_pondělí_úterý_středa_čtvrtek_pátek_sobota'.split('_'),\n weekdaysShort : 'ne_po_út_st_čt_pá_so'.split('_'),\n weekdaysMin : 'ne_po_út_st_čt_pá_so'.split('_'),\n longDateFormat : {\n LT: 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd D. MMMM YYYY H:mm',\n l : 'D. M. YYYY'\n },\n calendar : {\n sameDay: '[dnes v] LT',\n nextDay: '[zítra v] LT',\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[v neděli v] LT';\n case 1:\n case 2:\n return '[v] dddd [v] LT';\n case 3:\n return '[ve středu v] LT';\n case 4:\n return '[ve čtvrtek v] LT';\n case 5:\n return '[v pátek v] LT';\n case 6:\n return '[v sobotu v] LT';\n }\n },\n lastDay: '[včera v] LT',\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n return '[minulou neděli v] LT';\n case 1:\n case 2:\n return '[minulé] dddd [v] LT';\n case 3:\n return '[minulou středu v] LT';\n case 4:\n case 5:\n return '[minulý] dddd [v] LT';\n case 6:\n return '[minulou sobotu v] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'před %s',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse : /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return cs;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var cv = moment.defineLocale('cv', {\n months : 'кӑрлач_нарӑс_пуш_ака_май_ҫӗртме_утӑ_ҫурла_авӑн_юпа_чӳк_раштав'.split('_'),\n monthsShort : 'кӑр_нар_пуш_ака_май_ҫӗр_утӑ_ҫур_авн_юпа_чӳк_раш'.split('_'),\n weekdays : 'вырсарникун_тунтикун_ытларикун_юнкун_кӗҫнерникун_эрнекун_шӑматкун'.split('_'),\n weekdaysShort : 'выр_тун_ытл_юн_кӗҫ_эрн_шӑм'.split('_'),\n weekdaysMin : 'вр_тн_ыт_юн_кҫ_эр_шм'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD-MM-YYYY',\n LL : 'YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ]',\n LLL : 'YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ], HH:mm',\n LLLL : 'dddd, YYYY [ҫулхи] MMMM [уйӑхӗн] D[-мӗшӗ], HH:mm'\n },\n calendar : {\n sameDay: '[Паян] LT [сехетре]',\n nextDay: '[Ыран] LT [сехетре]',\n lastDay: '[Ӗнер] LT [сехетре]',\n nextWeek: '[Ҫитес] dddd LT [сехетре]',\n lastWeek: '[Иртнӗ] dddd LT [сехетре]',\n sameElse: 'L'\n },\n relativeTime : {\n future : function (output) {\n var affix = /сехет$/i.exec(output) ? 'рен' : /ҫул$/i.exec(output) ? 'тан' : 'ран';\n return output + affix;\n },\n past : '%s каялла',\n s : 'пӗр-ик ҫеккунт',\n ss : '%d ҫеккунт',\n m : 'пӗр минут',\n mm : '%d минут',\n h : 'пӗр сехет',\n hh : '%d сехет',\n d : 'пӗр кун',\n dd : '%d кун',\n M : 'пӗр уйӑх',\n MM : '%d уйӑх',\n y : 'пӗр ҫул',\n yy : '%d ҫул'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-мӗш/,\n ordinal : '%d-мӗш',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return cv;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var cy = moment.defineLocale('cy', {\n months: 'Ionawr_Chwefror_Mawrth_Ebrill_Mai_Mehefin_Gorffennaf_Awst_Medi_Hydref_Tachwedd_Rhagfyr'.split('_'),\n monthsShort: 'Ion_Chwe_Maw_Ebr_Mai_Meh_Gor_Aws_Med_Hyd_Tach_Rhag'.split('_'),\n weekdays: 'Dydd Sul_Dydd Llun_Dydd Mawrth_Dydd Mercher_Dydd Iau_Dydd Gwener_Dydd Sadwrn'.split('_'),\n weekdaysShort: 'Sul_Llun_Maw_Mer_Iau_Gwe_Sad'.split('_'),\n weekdaysMin: 'Su_Ll_Ma_Me_Ia_Gw_Sa'.split('_'),\n weekdaysParseExact : true,\n // time formats are the same as en-gb\n longDateFormat: {\n LT: 'HH:mm',\n LTS : 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY HH:mm',\n LLLL: 'dddd, D MMMM YYYY HH:mm'\n },\n calendar: {\n sameDay: '[Heddiw am] LT',\n nextDay: '[Yfory am] LT',\n nextWeek: 'dddd [am] LT',\n lastDay: '[Ddoe am] LT',\n lastWeek: 'dddd [diwethaf am] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: 'mewn %s',\n past: '%s yn ôl',\n s: 'ychydig eiliadau',\n ss: '%d eiliad',\n m: 'munud',\n mm: '%d munud',\n h: 'awr',\n hh: '%d awr',\n d: 'diwrnod',\n dd: '%d diwrnod',\n M: 'mis',\n MM: '%d mis',\n y: 'blwyddyn',\n yy: '%d flynedd'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(fed|ain|af|il|ydd|ed|eg)/,\n // traditional ordinal numbers above 31 are not commonly used in colloquial Welsh\n ordinal: function (number) {\n var b = number,\n output = '',\n lookup = [\n '', 'af', 'il', 'ydd', 'ydd', 'ed', 'ed', 'ed', 'fed', 'fed', 'fed', // 1af to 10fed\n 'eg', 'fed', 'eg', 'eg', 'fed', 'eg', 'eg', 'fed', 'eg', 'fed' // 11eg to 20fed\n ];\n if (b > 20) {\n if (b === 40 || b === 50 || b === 60 || b === 80 || b === 100) {\n output = 'fed'; // not 30ain, 70ain or 90ain\n } else {\n output = 'ain';\n }\n } else if (b > 0) {\n output = lookup[b];\n }\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return cy;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var da = moment.defineLocale('da', {\n months : 'januar_februar_marts_april_maj_juni_juli_august_september_oktober_november_december'.split('_'),\n monthsShort : 'jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec'.split('_'),\n weekdays : 'søndag_mandag_tirsdag_onsdag_torsdag_fredag_lørdag'.split('_'),\n weekdaysShort : 'søn_man_tir_ons_tor_fre_lør'.split('_'),\n weekdaysMin : 'sø_ma_ti_on_to_fr_lø'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY HH:mm',\n LLLL : 'dddd [d.] D. MMMM YYYY [kl.] HH:mm'\n },\n calendar : {\n sameDay : '[i dag kl.] LT',\n nextDay : '[i morgen kl.] LT',\n nextWeek : 'på dddd [kl.] LT',\n lastDay : '[i går kl.] LT',\n lastWeek : '[i] dddd[s kl.] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'om %s',\n past : '%s siden',\n s : 'få sekunder',\n ss : '%d sekunder',\n m : 'et minut',\n mm : '%d minutter',\n h : 'en time',\n hh : '%d timer',\n d : 'en dag',\n dd : '%d dage',\n M : 'en måned',\n MM : '%d måneder',\n y : 'et år',\n yy : '%d år'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return da;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 'm': ['eine Minute', 'einer Minute'],\n 'h': ['eine Stunde', 'einer Stunde'],\n 'd': ['ein Tag', 'einem Tag'],\n 'dd': [number + ' Tage', number + ' Tagen'],\n 'M': ['ein Monat', 'einem Monat'],\n 'MM': [number + ' Monate', number + ' Monaten'],\n 'y': ['ein Jahr', 'einem Jahr'],\n 'yy': [number + ' Jahre', number + ' Jahren']\n };\n return withoutSuffix ? format[key][0] : format[key][1];\n }\n\n var de = moment.defineLocale('de', {\n months : 'Januar_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember'.split('_'),\n monthsShort : 'Jan._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.'.split('_'),\n monthsParseExact : true,\n weekdays : 'Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag'.split('_'),\n weekdaysShort : 'So._Mo._Di._Mi._Do._Fr._Sa.'.split('_'),\n weekdaysMin : 'So_Mo_Di_Mi_Do_Fr_Sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY HH:mm',\n LLLL : 'dddd, D. MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[heute um] LT [Uhr]',\n sameElse: 'L',\n nextDay: '[morgen um] LT [Uhr]',\n nextWeek: 'dddd [um] LT [Uhr]',\n lastDay: '[gestern um] LT [Uhr]',\n lastWeek: '[letzten] dddd [um] LT [Uhr]'\n },\n relativeTime : {\n future : 'in %s',\n past : 'vor %s',\n s : 'ein paar Sekunden',\n ss : '%d Sekunden',\n m : processRelativeTime,\n mm : '%d Minuten',\n h : processRelativeTime,\n hh : '%d Stunden',\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return de;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 'm': ['eine Minute', 'einer Minute'],\n 'h': ['eine Stunde', 'einer Stunde'],\n 'd': ['ein Tag', 'einem Tag'],\n 'dd': [number + ' Tage', number + ' Tagen'],\n 'M': ['ein Monat', 'einem Monat'],\n 'MM': [number + ' Monate', number + ' Monaten'],\n 'y': ['ein Jahr', 'einem Jahr'],\n 'yy': [number + ' Jahre', number + ' Jahren']\n };\n return withoutSuffix ? format[key][0] : format[key][1];\n }\n\n var deAt = moment.defineLocale('de-at', {\n months : 'Jänner_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember'.split('_'),\n monthsShort : 'Jän._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.'.split('_'),\n monthsParseExact : true,\n weekdays : 'Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag'.split('_'),\n weekdaysShort : 'So._Mo._Di._Mi._Do._Fr._Sa.'.split('_'),\n weekdaysMin : 'So_Mo_Di_Mi_Do_Fr_Sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY HH:mm',\n LLLL : 'dddd, D. MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[heute um] LT [Uhr]',\n sameElse: 'L',\n nextDay: '[morgen um] LT [Uhr]',\n nextWeek: 'dddd [um] LT [Uhr]',\n lastDay: '[gestern um] LT [Uhr]',\n lastWeek: '[letzten] dddd [um] LT [Uhr]'\n },\n relativeTime : {\n future : 'in %s',\n past : 'vor %s',\n s : 'ein paar Sekunden',\n ss : '%d Sekunden',\n m : processRelativeTime,\n mm : '%d Minuten',\n h : processRelativeTime,\n hh : '%d Stunden',\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return deAt;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 'm': ['eine Minute', 'einer Minute'],\n 'h': ['eine Stunde', 'einer Stunde'],\n 'd': ['ein Tag', 'einem Tag'],\n 'dd': [number + ' Tage', number + ' Tagen'],\n 'M': ['ein Monat', 'einem Monat'],\n 'MM': [number + ' Monate', number + ' Monaten'],\n 'y': ['ein Jahr', 'einem Jahr'],\n 'yy': [number + ' Jahre', number + ' Jahren']\n };\n return withoutSuffix ? format[key][0] : format[key][1];\n }\n\n var deCh = moment.defineLocale('de-ch', {\n months : 'Januar_Februar_März_April_Mai_Juni_Juli_August_September_Oktober_November_Dezember'.split('_'),\n monthsShort : 'Jan._Feb._März_Apr._Mai_Juni_Juli_Aug._Sep._Okt._Nov._Dez.'.split('_'),\n monthsParseExact : true,\n weekdays : 'Sonntag_Montag_Dienstag_Mittwoch_Donnerstag_Freitag_Samstag'.split('_'),\n weekdaysShort : 'So_Mo_Di_Mi_Do_Fr_Sa'.split('_'),\n weekdaysMin : 'So_Mo_Di_Mi_Do_Fr_Sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY HH:mm',\n LLLL : 'dddd, D. MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[heute um] LT [Uhr]',\n sameElse: 'L',\n nextDay: '[morgen um] LT [Uhr]',\n nextWeek: 'dddd [um] LT [Uhr]',\n lastDay: '[gestern um] LT [Uhr]',\n lastWeek: '[letzten] dddd [um] LT [Uhr]'\n },\n relativeTime : {\n future : 'in %s',\n past : 'vor %s',\n s : 'ein paar Sekunden',\n ss : '%d Sekunden',\n m : processRelativeTime,\n mm : '%d Minuten',\n h : processRelativeTime,\n hh : '%d Stunden',\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return deCh;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = [\n 'ޖެނުއަރީ',\n 'ފެބްރުއަރީ',\n 'މާރިޗު',\n 'އޭޕްރީލު',\n 'މޭ',\n 'ޖޫން',\n 'ޖުލައި',\n 'އޯގަސްޓު',\n 'ސެޕްޓެމްބަރު',\n 'އޮކްޓޯބަރު',\n 'ނޮވެމްބަރު',\n 'ޑިސެމްބަރު'\n ], weekdays = [\n 'އާދިއްތަ',\n 'ހޯމަ',\n 'އަންގާރަ',\n 'ބުދަ',\n 'ބުރާސްފަތި',\n 'ހުކުރު',\n 'ހޮނިހިރު'\n ];\n\n var dv = moment.defineLocale('dv', {\n months : months,\n monthsShort : months,\n weekdays : weekdays,\n weekdaysShort : weekdays,\n weekdaysMin : 'އާދި_ހޯމަ_އަން_ބުދަ_ބުރާ_ހުކު_ހޮނި'.split('_'),\n longDateFormat : {\n\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'D/M/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n meridiemParse: /މކ|މފ/,\n isPM : function (input) {\n return 'މފ' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'މކ';\n } else {\n return 'މފ';\n }\n },\n calendar : {\n sameDay : '[މިއަދު] LT',\n nextDay : '[މާދަމާ] LT',\n nextWeek : 'dddd LT',\n lastDay : '[އިއްޔެ] LT',\n lastWeek : '[ފާއިތުވި] dddd LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'ތެރޭގައި %s',\n past : 'ކުރިން %s',\n s : 'ސިކުންތުކޮޅެއް',\n ss : 'd% ސިކުންތު',\n m : 'މިނިޓެއް',\n mm : 'މިނިޓު %d',\n h : 'ގަޑިއިރެއް',\n hh : 'ގަޑިއިރު %d',\n d : 'ދުވަހެއް',\n dd : 'ދުވަސް %d',\n M : 'މަހެއް',\n MM : 'މަސް %d',\n y : 'އަހަރެއް',\n yy : 'އަހަރު %d'\n },\n preparse: function (string) {\n return string.replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/,/g, '،');\n },\n week : {\n dow : 7, // Sunday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return dv;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n function isFunction(input) {\n return input instanceof Function || Object.prototype.toString.call(input) === '[object Function]';\n }\n\n\n var el = moment.defineLocale('el', {\n monthsNominativeEl : 'Ιανουάριος_Φεβρουάριος_Μάρτιος_Απρίλιος_Μάιος_Ιούνιος_Ιούλιος_Αύγουστος_Σεπτέμβριος_Οκτώβριος_Νοέμβριος_Δεκέμβριος'.split('_'),\n monthsGenitiveEl : 'Ιανουαρίου_Φεβρουαρίου_Μαρτίου_Απριλίου_Μαΐου_Ιουνίου_Ιουλίου_Αυγούστου_Σεπτεμβρίου_Οκτωβρίου_Νοεμβρίου_Δεκεμβρίου'.split('_'),\n months : function (momentToFormat, format) {\n if (!momentToFormat) {\n return this._monthsNominativeEl;\n } else if (typeof format === 'string' && /D/.test(format.substring(0, format.indexOf('MMMM')))) { // if there is a day number before 'MMMM'\n return this._monthsGenitiveEl[momentToFormat.month()];\n } else {\n return this._monthsNominativeEl[momentToFormat.month()];\n }\n },\n monthsShort : 'Ιαν_Φεβ_Μαρ_Απρ_Μαϊ_Ιουν_Ιουλ_Αυγ_Σεπ_Οκτ_Νοε_Δεκ'.split('_'),\n weekdays : 'Κυριακή_Δευτέρα_Τρίτη_Τετάρτη_Πέμπτη_Παρασκευή_Σάββατο'.split('_'),\n weekdaysShort : 'Κυρ_Δευ_Τρι_Τετ_Πεμ_Παρ_Σαβ'.split('_'),\n weekdaysMin : 'Κυ_Δε_Τρ_Τε_Πε_Πα_Σα'.split('_'),\n meridiem : function (hours, minutes, isLower) {\n if (hours > 11) {\n return isLower ? 'μμ' : 'ΜΜ';\n } else {\n return isLower ? 'πμ' : 'ΠΜ';\n }\n },\n isPM : function (input) {\n return ((input + '').toLowerCase()[0] === 'μ');\n },\n meridiemParse : /[ΠΜ]\\.?Μ?\\.?/i,\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendarEl : {\n sameDay : '[Σήμερα {}] LT',\n nextDay : '[Αύριο {}] LT',\n nextWeek : 'dddd [{}] LT',\n lastDay : '[Χθες {}] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 6:\n return '[το προηγούμενο] dddd [{}] LT';\n default:\n return '[την προηγούμενη] dddd [{}] LT';\n }\n },\n sameElse : 'L'\n },\n calendar : function (key, mom) {\n var output = this._calendarEl[key],\n hours = mom && mom.hours();\n if (isFunction(output)) {\n output = output.apply(mom);\n }\n return output.replace('{}', (hours % 12 === 1 ? 'στη' : 'στις'));\n },\n relativeTime : {\n future : 'σε %s',\n past : '%s πριν',\n s : 'λίγα δευτερόλεπτα',\n ss : '%d δευτερόλεπτα',\n m : 'ένα λεπτό',\n mm : '%d λεπτά',\n h : 'μία ώρα',\n hh : '%d ώρες',\n d : 'μία μέρα',\n dd : '%d μέρες',\n M : 'ένας μήνας',\n MM : '%d μήνες',\n y : 'ένας χρόνος',\n yy : '%d χρόνια'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}η/,\n ordinal: '%dη',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4st is the first week of the year.\n }\n });\n\n return el;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enSG = moment.defineLocale('en-SG', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return enSG;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enAu = moment.defineLocale('en-au', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return enAu;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enCa = moment.defineLocale('en-ca', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'YYYY-MM-DD',\n LL : 'MMMM D, YYYY',\n LLL : 'MMMM D, YYYY h:mm A',\n LLLL : 'dddd, MMMM D, YYYY h:mm A'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n }\n });\n\n return enCa;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enGb = moment.defineLocale('en-gb', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return enGb;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enIe = moment.defineLocale('en-ie', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return enIe;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enIl = moment.defineLocale('en-il', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n }\n });\n\n return enIl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var enNz = moment.defineLocale('en-nz', {\n months : 'January_February_March_April_May_June_July_August_September_October_November_December'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec'.split('_'),\n weekdays : 'Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday'.split('_'),\n weekdaysShort : 'Sun_Mon_Tue_Wed_Thu_Fri_Sat'.split('_'),\n weekdaysMin : 'Su_Mo_Tu_We_Th_Fr_Sa'.split('_'),\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendar : {\n sameDay : '[Today at] LT',\n nextDay : '[Tomorrow at] LT',\n nextWeek : 'dddd [at] LT',\n lastDay : '[Yesterday at] LT',\n lastWeek : '[Last] dddd [at] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'in %s',\n past : '%s ago',\n s : 'a few seconds',\n ss : '%d seconds',\n m : 'a minute',\n mm : '%d minutes',\n h : 'an hour',\n hh : '%d hours',\n d : 'a day',\n dd : '%d days',\n M : 'a month',\n MM : '%d months',\n y : 'a year',\n yy : '%d years'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return enNz;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var eo = moment.defineLocale('eo', {\n months : 'januaro_februaro_marto_aprilo_majo_junio_julio_aŭgusto_septembro_oktobro_novembro_decembro'.split('_'),\n monthsShort : 'jan_feb_mar_apr_maj_jun_jul_aŭg_sep_okt_nov_dec'.split('_'),\n weekdays : 'dimanĉo_lundo_mardo_merkredo_ĵaŭdo_vendredo_sabato'.split('_'),\n weekdaysShort : 'dim_lun_mard_merk_ĵaŭ_ven_sab'.split('_'),\n weekdaysMin : 'di_lu_ma_me_ĵa_ve_sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'D[-a de] MMMM, YYYY',\n LLL : 'D[-a de] MMMM, YYYY HH:mm',\n LLLL : 'dddd, [la] D[-a de] MMMM, YYYY HH:mm'\n },\n meridiemParse: /[ap]\\.t\\.m/i,\n isPM: function (input) {\n return input.charAt(0).toLowerCase() === 'p';\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours > 11) {\n return isLower ? 'p.t.m.' : 'P.T.M.';\n } else {\n return isLower ? 'a.t.m.' : 'A.T.M.';\n }\n },\n calendar : {\n sameDay : '[Hodiaŭ je] LT',\n nextDay : '[Morgaŭ je] LT',\n nextWeek : 'dddd [je] LT',\n lastDay : '[Hieraŭ je] LT',\n lastWeek : '[pasinta] dddd [je] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'post %s',\n past : 'antaŭ %s',\n s : 'sekundoj',\n ss : '%d sekundoj',\n m : 'minuto',\n mm : '%d minutoj',\n h : 'horo',\n hh : '%d horoj',\n d : 'tago',//ne 'diurno', ĉar estas uzita por proksimumo\n dd : '%d tagoj',\n M : 'monato',\n MM : '%d monatoj',\n y : 'jaro',\n yy : '%d jaroj'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}a/,\n ordinal : '%da',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return eo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortDot = 'ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.'.split('_'),\n monthsShort = 'ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic'.split('_');\n\n var monthsParse = [/^ene/i, /^feb/i, /^mar/i, /^abr/i, /^may/i, /^jun/i, /^jul/i, /^ago/i, /^sep/i, /^oct/i, /^nov/i, /^dic/i];\n var monthsRegex = /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i;\n\n var es = moment.defineLocale('es', {\n months : 'enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortDot;\n } else if (/-MMM-/.test(format)) {\n return monthsShort[m.month()];\n } else {\n return monthsShortDot[m.month()];\n }\n },\n monthsRegex : monthsRegex,\n monthsShortRegex : monthsRegex,\n monthsStrictRegex : /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,\n monthsShortStrictRegex : /^(ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i,\n monthsParse : monthsParse,\n longMonthsParse : monthsParse,\n shortMonthsParse : monthsParse,\n weekdays : 'domingo_lunes_martes_miércoles_jueves_viernes_sábado'.split('_'),\n weekdaysShort : 'dom._lun._mar._mié._jue._vie._sáb.'.split('_'),\n weekdaysMin : 'do_lu_ma_mi_ju_vi_sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY H:mm',\n LLLL : 'dddd, D [de] MMMM [de] YYYY H:mm'\n },\n calendar : {\n sameDay : function () {\n return '[hoy a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextDay : function () {\n return '[mañana a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextWeek : function () {\n return 'dddd [a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastDay : function () {\n return '[ayer a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastWeek : function () {\n return '[el] dddd [pasado a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'en %s',\n past : 'hace %s',\n s : 'unos segundos',\n ss : '%d segundos',\n m : 'un minuto',\n mm : '%d minutos',\n h : 'una hora',\n hh : '%d horas',\n d : 'un día',\n dd : '%d días',\n M : 'un mes',\n MM : '%d meses',\n y : 'un año',\n yy : '%d años'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal : '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return es;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortDot = 'ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.'.split('_'),\n monthsShort = 'ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic'.split('_');\n\n var monthsParse = [/^ene/i, /^feb/i, /^mar/i, /^abr/i, /^may/i, /^jun/i, /^jul/i, /^ago/i, /^sep/i, /^oct/i, /^nov/i, /^dic/i];\n var monthsRegex = /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i;\n\n var esDo = moment.defineLocale('es-do', {\n months : 'enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortDot;\n } else if (/-MMM-/.test(format)) {\n return monthsShort[m.month()];\n } else {\n return monthsShortDot[m.month()];\n }\n },\n monthsRegex: monthsRegex,\n monthsShortRegex: monthsRegex,\n monthsStrictRegex: /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,\n monthsShortStrictRegex: /^(ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i,\n monthsParse: monthsParse,\n longMonthsParse: monthsParse,\n shortMonthsParse: monthsParse,\n weekdays : 'domingo_lunes_martes_miércoles_jueves_viernes_sábado'.split('_'),\n weekdaysShort : 'dom._lun._mar._mié._jue._vie._sáb.'.split('_'),\n weekdaysMin : 'do_lu_ma_mi_ju_vi_sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY h:mm A',\n LLLL : 'dddd, D [de] MMMM [de] YYYY h:mm A'\n },\n calendar : {\n sameDay : function () {\n return '[hoy a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextDay : function () {\n return '[mañana a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextWeek : function () {\n return 'dddd [a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastDay : function () {\n return '[ayer a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastWeek : function () {\n return '[el] dddd [pasado a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'en %s',\n past : 'hace %s',\n s : 'unos segundos',\n ss : '%d segundos',\n m : 'un minuto',\n mm : '%d minutos',\n h : 'una hora',\n hh : '%d horas',\n d : 'un día',\n dd : '%d días',\n M : 'un mes',\n MM : '%d meses',\n y : 'un año',\n yy : '%d años'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal : '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return esDo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortDot = 'ene._feb._mar._abr._may._jun._jul._ago._sep._oct._nov._dic.'.split('_'),\n monthsShort = 'ene_feb_mar_abr_may_jun_jul_ago_sep_oct_nov_dic'.split('_');\n\n var monthsParse = [/^ene/i, /^feb/i, /^mar/i, /^abr/i, /^may/i, /^jun/i, /^jul/i, /^ago/i, /^sep/i, /^oct/i, /^nov/i, /^dic/i];\n var monthsRegex = /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre|ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i;\n\n var esUs = moment.defineLocale('es-us', {\n months : 'enero_febrero_marzo_abril_mayo_junio_julio_agosto_septiembre_octubre_noviembre_diciembre'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortDot;\n } else if (/-MMM-/.test(format)) {\n return monthsShort[m.month()];\n } else {\n return monthsShortDot[m.month()];\n }\n },\n monthsRegex: monthsRegex,\n monthsShortRegex: monthsRegex,\n monthsStrictRegex: /^(enero|febrero|marzo|abril|mayo|junio|julio|agosto|septiembre|octubre|noviembre|diciembre)/i,\n monthsShortStrictRegex: /^(ene\\.?|feb\\.?|mar\\.?|abr\\.?|may\\.?|jun\\.?|jul\\.?|ago\\.?|sep\\.?|oct\\.?|nov\\.?|dic\\.?)/i,\n monthsParse: monthsParse,\n longMonthsParse: monthsParse,\n shortMonthsParse: monthsParse,\n weekdays : 'domingo_lunes_martes_miércoles_jueves_viernes_sábado'.split('_'),\n weekdaysShort : 'dom._lun._mar._mié._jue._vie._sáb.'.split('_'),\n weekdaysMin : 'do_lu_ma_mi_ju_vi_sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'MM/DD/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY h:mm A',\n LLLL : 'dddd, D [de] MMMM [de] YYYY h:mm A'\n },\n calendar : {\n sameDay : function () {\n return '[hoy a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextDay : function () {\n return '[mañana a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n nextWeek : function () {\n return 'dddd [a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastDay : function () {\n return '[ayer a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n lastWeek : function () {\n return '[el] dddd [pasado a la' + ((this.hours() !== 1) ? 's' : '') + '] LT';\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'en %s',\n past : 'hace %s',\n s : 'unos segundos',\n ss : '%d segundos',\n m : 'un minuto',\n mm : '%d minutos',\n h : 'una hora',\n hh : '%d horas',\n d : 'un día',\n dd : '%d días',\n M : 'un mes',\n MM : '%d meses',\n y : 'un año',\n yy : '%d años'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal : '%dº',\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return esUs;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 's' : ['mõne sekundi', 'mõni sekund', 'paar sekundit'],\n 'ss': [number + 'sekundi', number + 'sekundit'],\n 'm' : ['ühe minuti', 'üks minut'],\n 'mm': [number + ' minuti', number + ' minutit'],\n 'h' : ['ühe tunni', 'tund aega', 'üks tund'],\n 'hh': [number + ' tunni', number + ' tundi'],\n 'd' : ['ühe päeva', 'üks päev'],\n 'M' : ['kuu aja', 'kuu aega', 'üks kuu'],\n 'MM': [number + ' kuu', number + ' kuud'],\n 'y' : ['ühe aasta', 'aasta', 'üks aasta'],\n 'yy': [number + ' aasta', number + ' aastat']\n };\n if (withoutSuffix) {\n return format[key][2] ? format[key][2] : format[key][1];\n }\n return isFuture ? format[key][0] : format[key][1];\n }\n\n var et = moment.defineLocale('et', {\n months : 'jaanuar_veebruar_märts_aprill_mai_juuni_juuli_august_september_oktoober_november_detsember'.split('_'),\n monthsShort : 'jaan_veebr_märts_apr_mai_juuni_juuli_aug_sept_okt_nov_dets'.split('_'),\n weekdays : 'pühapäev_esmaspäev_teisipäev_kolmapäev_neljapäev_reede_laupäev'.split('_'),\n weekdaysShort : 'P_E_T_K_N_R_L'.split('_'),\n weekdaysMin : 'P_E_T_K_N_R_L'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd, D. MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[Täna,] LT',\n nextDay : '[Homme,] LT',\n nextWeek : '[Järgmine] dddd LT',\n lastDay : '[Eile,] LT',\n lastWeek : '[Eelmine] dddd LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s pärast',\n past : '%s tagasi',\n s : processRelativeTime,\n ss : processRelativeTime,\n m : processRelativeTime,\n mm : processRelativeTime,\n h : processRelativeTime,\n hh : processRelativeTime,\n d : processRelativeTime,\n dd : '%d päeva',\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return et;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var eu = moment.defineLocale('eu', {\n months : 'urtarrila_otsaila_martxoa_apirila_maiatza_ekaina_uztaila_abuztua_iraila_urria_azaroa_abendua'.split('_'),\n monthsShort : 'urt._ots._mar._api._mai._eka._uzt._abu._ira._urr._aza._abe.'.split('_'),\n monthsParseExact : true,\n weekdays : 'igandea_astelehena_asteartea_asteazkena_osteguna_ostirala_larunbata'.split('_'),\n weekdaysShort : 'ig._al._ar._az._og._ol._lr.'.split('_'),\n weekdaysMin : 'ig_al_ar_az_og_ol_lr'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'YYYY[ko] MMMM[ren] D[a]',\n LLL : 'YYYY[ko] MMMM[ren] D[a] HH:mm',\n LLLL : 'dddd, YYYY[ko] MMMM[ren] D[a] HH:mm',\n l : 'YYYY-M-D',\n ll : 'YYYY[ko] MMM D[a]',\n lll : 'YYYY[ko] MMM D[a] HH:mm',\n llll : 'ddd, YYYY[ko] MMM D[a] HH:mm'\n },\n calendar : {\n sameDay : '[gaur] LT[etan]',\n nextDay : '[bihar] LT[etan]',\n nextWeek : 'dddd LT[etan]',\n lastDay : '[atzo] LT[etan]',\n lastWeek : '[aurreko] dddd LT[etan]',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s barru',\n past : 'duela %s',\n s : 'segundo batzuk',\n ss : '%d segundo',\n m : 'minutu bat',\n mm : '%d minutu',\n h : 'ordu bat',\n hh : '%d ordu',\n d : 'egun bat',\n dd : '%d egun',\n M : 'hilabete bat',\n MM : '%d hilabete',\n y : 'urte bat',\n yy : '%d urte'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return eu;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '۱',\n '2': '۲',\n '3': '۳',\n '4': '۴',\n '5': '۵',\n '6': '۶',\n '7': '۷',\n '8': '۸',\n '9': '۹',\n '0': '۰'\n }, numberMap = {\n '۱': '1',\n '۲': '2',\n '۳': '3',\n '۴': '4',\n '۵': '5',\n '۶': '6',\n '۷': '7',\n '۸': '8',\n '۹': '9',\n '۰': '0'\n };\n\n var fa = moment.defineLocale('fa', {\n months : 'ژانویه_فوریه_مارس_آوریل_مه_ژوئن_ژوئیه_اوت_سپتامبر_اکتبر_نوامبر_دسامبر'.split('_'),\n monthsShort : 'ژانویه_فوریه_مارس_آوریل_مه_ژوئن_ژوئیه_اوت_سپتامبر_اکتبر_نوامبر_دسامبر'.split('_'),\n weekdays : 'یک\\u200cشنبه_دوشنبه_سه\\u200cشنبه_چهارشنبه_پنج\\u200cشنبه_جمعه_شنبه'.split('_'),\n weekdaysShort : 'یک\\u200cشنبه_دوشنبه_سه\\u200cشنبه_چهارشنبه_پنج\\u200cشنبه_جمعه_شنبه'.split('_'),\n weekdaysMin : 'ی_د_س_چ_پ_ج_ش'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n meridiemParse: /قبل از ظهر|بعد از ظهر/,\n isPM: function (input) {\n return /بعد از ظهر/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'قبل از ظهر';\n } else {\n return 'بعد از ظهر';\n }\n },\n calendar : {\n sameDay : '[امروز ساعت] LT',\n nextDay : '[فردا ساعت] LT',\n nextWeek : 'dddd [ساعت] LT',\n lastDay : '[دیروز ساعت] LT',\n lastWeek : 'dddd [پیش] [ساعت] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'در %s',\n past : '%s پیش',\n s : 'چند ثانیه',\n ss : 'ثانیه d%',\n m : 'یک دقیقه',\n mm : '%d دقیقه',\n h : 'یک ساعت',\n hh : '%d ساعت',\n d : 'یک روز',\n dd : '%d روز',\n M : 'یک ماه',\n MM : '%d ماه',\n y : 'یک سال',\n yy : '%d سال'\n },\n preparse: function (string) {\n return string.replace(/[۰-۹]/g, function (match) {\n return numberMap[match];\n }).replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n }).replace(/,/g, '،');\n },\n dayOfMonthOrdinalParse: /\\d{1,2}م/,\n ordinal : '%dم',\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return fa;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var numbersPast = 'nolla yksi kaksi kolme neljä viisi kuusi seitsemän kahdeksan yhdeksän'.split(' '),\n numbersFuture = [\n 'nolla', 'yhden', 'kahden', 'kolmen', 'neljän', 'viiden', 'kuuden',\n numbersPast[7], numbersPast[8], numbersPast[9]\n ];\n function translate(number, withoutSuffix, key, isFuture) {\n var result = '';\n switch (key) {\n case 's':\n return isFuture ? 'muutaman sekunnin' : 'muutama sekunti';\n case 'ss':\n return isFuture ? 'sekunnin' : 'sekuntia';\n case 'm':\n return isFuture ? 'minuutin' : 'minuutti';\n case 'mm':\n result = isFuture ? 'minuutin' : 'minuuttia';\n break;\n case 'h':\n return isFuture ? 'tunnin' : 'tunti';\n case 'hh':\n result = isFuture ? 'tunnin' : 'tuntia';\n break;\n case 'd':\n return isFuture ? 'päivän' : 'päivä';\n case 'dd':\n result = isFuture ? 'päivän' : 'päivää';\n break;\n case 'M':\n return isFuture ? 'kuukauden' : 'kuukausi';\n case 'MM':\n result = isFuture ? 'kuukauden' : 'kuukautta';\n break;\n case 'y':\n return isFuture ? 'vuoden' : 'vuosi';\n case 'yy':\n result = isFuture ? 'vuoden' : 'vuotta';\n break;\n }\n result = verbalNumber(number, isFuture) + ' ' + result;\n return result;\n }\n function verbalNumber(number, isFuture) {\n return number < 10 ? (isFuture ? numbersFuture[number] : numbersPast[number]) : number;\n }\n\n var fi = moment.defineLocale('fi', {\n months : 'tammikuu_helmikuu_maaliskuu_huhtikuu_toukokuu_kesäkuu_heinäkuu_elokuu_syyskuu_lokakuu_marraskuu_joulukuu'.split('_'),\n monthsShort : 'tammi_helmi_maalis_huhti_touko_kesä_heinä_elo_syys_loka_marras_joulu'.split('_'),\n weekdays : 'sunnuntai_maanantai_tiistai_keskiviikko_torstai_perjantai_lauantai'.split('_'),\n weekdaysShort : 'su_ma_ti_ke_to_pe_la'.split('_'),\n weekdaysMin : 'su_ma_ti_ke_to_pe_la'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD.MM.YYYY',\n LL : 'Do MMMM[ta] YYYY',\n LLL : 'Do MMMM[ta] YYYY, [klo] HH.mm',\n LLLL : 'dddd, Do MMMM[ta] YYYY, [klo] HH.mm',\n l : 'D.M.YYYY',\n ll : 'Do MMM YYYY',\n lll : 'Do MMM YYYY, [klo] HH.mm',\n llll : 'ddd, Do MMM YYYY, [klo] HH.mm'\n },\n calendar : {\n sameDay : '[tänään] [klo] LT',\n nextDay : '[huomenna] [klo] LT',\n nextWeek : 'dddd [klo] LT',\n lastDay : '[eilen] [klo] LT',\n lastWeek : '[viime] dddd[na] [klo] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s päästä',\n past : '%s sitten',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return fi;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var fo = moment.defineLocale('fo', {\n months : 'januar_februar_mars_apríl_mai_juni_juli_august_september_oktober_november_desember'.split('_'),\n monthsShort : 'jan_feb_mar_apr_mai_jun_jul_aug_sep_okt_nov_des'.split('_'),\n weekdays : 'sunnudagur_mánadagur_týsdagur_mikudagur_hósdagur_fríggjadagur_leygardagur'.split('_'),\n weekdaysShort : 'sun_mán_týs_mik_hós_frí_ley'.split('_'),\n weekdaysMin : 'su_má_tý_mi_hó_fr_le'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D. MMMM, YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Í dag kl.] LT',\n nextDay : '[Í morgin kl.] LT',\n nextWeek : 'dddd [kl.] LT',\n lastDay : '[Í gjár kl.] LT',\n lastWeek : '[síðstu] dddd [kl] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'um %s',\n past : '%s síðani',\n s : 'fá sekund',\n ss : '%d sekundir',\n m : 'ein minuttur',\n mm : '%d minuttir',\n h : 'ein tími',\n hh : '%d tímar',\n d : 'ein dagur',\n dd : '%d dagar',\n M : 'ein mánaður',\n MM : '%d mánaðir',\n y : 'eitt ár',\n yy : '%d ár'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return fo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var fr = moment.defineLocale('fr', {\n months : 'janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre'.split('_'),\n monthsShort : 'janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.'.split('_'),\n monthsParseExact : true,\n weekdays : 'dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi'.split('_'),\n weekdaysShort : 'dim._lun._mar._mer._jeu._ven._sam.'.split('_'),\n weekdaysMin : 'di_lu_ma_me_je_ve_sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Aujourd’hui à] LT',\n nextDay : '[Demain à] LT',\n nextWeek : 'dddd [à] LT',\n lastDay : '[Hier à] LT',\n lastWeek : 'dddd [dernier à] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dans %s',\n past : 'il y a %s',\n s : 'quelques secondes',\n ss : '%d secondes',\n m : 'une minute',\n mm : '%d minutes',\n h : 'une heure',\n hh : '%d heures',\n d : 'un jour',\n dd : '%d jours',\n M : 'un mois',\n MM : '%d mois',\n y : 'un an',\n yy : '%d ans'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(er|)/,\n ordinal : function (number, period) {\n switch (period) {\n // TODO: Return 'e' when day of month > 1. Move this case inside\n // block for masculine words below.\n // See https://github.com/moment/moment/issues/3375\n case 'D':\n return number + (number === 1 ? 'er' : '');\n\n // Words with masculine grammatical gender: mois, trimestre, jour\n default:\n case 'M':\n case 'Q':\n case 'DDD':\n case 'd':\n return number + (number === 1 ? 'er' : 'e');\n\n // Words with feminine grammatical gender: semaine\n case 'w':\n case 'W':\n return number + (number === 1 ? 're' : 'e');\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return fr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var frCa = moment.defineLocale('fr-ca', {\n months : 'janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre'.split('_'),\n monthsShort : 'janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.'.split('_'),\n monthsParseExact : true,\n weekdays : 'dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi'.split('_'),\n weekdaysShort : 'dim._lun._mar._mer._jeu._ven._sam.'.split('_'),\n weekdaysMin : 'di_lu_ma_me_je_ve_sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Aujourd’hui à] LT',\n nextDay : '[Demain à] LT',\n nextWeek : 'dddd [à] LT',\n lastDay : '[Hier à] LT',\n lastWeek : 'dddd [dernier à] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dans %s',\n past : 'il y a %s',\n s : 'quelques secondes',\n ss : '%d secondes',\n m : 'une minute',\n mm : '%d minutes',\n h : 'une heure',\n hh : '%d heures',\n d : 'un jour',\n dd : '%d jours',\n M : 'un mois',\n MM : '%d mois',\n y : 'un an',\n yy : '%d ans'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(er|e)/,\n ordinal : function (number, period) {\n switch (period) {\n // Words with masculine grammatical gender: mois, trimestre, jour\n default:\n case 'M':\n case 'Q':\n case 'D':\n case 'DDD':\n case 'd':\n return number + (number === 1 ? 'er' : 'e');\n\n // Words with feminine grammatical gender: semaine\n case 'w':\n case 'W':\n return number + (number === 1 ? 're' : 'e');\n }\n }\n });\n\n return frCa;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var frCh = moment.defineLocale('fr-ch', {\n months : 'janvier_février_mars_avril_mai_juin_juillet_août_septembre_octobre_novembre_décembre'.split('_'),\n monthsShort : 'janv._févr._mars_avr._mai_juin_juil._août_sept._oct._nov._déc.'.split('_'),\n monthsParseExact : true,\n weekdays : 'dimanche_lundi_mardi_mercredi_jeudi_vendredi_samedi'.split('_'),\n weekdaysShort : 'dim._lun._mar._mer._jeu._ven._sam.'.split('_'),\n weekdaysMin : 'di_lu_ma_me_je_ve_sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Aujourd’hui à] LT',\n nextDay : '[Demain à] LT',\n nextWeek : 'dddd [à] LT',\n lastDay : '[Hier à] LT',\n lastWeek : 'dddd [dernier à] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dans %s',\n past : 'il y a %s',\n s : 'quelques secondes',\n ss : '%d secondes',\n m : 'une minute',\n mm : '%d minutes',\n h : 'une heure',\n hh : '%d heures',\n d : 'un jour',\n dd : '%d jours',\n M : 'un mois',\n MM : '%d mois',\n y : 'un an',\n yy : '%d ans'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(er|e)/,\n ordinal : function (number, period) {\n switch (period) {\n // Words with masculine grammatical gender: mois, trimestre, jour\n default:\n case 'M':\n case 'Q':\n case 'D':\n case 'DDD':\n case 'd':\n return number + (number === 1 ? 'er' : 'e');\n\n // Words with feminine grammatical gender: semaine\n case 'w':\n case 'W':\n return number + (number === 1 ? 're' : 'e');\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return frCh;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortWithDots = 'jan._feb._mrt._apr._mai_jun._jul._aug._sep._okt._nov._des.'.split('_'),\n monthsShortWithoutDots = 'jan_feb_mrt_apr_mai_jun_jul_aug_sep_okt_nov_des'.split('_');\n\n var fy = moment.defineLocale('fy', {\n months : 'jannewaris_febrewaris_maart_april_maaie_juny_july_augustus_septimber_oktober_novimber_desimber'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortWithDots;\n } else if (/-MMM-/.test(format)) {\n return monthsShortWithoutDots[m.month()];\n } else {\n return monthsShortWithDots[m.month()];\n }\n },\n monthsParseExact : true,\n weekdays : 'snein_moandei_tiisdei_woansdei_tongersdei_freed_sneon'.split('_'),\n weekdaysShort : 'si._mo._ti._wo._to._fr._so.'.split('_'),\n weekdaysMin : 'Si_Mo_Ti_Wo_To_Fr_So'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD-MM-YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[hjoed om] LT',\n nextDay: '[moarn om] LT',\n nextWeek: 'dddd [om] LT',\n lastDay: '[juster om] LT',\n lastWeek: '[ôfrûne] dddd [om] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'oer %s',\n past : '%s lyn',\n s : 'in pear sekonden',\n ss : '%d sekonden',\n m : 'ien minút',\n mm : '%d minuten',\n h : 'ien oere',\n hh : '%d oeren',\n d : 'ien dei',\n dd : '%d dagen',\n M : 'ien moanne',\n MM : '%d moannen',\n y : 'ien jier',\n yy : '%d jierren'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(ste|de)/,\n ordinal : function (number) {\n return number + ((number === 1 || number === 8 || number >= 20) ? 'ste' : 'de');\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return fy;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n\n var months = [\n 'Eanáir', 'Feabhra', 'Márta', 'Aibreán', 'Bealtaine', 'Méitheamh', 'Iúil', 'Lúnasa', 'Meán Fómhair', 'Deaireadh Fómhair', 'Samhain', 'Nollaig'\n ];\n\n var monthsShort = ['Eaná', 'Feab', 'Márt', 'Aibr', 'Beal', 'Méit', 'Iúil', 'Lúna', 'Meán', 'Deai', 'Samh', 'Noll'];\n\n var weekdays = ['Dé Domhnaigh', 'Dé Luain', 'Dé Máirt', 'Dé Céadaoin', 'Déardaoin', 'Dé hAoine', 'Dé Satharn'];\n\n var weekdaysShort = ['Dom', 'Lua', 'Mái', 'Céa', 'Déa', 'hAo', 'Sat'];\n\n var weekdaysMin = ['Do', 'Lu', 'Má', 'Ce', 'Dé', 'hA', 'Sa'];\n\n var ga = moment.defineLocale('ga', {\n months: months,\n monthsShort: monthsShort,\n monthsParseExact: true,\n weekdays: weekdays,\n weekdaysShort: weekdaysShort,\n weekdaysMin: weekdaysMin,\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY HH:mm',\n LLLL: 'dddd, D MMMM YYYY HH:mm'\n },\n calendar: {\n sameDay: '[Inniu ag] LT',\n nextDay: '[Amárach ag] LT',\n nextWeek: 'dddd [ag] LT',\n lastDay: '[Inné aig] LT',\n lastWeek: 'dddd [seo caite] [ag] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: 'i %s',\n past: '%s ó shin',\n s: 'cúpla soicind',\n ss: '%d soicind',\n m: 'nóiméad',\n mm: '%d nóiméad',\n h: 'uair an chloig',\n hh: '%d uair an chloig',\n d: 'lá',\n dd: '%d lá',\n M: 'mí',\n MM: '%d mí',\n y: 'bliain',\n yy: '%d bliain'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(d|na|mh)/,\n ordinal: function (number) {\n var output = number === 1 ? 'd' : number % 10 === 2 ? 'na' : 'mh';\n return number + output;\n },\n week: {\n dow: 1, // Monday is the first day of the week.\n doy: 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return ga;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = [\n 'Am Faoilleach', 'An Gearran', 'Am Màrt', 'An Giblean', 'An Cèitean', 'An t-Ògmhios', 'An t-Iuchar', 'An Lùnastal', 'An t-Sultain', 'An Dàmhair', 'An t-Samhain', 'An Dùbhlachd'\n ];\n\n var monthsShort = ['Faoi', 'Gear', 'Màrt', 'Gibl', 'Cèit', 'Ògmh', 'Iuch', 'Lùn', 'Sult', 'Dàmh', 'Samh', 'Dùbh'];\n\n var weekdays = ['Didòmhnaich', 'Diluain', 'Dimàirt', 'Diciadain', 'Diardaoin', 'Dihaoine', 'Disathairne'];\n\n var weekdaysShort = ['Did', 'Dil', 'Dim', 'Dic', 'Dia', 'Dih', 'Dis'];\n\n var weekdaysMin = ['Dò', 'Lu', 'Mà', 'Ci', 'Ar', 'Ha', 'Sa'];\n\n var gd = moment.defineLocale('gd', {\n months : months,\n monthsShort : monthsShort,\n monthsParseExact : true,\n weekdays : weekdays,\n weekdaysShort : weekdaysShort,\n weekdaysMin : weekdaysMin,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[An-diugh aig] LT',\n nextDay : '[A-màireach aig] LT',\n nextWeek : 'dddd [aig] LT',\n lastDay : '[An-dè aig] LT',\n lastWeek : 'dddd [seo chaidh] [aig] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'ann an %s',\n past : 'bho chionn %s',\n s : 'beagan diogan',\n ss : '%d diogan',\n m : 'mionaid',\n mm : '%d mionaidean',\n h : 'uair',\n hh : '%d uairean',\n d : 'latha',\n dd : '%d latha',\n M : 'mìos',\n MM : '%d mìosan',\n y : 'bliadhna',\n yy : '%d bliadhna'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}(d|na|mh)/,\n ordinal : function (number) {\n var output = number === 1 ? 'd' : number % 10 === 2 ? 'na' : 'mh';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return gd;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var gl = moment.defineLocale('gl', {\n months : 'xaneiro_febreiro_marzo_abril_maio_xuño_xullo_agosto_setembro_outubro_novembro_decembro'.split('_'),\n monthsShort : 'xan._feb._mar._abr._mai._xuñ._xul._ago._set._out._nov._dec.'.split('_'),\n monthsParseExact: true,\n weekdays : 'domingo_luns_martes_mércores_xoves_venres_sábado'.split('_'),\n weekdaysShort : 'dom._lun._mar._mér._xov._ven._sáb.'.split('_'),\n weekdaysMin : 'do_lu_ma_mé_xo_ve_sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY H:mm',\n LLLL : 'dddd, D [de] MMMM [de] YYYY H:mm'\n },\n calendar : {\n sameDay : function () {\n return '[hoxe ' + ((this.hours() !== 1) ? 'ás' : 'á') + '] LT';\n },\n nextDay : function () {\n return '[mañá ' + ((this.hours() !== 1) ? 'ás' : 'á') + '] LT';\n },\n nextWeek : function () {\n return 'dddd [' + ((this.hours() !== 1) ? 'ás' : 'a') + '] LT';\n },\n lastDay : function () {\n return '[onte ' + ((this.hours() !== 1) ? 'á' : 'a') + '] LT';\n },\n lastWeek : function () {\n return '[o] dddd [pasado ' + ((this.hours() !== 1) ? 'ás' : 'a') + '] LT';\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : function (str) {\n if (str.indexOf('un') === 0) {\n return 'n' + str;\n }\n return 'en ' + str;\n },\n past : 'hai %s',\n s : 'uns segundos',\n ss : '%d segundos',\n m : 'un minuto',\n mm : '%d minutos',\n h : 'unha hora',\n hh : '%d horas',\n d : 'un día',\n dd : '%d días',\n M : 'un mes',\n MM : '%d meses',\n y : 'un ano',\n yy : '%d anos'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal : '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return gl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 's': ['thodde secondanim', 'thodde second'],\n 'ss': [number + ' secondanim', number + ' second'],\n 'm': ['eka mintan', 'ek minute'],\n 'mm': [number + ' mintanim', number + ' mintam'],\n 'h': ['eka voran', 'ek vor'],\n 'hh': [number + ' voranim', number + ' voram'],\n 'd': ['eka disan', 'ek dis'],\n 'dd': [number + ' disanim', number + ' dis'],\n 'M': ['eka mhoinean', 'ek mhoino'],\n 'MM': [number + ' mhoineanim', number + ' mhoine'],\n 'y': ['eka vorsan', 'ek voros'],\n 'yy': [number + ' vorsanim', number + ' vorsam']\n };\n return withoutSuffix ? format[key][0] : format[key][1];\n }\n\n var gomLatn = moment.defineLocale('gom-latn', {\n months : 'Janer_Febrer_Mars_Abril_Mai_Jun_Julai_Agost_Setembr_Otubr_Novembr_Dezembr'.split('_'),\n monthsShort : 'Jan._Feb._Mars_Abr._Mai_Jun_Jul._Ago._Set._Otu._Nov._Dez.'.split('_'),\n monthsParseExact : true,\n weekdays : 'Aitar_Somar_Mongllar_Budvar_Brestar_Sukrar_Son\\'var'.split('_'),\n weekdaysShort : 'Ait._Som._Mon._Bud._Bre._Suk._Son.'.split('_'),\n weekdaysMin : 'Ai_Sm_Mo_Bu_Br_Su_Sn'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'A h:mm [vazta]',\n LTS : 'A h:mm:ss [vazta]',\n L : 'DD-MM-YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY A h:mm [vazta]',\n LLLL : 'dddd, MMMM[achea] Do, YYYY, A h:mm [vazta]',\n llll: 'ddd, D MMM YYYY, A h:mm [vazta]'\n },\n calendar : {\n sameDay: '[Aiz] LT',\n nextDay: '[Faleam] LT',\n nextWeek: '[Ieta to] dddd[,] LT',\n lastDay: '[Kal] LT',\n lastWeek: '[Fatlo] dddd[,] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : '%s',\n past : '%s adim',\n s : processRelativeTime,\n ss : processRelativeTime,\n m : processRelativeTime,\n mm : processRelativeTime,\n h : processRelativeTime,\n hh : processRelativeTime,\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse : /\\d{1,2}(er)/,\n ordinal : function (number, period) {\n switch (period) {\n // the ordinal 'er' only applies to day of the month\n case 'D':\n return number + 'er';\n default:\n case 'M':\n case 'Q':\n case 'DDD':\n case 'd':\n case 'w':\n case 'W':\n return number;\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n },\n meridiemParse: /rati|sokalli|donparam|sanje/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'rati') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'sokalli') {\n return hour;\n } else if (meridiem === 'donparam') {\n return hour > 12 ? hour : hour + 12;\n } else if (meridiem === 'sanje') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'rati';\n } else if (hour < 12) {\n return 'sokalli';\n } else if (hour < 16) {\n return 'donparam';\n } else if (hour < 20) {\n return 'sanje';\n } else {\n return 'rati';\n }\n }\n });\n\n return gomLatn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '૧',\n '2': '૨',\n '3': '૩',\n '4': '૪',\n '5': '૫',\n '6': '૬',\n '7': '૭',\n '8': '૮',\n '9': '૯',\n '0': '૦'\n },\n numberMap = {\n '૧': '1',\n '૨': '2',\n '૩': '3',\n '૪': '4',\n '૫': '5',\n '૬': '6',\n '૭': '7',\n '૮': '8',\n '૯': '9',\n '૦': '0'\n };\n\n var gu = moment.defineLocale('gu', {\n months: 'જાન્યુઆરી_ફેબ્રુઆરી_માર્ચ_એપ્રિલ_મે_જૂન_જુલાઈ_ઑગસ્ટ_સપ્ટેમ્બર_ઑક્ટ્બર_નવેમ્બર_ડિસેમ્બર'.split('_'),\n monthsShort: 'જાન્યુ._ફેબ્રુ._માર્ચ_એપ્રિ._મે_જૂન_જુલા._ઑગ._સપ્ટે._ઑક્ટ્._નવે._ડિસે.'.split('_'),\n monthsParseExact: true,\n weekdays: 'રવિવાર_સોમવાર_મંગળવાર_બુધ્વાર_ગુરુવાર_શુક્રવાર_શનિવાર'.split('_'),\n weekdaysShort: 'રવિ_સોમ_મંગળ_બુધ્_ગુરુ_શુક્ર_શનિ'.split('_'),\n weekdaysMin: 'ર_સો_મં_બુ_ગુ_શુ_શ'.split('_'),\n longDateFormat: {\n LT: 'A h:mm વાગ્યે',\n LTS: 'A h:mm:ss વાગ્યે',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY, A h:mm વાગ્યે',\n LLLL: 'dddd, D MMMM YYYY, A h:mm વાગ્યે'\n },\n calendar: {\n sameDay: '[આજ] LT',\n nextDay: '[કાલે] LT',\n nextWeek: 'dddd, LT',\n lastDay: '[ગઇકાલે] LT',\n lastWeek: '[પાછલા] dddd, LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: '%s મા',\n past: '%s પેહલા',\n s: 'અમુક પળો',\n ss: '%d સેકંડ',\n m: 'એક મિનિટ',\n mm: '%d મિનિટ',\n h: 'એક કલાક',\n hh: '%d કલાક',\n d: 'એક દિવસ',\n dd: '%d દિવસ',\n M: 'એક મહિનો',\n MM: '%d મહિનો',\n y: 'એક વર્ષ',\n yy: '%d વર્ષ'\n },\n preparse: function (string) {\n return string.replace(/[૧૨૩૪૫૬૭૮૯૦]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n // Gujarati notation for meridiems are quite fuzzy in practice. While there exists\n // a rigid notion of a 'Pahar' it is not used as rigidly in modern Gujarati.\n meridiemParse: /રાત|બપોર|સવાર|સાંજ/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'રાત') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'સવાર') {\n return hour;\n } else if (meridiem === 'બપોર') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'સાંજ') {\n return hour + 12;\n }\n },\n meridiem: function (hour, minute, isLower) {\n if (hour < 4) {\n return 'રાત';\n } else if (hour < 10) {\n return 'સવાર';\n } else if (hour < 17) {\n return 'બપોર';\n } else if (hour < 20) {\n return 'સાંજ';\n } else {\n return 'રાત';\n }\n },\n week: {\n dow: 0, // Sunday is the first day of the week.\n doy: 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return gu;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var he = moment.defineLocale('he', {\n months : 'ינואר_פברואר_מרץ_אפריל_מאי_יוני_יולי_אוגוסט_ספטמבר_אוקטובר_נובמבר_דצמבר'.split('_'),\n monthsShort : 'ינו׳_פבר׳_מרץ_אפר׳_מאי_יוני_יולי_אוג׳_ספט׳_אוק׳_נוב׳_דצמ׳'.split('_'),\n weekdays : 'ראשון_שני_שלישי_רביעי_חמישי_שישי_שבת'.split('_'),\n weekdaysShort : 'א׳_ב׳_ג׳_ד׳_ה׳_ו׳_ש׳'.split('_'),\n weekdaysMin : 'א_ב_ג_ד_ה_ו_ש'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D [ב]MMMM YYYY',\n LLL : 'D [ב]MMMM YYYY HH:mm',\n LLLL : 'dddd, D [ב]MMMM YYYY HH:mm',\n l : 'D/M/YYYY',\n ll : 'D MMM YYYY',\n lll : 'D MMM YYYY HH:mm',\n llll : 'ddd, D MMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[היום ב־]LT',\n nextDay : '[מחר ב־]LT',\n nextWeek : 'dddd [בשעה] LT',\n lastDay : '[אתמול ב־]LT',\n lastWeek : '[ביום] dddd [האחרון בשעה] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'בעוד %s',\n past : 'לפני %s',\n s : 'מספר שניות',\n ss : '%d שניות',\n m : 'דקה',\n mm : '%d דקות',\n h : 'שעה',\n hh : function (number) {\n if (number === 2) {\n return 'שעתיים';\n }\n return number + ' שעות';\n },\n d : 'יום',\n dd : function (number) {\n if (number === 2) {\n return 'יומיים';\n }\n return number + ' ימים';\n },\n M : 'חודש',\n MM : function (number) {\n if (number === 2) {\n return 'חודשיים';\n }\n return number + ' חודשים';\n },\n y : 'שנה',\n yy : function (number) {\n if (number === 2) {\n return 'שנתיים';\n } else if (number % 10 === 0 && number !== 10) {\n return number + ' שנה';\n }\n return number + ' שנים';\n }\n },\n meridiemParse: /אחה\"צ|לפנה\"צ|אחרי הצהריים|לפני הצהריים|לפנות בוקר|בבוקר|בערב/i,\n isPM : function (input) {\n return /^(אחה\"צ|אחרי הצהריים|בערב)$/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 5) {\n return 'לפנות בוקר';\n } else if (hour < 10) {\n return 'בבוקר';\n } else if (hour < 12) {\n return isLower ? 'לפנה\"צ' : 'לפני הצהריים';\n } else if (hour < 18) {\n return isLower ? 'אחה\"צ' : 'אחרי הצהריים';\n } else {\n return 'בערב';\n }\n }\n });\n\n return he;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '१',\n '2': '२',\n '3': '३',\n '4': '४',\n '5': '५',\n '6': '६',\n '7': '७',\n '8': '८',\n '9': '९',\n '0': '०'\n },\n numberMap = {\n '१': '1',\n '२': '2',\n '३': '3',\n '४': '4',\n '५': '5',\n '६': '6',\n '७': '7',\n '८': '8',\n '९': '9',\n '०': '0'\n };\n\n var hi = moment.defineLocale('hi', {\n months : 'जनवरी_फ़रवरी_मार्च_अप्रैल_मई_जून_जुलाई_अगस्त_सितम्बर_अक्टूबर_नवम्बर_दिसम्बर'.split('_'),\n monthsShort : 'जन._फ़र._मार्च_अप्रै._मई_जून_जुल._अग._सित._अक्टू._नव._दिस.'.split('_'),\n monthsParseExact: true,\n weekdays : 'रविवार_सोमवार_मंगलवार_बुधवार_गुरूवार_शुक्रवार_शनिवार'.split('_'),\n weekdaysShort : 'रवि_सोम_मंगल_बुध_गुरू_शुक्र_शनि'.split('_'),\n weekdaysMin : 'र_सो_मं_बु_गु_शु_श'.split('_'),\n longDateFormat : {\n LT : 'A h:mm बजे',\n LTS : 'A h:mm:ss बजे',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm बजे',\n LLLL : 'dddd, D MMMM YYYY, A h:mm बजे'\n },\n calendar : {\n sameDay : '[आज] LT',\n nextDay : '[कल] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[कल] LT',\n lastWeek : '[पिछले] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s में',\n past : '%s पहले',\n s : 'कुछ ही क्षण',\n ss : '%d सेकंड',\n m : 'एक मिनट',\n mm : '%d मिनट',\n h : 'एक घंटा',\n hh : '%d घंटे',\n d : 'एक दिन',\n dd : '%d दिन',\n M : 'एक महीने',\n MM : '%d महीने',\n y : 'एक वर्ष',\n yy : '%d वर्ष'\n },\n preparse: function (string) {\n return string.replace(/[१२३४५६७८९०]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n // Hindi notation for meridiems are quite fuzzy in practice. While there exists\n // a rigid notion of a 'Pahar' it is not used as rigidly in modern Hindi.\n meridiemParse: /रात|सुबह|दोपहर|शाम/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'रात') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'सुबह') {\n return hour;\n } else if (meridiem === 'दोपहर') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'शाम') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'रात';\n } else if (hour < 10) {\n return 'सुबह';\n } else if (hour < 17) {\n return 'दोपहर';\n } else if (hour < 20) {\n return 'शाम';\n } else {\n return 'रात';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return hi;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function translate(number, withoutSuffix, key) {\n var result = number + ' ';\n switch (key) {\n case 'ss':\n if (number === 1) {\n result += 'sekunda';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'sekunde';\n } else {\n result += 'sekundi';\n }\n return result;\n case 'm':\n return withoutSuffix ? 'jedna minuta' : 'jedne minute';\n case 'mm':\n if (number === 1) {\n result += 'minuta';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'minute';\n } else {\n result += 'minuta';\n }\n return result;\n case 'h':\n return withoutSuffix ? 'jedan sat' : 'jednog sata';\n case 'hh':\n if (number === 1) {\n result += 'sat';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'sata';\n } else {\n result += 'sati';\n }\n return result;\n case 'dd':\n if (number === 1) {\n result += 'dan';\n } else {\n result += 'dana';\n }\n return result;\n case 'MM':\n if (number === 1) {\n result += 'mjesec';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'mjeseca';\n } else {\n result += 'mjeseci';\n }\n return result;\n case 'yy':\n if (number === 1) {\n result += 'godina';\n } else if (number === 2 || number === 3 || number === 4) {\n result += 'godine';\n } else {\n result += 'godina';\n }\n return result;\n }\n }\n\n var hr = moment.defineLocale('hr', {\n months : {\n format: 'siječnja_veljače_ožujka_travnja_svibnja_lipnja_srpnja_kolovoza_rujna_listopada_studenoga_prosinca'.split('_'),\n standalone: 'siječanj_veljača_ožujak_travanj_svibanj_lipanj_srpanj_kolovoz_rujan_listopad_studeni_prosinac'.split('_')\n },\n monthsShort : 'sij._velj._ožu._tra._svi._lip._srp._kol._ruj._lis._stu._pro.'.split('_'),\n monthsParseExact: true,\n weekdays : 'nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota'.split('_'),\n weekdaysShort : 'ned._pon._uto._sri._čet._pet._sub.'.split('_'),\n weekdaysMin : 'ne_po_ut_sr_če_pe_su'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd, D. MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[danas u] LT',\n nextDay : '[sutra u] LT',\n nextWeek : function () {\n switch (this.day()) {\n case 0:\n return '[u] [nedjelju] [u] LT';\n case 3:\n return '[u] [srijedu] [u] LT';\n case 6:\n return '[u] [subotu] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[u] dddd [u] LT';\n }\n },\n lastDay : '[jučer u] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 0:\n case 3:\n return '[prošlu] dddd [u] LT';\n case 6:\n return '[prošle] [subote] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[prošli] dddd [u] LT';\n }\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'prije %s',\n s : 'par sekundi',\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : 'dan',\n dd : translate,\n M : 'mjesec',\n MM : translate,\n y : 'godinu',\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return hr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var weekEndings = 'vasárnap hétfőn kedden szerdán csütörtökön pénteken szombaton'.split(' ');\n function translate(number, withoutSuffix, key, isFuture) {\n var num = number;\n switch (key) {\n case 's':\n return (isFuture || withoutSuffix) ? 'néhány másodperc' : 'néhány másodperce';\n case 'ss':\n return num + (isFuture || withoutSuffix) ? ' másodperc' : ' másodperce';\n case 'm':\n return 'egy' + (isFuture || withoutSuffix ? ' perc' : ' perce');\n case 'mm':\n return num + (isFuture || withoutSuffix ? ' perc' : ' perce');\n case 'h':\n return 'egy' + (isFuture || withoutSuffix ? ' óra' : ' órája');\n case 'hh':\n return num + (isFuture || withoutSuffix ? ' óra' : ' órája');\n case 'd':\n return 'egy' + (isFuture || withoutSuffix ? ' nap' : ' napja');\n case 'dd':\n return num + (isFuture || withoutSuffix ? ' nap' : ' napja');\n case 'M':\n return 'egy' + (isFuture || withoutSuffix ? ' hónap' : ' hónapja');\n case 'MM':\n return num + (isFuture || withoutSuffix ? ' hónap' : ' hónapja');\n case 'y':\n return 'egy' + (isFuture || withoutSuffix ? ' év' : ' éve');\n case 'yy':\n return num + (isFuture || withoutSuffix ? ' év' : ' éve');\n }\n return '';\n }\n function week(isFuture) {\n return (isFuture ? '' : '[múlt] ') + '[' + weekEndings[this.day()] + '] LT[-kor]';\n }\n\n var hu = moment.defineLocale('hu', {\n months : 'január_február_március_április_május_június_július_augusztus_szeptember_október_november_december'.split('_'),\n monthsShort : 'jan_feb_márc_ápr_máj_jún_júl_aug_szept_okt_nov_dec'.split('_'),\n weekdays : 'vasárnap_hétfő_kedd_szerda_csütörtök_péntek_szombat'.split('_'),\n weekdaysShort : 'vas_hét_kedd_sze_csüt_pén_szo'.split('_'),\n weekdaysMin : 'v_h_k_sze_cs_p_szo'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'YYYY.MM.DD.',\n LL : 'YYYY. MMMM D.',\n LLL : 'YYYY. MMMM D. H:mm',\n LLLL : 'YYYY. MMMM D., dddd H:mm'\n },\n meridiemParse: /de|du/i,\n isPM: function (input) {\n return input.charAt(1).toLowerCase() === 'u';\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 12) {\n return isLower === true ? 'de' : 'DE';\n } else {\n return isLower === true ? 'du' : 'DU';\n }\n },\n calendar : {\n sameDay : '[ma] LT[-kor]',\n nextDay : '[holnap] LT[-kor]',\n nextWeek : function () {\n return week.call(this, true);\n },\n lastDay : '[tegnap] LT[-kor]',\n lastWeek : function () {\n return week.call(this, false);\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s múlva',\n past : '%s',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return hu;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var hyAm = moment.defineLocale('hy-am', {\n months : {\n format: 'հունվարի_փետրվարի_մարտի_ապրիլի_մայիսի_հունիսի_հուլիսի_օգոստոսի_սեպտեմբերի_հոկտեմբերի_նոյեմբերի_դեկտեմբերի'.split('_'),\n standalone: 'հունվար_փետրվար_մարտ_ապրիլ_մայիս_հունիս_հուլիս_օգոստոս_սեպտեմբեր_հոկտեմբեր_նոյեմբեր_դեկտեմբեր'.split('_')\n },\n monthsShort : 'հնվ_փտր_մրտ_ապր_մյս_հնս_հլս_օգս_սպտ_հկտ_նմբ_դկտ'.split('_'),\n weekdays : 'կիրակի_երկուշաբթի_երեքշաբթի_չորեքշաբթի_հինգշաբթի_ուրբաթ_շաբաթ'.split('_'),\n weekdaysShort : 'կրկ_երկ_երք_չրք_հնգ_ուրբ_շբթ'.split('_'),\n weekdaysMin : 'կրկ_երկ_երք_չրք_հնգ_ուրբ_շբթ'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY թ.',\n LLL : 'D MMMM YYYY թ., HH:mm',\n LLLL : 'dddd, D MMMM YYYY թ., HH:mm'\n },\n calendar : {\n sameDay: '[այսօր] LT',\n nextDay: '[վաղը] LT',\n lastDay: '[երեկ] LT',\n nextWeek: function () {\n return 'dddd [օրը ժամը] LT';\n },\n lastWeek: function () {\n return '[անցած] dddd [օրը ժամը] LT';\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : '%s հետո',\n past : '%s առաջ',\n s : 'մի քանի վայրկյան',\n ss : '%d վայրկյան',\n m : 'րոպե',\n mm : '%d րոպե',\n h : 'ժամ',\n hh : '%d ժամ',\n d : 'օր',\n dd : '%d օր',\n M : 'ամիս',\n MM : '%d ամիս',\n y : 'տարի',\n yy : '%d տարի'\n },\n meridiemParse: /գիշերվա|առավոտվա|ցերեկվա|երեկոյան/,\n isPM: function (input) {\n return /^(ցերեկվա|երեկոյան)$/.test(input);\n },\n meridiem : function (hour) {\n if (hour < 4) {\n return 'գիշերվա';\n } else if (hour < 12) {\n return 'առավոտվա';\n } else if (hour < 17) {\n return 'ցերեկվա';\n } else {\n return 'երեկոյան';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}|\\d{1,2}-(ին|րդ)/,\n ordinal: function (number, period) {\n switch (period) {\n case 'DDD':\n case 'w':\n case 'W':\n case 'DDDo':\n if (number === 1) {\n return number + '-ին';\n }\n return number + '-րդ';\n default:\n return number;\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return hyAm;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var id = moment.defineLocale('id', {\n months : 'Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_November_Desember'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_Mei_Jun_Jul_Agt_Sep_Okt_Nov_Des'.split('_'),\n weekdays : 'Minggu_Senin_Selasa_Rabu_Kamis_Jumat_Sabtu'.split('_'),\n weekdaysShort : 'Min_Sen_Sel_Rab_Kam_Jum_Sab'.split('_'),\n weekdaysMin : 'Mg_Sn_Sl_Rb_Km_Jm_Sb'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY [pukul] HH.mm',\n LLLL : 'dddd, D MMMM YYYY [pukul] HH.mm'\n },\n meridiemParse: /pagi|siang|sore|malam/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'pagi') {\n return hour;\n } else if (meridiem === 'siang') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'sore' || meridiem === 'malam') {\n return hour + 12;\n }\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 11) {\n return 'pagi';\n } else if (hours < 15) {\n return 'siang';\n } else if (hours < 19) {\n return 'sore';\n } else {\n return 'malam';\n }\n },\n calendar : {\n sameDay : '[Hari ini pukul] LT',\n nextDay : '[Besok pukul] LT',\n nextWeek : 'dddd [pukul] LT',\n lastDay : '[Kemarin pukul] LT',\n lastWeek : 'dddd [lalu pukul] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dalam %s',\n past : '%s yang lalu',\n s : 'beberapa detik',\n ss : '%d detik',\n m : 'semenit',\n mm : '%d menit',\n h : 'sejam',\n hh : '%d jam',\n d : 'sehari',\n dd : '%d hari',\n M : 'sebulan',\n MM : '%d bulan',\n y : 'setahun',\n yy : '%d tahun'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return id;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function plural(n) {\n if (n % 100 === 11) {\n return true;\n } else if (n % 10 === 1) {\n return false;\n }\n return true;\n }\n function translate(number, withoutSuffix, key, isFuture) {\n var result = number + ' ';\n switch (key) {\n case 's':\n return withoutSuffix || isFuture ? 'nokkrar sekúndur' : 'nokkrum sekúndum';\n case 'ss':\n if (plural(number)) {\n return result + (withoutSuffix || isFuture ? 'sekúndur' : 'sekúndum');\n }\n return result + 'sekúnda';\n case 'm':\n return withoutSuffix ? 'mínúta' : 'mínútu';\n case 'mm':\n if (plural(number)) {\n return result + (withoutSuffix || isFuture ? 'mínútur' : 'mínútum');\n } else if (withoutSuffix) {\n return result + 'mínúta';\n }\n return result + 'mínútu';\n case 'hh':\n if (plural(number)) {\n return result + (withoutSuffix || isFuture ? 'klukkustundir' : 'klukkustundum');\n }\n return result + 'klukkustund';\n case 'd':\n if (withoutSuffix) {\n return 'dagur';\n }\n return isFuture ? 'dag' : 'degi';\n case 'dd':\n if (plural(number)) {\n if (withoutSuffix) {\n return result + 'dagar';\n }\n return result + (isFuture ? 'daga' : 'dögum');\n } else if (withoutSuffix) {\n return result + 'dagur';\n }\n return result + (isFuture ? 'dag' : 'degi');\n case 'M':\n if (withoutSuffix) {\n return 'mánuður';\n }\n return isFuture ? 'mánuð' : 'mánuði';\n case 'MM':\n if (plural(number)) {\n if (withoutSuffix) {\n return result + 'mánuðir';\n }\n return result + (isFuture ? 'mánuði' : 'mánuðum');\n } else if (withoutSuffix) {\n return result + 'mánuður';\n }\n return result + (isFuture ? 'mánuð' : 'mánuði');\n case 'y':\n return withoutSuffix || isFuture ? 'ár' : 'ári';\n case 'yy':\n if (plural(number)) {\n return result + (withoutSuffix || isFuture ? 'ár' : 'árum');\n }\n return result + (withoutSuffix || isFuture ? 'ár' : 'ári');\n }\n }\n\n var is = moment.defineLocale('is', {\n months : 'janúar_febrúar_mars_apríl_maí_júní_júlí_ágúst_september_október_nóvember_desember'.split('_'),\n monthsShort : 'jan_feb_mar_apr_maí_jún_júl_ágú_sep_okt_nóv_des'.split('_'),\n weekdays : 'sunnudagur_mánudagur_þriðjudagur_miðvikudagur_fimmtudagur_föstudagur_laugardagur'.split('_'),\n weekdaysShort : 'sun_mán_þri_mið_fim_fös_lau'.split('_'),\n weekdaysMin : 'Su_Má_Þr_Mi_Fi_Fö_La'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY [kl.] H:mm',\n LLLL : 'dddd, D. MMMM YYYY [kl.] H:mm'\n },\n calendar : {\n sameDay : '[í dag kl.] LT',\n nextDay : '[á morgun kl.] LT',\n nextWeek : 'dddd [kl.] LT',\n lastDay : '[í gær kl.] LT',\n lastWeek : '[síðasta] dddd [kl.] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'eftir %s',\n past : 'fyrir %s síðan',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : 'klukkustund',\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return is;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var it = moment.defineLocale('it', {\n months : 'gennaio_febbraio_marzo_aprile_maggio_giugno_luglio_agosto_settembre_ottobre_novembre_dicembre'.split('_'),\n monthsShort : 'gen_feb_mar_apr_mag_giu_lug_ago_set_ott_nov_dic'.split('_'),\n weekdays : 'domenica_lunedì_martedì_mercoledì_giovedì_venerdì_sabato'.split('_'),\n weekdaysShort : 'dom_lun_mar_mer_gio_ven_sab'.split('_'),\n weekdaysMin : 'do_lu_ma_me_gi_ve_sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Oggi alle] LT',\n nextDay: '[Domani alle] LT',\n nextWeek: 'dddd [alle] LT',\n lastDay: '[Ieri alle] LT',\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n return '[la scorsa] dddd [alle] LT';\n default:\n return '[lo scorso] dddd [alle] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : function (s) {\n return ((/^[0-9].+$/).test(s) ? 'tra' : 'in') + ' ' + s;\n },\n past : '%s fa',\n s : 'alcuni secondi',\n ss : '%d secondi',\n m : 'un minuto',\n mm : '%d minuti',\n h : 'un\\'ora',\n hh : '%d ore',\n d : 'un giorno',\n dd : '%d giorni',\n M : 'un mese',\n MM : '%d mesi',\n y : 'un anno',\n yy : '%d anni'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal: '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return it;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var itCh = moment.defineLocale('it-ch', {\n months : 'gennaio_febbraio_marzo_aprile_maggio_giugno_luglio_agosto_settembre_ottobre_novembre_dicembre'.split('_'),\n monthsShort : 'gen_feb_mar_apr_mag_giu_lug_ago_set_ott_nov_dic'.split('_'),\n weekdays : 'domenica_lunedì_martedì_mercoledì_giovedì_venerdì_sabato'.split('_'),\n weekdaysShort : 'dom_lun_mar_mer_gio_ven_sab'.split('_'),\n weekdaysMin : 'do_lu_ma_me_gi_ve_sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Oggi alle] LT',\n nextDay: '[Domani alle] LT',\n nextWeek: 'dddd [alle] LT',\n lastDay: '[Ieri alle] LT',\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n return '[la scorsa] dddd [alle] LT';\n default:\n return '[lo scorso] dddd [alle] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : function (s) {\n return ((/^[0-9].+$/).test(s) ? 'tra' : 'in') + ' ' + s;\n },\n past : '%s fa',\n s : 'alcuni secondi',\n ss : '%d secondi',\n m : 'un minuto',\n mm : '%d minuti',\n h : 'un\\'ora',\n hh : '%d ore',\n d : 'un giorno',\n dd : '%d giorni',\n M : 'un mese',\n MM : '%d mesi',\n y : 'un anno',\n yy : '%d anni'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal: '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return itCh;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ja = moment.defineLocale('ja', {\n months : '一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月'.split('_'),\n monthsShort : '1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月'.split('_'),\n weekdays : '日曜日_月曜日_火曜日_水曜日_木曜日_金曜日_土曜日'.split('_'),\n weekdaysShort : '日_月_火_水_木_金_土'.split('_'),\n weekdaysMin : '日_月_火_水_木_金_土'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY/MM/DD',\n LL : 'YYYY年M月D日',\n LLL : 'YYYY年M月D日 HH:mm',\n LLLL : 'YYYY年M月D日 dddd HH:mm',\n l : 'YYYY/MM/DD',\n ll : 'YYYY年M月D日',\n lll : 'YYYY年M月D日 HH:mm',\n llll : 'YYYY年M月D日(ddd) HH:mm'\n },\n meridiemParse: /午前|午後/i,\n isPM : function (input) {\n return input === '午後';\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return '午前';\n } else {\n return '午後';\n }\n },\n calendar : {\n sameDay : '[今日] LT',\n nextDay : '[明日] LT',\n nextWeek : function (now) {\n if (now.week() < this.week()) {\n return '[来週]dddd LT';\n } else {\n return 'dddd LT';\n }\n },\n lastDay : '[昨日] LT',\n lastWeek : function (now) {\n if (this.week() < now.week()) {\n return '[先週]dddd LT';\n } else {\n return 'dddd LT';\n }\n },\n sameElse : 'L'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}日/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'DDD':\n return number + '日';\n default:\n return number;\n }\n },\n relativeTime : {\n future : '%s後',\n past : '%s前',\n s : '数秒',\n ss : '%d秒',\n m : '1分',\n mm : '%d分',\n h : '1時間',\n hh : '%d時間',\n d : '1日',\n dd : '%d日',\n M : '1ヶ月',\n MM : '%dヶ月',\n y : '1年',\n yy : '%d年'\n }\n });\n\n return ja;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var jv = moment.defineLocale('jv', {\n months : 'Januari_Februari_Maret_April_Mei_Juni_Juli_Agustus_September_Oktober_Nopember_Desember'.split('_'),\n monthsShort : 'Jan_Feb_Mar_Apr_Mei_Jun_Jul_Ags_Sep_Okt_Nop_Des'.split('_'),\n weekdays : 'Minggu_Senen_Seloso_Rebu_Kemis_Jemuwah_Septu'.split('_'),\n weekdaysShort : 'Min_Sen_Sel_Reb_Kem_Jem_Sep'.split('_'),\n weekdaysMin : 'Mg_Sn_Sl_Rb_Km_Jm_Sp'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY [pukul] HH.mm',\n LLLL : 'dddd, D MMMM YYYY [pukul] HH.mm'\n },\n meridiemParse: /enjing|siyang|sonten|ndalu/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'enjing') {\n return hour;\n } else if (meridiem === 'siyang') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'sonten' || meridiem === 'ndalu') {\n return hour + 12;\n }\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 11) {\n return 'enjing';\n } else if (hours < 15) {\n return 'siyang';\n } else if (hours < 19) {\n return 'sonten';\n } else {\n return 'ndalu';\n }\n },\n calendar : {\n sameDay : '[Dinten puniko pukul] LT',\n nextDay : '[Mbenjang pukul] LT',\n nextWeek : 'dddd [pukul] LT',\n lastDay : '[Kala wingi pukul] LT',\n lastWeek : 'dddd [kepengker pukul] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'wonten ing %s',\n past : '%s ingkang kepengker',\n s : 'sawetawis detik',\n ss : '%d detik',\n m : 'setunggal menit',\n mm : '%d menit',\n h : 'setunggal jam',\n hh : '%d jam',\n d : 'sedinten',\n dd : '%d dinten',\n M : 'sewulan',\n MM : '%d wulan',\n y : 'setaun',\n yy : '%d taun'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return jv;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ka = moment.defineLocale('ka', {\n months : {\n standalone: 'იანვარი_თებერვალი_მარტი_აპრილი_მაისი_ივნისი_ივლისი_აგვისტო_სექტემბერი_ოქტომბერი_ნოემბერი_დეკემბერი'.split('_'),\n format: 'იანვარს_თებერვალს_მარტს_აპრილის_მაისს_ივნისს_ივლისს_აგვისტს_სექტემბერს_ოქტომბერს_ნოემბერს_დეკემბერს'.split('_')\n },\n monthsShort : 'იან_თებ_მარ_აპრ_მაი_ივნ_ივლ_აგვ_სექ_ოქტ_ნოე_დეკ'.split('_'),\n weekdays : {\n standalone: 'კვირა_ორშაბათი_სამშაბათი_ოთხშაბათი_ხუთშაბათი_პარასკევი_შაბათი'.split('_'),\n format: 'კვირას_ორშაბათს_სამშაბათს_ოთხშაბათს_ხუთშაბათს_პარასკევს_შაბათს'.split('_'),\n isFormat: /(წინა|შემდეგ)/\n },\n weekdaysShort : 'კვი_ორშ_სამ_ოთხ_ხუთ_პარ_შაბ'.split('_'),\n weekdaysMin : 'კვ_ორ_სა_ოთ_ხუ_პა_შა'.split('_'),\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendar : {\n sameDay : '[დღეს] LT[-ზე]',\n nextDay : '[ხვალ] LT[-ზე]',\n lastDay : '[გუშინ] LT[-ზე]',\n nextWeek : '[შემდეგ] dddd LT[-ზე]',\n lastWeek : '[წინა] dddd LT-ზე',\n sameElse : 'L'\n },\n relativeTime : {\n future : function (s) {\n return (/(წამი|წუთი|საათი|წელი)/).test(s) ?\n s.replace(/ი$/, 'ში') :\n s + 'ში';\n },\n past : function (s) {\n if ((/(წამი|წუთი|საათი|დღე|თვე)/).test(s)) {\n return s.replace(/(ი|ე)$/, 'ის წინ');\n }\n if ((/წელი/).test(s)) {\n return s.replace(/წელი$/, 'წლის წინ');\n }\n },\n s : 'რამდენიმე წამი',\n ss : '%d წამი',\n m : 'წუთი',\n mm : '%d წუთი',\n h : 'საათი',\n hh : '%d საათი',\n d : 'დღე',\n dd : '%d დღე',\n M : 'თვე',\n MM : '%d თვე',\n y : 'წელი',\n yy : '%d წელი'\n },\n dayOfMonthOrdinalParse: /0|1-ლი|მე-\\d{1,2}|\\d{1,2}-ე/,\n ordinal : function (number) {\n if (number === 0) {\n return number;\n }\n if (number === 1) {\n return number + '-ლი';\n }\n if ((number < 20) || (number <= 100 && (number % 20 === 0)) || (number % 100 === 0)) {\n return 'მე-' + number;\n }\n return number + '-ე';\n },\n week : {\n dow : 1,\n doy : 7\n }\n });\n\n return ka;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var suffixes = {\n 0: '-ші',\n 1: '-ші',\n 2: '-ші',\n 3: '-ші',\n 4: '-ші',\n 5: '-ші',\n 6: '-шы',\n 7: '-ші',\n 8: '-ші',\n 9: '-шы',\n 10: '-шы',\n 20: '-шы',\n 30: '-шы',\n 40: '-шы',\n 50: '-ші',\n 60: '-шы',\n 70: '-ші',\n 80: '-ші',\n 90: '-шы',\n 100: '-ші'\n };\n\n var kk = moment.defineLocale('kk', {\n months : 'қаңтар_ақпан_наурыз_сәуір_мамыр_маусым_шілде_тамыз_қыркүйек_қазан_қараша_желтоқсан'.split('_'),\n monthsShort : 'қаң_ақп_нау_сәу_мам_мау_шіл_там_қыр_қаз_қар_жел'.split('_'),\n weekdays : 'жексенбі_дүйсенбі_сейсенбі_сәрсенбі_бейсенбі_жұма_сенбі'.split('_'),\n weekdaysShort : 'жек_дүй_сей_сәр_бей_жұм_сен'.split('_'),\n weekdaysMin : 'жк_дй_сй_ср_бй_жм_сн'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Бүгін сағат] LT',\n nextDay : '[Ертең сағат] LT',\n nextWeek : 'dddd [сағат] LT',\n lastDay : '[Кеше сағат] LT',\n lastWeek : '[Өткен аптаның] dddd [сағат] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s ішінде',\n past : '%s бұрын',\n s : 'бірнеше секунд',\n ss : '%d секунд',\n m : 'бір минут',\n mm : '%d минут',\n h : 'бір сағат',\n hh : '%d сағат',\n d : 'бір күн',\n dd : '%d күн',\n M : 'бір ай',\n MM : '%d ай',\n y : 'бір жыл',\n yy : '%d жыл'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(ші|шы)/,\n ordinal : function (number) {\n var a = number % 10,\n b = number >= 100 ? 100 : null;\n return number + (suffixes[number] || suffixes[a] || suffixes[b]);\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return kk;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '១',\n '2': '២',\n '3': '៣',\n '4': '៤',\n '5': '៥',\n '6': '៦',\n '7': '៧',\n '8': '៨',\n '9': '៩',\n '0': '០'\n }, numberMap = {\n '១': '1',\n '២': '2',\n '៣': '3',\n '៤': '4',\n '៥': '5',\n '៦': '6',\n '៧': '7',\n '៨': '8',\n '៩': '9',\n '០': '0'\n };\n\n var km = moment.defineLocale('km', {\n months: 'មករា_កុម្ភៈ_មីនា_មេសា_ឧសភា_មិថុនា_កក្កដា_សីហា_កញ្ញា_តុលា_វិច្ឆិកា_ធ្នូ'.split(\n '_'\n ),\n monthsShort: 'មករា_កុម្ភៈ_មីនា_មេសា_ឧសភា_មិថុនា_កក្កដា_សីហា_កញ្ញា_តុលា_វិច្ឆិកា_ធ្នូ'.split(\n '_'\n ),\n weekdays: 'អាទិត្យ_ច័ន្ទ_អង្គារ_ពុធ_ព្រហស្បតិ៍_សុក្រ_សៅរ៍'.split('_'),\n weekdaysShort: 'អា_ច_អ_ព_ព្រ_សុ_ស'.split('_'),\n weekdaysMin: 'អា_ច_អ_ព_ព្រ_សុ_ស'.split('_'),\n weekdaysParseExact: true,\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY HH:mm',\n LLLL: 'dddd, D MMMM YYYY HH:mm'\n },\n meridiemParse: /ព្រឹក|ល្ងាច/,\n isPM: function (input) {\n return input === 'ល្ងាច';\n },\n meridiem: function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ព្រឹក';\n } else {\n return 'ល្ងាច';\n }\n },\n calendar: {\n sameDay: '[ថ្ងៃនេះ ម៉ោង] LT',\n nextDay: '[ស្អែក ម៉ោង] LT',\n nextWeek: 'dddd [ម៉ោង] LT',\n lastDay: '[ម្សិលមិញ ម៉ោង] LT',\n lastWeek: 'dddd [សប្តាហ៍មុន] [ម៉ោង] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: '%sទៀត',\n past: '%sមុន',\n s: 'ប៉ុន្មានវិនាទី',\n ss: '%d វិនាទី',\n m: 'មួយនាទី',\n mm: '%d នាទី',\n h: 'មួយម៉ោង',\n hh: '%d ម៉ោង',\n d: 'មួយថ្ងៃ',\n dd: '%d ថ្ងៃ',\n M: 'មួយខែ',\n MM: '%d ខែ',\n y: 'មួយឆ្នាំ',\n yy: '%d ឆ្នាំ'\n },\n dayOfMonthOrdinalParse : /ទី\\d{1,2}/,\n ordinal : 'ទី%d',\n preparse: function (string) {\n return string.replace(/[១២៣៤៥៦៧៨៩០]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n week: {\n dow: 1, // Monday is the first day of the week.\n doy: 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return km;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '೧',\n '2': '೨',\n '3': '೩',\n '4': '೪',\n '5': '೫',\n '6': '೬',\n '7': '೭',\n '8': '೮',\n '9': '೯',\n '0': '೦'\n },\n numberMap = {\n '೧': '1',\n '೨': '2',\n '೩': '3',\n '೪': '4',\n '೫': '5',\n '೬': '6',\n '೭': '7',\n '೮': '8',\n '೯': '9',\n '೦': '0'\n };\n\n var kn = moment.defineLocale('kn', {\n months : 'ಜನವರಿ_ಫೆಬ್ರವರಿ_ಮಾರ್ಚ್_ಏಪ್ರಿಲ್_ಮೇ_ಜೂನ್_ಜುಲೈ_ಆಗಸ್ಟ್_ಸೆಪ್ಟೆಂಬರ್_ಅಕ್ಟೋಬರ್_ನವೆಂಬರ್_ಡಿಸೆಂಬರ್'.split('_'),\n monthsShort : 'ಜನ_ಫೆಬ್ರ_ಮಾರ್ಚ್_ಏಪ್ರಿಲ್_ಮೇ_ಜೂನ್_ಜುಲೈ_ಆಗಸ್ಟ್_ಸೆಪ್ಟೆಂ_ಅಕ್ಟೋ_ನವೆಂ_ಡಿಸೆಂ'.split('_'),\n monthsParseExact: true,\n weekdays : 'ಭಾನುವಾರ_ಸೋಮವಾರ_ಮಂಗಳವಾರ_ಬುಧವಾರ_ಗುರುವಾರ_ಶುಕ್ರವಾರ_ಶನಿವಾರ'.split('_'),\n weekdaysShort : 'ಭಾನು_ಸೋಮ_ಮಂಗಳ_ಬುಧ_ಗುರು_ಶುಕ್ರ_ಶನಿ'.split('_'),\n weekdaysMin : 'ಭಾ_ಸೋ_ಮಂ_ಬು_ಗು_ಶು_ಶ'.split('_'),\n longDateFormat : {\n LT : 'A h:mm',\n LTS : 'A h:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm',\n LLLL : 'dddd, D MMMM YYYY, A h:mm'\n },\n calendar : {\n sameDay : '[ಇಂದು] LT',\n nextDay : '[ನಾಳೆ] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[ನಿನ್ನೆ] LT',\n lastWeek : '[ಕೊನೆಯ] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s ನಂತರ',\n past : '%s ಹಿಂದೆ',\n s : 'ಕೆಲವು ಕ್ಷಣಗಳು',\n ss : '%d ಸೆಕೆಂಡುಗಳು',\n m : 'ಒಂದು ನಿಮಿಷ',\n mm : '%d ನಿಮಿಷ',\n h : 'ಒಂದು ಗಂಟೆ',\n hh : '%d ಗಂಟೆ',\n d : 'ಒಂದು ದಿನ',\n dd : '%d ದಿನ',\n M : 'ಒಂದು ತಿಂಗಳು',\n MM : '%d ತಿಂಗಳು',\n y : 'ಒಂದು ವರ್ಷ',\n yy : '%d ವರ್ಷ'\n },\n preparse: function (string) {\n return string.replace(/[೧೨೩೪೫೬೭೮೯೦]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n meridiemParse: /ರಾತ್ರಿ|ಬೆಳಿಗ್ಗೆ|ಮಧ್ಯಾಹ್ನ|ಸಂಜೆ/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'ರಾತ್ರಿ') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'ಬೆಳಿಗ್ಗೆ') {\n return hour;\n } else if (meridiem === 'ಮಧ್ಯಾಹ್ನ') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'ಸಂಜೆ') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'ರಾತ್ರಿ';\n } else if (hour < 10) {\n return 'ಬೆಳಿಗ್ಗೆ';\n } else if (hour < 17) {\n return 'ಮಧ್ಯಾಹ್ನ';\n } else if (hour < 20) {\n return 'ಸಂಜೆ';\n } else {\n return 'ರಾತ್ರಿ';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(ನೇ)/,\n ordinal : function (number) {\n return number + 'ನೇ';\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return kn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ko = moment.defineLocale('ko', {\n months : '1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월'.split('_'),\n monthsShort : '1월_2월_3월_4월_5월_6월_7월_8월_9월_10월_11월_12월'.split('_'),\n weekdays : '일요일_월요일_화요일_수요일_목요일_금요일_토요일'.split('_'),\n weekdaysShort : '일_월_화_수_목_금_토'.split('_'),\n weekdaysMin : '일_월_화_수_목_금_토'.split('_'),\n longDateFormat : {\n LT : 'A h:mm',\n LTS : 'A h:mm:ss',\n L : 'YYYY.MM.DD.',\n LL : 'YYYY년 MMMM D일',\n LLL : 'YYYY년 MMMM D일 A h:mm',\n LLLL : 'YYYY년 MMMM D일 dddd A h:mm',\n l : 'YYYY.MM.DD.',\n ll : 'YYYY년 MMMM D일',\n lll : 'YYYY년 MMMM D일 A h:mm',\n llll : 'YYYY년 MMMM D일 dddd A h:mm'\n },\n calendar : {\n sameDay : '오늘 LT',\n nextDay : '내일 LT',\n nextWeek : 'dddd LT',\n lastDay : '어제 LT',\n lastWeek : '지난주 dddd LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s 후',\n past : '%s 전',\n s : '몇 초',\n ss : '%d초',\n m : '1분',\n mm : '%d분',\n h : '한 시간',\n hh : '%d시간',\n d : '하루',\n dd : '%d일',\n M : '한 달',\n MM : '%d달',\n y : '일 년',\n yy : '%d년'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}(일|월|주)/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'DDD':\n return number + '일';\n case 'M':\n return number + '월';\n case 'w':\n case 'W':\n return number + '주';\n default:\n return number;\n }\n },\n meridiemParse : /오전|오후/,\n isPM : function (token) {\n return token === '오후';\n },\n meridiem : function (hour, minute, isUpper) {\n return hour < 12 ? '오전' : '오후';\n }\n });\n\n return ko;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '١',\n '2': '٢',\n '3': '٣',\n '4': '٤',\n '5': '٥',\n '6': '٦',\n '7': '٧',\n '8': '٨',\n '9': '٩',\n '0': '٠'\n }, numberMap = {\n '١': '1',\n '٢': '2',\n '٣': '3',\n '٤': '4',\n '٥': '5',\n '٦': '6',\n '٧': '7',\n '٨': '8',\n '٩': '9',\n '٠': '0'\n },\n months = [\n 'کانونی دووەم',\n 'شوبات',\n 'ئازار',\n 'نیسان',\n 'ئایار',\n 'حوزەیران',\n 'تەمموز',\n 'ئاب',\n 'ئەیلوول',\n 'تشرینی یەكەم',\n 'تشرینی دووەم',\n 'كانونی یەکەم'\n ];\n\n\n var ku = moment.defineLocale('ku', {\n months : months,\n monthsShort : months,\n weekdays : 'یه‌كشه‌ممه‌_دووشه‌ممه‌_سێشه‌ممه‌_چوارشه‌ممه‌_پێنجشه‌ممه‌_هه‌ینی_شه‌ممه‌'.split('_'),\n weekdaysShort : 'یه‌كشه‌م_دووشه‌م_سێشه‌م_چوارشه‌م_پێنجشه‌م_هه‌ینی_شه‌ممه‌'.split('_'),\n weekdaysMin : 'ی_د_س_چ_پ_ه_ش'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n meridiemParse: /ئێواره‌|به‌یانی/,\n isPM: function (input) {\n return /ئێواره‌/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'به‌یانی';\n } else {\n return 'ئێواره‌';\n }\n },\n calendar : {\n sameDay : '[ئه‌مرۆ كاتژمێر] LT',\n nextDay : '[به‌یانی كاتژمێر] LT',\n nextWeek : 'dddd [كاتژمێر] LT',\n lastDay : '[دوێنێ كاتژمێر] LT',\n lastWeek : 'dddd [كاتژمێر] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'له‌ %s',\n past : '%s',\n s : 'چه‌ند چركه‌یه‌ك',\n ss : 'چركه‌ %d',\n m : 'یه‌ك خوله‌ك',\n mm : '%d خوله‌ك',\n h : 'یه‌ك كاتژمێر',\n hh : '%d كاتژمێر',\n d : 'یه‌ك ڕۆژ',\n dd : '%d ڕۆژ',\n M : 'یه‌ك مانگ',\n MM : '%d مانگ',\n y : 'یه‌ك ساڵ',\n yy : '%d ساڵ'\n },\n preparse: function (string) {\n return string.replace(/[١٢٣٤٥٦٧٨٩٠]/g, function (match) {\n return numberMap[match];\n }).replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n }).replace(/,/g, '،');\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return ku;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var suffixes = {\n 0: '-чү',\n 1: '-чи',\n 2: '-чи',\n 3: '-чү',\n 4: '-чү',\n 5: '-чи',\n 6: '-чы',\n 7: '-чи',\n 8: '-чи',\n 9: '-чу',\n 10: '-чу',\n 20: '-чы',\n 30: '-чу',\n 40: '-чы',\n 50: '-чү',\n 60: '-чы',\n 70: '-чи',\n 80: '-чи',\n 90: '-чу',\n 100: '-чү'\n };\n\n var ky = moment.defineLocale('ky', {\n months : 'январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь'.split('_'),\n monthsShort : 'янв_фев_март_апр_май_июнь_июль_авг_сен_окт_ноя_дек'.split('_'),\n weekdays : 'Жекшемби_Дүйшөмбү_Шейшемби_Шаршемби_Бейшемби_Жума_Ишемби'.split('_'),\n weekdaysShort : 'Жек_Дүй_Шей_Шар_Бей_Жум_Ише'.split('_'),\n weekdaysMin : 'Жк_Дй_Шй_Шр_Бй_Жм_Иш'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Бүгүн саат] LT',\n nextDay : '[Эртең саат] LT',\n nextWeek : 'dddd [саат] LT',\n lastDay : '[Кечээ саат] LT',\n lastWeek : '[Өткөн аптанын] dddd [күнү] [саат] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s ичинде',\n past : '%s мурун',\n s : 'бирнече секунд',\n ss : '%d секунд',\n m : 'бир мүнөт',\n mm : '%d мүнөт',\n h : 'бир саат',\n hh : '%d саат',\n d : 'бир күн',\n dd : '%d күн',\n M : 'бир ай',\n MM : '%d ай',\n y : 'бир жыл',\n yy : '%d жыл'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(чи|чы|чү|чу)/,\n ordinal : function (number) {\n var a = number % 10,\n b = number >= 100 ? 100 : null;\n return number + (suffixes[number] || suffixes[a] || suffixes[b]);\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return ky;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 'm': ['eng Minutt', 'enger Minutt'],\n 'h': ['eng Stonn', 'enger Stonn'],\n 'd': ['een Dag', 'engem Dag'],\n 'M': ['ee Mount', 'engem Mount'],\n 'y': ['ee Joer', 'engem Joer']\n };\n return withoutSuffix ? format[key][0] : format[key][1];\n }\n function processFutureTime(string) {\n var number = string.substr(0, string.indexOf(' '));\n if (eifelerRegelAppliesToNumber(number)) {\n return 'a ' + string;\n }\n return 'an ' + string;\n }\n function processPastTime(string) {\n var number = string.substr(0, string.indexOf(' '));\n if (eifelerRegelAppliesToNumber(number)) {\n return 'viru ' + string;\n }\n return 'virun ' + string;\n }\n /**\n * Returns true if the word before the given number loses the '-n' ending.\n * e.g. 'an 10 Deeg' but 'a 5 Deeg'\n *\n * @param number {integer}\n * @returns {boolean}\n */\n function eifelerRegelAppliesToNumber(number) {\n number = parseInt(number, 10);\n if (isNaN(number)) {\n return false;\n }\n if (number < 0) {\n // Negative Number --> always true\n return true;\n } else if (number < 10) {\n // Only 1 digit\n if (4 <= number && number <= 7) {\n return true;\n }\n return false;\n } else if (number < 100) {\n // 2 digits\n var lastDigit = number % 10, firstDigit = number / 10;\n if (lastDigit === 0) {\n return eifelerRegelAppliesToNumber(firstDigit);\n }\n return eifelerRegelAppliesToNumber(lastDigit);\n } else if (number < 10000) {\n // 3 or 4 digits --> recursively check first digit\n while (number >= 10) {\n number = number / 10;\n }\n return eifelerRegelAppliesToNumber(number);\n } else {\n // Anything larger than 4 digits: recursively check first n-3 digits\n number = number / 1000;\n return eifelerRegelAppliesToNumber(number);\n }\n }\n\n var lb = moment.defineLocale('lb', {\n months: 'Januar_Februar_Mäerz_Abrëll_Mee_Juni_Juli_August_September_Oktober_November_Dezember'.split('_'),\n monthsShort: 'Jan._Febr._Mrz._Abr._Mee_Jun._Jul._Aug._Sept._Okt._Nov._Dez.'.split('_'),\n monthsParseExact : true,\n weekdays: 'Sonndeg_Méindeg_Dënschdeg_Mëttwoch_Donneschdeg_Freideg_Samschdeg'.split('_'),\n weekdaysShort: 'So._Mé._Dë._Më._Do._Fr._Sa.'.split('_'),\n weekdaysMin: 'So_Mé_Dë_Më_Do_Fr_Sa'.split('_'),\n weekdaysParseExact : true,\n longDateFormat: {\n LT: 'H:mm [Auer]',\n LTS: 'H:mm:ss [Auer]',\n L: 'DD.MM.YYYY',\n LL: 'D. MMMM YYYY',\n LLL: 'D. MMMM YYYY H:mm [Auer]',\n LLLL: 'dddd, D. MMMM YYYY H:mm [Auer]'\n },\n calendar: {\n sameDay: '[Haut um] LT',\n sameElse: 'L',\n nextDay: '[Muer um] LT',\n nextWeek: 'dddd [um] LT',\n lastDay: '[Gëschter um] LT',\n lastWeek: function () {\n // Different date string for 'Dënschdeg' (Tuesday) and 'Donneschdeg' (Thursday) due to phonological rule\n switch (this.day()) {\n case 2:\n case 4:\n return '[Leschten] dddd [um] LT';\n default:\n return '[Leschte] dddd [um] LT';\n }\n }\n },\n relativeTime : {\n future : processFutureTime,\n past : processPastTime,\n s : 'e puer Sekonnen',\n ss : '%d Sekonnen',\n m : processRelativeTime,\n mm : '%d Minutten',\n h : processRelativeTime,\n hh : '%d Stonnen',\n d : processRelativeTime,\n dd : '%d Deeg',\n M : processRelativeTime,\n MM : '%d Méint',\n y : processRelativeTime,\n yy : '%d Joer'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal: '%d.',\n week: {\n dow: 1, // Monday is the first day of the week.\n doy: 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return lb;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var lo = moment.defineLocale('lo', {\n months : 'ມັງກອນ_ກຸມພາ_ມີນາ_ເມສາ_ພຶດສະພາ_ມິຖຸນາ_ກໍລະກົດ_ສິງຫາ_ກັນຍາ_ຕຸລາ_ພະຈິກ_ທັນວາ'.split('_'),\n monthsShort : 'ມັງກອນ_ກຸມພາ_ມີນາ_ເມສາ_ພຶດສະພາ_ມິຖຸນາ_ກໍລະກົດ_ສິງຫາ_ກັນຍາ_ຕຸລາ_ພະຈິກ_ທັນວາ'.split('_'),\n weekdays : 'ອາທິດ_ຈັນ_ອັງຄານ_ພຸດ_ພະຫັດ_ສຸກ_ເສົາ'.split('_'),\n weekdaysShort : 'ທິດ_ຈັນ_ອັງຄານ_ພຸດ_ພະຫັດ_ສຸກ_ເສົາ'.split('_'),\n weekdaysMin : 'ທ_ຈ_ອຄ_ພ_ພຫ_ສກ_ສ'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'ວັນdddd D MMMM YYYY HH:mm'\n },\n meridiemParse: /ຕອນເຊົ້າ|ຕອນແລງ/,\n isPM: function (input) {\n return input === 'ຕອນແລງ';\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ຕອນເຊົ້າ';\n } else {\n return 'ຕອນແລງ';\n }\n },\n calendar : {\n sameDay : '[ມື້ນີ້ເວລາ] LT',\n nextDay : '[ມື້ອື່ນເວລາ] LT',\n nextWeek : '[ວັນ]dddd[ໜ້າເວລາ] LT',\n lastDay : '[ມື້ວານນີ້ເວລາ] LT',\n lastWeek : '[ວັນ]dddd[ແລ້ວນີ້ເວລາ] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'ອີກ %s',\n past : '%sຜ່ານມາ',\n s : 'ບໍ່ເທົ່າໃດວິນາທີ',\n ss : '%d ວິນາທີ' ,\n m : '1 ນາທີ',\n mm : '%d ນາທີ',\n h : '1 ຊົ່ວໂມງ',\n hh : '%d ຊົ່ວໂມງ',\n d : '1 ມື້',\n dd : '%d ມື້',\n M : '1 ເດືອນ',\n MM : '%d ເດືອນ',\n y : '1 ປີ',\n yy : '%d ປີ'\n },\n dayOfMonthOrdinalParse: /(ທີ່)\\d{1,2}/,\n ordinal : function (number) {\n return 'ທີ່' + number;\n }\n });\n\n return lo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var units = {\n 'ss' : 'sekundė_sekundžių_sekundes',\n 'm' : 'minutė_minutės_minutę',\n 'mm': 'minutės_minučių_minutes',\n 'h' : 'valanda_valandos_valandą',\n 'hh': 'valandos_valandų_valandas',\n 'd' : 'diena_dienos_dieną',\n 'dd': 'dienos_dienų_dienas',\n 'M' : 'mėnuo_mėnesio_mėnesį',\n 'MM': 'mėnesiai_mėnesių_mėnesius',\n 'y' : 'metai_metų_metus',\n 'yy': 'metai_metų_metus'\n };\n function translateSeconds(number, withoutSuffix, key, isFuture) {\n if (withoutSuffix) {\n return 'kelios sekundės';\n } else {\n return isFuture ? 'kelių sekundžių' : 'kelias sekundes';\n }\n }\n function translateSingular(number, withoutSuffix, key, isFuture) {\n return withoutSuffix ? forms(key)[0] : (isFuture ? forms(key)[1] : forms(key)[2]);\n }\n function special(number) {\n return number % 10 === 0 || (number > 10 && number < 20);\n }\n function forms(key) {\n return units[key].split('_');\n }\n function translate(number, withoutSuffix, key, isFuture) {\n var result = number + ' ';\n if (number === 1) {\n return result + translateSingular(number, withoutSuffix, key[0], isFuture);\n } else if (withoutSuffix) {\n return result + (special(number) ? forms(key)[1] : forms(key)[0]);\n } else {\n if (isFuture) {\n return result + forms(key)[1];\n } else {\n return result + (special(number) ? forms(key)[1] : forms(key)[2]);\n }\n }\n }\n var lt = moment.defineLocale('lt', {\n months : {\n format: 'sausio_vasario_kovo_balandžio_gegužės_birželio_liepos_rugpjūčio_rugsėjo_spalio_lapkričio_gruodžio'.split('_'),\n standalone: 'sausis_vasaris_kovas_balandis_gegužė_birželis_liepa_rugpjūtis_rugsėjis_spalis_lapkritis_gruodis'.split('_'),\n isFormat: /D[oD]?(\\[[^\\[\\]]*\\]|\\s)+MMMM?|MMMM?(\\[[^\\[\\]]*\\]|\\s)+D[oD]?/\n },\n monthsShort : 'sau_vas_kov_bal_geg_bir_lie_rgp_rgs_spa_lap_grd'.split('_'),\n weekdays : {\n format: 'sekmadienį_pirmadienį_antradienį_trečiadienį_ketvirtadienį_penktadienį_šeštadienį'.split('_'),\n standalone: 'sekmadienis_pirmadienis_antradienis_trečiadienis_ketvirtadienis_penktadienis_šeštadienis'.split('_'),\n isFormat: /dddd HH:mm/\n },\n weekdaysShort : 'Sek_Pir_Ant_Tre_Ket_Pen_Šeš'.split('_'),\n weekdaysMin : 'S_P_A_T_K_Pn_Š'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'YYYY [m.] MMMM D [d.]',\n LLL : 'YYYY [m.] MMMM D [d.], HH:mm [val.]',\n LLLL : 'YYYY [m.] MMMM D [d.], dddd, HH:mm [val.]',\n l : 'YYYY-MM-DD',\n ll : 'YYYY [m.] MMMM D [d.]',\n lll : 'YYYY [m.] MMMM D [d.], HH:mm [val.]',\n llll : 'YYYY [m.] MMMM D [d.], ddd, HH:mm [val.]'\n },\n calendar : {\n sameDay : '[Šiandien] LT',\n nextDay : '[Rytoj] LT',\n nextWeek : 'dddd LT',\n lastDay : '[Vakar] LT',\n lastWeek : '[Praėjusį] dddd LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'po %s',\n past : 'prieš %s',\n s : translateSeconds,\n ss : translate,\n m : translateSingular,\n mm : translate,\n h : translateSingular,\n hh : translate,\n d : translateSingular,\n dd : translate,\n M : translateSingular,\n MM : translate,\n y : translateSingular,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-oji/,\n ordinal : function (number) {\n return number + '-oji';\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return lt;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var units = {\n 'ss': 'sekundes_sekundēm_sekunde_sekundes'.split('_'),\n 'm': 'minūtes_minūtēm_minūte_minūtes'.split('_'),\n 'mm': 'minūtes_minūtēm_minūte_minūtes'.split('_'),\n 'h': 'stundas_stundām_stunda_stundas'.split('_'),\n 'hh': 'stundas_stundām_stunda_stundas'.split('_'),\n 'd': 'dienas_dienām_diena_dienas'.split('_'),\n 'dd': 'dienas_dienām_diena_dienas'.split('_'),\n 'M': 'mēneša_mēnešiem_mēnesis_mēneši'.split('_'),\n 'MM': 'mēneša_mēnešiem_mēnesis_mēneši'.split('_'),\n 'y': 'gada_gadiem_gads_gadi'.split('_'),\n 'yy': 'gada_gadiem_gads_gadi'.split('_')\n };\n /**\n * @param withoutSuffix boolean true = a length of time; false = before/after a period of time.\n */\n function format(forms, number, withoutSuffix) {\n if (withoutSuffix) {\n // E.g. \"21 minūte\", \"3 minūtes\".\n return number % 10 === 1 && number % 100 !== 11 ? forms[2] : forms[3];\n } else {\n // E.g. \"21 minūtes\" as in \"pēc 21 minūtes\".\n // E.g. \"3 minūtēm\" as in \"pēc 3 minūtēm\".\n return number % 10 === 1 && number % 100 !== 11 ? forms[0] : forms[1];\n }\n }\n function relativeTimeWithPlural(number, withoutSuffix, key) {\n return number + ' ' + format(units[key], number, withoutSuffix);\n }\n function relativeTimeWithSingular(number, withoutSuffix, key) {\n return format(units[key], number, withoutSuffix);\n }\n function relativeSeconds(number, withoutSuffix) {\n return withoutSuffix ? 'dažas sekundes' : 'dažām sekundēm';\n }\n\n var lv = moment.defineLocale('lv', {\n months : 'janvāris_februāris_marts_aprīlis_maijs_jūnijs_jūlijs_augusts_septembris_oktobris_novembris_decembris'.split('_'),\n monthsShort : 'jan_feb_mar_apr_mai_jūn_jūl_aug_sep_okt_nov_dec'.split('_'),\n weekdays : 'svētdiena_pirmdiena_otrdiena_trešdiena_ceturtdiena_piektdiena_sestdiena'.split('_'),\n weekdaysShort : 'Sv_P_O_T_C_Pk_S'.split('_'),\n weekdaysMin : 'Sv_P_O_T_C_Pk_S'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY.',\n LL : 'YYYY. [gada] D. MMMM',\n LLL : 'YYYY. [gada] D. MMMM, HH:mm',\n LLLL : 'YYYY. [gada] D. MMMM, dddd, HH:mm'\n },\n calendar : {\n sameDay : '[Šodien pulksten] LT',\n nextDay : '[Rīt pulksten] LT',\n nextWeek : 'dddd [pulksten] LT',\n lastDay : '[Vakar pulksten] LT',\n lastWeek : '[Pagājušā] dddd [pulksten] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'pēc %s',\n past : 'pirms %s',\n s : relativeSeconds,\n ss : relativeTimeWithPlural,\n m : relativeTimeWithSingular,\n mm : relativeTimeWithPlural,\n h : relativeTimeWithSingular,\n hh : relativeTimeWithPlural,\n d : relativeTimeWithSingular,\n dd : relativeTimeWithPlural,\n M : relativeTimeWithSingular,\n MM : relativeTimeWithPlural,\n y : relativeTimeWithSingular,\n yy : relativeTimeWithPlural\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return lv;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var translator = {\n words: { //Different grammatical cases\n ss: ['sekund', 'sekunda', 'sekundi'],\n m: ['jedan minut', 'jednog minuta'],\n mm: ['minut', 'minuta', 'minuta'],\n h: ['jedan sat', 'jednog sata'],\n hh: ['sat', 'sata', 'sati'],\n dd: ['dan', 'dana', 'dana'],\n MM: ['mjesec', 'mjeseca', 'mjeseci'],\n yy: ['godina', 'godine', 'godina']\n },\n correctGrammaticalCase: function (number, wordKey) {\n return number === 1 ? wordKey[0] : (number >= 2 && number <= 4 ? wordKey[1] : wordKey[2]);\n },\n translate: function (number, withoutSuffix, key) {\n var wordKey = translator.words[key];\n if (key.length === 1) {\n return withoutSuffix ? wordKey[0] : wordKey[1];\n } else {\n return number + ' ' + translator.correctGrammaticalCase(number, wordKey);\n }\n }\n };\n\n var me = moment.defineLocale('me', {\n months: 'januar_februar_mart_april_maj_jun_jul_avgust_septembar_oktobar_novembar_decembar'.split('_'),\n monthsShort: 'jan._feb._mar._apr._maj_jun_jul_avg._sep._okt._nov._dec.'.split('_'),\n monthsParseExact : true,\n weekdays: 'nedjelja_ponedjeljak_utorak_srijeda_četvrtak_petak_subota'.split('_'),\n weekdaysShort: 'ned._pon._uto._sri._čet._pet._sub.'.split('_'),\n weekdaysMin: 'ne_po_ut_sr_če_pe_su'.split('_'),\n weekdaysParseExact : true,\n longDateFormat: {\n LT: 'H:mm',\n LTS : 'H:mm:ss',\n L: 'DD.MM.YYYY',\n LL: 'D. MMMM YYYY',\n LLL: 'D. MMMM YYYY H:mm',\n LLLL: 'dddd, D. MMMM YYYY H:mm'\n },\n calendar: {\n sameDay: '[danas u] LT',\n nextDay: '[sjutra u] LT',\n\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[u] [nedjelju] [u] LT';\n case 3:\n return '[u] [srijedu] [u] LT';\n case 6:\n return '[u] [subotu] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[u] dddd [u] LT';\n }\n },\n lastDay : '[juče u] LT',\n lastWeek : function () {\n var lastWeekDays = [\n '[prošle] [nedjelje] [u] LT',\n '[prošlog] [ponedjeljka] [u] LT',\n '[prošlog] [utorka] [u] LT',\n '[prošle] [srijede] [u] LT',\n '[prošlog] [četvrtka] [u] LT',\n '[prošlog] [petka] [u] LT',\n '[prošle] [subote] [u] LT'\n ];\n return lastWeekDays[this.day()];\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'prije %s',\n s : 'nekoliko sekundi',\n ss : translator.translate,\n m : translator.translate,\n mm : translator.translate,\n h : translator.translate,\n hh : translator.translate,\n d : 'dan',\n dd : translator.translate,\n M : 'mjesec',\n MM : translator.translate,\n y : 'godinu',\n yy : translator.translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return me;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var mi = moment.defineLocale('mi', {\n months: 'Kohi-tāte_Hui-tanguru_Poutū-te-rangi_Paenga-whāwhā_Haratua_Pipiri_Hōngoingoi_Here-turi-kōkā_Mahuru_Whiringa-ā-nuku_Whiringa-ā-rangi_Hakihea'.split('_'),\n monthsShort: 'Kohi_Hui_Pou_Pae_Hara_Pipi_Hōngoi_Here_Mahu_Whi-nu_Whi-ra_Haki'.split('_'),\n monthsRegex: /(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,3}/i,\n monthsStrictRegex: /(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,3}/i,\n monthsShortRegex: /(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,3}/i,\n monthsShortStrictRegex: /(?:['a-z\\u0101\\u014D\\u016B]+\\-?){1,2}/i,\n weekdays: 'Rātapu_Mane_Tūrei_Wenerei_Tāite_Paraire_Hātarei'.split('_'),\n weekdaysShort: 'Ta_Ma_Tū_We_Tāi_Pa_Hā'.split('_'),\n weekdaysMin: 'Ta_Ma_Tū_We_Tāi_Pa_Hā'.split('_'),\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY [i] HH:mm',\n LLLL: 'dddd, D MMMM YYYY [i] HH:mm'\n },\n calendar: {\n sameDay: '[i teie mahana, i] LT',\n nextDay: '[apopo i] LT',\n nextWeek: 'dddd [i] LT',\n lastDay: '[inanahi i] LT',\n lastWeek: 'dddd [whakamutunga i] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: 'i roto i %s',\n past: '%s i mua',\n s: 'te hēkona ruarua',\n ss: '%d hēkona',\n m: 'he meneti',\n mm: '%d meneti',\n h: 'te haora',\n hh: '%d haora',\n d: 'he ra',\n dd: '%d ra',\n M: 'he marama',\n MM: '%d marama',\n y: 'he tau',\n yy: '%d tau'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}º/,\n ordinal: '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return mi;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var mk = moment.defineLocale('mk', {\n months : 'јануари_февруари_март_април_мај_јуни_јули_август_септември_октомври_ноември_декември'.split('_'),\n monthsShort : 'јан_фев_мар_апр_мај_јун_јул_авг_сеп_окт_ное_дек'.split('_'),\n weekdays : 'недела_понеделник_вторник_среда_четврток_петок_сабота'.split('_'),\n weekdaysShort : 'нед_пон_вто_сре_чет_пет_саб'.split('_'),\n weekdaysMin : 'нe_пo_вт_ср_че_пе_сa'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'D.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY H:mm',\n LLLL : 'dddd, D MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[Денес во] LT',\n nextDay : '[Утре во] LT',\n nextWeek : '[Во] dddd [во] LT',\n lastDay : '[Вчера во] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 0:\n case 3:\n case 6:\n return '[Изминатата] dddd [во] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[Изминатиот] dddd [во] LT';\n }\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'после %s',\n past : 'пред %s',\n s : 'неколку секунди',\n ss : '%d секунди',\n m : 'минута',\n mm : '%d минути',\n h : 'час',\n hh : '%d часа',\n d : 'ден',\n dd : '%d дена',\n M : 'месец',\n MM : '%d месеци',\n y : 'година',\n yy : '%d години'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(ев|ен|ти|ви|ри|ми)/,\n ordinal : function (number) {\n var lastDigit = number % 10,\n last2Digits = number % 100;\n if (number === 0) {\n return number + '-ев';\n } else if (last2Digits === 0) {\n return number + '-ен';\n } else if (last2Digits > 10 && last2Digits < 20) {\n return number + '-ти';\n } else if (lastDigit === 1) {\n return number + '-ви';\n } else if (lastDigit === 2) {\n return number + '-ри';\n } else if (lastDigit === 7 || lastDigit === 8) {\n return number + '-ми';\n } else {\n return number + '-ти';\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return mk;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ml = moment.defineLocale('ml', {\n months : 'ജനുവരി_ഫെബ്രുവരി_മാർച്ച്_ഏപ്രിൽ_മേയ്_ജൂൺ_ജൂലൈ_ഓഗസ്റ്റ്_സെപ്റ്റംബർ_ഒക്ടോബർ_നവംബർ_ഡിസംബർ'.split('_'),\n monthsShort : 'ജനു._ഫെബ്രു._മാർ._ഏപ്രി._മേയ്_ജൂൺ_ജൂലൈ._ഓഗ._സെപ്റ്റ._ഒക്ടോ._നവം._ഡിസം.'.split('_'),\n monthsParseExact : true,\n weekdays : 'ഞായറാഴ്ച_തിങ്കളാഴ്ച_ചൊവ്വാഴ്ച_ബുധനാഴ്ച_വ്യാഴാഴ്ച_വെള്ളിയാഴ്ച_ശനിയാഴ്ച'.split('_'),\n weekdaysShort : 'ഞായർ_തിങ്കൾ_ചൊവ്വ_ബുധൻ_വ്യാഴം_വെള്ളി_ശനി'.split('_'),\n weekdaysMin : 'ഞാ_തി_ചൊ_ബു_വ്യാ_വെ_ശ'.split('_'),\n longDateFormat : {\n LT : 'A h:mm -നു',\n LTS : 'A h:mm:ss -നു',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm -നു',\n LLLL : 'dddd, D MMMM YYYY, A h:mm -നു'\n },\n calendar : {\n sameDay : '[ഇന്ന്] LT',\n nextDay : '[നാളെ] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[ഇന്നലെ] LT',\n lastWeek : '[കഴിഞ്ഞ] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s കഴിഞ്ഞ്',\n past : '%s മുൻപ്',\n s : 'അൽപ നിമിഷങ്ങൾ',\n ss : '%d സെക്കൻഡ്',\n m : 'ഒരു മിനിറ്റ്',\n mm : '%d മിനിറ്റ്',\n h : 'ഒരു മണിക്കൂർ',\n hh : '%d മണിക്കൂർ',\n d : 'ഒരു ദിവസം',\n dd : '%d ദിവസം',\n M : 'ഒരു മാസം',\n MM : '%d മാസം',\n y : 'ഒരു വർഷം',\n yy : '%d വർഷം'\n },\n meridiemParse: /രാത്രി|രാവിലെ|ഉച്ച കഴിഞ്ഞ്|വൈകുന്നേരം|രാത്രി/i,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if ((meridiem === 'രാത്രി' && hour >= 4) ||\n meridiem === 'ഉച്ച കഴിഞ്ഞ്' ||\n meridiem === 'വൈകുന്നേരം') {\n return hour + 12;\n } else {\n return hour;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'രാത്രി';\n } else if (hour < 12) {\n return 'രാവിലെ';\n } else if (hour < 17) {\n return 'ഉച്ച കഴിഞ്ഞ്';\n } else if (hour < 20) {\n return 'വൈകുന്നേരം';\n } else {\n return 'രാത്രി';\n }\n }\n });\n\n return ml;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function translate(number, withoutSuffix, key, isFuture) {\n switch (key) {\n case 's':\n return withoutSuffix ? 'хэдхэн секунд' : 'хэдхэн секундын';\n case 'ss':\n return number + (withoutSuffix ? ' секунд' : ' секундын');\n case 'm':\n case 'mm':\n return number + (withoutSuffix ? ' минут' : ' минутын');\n case 'h':\n case 'hh':\n return number + (withoutSuffix ? ' цаг' : ' цагийн');\n case 'd':\n case 'dd':\n return number + (withoutSuffix ? ' өдөр' : ' өдрийн');\n case 'M':\n case 'MM':\n return number + (withoutSuffix ? ' сар' : ' сарын');\n case 'y':\n case 'yy':\n return number + (withoutSuffix ? ' жил' : ' жилийн');\n default:\n return number;\n }\n }\n\n var mn = moment.defineLocale('mn', {\n months : 'Нэгдүгээр сар_Хоёрдугаар сар_Гуравдугаар сар_Дөрөвдүгээр сар_Тавдугаар сар_Зургадугаар сар_Долдугаар сар_Наймдугаар сар_Есдүгээр сар_Аравдугаар сар_Арван нэгдүгээр сар_Арван хоёрдугаар сар'.split('_'),\n monthsShort : '1 сар_2 сар_3 сар_4 сар_5 сар_6 сар_7 сар_8 сар_9 сар_10 сар_11 сар_12 сар'.split('_'),\n monthsParseExact : true,\n weekdays : 'Ням_Даваа_Мягмар_Лхагва_Пүрэв_Баасан_Бямба'.split('_'),\n weekdaysShort : 'Ням_Дав_Мяг_Лха_Пүр_Баа_Бям'.split('_'),\n weekdaysMin : 'Ня_Да_Мя_Лх_Пү_Ба_Бя'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'YYYY оны MMMMын D',\n LLL : 'YYYY оны MMMMын D HH:mm',\n LLLL : 'dddd, YYYY оны MMMMын D HH:mm'\n },\n meridiemParse: /ҮӨ|ҮХ/i,\n isPM : function (input) {\n return input === 'ҮХ';\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ҮӨ';\n } else {\n return 'ҮХ';\n }\n },\n calendar : {\n sameDay : '[Өнөөдөр] LT',\n nextDay : '[Маргааш] LT',\n nextWeek : '[Ирэх] dddd LT',\n lastDay : '[Өчигдөр] LT',\n lastWeek : '[Өнгөрсөн] dddd LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s дараа',\n past : '%s өмнө',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2} өдөр/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'DDD':\n return number + ' өдөр';\n default:\n return number;\n }\n }\n });\n\n return mn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '१',\n '2': '२',\n '3': '३',\n '4': '४',\n '5': '५',\n '6': '६',\n '7': '७',\n '8': '८',\n '9': '९',\n '0': '०'\n },\n numberMap = {\n '१': '1',\n '२': '2',\n '३': '3',\n '४': '4',\n '५': '5',\n '६': '6',\n '७': '7',\n '८': '8',\n '९': '9',\n '०': '0'\n };\n\n function relativeTimeMr(number, withoutSuffix, string, isFuture)\n {\n var output = '';\n if (withoutSuffix) {\n switch (string) {\n case 's': output = 'काही सेकंद'; break;\n case 'ss': output = '%d सेकंद'; break;\n case 'm': output = 'एक मिनिट'; break;\n case 'mm': output = '%d मिनिटे'; break;\n case 'h': output = 'एक तास'; break;\n case 'hh': output = '%d तास'; break;\n case 'd': output = 'एक दिवस'; break;\n case 'dd': output = '%d दिवस'; break;\n case 'M': output = 'एक महिना'; break;\n case 'MM': output = '%d महिने'; break;\n case 'y': output = 'एक वर्ष'; break;\n case 'yy': output = '%d वर्षे'; break;\n }\n }\n else {\n switch (string) {\n case 's': output = 'काही सेकंदां'; break;\n case 'ss': output = '%d सेकंदां'; break;\n case 'm': output = 'एका मिनिटा'; break;\n case 'mm': output = '%d मिनिटां'; break;\n case 'h': output = 'एका तासा'; break;\n case 'hh': output = '%d तासां'; break;\n case 'd': output = 'एका दिवसा'; break;\n case 'dd': output = '%d दिवसां'; break;\n case 'M': output = 'एका महिन्या'; break;\n case 'MM': output = '%d महिन्यां'; break;\n case 'y': output = 'एका वर्षा'; break;\n case 'yy': output = '%d वर्षां'; break;\n }\n }\n return output.replace(/%d/i, number);\n }\n\n var mr = moment.defineLocale('mr', {\n months : 'जानेवारी_फेब्रुवारी_मार्च_एप्रिल_मे_जून_जुलै_ऑगस्ट_सप्टेंबर_ऑक्टोबर_नोव्हेंबर_डिसेंबर'.split('_'),\n monthsShort: 'जाने._फेब्रु._मार्च._एप्रि._मे._जून._जुलै._ऑग._सप्टें._ऑक्टो._नोव्हें._डिसें.'.split('_'),\n monthsParseExact : true,\n weekdays : 'रविवार_सोमवार_मंगळवार_बुधवार_गुरूवार_शुक्रवार_शनिवार'.split('_'),\n weekdaysShort : 'रवि_सोम_मंगळ_बुध_गुरू_शुक्र_शनि'.split('_'),\n weekdaysMin : 'र_सो_मं_बु_गु_शु_श'.split('_'),\n longDateFormat : {\n LT : 'A h:mm वाजता',\n LTS : 'A h:mm:ss वाजता',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm वाजता',\n LLLL : 'dddd, D MMMM YYYY, A h:mm वाजता'\n },\n calendar : {\n sameDay : '[आज] LT',\n nextDay : '[उद्या] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[काल] LT',\n lastWeek: '[मागील] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future: '%sमध्ये',\n past: '%sपूर्वी',\n s: relativeTimeMr,\n ss: relativeTimeMr,\n m: relativeTimeMr,\n mm: relativeTimeMr,\n h: relativeTimeMr,\n hh: relativeTimeMr,\n d: relativeTimeMr,\n dd: relativeTimeMr,\n M: relativeTimeMr,\n MM: relativeTimeMr,\n y: relativeTimeMr,\n yy: relativeTimeMr\n },\n preparse: function (string) {\n return string.replace(/[१२३४५६७८९०]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n meridiemParse: /रात्री|सकाळी|दुपारी|सायंकाळी/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'रात्री') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'सकाळी') {\n return hour;\n } else if (meridiem === 'दुपारी') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'सायंकाळी') {\n return hour + 12;\n }\n },\n meridiem: function (hour, minute, isLower) {\n if (hour < 4) {\n return 'रात्री';\n } else if (hour < 10) {\n return 'सकाळी';\n } else if (hour < 17) {\n return 'दुपारी';\n } else if (hour < 20) {\n return 'सायंकाळी';\n } else {\n return 'रात्री';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return mr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ms = moment.defineLocale('ms', {\n months : 'Januari_Februari_Mac_April_Mei_Jun_Julai_Ogos_September_Oktober_November_Disember'.split('_'),\n monthsShort : 'Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ogs_Sep_Okt_Nov_Dis'.split('_'),\n weekdays : 'Ahad_Isnin_Selasa_Rabu_Khamis_Jumaat_Sabtu'.split('_'),\n weekdaysShort : 'Ahd_Isn_Sel_Rab_Kha_Jum_Sab'.split('_'),\n weekdaysMin : 'Ah_Is_Sl_Rb_Km_Jm_Sb'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY [pukul] HH.mm',\n LLLL : 'dddd, D MMMM YYYY [pukul] HH.mm'\n },\n meridiemParse: /pagi|tengahari|petang|malam/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'pagi') {\n return hour;\n } else if (meridiem === 'tengahari') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'petang' || meridiem === 'malam') {\n return hour + 12;\n }\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 11) {\n return 'pagi';\n } else if (hours < 15) {\n return 'tengahari';\n } else if (hours < 19) {\n return 'petang';\n } else {\n return 'malam';\n }\n },\n calendar : {\n sameDay : '[Hari ini pukul] LT',\n nextDay : '[Esok pukul] LT',\n nextWeek : 'dddd [pukul] LT',\n lastDay : '[Kelmarin pukul] LT',\n lastWeek : 'dddd [lepas pukul] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dalam %s',\n past : '%s yang lepas',\n s : 'beberapa saat',\n ss : '%d saat',\n m : 'seminit',\n mm : '%d minit',\n h : 'sejam',\n hh : '%d jam',\n d : 'sehari',\n dd : '%d hari',\n M : 'sebulan',\n MM : '%d bulan',\n y : 'setahun',\n yy : '%d tahun'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return ms;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var msMy = moment.defineLocale('ms-my', {\n months : 'Januari_Februari_Mac_April_Mei_Jun_Julai_Ogos_September_Oktober_November_Disember'.split('_'),\n monthsShort : 'Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ogs_Sep_Okt_Nov_Dis'.split('_'),\n weekdays : 'Ahad_Isnin_Selasa_Rabu_Khamis_Jumaat_Sabtu'.split('_'),\n weekdaysShort : 'Ahd_Isn_Sel_Rab_Kha_Jum_Sab'.split('_'),\n weekdaysMin : 'Ah_Is_Sl_Rb_Km_Jm_Sb'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY [pukul] HH.mm',\n LLLL : 'dddd, D MMMM YYYY [pukul] HH.mm'\n },\n meridiemParse: /pagi|tengahari|petang|malam/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'pagi') {\n return hour;\n } else if (meridiem === 'tengahari') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'petang' || meridiem === 'malam') {\n return hour + 12;\n }\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 11) {\n return 'pagi';\n } else if (hours < 15) {\n return 'tengahari';\n } else if (hours < 19) {\n return 'petang';\n } else {\n return 'malam';\n }\n },\n calendar : {\n sameDay : '[Hari ini pukul] LT',\n nextDay : '[Esok pukul] LT',\n nextWeek : 'dddd [pukul] LT',\n lastDay : '[Kelmarin pukul] LT',\n lastWeek : 'dddd [lepas pukul] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'dalam %s',\n past : '%s yang lepas',\n s : 'beberapa saat',\n ss : '%d saat',\n m : 'seminit',\n mm : '%d minit',\n h : 'sejam',\n hh : '%d jam',\n d : 'sehari',\n dd : '%d hari',\n M : 'sebulan',\n MM : '%d bulan',\n y : 'setahun',\n yy : '%d tahun'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return msMy;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var mt = moment.defineLocale('mt', {\n months : 'Jannar_Frar_Marzu_April_Mejju_Ġunju_Lulju_Awwissu_Settembru_Ottubru_Novembru_Diċembru'.split('_'),\n monthsShort : 'Jan_Fra_Mar_Apr_Mej_Ġun_Lul_Aww_Set_Ott_Nov_Diċ'.split('_'),\n weekdays : 'Il-Ħadd_It-Tnejn_It-Tlieta_L-Erbgħa_Il-Ħamis_Il-Ġimgħa_Is-Sibt'.split('_'),\n weekdaysShort : 'Ħad_Tne_Tli_Erb_Ħam_Ġim_Sib'.split('_'),\n weekdaysMin : 'Ħa_Tn_Tl_Er_Ħa_Ġi_Si'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Illum fil-]LT',\n nextDay : '[Għada fil-]LT',\n nextWeek : 'dddd [fil-]LT',\n lastDay : '[Il-bieraħ fil-]LT',\n lastWeek : 'dddd [li għadda] [fil-]LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'f’ %s',\n past : '%s ilu',\n s : 'ftit sekondi',\n ss : '%d sekondi',\n m : 'minuta',\n mm : '%d minuti',\n h : 'siegħa',\n hh : '%d siegħat',\n d : 'ġurnata',\n dd : '%d ġranet',\n M : 'xahar',\n MM : '%d xhur',\n y : 'sena',\n yy : '%d sni'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}º/,\n ordinal: '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return mt;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '၁',\n '2': '၂',\n '3': '၃',\n '4': '၄',\n '5': '၅',\n '6': '၆',\n '7': '၇',\n '8': '၈',\n '9': '၉',\n '0': '၀'\n }, numberMap = {\n '၁': '1',\n '၂': '2',\n '၃': '3',\n '၄': '4',\n '၅': '5',\n '၆': '6',\n '၇': '7',\n '၈': '8',\n '၉': '9',\n '၀': '0'\n };\n\n var my = moment.defineLocale('my', {\n months: 'ဇန်နဝါရီ_ဖေဖော်ဝါရီ_မတ်_ဧပြီ_မေ_ဇွန်_ဇူလိုင်_သြဂုတ်_စက်တင်ဘာ_အောက်တိုဘာ_နိုဝင်ဘာ_ဒီဇင်ဘာ'.split('_'),\n monthsShort: 'ဇန်_ဖေ_မတ်_ပြီ_မေ_ဇွန်_လိုင်_သြ_စက်_အောက်_နို_ဒီ'.split('_'),\n weekdays: 'တနင်္ဂနွေ_တနင်္လာ_အင်္ဂါ_ဗုဒ္ဓဟူး_ကြာသပတေး_သောကြာ_စနေ'.split('_'),\n weekdaysShort: 'နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ'.split('_'),\n weekdaysMin: 'နွေ_လာ_ဂါ_ဟူး_ကြာ_သော_နေ'.split('_'),\n\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'DD/MM/YYYY',\n LL: 'D MMMM YYYY',\n LLL: 'D MMMM YYYY HH:mm',\n LLLL: 'dddd D MMMM YYYY HH:mm'\n },\n calendar: {\n sameDay: '[ယနေ.] LT [မှာ]',\n nextDay: '[မနက်ဖြန်] LT [မှာ]',\n nextWeek: 'dddd LT [မှာ]',\n lastDay: '[မနေ.က] LT [မှာ]',\n lastWeek: '[ပြီးခဲ့သော] dddd LT [မှာ]',\n sameElse: 'L'\n },\n relativeTime: {\n future: 'လာမည့် %s မှာ',\n past: 'လွန်ခဲ့သော %s က',\n s: 'စက္ကန်.အနည်းငယ်',\n ss : '%d စက္ကန့်',\n m: 'တစ်မိနစ်',\n mm: '%d မိနစ်',\n h: 'တစ်နာရီ',\n hh: '%d နာရီ',\n d: 'တစ်ရက်',\n dd: '%d ရက်',\n M: 'တစ်လ',\n MM: '%d လ',\n y: 'တစ်နှစ်',\n yy: '%d နှစ်'\n },\n preparse: function (string) {\n return string.replace(/[၁၂၃၄၅၆၇၈၉၀]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n week: {\n dow: 1, // Monday is the first day of the week.\n doy: 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return my;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var nb = moment.defineLocale('nb', {\n months : 'januar_februar_mars_april_mai_juni_juli_august_september_oktober_november_desember'.split('_'),\n monthsShort : 'jan._feb._mars_april_mai_juni_juli_aug._sep._okt._nov._des.'.split('_'),\n monthsParseExact : true,\n weekdays : 'søndag_mandag_tirsdag_onsdag_torsdag_fredag_lørdag'.split('_'),\n weekdaysShort : 'sø._ma._ti._on._to._fr._lø.'.split('_'),\n weekdaysMin : 'sø_ma_ti_on_to_fr_lø'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY [kl.] HH:mm',\n LLLL : 'dddd D. MMMM YYYY [kl.] HH:mm'\n },\n calendar : {\n sameDay: '[i dag kl.] LT',\n nextDay: '[i morgen kl.] LT',\n nextWeek: 'dddd [kl.] LT',\n lastDay: '[i går kl.] LT',\n lastWeek: '[forrige] dddd [kl.] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'om %s',\n past : '%s siden',\n s : 'noen sekunder',\n ss : '%d sekunder',\n m : 'ett minutt',\n mm : '%d minutter',\n h : 'en time',\n hh : '%d timer',\n d : 'en dag',\n dd : '%d dager',\n M : 'en måned',\n MM : '%d måneder',\n y : 'ett år',\n yy : '%d år'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return nb;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '१',\n '2': '२',\n '3': '३',\n '4': '४',\n '5': '५',\n '6': '६',\n '7': '७',\n '8': '८',\n '9': '९',\n '0': '०'\n },\n numberMap = {\n '१': '1',\n '२': '2',\n '३': '3',\n '४': '4',\n '५': '5',\n '६': '6',\n '७': '7',\n '८': '8',\n '९': '9',\n '०': '0'\n };\n\n var ne = moment.defineLocale('ne', {\n months : 'जनवरी_फेब्रुवरी_मार्च_अप्रिल_मई_जुन_जुलाई_अगष्ट_सेप्टेम्बर_अक्टोबर_नोभेम्बर_डिसेम्बर'.split('_'),\n monthsShort : 'जन._फेब्रु._मार्च_अप्रि._मई_जुन_जुलाई._अग._सेप्ट._अक्टो._नोभे._डिसे.'.split('_'),\n monthsParseExact : true,\n weekdays : 'आइतबार_सोमबार_मङ्गलबार_बुधबार_बिहिबार_शुक्रबार_शनिबार'.split('_'),\n weekdaysShort : 'आइत._सोम._मङ्गल._बुध._बिहि._शुक्र._शनि.'.split('_'),\n weekdaysMin : 'आ._सो._मं._बु._बि._शु._श.'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'Aको h:mm बजे',\n LTS : 'Aको h:mm:ss बजे',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, Aको h:mm बजे',\n LLLL : 'dddd, D MMMM YYYY, Aको h:mm बजे'\n },\n preparse: function (string) {\n return string.replace(/[१२३४५६७८९०]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n meridiemParse: /राति|बिहान|दिउँसो|साँझ/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'राति') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'बिहान') {\n return hour;\n } else if (meridiem === 'दिउँसो') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'साँझ') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 3) {\n return 'राति';\n } else if (hour < 12) {\n return 'बिहान';\n } else if (hour < 16) {\n return 'दिउँसो';\n } else if (hour < 20) {\n return 'साँझ';\n } else {\n return 'राति';\n }\n },\n calendar : {\n sameDay : '[आज] LT',\n nextDay : '[भोलि] LT',\n nextWeek : '[आउँदो] dddd[,] LT',\n lastDay : '[हिजो] LT',\n lastWeek : '[गएको] dddd[,] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%sमा',\n past : '%s अगाडि',\n s : 'केही क्षण',\n ss : '%d सेकेण्ड',\n m : 'एक मिनेट',\n mm : '%d मिनेट',\n h : 'एक घण्टा',\n hh : '%d घण्टा',\n d : 'एक दिन',\n dd : '%d दिन',\n M : 'एक महिना',\n MM : '%d महिना',\n y : 'एक बर्ष',\n yy : '%d बर्ष'\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return ne;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortWithDots = 'jan._feb._mrt._apr._mei_jun._jul._aug._sep._okt._nov._dec.'.split('_'),\n monthsShortWithoutDots = 'jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec'.split('_');\n\n var monthsParse = [/^jan/i, /^feb/i, /^maart|mrt.?$/i, /^apr/i, /^mei$/i, /^jun[i.]?$/i, /^jul[i.]?$/i, /^aug/i, /^sep/i, /^okt/i, /^nov/i, /^dec/i];\n var monthsRegex = /^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december|jan\\.?|feb\\.?|mrt\\.?|apr\\.?|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i;\n\n var nl = moment.defineLocale('nl', {\n months : 'januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortWithDots;\n } else if (/-MMM-/.test(format)) {\n return monthsShortWithoutDots[m.month()];\n } else {\n return monthsShortWithDots[m.month()];\n }\n },\n\n monthsRegex: monthsRegex,\n monthsShortRegex: monthsRegex,\n monthsStrictRegex: /^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december)/i,\n monthsShortStrictRegex: /^(jan\\.?|feb\\.?|mrt\\.?|apr\\.?|mei|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i,\n\n monthsParse : monthsParse,\n longMonthsParse : monthsParse,\n shortMonthsParse : monthsParse,\n\n weekdays : 'zondag_maandag_dinsdag_woensdag_donderdag_vrijdag_zaterdag'.split('_'),\n weekdaysShort : 'zo._ma._di._wo._do._vr._za.'.split('_'),\n weekdaysMin : 'zo_ma_di_wo_do_vr_za'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD-MM-YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[vandaag om] LT',\n nextDay: '[morgen om] LT',\n nextWeek: 'dddd [om] LT',\n lastDay: '[gisteren om] LT',\n lastWeek: '[afgelopen] dddd [om] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'over %s',\n past : '%s geleden',\n s : 'een paar seconden',\n ss : '%d seconden',\n m : 'één minuut',\n mm : '%d minuten',\n h : 'één uur',\n hh : '%d uur',\n d : 'één dag',\n dd : '%d dagen',\n M : 'één maand',\n MM : '%d maanden',\n y : 'één jaar',\n yy : '%d jaar'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(ste|de)/,\n ordinal : function (number) {\n return number + ((number === 1 || number === 8 || number >= 20) ? 'ste' : 'de');\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return nl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsShortWithDots = 'jan._feb._mrt._apr._mei_jun._jul._aug._sep._okt._nov._dec.'.split('_'),\n monthsShortWithoutDots = 'jan_feb_mrt_apr_mei_jun_jul_aug_sep_okt_nov_dec'.split('_');\n\n var monthsParse = [/^jan/i, /^feb/i, /^maart|mrt.?$/i, /^apr/i, /^mei$/i, /^jun[i.]?$/i, /^jul[i.]?$/i, /^aug/i, /^sep/i, /^okt/i, /^nov/i, /^dec/i];\n var monthsRegex = /^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december|jan\\.?|feb\\.?|mrt\\.?|apr\\.?|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i;\n\n var nlBe = moment.defineLocale('nl-be', {\n months : 'januari_februari_maart_april_mei_juni_juli_augustus_september_oktober_november_december'.split('_'),\n monthsShort : function (m, format) {\n if (!m) {\n return monthsShortWithDots;\n } else if (/-MMM-/.test(format)) {\n return monthsShortWithoutDots[m.month()];\n } else {\n return monthsShortWithDots[m.month()];\n }\n },\n\n monthsRegex: monthsRegex,\n monthsShortRegex: monthsRegex,\n monthsStrictRegex: /^(januari|februari|maart|april|mei|ju[nl]i|augustus|september|oktober|november|december)/i,\n monthsShortStrictRegex: /^(jan\\.?|feb\\.?|mrt\\.?|apr\\.?|mei|ju[nl]\\.?|aug\\.?|sep\\.?|okt\\.?|nov\\.?|dec\\.?)/i,\n\n monthsParse : monthsParse,\n longMonthsParse : monthsParse,\n shortMonthsParse : monthsParse,\n\n weekdays : 'zondag_maandag_dinsdag_woensdag_donderdag_vrijdag_zaterdag'.split('_'),\n weekdaysShort : 'zo._ma._di._wo._do._vr._za.'.split('_'),\n weekdaysMin : 'zo_ma_di_wo_do_vr_za'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[vandaag om] LT',\n nextDay: '[morgen om] LT',\n nextWeek: 'dddd [om] LT',\n lastDay: '[gisteren om] LT',\n lastWeek: '[afgelopen] dddd [om] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'over %s',\n past : '%s geleden',\n s : 'een paar seconden',\n ss : '%d seconden',\n m : 'één minuut',\n mm : '%d minuten',\n h : 'één uur',\n hh : '%d uur',\n d : 'één dag',\n dd : '%d dagen',\n M : 'één maand',\n MM : '%d maanden',\n y : 'één jaar',\n yy : '%d jaar'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(ste|de)/,\n ordinal : function (number) {\n return number + ((number === 1 || number === 8 || number >= 20) ? 'ste' : 'de');\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return nlBe;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var nn = moment.defineLocale('nn', {\n months : 'januar_februar_mars_april_mai_juni_juli_august_september_oktober_november_desember'.split('_'),\n monthsShort : 'jan_feb_mar_apr_mai_jun_jul_aug_sep_okt_nov_des'.split('_'),\n weekdays : 'sundag_måndag_tysdag_onsdag_torsdag_fredag_laurdag'.split('_'),\n weekdaysShort : 'sun_mån_tys_ons_tor_fre_lau'.split('_'),\n weekdaysMin : 'su_må_ty_on_to_fr_lø'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY [kl.] H:mm',\n LLLL : 'dddd D. MMMM YYYY [kl.] HH:mm'\n },\n calendar : {\n sameDay: '[I dag klokka] LT',\n nextDay: '[I morgon klokka] LT',\n nextWeek: 'dddd [klokka] LT',\n lastDay: '[I går klokka] LT',\n lastWeek: '[Føregåande] dddd [klokka] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'om %s',\n past : '%s sidan',\n s : 'nokre sekund',\n ss : '%d sekund',\n m : 'eit minutt',\n mm : '%d minutt',\n h : 'ein time',\n hh : '%d timar',\n d : 'ein dag',\n dd : '%d dagar',\n M : 'ein månad',\n MM : '%d månader',\n y : 'eit år',\n yy : '%d år'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return nn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '੧',\n '2': '੨',\n '3': '੩',\n '4': '੪',\n '5': '੫',\n '6': '੬',\n '7': '੭',\n '8': '੮',\n '9': '੯',\n '0': '੦'\n },\n numberMap = {\n '੧': '1',\n '੨': '2',\n '੩': '3',\n '੪': '4',\n '੫': '5',\n '੬': '6',\n '੭': '7',\n '੮': '8',\n '੯': '9',\n '੦': '0'\n };\n\n var paIn = moment.defineLocale('pa-in', {\n // There are months name as per Nanakshahi Calendar but they are not used as rigidly in modern Punjabi.\n months : 'ਜਨਵਰੀ_ਫ਼ਰਵਰੀ_ਮਾਰਚ_ਅਪ੍ਰੈਲ_ਮਈ_ਜੂਨ_ਜੁਲਾਈ_ਅਗਸਤ_ਸਤੰਬਰ_ਅਕਤੂਬਰ_ਨਵੰਬਰ_ਦਸੰਬਰ'.split('_'),\n monthsShort : 'ਜਨਵਰੀ_ਫ਼ਰਵਰੀ_ਮਾਰਚ_ਅਪ੍ਰੈਲ_ਮਈ_ਜੂਨ_ਜੁਲਾਈ_ਅਗਸਤ_ਸਤੰਬਰ_ਅਕਤੂਬਰ_ਨਵੰਬਰ_ਦਸੰਬਰ'.split('_'),\n weekdays : 'ਐਤਵਾਰ_ਸੋਮਵਾਰ_ਮੰਗਲਵਾਰ_ਬੁਧਵਾਰ_ਵੀਰਵਾਰ_ਸ਼ੁੱਕਰਵਾਰ_ਸ਼ਨੀਚਰਵਾਰ'.split('_'),\n weekdaysShort : 'ਐਤ_ਸੋਮ_ਮੰਗਲ_ਬੁਧ_ਵੀਰ_ਸ਼ੁਕਰ_ਸ਼ਨੀ'.split('_'),\n weekdaysMin : 'ਐਤ_ਸੋਮ_ਮੰਗਲ_ਬੁਧ_ਵੀਰ_ਸ਼ੁਕਰ_ਸ਼ਨੀ'.split('_'),\n longDateFormat : {\n LT : 'A h:mm ਵਜੇ',\n LTS : 'A h:mm:ss ਵਜੇ',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm ਵਜੇ',\n LLLL : 'dddd, D MMMM YYYY, A h:mm ਵਜੇ'\n },\n calendar : {\n sameDay : '[ਅਜ] LT',\n nextDay : '[ਕਲ] LT',\n nextWeek : '[ਅਗਲਾ] dddd, LT',\n lastDay : '[ਕਲ] LT',\n lastWeek : '[ਪਿਛਲੇ] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s ਵਿੱਚ',\n past : '%s ਪਿਛਲੇ',\n s : 'ਕੁਝ ਸਕਿੰਟ',\n ss : '%d ਸਕਿੰਟ',\n m : 'ਇਕ ਮਿੰਟ',\n mm : '%d ਮਿੰਟ',\n h : 'ਇੱਕ ਘੰਟਾ',\n hh : '%d ਘੰਟੇ',\n d : 'ਇੱਕ ਦਿਨ',\n dd : '%d ਦਿਨ',\n M : 'ਇੱਕ ਮਹੀਨਾ',\n MM : '%d ਮਹੀਨੇ',\n y : 'ਇੱਕ ਸਾਲ',\n yy : '%d ਸਾਲ'\n },\n preparse: function (string) {\n return string.replace(/[੧੨੩੪੫੬੭੮੯੦]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n // Punjabi notation for meridiems are quite fuzzy in practice. While there exists\n // a rigid notion of a 'Pahar' it is not used as rigidly in modern Punjabi.\n meridiemParse: /ਰਾਤ|ਸਵੇਰ|ਦੁਪਹਿਰ|ਸ਼ਾਮ/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'ਰਾਤ') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'ਸਵੇਰ') {\n return hour;\n } else if (meridiem === 'ਦੁਪਹਿਰ') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'ਸ਼ਾਮ') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'ਰਾਤ';\n } else if (hour < 10) {\n return 'ਸਵੇਰ';\n } else if (hour < 17) {\n return 'ਦੁਪਹਿਰ';\n } else if (hour < 20) {\n return 'ਸ਼ਾਮ';\n } else {\n return 'ਰਾਤ';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return paIn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var monthsNominative = 'styczeń_luty_marzec_kwiecień_maj_czerwiec_lipiec_sierpień_wrzesień_październik_listopad_grudzień'.split('_'),\n monthsSubjective = 'stycznia_lutego_marca_kwietnia_maja_czerwca_lipca_sierpnia_września_października_listopada_grudnia'.split('_');\n function plural(n) {\n return (n % 10 < 5) && (n % 10 > 1) && ((~~(n / 10) % 10) !== 1);\n }\n function translate(number, withoutSuffix, key) {\n var result = number + ' ';\n switch (key) {\n case 'ss':\n return result + (plural(number) ? 'sekundy' : 'sekund');\n case 'm':\n return withoutSuffix ? 'minuta' : 'minutę';\n case 'mm':\n return result + (plural(number) ? 'minuty' : 'minut');\n case 'h':\n return withoutSuffix ? 'godzina' : 'godzinę';\n case 'hh':\n return result + (plural(number) ? 'godziny' : 'godzin');\n case 'MM':\n return result + (plural(number) ? 'miesiące' : 'miesięcy');\n case 'yy':\n return result + (plural(number) ? 'lata' : 'lat');\n }\n }\n\n var pl = moment.defineLocale('pl', {\n months : function (momentToFormat, format) {\n if (!momentToFormat) {\n return monthsNominative;\n } else if (format === '') {\n // Hack: if format empty we know this is used to generate\n // RegExp by moment. Give then back both valid forms of months\n // in RegExp ready format.\n return '(' + monthsSubjective[momentToFormat.month()] + '|' + monthsNominative[momentToFormat.month()] + ')';\n } else if (/D MMMM/.test(format)) {\n return monthsSubjective[momentToFormat.month()];\n } else {\n return monthsNominative[momentToFormat.month()];\n }\n },\n monthsShort : 'sty_lut_mar_kwi_maj_cze_lip_sie_wrz_paź_lis_gru'.split('_'),\n weekdays : 'niedziela_poniedziałek_wtorek_środa_czwartek_piątek_sobota'.split('_'),\n weekdaysShort : 'ndz_pon_wt_śr_czw_pt_sob'.split('_'),\n weekdaysMin : 'Nd_Pn_Wt_Śr_Cz_Pt_So'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Dziś o] LT',\n nextDay: '[Jutro o] LT',\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[W niedzielę o] LT';\n\n case 2:\n return '[We wtorek o] LT';\n\n case 3:\n return '[W środę o] LT';\n\n case 6:\n return '[W sobotę o] LT';\n\n default:\n return '[W] dddd [o] LT';\n }\n },\n lastDay: '[Wczoraj o] LT',\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n return '[W zeszłą niedzielę o] LT';\n case 3:\n return '[W zeszłą środę o] LT';\n case 6:\n return '[W zeszłą sobotę o] LT';\n default:\n return '[W zeszły] dddd [o] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : '%s temu',\n s : 'kilka sekund',\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : '1 dzień',\n dd : '%d dni',\n M : 'miesiąc',\n MM : translate,\n y : 'rok',\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return pl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var pt = moment.defineLocale('pt', {\n months : 'Janeiro_Fevereiro_Março_Abril_Maio_Junho_Julho_Agosto_Setembro_Outubro_Novembro_Dezembro'.split('_'),\n monthsShort : 'Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez'.split('_'),\n weekdays : 'Domingo_Segunda-feira_Terça-feira_Quarta-feira_Quinta-feira_Sexta-feira_Sábado'.split('_'),\n weekdaysShort : 'Dom_Seg_Ter_Qua_Qui_Sex_Sáb'.split('_'),\n weekdaysMin : 'Do_2ª_3ª_4ª_5ª_6ª_Sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY HH:mm',\n LLLL : 'dddd, D [de] MMMM [de] YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Hoje às] LT',\n nextDay: '[Amanhã às] LT',\n nextWeek: 'dddd [às] LT',\n lastDay: '[Ontem às] LT',\n lastWeek: function () {\n return (this.day() === 0 || this.day() === 6) ?\n '[Último] dddd [às] LT' : // Saturday + Sunday\n '[Última] dddd [às] LT'; // Monday - Friday\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'em %s',\n past : 'há %s',\n s : 'segundos',\n ss : '%d segundos',\n m : 'um minuto',\n mm : '%d minutos',\n h : 'uma hora',\n hh : '%d horas',\n d : 'um dia',\n dd : '%d dias',\n M : 'um mês',\n MM : '%d meses',\n y : 'um ano',\n yy : '%d anos'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}º/,\n ordinal : '%dº',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return pt;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ptBr = moment.defineLocale('pt-br', {\n months : 'Janeiro_Fevereiro_Março_Abril_Maio_Junho_Julho_Agosto_Setembro_Outubro_Novembro_Dezembro'.split('_'),\n monthsShort : 'Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez'.split('_'),\n weekdays : 'Domingo_Segunda-feira_Terça-feira_Quarta-feira_Quinta-feira_Sexta-feira_Sábado'.split('_'),\n weekdaysShort : 'Dom_Seg_Ter_Qua_Qui_Sex_Sáb'.split('_'),\n weekdaysMin : 'Do_2ª_3ª_4ª_5ª_6ª_Sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D [de] MMMM [de] YYYY',\n LLL : 'D [de] MMMM [de] YYYY [às] HH:mm',\n LLLL : 'dddd, D [de] MMMM [de] YYYY [às] HH:mm'\n },\n calendar : {\n sameDay: '[Hoje às] LT',\n nextDay: '[Amanhã às] LT',\n nextWeek: 'dddd [às] LT',\n lastDay: '[Ontem às] LT',\n lastWeek: function () {\n return (this.day() === 0 || this.day() === 6) ?\n '[Último] dddd [às] LT' : // Saturday + Sunday\n '[Última] dddd [às] LT'; // Monday - Friday\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'em %s',\n past : 'há %s',\n s : 'poucos segundos',\n ss : '%d segundos',\n m : 'um minuto',\n mm : '%d minutos',\n h : 'uma hora',\n hh : '%d horas',\n d : 'um dia',\n dd : '%d dias',\n M : 'um mês',\n MM : '%d meses',\n y : 'um ano',\n yy : '%d anos'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}º/,\n ordinal : '%dº'\n });\n\n return ptBr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function relativeTimeWithPlural(number, withoutSuffix, key) {\n var format = {\n 'ss': 'secunde',\n 'mm': 'minute',\n 'hh': 'ore',\n 'dd': 'zile',\n 'MM': 'luni',\n 'yy': 'ani'\n },\n separator = ' ';\n if (number % 100 >= 20 || (number >= 100 && number % 100 === 0)) {\n separator = ' de ';\n }\n return number + separator + format[key];\n }\n\n var ro = moment.defineLocale('ro', {\n months : 'ianuarie_februarie_martie_aprilie_mai_iunie_iulie_august_septembrie_octombrie_noiembrie_decembrie'.split('_'),\n monthsShort : 'ian._febr._mart._apr._mai_iun._iul._aug._sept._oct._nov._dec.'.split('_'),\n monthsParseExact: true,\n weekdays : 'duminică_luni_marți_miercuri_joi_vineri_sâmbătă'.split('_'),\n weekdaysShort : 'Dum_Lun_Mar_Mie_Joi_Vin_Sâm'.split('_'),\n weekdaysMin : 'Du_Lu_Ma_Mi_Jo_Vi_Sâ'.split('_'),\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY H:mm',\n LLLL : 'dddd, D MMMM YYYY H:mm'\n },\n calendar : {\n sameDay: '[azi la] LT',\n nextDay: '[mâine la] LT',\n nextWeek: 'dddd [la] LT',\n lastDay: '[ieri la] LT',\n lastWeek: '[fosta] dddd [la] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'peste %s',\n past : '%s în urmă',\n s : 'câteva secunde',\n ss : relativeTimeWithPlural,\n m : 'un minut',\n mm : relativeTimeWithPlural,\n h : 'o oră',\n hh : relativeTimeWithPlural,\n d : 'o zi',\n dd : relativeTimeWithPlural,\n M : 'o lună',\n MM : relativeTimeWithPlural,\n y : 'un an',\n yy : relativeTimeWithPlural\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return ro;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function plural(word, num) {\n var forms = word.split('_');\n return num % 10 === 1 && num % 100 !== 11 ? forms[0] : (num % 10 >= 2 && num % 10 <= 4 && (num % 100 < 10 || num % 100 >= 20) ? forms[1] : forms[2]);\n }\n function relativeTimeWithPlural(number, withoutSuffix, key) {\n var format = {\n 'ss': withoutSuffix ? 'секунда_секунды_секунд' : 'секунду_секунды_секунд',\n 'mm': withoutSuffix ? 'минута_минуты_минут' : 'минуту_минуты_минут',\n 'hh': 'час_часа_часов',\n 'dd': 'день_дня_дней',\n 'MM': 'месяц_месяца_месяцев',\n 'yy': 'год_года_лет'\n };\n if (key === 'm') {\n return withoutSuffix ? 'минута' : 'минуту';\n }\n else {\n return number + ' ' + plural(format[key], +number);\n }\n }\n var monthsParse = [/^янв/i, /^фев/i, /^мар/i, /^апр/i, /^ма[йя]/i, /^июн/i, /^июл/i, /^авг/i, /^сен/i, /^окт/i, /^ноя/i, /^дек/i];\n\n // http://new.gramota.ru/spravka/rules/139-prop : § 103\n // Сокращения месяцев: http://new.gramota.ru/spravka/buro/search-answer?s=242637\n // CLDR data: http://www.unicode.org/cldr/charts/28/summary/ru.html#1753\n var ru = moment.defineLocale('ru', {\n months : {\n format: 'января_февраля_марта_апреля_мая_июня_июля_августа_сентября_октября_ноября_декабря'.split('_'),\n standalone: 'январь_февраль_март_апрель_май_июнь_июль_август_сентябрь_октябрь_ноябрь_декабрь'.split('_')\n },\n monthsShort : {\n // по CLDR именно \"июл.\" и \"июн.\", но какой смысл менять букву на точку ?\n format: 'янв._февр._мар._апр._мая_июня_июля_авг._сент._окт._нояб._дек.'.split('_'),\n standalone: 'янв._февр._март_апр._май_июнь_июль_авг._сент._окт._нояб._дек.'.split('_')\n },\n weekdays : {\n standalone: 'воскресенье_понедельник_вторник_среда_четверг_пятница_суббота'.split('_'),\n format: 'воскресенье_понедельник_вторник_среду_четверг_пятницу_субботу'.split('_'),\n isFormat: /\\[ ?[Вв] ?(?:прошлую|следующую|эту)? ?\\] ?dddd/\n },\n weekdaysShort : 'вс_пн_вт_ср_чт_пт_сб'.split('_'),\n weekdaysMin : 'вс_пн_вт_ср_чт_пт_сб'.split('_'),\n monthsParse : monthsParse,\n longMonthsParse : monthsParse,\n shortMonthsParse : monthsParse,\n\n // полные названия с падежами, по три буквы, для некоторых, по 4 буквы, сокращения с точкой и без точки\n monthsRegex: /^(январ[ья]|янв\\.?|феврал[ья]|февр?\\.?|марта?|мар\\.?|апрел[ья]|апр\\.?|ма[йя]|июн[ья]|июн\\.?|июл[ья]|июл\\.?|августа?|авг\\.?|сентябр[ья]|сент?\\.?|октябр[ья]|окт\\.?|ноябр[ья]|нояб?\\.?|декабр[ья]|дек\\.?)/i,\n\n // копия предыдущего\n monthsShortRegex: /^(январ[ья]|янв\\.?|феврал[ья]|февр?\\.?|марта?|мар\\.?|апрел[ья]|апр\\.?|ма[йя]|июн[ья]|июн\\.?|июл[ья]|июл\\.?|августа?|авг\\.?|сентябр[ья]|сент?\\.?|октябр[ья]|окт\\.?|ноябр[ья]|нояб?\\.?|декабр[ья]|дек\\.?)/i,\n\n // полные названия с падежами\n monthsStrictRegex: /^(январ[яь]|феврал[яь]|марта?|апрел[яь]|ма[яй]|июн[яь]|июл[яь]|августа?|сентябр[яь]|октябр[яь]|ноябр[яь]|декабр[яь])/i,\n\n // Выражение, которое соотвествует только сокращённым формам\n monthsShortStrictRegex: /^(янв\\.|февр?\\.|мар[т.]|апр\\.|ма[яй]|июн[ья.]|июл[ья.]|авг\\.|сент?\\.|окт\\.|нояб?\\.|дек\\.)/i,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY г.',\n LLL : 'D MMMM YYYY г., H:mm',\n LLLL : 'dddd, D MMMM YYYY г., H:mm'\n },\n calendar : {\n sameDay: '[Сегодня, в] LT',\n nextDay: '[Завтра, в] LT',\n lastDay: '[Вчера, в] LT',\n nextWeek: function (now) {\n if (now.week() !== this.week()) {\n switch (this.day()) {\n case 0:\n return '[В следующее] dddd, [в] LT';\n case 1:\n case 2:\n case 4:\n return '[В следующий] dddd, [в] LT';\n case 3:\n case 5:\n case 6:\n return '[В следующую] dddd, [в] LT';\n }\n } else {\n if (this.day() === 2) {\n return '[Во] dddd, [в] LT';\n } else {\n return '[В] dddd, [в] LT';\n }\n }\n },\n lastWeek: function (now) {\n if (now.week() !== this.week()) {\n switch (this.day()) {\n case 0:\n return '[В прошлое] dddd, [в] LT';\n case 1:\n case 2:\n case 4:\n return '[В прошлый] dddd, [в] LT';\n case 3:\n case 5:\n case 6:\n return '[В прошлую] dddd, [в] LT';\n }\n } else {\n if (this.day() === 2) {\n return '[Во] dddd, [в] LT';\n } else {\n return '[В] dddd, [в] LT';\n }\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'через %s',\n past : '%s назад',\n s : 'несколько секунд',\n ss : relativeTimeWithPlural,\n m : relativeTimeWithPlural,\n mm : relativeTimeWithPlural,\n h : 'час',\n hh : relativeTimeWithPlural,\n d : 'день',\n dd : relativeTimeWithPlural,\n M : 'месяц',\n MM : relativeTimeWithPlural,\n y : 'год',\n yy : relativeTimeWithPlural\n },\n meridiemParse: /ночи|утра|дня|вечера/i,\n isPM : function (input) {\n return /^(дня|вечера)$/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'ночи';\n } else if (hour < 12) {\n return 'утра';\n } else if (hour < 17) {\n return 'дня';\n } else {\n return 'вечера';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(й|го|я)/,\n ordinal: function (number, period) {\n switch (period) {\n case 'M':\n case 'd':\n case 'DDD':\n return number + '-й';\n case 'D':\n return number + '-го';\n case 'w':\n case 'W':\n return number + '-я';\n default:\n return number;\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return ru;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = [\n 'جنوري',\n 'فيبروري',\n 'مارچ',\n 'اپريل',\n 'مئي',\n 'جون',\n 'جولاءِ',\n 'آگسٽ',\n 'سيپٽمبر',\n 'آڪٽوبر',\n 'نومبر',\n 'ڊسمبر'\n ];\n var days = [\n 'آچر',\n 'سومر',\n 'اڱارو',\n 'اربع',\n 'خميس',\n 'جمع',\n 'ڇنڇر'\n ];\n\n var sd = moment.defineLocale('sd', {\n months : months,\n monthsShort : months,\n weekdays : days,\n weekdaysShort : days,\n weekdaysMin : days,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd، D MMMM YYYY HH:mm'\n },\n meridiemParse: /صبح|شام/,\n isPM : function (input) {\n return 'شام' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'صبح';\n }\n return 'شام';\n },\n calendar : {\n sameDay : '[اڄ] LT',\n nextDay : '[سڀاڻي] LT',\n nextWeek : 'dddd [اڳين هفتي تي] LT',\n lastDay : '[ڪالهه] LT',\n lastWeek : '[گزريل هفتي] dddd [تي] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s پوء',\n past : '%s اڳ',\n s : 'چند سيڪنڊ',\n ss : '%d سيڪنڊ',\n m : 'هڪ منٽ',\n mm : '%d منٽ',\n h : 'هڪ ڪلاڪ',\n hh : '%d ڪلاڪ',\n d : 'هڪ ڏينهن',\n dd : '%d ڏينهن',\n M : 'هڪ مهينو',\n MM : '%d مهينا',\n y : 'هڪ سال',\n yy : '%d سال'\n },\n preparse: function (string) {\n return string.replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/,/g, '،');\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return sd;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var se = moment.defineLocale('se', {\n months : 'ođđajagemánnu_guovvamánnu_njukčamánnu_cuoŋománnu_miessemánnu_geassemánnu_suoidnemánnu_borgemánnu_čakčamánnu_golggotmánnu_skábmamánnu_juovlamánnu'.split('_'),\n monthsShort : 'ođđj_guov_njuk_cuo_mies_geas_suoi_borg_čakč_golg_skáb_juov'.split('_'),\n weekdays : 'sotnabeaivi_vuossárga_maŋŋebárga_gaskavahkku_duorastat_bearjadat_lávvardat'.split('_'),\n weekdaysShort : 'sotn_vuos_maŋ_gask_duor_bear_láv'.split('_'),\n weekdaysMin : 's_v_m_g_d_b_L'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'MMMM D. [b.] YYYY',\n LLL : 'MMMM D. [b.] YYYY [ti.] HH:mm',\n LLLL : 'dddd, MMMM D. [b.] YYYY [ti.] HH:mm'\n },\n calendar : {\n sameDay: '[otne ti] LT',\n nextDay: '[ihttin ti] LT',\n nextWeek: 'dddd [ti] LT',\n lastDay: '[ikte ti] LT',\n lastWeek: '[ovddit] dddd [ti] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : '%s geažes',\n past : 'maŋit %s',\n s : 'moadde sekunddat',\n ss: '%d sekunddat',\n m : 'okta minuhta',\n mm : '%d minuhtat',\n h : 'okta diimmu',\n hh : '%d diimmut',\n d : 'okta beaivi',\n dd : '%d beaivvit',\n M : 'okta mánnu',\n MM : '%d mánut',\n y : 'okta jahki',\n yy : '%d jagit'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return se;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n /*jshint -W100*/\n var si = moment.defineLocale('si', {\n months : 'ජනවාරි_පෙබරවාරි_මාර්තු_අප්‍රේල්_මැයි_ජූනි_ජූලි_අගෝස්තු_සැප්තැම්බර්_ඔක්තෝබර්_නොවැම්බර්_දෙසැම්බර්'.split('_'),\n monthsShort : 'ජන_පෙබ_මාර්_අප්_මැයි_ජූනි_ජූලි_අගෝ_සැප්_ඔක්_නොවැ_දෙසැ'.split('_'),\n weekdays : 'ඉරිදා_සඳුදා_අඟහරුවාදා_බදාදා_බ්‍රහස්පතින්දා_සිකුරාදා_සෙනසුරාදා'.split('_'),\n weekdaysShort : 'ඉරි_සඳු_අඟ_බදා_බ්‍රහ_සිකු_සෙන'.split('_'),\n weekdaysMin : 'ඉ_ස_අ_බ_බ්‍ර_සි_සෙ'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'a h:mm',\n LTS : 'a h:mm:ss',\n L : 'YYYY/MM/DD',\n LL : 'YYYY MMMM D',\n LLL : 'YYYY MMMM D, a h:mm',\n LLLL : 'YYYY MMMM D [වැනි] dddd, a h:mm:ss'\n },\n calendar : {\n sameDay : '[අද] LT[ට]',\n nextDay : '[හෙට] LT[ට]',\n nextWeek : 'dddd LT[ට]',\n lastDay : '[ඊයේ] LT[ට]',\n lastWeek : '[පසුගිය] dddd LT[ට]',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%sකින්',\n past : '%sකට පෙර',\n s : 'තත්පර කිහිපය',\n ss : 'තත්පර %d',\n m : 'මිනිත්තුව',\n mm : 'මිනිත්තු %d',\n h : 'පැය',\n hh : 'පැය %d',\n d : 'දිනය',\n dd : 'දින %d',\n M : 'මාසය',\n MM : 'මාස %d',\n y : 'වසර',\n yy : 'වසර %d'\n },\n dayOfMonthOrdinalParse: /\\d{1,2} වැනි/,\n ordinal : function (number) {\n return number + ' වැනි';\n },\n meridiemParse : /පෙර වරු|පස් වරු|පෙ.ව|ප.ව./,\n isPM : function (input) {\n return input === 'ප.ව.' || input === 'පස් වරු';\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours > 11) {\n return isLower ? 'ප.ව.' : 'පස් වරු';\n } else {\n return isLower ? 'පෙ.ව.' : 'පෙර වරු';\n }\n }\n });\n\n return si;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = 'január_február_marec_apríl_máj_jún_júl_august_september_október_november_december'.split('_'),\n monthsShort = 'jan_feb_mar_apr_máj_jún_júl_aug_sep_okt_nov_dec'.split('_');\n function plural(n) {\n return (n > 1) && (n < 5);\n }\n function translate(number, withoutSuffix, key, isFuture) {\n var result = number + ' ';\n switch (key) {\n case 's': // a few seconds / in a few seconds / a few seconds ago\n return (withoutSuffix || isFuture) ? 'pár sekúnd' : 'pár sekundami';\n case 'ss': // 9 seconds / in 9 seconds / 9 seconds ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'sekundy' : 'sekúnd');\n } else {\n return result + 'sekundami';\n }\n break;\n case 'm': // a minute / in a minute / a minute ago\n return withoutSuffix ? 'minúta' : (isFuture ? 'minútu' : 'minútou');\n case 'mm': // 9 minutes / in 9 minutes / 9 minutes ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'minúty' : 'minút');\n } else {\n return result + 'minútami';\n }\n break;\n case 'h': // an hour / in an hour / an hour ago\n return withoutSuffix ? 'hodina' : (isFuture ? 'hodinu' : 'hodinou');\n case 'hh': // 9 hours / in 9 hours / 9 hours ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'hodiny' : 'hodín');\n } else {\n return result + 'hodinami';\n }\n break;\n case 'd': // a day / in a day / a day ago\n return (withoutSuffix || isFuture) ? 'deň' : 'dňom';\n case 'dd': // 9 days / in 9 days / 9 days ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'dni' : 'dní');\n } else {\n return result + 'dňami';\n }\n break;\n case 'M': // a month / in a month / a month ago\n return (withoutSuffix || isFuture) ? 'mesiac' : 'mesiacom';\n case 'MM': // 9 months / in 9 months / 9 months ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'mesiace' : 'mesiacov');\n } else {\n return result + 'mesiacmi';\n }\n break;\n case 'y': // a year / in a year / a year ago\n return (withoutSuffix || isFuture) ? 'rok' : 'rokom';\n case 'yy': // 9 years / in 9 years / 9 years ago\n if (withoutSuffix || isFuture) {\n return result + (plural(number) ? 'roky' : 'rokov');\n } else {\n return result + 'rokmi';\n }\n break;\n }\n }\n\n var sk = moment.defineLocale('sk', {\n months : months,\n monthsShort : monthsShort,\n weekdays : 'nedeľa_pondelok_utorok_streda_štvrtok_piatok_sobota'.split('_'),\n weekdaysShort : 'ne_po_ut_st_št_pi_so'.split('_'),\n weekdaysMin : 'ne_po_ut_st_št_pi_so'.split('_'),\n longDateFormat : {\n LT: 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd D. MMMM YYYY H:mm'\n },\n calendar : {\n sameDay: '[dnes o] LT',\n nextDay: '[zajtra o] LT',\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[v nedeľu o] LT';\n case 1:\n case 2:\n return '[v] dddd [o] LT';\n case 3:\n return '[v stredu o] LT';\n case 4:\n return '[vo štvrtok o] LT';\n case 5:\n return '[v piatok o] LT';\n case 6:\n return '[v sobotu o] LT';\n }\n },\n lastDay: '[včera o] LT',\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n return '[minulú nedeľu o] LT';\n case 1:\n case 2:\n return '[minulý] dddd [o] LT';\n case 3:\n return '[minulú stredu o] LT';\n case 4:\n case 5:\n return '[minulý] dddd [o] LT';\n case 6:\n return '[minulú sobotu o] LT';\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'pred %s',\n s : translate,\n ss : translate,\n m : translate,\n mm : translate,\n h : translate,\n hh : translate,\n d : translate,\n dd : translate,\n M : translate,\n MM : translate,\n y : translate,\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return sk;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var result = number + ' ';\n switch (key) {\n case 's':\n return withoutSuffix || isFuture ? 'nekaj sekund' : 'nekaj sekundami';\n case 'ss':\n if (number === 1) {\n result += withoutSuffix ? 'sekundo' : 'sekundi';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'sekundi' : 'sekundah';\n } else if (number < 5) {\n result += withoutSuffix || isFuture ? 'sekunde' : 'sekundah';\n } else {\n result += 'sekund';\n }\n return result;\n case 'm':\n return withoutSuffix ? 'ena minuta' : 'eno minuto';\n case 'mm':\n if (number === 1) {\n result += withoutSuffix ? 'minuta' : 'minuto';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'minuti' : 'minutama';\n } else if (number < 5) {\n result += withoutSuffix || isFuture ? 'minute' : 'minutami';\n } else {\n result += withoutSuffix || isFuture ? 'minut' : 'minutami';\n }\n return result;\n case 'h':\n return withoutSuffix ? 'ena ura' : 'eno uro';\n case 'hh':\n if (number === 1) {\n result += withoutSuffix ? 'ura' : 'uro';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'uri' : 'urama';\n } else if (number < 5) {\n result += withoutSuffix || isFuture ? 'ure' : 'urami';\n } else {\n result += withoutSuffix || isFuture ? 'ur' : 'urami';\n }\n return result;\n case 'd':\n return withoutSuffix || isFuture ? 'en dan' : 'enim dnem';\n case 'dd':\n if (number === 1) {\n result += withoutSuffix || isFuture ? 'dan' : 'dnem';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'dni' : 'dnevoma';\n } else {\n result += withoutSuffix || isFuture ? 'dni' : 'dnevi';\n }\n return result;\n case 'M':\n return withoutSuffix || isFuture ? 'en mesec' : 'enim mesecem';\n case 'MM':\n if (number === 1) {\n result += withoutSuffix || isFuture ? 'mesec' : 'mesecem';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'meseca' : 'mesecema';\n } else if (number < 5) {\n result += withoutSuffix || isFuture ? 'mesece' : 'meseci';\n } else {\n result += withoutSuffix || isFuture ? 'mesecev' : 'meseci';\n }\n return result;\n case 'y':\n return withoutSuffix || isFuture ? 'eno leto' : 'enim letom';\n case 'yy':\n if (number === 1) {\n result += withoutSuffix || isFuture ? 'leto' : 'letom';\n } else if (number === 2) {\n result += withoutSuffix || isFuture ? 'leti' : 'letoma';\n } else if (number < 5) {\n result += withoutSuffix || isFuture ? 'leta' : 'leti';\n } else {\n result += withoutSuffix || isFuture ? 'let' : 'leti';\n }\n return result;\n }\n }\n\n var sl = moment.defineLocale('sl', {\n months : 'januar_februar_marec_april_maj_junij_julij_avgust_september_oktober_november_december'.split('_'),\n monthsShort : 'jan._feb._mar._apr._maj._jun._jul._avg._sep._okt._nov._dec.'.split('_'),\n monthsParseExact: true,\n weekdays : 'nedelja_ponedeljek_torek_sreda_četrtek_petek_sobota'.split('_'),\n weekdaysShort : 'ned._pon._tor._sre._čet._pet._sob.'.split('_'),\n weekdaysMin : 'ne_po_to_sr_če_pe_so'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM YYYY',\n LLL : 'D. MMMM YYYY H:mm',\n LLLL : 'dddd, D. MMMM YYYY H:mm'\n },\n calendar : {\n sameDay : '[danes ob] LT',\n nextDay : '[jutri ob] LT',\n\n nextWeek : function () {\n switch (this.day()) {\n case 0:\n return '[v] [nedeljo] [ob] LT';\n case 3:\n return '[v] [sredo] [ob] LT';\n case 6:\n return '[v] [soboto] [ob] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[v] dddd [ob] LT';\n }\n },\n lastDay : '[včeraj ob] LT',\n lastWeek : function () {\n switch (this.day()) {\n case 0:\n return '[prejšnjo] [nedeljo] [ob] LT';\n case 3:\n return '[prejšnjo] [sredo] [ob] LT';\n case 6:\n return '[prejšnjo] [soboto] [ob] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[prejšnji] dddd [ob] LT';\n }\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'čez %s',\n past : 'pred %s',\n s : processRelativeTime,\n ss : processRelativeTime,\n m : processRelativeTime,\n mm : processRelativeTime,\n h : processRelativeTime,\n hh : processRelativeTime,\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return sl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var sq = moment.defineLocale('sq', {\n months : 'Janar_Shkurt_Mars_Prill_Maj_Qershor_Korrik_Gusht_Shtator_Tetor_Nëntor_Dhjetor'.split('_'),\n monthsShort : 'Jan_Shk_Mar_Pri_Maj_Qer_Kor_Gus_Sht_Tet_Nën_Dhj'.split('_'),\n weekdays : 'E Diel_E Hënë_E Martë_E Mërkurë_E Enjte_E Premte_E Shtunë'.split('_'),\n weekdaysShort : 'Die_Hën_Mar_Mër_Enj_Pre_Sht'.split('_'),\n weekdaysMin : 'D_H_Ma_Më_E_P_Sh'.split('_'),\n weekdaysParseExact : true,\n meridiemParse: /PD|MD/,\n isPM: function (input) {\n return input.charAt(0) === 'M';\n },\n meridiem : function (hours, minutes, isLower) {\n return hours < 12 ? 'PD' : 'MD';\n },\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Sot në] LT',\n nextDay : '[Nesër në] LT',\n nextWeek : 'dddd [në] LT',\n lastDay : '[Dje në] LT',\n lastWeek : 'dddd [e kaluar në] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'në %s',\n past : '%s më parë',\n s : 'disa sekonda',\n ss : '%d sekonda',\n m : 'një minutë',\n mm : '%d minuta',\n h : 'një orë',\n hh : '%d orë',\n d : 'një ditë',\n dd : '%d ditë',\n M : 'një muaj',\n MM : '%d muaj',\n y : 'një vit',\n yy : '%d vite'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return sq;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var translator = {\n words: { //Different grammatical cases\n ss: ['sekunda', 'sekunde', 'sekundi'],\n m: ['jedan minut', 'jedne minute'],\n mm: ['minut', 'minute', 'minuta'],\n h: ['jedan sat', 'jednog sata'],\n hh: ['sat', 'sata', 'sati'],\n dd: ['dan', 'dana', 'dana'],\n MM: ['mesec', 'meseca', 'meseci'],\n yy: ['godina', 'godine', 'godina']\n },\n correctGrammaticalCase: function (number, wordKey) {\n return number === 1 ? wordKey[0] : (number >= 2 && number <= 4 ? wordKey[1] : wordKey[2]);\n },\n translate: function (number, withoutSuffix, key) {\n var wordKey = translator.words[key];\n if (key.length === 1) {\n return withoutSuffix ? wordKey[0] : wordKey[1];\n } else {\n return number + ' ' + translator.correctGrammaticalCase(number, wordKey);\n }\n }\n };\n\n var sr = moment.defineLocale('sr', {\n months: 'januar_februar_mart_april_maj_jun_jul_avgust_septembar_oktobar_novembar_decembar'.split('_'),\n monthsShort: 'jan._feb._mar._apr._maj_jun_jul_avg._sep._okt._nov._dec.'.split('_'),\n monthsParseExact: true,\n weekdays: 'nedelja_ponedeljak_utorak_sreda_četvrtak_petak_subota'.split('_'),\n weekdaysShort: 'ned._pon._uto._sre._čet._pet._sub.'.split('_'),\n weekdaysMin: 'ne_po_ut_sr_če_pe_su'.split('_'),\n weekdaysParseExact : true,\n longDateFormat: {\n LT: 'H:mm',\n LTS : 'H:mm:ss',\n L: 'DD.MM.YYYY',\n LL: 'D. MMMM YYYY',\n LLL: 'D. MMMM YYYY H:mm',\n LLLL: 'dddd, D. MMMM YYYY H:mm'\n },\n calendar: {\n sameDay: '[danas u] LT',\n nextDay: '[sutra u] LT',\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[u] [nedelju] [u] LT';\n case 3:\n return '[u] [sredu] [u] LT';\n case 6:\n return '[u] [subotu] [u] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[u] dddd [u] LT';\n }\n },\n lastDay : '[juče u] LT',\n lastWeek : function () {\n var lastWeekDays = [\n '[prošle] [nedelje] [u] LT',\n '[prošlog] [ponedeljka] [u] LT',\n '[prošlog] [utorka] [u] LT',\n '[prošle] [srede] [u] LT',\n '[prošlog] [četvrtka] [u] LT',\n '[prošlog] [petka] [u] LT',\n '[prošle] [subote] [u] LT'\n ];\n return lastWeekDays[this.day()];\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'za %s',\n past : 'pre %s',\n s : 'nekoliko sekundi',\n ss : translator.translate,\n m : translator.translate,\n mm : translator.translate,\n h : translator.translate,\n hh : translator.translate,\n d : 'dan',\n dd : translator.translate,\n M : 'mesec',\n MM : translator.translate,\n y : 'godinu',\n yy : translator.translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return sr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var translator = {\n words: { //Different grammatical cases\n ss: ['секунда', 'секунде', 'секунди'],\n m: ['један минут', 'једне минуте'],\n mm: ['минут', 'минуте', 'минута'],\n h: ['један сат', 'једног сата'],\n hh: ['сат', 'сата', 'сати'],\n dd: ['дан', 'дана', 'дана'],\n MM: ['месец', 'месеца', 'месеци'],\n yy: ['година', 'године', 'година']\n },\n correctGrammaticalCase: function (number, wordKey) {\n return number === 1 ? wordKey[0] : (number >= 2 && number <= 4 ? wordKey[1] : wordKey[2]);\n },\n translate: function (number, withoutSuffix, key) {\n var wordKey = translator.words[key];\n if (key.length === 1) {\n return withoutSuffix ? wordKey[0] : wordKey[1];\n } else {\n return number + ' ' + translator.correctGrammaticalCase(number, wordKey);\n }\n }\n };\n\n var srCyrl = moment.defineLocale('sr-cyrl', {\n months: 'јануар_фебруар_март_април_мај_јун_јул_август_септембар_октобар_новембар_децембар'.split('_'),\n monthsShort: 'јан._феб._мар._апр._мај_јун_јул_авг._сеп._окт._нов._дец.'.split('_'),\n monthsParseExact: true,\n weekdays: 'недеља_понедељак_уторак_среда_четвртак_петак_субота'.split('_'),\n weekdaysShort: 'нед._пон._уто._сре._чет._пет._суб.'.split('_'),\n weekdaysMin: 'не_по_ут_ср_че_пе_су'.split('_'),\n weekdaysParseExact : true,\n longDateFormat: {\n LT: 'H:mm',\n LTS : 'H:mm:ss',\n L: 'DD.MM.YYYY',\n LL: 'D. MMMM YYYY',\n LLL: 'D. MMMM YYYY H:mm',\n LLLL: 'dddd, D. MMMM YYYY H:mm'\n },\n calendar: {\n sameDay: '[данас у] LT',\n nextDay: '[сутра у] LT',\n nextWeek: function () {\n switch (this.day()) {\n case 0:\n return '[у] [недељу] [у] LT';\n case 3:\n return '[у] [среду] [у] LT';\n case 6:\n return '[у] [суботу] [у] LT';\n case 1:\n case 2:\n case 4:\n case 5:\n return '[у] dddd [у] LT';\n }\n },\n lastDay : '[јуче у] LT',\n lastWeek : function () {\n var lastWeekDays = [\n '[прошле] [недеље] [у] LT',\n '[прошлог] [понедељка] [у] LT',\n '[прошлог] [уторка] [у] LT',\n '[прошле] [среде] [у] LT',\n '[прошлог] [четвртка] [у] LT',\n '[прошлог] [петка] [у] LT',\n '[прошле] [суботе] [у] LT'\n ];\n return lastWeekDays[this.day()];\n },\n sameElse : 'L'\n },\n relativeTime : {\n future : 'за %s',\n past : 'пре %s',\n s : 'неколико секунди',\n ss : translator.translate,\n m : translator.translate,\n mm : translator.translate,\n h : translator.translate,\n hh : translator.translate,\n d : 'дан',\n dd : translator.translate,\n M : 'месец',\n MM : translator.translate,\n y : 'годину',\n yy : translator.translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return srCyrl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ss = moment.defineLocale('ss', {\n months : \"Bhimbidvwane_Indlovana_Indlov'lenkhulu_Mabasa_Inkhwekhweti_Inhlaba_Kholwane_Ingci_Inyoni_Imphala_Lweti_Ingongoni\".split('_'),\n monthsShort : 'Bhi_Ina_Inu_Mab_Ink_Inh_Kho_Igc_Iny_Imp_Lwe_Igo'.split('_'),\n weekdays : 'Lisontfo_Umsombuluko_Lesibili_Lesitsatfu_Lesine_Lesihlanu_Umgcibelo'.split('_'),\n weekdaysShort : 'Lis_Umb_Lsb_Les_Lsi_Lsh_Umg'.split('_'),\n weekdaysMin : 'Li_Us_Lb_Lt_Ls_Lh_Ug'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendar : {\n sameDay : '[Namuhla nga] LT',\n nextDay : '[Kusasa nga] LT',\n nextWeek : 'dddd [nga] LT',\n lastDay : '[Itolo nga] LT',\n lastWeek : 'dddd [leliphelile] [nga] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'nga %s',\n past : 'wenteka nga %s',\n s : 'emizuzwana lomcane',\n ss : '%d mzuzwana',\n m : 'umzuzu',\n mm : '%d emizuzu',\n h : 'lihora',\n hh : '%d emahora',\n d : 'lilanga',\n dd : '%d emalanga',\n M : 'inyanga',\n MM : '%d tinyanga',\n y : 'umnyaka',\n yy : '%d iminyaka'\n },\n meridiemParse: /ekuseni|emini|entsambama|ebusuku/,\n meridiem : function (hours, minutes, isLower) {\n if (hours < 11) {\n return 'ekuseni';\n } else if (hours < 15) {\n return 'emini';\n } else if (hours < 19) {\n return 'entsambama';\n } else {\n return 'ebusuku';\n }\n },\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'ekuseni') {\n return hour;\n } else if (meridiem === 'emini') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'entsambama' || meridiem === 'ebusuku') {\n if (hour === 0) {\n return 0;\n }\n return hour + 12;\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}/,\n ordinal : '%d',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return ss;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var sv = moment.defineLocale('sv', {\n months : 'januari_februari_mars_april_maj_juni_juli_augusti_september_oktober_november_december'.split('_'),\n monthsShort : 'jan_feb_mar_apr_maj_jun_jul_aug_sep_okt_nov_dec'.split('_'),\n weekdays : 'söndag_måndag_tisdag_onsdag_torsdag_fredag_lördag'.split('_'),\n weekdaysShort : 'sön_mån_tis_ons_tor_fre_lör'.split('_'),\n weekdaysMin : 'sö_må_ti_on_to_fr_lö'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY-MM-DD',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY [kl.] HH:mm',\n LLLL : 'dddd D MMMM YYYY [kl.] HH:mm',\n lll : 'D MMM YYYY HH:mm',\n llll : 'ddd D MMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Idag] LT',\n nextDay: '[Imorgon] LT',\n lastDay: '[Igår] LT',\n nextWeek: '[På] dddd LT',\n lastWeek: '[I] dddd[s] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'om %s',\n past : 'för %s sedan',\n s : 'några sekunder',\n ss : '%d sekunder',\n m : 'en minut',\n mm : '%d minuter',\n h : 'en timme',\n hh : '%d timmar',\n d : 'en dag',\n dd : '%d dagar',\n M : 'en månad',\n MM : '%d månader',\n y : 'ett år',\n yy : '%d år'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(e|a)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'e' :\n (b === 1) ? 'a' :\n (b === 2) ? 'a' :\n (b === 3) ? 'e' : 'e';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return sv;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var sw = moment.defineLocale('sw', {\n months : 'Januari_Februari_Machi_Aprili_Mei_Juni_Julai_Agosti_Septemba_Oktoba_Novemba_Desemba'.split('_'),\n monthsShort : 'Jan_Feb_Mac_Apr_Mei_Jun_Jul_Ago_Sep_Okt_Nov_Des'.split('_'),\n weekdays : 'Jumapili_Jumatatu_Jumanne_Jumatano_Alhamisi_Ijumaa_Jumamosi'.split('_'),\n weekdaysShort : 'Jpl_Jtat_Jnne_Jtan_Alh_Ijm_Jmos'.split('_'),\n weekdaysMin : 'J2_J3_J4_J5_Al_Ij_J1'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[leo saa] LT',\n nextDay : '[kesho saa] LT',\n nextWeek : '[wiki ijayo] dddd [saat] LT',\n lastDay : '[jana] LT',\n lastWeek : '[wiki iliyopita] dddd [saat] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s baadaye',\n past : 'tokea %s',\n s : 'hivi punde',\n ss : 'sekunde %d',\n m : 'dakika moja',\n mm : 'dakika %d',\n h : 'saa limoja',\n hh : 'masaa %d',\n d : 'siku moja',\n dd : 'masiku %d',\n M : 'mwezi mmoja',\n MM : 'miezi %d',\n y : 'mwaka mmoja',\n yy : 'miaka %d'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return sw;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var symbolMap = {\n '1': '௧',\n '2': '௨',\n '3': '௩',\n '4': '௪',\n '5': '௫',\n '6': '௬',\n '7': '௭',\n '8': '௮',\n '9': '௯',\n '0': '௦'\n }, numberMap = {\n '௧': '1',\n '௨': '2',\n '௩': '3',\n '௪': '4',\n '௫': '5',\n '௬': '6',\n '௭': '7',\n '௮': '8',\n '௯': '9',\n '௦': '0'\n };\n\n var ta = moment.defineLocale('ta', {\n months : 'ஜனவரி_பிப்ரவரி_மார்ச்_ஏப்ரல்_மே_ஜூன்_ஜூலை_ஆகஸ்ட்_செப்டெம்பர்_அக்டோபர்_நவம்பர்_டிசம்பர்'.split('_'),\n monthsShort : 'ஜனவரி_பிப்ரவரி_மார்ச்_ஏப்ரல்_மே_ஜூன்_ஜூலை_ஆகஸ்ட்_செப்டெம்பர்_அக்டோபர்_நவம்பர்_டிசம்பர்'.split('_'),\n weekdays : 'ஞாயிற்றுக்கிழமை_திங்கட்கிழமை_செவ்வாய்கிழமை_புதன்கிழமை_வியாழக்கிழமை_வெள்ளிக்கிழமை_சனிக்கிழமை'.split('_'),\n weekdaysShort : 'ஞாயிறு_திங்கள்_செவ்வாய்_புதன்_வியாழன்_வெள்ளி_சனி'.split('_'),\n weekdaysMin : 'ஞா_தி_செ_பு_வி_வெ_ச'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, HH:mm',\n LLLL : 'dddd, D MMMM YYYY, HH:mm'\n },\n calendar : {\n sameDay : '[இன்று] LT',\n nextDay : '[நாளை] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[நேற்று] LT',\n lastWeek : '[கடந்த வாரம்] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s இல்',\n past : '%s முன்',\n s : 'ஒரு சில விநாடிகள்',\n ss : '%d விநாடிகள்',\n m : 'ஒரு நிமிடம்',\n mm : '%d நிமிடங்கள்',\n h : 'ஒரு மணி நேரம்',\n hh : '%d மணி நேரம்',\n d : 'ஒரு நாள்',\n dd : '%d நாட்கள்',\n M : 'ஒரு மாதம்',\n MM : '%d மாதங்கள்',\n y : 'ஒரு வருடம்',\n yy : '%d ஆண்டுகள்'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}வது/,\n ordinal : function (number) {\n return number + 'வது';\n },\n preparse: function (string) {\n return string.replace(/[௧௨௩௪௫௬௭௮௯௦]/g, function (match) {\n return numberMap[match];\n });\n },\n postformat: function (string) {\n return string.replace(/\\d/g, function (match) {\n return symbolMap[match];\n });\n },\n // refer http://ta.wikipedia.org/s/1er1\n meridiemParse: /யாமம்|வைகறை|காலை|நண்பகல்|எற்பாடு|மாலை/,\n meridiem : function (hour, minute, isLower) {\n if (hour < 2) {\n return ' யாமம்';\n } else if (hour < 6) {\n return ' வைகறை'; // வைகறை\n } else if (hour < 10) {\n return ' காலை'; // காலை\n } else if (hour < 14) {\n return ' நண்பகல்'; // நண்பகல்\n } else if (hour < 18) {\n return ' எற்பாடு'; // எற்பாடு\n } else if (hour < 22) {\n return ' மாலை'; // மாலை\n } else {\n return ' யாமம்';\n }\n },\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'யாமம்') {\n return hour < 2 ? hour : hour + 12;\n } else if (meridiem === 'வைகறை' || meridiem === 'காலை') {\n return hour;\n } else if (meridiem === 'நண்பகல்') {\n return hour >= 10 ? hour : hour + 12;\n } else {\n return hour + 12;\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return ta;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var te = moment.defineLocale('te', {\n months : 'జనవరి_ఫిబ్రవరి_మార్చి_ఏప్రిల్_మే_జూన్_జులై_ఆగస్టు_సెప్టెంబర్_అక్టోబర్_నవంబర్_డిసెంబర్'.split('_'),\n monthsShort : 'జన._ఫిబ్ర._మార్చి_ఏప్రి._మే_జూన్_జులై_ఆగ._సెప్._అక్టో._నవ._డిసె.'.split('_'),\n monthsParseExact : true,\n weekdays : 'ఆదివారం_సోమవారం_మంగళవారం_బుధవారం_గురువారం_శుక్రవారం_శనివారం'.split('_'),\n weekdaysShort : 'ఆది_సోమ_మంగళ_బుధ_గురు_శుక్ర_శని'.split('_'),\n weekdaysMin : 'ఆ_సో_మం_బు_గు_శు_శ'.split('_'),\n longDateFormat : {\n LT : 'A h:mm',\n LTS : 'A h:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY, A h:mm',\n LLLL : 'dddd, D MMMM YYYY, A h:mm'\n },\n calendar : {\n sameDay : '[నేడు] LT',\n nextDay : '[రేపు] LT',\n nextWeek : 'dddd, LT',\n lastDay : '[నిన్న] LT',\n lastWeek : '[గత] dddd, LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s లో',\n past : '%s క్రితం',\n s : 'కొన్ని క్షణాలు',\n ss : '%d సెకన్లు',\n m : 'ఒక నిమిషం',\n mm : '%d నిమిషాలు',\n h : 'ఒక గంట',\n hh : '%d గంటలు',\n d : 'ఒక రోజు',\n dd : '%d రోజులు',\n M : 'ఒక నెల',\n MM : '%d నెలలు',\n y : 'ఒక సంవత్సరం',\n yy : '%d సంవత్సరాలు'\n },\n dayOfMonthOrdinalParse : /\\d{1,2}వ/,\n ordinal : '%dవ',\n meridiemParse: /రాత్రి|ఉదయం|మధ్యాహ్నం|సాయంత్రం/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'రాత్రి') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'ఉదయం') {\n return hour;\n } else if (meridiem === 'మధ్యాహ్నం') {\n return hour >= 10 ? hour : hour + 12;\n } else if (meridiem === 'సాయంత్రం') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'రాత్రి';\n } else if (hour < 10) {\n return 'ఉదయం';\n } else if (hour < 17) {\n return 'మధ్యాహ్నం';\n } else if (hour < 20) {\n return 'సాయంత్రం';\n } else {\n return 'రాత్రి';\n }\n },\n week : {\n dow : 0, // Sunday is the first day of the week.\n doy : 6 // The week that contains Jan 6th is the first week of the year.\n }\n });\n\n return te;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var tet = moment.defineLocale('tet', {\n months : 'Janeiru_Fevereiru_Marsu_Abril_Maiu_Juñu_Jullu_Agustu_Setembru_Outubru_Novembru_Dezembru'.split('_'),\n monthsShort : 'Jan_Fev_Mar_Abr_Mai_Jun_Jul_Ago_Set_Out_Nov_Dez'.split('_'),\n weekdays : 'Domingu_Segunda_Tersa_Kuarta_Kinta_Sesta_Sabadu'.split('_'),\n weekdaysShort : 'Dom_Seg_Ters_Kua_Kint_Sest_Sab'.split('_'),\n weekdaysMin : 'Do_Seg_Te_Ku_Ki_Ses_Sa'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Ohin iha] LT',\n nextDay: '[Aban iha] LT',\n nextWeek: 'dddd [iha] LT',\n lastDay: '[Horiseik iha] LT',\n lastWeek: 'dddd [semana kotuk] [iha] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'iha %s',\n past : '%s liuba',\n s : 'minutu balun',\n ss : 'minutu %d',\n m : 'minutu ida',\n mm : 'minutu %d',\n h : 'oras ida',\n hh : 'oras %d',\n d : 'loron ida',\n dd : 'loron %d',\n M : 'fulan ida',\n MM : 'fulan %d',\n y : 'tinan ida',\n yy : 'tinan %d'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(st|nd|rd|th)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return tet;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var suffixes = {\n 0: '-ум',\n 1: '-ум',\n 2: '-юм',\n 3: '-юм',\n 4: '-ум',\n 5: '-ум',\n 6: '-ум',\n 7: '-ум',\n 8: '-ум',\n 9: '-ум',\n 10: '-ум',\n 12: '-ум',\n 13: '-ум',\n 20: '-ум',\n 30: '-юм',\n 40: '-ум',\n 50: '-ум',\n 60: '-ум',\n 70: '-ум',\n 80: '-ум',\n 90: '-ум',\n 100: '-ум'\n };\n\n var tg = moment.defineLocale('tg', {\n months : 'январ_феврал_март_апрел_май_июн_июл_август_сентябр_октябр_ноябр_декабр'.split('_'),\n monthsShort : 'янв_фев_мар_апр_май_июн_июл_авг_сен_окт_ноя_дек'.split('_'),\n weekdays : 'якшанбе_душанбе_сешанбе_чоршанбе_панҷшанбе_ҷумъа_шанбе'.split('_'),\n weekdaysShort : 'яшб_дшб_сшб_чшб_пшб_ҷум_шнб'.split('_'),\n weekdaysMin : 'яш_дш_сш_чш_пш_ҷм_шб'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[Имрӯз соати] LT',\n nextDay : '[Пагоҳ соати] LT',\n lastDay : '[Дирӯз соати] LT',\n nextWeek : 'dddd[и] [ҳафтаи оянда соати] LT',\n lastWeek : 'dddd[и] [ҳафтаи гузашта соати] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'баъди %s',\n past : '%s пеш',\n s : 'якчанд сония',\n m : 'як дақиқа',\n mm : '%d дақиқа',\n h : 'як соат',\n hh : '%d соат',\n d : 'як рӯз',\n dd : '%d рӯз',\n M : 'як моҳ',\n MM : '%d моҳ',\n y : 'як сол',\n yy : '%d сол'\n },\n meridiemParse: /шаб|субҳ|рӯз|бегоҳ/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === 'шаб') {\n return hour < 4 ? hour : hour + 12;\n } else if (meridiem === 'субҳ') {\n return hour;\n } else if (meridiem === 'рӯз') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === 'бегоҳ') {\n return hour + 12;\n }\n },\n meridiem: function (hour, minute, isLower) {\n if (hour < 4) {\n return 'шаб';\n } else if (hour < 11) {\n return 'субҳ';\n } else if (hour < 16) {\n return 'рӯз';\n } else if (hour < 19) {\n return 'бегоҳ';\n } else {\n return 'шаб';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(ум|юм)/,\n ordinal: function (number) {\n var a = number % 10,\n b = number >= 100 ? 100 : null;\n return number + (suffixes[number] || suffixes[a] || suffixes[b]);\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 1th is the first week of the year.\n }\n });\n\n return tg;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var th = moment.defineLocale('th', {\n months : 'มกราคม_กุมภาพันธ์_มีนาคม_เมษายน_พฤษภาคม_มิถุนายน_กรกฎาคม_สิงหาคม_กันยายน_ตุลาคม_พฤศจิกายน_ธันวาคม'.split('_'),\n monthsShort : 'ม.ค._ก.พ._มี.ค._เม.ย._พ.ค._มิ.ย._ก.ค._ส.ค._ก.ย._ต.ค._พ.ย._ธ.ค.'.split('_'),\n monthsParseExact: true,\n weekdays : 'อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัสบดี_ศุกร์_เสาร์'.split('_'),\n weekdaysShort : 'อาทิตย์_จันทร์_อังคาร_พุธ_พฤหัส_ศุกร์_เสาร์'.split('_'), // yes, three characters difference\n weekdaysMin : 'อา._จ._อ._พ._พฤ._ศ._ส.'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'H:mm',\n LTS : 'H:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY เวลา H:mm',\n LLLL : 'วันddddที่ D MMMM YYYY เวลา H:mm'\n },\n meridiemParse: /ก่อนเที่ยง|หลังเที่ยง/,\n isPM: function (input) {\n return input === 'หลังเที่ยง';\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'ก่อนเที่ยง';\n } else {\n return 'หลังเที่ยง';\n }\n },\n calendar : {\n sameDay : '[วันนี้ เวลา] LT',\n nextDay : '[พรุ่งนี้ เวลา] LT',\n nextWeek : 'dddd[หน้า เวลา] LT',\n lastDay : '[เมื่อวานนี้ เวลา] LT',\n lastWeek : '[วัน]dddd[ที่แล้ว เวลา] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'อีก %s',\n past : '%sที่แล้ว',\n s : 'ไม่กี่วินาที',\n ss : '%d วินาที',\n m : '1 นาที',\n mm : '%d นาที',\n h : '1 ชั่วโมง',\n hh : '%d ชั่วโมง',\n d : '1 วัน',\n dd : '%d วัน',\n M : '1 เดือน',\n MM : '%d เดือน',\n y : '1 ปี',\n yy : '%d ปี'\n }\n });\n\n return th;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var tlPh = moment.defineLocale('tl-ph', {\n months : 'Enero_Pebrero_Marso_Abril_Mayo_Hunyo_Hulyo_Agosto_Setyembre_Oktubre_Nobyembre_Disyembre'.split('_'),\n monthsShort : 'Ene_Peb_Mar_Abr_May_Hun_Hul_Ago_Set_Okt_Nob_Dis'.split('_'),\n weekdays : 'Linggo_Lunes_Martes_Miyerkules_Huwebes_Biyernes_Sabado'.split('_'),\n weekdaysShort : 'Lin_Lun_Mar_Miy_Huw_Biy_Sab'.split('_'),\n weekdaysMin : 'Li_Lu_Ma_Mi_Hu_Bi_Sab'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'MM/D/YYYY',\n LL : 'MMMM D, YYYY',\n LLL : 'MMMM D, YYYY HH:mm',\n LLLL : 'dddd, MMMM DD, YYYY HH:mm'\n },\n calendar : {\n sameDay: 'LT [ngayong araw]',\n nextDay: '[Bukas ng] LT',\n nextWeek: 'LT [sa susunod na] dddd',\n lastDay: 'LT [kahapon]',\n lastWeek: 'LT [noong nakaraang] dddd',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'sa loob ng %s',\n past : '%s ang nakalipas',\n s : 'ilang segundo',\n ss : '%d segundo',\n m : 'isang minuto',\n mm : '%d minuto',\n h : 'isang oras',\n hh : '%d oras',\n d : 'isang araw',\n dd : '%d araw',\n M : 'isang buwan',\n MM : '%d buwan',\n y : 'isang taon',\n yy : '%d taon'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}/,\n ordinal : function (number) {\n return number;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return tlPh;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var numbersNouns = 'pagh_wa’_cha’_wej_loS_vagh_jav_Soch_chorgh_Hut'.split('_');\n\n function translateFuture(output) {\n var time = output;\n time = (output.indexOf('jaj') !== -1) ?\n time.slice(0, -3) + 'leS' :\n (output.indexOf('jar') !== -1) ?\n time.slice(0, -3) + 'waQ' :\n (output.indexOf('DIS') !== -1) ?\n time.slice(0, -3) + 'nem' :\n time + ' pIq';\n return time;\n }\n\n function translatePast(output) {\n var time = output;\n time = (output.indexOf('jaj') !== -1) ?\n time.slice(0, -3) + 'Hu’' :\n (output.indexOf('jar') !== -1) ?\n time.slice(0, -3) + 'wen' :\n (output.indexOf('DIS') !== -1) ?\n time.slice(0, -3) + 'ben' :\n time + ' ret';\n return time;\n }\n\n function translate(number, withoutSuffix, string, isFuture) {\n var numberNoun = numberAsNoun(number);\n switch (string) {\n case 'ss':\n return numberNoun + ' lup';\n case 'mm':\n return numberNoun + ' tup';\n case 'hh':\n return numberNoun + ' rep';\n case 'dd':\n return numberNoun + ' jaj';\n case 'MM':\n return numberNoun + ' jar';\n case 'yy':\n return numberNoun + ' DIS';\n }\n }\n\n function numberAsNoun(number) {\n var hundred = Math.floor((number % 1000) / 100),\n ten = Math.floor((number % 100) / 10),\n one = number % 10,\n word = '';\n if (hundred > 0) {\n word += numbersNouns[hundred] + 'vatlh';\n }\n if (ten > 0) {\n word += ((word !== '') ? ' ' : '') + numbersNouns[ten] + 'maH';\n }\n if (one > 0) {\n word += ((word !== '') ? ' ' : '') + numbersNouns[one];\n }\n return (word === '') ? 'pagh' : word;\n }\n\n var tlh = moment.defineLocale('tlh', {\n months : 'tera’ jar wa’_tera’ jar cha’_tera’ jar wej_tera’ jar loS_tera’ jar vagh_tera’ jar jav_tera’ jar Soch_tera’ jar chorgh_tera’ jar Hut_tera’ jar wa’maH_tera’ jar wa’maH wa’_tera’ jar wa’maH cha’'.split('_'),\n monthsShort : 'jar wa’_jar cha’_jar wej_jar loS_jar vagh_jar jav_jar Soch_jar chorgh_jar Hut_jar wa’maH_jar wa’maH wa’_jar wa’maH cha’'.split('_'),\n monthsParseExact : true,\n weekdays : 'lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj'.split('_'),\n weekdaysShort : 'lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj'.split('_'),\n weekdaysMin : 'lojmItjaj_DaSjaj_povjaj_ghItlhjaj_loghjaj_buqjaj_ghInjaj'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[DaHjaj] LT',\n nextDay: '[wa’leS] LT',\n nextWeek: 'LLL',\n lastDay: '[wa’Hu’] LT',\n lastWeek: 'LLL',\n sameElse: 'L'\n },\n relativeTime : {\n future : translateFuture,\n past : translatePast,\n s : 'puS lup',\n ss : translate,\n m : 'wa’ tup',\n mm : translate,\n h : 'wa’ rep',\n hh : translate,\n d : 'wa’ jaj',\n dd : translate,\n M : 'wa’ jar',\n MM : translate,\n y : 'wa’ DIS',\n yy : translate\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return tlh;\n\n})));\n","\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n var suffixes = {\n 1: '\\'inci',\n 5: '\\'inci',\n 8: '\\'inci',\n 70: '\\'inci',\n 80: '\\'inci',\n 2: '\\'nci',\n 7: '\\'nci',\n 20: '\\'nci',\n 50: '\\'nci',\n 3: '\\'üncü',\n 4: '\\'üncü',\n 100: '\\'üncü',\n 6: '\\'ncı',\n 9: '\\'uncu',\n 10: '\\'uncu',\n 30: '\\'uncu',\n 60: '\\'ıncı',\n 90: '\\'ıncı'\n };\n\n var tr = moment.defineLocale('tr', {\n months : 'Ocak_Şubat_Mart_Nisan_Mayıs_Haziran_Temmuz_Ağustos_Eylül_Ekim_Kasım_Aralık'.split('_'),\n monthsShort : 'Oca_Şub_Mar_Nis_May_Haz_Tem_Ağu_Eyl_Eki_Kas_Ara'.split('_'),\n weekdays : 'Pazar_Pazartesi_Salı_Çarşamba_Perşembe_Cuma_Cumartesi'.split('_'),\n weekdaysShort : 'Paz_Pts_Sal_Çar_Per_Cum_Cts'.split('_'),\n weekdaysMin : 'Pz_Pt_Sa_Ça_Pe_Cu_Ct'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[bugün saat] LT',\n nextDay : '[yarın saat] LT',\n nextWeek : '[gelecek] dddd [saat] LT',\n lastDay : '[dün] LT',\n lastWeek : '[geçen] dddd [saat] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s sonra',\n past : '%s önce',\n s : 'birkaç saniye',\n ss : '%d saniye',\n m : 'bir dakika',\n mm : '%d dakika',\n h : 'bir saat',\n hh : '%d saat',\n d : 'bir gün',\n dd : '%d gün',\n M : 'bir ay',\n MM : '%d ay',\n y : 'bir yıl',\n yy : '%d yıl'\n },\n ordinal: function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'Do':\n case 'DD':\n return number;\n default:\n if (number === 0) { // special case for zero\n return number + '\\'ıncı';\n }\n var a = number % 10,\n b = number % 100 - a,\n c = number >= 100 ? 100 : null;\n return number + (suffixes[a] || suffixes[b] || suffixes[c]);\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return tr;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n // After the year there should be a slash and the amount of years since December 26, 1979 in Roman numerals.\n // This is currently too difficult (maybe even impossible) to add.\n var tzl = moment.defineLocale('tzl', {\n months : 'Januar_Fevraglh_Març_Avrïu_Mai_Gün_Julia_Guscht_Setemvar_Listopäts_Noemvar_Zecemvar'.split('_'),\n monthsShort : 'Jan_Fev_Mar_Avr_Mai_Gün_Jul_Gus_Set_Lis_Noe_Zec'.split('_'),\n weekdays : 'Súladi_Lúneçi_Maitzi_Márcuri_Xhúadi_Viénerçi_Sáturi'.split('_'),\n weekdaysShort : 'Súl_Lún_Mai_Már_Xhú_Vié_Sát'.split('_'),\n weekdaysMin : 'Sú_Lú_Ma_Má_Xh_Vi_Sá'.split('_'),\n longDateFormat : {\n LT : 'HH.mm',\n LTS : 'HH.mm.ss',\n L : 'DD.MM.YYYY',\n LL : 'D. MMMM [dallas] YYYY',\n LLL : 'D. MMMM [dallas] YYYY HH.mm',\n LLLL : 'dddd, [li] D. MMMM [dallas] YYYY HH.mm'\n },\n meridiemParse: /d\\'o|d\\'a/i,\n isPM : function (input) {\n return 'd\\'o' === input.toLowerCase();\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours > 11) {\n return isLower ? 'd\\'o' : 'D\\'O';\n } else {\n return isLower ? 'd\\'a' : 'D\\'A';\n }\n },\n calendar : {\n sameDay : '[oxhi à] LT',\n nextDay : '[demà à] LT',\n nextWeek : 'dddd [à] LT',\n lastDay : '[ieiri à] LT',\n lastWeek : '[sür el] dddd [lasteu à] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'osprei %s',\n past : 'ja%s',\n s : processRelativeTime,\n ss : processRelativeTime,\n m : processRelativeTime,\n mm : processRelativeTime,\n h : processRelativeTime,\n hh : processRelativeTime,\n d : processRelativeTime,\n dd : processRelativeTime,\n M : processRelativeTime,\n MM : processRelativeTime,\n y : processRelativeTime,\n yy : processRelativeTime\n },\n dayOfMonthOrdinalParse: /\\d{1,2}\\./,\n ordinal : '%d.',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n function processRelativeTime(number, withoutSuffix, key, isFuture) {\n var format = {\n 's': ['viensas secunds', '\\'iensas secunds'],\n 'ss': [number + ' secunds', '' + number + ' secunds'],\n 'm': ['\\'n míut', '\\'iens míut'],\n 'mm': [number + ' míuts', '' + number + ' míuts'],\n 'h': ['\\'n þora', '\\'iensa þora'],\n 'hh': [number + ' þoras', '' + number + ' þoras'],\n 'd': ['\\'n ziua', '\\'iensa ziua'],\n 'dd': [number + ' ziuas', '' + number + ' ziuas'],\n 'M': ['\\'n mes', '\\'iens mes'],\n 'MM': [number + ' mesen', '' + number + ' mesen'],\n 'y': ['\\'n ar', '\\'iens ar'],\n 'yy': [number + ' ars', '' + number + ' ars']\n };\n return isFuture ? format[key][0] : (withoutSuffix ? format[key][0] : format[key][1]);\n }\n\n return tzl;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var tzm = moment.defineLocale('tzm', {\n months : 'ⵉⵏⵏⴰⵢⵔ_ⴱⵕⴰⵢⵕ_ⵎⴰⵕⵚ_ⵉⴱⵔⵉⵔ_ⵎⴰⵢⵢⵓ_ⵢⵓⵏⵢⵓ_ⵢⵓⵍⵢⵓⵣ_ⵖⵓⵛⵜ_ⵛⵓⵜⴰⵏⴱⵉⵔ_ⴽⵟⵓⴱⵕ_ⵏⵓⵡⴰⵏⴱⵉⵔ_ⴷⵓⵊⵏⴱⵉⵔ'.split('_'),\n monthsShort : 'ⵉⵏⵏⴰⵢⵔ_ⴱⵕⴰⵢⵕ_ⵎⴰⵕⵚ_ⵉⴱⵔⵉⵔ_ⵎⴰⵢⵢⵓ_ⵢⵓⵏⵢⵓ_ⵢⵓⵍⵢⵓⵣ_ⵖⵓⵛⵜ_ⵛⵓⵜⴰⵏⴱⵉⵔ_ⴽⵟⵓⴱⵕ_ⵏⵓⵡⴰⵏⴱⵉⵔ_ⴷⵓⵊⵏⴱⵉⵔ'.split('_'),\n weekdays : 'ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ'.split('_'),\n weekdaysShort : 'ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ'.split('_'),\n weekdaysMin : 'ⴰⵙⴰⵎⴰⵙ_ⴰⵢⵏⴰⵙ_ⴰⵙⵉⵏⴰⵙ_ⴰⴽⵔⴰⵙ_ⴰⴽⵡⴰⵙ_ⴰⵙⵉⵎⵡⴰⵙ_ⴰⵙⵉⴹⵢⴰⵙ'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS: 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[ⴰⵙⴷⵅ ⴴ] LT',\n nextDay: '[ⴰⵙⴽⴰ ⴴ] LT',\n nextWeek: 'dddd [ⴴ] LT',\n lastDay: '[ⴰⵚⴰⵏⵜ ⴴ] LT',\n lastWeek: 'dddd [ⴴ] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'ⴷⴰⴷⵅ ⵙ ⵢⴰⵏ %s',\n past : 'ⵢⴰⵏ %s',\n s : 'ⵉⵎⵉⴽ',\n ss : '%d ⵉⵎⵉⴽ',\n m : 'ⵎⵉⵏⵓⴺ',\n mm : '%d ⵎⵉⵏⵓⴺ',\n h : 'ⵙⴰⵄⴰ',\n hh : '%d ⵜⴰⵙⵙⴰⵄⵉⵏ',\n d : 'ⴰⵙⵙ',\n dd : '%d oⵙⵙⴰⵏ',\n M : 'ⴰⵢoⵓⵔ',\n MM : '%d ⵉⵢⵢⵉⵔⵏ',\n y : 'ⴰⵙⴳⴰⵙ',\n yy : '%d ⵉⵙⴳⴰⵙⵏ'\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return tzm;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var tzmLatn = moment.defineLocale('tzm-latn', {\n months : 'innayr_brˤayrˤ_marˤsˤ_ibrir_mayyw_ywnyw_ywlywz_ɣwšt_šwtanbir_ktˤwbrˤ_nwwanbir_dwjnbir'.split('_'),\n monthsShort : 'innayr_brˤayrˤ_marˤsˤ_ibrir_mayyw_ywnyw_ywlywz_ɣwšt_šwtanbir_ktˤwbrˤ_nwwanbir_dwjnbir'.split('_'),\n weekdays : 'asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas'.split('_'),\n weekdaysShort : 'asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas'.split('_'),\n weekdaysMin : 'asamas_aynas_asinas_akras_akwas_asimwas_asiḍyas'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[asdkh g] LT',\n nextDay: '[aska g] LT',\n nextWeek: 'dddd [g] LT',\n lastDay: '[assant g] LT',\n lastWeek: 'dddd [g] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : 'dadkh s yan %s',\n past : 'yan %s',\n s : 'imik',\n ss : '%d imik',\n m : 'minuḍ',\n mm : '%d minuḍ',\n h : 'saɛa',\n hh : '%d tassaɛin',\n d : 'ass',\n dd : '%d ossan',\n M : 'ayowr',\n MM : '%d iyyirn',\n y : 'asgas',\n yy : '%d isgasn'\n },\n week : {\n dow : 6, // Saturday is the first day of the week.\n doy : 12 // The week that contains Jan 12th is the first week of the year.\n }\n });\n\n return tzmLatn;\n\n})));\n","//! moment.js language configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var ugCn = moment.defineLocale('ug-cn', {\n months: 'يانۋار_فېۋرال_مارت_ئاپرېل_ماي_ئىيۇن_ئىيۇل_ئاۋغۇست_سېنتەبىر_ئۆكتەبىر_نويابىر_دېكابىر'.split(\n '_'\n ),\n monthsShort: 'يانۋار_فېۋرال_مارت_ئاپرېل_ماي_ئىيۇن_ئىيۇل_ئاۋغۇست_سېنتەبىر_ئۆكتەبىر_نويابىر_دېكابىر'.split(\n '_'\n ),\n weekdays: 'يەكشەنبە_دۈشەنبە_سەيشەنبە_چارشەنبە_پەيشەنبە_جۈمە_شەنبە'.split(\n '_'\n ),\n weekdaysShort: 'يە_دۈ_سە_چا_پە_جۈ_شە'.split('_'),\n weekdaysMin: 'يە_دۈ_سە_چا_پە_جۈ_شە'.split('_'),\n longDateFormat: {\n LT: 'HH:mm',\n LTS: 'HH:mm:ss',\n L: 'YYYY-MM-DD',\n LL: 'YYYY-يىلىM-ئاينىڭD-كۈنى',\n LLL: 'YYYY-يىلىM-ئاينىڭD-كۈنى، HH:mm',\n LLLL: 'dddd، YYYY-يىلىM-ئاينىڭD-كۈنى، HH:mm'\n },\n meridiemParse: /يېرىم كېچە|سەھەر|چۈشتىن بۇرۇن|چۈش|چۈشتىن كېيىن|كەچ/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (\n meridiem === 'يېرىم كېچە' ||\n meridiem === 'سەھەر' ||\n meridiem === 'چۈشتىن بۇرۇن'\n ) {\n return hour;\n } else if (meridiem === 'چۈشتىن كېيىن' || meridiem === 'كەچ') {\n return hour + 12;\n } else {\n return hour >= 11 ? hour : hour + 12;\n }\n },\n meridiem: function (hour, minute, isLower) {\n var hm = hour * 100 + minute;\n if (hm < 600) {\n return 'يېرىم كېچە';\n } else if (hm < 900) {\n return 'سەھەر';\n } else if (hm < 1130) {\n return 'چۈشتىن بۇرۇن';\n } else if (hm < 1230) {\n return 'چۈش';\n } else if (hm < 1800) {\n return 'چۈشتىن كېيىن';\n } else {\n return 'كەچ';\n }\n },\n calendar: {\n sameDay: '[بۈگۈن سائەت] LT',\n nextDay: '[ئەتە سائەت] LT',\n nextWeek: '[كېلەركى] dddd [سائەت] LT',\n lastDay: '[تۆنۈگۈن] LT',\n lastWeek: '[ئالدىنقى] dddd [سائەت] LT',\n sameElse: 'L'\n },\n relativeTime: {\n future: '%s كېيىن',\n past: '%s بۇرۇن',\n s: 'نەچچە سېكونت',\n ss: '%d سېكونت',\n m: 'بىر مىنۇت',\n mm: '%d مىنۇت',\n h: 'بىر سائەت',\n hh: '%d سائەت',\n d: 'بىر كۈن',\n dd: '%d كۈن',\n M: 'بىر ئاي',\n MM: '%d ئاي',\n y: 'بىر يىل',\n yy: '%d يىل'\n },\n\n dayOfMonthOrdinalParse: /\\d{1,2}(-كۈنى|-ئاي|-ھەپتە)/,\n ordinal: function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'DDD':\n return number + '-كۈنى';\n case 'w':\n case 'W':\n return number + '-ھەپتە';\n default:\n return number;\n }\n },\n preparse: function (string) {\n return string.replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/,/g, '،');\n },\n week: {\n // GB/T 7408-1994《数据元和交换格式·信息交换·日期和时间表示法》与ISO 8601:1988等效\n dow: 1, // Monday is the first day of the week.\n doy: 7 // The week that contains Jan 1st is the first week of the year.\n }\n });\n\n return ugCn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n function plural(word, num) {\n var forms = word.split('_');\n return num % 10 === 1 && num % 100 !== 11 ? forms[0] : (num % 10 >= 2 && num % 10 <= 4 && (num % 100 < 10 || num % 100 >= 20) ? forms[1] : forms[2]);\n }\n function relativeTimeWithPlural(number, withoutSuffix, key) {\n var format = {\n 'ss': withoutSuffix ? 'секунда_секунди_секунд' : 'секунду_секунди_секунд',\n 'mm': withoutSuffix ? 'хвилина_хвилини_хвилин' : 'хвилину_хвилини_хвилин',\n 'hh': withoutSuffix ? 'година_години_годин' : 'годину_години_годин',\n 'dd': 'день_дні_днів',\n 'MM': 'місяць_місяці_місяців',\n 'yy': 'рік_роки_років'\n };\n if (key === 'm') {\n return withoutSuffix ? 'хвилина' : 'хвилину';\n }\n else if (key === 'h') {\n return withoutSuffix ? 'година' : 'годину';\n }\n else {\n return number + ' ' + plural(format[key], +number);\n }\n }\n function weekdaysCaseReplace(m, format) {\n var weekdays = {\n 'nominative': 'неділя_понеділок_вівторок_середа_четвер_п’ятниця_субота'.split('_'),\n 'accusative': 'неділю_понеділок_вівторок_середу_четвер_п’ятницю_суботу'.split('_'),\n 'genitive': 'неділі_понеділка_вівторка_середи_четверга_п’ятниці_суботи'.split('_')\n };\n\n if (m === true) {\n return weekdays['nominative'].slice(1, 7).concat(weekdays['nominative'].slice(0, 1));\n }\n if (!m) {\n return weekdays['nominative'];\n }\n\n var nounCase = (/(\\[[ВвУу]\\]) ?dddd/).test(format) ?\n 'accusative' :\n ((/\\[?(?:минулої|наступної)? ?\\] ?dddd/).test(format) ?\n 'genitive' :\n 'nominative');\n return weekdays[nounCase][m.day()];\n }\n function processHoursFunction(str) {\n return function () {\n return str + 'о' + (this.hours() === 11 ? 'б' : '') + '] LT';\n };\n }\n\n var uk = moment.defineLocale('uk', {\n months : {\n 'format': 'січня_лютого_березня_квітня_травня_червня_липня_серпня_вересня_жовтня_листопада_грудня'.split('_'),\n 'standalone': 'січень_лютий_березень_квітень_травень_червень_липень_серпень_вересень_жовтень_листопад_грудень'.split('_')\n },\n monthsShort : 'січ_лют_бер_квіт_трав_черв_лип_серп_вер_жовт_лист_груд'.split('_'),\n weekdays : weekdaysCaseReplace,\n weekdaysShort : 'нд_пн_вт_ср_чт_пт_сб'.split('_'),\n weekdaysMin : 'нд_пн_вт_ср_чт_пт_сб'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD.MM.YYYY',\n LL : 'D MMMM YYYY р.',\n LLL : 'D MMMM YYYY р., HH:mm',\n LLLL : 'dddd, D MMMM YYYY р., HH:mm'\n },\n calendar : {\n sameDay: processHoursFunction('[Сьогодні '),\n nextDay: processHoursFunction('[Завтра '),\n lastDay: processHoursFunction('[Вчора '),\n nextWeek: processHoursFunction('[У] dddd ['),\n lastWeek: function () {\n switch (this.day()) {\n case 0:\n case 3:\n case 5:\n case 6:\n return processHoursFunction('[Минулої] dddd [').call(this);\n case 1:\n case 2:\n case 4:\n return processHoursFunction('[Минулого] dddd [').call(this);\n }\n },\n sameElse: 'L'\n },\n relativeTime : {\n future : 'за %s',\n past : '%s тому',\n s : 'декілька секунд',\n ss : relativeTimeWithPlural,\n m : relativeTimeWithPlural,\n mm : relativeTimeWithPlural,\n h : 'годину',\n hh : relativeTimeWithPlural,\n d : 'день',\n dd : relativeTimeWithPlural,\n M : 'місяць',\n MM : relativeTimeWithPlural,\n y : 'рік',\n yy : relativeTimeWithPlural\n },\n // M. E.: those two are virtually unused but a user might want to implement them for his/her website for some reason\n meridiemParse: /ночі|ранку|дня|вечора/,\n isPM: function (input) {\n return /^(дня|вечора)$/.test(input);\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 4) {\n return 'ночі';\n } else if (hour < 12) {\n return 'ранку';\n } else if (hour < 17) {\n return 'дня';\n } else {\n return 'вечора';\n }\n },\n dayOfMonthOrdinalParse: /\\d{1,2}-(й|го)/,\n ordinal: function (number, period) {\n switch (period) {\n case 'M':\n case 'd':\n case 'DDD':\n case 'w':\n case 'W':\n return number + '-й';\n case 'D':\n return number + '-го';\n default:\n return number;\n }\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return uk;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var months = [\n 'جنوری',\n 'فروری',\n 'مارچ',\n 'اپریل',\n 'مئی',\n 'جون',\n 'جولائی',\n 'اگست',\n 'ستمبر',\n 'اکتوبر',\n 'نومبر',\n 'دسمبر'\n ];\n var days = [\n 'اتوار',\n 'پیر',\n 'منگل',\n 'بدھ',\n 'جمعرات',\n 'جمعہ',\n 'ہفتہ'\n ];\n\n var ur = moment.defineLocale('ur', {\n months : months,\n monthsShort : months,\n weekdays : days,\n weekdaysShort : days,\n weekdaysMin : days,\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd، D MMMM YYYY HH:mm'\n },\n meridiemParse: /صبح|شام/,\n isPM : function (input) {\n return 'شام' === input;\n },\n meridiem : function (hour, minute, isLower) {\n if (hour < 12) {\n return 'صبح';\n }\n return 'شام';\n },\n calendar : {\n sameDay : '[آج بوقت] LT',\n nextDay : '[کل بوقت] LT',\n nextWeek : 'dddd [بوقت] LT',\n lastDay : '[گذشتہ روز بوقت] LT',\n lastWeek : '[گذشتہ] dddd [بوقت] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : '%s بعد',\n past : '%s قبل',\n s : 'چند سیکنڈ',\n ss : '%d سیکنڈ',\n m : 'ایک منٹ',\n mm : '%d منٹ',\n h : 'ایک گھنٹہ',\n hh : '%d گھنٹے',\n d : 'ایک دن',\n dd : '%d دن',\n M : 'ایک ماہ',\n MM : '%d ماہ',\n y : 'ایک سال',\n yy : '%d سال'\n },\n preparse: function (string) {\n return string.replace(/،/g, ',');\n },\n postformat: function (string) {\n return string.replace(/,/g, '،');\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return ur;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var uz = moment.defineLocale('uz', {\n months : 'январ_феврал_март_апрел_май_июн_июл_август_сентябр_октябр_ноябр_декабр'.split('_'),\n monthsShort : 'янв_фев_мар_апр_май_июн_июл_авг_сен_окт_ноя_дек'.split('_'),\n weekdays : 'Якшанба_Душанба_Сешанба_Чоршанба_Пайшанба_Жума_Шанба'.split('_'),\n weekdaysShort : 'Якш_Душ_Сеш_Чор_Пай_Жум_Шан'.split('_'),\n weekdaysMin : 'Як_Ду_Се_Чо_Па_Жу_Ша'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'D MMMM YYYY, dddd HH:mm'\n },\n calendar : {\n sameDay : '[Бугун соат] LT [да]',\n nextDay : '[Эртага] LT [да]',\n nextWeek : 'dddd [куни соат] LT [да]',\n lastDay : '[Кеча соат] LT [да]',\n lastWeek : '[Утган] dddd [куни соат] LT [да]',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'Якин %s ичида',\n past : 'Бир неча %s олдин',\n s : 'фурсат',\n ss : '%d фурсат',\n m : 'бир дакика',\n mm : '%d дакика',\n h : 'бир соат',\n hh : '%d соат',\n d : 'бир кун',\n dd : '%d кун',\n M : 'бир ой',\n MM : '%d ой',\n y : 'бир йил',\n yy : '%d йил'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return uz;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var uzLatn = moment.defineLocale('uz-latn', {\n months : 'Yanvar_Fevral_Mart_Aprel_May_Iyun_Iyul_Avgust_Sentabr_Oktabr_Noyabr_Dekabr'.split('_'),\n monthsShort : 'Yan_Fev_Mar_Apr_May_Iyun_Iyul_Avg_Sen_Okt_Noy_Dek'.split('_'),\n weekdays : 'Yakshanba_Dushanba_Seshanba_Chorshanba_Payshanba_Juma_Shanba'.split('_'),\n weekdaysShort : 'Yak_Dush_Sesh_Chor_Pay_Jum_Shan'.split('_'),\n weekdaysMin : 'Ya_Du_Se_Cho_Pa_Ju_Sha'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'D MMMM YYYY, dddd HH:mm'\n },\n calendar : {\n sameDay : '[Bugun soat] LT [da]',\n nextDay : '[Ertaga] LT [da]',\n nextWeek : 'dddd [kuni soat] LT [da]',\n lastDay : '[Kecha soat] LT [da]',\n lastWeek : '[O\\'tgan] dddd [kuni soat] LT [da]',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'Yaqin %s ichida',\n past : 'Bir necha %s oldin',\n s : 'soniya',\n ss : '%d soniya',\n m : 'bir daqiqa',\n mm : '%d daqiqa',\n h : 'bir soat',\n hh : '%d soat',\n d : 'bir kun',\n dd : '%d kun',\n M : 'bir oy',\n MM : '%d oy',\n y : 'bir yil',\n yy : '%d yil'\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 7 // The week that contains Jan 7th is the first week of the year.\n }\n });\n\n return uzLatn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var vi = moment.defineLocale('vi', {\n months : 'tháng 1_tháng 2_tháng 3_tháng 4_tháng 5_tháng 6_tháng 7_tháng 8_tháng 9_tháng 10_tháng 11_tháng 12'.split('_'),\n monthsShort : 'Th01_Th02_Th03_Th04_Th05_Th06_Th07_Th08_Th09_Th10_Th11_Th12'.split('_'),\n monthsParseExact : true,\n weekdays : 'chủ nhật_thứ hai_thứ ba_thứ tư_thứ năm_thứ sáu_thứ bảy'.split('_'),\n weekdaysShort : 'CN_T2_T3_T4_T5_T6_T7'.split('_'),\n weekdaysMin : 'CN_T2_T3_T4_T5_T6_T7'.split('_'),\n weekdaysParseExact : true,\n meridiemParse: /sa|ch/i,\n isPM : function (input) {\n return /^ch$/i.test(input);\n },\n meridiem : function (hours, minutes, isLower) {\n if (hours < 12) {\n return isLower ? 'sa' : 'SA';\n } else {\n return isLower ? 'ch' : 'CH';\n }\n },\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM [năm] YYYY',\n LLL : 'D MMMM [năm] YYYY HH:mm',\n LLLL : 'dddd, D MMMM [năm] YYYY HH:mm',\n l : 'DD/M/YYYY',\n ll : 'D MMM YYYY',\n lll : 'D MMM YYYY HH:mm',\n llll : 'ddd, D MMM YYYY HH:mm'\n },\n calendar : {\n sameDay: '[Hôm nay lúc] LT',\n nextDay: '[Ngày mai lúc] LT',\n nextWeek: 'dddd [tuần tới lúc] LT',\n lastDay: '[Hôm qua lúc] LT',\n lastWeek: 'dddd [tuần rồi lúc] LT',\n sameElse: 'L'\n },\n relativeTime : {\n future : '%s tới',\n past : '%s trước',\n s : 'vài giây',\n ss : '%d giây' ,\n m : 'một phút',\n mm : '%d phút',\n h : 'một giờ',\n hh : '%d giờ',\n d : 'một ngày',\n dd : '%d ngày',\n M : 'một tháng',\n MM : '%d tháng',\n y : 'một năm',\n yy : '%d năm'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}/,\n ordinal : function (number) {\n return number;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return vi;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var xPseudo = moment.defineLocale('x-pseudo', {\n months : 'J~áñúá~rý_F~ébrú~árý_~Márc~h_Áp~ríl_~Máý_~Júñé~_Júl~ý_Áú~gúst~_Sép~témb~ér_Ó~ctób~ér_Ñ~óvém~bér_~Décé~mbér'.split('_'),\n monthsShort : 'J~áñ_~Féb_~Már_~Ápr_~Máý_~Júñ_~Júl_~Áúg_~Sép_~Óct_~Ñóv_~Déc'.split('_'),\n monthsParseExact : true,\n weekdays : 'S~úñdá~ý_Mó~ñdáý~_Túé~sdáý~_Wéd~ñésd~áý_T~húrs~dáý_~Fríd~áý_S~átúr~dáý'.split('_'),\n weekdaysShort : 'S~úñ_~Móñ_~Túé_~Wéd_~Thú_~Frí_~Sát'.split('_'),\n weekdaysMin : 'S~ú_Mó~_Tú_~Wé_T~h_Fr~_Sá'.split('_'),\n weekdaysParseExact : true,\n longDateFormat : {\n LT : 'HH:mm',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY HH:mm',\n LLLL : 'dddd, D MMMM YYYY HH:mm'\n },\n calendar : {\n sameDay : '[T~ódá~ý át] LT',\n nextDay : '[T~ómó~rró~w át] LT',\n nextWeek : 'dddd [át] LT',\n lastDay : '[Ý~ést~érdá~ý át] LT',\n lastWeek : '[L~ást] dddd [át] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'í~ñ %s',\n past : '%s á~gó',\n s : 'á ~féw ~sécó~ñds',\n ss : '%d s~écóñ~ds',\n m : 'á ~míñ~úté',\n mm : '%d m~íñú~tés',\n h : 'á~ñ hó~úr',\n hh : '%d h~óúrs',\n d : 'á ~dáý',\n dd : '%d d~áýs',\n M : 'á ~móñ~th',\n MM : '%d m~óñt~hs',\n y : 'á ~ýéár',\n yy : '%d ý~éárs'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(th|st|nd|rd)/,\n ordinal : function (number) {\n var b = number % 10,\n output = (~~(number % 100 / 10) === 1) ? 'th' :\n (b === 1) ? 'st' :\n (b === 2) ? 'nd' :\n (b === 3) ? 'rd' : 'th';\n return number + output;\n },\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return xPseudo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var yo = moment.defineLocale('yo', {\n months : 'Sẹ́rẹ́_Èrèlè_Ẹrẹ̀nà_Ìgbé_Èbibi_Òkùdu_Agẹmo_Ògún_Owewe_Ọ̀wàrà_Bélú_Ọ̀pẹ̀̀'.split('_'),\n monthsShort : 'Sẹ́r_Èrl_Ẹrn_Ìgb_Èbi_Òkù_Agẹ_Ògú_Owe_Ọ̀wà_Bél_Ọ̀pẹ̀̀'.split('_'),\n weekdays : 'Àìkú_Ajé_Ìsẹ́gun_Ọjọ́rú_Ọjọ́bọ_Ẹtì_Àbámẹ́ta'.split('_'),\n weekdaysShort : 'Àìk_Ajé_Ìsẹ́_Ọjr_Ọjb_Ẹtì_Àbá'.split('_'),\n weekdaysMin : 'Àì_Aj_Ìs_Ọr_Ọb_Ẹt_Àb'.split('_'),\n longDateFormat : {\n LT : 'h:mm A',\n LTS : 'h:mm:ss A',\n L : 'DD/MM/YYYY',\n LL : 'D MMMM YYYY',\n LLL : 'D MMMM YYYY h:mm A',\n LLLL : 'dddd, D MMMM YYYY h:mm A'\n },\n calendar : {\n sameDay : '[Ònì ni] LT',\n nextDay : '[Ọ̀la ni] LT',\n nextWeek : 'dddd [Ọsẹ̀ tón\\'bọ] [ni] LT',\n lastDay : '[Àna ni] LT',\n lastWeek : 'dddd [Ọsẹ̀ tólọ́] [ni] LT',\n sameElse : 'L'\n },\n relativeTime : {\n future : 'ní %s',\n past : '%s kọjá',\n s : 'ìsẹjú aayá die',\n ss :'aayá %d',\n m : 'ìsẹjú kan',\n mm : 'ìsẹjú %d',\n h : 'wákati kan',\n hh : 'wákati %d',\n d : 'ọjọ́ kan',\n dd : 'ọjọ́ %d',\n M : 'osù kan',\n MM : 'osù %d',\n y : 'ọdún kan',\n yy : 'ọdún %d'\n },\n dayOfMonthOrdinalParse : /ọjọ́\\s\\d{1,2}/,\n ordinal : 'ọjọ́ %d',\n week : {\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return yo;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var zhCn = moment.defineLocale('zh-cn', {\n months : '一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月'.split('_'),\n monthsShort : '1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月'.split('_'),\n weekdays : '星期日_星期一_星期二_星期三_星期四_星期五_星期六'.split('_'),\n weekdaysShort : '周日_周一_周二_周三_周四_周五_周六'.split('_'),\n weekdaysMin : '日_一_二_三_四_五_六'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY/MM/DD',\n LL : 'YYYY年M月D日',\n LLL : 'YYYY年M月D日Ah点mm分',\n LLLL : 'YYYY年M月D日ddddAh点mm分',\n l : 'YYYY/M/D',\n ll : 'YYYY年M月D日',\n lll : 'YYYY年M月D日 HH:mm',\n llll : 'YYYY年M月D日dddd HH:mm'\n },\n meridiemParse: /凌晨|早上|上午|中午|下午|晚上/,\n meridiemHour: function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === '凌晨' || meridiem === '早上' ||\n meridiem === '上午') {\n return hour;\n } else if (meridiem === '下午' || meridiem === '晚上') {\n return hour + 12;\n } else {\n // '中午'\n return hour >= 11 ? hour : hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n var hm = hour * 100 + minute;\n if (hm < 600) {\n return '凌晨';\n } else if (hm < 900) {\n return '早上';\n } else if (hm < 1130) {\n return '上午';\n } else if (hm < 1230) {\n return '中午';\n } else if (hm < 1800) {\n return '下午';\n } else {\n return '晚上';\n }\n },\n calendar : {\n sameDay : '[今天]LT',\n nextDay : '[明天]LT',\n nextWeek : '[下]ddddLT',\n lastDay : '[昨天]LT',\n lastWeek : '[上]ddddLT',\n sameElse : 'L'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(日|月|周)/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd':\n case 'D':\n case 'DDD':\n return number + '日';\n case 'M':\n return number + '月';\n case 'w':\n case 'W':\n return number + '周';\n default:\n return number;\n }\n },\n relativeTime : {\n future : '%s内',\n past : '%s前',\n s : '几秒',\n ss : '%d 秒',\n m : '1 分钟',\n mm : '%d 分钟',\n h : '1 小时',\n hh : '%d 小时',\n d : '1 天',\n dd : '%d 天',\n M : '1 个月',\n MM : '%d 个月',\n y : '1 年',\n yy : '%d 年'\n },\n week : {\n // GB/T 7408-1994《数据元和交换格式·信息交换·日期和时间表示法》与ISO 8601:1988等效\n dow : 1, // Monday is the first day of the week.\n doy : 4 // The week that contains Jan 4th is the first week of the year.\n }\n });\n\n return zhCn;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var zhHk = moment.defineLocale('zh-hk', {\n months : '一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月'.split('_'),\n monthsShort : '1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月'.split('_'),\n weekdays : '星期日_星期一_星期二_星期三_星期四_星期五_星期六'.split('_'),\n weekdaysShort : '週日_週一_週二_週三_週四_週五_週六'.split('_'),\n weekdaysMin : '日_一_二_三_四_五_六'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY/MM/DD',\n LL : 'YYYY年M月D日',\n LLL : 'YYYY年M月D日 HH:mm',\n LLLL : 'YYYY年M月D日dddd HH:mm',\n l : 'YYYY/M/D',\n ll : 'YYYY年M月D日',\n lll : 'YYYY年M月D日 HH:mm',\n llll : 'YYYY年M月D日dddd HH:mm'\n },\n meridiemParse: /凌晨|早上|上午|中午|下午|晚上/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === '凌晨' || meridiem === '早上' || meridiem === '上午') {\n return hour;\n } else if (meridiem === '中午') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === '下午' || meridiem === '晚上') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n var hm = hour * 100 + minute;\n if (hm < 600) {\n return '凌晨';\n } else if (hm < 900) {\n return '早上';\n } else if (hm < 1130) {\n return '上午';\n } else if (hm < 1230) {\n return '中午';\n } else if (hm < 1800) {\n return '下午';\n } else {\n return '晚上';\n }\n },\n calendar : {\n sameDay : '[今天]LT',\n nextDay : '[明天]LT',\n nextWeek : '[下]ddddLT',\n lastDay : '[昨天]LT',\n lastWeek : '[上]ddddLT',\n sameElse : 'L'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(日|月|週)/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd' :\n case 'D' :\n case 'DDD' :\n return number + '日';\n case 'M' :\n return number + '月';\n case 'w' :\n case 'W' :\n return number + '週';\n default :\n return number;\n }\n },\n relativeTime : {\n future : '%s內',\n past : '%s前',\n s : '幾秒',\n ss : '%d 秒',\n m : '1 分鐘',\n mm : '%d 分鐘',\n h : '1 小時',\n hh : '%d 小時',\n d : '1 天',\n dd : '%d 天',\n M : '1 個月',\n MM : '%d 個月',\n y : '1 年',\n yy : '%d 年'\n }\n });\n\n return zhHk;\n\n})));\n","//! moment.js locale configuration\n\n;(function (global, factory) {\n typeof exports === 'object' && typeof module !== 'undefined'\n && typeof require === 'function' ? factory(require('../moment')) :\n typeof define === 'function' && define.amd ? define(['../moment'], factory) :\n factory(global.moment)\n}(this, (function (moment) { 'use strict';\n\n\n var zhTw = moment.defineLocale('zh-tw', {\n months : '一月_二月_三月_四月_五月_六月_七月_八月_九月_十月_十一月_十二月'.split('_'),\n monthsShort : '1月_2月_3月_4月_5月_6月_7月_8月_9月_10月_11月_12月'.split('_'),\n weekdays : '星期日_星期一_星期二_星期三_星期四_星期五_星期六'.split('_'),\n weekdaysShort : '週日_週一_週二_週三_週四_週五_週六'.split('_'),\n weekdaysMin : '日_一_二_三_四_五_六'.split('_'),\n longDateFormat : {\n LT : 'HH:mm',\n LTS : 'HH:mm:ss',\n L : 'YYYY/MM/DD',\n LL : 'YYYY年M月D日',\n LLL : 'YYYY年M月D日 HH:mm',\n LLLL : 'YYYY年M月D日dddd HH:mm',\n l : 'YYYY/M/D',\n ll : 'YYYY年M月D日',\n lll : 'YYYY年M月D日 HH:mm',\n llll : 'YYYY年M月D日dddd HH:mm'\n },\n meridiemParse: /凌晨|早上|上午|中午|下午|晚上/,\n meridiemHour : function (hour, meridiem) {\n if (hour === 12) {\n hour = 0;\n }\n if (meridiem === '凌晨' || meridiem === '早上' || meridiem === '上午') {\n return hour;\n } else if (meridiem === '中午') {\n return hour >= 11 ? hour : hour + 12;\n } else if (meridiem === '下午' || meridiem === '晚上') {\n return hour + 12;\n }\n },\n meridiem : function (hour, minute, isLower) {\n var hm = hour * 100 + minute;\n if (hm < 600) {\n return '凌晨';\n } else if (hm < 900) {\n return '早上';\n } else if (hm < 1130) {\n return '上午';\n } else if (hm < 1230) {\n return '中午';\n } else if (hm < 1800) {\n return '下午';\n } else {\n return '晚上';\n }\n },\n calendar : {\n sameDay : '[今天] LT',\n nextDay : '[明天] LT',\n nextWeek : '[下]dddd LT',\n lastDay : '[昨天] LT',\n lastWeek : '[上]dddd LT',\n sameElse : 'L'\n },\n dayOfMonthOrdinalParse: /\\d{1,2}(日|月|週)/,\n ordinal : function (number, period) {\n switch (period) {\n case 'd' :\n case 'D' :\n case 'DDD' :\n return number + '日';\n case 'M' :\n return number + '月';\n case 'w' :\n case 'W' :\n return number + '週';\n default :\n return number;\n }\n },\n relativeTime : {\n future : '%s內',\n past : '%s前',\n s : '幾秒',\n ss : '%d 秒',\n m : '1 分鐘',\n mm : '%d 分鐘',\n h : '1 小時',\n hh : '%d 小時',\n d : '1 天',\n dd : '%d 天',\n M : '1 個月',\n MM : '%d 個月',\n y : '1 年',\n yy : '%d 年'\n }\n });\n\n return zhTw;\n\n})));\n","import { PluginKey, TextSelection, Selection, SelectionRange, NodeSelection, Plugin } from 'prosemirror-state';\nimport { Fragment, Slice } from 'prosemirror-model';\nimport { keydownHandler } from 'prosemirror-keymap';\nimport { Decoration, DecorationSet } from 'prosemirror-view';\nimport { Transform } from 'prosemirror-transform';\n\n// Because working with row and column-spanning cells is not quite\n// trivial, this code builds up a descriptive structure for a given\n// table node. The structures are cached with the (persistent) table\n// nodes as key, so that they only have to be recomputed when the\n// content of the table changes.\n//\n// This does mean that they have to store table-relative, not\n// document-relative positions. So code that uses them will typically\n// compute the start position of the table and offset positions passed\n// to or gotten from this structure by that amount.\n\nvar readFromCache, addToCache;\n// Prefer using a weak map to cache table maps. Fall back on a\n// fixed-size cache if that's not supported.\nif (typeof WeakMap != \"undefined\") {\n var cache = new WeakMap;\n readFromCache = function (key) { return cache.get(key); };\n addToCache = function (key, value) {\n cache.set(key, value);\n return value\n };\n} else {\n var cache$1 = [], cacheSize = 10, cachePos = 0;\n readFromCache = function (key) {\n for (var i = 0; i < cache$1.length; i += 2)\n { if (cache$1[i] == key) { return cache$1[i + 1] } }\n };\n addToCache = function (key, value) {\n if (cachePos == cacheSize) { cachePos = 0; }\n cache$1[cachePos++] = key;\n return cache$1[cachePos++] = value\n };\n}\n\nvar Rect = function Rect(left, top, right, bottom) {\n this.left = left; this.top = top; this.right = right; this.bottom = bottom;\n};\n\n// ::- A table map describes the structore of a given table. To avoid\n// recomputing them all the time, they are cached per table node. To\n// be able to do that, positions saved in the map are relative to the\n// start of the table, rather than the start of the document.\nvar TableMap = function TableMap(width, height, map, problems) {\n // :: number The width of the table\n this.width = width;\n // :: number The table's height\n this.height = height;\n // :: [number] A width * height array with the start position of\n // the cell covering that part of the table in each slot\n this.map = map;\n // An optional array of problems (cell overlap or non-rectangular\n // shape) for the table, used by the table normalizer.\n this.problems = problems;\n};\n\n// :: (number) → Rect\n// Find the dimensions of the cell at the given position.\nTableMap.prototype.findCell = function findCell (pos) {\n for (var i = 0; i < this.map.length; i++) {\n var curPos = this.map[i];\n if (curPos != pos) { continue }\n var left = i % this.width, top = (i / this.width) | 0;\n var right = left + 1, bottom = top + 1;\n for (var j = 1; right < this.width && this.map[i + j] == curPos; j++) { right++; }\n for (var j$1 = 1; bottom < this.height && this.map[i + (this.width * j$1)] == curPos; j$1++) { bottom++; }\n return new Rect(left, top, right, bottom)\n }\n throw new RangeError(\"No cell with offset \" + pos + \" found\")\n};\n\n// :: (number) → number\n// Find the left side of the cell at the given position.\nTableMap.prototype.colCount = function colCount (pos) {\n for (var i = 0; i < this.map.length; i++)\n { if (this.map[i] == pos) { return i % this.width } }\n throw new RangeError(\"No cell with offset \" + pos + \" found\")\n};\n\n// :: (number, string, number) → ?number\n// Find the next cell in the given direction, starting from the cell\n// at `pos`, if any.\nTableMap.prototype.nextCell = function nextCell (pos, axis, dir) {\n var ref = this.findCell(pos);\n var left = ref.left;\n var right = ref.right;\n var top = ref.top;\n var bottom = ref.bottom;\n if (axis == \"horiz\") {\n if (dir < 0 ? left == 0 : right == this.width) { return null }\n return this.map[top * this.width + (dir < 0 ? left - 1 : right)]\n } else {\n if (dir < 0 ? top == 0 : bottom == this.height) { return null }\n return this.map[left + this.width * (dir < 0 ? top - 1 : bottom)]\n }\n};\n\n// :: (number, number) → Rect\n// Get the rectangle spanning the two given cells.\nTableMap.prototype.rectBetween = function rectBetween (a, b) {\n var ref = this.findCell(a);\n var leftA = ref.left;\n var rightA = ref.right;\n var topA = ref.top;\n var bottomA = ref.bottom;\n var ref$1 = this.findCell(b);\n var leftB = ref$1.left;\n var rightB = ref$1.right;\n var topB = ref$1.top;\n var bottomB = ref$1.bottom;\n return new Rect(Math.min(leftA, leftB), Math.min(topA, topB),\n Math.max(rightA, rightB), Math.max(bottomA, bottomB))\n};\n\n// :: (Rect) → [number]\n// Return the position of all cells that have the top left corner in\n// the given rectangle.\nTableMap.prototype.cellsInRect = function cellsInRect (rect) {\n var result = [], seen = {};\n for (var row = rect.top; row < rect.bottom; row++) {\n for (var col = rect.left; col < rect.right; col++) {\n var index = row * this.width + col, pos = this.map[index];\n if (seen[pos]) { continue }\n seen[pos] = true;\n if ((col != rect.left || !col || this.map[index - 1] != pos) &&\n (row != rect.top || !row || this.map[index - this.width] != pos))\n { result.push(pos); }\n }\n }\n return result\n};\n\n// :: (number, number, Node) → number\n// Return the position at which the cell at the given row and column\n// starts, or would start, if a cell started there.\nTableMap.prototype.positionAt = function positionAt (row, col, table) {\n for (var i = 0, rowStart = 0;; i++) {\n var rowEnd = rowStart + table.child(i).nodeSize;\n if (i == row) {\n var index = col + row * this.width, rowEndIndex = (row + 1) * this.width;\n // Skip past cells from previous rows (via rowspan)\n while (index < rowEndIndex && this.map[index] < rowStart) { index++; }\n return index == rowEndIndex ? rowEnd - 1 : this.map[index]\n }\n rowStart = rowEnd;\n }\n};\n\n// :: (Node) → TableMap\n// Find the table map for the given table node.\nTableMap.get = function get (table) {\n return readFromCache(table) || addToCache(table, computeMap(table))\n};\n\n// Compute a table map.\nfunction computeMap(table) {\n if (table.type.spec.tableRole != \"table\") { throw new RangeError(\"Not a table node: \" + table.type.name) }\n var width = findWidth(table), height = table.childCount;\n var map = [], mapPos = 0, problems = null, colWidths = [];\n for (var i = 0, e = width * height; i < e; i++) { map[i] = 0; }\n\n for (var row = 0, pos = 0; row < height; row++) {\n var rowNode = table.child(row);\n pos++;\n for (var i$1 = 0;; i$1++) {\n while (mapPos < map.length && map[mapPos] != 0) { mapPos++; }\n if (i$1 == rowNode.childCount) { break }\n var cellNode = rowNode.child(i$1);\n var ref = cellNode.attrs;\n var colspan = ref.colspan;\n var rowspan = ref.rowspan;\n var colwidth = ref.colwidth;\n for (var h = 0; h < rowspan; h++) {\n if (h + row >= height) {\n (problems || (problems = [])).push({type: \"overlong_rowspan\", pos: pos, n: rowspan - h});\n break\n }\n var start = mapPos + (h * width);\n for (var w = 0; w < colspan; w++) {\n if (map[start + w] == 0)\n { map[start + w] = pos; }\n else\n { (problems || (problems = [])).push({type: \"collision\", row: row, pos: pos, n: colspan - w}); }\n var colW = colwidth && colwidth[w];\n if (colW) {\n var widthIndex = ((start + w) % width) * 2, prev = colWidths[widthIndex];\n if (prev == null || (prev != colW && colWidths[widthIndex + 1] == 1)) {\n colWidths[widthIndex] = colW;\n colWidths[widthIndex + 1] = 1;\n } else if (prev == colW) {\n colWidths[widthIndex + 1]++;\n }\n }\n }\n }\n mapPos += colspan;\n pos += cellNode.nodeSize;\n }\n var expectedPos = (row + 1) * width, missing = 0;\n while (mapPos < expectedPos) { if (map[mapPos++] == 0) { missing++; } }\n if (missing) { (problems || (problems = [])).push({type: \"missing\", row: row, n: missing}); }\n pos++;\n }\n\n var tableMap = new TableMap(width, height, map, problems), badWidths = false;\n\n // For columns that have defined widths, but whose widths disagree\n // between rows, fix up the cells whose width doesn't match the\n // computed one.\n for (var i$2 = 0; !badWidths && i$2 < colWidths.length; i$2 += 2)\n { if (colWidths[i$2] != null && colWidths[i$2 + 1] < height) { badWidths = true; } }\n if (badWidths) { findBadColWidths(tableMap, colWidths, table); }\n\n return tableMap\n}\n\nfunction findWidth(table) {\n var width = -1, hasRowSpan = false;\n for (var row = 0; row < table.childCount; row++) {\n var rowNode = table.child(row), rowWidth = 0;\n if (hasRowSpan) { for (var j = 0; j < row; j++) {\n var prevRow = table.child(j);\n for (var i = 0; i < prevRow.childCount; i++) {\n var cell = prevRow.child(i);\n if (j + cell.attrs.rowspan > row) { rowWidth += cell.attrs.colspan; }\n }\n } }\n for (var i$1 = 0; i$1 < rowNode.childCount; i$1++) {\n var cell$1 = rowNode.child(i$1);\n rowWidth += cell$1.attrs.colspan;\n if (cell$1.attrs.rowspan > 1) { hasRowSpan = true; }\n }\n if (width == -1)\n { width = rowWidth; }\n else if (width != rowWidth)\n { width = Math.max(width, rowWidth); }\n }\n return width\n}\n\nfunction findBadColWidths(map, colWidths, table) {\n if (!map.problems) { map.problems = []; }\n for (var i = 0, seen = {}; i < map.map.length; i++) {\n var pos = map.map[i];\n if (seen[pos]) { continue }\n seen[pos] = true;\n var node = table.nodeAt(pos), updated = null;\n for (var j = 0; j < node.attrs.colspan; j++) {\n var col = (i + j) % map.width, colWidth = colWidths[col * 2];\n if (colWidth != null && (!node.attrs.colwidth || node.attrs.colwidth[j] != colWidth))\n { (updated || (updated = freshColWidth(node.attrs)))[j] = colWidth; }\n }\n if (updated) { map.problems.unshift({type: \"colwidth mismatch\", pos: pos, colwidth: updated}); }\n }\n}\n\nfunction freshColWidth(attrs) {\n if (attrs.colwidth) { return attrs.colwidth.slice() }\n var result = [];\n for (var i = 0; i < attrs.colspan; i++) { result.push(0); }\n return result\n}\n\n// Helper for creating a schema that supports tables.\n\nfunction getCellAttrs(dom, extraAttrs) {\n var widthAttr = dom.getAttribute(\"data-colwidth\");\n var widths = widthAttr && /^\\d+(,\\d+)*$/.test(widthAttr) ? widthAttr.split(\",\").map(function (s) { return Number(s); }) : null;\n var colspan = Number(dom.getAttribute(\"colspan\") || 1);\n var result = {\n colspan: colspan,\n rowspan: Number(dom.getAttribute(\"rowspan\") || 1),\n colwidth: widths && widths.length == colspan ? widths : null\n };\n for (var prop in extraAttrs) {\n var getter = extraAttrs[prop].getFromDOM;\n var value = getter && getter(dom);\n if (value != null) { result[prop] = value; }\n }\n return result\n}\n\nfunction setCellAttrs(node, extraAttrs) {\n var attrs = {};\n if (node.attrs.colspan != 1) { attrs.colspan = node.attrs.colspan; }\n if (node.attrs.rowspan != 1) { attrs.rowspan = node.attrs.rowspan; }\n if (node.attrs.colwidth)\n { attrs[\"data-colwidth\"] = node.attrs.colwidth.join(\",\"); }\n for (var prop in extraAttrs) {\n var setter = extraAttrs[prop].setDOMAttr;\n if (setter) { setter(node.attrs[prop], attrs); }\n }\n return attrs\n}\n\n// :: (Object) → Object\n//\n// This function creates a set of [node\n// specs](http://prosemirror.net/docs/ref/#model.SchemaSpec.nodes) for\n// `table`, `table_row`, and `table_cell` nodes types as used by this\n// module. The result can then be added to the set of nodes when\n// creating a a schema.\n//\n// options::- The following options are understood:\n//\n// tableGroup:: ?string\n// A group name (something like `\"block\"`) to add to the table\n// node type.\n//\n// cellContent:: string\n// The content expression for table cells.\n//\n// cellAttributes:: ?Object\n// Additional attributes to add to cells. Maps attribute names to\n// objects with the following properties:\n//\n// default:: any\n// The attribute's default value.\n//\n// getFromDOM:: ?(dom.Node) → any\n// A function to read the attribute's value from a DOM node.\n//\n// setDOMAttr:: ?(value: any, attrs: Object)\n// A function to add the attribute's value to an attribute\n// object that's used to render the cell's DOM.\nfunction tableNodes(options) {\n var extraAttrs = options.cellAttributes || {};\n var cellAttrs = {\n colspan: {default: 1},\n rowspan: {default: 1},\n colwidth: {default: null}\n };\n for (var prop in extraAttrs)\n { cellAttrs[prop] = {default: extraAttrs[prop].default}; }\n\n return {\n table: {\n content: \"table_row+\",\n tableRole: \"table\",\n isolating: true,\n group: options.tableGroup,\n parseDOM: [{tag: \"table\"}],\n toDOM: function toDOM() { return [\"table\", [\"tbody\", 0]] }\n },\n table_row: {\n content: \"(table_cell | table_header)*\",\n tableRole: \"row\",\n parseDOM: [{tag: \"tr\"}],\n toDOM: function toDOM() { return [\"tr\", 0] }\n },\n table_cell: {\n content: options.cellContent,\n attrs: cellAttrs,\n tableRole: \"cell\",\n isolating: true,\n parseDOM: [{tag: \"td\", getAttrs: function (dom) { return getCellAttrs(dom, extraAttrs); }}],\n toDOM: function toDOM(node) { return [\"td\", setCellAttrs(node, extraAttrs), 0] }\n },\n table_header: {\n content: options.cellContent,\n attrs: cellAttrs,\n tableRole: \"header_cell\",\n isolating: true,\n parseDOM: [{tag: \"th\", getAttrs: function (dom) { return getCellAttrs(dom, extraAttrs); }}],\n toDOM: function toDOM(node) { return [\"th\", setCellAttrs(node, extraAttrs), 0] }\n }\n }\n}\n\nfunction tableNodeTypes(schema) {\n var result = schema.cached.tableNodeTypes;\n if (!result) {\n result = schema.cached.tableNodeTypes = {};\n for (var name in schema.nodes) {\n var type = schema.nodes[name], role = type.spec.tableRole;\n if (role) { result[role] = type; }\n }\n }\n return result\n}\n\n// Various helper function for working with tables\n\nvar key = new PluginKey(\"selectingCells\");\n\nfunction cellAround($pos) {\n for (var d = $pos.depth - 1; d > 0; d--)\n { if ($pos.node(d).type.spec.tableRole == \"row\") { return $pos.node(0).resolve($pos.before(d + 1)) } }\n return null\n}\n\nfunction cellWrapping($pos) {\n for (var d = $pos.depth; d > 0; d--) { // Sometimes the cell can be in the same depth.\n var role = $pos.node(d).type.spec.tableRole;\n if (role === \"cell\" || role === 'header_cell') { return $pos.node(d) }\n }\n return null\n}\n\nfunction isInTable(state) {\n var $head = state.selection.$head;\n for (var d = $head.depth; d > 0; d--) { if ($head.node(d).type.spec.tableRole == \"row\") { return true } }\n return false\n}\n\nfunction selectionCell(state) {\n var sel = state.selection;\n if (sel.$anchorCell) {\n return sel.$anchorCell.pos > sel.$headCell.pos ? sel.$anchorCell : sel.$headCell;\n } else if (sel.node && sel.node.type.spec.tableRole == \"cell\") {\n return sel.$anchor\n }\n return cellAround(sel.$head) || cellNear(sel.$head)\n}\n\nfunction cellNear($pos) {\n for (var after = $pos.nodeAfter, pos = $pos.pos; after; after = after.firstChild, pos++) {\n var role = after.type.spec.tableRole;\n if (role == \"cell\" || role == \"header_cell\") { return $pos.doc.resolve(pos) }\n }\n for (var before = $pos.nodeBefore, pos$1 = $pos.pos; before; before = before.lastChild, pos$1--) {\n var role$1 = before.type.spec.tableRole;\n if (role$1 == \"cell\" || role$1 == \"header_cell\") { return $pos.doc.resolve(pos$1 - before.nodeSize) }\n }\n}\n\nfunction pointsAtCell($pos) {\n return $pos.parent.type.spec.tableRole == \"row\" && $pos.nodeAfter\n}\n\nfunction moveCellForward($pos) {\n return $pos.node(0).resolve($pos.pos + $pos.nodeAfter.nodeSize)\n}\n\nfunction inSameTable($a, $b) {\n return $a.depth == $b.depth && $a.pos >= $b.start(-1) && $a.pos <= $b.end(-1)\n}\n\nfunction findCell($pos) {\n return TableMap.get($pos.node(-1)).findCell($pos.pos - $pos.start(-1))\n}\n\nfunction colCount($pos) {\n return TableMap.get($pos.node(-1)).colCount($pos.pos - $pos.start(-1))\n}\n\nfunction nextCell($pos, axis, dir) {\n var start = $pos.start(-1), map = TableMap.get($pos.node(-1));\n var moved = map.nextCell($pos.pos - start, axis, dir);\n return moved == null ? null : $pos.node(0).resolve(start + moved)\n}\n\nfunction setAttr(attrs, name, value) {\n var result = {};\n for (var prop in attrs) { result[prop] = attrs[prop]; }\n result[name] = value;\n return result\n}\n\nfunction removeColSpan(attrs, pos, n) {\n if ( n === void 0 ) n=1;\n\n var result = setAttr(attrs, \"colspan\", attrs.colspan - n);\n if (result.colwidth) {\n result.colwidth = result.colwidth.slice();\n result.colwidth.splice(pos, n);\n if (!result.colwidth.some(function (w) { return w > 0; })) { result.colwidth = null; }\n }\n return result\n}\n\nfunction addColSpan(attrs, pos, n) {\n if ( n === void 0 ) n=1;\n\n var result = setAttr(attrs, \"colspan\", attrs.colspan + n);\n if (result.colwidth) {\n result.colwidth = result.colwidth.slice();\n for (var i = 0; i < n; i++) { result.colwidth.splice(pos, 0, 0); }\n }\n return result\n}\n\nfunction columnIsHeader(map, table, col) {\n var headerCell = tableNodeTypes(table.type.schema).header_cell;\n for (var row = 0; row < map.height; row++)\n { if (table.nodeAt(map.map[col + row * map.width]).type != headerCell)\n { return false } }\n return true\n}\n\n// This file defines a ProseMirror selection subclass that models\n\n// ::- A [`Selection`](http://prosemirror.net/docs/ref/#state.Selection)\n// subclass that represents a cell selection spanning part of a table.\n// With the plugin enabled, these will be created when the user\n// selects across cells, and will be drawn by giving selected cells a\n// `selectedCell` CSS class.\nvar CellSelection = /*@__PURE__*/(function (Selection) {\n function CellSelection($anchorCell, $headCell) {\n if ( $headCell === void 0 ) $headCell = $anchorCell;\n\n var table = $anchorCell.node(-1), map = TableMap.get(table), start = $anchorCell.start(-1);\n var rect = map.rectBetween($anchorCell.pos - start, $headCell.pos - start);\n var doc = $anchorCell.node(0);\n var cells = map.cellsInRect(rect).filter(function (p) { return p != $headCell.pos - start; });\n // Make the head cell the first range, so that it counts as the\n // primary part of the selection\n cells.unshift($headCell.pos - start);\n var ranges = cells.map(function (pos) {\n var cell = table.nodeAt(pos), from = pos + start + 1;\n return new SelectionRange(doc.resolve(from), doc.resolve(from + cell.content.size))\n });\n Selection.call(this, ranges[0].$from, ranges[0].$to, ranges);\n // :: ResolvedPos\n // A resolved position pointing _in front of_ the anchor cell (the one\n // that doesn't move when extending the selection).\n this.$anchorCell = $anchorCell;\n // :: ResolvedPos\n // A resolved position pointing in front of the head cell (the one\n // moves when extending the selection).\n this.$headCell = $headCell;\n }\n\n if ( Selection ) CellSelection.__proto__ = Selection;\n CellSelection.prototype = Object.create( Selection && Selection.prototype );\n CellSelection.prototype.constructor = CellSelection;\n\n CellSelection.prototype.map = function map (doc, mapping) {\n var $anchorCell = doc.resolve(mapping.map(this.$anchorCell.pos));\n var $headCell = doc.resolve(mapping.map(this.$headCell.pos));\n if (pointsAtCell($anchorCell) && pointsAtCell($headCell) && inSameTable($anchorCell, $headCell)) {\n var tableChanged = this.$anchorCell.node(-1) != $anchorCell.node(-1);\n if (tableChanged && this.isRowSelection())\n { return CellSelection.rowSelection($anchorCell, $headCell) }\n else if (tableChanged && this.isColSelection())\n { return CellSelection.colSelection($anchorCell, $headCell) }\n else\n { return new CellSelection($anchorCell, $headCell) }\n }\n return TextSelection.between($anchorCell, $headCell)\n };\n\n // :: () → Slice\n // Returns a rectangular slice of table rows containing the selected\n // cells.\n CellSelection.prototype.content = function content () {\n var table = this.$anchorCell.node(-1), map = TableMap.get(table), start = this.$anchorCell.start(-1);\n var rect = map.rectBetween(this.$anchorCell.pos - start, this.$headCell.pos - start);\n var seen = {}, rows = [];\n for (var row = rect.top; row < rect.bottom; row++) {\n var rowContent = [];\n for (var index = row * map.width + rect.left, col = rect.left; col < rect.right; col++, index++) {\n var pos = map.map[index];\n if (!seen[pos]) {\n seen[pos] = true;\n var cellRect = map.findCell(pos), cell = table.nodeAt(pos);\n var extraLeft = rect.left - cellRect.left, extraRight = cellRect.right - rect.right;\n if (extraLeft > 0 || extraRight > 0) {\n var attrs = cell.attrs;\n if (extraLeft > 0) { attrs = removeColSpan(attrs, 0, extraLeft); }\n if (extraRight > 0) { attrs = removeColSpan(attrs, attrs.colspan - extraRight, extraRight); }\n if (cellRect.left < rect.left) { cell = cell.type.createAndFill(attrs); }\n else { cell = cell.type.create(attrs, cell.content); }\n }\n if (cellRect.top < rect.top || cellRect.bottom > rect.bottom) {\n var attrs$1 = setAttr(cell.attrs, \"rowspan\", Math.min(cellRect.bottom, rect.bottom) - Math.max(cellRect.top, rect.top));\n if (cellRect.top < rect.top) { cell = cell.type.createAndFill(attrs$1); }\n else { cell = cell.type.create(attrs$1, cell.content); }\n }\n rowContent.push(cell);\n }\n }\n rows.push(table.child(row).copy(Fragment.from(rowContent)));\n }\n\n var fragment = this.isColSelection() && this.isRowSelection() ? table : rows;\n return new Slice(Fragment.from(fragment), 1, 1)\n };\n\n CellSelection.prototype.replace = function replace (tr, content) {\n if ( content === void 0 ) content = Slice.empty;\n\n var mapFrom = tr.steps.length, ranges = this.ranges;\n for (var i = 0; i < ranges.length; i++) {\n var ref = ranges[i];\n var $from = ref.$from;\n var $to = ref.$to;\n var mapping = tr.mapping.slice(mapFrom);\n tr.replace(mapping.map($from.pos), mapping.map($to.pos), i ? Slice.empty : content);\n }\n var sel = Selection.findFrom(tr.doc.resolve(tr.mapping.slice(mapFrom).map(this.to)), -1);\n if (sel) { tr.setSelection(sel); }\n };\n\n CellSelection.prototype.replaceWith = function replaceWith (tr, node) {\n this.replace(tr, new Slice(Fragment.from(node), 0, 0));\n };\n\n CellSelection.prototype.forEachCell = function forEachCell (f) {\n var table = this.$anchorCell.node(-1), map = TableMap.get(table), start = this.$anchorCell.start(-1);\n var cells = map.cellsInRect(map.rectBetween(this.$anchorCell.pos - start, this.$headCell.pos - start));\n for (var i = 0; i < cells.length; i++)\n { f(table.nodeAt(cells[i]), start + cells[i]); }\n };\n\n // :: () → bool\n // True if this selection goes all the way from the top to the\n // bottom of the table.\n CellSelection.prototype.isColSelection = function isColSelection () {\n var anchorTop = this.$anchorCell.index(-1), headTop = this.$headCell.index(-1);\n if (Math.min(anchorTop, headTop) > 0) { return false }\n var anchorBot = anchorTop + this.$anchorCell.nodeAfter.attrs.rowspan,\n headBot = headTop + this.$headCell.nodeAfter.attrs.rowspan;\n return Math.max(anchorBot, headBot) == this.$headCell.node(-1).childCount\n };\n\n // :: (ResolvedPos, ?ResolvedPos) → CellSelection\n // Returns the smallest column selection that covers the given anchor\n // and head cell.\n CellSelection.colSelection = function colSelection ($anchorCell, $headCell) {\n if ( $headCell === void 0 ) $headCell = $anchorCell;\n\n var map = TableMap.get($anchorCell.node(-1)), start = $anchorCell.start(-1);\n var anchorRect = map.findCell($anchorCell.pos - start), headRect = map.findCell($headCell.pos - start);\n var doc = $anchorCell.node(0);\n if (anchorRect.top <= headRect.top) {\n if (anchorRect.top > 0)\n { $anchorCell = doc.resolve(start + map.map[anchorRect.left]); }\n if (headRect.bottom < map.height)\n { $headCell = doc.resolve(start + map.map[map.width * (map.height - 1) + headRect.right - 1]); }\n } else {\n if (headRect.top > 0)\n { $headCell = doc.resolve(start + map.map[headRect.left]); }\n if (anchorRect.bottom < map.height)\n { $anchorCell = doc.resolve(start + map.map[map.width * (map.height - 1) + anchorRect.right - 1]); }\n }\n return new CellSelection($anchorCell, $headCell)\n };\n\n // :: () → bool\n // True if this selection goes all the way from the left to the\n // right of the table.\n CellSelection.prototype.isRowSelection = function isRowSelection () {\n var map = TableMap.get(this.$anchorCell.node(-1)), start = this.$anchorCell.start(-1);\n var anchorLeft = map.colCount(this.$anchorCell.pos - start),\n headLeft = map.colCount(this.$headCell.pos - start);\n if (Math.min(anchorLeft, headLeft) > 0) { return false }\n var anchorRight = anchorLeft + this.$anchorCell.nodeAfter.attrs.colspan,\n headRight = headLeft + this.$headCell.nodeAfter.attrs.colspan;\n return Math.max(anchorRight, headRight) == map.width\n };\n\n CellSelection.prototype.eq = function eq (other) {\n return other instanceof CellSelection && other.$anchorCell.pos == this.$anchorCell.pos &&\n other.$headCell.pos == this.$headCell.pos\n };\n\n // :: (ResolvedPos, ?ResolvedPos) → CellSelection\n // Returns the smallest row selection that covers the given anchor\n // and head cell.\n CellSelection.rowSelection = function rowSelection ($anchorCell, $headCell) {\n if ( $headCell === void 0 ) $headCell = $anchorCell;\n\n var map = TableMap.get($anchorCell.node(-1)), start = $anchorCell.start(-1);\n var anchorRect = map.findCell($anchorCell.pos - start), headRect = map.findCell($headCell.pos - start);\n var doc = $anchorCell.node(0);\n if (anchorRect.left <= headRect.left) {\n if (anchorRect.left > 0)\n { $anchorCell = doc.resolve(start + map.map[anchorRect.top * map.width]); }\n if (headRect.right < map.width)\n { $headCell = doc.resolve(start + map.map[map.width * (headRect.top + 1) - 1]); }\n } else {\n if (headRect.left > 0)\n { $headCell = doc.resolve(start + map.map[headRect.top * map.width]); }\n if (anchorRect.right < map.width)\n { $anchorCell = doc.resolve(start + map.map[map.width * (anchorRect.top + 1) - 1]); }\n }\n return new CellSelection($anchorCell, $headCell)\n };\n\n CellSelection.prototype.toJSON = function toJSON () {\n return {type: \"cell\", anchor: this.$anchorCell.pos, head: this.$headCell.pos}\n };\n\n CellSelection.fromJSON = function fromJSON (doc, json) {\n return new CellSelection(doc.resolve(json.anchor), doc.resolve(json.head))\n };\n\n // :: (Node, number, ?number) → CellSelection\n CellSelection.create = function create (doc, anchorCell, headCell) {\n if ( headCell === void 0 ) headCell = anchorCell;\n\n return new CellSelection(doc.resolve(anchorCell), doc.resolve(headCell))\n };\n\n CellSelection.prototype.getBookmark = function getBookmark () { return new CellBookmark(this.$anchorCell.pos, this.$headCell.pos) };\n\n return CellSelection;\n}(Selection));\n\nCellSelection.prototype.visible = false;\n\nSelection.jsonID(\"cell\", CellSelection);\n\nvar CellBookmark = function CellBookmark(anchor, head) {\n this.anchor = anchor;\n this.head = head;\n};\nCellBookmark.prototype.map = function map (mapping) {\n return new CellBookmark(mapping.map(this.anchor), mapping.map(this.head))\n};\nCellBookmark.prototype.resolve = function resolve (doc) {\n var $anchorCell = doc.resolve(this.anchor), $headCell = doc.resolve(this.head);\n if ($anchorCell.parent.type.spec.tableRole == \"row\" &&\n $headCell.parent.type.spec.tableRole == \"row\" &&\n $anchorCell.index() < $anchorCell.parent.childCount &&\n $headCell.index() < $headCell.parent.childCount &&\n inSameTable($anchorCell, $headCell))\n { return new CellSelection($anchorCell, $headCell) }\n else\n { return Selection.near($headCell, 1) }\n};\n\nfunction drawCellSelection(state) {\n if (!(state.selection instanceof CellSelection)) { return null }\n var cells = [];\n state.selection.forEachCell(function (node, pos) {\n cells.push(Decoration.node(pos, pos + node.nodeSize, {class: \"selectedCell\"}));\n });\n return DecorationSet.create(state.doc, cells)\n}\n\nfunction isCellBoundarySelection(ref) {\n var $from = ref.$from;\n var $to = ref.$to;\n\n if ($from.pos == $to.pos || $from.pos < $from.pos - 6) { return false } // Cheap elimination\n var afterFrom = $from.pos, beforeTo = $to.pos, depth = $from.depth;\n for (; depth >= 0; depth--, afterFrom++)\n { if ($from.after(depth + 1) < $from.end(depth)) { break } }\n for (var d = $to.depth; d >= 0; d--, beforeTo--)\n { if ($to.before(d + 1) > $to.start(d)) { break } }\n return afterFrom == beforeTo && /row|table/.test($from.node(depth).type.spec.tableRole)\n}\n\nfunction isTextSelectionAcrossCells(ref) {\n var $from = ref.$from;\n var $to = ref.$to;\n\n var fromCellBoundaryNode;\n var toCellBoundaryNode;\n\n for (var i = $from.depth; i > 0; i--) {\n var node = $from.node(i);\n if (node.type.spec.tableRole === 'cell' || node.type.spec.tableRole === 'header_cell') {\n fromCellBoundaryNode = node;\n break;\n }\n }\n\n for (var i$1 = $to.depth; i$1 > 0; i$1--) {\n var node$1 = $to.node(i$1);\n if (node$1.type.spec.tableRole === 'cell' || node$1.type.spec.tableRole === 'header_cell') {\n toCellBoundaryNode = node$1;\n break;\n }\n }\n\n return fromCellBoundaryNode !== toCellBoundaryNode && $to.parentOffset === 0\n}\n\nfunction normalizeSelection(state, tr, allowTableNodeSelection) {\n var sel = (tr || state).selection, doc = (tr || state).doc, normalize, role;\n if (sel instanceof NodeSelection && (role = sel.node.type.spec.tableRole)) {\n if (role == \"cell\" || role == \"header_cell\") {\n normalize = CellSelection.create(doc, sel.from);\n } else if (role == \"row\") {\n var $cell = doc.resolve(sel.from + 1);\n normalize = CellSelection.rowSelection($cell, $cell);\n } else if (!allowTableNodeSelection) {\n var map = TableMap.get(sel.node), start = sel.from + 1;\n var lastCell = start + map.map[map.width * map.height - 1];\n normalize = CellSelection.create(doc, start + 1, lastCell);\n }\n } else if (sel instanceof TextSelection && isCellBoundarySelection(sel)) {\n normalize = TextSelection.create(doc, sel.from);\n } else if (sel instanceof TextSelection && isTextSelectionAcrossCells(sel)) {\n normalize = TextSelection.create(doc, sel.$from.start(), sel.$from.end());\n }\n if (normalize)\n { (tr || (tr = state.tr)).setSelection(normalize); }\n return tr\n}\n\n// Utilities used for copy/paste handling.\n\n// Utilities to help with copying and pasting table cells\n\n// : (Slice) → ?{width: number, height: number, rows: [Fragment]}\n// Get a rectangular area of cells from a slice, or null if the outer\n// nodes of the slice aren't table cells or rows.\nfunction pastedCells(slice) {\n if (!slice.size) { return null }\n var content = slice.content;\n var openStart = slice.openStart;\n var openEnd = slice.openEnd;\n while (content.childCount == 1 && (openStart > 0 && openEnd > 0 || content.firstChild.type.spec.tableRole == \"table\")) {\n openStart--;\n openEnd--;\n content = content.firstChild.content;\n }\n var first = content.firstChild, role = first.type.spec.tableRole;\n var schema = first.type.schema, rows = [];\n if (role == \"row\") {\n for (var i = 0; i < content.childCount; i++) {\n var cells = content.child(i).content;\n var left = i ? 0 : Math.max(0, openStart - 1);\n var right = i < content.childCount - 1 ? 0 : Math.max(0, openEnd - 1);\n if (left || right) { cells = fitSlice(tableNodeTypes(schema).row, new Slice(cells, left, right)).content; }\n rows.push(cells);\n }\n } else if (role == \"cell\" || role == \"header_cell\") {\n rows.push(openStart || openEnd ? fitSlice(tableNodeTypes(schema).row, new Slice(content, openStart, openEnd)).content : content);\n } else {\n return null\n }\n return ensureRectangular(schema, rows)\n}\n\n// : (Schema, [Fragment]) → {width: number, height: number, rows: [Fragment]}\n// Compute the width and height of a set of cells, and make sure each\n// row has the same number of cells.\nfunction ensureRectangular(schema, rows) {\n var widths = [];\n for (var i = 0; i < rows.length; i++) {\n var row = rows[i];\n for (var j = row.childCount - 1; j >= 0; j--) {\n var ref = row.child(j).attrs;\n var rowspan = ref.rowspan;\n var colspan = ref.colspan;\n for (var r = i; r < i + rowspan; r++)\n { widths[r] = (widths[r] || 0) + colspan; }\n }\n }\n var width = 0;\n for (var r$1 = 0; r$1 < widths.length; r$1++) { width = Math.max(width, widths[r$1]); }\n for (var r$2 = 0; r$2 < widths.length; r$2++) {\n if (r$2 >= rows.length) { rows.push(Fragment.empty); }\n if (widths[r$2] < width) {\n var empty = tableNodeTypes(schema).cell.createAndFill(), cells = [];\n for (var i$1 = widths[r$2]; i$1 < width; i$1++) { cells.push(empty); }\n rows[r$2] = rows[r$2].append(Fragment.from(cells));\n }\n }\n return {height: rows.length, width: width, rows: rows}\n}\n\nfunction fitSlice(nodeType, slice) {\n var node = nodeType.createAndFill();\n var tr = new Transform(node).replace(0, node.content.size, slice);\n return tr.doc\n}\n\n// : ({width: number, height: number, rows: [Fragment]}, number, number) → {width: number, height: number, rows: [Fragment]}\n// Clip or extend (repeat) the given set of cells to cover the given\n// width and height. Will clip rowspan/colspan cells at the edges when\n// they stick out.\nfunction clipCells(ref, newWidth, newHeight) {\n var width = ref.width;\n var height = ref.height;\n var rows = ref.rows;\n\n if (width != newWidth) {\n var added = [], newRows = [];\n for (var row = 0; row < rows.length; row++) {\n var frag = rows[row], cells = [];\n for (var col = added[row] || 0, i = 0; col < newWidth; i++) {\n var cell = frag.child(i % frag.childCount);\n if (col + cell.attrs.colspan > newWidth)\n { cell = cell.type.create(removeColSpan(cell.attrs, cell.attrs.colspan, col + cell.attrs.colspan - newWidth), cell.content); }\n cells.push(cell);\n col += cell.attrs.colspan;\n for (var j = 1; j < cell.attrs.rowspan; j++)\n { added[row + j] = (added[row + j] || 0) + cell.attrs.colspan; }\n }\n newRows.push(Fragment.from(cells));\n }\n rows = newRows;\n width = newWidth;\n }\n\n if (height != newHeight) {\n var newRows$1 = [];\n for (var row$1 = 0, i$1 = 0; row$1 < newHeight; row$1++, i$1++) {\n var cells$1 = [], source = rows[i$1 % height];\n for (var j$1 = 0; j$1 < source.childCount; j$1++) {\n var cell$1 = source.child(j$1);\n if (row$1 + cell$1.attrs.rowspan > newHeight)\n { cell$1 = cell$1.type.create(setAttr(cell$1.attrs, \"rowspan\", Math.max(1, newHeight - cell$1.attrs.rowspan)), cell$1.content); }\n cells$1.push(cell$1);\n }\n newRows$1.push(Fragment.from(cells$1));\n }\n rows = newRows$1;\n height = newHeight;\n }\n\n return {width: width, height: height, rows: rows}\n}\n\n// Make sure a table has at least the given width and height. Return\n// true if something was changed.\nfunction growTable(tr, map, table, start, width, height, mapFrom) {\n var schema = tr.doc.type.schema, types = tableNodeTypes(schema), empty, emptyHead;\n if (width > map.width) {\n for (var row = 0, rowEnd = 0; row < map.height; row++) {\n var rowNode = table.child(row);\n rowEnd += rowNode.nodeSize;\n var cells = [], add = (void 0);\n if (rowNode.lastChild == null || rowNode.lastChild.type == types.cell)\n { add = empty || (empty = types.cell.createAndFill()); }\n else\n { add = emptyHead || (emptyHead = types.header_cell.createAndFill()); }\n for (var i = map.width; i < width; i++) { cells.push(add); }\n tr.insert(tr.mapping.slice(mapFrom).map(rowEnd - 1 + start), cells);\n }\n }\n if (height > map.height) {\n var cells$1 = [];\n for (var i$1 = 0, start$1 = (map.height - 1) * map.width; i$1 < Math.max(map.width, width); i$1++) {\n var header = i$1 >= map.width ? false :\n table.nodeAt(map.map[start$1 + i$1]).type == types.header_cell;\n cells$1.push(header\n ? (emptyHead || (emptyHead = types.header_cell.createAndFill()))\n : (empty || (empty = types.cell.createAndFill())));\n }\n\n var emptyRow = types.row.create(null, Fragment.from(cells$1)), rows = [];\n for (var i$2 = map.height; i$2 < height; i$2++) { rows.push(emptyRow); }\n tr.insert(tr.mapping.slice(mapFrom).map(start + table.nodeSize - 2), rows);\n }\n return !!(empty || emptyHead)\n}\n\n// Make sure the given line (left, top) to (right, top) doesn't cross\n// any rowspan cells by splitting cells that cross it. Return true if\n// something changed.\nfunction isolateHorizontal(tr, map, table, start, left, right, top, mapFrom) {\n if (top == 0 || top == map.height) { return false }\n var found = false;\n for (var col = left; col < right; col++) {\n var index = top * map.width + col, pos = map.map[index];\n if (map.map[index - map.width] == pos) {\n found = true;\n var cell = table.nodeAt(pos);\n var ref = map.findCell(pos);\n var cellTop = ref.top;\n var cellLeft = ref.left;\n tr.setNodeMarkup(tr.mapping.slice(mapFrom).map(pos + start), null, setAttr(cell.attrs, \"rowspan\", top - cellTop));\n tr.insert(tr.mapping.slice(mapFrom).map(map.positionAt(top, cellLeft, table)),\n cell.type.createAndFill(setAttr(cell.attrs, \"rowspan\", (cellTop + cell.attrs.rowspan) - top)));\n col += cell.attrs.colspan - 1;\n }\n }\n return found\n}\n\n// Make sure the given line (left, top) to (left, bottom) doesn't\n// cross any colspan cells by splitting cells that cross it. Return\n// true if something changed.\nfunction isolateVertical(tr, map, table, start, top, bottom, left, mapFrom) {\n if (left == 0 || left == map.width) { return false }\n var found = false;\n for (var row = top; row < bottom; row++) {\n var index = row * map.width + left, pos = map.map[index];\n if (map.map[index - 1] == pos) {\n found = true;\n var cell = table.nodeAt(pos), cellLeft = map.colCount(pos);\n var updatePos = tr.mapping.slice(mapFrom).map(pos + start);\n tr.setNodeMarkup(updatePos, null, removeColSpan(cell.attrs, left - cellLeft, cell.attrs.colspan - (left - cellLeft)));\n tr.insert(updatePos + cell.nodeSize, cell.type.createAndFill(removeColSpan(cell.attrs, 0, left - cellLeft)));\n row += cell.attrs.rowspan - 1;\n }\n }\n return found\n}\n\n// Insert the given set of cells (as returned by `pastedCells`) into a\n// table, at the position pointed at by rect.\nfunction insertCells(state, dispatch, tableStart, rect, cells) {\n var table = tableStart ? state.doc.nodeAt(tableStart - 1) : state.doc, map = TableMap.get(table);\n var top = rect.top;\n var left = rect.left;\n var right = left + cells.width, bottom = top + cells.height;\n var tr = state.tr, mapFrom = 0;\n function recomp() {\n table = tableStart ? tr.doc.nodeAt(tableStart - 1) : tr.doc;\n map = TableMap.get(table);\n mapFrom = tr.mapping.maps.length;\n }\n // Prepare the table to be large enough and not have any cells\n // crossing the boundaries of the rectangle that we want to\n // insert into. If anything about it changes, recompute the table\n // map so that subsequent operations can see the current shape.\n if (growTable(tr, map, table, tableStart, right, bottom, mapFrom)) { recomp(); }\n if (isolateHorizontal(tr, map, table, tableStart, left, right, top, mapFrom)) { recomp(); }\n if (isolateHorizontal(tr, map, table, tableStart, left, right, bottom, mapFrom)) { recomp(); }\n if (isolateVertical(tr, map, table, tableStart, top, bottom, left, mapFrom)) { recomp(); }\n if (isolateVertical(tr, map, table, tableStart, top, bottom, right, mapFrom)) { recomp(); }\n\n for (var row = top; row < bottom; row++) {\n var from = map.positionAt(row, left, table), to = map.positionAt(row, right, table);\n tr.replace(tr.mapping.slice(mapFrom).map(from + tableStart), tr.mapping.slice(mapFrom).map(to + tableStart),\n new Slice(cells.rows[row - top], 0, 0));\n }\n recomp();\n tr.setSelection(new CellSelection(tr.doc.resolve(tableStart + map.positionAt(top, left, table)),\n tr.doc.resolve(tableStart + map.positionAt(bottom - 1, right - 1, table))));\n dispatch(tr);\n}\n\n// This file defines a number of helpers for wiring up user input to\n\nvar handleKeyDown = keydownHandler({\n \"ArrowLeft\": arrow(\"horiz\", -1),\n \"ArrowRight\": arrow(\"horiz\", 1),\n \"ArrowUp\": arrow(\"vert\", -1),\n \"ArrowDown\": arrow(\"vert\", 1),\n\n \"Shift-ArrowLeft\": shiftArrow(\"horiz\", -1),\n \"Shift-ArrowRight\": shiftArrow(\"horiz\", 1),\n \"Shift-ArrowUp\": shiftArrow(\"vert\", -1),\n \"Shift-ArrowDown\": shiftArrow(\"vert\", 1),\n\n \"Backspace\": deleteCellSelection,\n \"Mod-Backspace\": deleteCellSelection,\n \"Delete\": deleteCellSelection,\n \"Mod-Delete\": deleteCellSelection\n});\n\nfunction maybeSetSelection(state, dispatch, selection) {\n if (selection.eq(state.selection)) { return false }\n if (dispatch) { dispatch(state.tr.setSelection(selection).scrollIntoView()); }\n return true\n}\n\nfunction arrow(axis, dir) {\n return function (state, dispatch, view) {\n var sel = state.selection;\n if (sel instanceof CellSelection) {\n return maybeSetSelection(state, dispatch, Selection.near(sel.$headCell, dir))\n }\n if (axis != \"horiz\" && !sel.empty) { return false }\n var end = atEndOfCell(view, axis, dir);\n if (end == null) { return false }\n if (axis == \"horiz\") {\n return maybeSetSelection(state, dispatch, Selection.near(state.doc.resolve(sel.head + dir), dir))\n } else {\n var $cell = state.doc.resolve(end), $next = nextCell($cell, axis, dir), newSel;\n if ($next) { newSel = Selection.near($next, 1); }\n else if (dir < 0) { newSel = Selection.near(state.doc.resolve($cell.before(-1)), -1); }\n else { newSel = Selection.near(state.doc.resolve($cell.after(-1)), 1); }\n return maybeSetSelection(state, dispatch, newSel)\n }\n }\n}\n\nfunction shiftArrow(axis, dir) {\n return function (state, dispatch, view) {\n var sel = state.selection;\n if (!(sel instanceof CellSelection)) {\n var end = atEndOfCell(view, axis, dir);\n if (end == null) { return false }\n sel = new CellSelection(state.doc.resolve(end));\n }\n var $head = nextCell(sel.$headCell, axis, dir);\n if (!$head) { return false }\n return maybeSetSelection(state, dispatch, new CellSelection(sel.$anchorCell, $head))\n }\n}\n\nfunction deleteCellSelection(state, dispatch) {\n var sel = state.selection;\n if (!(sel instanceof CellSelection)) { return false }\n if (dispatch) {\n var tr = state.tr, baseContent = tableNodeTypes(state.schema).cell.createAndFill().content;\n sel.forEachCell(function (cell, pos) {\n if (!cell.content.eq(baseContent))\n { tr.replace(tr.mapping.map(pos + 1), tr.mapping.map(pos + cell.nodeSize - 1),\n new Slice(baseContent, 0, 0)); }\n });\n if (tr.docChanged) { dispatch(tr); }\n }\n return true\n}\n\nfunction handleTripleClick(view, pos) {\n var doc = view.state.doc, $cell = cellAround(doc.resolve(pos));\n if (!$cell) { return false }\n view.dispatch(view.state.tr.setSelection(new CellSelection($cell)));\n return true\n}\n\nfunction handlePaste(view, _, slice) {\n if (!isInTable(view.state)) { return false }\n var cells = pastedCells(slice), sel = view.state.selection;\n if (sel instanceof CellSelection) {\n if (!cells) { cells = {width: 1, height: 1, rows: [Fragment.from(fitSlice(tableNodeTypes(view.state.schema).cell, slice))]}; }\n var table = sel.$anchorCell.node(-1), start = sel.$anchorCell.start(-1);\n var rect = TableMap.get(table).rectBetween(sel.$anchorCell.pos - start, sel.$headCell.pos - start);\n cells = clipCells(cells, rect.right - rect.left, rect.bottom - rect.top);\n insertCells(view.state, view.dispatch, start, rect, cells);\n return true\n } else if (cells) {\n var $cell = selectionCell(view.state), start$1 = $cell.start(-1);\n insertCells(view.state, view.dispatch, start$1, TableMap.get($cell.node(-1)).findCell($cell.pos - start$1), cells);\n return true\n } else {\n return false\n }\n}\n\nfunction handleMouseDown(view, startEvent) {\n if (startEvent.ctrlKey || startEvent.metaKey) { return }\n\n var startDOMCell = domInCell(view, startEvent.target), $anchor;\n if (startEvent.shiftKey && (view.state.selection instanceof CellSelection)) {\n // Adding to an existing cell selection\n setCellSelection(view.state.selection.$anchorCell, startEvent);\n startEvent.preventDefault();\n } else if (startEvent.shiftKey && startDOMCell &&\n ($anchor = cellAround(view.state.selection.$anchor)) != null &&\n cellUnderMouse(view, startEvent).pos != $anchor.pos) {\n // Adding to a selection that starts in another cell (causing a\n // cell selection to be created).\n setCellSelection($anchor, startEvent);\n startEvent.preventDefault();\n } else if (!startDOMCell) {\n // Not in a cell, let the default behavior happen.\n return\n }\n\n // Create and dispatch a cell selection between the given anchor and\n // the position under the mouse.\n function setCellSelection($anchor, event) {\n var $head = cellUnderMouse(view, event);\n var starting = key.getState(view.state) == null;\n if (!$head || !inSameTable($anchor, $head)) {\n if (starting) { $head = $anchor; }\n else { return }\n }\n var selection = new CellSelection($anchor, $head);\n if (starting || !view.state.selection.eq(selection)) {\n var tr = view.state.tr.setSelection(selection);\n if (starting) { tr.setMeta(key, $anchor.pos); }\n view.dispatch(tr);\n }\n }\n\n // Stop listening to mouse motion events.\n function stop() {\n view.root.removeEventListener(\"mouseup\", stop);\n view.root.removeEventListener(\"dragstart\", stop);\n view.root.removeEventListener(\"mousemove\", move);\n if (key.getState(view.state) != null) { view.dispatch(view.state.tr.setMeta(key, -1)); }\n }\n\n function move(event) {\n var anchor = key.getState(view.state), $anchor;\n if (anchor != null) {\n // Continuing an existing cross-cell selection\n $anchor = view.state.doc.resolve(anchor);\n } else if (domInCell(view, event.target) != startDOMCell) {\n // Moving out of the initial cell -- start a new cell selection\n $anchor = cellUnderMouse(view, startEvent);\n if (!$anchor) { return stop() }\n }\n if ($anchor) { setCellSelection($anchor, event); }\n }\n view.root.addEventListener(\"mouseup\", stop);\n view.root.addEventListener(\"dragstart\", stop);\n view.root.addEventListener(\"mousemove\", move);\n}\n\n// Check whether the cursor is at the end of a cell (so that further\n// motion would move out of the cell)\nfunction atEndOfCell(view, axis, dir) {\n if (!(view.state.selection instanceof TextSelection)) { return null }\n var ref = view.state.selection;\n var $head = ref.$head;\n for (var d = $head.depth - 1; d >= 0; d--) {\n var parent = $head.node(d), index = dir < 0 ? $head.index(d) : $head.indexAfter(d);\n if (index != (dir < 0 ? 0 : parent.childCount)) { return null }\n if (parent.type.spec.tableRole == \"cell\" || parent.type.spec.tableRole == \"header_cell\") {\n var cellPos = $head.before(d);\n var dirStr = axis == \"vert\" ? (dir > 0 ? \"down\" : \"up\") : (dir > 0 ? \"right\" : \"left\");\n return view.endOfTextblock(dirStr) ? cellPos : null\n }\n }\n return null\n}\n\nfunction domInCell(view, dom) {\n for (; dom && dom != view.dom; dom = dom.parentNode)\n { if (dom.nodeName == \"TD\" || dom.nodeName == \"TH\") { return dom } }\n}\n\nfunction cellUnderMouse(view, event) {\n var mousePos = view.posAtCoords({left: event.clientX, top: event.clientY});\n if (!mousePos) { return null }\n return mousePos ? cellAround(view.state.doc.resolve(mousePos.pos)) : null\n}\n\n// This file defines helpers for normalizing tables, making sure no\n\nvar fixTablesKey = new PluginKey(\"fix-tables\");\n\n// Helper for iterating through the nodes in a document that changed\n// compared to the given previous document. Useful for avoiding\n// duplicate work on each transaction.\nfunction changedDescendants(old, cur, offset, f) {\n var oldSize = old.childCount, curSize = cur.childCount;\n outer: for (var i = 0, j = 0; i < curSize; i++) {\n var child = cur.child(i);\n for (var scan = j, e = Math.min(oldSize, i + 3); scan < e; scan++) {\n if (old.child(scan) == child) {\n j = scan + 1;\n offset += child.nodeSize;\n continue outer\n }\n }\n f(child, offset);\n if (j < oldSize && old.child(j).sameMarkup(child))\n { changedDescendants(old.child(j), child, offset + 1, f); }\n else\n { child.nodesBetween(0, child.content.size, f, offset + 1); }\n offset += child.nodeSize;\n }\n}\n\n// :: (EditorState, ?EditorState) → ?Transaction\n// Inspect all tables in the given state's document and return a\n// transaction that fixes them, if necessary. If `oldState` was\n// provided, that is assumed to hold a previous, known-good state,\n// which will be used to avoid re-scanning unchanged parts of the\n// document.\nfunction fixTables(state, oldState) {\n var tr, check = function (node, pos) {\n if (node.type.spec.tableRole == \"table\") { tr = fixTable(state, node, pos, tr); }\n };\n if (!oldState) { state.doc.descendants(check); }\n else if (oldState.doc != state.doc) { changedDescendants(oldState.doc, state.doc, 0, check); }\n return tr\n}\n\n// : (EditorState, Node, number, ?Transaction) → ?Transaction\n// Fix the given table, if necessary. Will append to the transaction\n// it was given, if non-null, or create a new one if necessary.\nfunction fixTable(state, table, tablePos, tr) {\n var map = TableMap.get(table);\n if (!map.problems) { return tr }\n if (!tr) { tr = state.tr; }\n\n // Track which rows we must add cells to, so that we can adjust that\n // when fixing collisions.\n var mustAdd = [];\n for (var i = 0; i < map.height; i++) { mustAdd.push(0); }\n for (var i$1 = 0; i$1 < map.problems.length; i$1++) {\n var prob = map.problems[i$1];\n if (prob.type == \"collision\") {\n var cell = table.nodeAt(prob.pos);\n for (var j = 0; j < cell.attrs.rowspan; j++) { mustAdd[prob.row + j] += prob.n; }\n tr.setNodeMarkup(tr.mapping.map(tablePos + 1 + prob.pos), null, removeColSpan(cell.attrs, cell.attrs.colspan - prob.n, prob.n));\n } else if (prob.type == \"missing\") {\n mustAdd[prob.row] += prob.n;\n } else if (prob.type == \"overlong_rowspan\") {\n var cell$1 = table.nodeAt(prob.pos);\n tr.setNodeMarkup(tr.mapping.map(tablePos + 1 + prob.pos), null, setAttr(cell$1.attrs, \"rowspan\", cell$1.attrs.rowspan - prob.n));\n } else if (prob.type == \"colwidth mismatch\") {\n var cell$2 = table.nodeAt(prob.pos);\n tr.setNodeMarkup(tr.mapping.map(tablePos + 1 + prob.pos), null, setAttr(cell$2.attrs, \"colwidth\", prob.colwidth));\n }\n }\n var first, last;\n for (var i$2 = 0; i$2 < mustAdd.length; i$2++) { if (mustAdd[i$2]) {\n if (first == null) { first = i$2; }\n last = i$2;\n } }\n // Add the necessary cells, using a heuristic for whether to add the\n // cells at the start or end of the rows (if it looks like a 'bite'\n // was taken out of the table, add cells at the start of the row\n // after the bite. Otherwise add them at the end).\n for (var i$3 = 0, pos = tablePos + 1; i$3 < map.height; i$3++) {\n var row = table.child(i$3);\n var end = pos + row.nodeSize;\n var add = mustAdd[i$3];\n if (add > 0) {\n var tableNodeType = 'cell';\n if (row.firstChild) {\n tableNodeType = row.firstChild.type.spec.tableRole;\n }\n var nodes = [];\n for (var j$1 = 0; j$1 < add; j$1++)\n { nodes.push(tableNodeTypes(state.schema)[tableNodeType].createAndFill()); }\n var side = (i$3 == 0 || first == i$3 - 1) && last == i$3 ? pos + 1 : end - 1;\n tr.insert(tr.mapping.map(side), nodes);\n }\n pos = end;\n }\n return tr.setMeta(fixTablesKey, { fixTables: true })\n}\n\n// This file defines a number of table-related commands.\n\n// Helper to get the selected rectangle in a table, if any. Adds table\n// map, table node, and table start offset to the object for\n// convenience.\nfunction selectedRect(state) {\n var sel = state.selection, $pos = selectionCell(state);\n var table = $pos.node(-1), tableStart = $pos.start(-1), map = TableMap.get(table);\n var rect;\n if (sel instanceof CellSelection)\n { rect = map.rectBetween(sel.$anchorCell.pos - tableStart, sel.$headCell.pos - tableStart); }\n else\n { rect = map.findCell($pos.pos - tableStart); }\n rect.tableStart = tableStart;\n rect.map = map;\n rect.table = table;\n return rect\n}\n\n// Add a column at the given position in a table.\nfunction addColumn(tr, ref, col) {\n var map = ref.map;\n var tableStart = ref.tableStart;\n var table = ref.table;\n\n var refColumn = col > 0 ? -1 : 0;\n if (columnIsHeader(map, table, col + refColumn))\n { refColumn = col == 0 || col == map.width ? null : 0; }\n\n for (var row = 0; row < map.height; row++) {\n var index = row * map.width + col;\n // If this position falls inside a col-spanning cell\n if (col > 0 && col < map.width && map.map[index - 1] == map.map[index]) {\n var pos = map.map[index], cell = table.nodeAt(pos);\n tr.setNodeMarkup(tr.mapping.map(tableStart + pos), null,\n addColSpan(cell.attrs, col - map.colCount(pos)));\n // Skip ahead if rowspan > 1\n row += cell.attrs.rowspan - 1;\n } else {\n var type = refColumn == null ? tableNodeTypes(table.type.schema).cell\n : table.nodeAt(map.map[index + refColumn]).type;\n var pos$1 = map.positionAt(row, col, table);\n tr.insert(tr.mapping.map(tableStart + pos$1), type.createAndFill());\n }\n }\n return tr\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Command to add a column before the column with the selection.\nfunction addColumnBefore(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state);\n dispatch(addColumn(state.tr, rect, rect.left));\n }\n return true\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Command to add a column after the column with the selection.\nfunction addColumnAfter(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state);\n dispatch(addColumn(state.tr, rect, rect.right));\n }\n return true\n}\n\nfunction removeColumn(tr, ref, col) {\n var map = ref.map;\n var table = ref.table;\n var tableStart = ref.tableStart;\n\n var mapStart = tr.mapping.maps.length;\n for (var row = 0; row < map.height;) {\n var index = row * map.width + col, pos = map.map[index], cell = table.nodeAt(pos);\n // If this is part of a col-spanning cell\n if ((col > 0 && map.map[index - 1] == pos) || (col < map.width - 1 && map.map[index + 1] == pos)) {\n tr.setNodeMarkup(tr.mapping.slice(mapStart).map(tableStart + pos), null,\n removeColSpan(cell.attrs, col - map.colCount(pos)));\n } else {\n var start = tr.mapping.slice(mapStart).map(tableStart + pos);\n tr.delete(start, start + cell.nodeSize);\n }\n row += cell.attrs.rowspan;\n }\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Command function that removes the selected columns from a table.\nfunction deleteColumn(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state), tr = state.tr;\n if (rect.left == 0 && rect.right == rect.map.width) { return false }\n for (var i = rect.right - 1;; i--) {\n removeColumn(tr, rect, i);\n if (i == rect.left) { break }\n rect.table = rect.tableStart ? tr.doc.nodeAt(rect.tableStart - 1) : tr.doc;\n rect.map = TableMap.get(rect.table);\n }\n dispatch(tr);\n }\n return true\n}\n\nfunction rowIsHeader(map, table, row) {\n var headerCell = tableNodeTypes(table.type.schema).header_cell;\n for (var col = 0; col < map.width; col++)\n { if (table.nodeAt(map.map[col + row * map.width]).type != headerCell)\n { return false } }\n return true\n}\n\nfunction addRow(tr, ref, row) {\n var map = ref.map;\n var tableStart = ref.tableStart;\n var table = ref.table;\n\n var rowPos = tableStart;\n for (var i = 0; i < row; i++) { rowPos += table.child(i).nodeSize; }\n var cells = [], refRow = row > 0 ? -1 : 0;\n if (rowIsHeader(map, table, row + refRow))\n { refRow = row == 0 || row == map.height ? null : 0; }\n for (var col = 0, index = map.width * row; col < map.width; col++, index++) {\n // Covered by a rowspan cell\n if (row > 0 && row < map.height && map.map[index] == map.map[index - map.width]) {\n var pos = map.map[index], attrs = table.nodeAt(pos).attrs;\n tr.setNodeMarkup(tableStart + pos, null, setAttr(attrs, \"rowspan\", attrs.rowspan + 1));\n col += attrs.colspan - 1;\n } else {\n var type = refRow == null ? tableNodeTypes(table.type.schema).cell\n : table.nodeAt(map.map[index + refRow * map.width]).type;\n cells.push(type.createAndFill());\n }\n }\n tr.insert(rowPos, tableNodeTypes(table.type.schema).row.create(null, cells));\n return tr\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Add a table row before the selection.\nfunction addRowBefore(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state);\n dispatch(addRow(state.tr, rect, rect.top));\n }\n return true\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Add a table row after the selection.\nfunction addRowAfter(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state);\n dispatch(addRow(state.tr, rect, rect.bottom));\n }\n return true\n}\n\nfunction removeRow(tr, ref, row) {\n var map = ref.map;\n var table = ref.table;\n var tableStart = ref.tableStart;\n\n var rowPos = 0;\n for (var i = 0; i < row; i++) { rowPos += table.child(i).nodeSize; }\n var nextRow = rowPos + table.child(row).nodeSize;\n\n var mapFrom = tr.mapping.maps.length;\n tr.delete(rowPos + tableStart, nextRow + tableStart);\n\n for (var col = 0, index = row * map.width; col < map.width; col++, index++) {\n var pos = map.map[index];\n if (row > 0 && pos == map.map[index - map.width]) {\n // If this cell starts in the row above, simply reduce its rowspan\n var attrs = table.nodeAt(pos).attrs;\n tr.setNodeMarkup(tr.mapping.slice(mapFrom).map(pos + tableStart), null, setAttr(attrs, \"rowspan\", attrs.rowspan - 1));\n col += attrs.colspan - 1;\n } else if (row < map.width && pos == map.map[index + map.width]) {\n // Else, if it continues in the row below, it has to be moved down\n var cell = table.nodeAt(pos);\n var copy = cell.type.create(setAttr(cell.attrs, \"rowspan\", cell.attrs.rowspan - 1), cell.content);\n var newPos = map.positionAt(row + 1, col, table);\n tr.insert(tr.mapping.slice(mapFrom).map(tableStart + newPos), copy);\n col += cell.attrs.colspan - 1;\n }\n }\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Remove the selected rows from a table.\nfunction deleteRow(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var rect = selectedRect(state), tr = state.tr;\n if (rect.top == 0 && rect.bottom == rect.map.height) { return false }\n for (var i = rect.bottom - 1;; i--) {\n removeRow(tr, rect, i);\n if (i == rect.top) { break }\n rect.table = rect.tableStart ? tr.doc.nodeAt(rect.tableStart - 1) : tr.doc;\n rect.map = TableMap.get(rect.table);\n }\n dispatch(tr);\n }\n return true\n}\n\nfunction isEmpty(cell) {\n var c = cell.content;\n return c.childCount == 1 && c.firstChild.isTextblock && c.firstChild.childCount == 0\n}\n\nfunction cellsOverlapRectangle(ref, rect) {\n var width = ref.width;\n var height = ref.height;\n var map = ref.map;\n\n var indexTop = rect.top * width + rect.left, indexLeft = indexTop;\n var indexBottom = (rect.bottom - 1) * width + rect.left, indexRight = indexTop + (rect.right - rect.left - 1);\n for (var i = rect.top; i < rect.bottom; i++) {\n if (rect.left > 0 && map[indexLeft] == map[indexLeft - 1] ||\n rect.right < width && map[indexRight] == map[indexRight + 1]) { return true }\n indexLeft += width; indexRight += width;\n }\n for (var i$1 = rect.left; i$1 < rect.right; i$1++) {\n if (rect.top > 0 && map[indexTop] == map[indexTop - width] ||\n rect.bottom < height && map[indexBottom] == map[indexBottom + width]) { return true }\n indexTop++; indexBottom++;\n }\n return false\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Merge the selected cells into a single cell. Only available when\n// the selected cells' outline forms a rectangle.\nfunction mergeCells(state, dispatch) {\n var sel = state.selection;\n if (!(sel instanceof CellSelection) || sel.$anchorCell.pos == sel.$headCell.pos) { return false }\n var rect = selectedRect(state);\n var map = rect.map;\n if (cellsOverlapRectangle(map, rect)) { return false }\n if (dispatch) {\n var tr = state.tr, seen = {}, content = Fragment.empty, mergedPos, mergedCell;\n for (var row = rect.top; row < rect.bottom; row++) {\n for (var col = rect.left; col < rect.right; col++) {\n var cellPos = map.map[row * map.width + col], cell = rect.table.nodeAt(cellPos);\n if (seen[cellPos]) { continue }\n seen[cellPos] = true;\n if (mergedPos == null) {\n mergedPos = cellPos;\n mergedCell = cell;\n } else {\n if (!isEmpty(cell)) { content = content.append(cell.content); }\n var mapped = tr.mapping.map(cellPos + rect.tableStart);\n tr.delete(mapped, mapped + cell.nodeSize);\n }\n }\n }\n tr.setNodeMarkup(mergedPos + rect.tableStart, null,\n setAttr(addColSpan(mergedCell.attrs, mergedCell.attrs.colspan, (rect.right - rect.left) - mergedCell.attrs.colspan),\n \"rowspan\", rect.bottom - rect.top));\n if (content.size) {\n var end = mergedPos + 1 + mergedCell.content.size;\n var start = isEmpty(mergedCell) ? mergedPos + 1 : end;\n tr.replaceWith(start + rect.tableStart, end + rect.tableStart, content);\n }\n tr.setSelection(new CellSelection(tr.doc.resolve(mergedPos + rect.tableStart)));\n dispatch(tr);\n }\n return true\n}\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Split a selected cell, whose rowpan or colspan is greater than one,\n// into smaller cells. Use the first cell type for the new cells.\nfunction splitCell(state, dispatch) {\n var nodeTypes = tableNodeTypes(state.schema);\n return splitCellWithType(function (ref) {\n var node = ref.node;\n\n return nodeTypes[node.type.spec.tableRole]\n })(state, dispatch)\n}\n\n// :: (getCellType: ({ row: number, col: number, node: Node}) → NodeType) → (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Split a selected cell, whose rowpan or colspan is greater than one,\n// into smaller cells with the cell type (th, td) returned by getType function.\nfunction splitCellWithType(getCellType) {\n return function (state, dispatch) {\n var sel = state.selection;\n var cellNode, cellPos;\n if (!(sel instanceof CellSelection)) {\n cellNode = cellWrapping(sel.$from);\n if (!cellNode) { return false }\n cellPos = cellAround(sel.$from).pos;\n } else {\n if (sel.$anchorCell.pos != sel.$headCell.pos) { return false }\n cellNode = sel.$anchorCell.nodeAfter;\n cellPos = sel.$anchorCell.pos;\n }\n if (cellNode.attrs.colspan == 1 && cellNode.attrs.rowspan == 1) {return false}\n if (dispatch) {\n var baseAttrs = cellNode.attrs, attrs = [], colwidth = baseAttrs.colwidth;\n if (baseAttrs.rowspan > 1) { baseAttrs = setAttr(baseAttrs, \"rowspan\", 1); }\n if (baseAttrs.colspan > 1) { baseAttrs = setAttr(baseAttrs, \"colspan\", 1); }\n var rect = selectedRect(state), tr = state.tr;\n for (var i = 0; i < rect.right - rect.left; i++)\n { attrs.push(colwidth ? setAttr(baseAttrs, \"colwidth\", colwidth && colwidth[i] ? [colwidth[i]] : null) : baseAttrs); }\n var lastCell;\n for (var row = rect.top; row < rect.bottom; row++) {\n var pos = rect.map.positionAt(row, rect.left, rect.table);\n if (row == rect.top) { pos += cellNode.nodeSize; }\n for (var col = rect.left, i$1 = 0; col < rect.right; col++, i$1++) {\n if (col == rect.left && row == rect.top) { continue }\n tr.insert(lastCell = tr.mapping.map(pos + rect.tableStart, 1), getCellType({ node: cellNode, row: row, col: col}).createAndFill(attrs[i$1]));\n }\n }\n tr.setNodeMarkup(cellPos, getCellType({ node: cellNode, row: rect.top, col: rect.left}), attrs[0]);\n if (sel instanceof CellSelection)\n { tr.setSelection(new CellSelection(tr.doc.resolve(sel.$anchorCell.pos),\n lastCell && tr.doc.resolve(lastCell))); }\n dispatch(tr);\n }\n return true\n }\n}\n\n// :: (string, any) → (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Returns a command that sets the given attribute to the given value,\n// and is only available when the currently selected cell doesn't\n// already have that attribute set to that value.\nfunction setCellAttr(name, value) {\n return function(state, dispatch) {\n if (!isInTable(state)) { return false }\n var $cell = selectionCell(state);\n if ($cell.nodeAfter.attrs[name] === value) { return false }\n if (dispatch) {\n var tr = state.tr;\n if (state.selection instanceof CellSelection)\n { state.selection.forEachCell(function (node, pos) {\n if (node.attrs[name] !== value)\n { tr.setNodeMarkup(pos, null, setAttr(node.attrs, name, value)); }\n }); }\n else\n { tr.setNodeMarkup($cell.pos, null, setAttr($cell.nodeAfter.attrs, name, value)); }\n dispatch(tr);\n }\n return true\n }\n}\n\nfunction deprecated_toggleHeader(type) {\n return function(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var types = tableNodeTypes(state.schema);\n var rect = selectedRect(state), tr = state.tr;\n var cells = rect.map.cellsInRect(type == \"column\" ? new Rect(rect.left, 0, rect.right, rect.map.height) :\n type == \"row\" ? new Rect(0, rect.top, rect.map.width, rect.bottom) : rect);\n var nodes = cells.map(function (pos) { return rect.table.nodeAt(pos); });\n for (var i = 0; i < cells.length; i++) // Remove headers, if any\n { if (nodes[i].type == types.header_cell)\n { tr.setNodeMarkup(rect.tableStart + cells[i], types.cell, nodes[i].attrs); } }\n if (tr.steps.length == 0) { for (var i$1 = 0; i$1 < cells.length; i$1++) // No headers removed, add instead\n { tr.setNodeMarkup(rect.tableStart + cells[i$1], types.header_cell, nodes[i$1].attrs); } }\n dispatch(tr);\n }\n return true\n }\n}\n\nfunction isHeaderEnabledByType(type, rect, types) {\n // Get cell positions for first row or first column\n var cellPositions = rect.map.cellsInRect({\n left: 0,\n top: 0,\n right: type == \"row\" ? rect.map.width : 1,\n bottom: type == \"column\" ? rect.map.height : 1,\n });\n\n for (var i = 0; i < cellPositions.length; i++) {\n var cell = rect.table.nodeAt(cellPositions[i]);\n if (cell && cell.type !== types.header_cell) {\n return false\n }\n }\n\n return true\n}\n\n// :: (string, ?{ useDeprecatedLogic: bool }) → (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Toggles between row/column header and normal cells (Only applies to first row/column).\n// For deprecated behavior pass `useDeprecatedLogic` in options with true.\nfunction toggleHeader(type, options) {\n options = options || { useDeprecatedLogic: false };\n\n if (options.useDeprecatedLogic)\n { return deprecated_toggleHeader(type) }\n\n return function(state, dispatch) {\n if (!isInTable(state)) { return false }\n if (dispatch) {\n var types = tableNodeTypes(state.schema);\n var rect = selectedRect(state), tr = state.tr;\n\n var isHeaderRowEnabled = isHeaderEnabledByType(\"row\", rect, types);\n var isHeaderColumnEnabled = isHeaderEnabledByType(\"column\", rect, types);\n\n var isHeaderEnabled = type === \"column\" ? isHeaderRowEnabled :\n type === \"row\" ? isHeaderColumnEnabled : false;\n\n var selectionStartsAt = isHeaderEnabled ? 1 : 0;\n\n var cellsRect = type == \"column\" ? new Rect(0, selectionStartsAt, 1, rect.map.height) :\n type == \"row\" ? new Rect(selectionStartsAt, 0, rect.map.width, 1) : rect;\n\n var newType = type == \"column\" ? isHeaderColumnEnabled ? types.cell : types.header_cell :\n type == \"row\" ? isHeaderRowEnabled ? types.cell : types.header_cell : types.cell;\n\n rect.map.cellsInRect(cellsRect).forEach(function (relativeCellPos) {\n var cellPos = relativeCellPos + rect.tableStart;\n var cell = tr.doc.nodeAt(cellPos);\n\n if (cell) {\n tr.setNodeMarkup(cellPos, newType, cell.attrs);\n }\n });\n\n dispatch(tr);\n }\n return true\n }\n}\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Toggles whether the selected row contains header cells.\nvar toggleHeaderRow = toggleHeader(\"row\", { useDeprecatedLogic: true });\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Toggles whether the selected column contains header cells.\nvar toggleHeaderColumn = toggleHeader(\"column\", { useDeprecatedLogic: true });\n\n// :: (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Toggles whether the selected cells are header cells.\nvar toggleHeaderCell = toggleHeader(\"cell\", { useDeprecatedLogic: true });\n\nfunction findNextCell($cell, dir) {\n if (dir < 0) {\n var before = $cell.nodeBefore;\n if (before) { return $cell.pos - before.nodeSize }\n for (var row = $cell.index(-1) - 1, rowEnd = $cell.before(); row >= 0; row--) {\n var rowNode = $cell.node(-1).child(row);\n if (rowNode.childCount) { return rowEnd - 1 - rowNode.lastChild.nodeSize }\n rowEnd -= rowNode.nodeSize;\n }\n } else {\n if ($cell.index() < $cell.parent.childCount - 1) { return $cell.pos + $cell.nodeAfter.nodeSize }\n var table = $cell.node(-1);\n for (var row$1 = $cell.indexAfter(-1), rowStart = $cell.after(); row$1 < table.childCount; row$1++) {\n var rowNode$1 = table.child(row$1);\n if (rowNode$1.childCount) { return rowStart + 1 }\n rowStart += rowNode$1.nodeSize;\n }\n }\n}\n\n// :: (number) → (EditorState, dispatch: ?(tr: Transaction)) → bool\n// Returns a command for selecting the next (direction=1) or previous\n// (direction=-1) cell in a table.\nfunction goToNextCell(direction) {\n return function(state, dispatch) {\n if (!isInTable(state)) { return false }\n var cell = findNextCell(selectionCell(state), direction);\n if (cell == null) { return }\n if (dispatch) {\n var $cell = state.doc.resolve(cell);\n dispatch(state.tr.setSelection(TextSelection.between($cell, moveCellForward($cell))).scrollIntoView());\n }\n return true\n }\n}\n\n// :: (EditorState, ?(tr: Transaction)) → bool\n// Deletes the table around the selection, if any.\nfunction deleteTable(state, dispatch) {\n var $pos = state.selection.$anchor;\n for (var d = $pos.depth; d > 0; d--) {\n var node = $pos.node(d);\n if (node.type.spec.tableRole == \"table\") {\n if (dispatch) { dispatch(state.tr.delete($pos.before(d), $pos.after(d)).scrollIntoView()); }\n return true\n }\n }\n return false\n}\n\nvar TableView = function TableView(node, cellMinWidth) {\n this.node = node;\n this.cellMinWidth = cellMinWidth;\n this.dom = document.createElement(\"div\");\n this.dom.className = \"tableWrapper\";\n this.table = this.dom.appendChild(document.createElement(\"table\"));\n this.colgroup = this.table.appendChild(document.createElement(\"colgroup\"));\n updateColumns(node, this.colgroup, this.table, cellMinWidth);\n this.contentDOM = this.table.appendChild(document.createElement(\"tbody\"));\n};\n\nTableView.prototype.update = function update (node) {\n if (node.type != this.node.type) { return false }\n this.node = node;\n updateColumns(node, this.colgroup, this.table, this.cellMinWidth);\n return true\n};\n\nTableView.prototype.ignoreMutation = function ignoreMutation (record) {\n return record.type == \"attributes\" && (record.target == this.table || this.colgroup.contains(record.target))\n};\n\nfunction updateColumns(node, colgroup, table, cellMinWidth, overrideCol, overrideValue) {\n var totalWidth = 0, fixedWidth = true;\n var nextDOM = colgroup.firstChild, row = node.firstChild;\n for (var i = 0, col = 0; i < row.childCount; i++) {\n var ref = row.child(i).attrs;\n var colspan = ref.colspan;\n var colwidth = ref.colwidth;\n for (var j = 0; j < colspan; j++, col++) {\n var hasWidth = overrideCol == col ? overrideValue : colwidth && colwidth[j];\n var cssWidth = hasWidth ? hasWidth + \"px\" : \"\";\n totalWidth += hasWidth || cellMinWidth;\n if (!hasWidth) { fixedWidth = false; }\n if (!nextDOM) {\n colgroup.appendChild(document.createElement(\"col\")).style.width = cssWidth;\n } else {\n if (nextDOM.style.width != cssWidth) { nextDOM.style.width = cssWidth; }\n nextDOM = nextDOM.nextSibling;\n }\n }\n }\n\n while (nextDOM) {\n var after = nextDOM.nextSibling;\n nextDOM.parentNode.removeChild(nextDOM);\n nextDOM = after;\n }\n\n if (fixedWidth) {\n table.style.width = totalWidth + \"px\";\n table.style.minWidth = \"\";\n } else {\n table.style.width = \"\";\n table.style.minWidth = totalWidth + \"px\";\n }\n}\n\nvar key$1 = new PluginKey(\"tableColumnResizing\");\n\nfunction columnResizing(ref) {\n if ( ref === void 0 ) ref = {};\n var handleWidth = ref.handleWidth; if ( handleWidth === void 0 ) handleWidth = 5;\n var cellMinWidth = ref.cellMinWidth; if ( cellMinWidth === void 0 ) cellMinWidth = 25;\n var View = ref.View; if ( View === void 0 ) View = TableView;\n var lastColumnResizable = ref.lastColumnResizable; if ( lastColumnResizable === void 0 ) lastColumnResizable = true;\n\n var plugin = new Plugin({\n key: key$1,\n state: {\n init: function init(_, state) {\n this.spec.props.nodeViews[tableNodeTypes(state.schema).table.name] =\n function (node, view) { return new View(node, cellMinWidth, view); };\n return new ResizeState(-1, false)\n },\n apply: function apply(tr, prev) {\n return prev.apply(tr)\n }\n },\n props: {\n attributes: function attributes(state) {\n var pluginState = key$1.getState(state);\n return pluginState.activeHandle > -1 ? {class: \"resize-cursor\"} : null\n },\n\n handleDOMEvents: {\n mousemove: function mousemove(view, event) { handleMouseMove(view, event, handleWidth, cellMinWidth, lastColumnResizable); },\n mouseleave: function mouseleave(view) { handleMouseLeave(view); },\n mousedown: function mousedown(view, event) { handleMouseDown$1(view, event, cellMinWidth); }\n },\n\n decorations: function decorations(state) {\n var pluginState = key$1.getState(state);\n if (pluginState.activeHandle > -1) { return handleDecorations(state, pluginState.activeHandle) }\n },\n\n nodeViews: {}\n }\n });\n return plugin\n}\n\nvar ResizeState = function ResizeState(activeHandle, dragging) {\n this.activeHandle = activeHandle;\n this.dragging = dragging;\n};\n\nResizeState.prototype.apply = function apply (tr) {\n var state = this, action = tr.getMeta(key$1);\n if (action && action.setHandle != null)\n { return new ResizeState(action.setHandle, null) }\n if (action && action.setDragging !== undefined)\n { return new ResizeState(state.activeHandle, action.setDragging) }\n if (state.activeHandle > -1 && tr.docChanged) {\n var handle = tr.mapping.map(state.activeHandle, -1);\n if (!pointsAtCell(tr.doc.resolve(handle))) { handle = null; }\n state = new ResizeState(handle, state.dragging);\n }\n return state\n};\n\nfunction handleMouseMove(view, event, handleWidth, cellMinWidth, lastColumnResizable) {\n var pluginState = key$1.getState(view.state);\n\n if (!pluginState.dragging) {\n var target = domCellAround(event.target), cell = -1;\n if (target) {\n var ref = target.getBoundingClientRect();\n var left = ref.left;\n var right = ref.right;\n if (event.clientX - left <= handleWidth)\n { cell = edgeCell(view, event, \"left\"); }\n else if (right - event.clientX <= handleWidth)\n { cell = edgeCell(view, event, \"right\"); }\n }\n\n if (cell != pluginState.activeHandle) {\n if (!lastColumnResizable && cell !== -1) {\n var $cell = view.state.doc.resolve(cell);\n var table = $cell.node(-1), map = TableMap.get(table), start = $cell.start(-1);\n var col = map.colCount($cell.pos - start) + $cell.nodeAfter.attrs.colspan - 1;\n\n if (col == map.width - 1) {\n return\n }\n }\n\n updateHandle(view, cell);\n }\n }\n}\n\nfunction handleMouseLeave(view) {\n var pluginState = key$1.getState(view.state);\n if (pluginState.activeHandle > -1 && !pluginState.dragging) { updateHandle(view, -1); }\n}\n\nfunction handleMouseDown$1(view, event, cellMinWidth) {\n var pluginState = key$1.getState(view.state);\n if (pluginState.activeHandle == -1 || pluginState.dragging) { return false }\n\n var cell = view.state.doc.nodeAt(pluginState.activeHandle);\n var width = currentColWidth(view, pluginState.activeHandle, cell.attrs);\n view.dispatch(view.state.tr.setMeta(key$1, {setDragging: {startX: event.clientX, startWidth: width}}));\n\n function finish(event) {\n window.removeEventListener(\"mouseup\", finish);\n window.removeEventListener(\"mousemove\", move);\n var pluginState = key$1.getState(view.state);\n if (pluginState.dragging) {\n updateColumnWidth(view, pluginState.activeHandle, draggedWidth(pluginState.dragging, event, cellMinWidth));\n view.dispatch(view.state.tr.setMeta(key$1, {setDragging: null}));\n }\n }\n function move(event) {\n if (!event.which) { return finish(event) }\n var pluginState = key$1.getState(view.state);\n var dragged = draggedWidth(pluginState.dragging, event, cellMinWidth);\n displayColumnWidth(view, pluginState.activeHandle, dragged, cellMinWidth);\n }\n\n window.addEventListener(\"mouseup\", finish);\n window.addEventListener(\"mousemove\", move);\n event.preventDefault();\n return true\n}\n\nfunction currentColWidth(view, cellPos, ref) {\n var colspan = ref.colspan;\n var colwidth = ref.colwidth;\n\n var width = colwidth && colwidth[colwidth.length - 1];\n if (width) { return width }\n var dom = view.domAtPos(cellPos);\n var node = dom.node.childNodes[dom.offset];\n var domWidth = node.offsetWidth, parts = colspan;\n if (colwidth) { for (var i = 0; i < colspan; i++) { if (colwidth[i]) {\n domWidth -= colwidth[i];\n parts--;\n } } }\n return domWidth / parts\n}\n\nfunction domCellAround(target) {\n while (target && target.nodeName != \"TD\" && target.nodeName != \"TH\")\n { target = target.classList.contains(\"ProseMirror\") ? null : target.parentNode; }\n return target\n}\n\nfunction edgeCell(view, event, side) {\n var found = view.posAtCoords({left: event.clientX, top: event.clientY});\n if (!found) { return -1 }\n var pos = found.pos;\n var $cell = cellAround(view.state.doc.resolve(pos));\n if (!$cell) { return -1 }\n if (side == \"right\") { return $cell.pos }\n var map = TableMap.get($cell.node(-1)), start = $cell.start(-1);\n var index = map.map.indexOf($cell.pos - start);\n return index % map.width == 0 ? -1 : start + map.map[index - 1]\n}\n\nfunction draggedWidth(dragging, event, cellMinWidth) {\n var offset = event.clientX - dragging.startX;\n return Math.max(cellMinWidth, dragging.startWidth + offset)\n}\n\nfunction updateHandle(view, value) {\n view.dispatch(view.state.tr.setMeta(key$1, {setHandle: value}));\n}\n\nfunction updateColumnWidth(view, cell, width) {\n var $cell = view.state.doc.resolve(cell);\n var table = $cell.node(-1), map = TableMap.get(table), start = $cell.start(-1);\n var col = map.colCount($cell.pos - start) + $cell.nodeAfter.attrs.colspan - 1;\n var tr = view.state.tr;\n for (var row = 0; row < map.height; row++) {\n var mapIndex = row * map.width + col;\n // Rowspanning cell that has already been handled\n if (row && map.map[mapIndex] == map.map[mapIndex - map.width]) { continue }\n var pos = map.map[mapIndex];\n var ref = table.nodeAt(pos);\n var attrs = ref.attrs;\n var index = attrs.colspan == 1 ? 0 : col - map.colCount(pos);\n if (attrs.colwidth && attrs.colwidth[index] == width) { continue }\n var colwidth = attrs.colwidth ? attrs.colwidth.slice() : zeroes(attrs.colspan);\n colwidth[index] = width;\n tr.setNodeMarkup(start + pos, null, setAttr(attrs, \"colwidth\", colwidth));\n }\n if (tr.docChanged) { view.dispatch(tr); }\n}\n\nfunction displayColumnWidth(view, cell, width, cellMinWidth) {\n var $cell = view.state.doc.resolve(cell);\n var table = $cell.node(-1), start = $cell.start(-1);\n var col = TableMap.get(table).colCount($cell.pos - start) + $cell.nodeAfter.attrs.colspan - 1;\n var dom = view.domAtPos($cell.start(-1)).node;\n while (dom.nodeName != \"TABLE\") { dom = dom.parentNode; }\n updateColumns(table, dom.firstChild, dom, cellMinWidth, col, width);\n}\n\nfunction zeroes(n) {\n var result = [];\n for (var i = 0; i < n; i++) { result.push(0); }\n return result\n}\n\nfunction handleDecorations(state, cell) {\n var decorations = [];\n var $cell = state.doc.resolve(cell);\n var table = $cell.node(-1), map = TableMap.get(table), start = $cell.start(-1);\n var col = map.colCount($cell.pos - start) + $cell.nodeAfter.attrs.colspan;\n for (var row = 0; row < map.height; row++) {\n var index = col + row * map.width - 1;\n // For positions that are have either a different cell or the end\n // of the table to their right, and either the top of the table or\n // a different cell above them, add a decoration\n if ((col == map.width || map.map[index] != map.map[index + 1]) &&\n (row == 0 || map.map[index - 1] != map.map[index - 1 - map.width])) {\n var cellPos = map.map[index];\n var pos = start + cellPos + table.nodeAt(cellPos).nodeSize - 1;\n var dom = document.createElement(\"div\");\n dom.className = \"column-resize-handle\";\n decorations.push(Decoration.widget(pos, dom));\n }\n }\n return DecorationSet.create(state.doc, decorations)\n}\n\n// This file defines a plugin that handles the drawing of cell\n\n// :: () → Plugin\n//\n// Creates a [plugin](http://prosemirror.net/docs/ref/#state.Plugin)\n// that, when added to an editor, enables cell-selection, handles\n// cell-based copy/paste, and makes sure tables stay well-formed (each\n// row has the same width, and cells don't overlap).\n//\n// You should probably put this plugin near the end of your array of\n// plugins, since it handles mouse and arrow key events in tables\n// rather broadly, and other plugins, like the gap cursor or the\n// column-width dragging plugin, might want to get a turn first to\n// perform more specific behavior.\nfunction tableEditing(ref) {\n if ( ref === void 0 ) ref = {};\n var allowTableNodeSelection = ref.allowTableNodeSelection; if ( allowTableNodeSelection === void 0 ) allowTableNodeSelection = false;\n\n return new Plugin({\n key: key,\n\n // This piece of state is used to remember when a mouse-drag\n // cell-selection is happening, so that it can continue even as\n // transactions (which might move its anchor cell) come in.\n state: {\n init: function init() { return null },\n apply: function apply(tr, cur) {\n var set = tr.getMeta(key);\n if (set != null) { return set == -1 ? null : set }\n if (cur == null || !tr.docChanged) { return cur }\n var ref = tr.mapping.mapResult(cur);\n var deleted = ref.deleted;\n var pos = ref.pos;\n return deleted ? null : pos\n }\n },\n\n props: {\n decorations: drawCellSelection,\n\n handleDOMEvents: {\n mousedown: handleMouseDown\n },\n\n createSelectionBetween: function createSelectionBetween(view) {\n if (key.getState(view.state) != null) { return view.state.selection }\n },\n\n handleTripleClick: handleTripleClick,\n\n handleKeyDown: handleKeyDown,\n\n handlePaste: handlePaste\n },\n\n appendTransaction: function appendTransaction(_, oldState, state) {\n return normalizeSelection(state, fixTables(state, oldState), allowTableNodeSelection)\n }\n })\n}\n\nexport { CellSelection, TableMap, TableView, clipCells as __clipCells, insertCells as __insertCells, pastedCells as __pastedCells, addColSpan, addColumn, addColumnAfter, addColumnBefore, addRow, addRowAfter, addRowBefore, cellAround, colCount, columnIsHeader, columnResizing, key$1 as columnResizingPluginKey, deleteColumn, deleteRow, deleteTable, findCell, fixTables, fixTablesKey, goToNextCell, handlePaste, inSameTable, isInTable, mergeCells, moveCellForward, nextCell, pointsAtCell, removeColSpan, removeColumn, removeRow, rowIsHeader, selectedRect, selectionCell, setAttr, setCellAttr, splitCell, splitCellWithType, tableEditing, key as tableEditingKey, tableNodeTypes, tableNodes, toggleHeader, toggleHeaderCell, toggleHeaderColumn, toggleHeaderRow, updateColumns as updateColumnsOnResize };\n//# sourceMappingURL=index.es.js.map\n","/**\n * Copyright (c) 2014-present, Facebook, Inc.\n *\n * This source code is licensed under the MIT license found in the\n * LICENSE file in the root directory of this source tree.\n */\n\nvar runtime = (function (exports) {\n \"use strict\";\n\n var Op = Object.prototype;\n var hasOwn = Op.hasOwnProperty;\n var undefined; // More compressible than void 0.\n var $Symbol = typeof Symbol === \"function\" ? Symbol : {};\n var iteratorSymbol = $Symbol.iterator || \"@@iterator\";\n var asyncIteratorSymbol = $Symbol.asyncIterator || \"@@asyncIterator\";\n var toStringTagSymbol = $Symbol.toStringTag || \"@@toStringTag\";\n\n function define(obj, key, value) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n return obj[key];\n }\n try {\n // IE 8 has a broken Object.defineProperty that only works on DOM objects.\n define({}, \"\");\n } catch (err) {\n define = function(obj, key, value) {\n return obj[key] = value;\n };\n }\n\n function wrap(innerFn, outerFn, self, tryLocsList) {\n // If outerFn provided and outerFn.prototype is a Generator, then outerFn.prototype instanceof Generator.\n var protoGenerator = outerFn && outerFn.prototype instanceof Generator ? outerFn : Generator;\n var generator = Object.create(protoGenerator.prototype);\n var context = new Context(tryLocsList || []);\n\n // The ._invoke method unifies the implementations of the .next,\n // .throw, and .return methods.\n generator._invoke = makeInvokeMethod(innerFn, self, context);\n\n return generator;\n }\n exports.wrap = wrap;\n\n // Try/catch helper to minimize deoptimizations. Returns a completion\n // record like context.tryEntries[i].completion. This interface could\n // have been (and was previously) designed to take a closure to be\n // invoked without arguments, but in all the cases we care about we\n // already have an existing method we want to call, so there's no need\n // to create a new function object. We can even get away with assuming\n // the method takes exactly one argument, since that happens to be true\n // in every case, so we don't have to touch the arguments object. The\n // only additional allocation required is the completion record, which\n // has a stable shape and so hopefully should be cheap to allocate.\n function tryCatch(fn, obj, arg) {\n try {\n return { type: \"normal\", arg: fn.call(obj, arg) };\n } catch (err) {\n return { type: \"throw\", arg: err };\n }\n }\n\n var GenStateSuspendedStart = \"suspendedStart\";\n var GenStateSuspendedYield = \"suspendedYield\";\n var GenStateExecuting = \"executing\";\n var GenStateCompleted = \"completed\";\n\n // Returning this object from the innerFn has the same effect as\n // breaking out of the dispatch switch statement.\n var ContinueSentinel = {};\n\n // Dummy constructor functions that we use as the .constructor and\n // .constructor.prototype properties for functions that return Generator\n // objects. For full spec compliance, you may wish to configure your\n // minifier not to mangle the names of these two functions.\n function Generator() {}\n function GeneratorFunction() {}\n function GeneratorFunctionPrototype() {}\n\n // This is a polyfill for %IteratorPrototype% for environments that\n // don't natively support it.\n var IteratorPrototype = {};\n IteratorPrototype[iteratorSymbol] = function () {\n return this;\n };\n\n var getProto = Object.getPrototypeOf;\n var NativeIteratorPrototype = getProto && getProto(getProto(values([])));\n if (NativeIteratorPrototype &&\n NativeIteratorPrototype !== Op &&\n hasOwn.call(NativeIteratorPrototype, iteratorSymbol)) {\n // This environment has a native %IteratorPrototype%; use it instead\n // of the polyfill.\n IteratorPrototype = NativeIteratorPrototype;\n }\n\n var Gp = GeneratorFunctionPrototype.prototype =\n Generator.prototype = Object.create(IteratorPrototype);\n GeneratorFunction.prototype = Gp.constructor = GeneratorFunctionPrototype;\n GeneratorFunctionPrototype.constructor = GeneratorFunction;\n GeneratorFunction.displayName = define(\n GeneratorFunctionPrototype,\n toStringTagSymbol,\n \"GeneratorFunction\"\n );\n\n // Helper for defining the .next, .throw, and .return methods of the\n // Iterator interface in terms of a single ._invoke method.\n function defineIteratorMethods(prototype) {\n [\"next\", \"throw\", \"return\"].forEach(function(method) {\n define(prototype, method, function(arg) {\n return this._invoke(method, arg);\n });\n });\n }\n\n exports.isGeneratorFunction = function(genFun) {\n var ctor = typeof genFun === \"function\" && genFun.constructor;\n return ctor\n ? ctor === GeneratorFunction ||\n // For the native GeneratorFunction constructor, the best we can\n // do is to check its .name property.\n (ctor.displayName || ctor.name) === \"GeneratorFunction\"\n : false;\n };\n\n exports.mark = function(genFun) {\n if (Object.setPrototypeOf) {\n Object.setPrototypeOf(genFun, GeneratorFunctionPrototype);\n } else {\n genFun.__proto__ = GeneratorFunctionPrototype;\n define(genFun, toStringTagSymbol, \"GeneratorFunction\");\n }\n genFun.prototype = Object.create(Gp);\n return genFun;\n };\n\n // Within the body of any async function, `await x` is transformed to\n // `yield regeneratorRuntime.awrap(x)`, so that the runtime can test\n // `hasOwn.call(value, \"__await\")` to determine if the yielded value is\n // meant to be awaited.\n exports.awrap = function(arg) {\n return { __await: arg };\n };\n\n function AsyncIterator(generator, PromiseImpl) {\n function invoke(method, arg, resolve, reject) {\n var record = tryCatch(generator[method], generator, arg);\n if (record.type === \"throw\") {\n reject(record.arg);\n } else {\n var result = record.arg;\n var value = result.value;\n if (value &&\n typeof value === \"object\" &&\n hasOwn.call(value, \"__await\")) {\n return PromiseImpl.resolve(value.__await).then(function(value) {\n invoke(\"next\", value, resolve, reject);\n }, function(err) {\n invoke(\"throw\", err, resolve, reject);\n });\n }\n\n return PromiseImpl.resolve(value).then(function(unwrapped) {\n // When a yielded Promise is resolved, its final value becomes\n // the .value of the Promise<{value,done}> result for the\n // current iteration.\n result.value = unwrapped;\n resolve(result);\n }, function(error) {\n // If a rejected Promise was yielded, throw the rejection back\n // into the async generator function so it can be handled there.\n return invoke(\"throw\", error, resolve, reject);\n });\n }\n }\n\n var previousPromise;\n\n function enqueue(method, arg) {\n function callInvokeWithMethodAndArg() {\n return new PromiseImpl(function(resolve, reject) {\n invoke(method, arg, resolve, reject);\n });\n }\n\n return previousPromise =\n // If enqueue has been called before, then we want to wait until\n // all previous Promises have been resolved before calling invoke,\n // so that results are always delivered in the correct order. If\n // enqueue has not been called before, then it is important to\n // call invoke immediately, without waiting on a callback to fire,\n // so that the async generator function has the opportunity to do\n // any necessary setup in a predictable way. This predictability\n // is why the Promise constructor synchronously invokes its\n // executor callback, and why async functions synchronously\n // execute code before the first await. Since we implement simple\n // async functions in terms of async generators, it is especially\n // important to get this right, even though it requires care.\n previousPromise ? previousPromise.then(\n callInvokeWithMethodAndArg,\n // Avoid propagating failures to Promises returned by later\n // invocations of the iterator.\n callInvokeWithMethodAndArg\n ) : callInvokeWithMethodAndArg();\n }\n\n // Define the unified helper method that is used to implement .next,\n // .throw, and .return (see defineIteratorMethods).\n this._invoke = enqueue;\n }\n\n defineIteratorMethods(AsyncIterator.prototype);\n AsyncIterator.prototype[asyncIteratorSymbol] = function () {\n return this;\n };\n exports.AsyncIterator = AsyncIterator;\n\n // Note that simple async functions are implemented on top of\n // AsyncIterator objects; they just return a Promise for the value of\n // the final result produced by the iterator.\n exports.async = function(innerFn, outerFn, self, tryLocsList, PromiseImpl) {\n if (PromiseImpl === void 0) PromiseImpl = Promise;\n\n var iter = new AsyncIterator(\n wrap(innerFn, outerFn, self, tryLocsList),\n PromiseImpl\n );\n\n return exports.isGeneratorFunction(outerFn)\n ? iter // If outerFn is a generator, return the full iterator.\n : iter.next().then(function(result) {\n return result.done ? result.value : iter.next();\n });\n };\n\n function makeInvokeMethod(innerFn, self, context) {\n var state = GenStateSuspendedStart;\n\n return function invoke(method, arg) {\n if (state === GenStateExecuting) {\n throw new Error(\"Generator is already running\");\n }\n\n if (state === GenStateCompleted) {\n if (method === \"throw\") {\n throw arg;\n }\n\n // Be forgiving, per 25.3.3.3.3 of the spec:\n // https://people.mozilla.org/~jorendorff/es6-draft.html#sec-generatorresume\n return doneResult();\n }\n\n context.method = method;\n context.arg = arg;\n\n while (true) {\n var delegate = context.delegate;\n if (delegate) {\n var delegateResult = maybeInvokeDelegate(delegate, context);\n if (delegateResult) {\n if (delegateResult === ContinueSentinel) continue;\n return delegateResult;\n }\n }\n\n if (context.method === \"next\") {\n // Setting context._sent for legacy support of Babel's\n // function.sent implementation.\n context.sent = context._sent = context.arg;\n\n } else if (context.method === \"throw\") {\n if (state === GenStateSuspendedStart) {\n state = GenStateCompleted;\n throw context.arg;\n }\n\n context.dispatchException(context.arg);\n\n } else if (context.method === \"return\") {\n context.abrupt(\"return\", context.arg);\n }\n\n state = GenStateExecuting;\n\n var record = tryCatch(innerFn, self, context);\n if (record.type === \"normal\") {\n // If an exception is thrown from innerFn, we leave state ===\n // GenStateExecuting and loop back for another invocation.\n state = context.done\n ? GenStateCompleted\n : GenStateSuspendedYield;\n\n if (record.arg === ContinueSentinel) {\n continue;\n }\n\n return {\n value: record.arg,\n done: context.done\n };\n\n } else if (record.type === \"throw\") {\n state = GenStateCompleted;\n // Dispatch the exception by looping back around to the\n // context.dispatchException(context.arg) call above.\n context.method = \"throw\";\n context.arg = record.arg;\n }\n }\n };\n }\n\n // Call delegate.iterator[context.method](context.arg) and handle the\n // result, either by returning a { value, done } result from the\n // delegate iterator, or by modifying context.method and context.arg,\n // setting context.delegate to null, and returning the ContinueSentinel.\n function maybeInvokeDelegate(delegate, context) {\n var method = delegate.iterator[context.method];\n if (method === undefined) {\n // A .throw or .return when the delegate iterator has no .throw\n // method always terminates the yield* loop.\n context.delegate = null;\n\n if (context.method === \"throw\") {\n // Note: [\"return\"] must be used for ES3 parsing compatibility.\n if (delegate.iterator[\"return\"]) {\n // If the delegate iterator has a return method, give it a\n // chance to clean up.\n context.method = \"return\";\n context.arg = undefined;\n maybeInvokeDelegate(delegate, context);\n\n if (context.method === \"throw\") {\n // If maybeInvokeDelegate(context) changed context.method from\n // \"return\" to \"throw\", let that override the TypeError below.\n return ContinueSentinel;\n }\n }\n\n context.method = \"throw\";\n context.arg = new TypeError(\n \"The iterator does not provide a 'throw' method\");\n }\n\n return ContinueSentinel;\n }\n\n var record = tryCatch(method, delegate.iterator, context.arg);\n\n if (record.type === \"throw\") {\n context.method = \"throw\";\n context.arg = record.arg;\n context.delegate = null;\n return ContinueSentinel;\n }\n\n var info = record.arg;\n\n if (! info) {\n context.method = \"throw\";\n context.arg = new TypeError(\"iterator result is not an object\");\n context.delegate = null;\n return ContinueSentinel;\n }\n\n if (info.done) {\n // Assign the result of the finished delegate to the temporary\n // variable specified by delegate.resultName (see delegateYield).\n context[delegate.resultName] = info.value;\n\n // Resume execution at the desired location (see delegateYield).\n context.next = delegate.nextLoc;\n\n // If context.method was \"throw\" but the delegate handled the\n // exception, let the outer generator proceed normally. If\n // context.method was \"next\", forget context.arg since it has been\n // \"consumed\" by the delegate iterator. If context.method was\n // \"return\", allow the original .return call to continue in the\n // outer generator.\n if (context.method !== \"return\") {\n context.method = \"next\";\n context.arg = undefined;\n }\n\n } else {\n // Re-yield the result returned by the delegate method.\n return info;\n }\n\n // The delegate iterator is finished, so forget it and continue with\n // the outer generator.\n context.delegate = null;\n return ContinueSentinel;\n }\n\n // Define Generator.prototype.{next,throw,return} in terms of the\n // unified ._invoke helper method.\n defineIteratorMethods(Gp);\n\n define(Gp, toStringTagSymbol, \"Generator\");\n\n // A Generator should always return itself as the iterator object when the\n // @@iterator function is called on it. Some browsers' implementations of the\n // iterator prototype chain incorrectly implement this, causing the Generator\n // object to not be returned from this call. This ensures that doesn't happen.\n // See https://github.com/facebook/regenerator/issues/274 for more details.\n Gp[iteratorSymbol] = function() {\n return this;\n };\n\n Gp.toString = function() {\n return \"[object Generator]\";\n };\n\n function pushTryEntry(locs) {\n var entry = { tryLoc: locs[0] };\n\n if (1 in locs) {\n entry.catchLoc = locs[1];\n }\n\n if (2 in locs) {\n entry.finallyLoc = locs[2];\n entry.afterLoc = locs[3];\n }\n\n this.tryEntries.push(entry);\n }\n\n function resetTryEntry(entry) {\n var record = entry.completion || {};\n record.type = \"normal\";\n delete record.arg;\n entry.completion = record;\n }\n\n function Context(tryLocsList) {\n // The root entry object (effectively a try statement without a catch\n // or a finally block) gives us a place to store values thrown from\n // locations where there is no enclosing try statement.\n this.tryEntries = [{ tryLoc: \"root\" }];\n tryLocsList.forEach(pushTryEntry, this);\n this.reset(true);\n }\n\n exports.keys = function(object) {\n var keys = [];\n for (var key in object) {\n keys.push(key);\n }\n keys.reverse();\n\n // Rather than returning an object with a next method, we keep\n // things simple and return the next function itself.\n return function next() {\n while (keys.length) {\n var key = keys.pop();\n if (key in object) {\n next.value = key;\n next.done = false;\n return next;\n }\n }\n\n // To avoid creating an additional object, we just hang the .value\n // and .done properties off the next function object itself. This\n // also ensures that the minifier will not anonymize the function.\n next.done = true;\n return next;\n };\n };\n\n function values(iterable) {\n if (iterable) {\n var iteratorMethod = iterable[iteratorSymbol];\n if (iteratorMethod) {\n return iteratorMethod.call(iterable);\n }\n\n if (typeof iterable.next === \"function\") {\n return iterable;\n }\n\n if (!isNaN(iterable.length)) {\n var i = -1, next = function next() {\n while (++i < iterable.length) {\n if (hasOwn.call(iterable, i)) {\n next.value = iterable[i];\n next.done = false;\n return next;\n }\n }\n\n next.value = undefined;\n next.done = true;\n\n return next;\n };\n\n return next.next = next;\n }\n }\n\n // Return an iterator with no values.\n return { next: doneResult };\n }\n exports.values = values;\n\n function doneResult() {\n return { value: undefined, done: true };\n }\n\n Context.prototype = {\n constructor: Context,\n\n reset: function(skipTempReset) {\n this.prev = 0;\n this.next = 0;\n // Resetting context._sent for legacy support of Babel's\n // function.sent implementation.\n this.sent = this._sent = undefined;\n this.done = false;\n this.delegate = null;\n\n this.method = \"next\";\n this.arg = undefined;\n\n this.tryEntries.forEach(resetTryEntry);\n\n if (!skipTempReset) {\n for (var name in this) {\n // Not sure about the optimal order of these conditions:\n if (name.charAt(0) === \"t\" &&\n hasOwn.call(this, name) &&\n !isNaN(+name.slice(1))) {\n this[name] = undefined;\n }\n }\n }\n },\n\n stop: function() {\n this.done = true;\n\n var rootEntry = this.tryEntries[0];\n var rootRecord = rootEntry.completion;\n if (rootRecord.type === \"throw\") {\n throw rootRecord.arg;\n }\n\n return this.rval;\n },\n\n dispatchException: function(exception) {\n if (this.done) {\n throw exception;\n }\n\n var context = this;\n function handle(loc, caught) {\n record.type = \"throw\";\n record.arg = exception;\n context.next = loc;\n\n if (caught) {\n // If the dispatched exception was caught by a catch block,\n // then let that catch block handle the exception normally.\n context.method = \"next\";\n context.arg = undefined;\n }\n\n return !! caught;\n }\n\n for (var i = this.tryEntries.length - 1; i >= 0; --i) {\n var entry = this.tryEntries[i];\n var record = entry.completion;\n\n if (entry.tryLoc === \"root\") {\n // Exception thrown outside of any try block that could handle\n // it, so set the completion value of the entire function to\n // throw the exception.\n return handle(\"end\");\n }\n\n if (entry.tryLoc <= this.prev) {\n var hasCatch = hasOwn.call(entry, \"catchLoc\");\n var hasFinally = hasOwn.call(entry, \"finallyLoc\");\n\n if (hasCatch && hasFinally) {\n if (this.prev < entry.catchLoc) {\n return handle(entry.catchLoc, true);\n } else if (this.prev < entry.finallyLoc) {\n return handle(entry.finallyLoc);\n }\n\n } else if (hasCatch) {\n if (this.prev < entry.catchLoc) {\n return handle(entry.catchLoc, true);\n }\n\n } else if (hasFinally) {\n if (this.prev < entry.finallyLoc) {\n return handle(entry.finallyLoc);\n }\n\n } else {\n throw new Error(\"try statement without catch or finally\");\n }\n }\n }\n },\n\n abrupt: function(type, arg) {\n for (var i = this.tryEntries.length - 1; i >= 0; --i) {\n var entry = this.tryEntries[i];\n if (entry.tryLoc <= this.prev &&\n hasOwn.call(entry, \"finallyLoc\") &&\n this.prev < entry.finallyLoc) {\n var finallyEntry = entry;\n break;\n }\n }\n\n if (finallyEntry &&\n (type === \"break\" ||\n type === \"continue\") &&\n finallyEntry.tryLoc <= arg &&\n arg <= finallyEntry.finallyLoc) {\n // Ignore the finally entry if control is not jumping to a\n // location outside the try/catch block.\n finallyEntry = null;\n }\n\n var record = finallyEntry ? finallyEntry.completion : {};\n record.type = type;\n record.arg = arg;\n\n if (finallyEntry) {\n this.method = \"next\";\n this.next = finallyEntry.finallyLoc;\n return ContinueSentinel;\n }\n\n return this.complete(record);\n },\n\n complete: function(record, afterLoc) {\n if (record.type === \"throw\") {\n throw record.arg;\n }\n\n if (record.type === \"break\" ||\n record.type === \"continue\") {\n this.next = record.arg;\n } else if (record.type === \"return\") {\n this.rval = this.arg = record.arg;\n this.method = \"return\";\n this.next = \"end\";\n } else if (record.type === \"normal\" && afterLoc) {\n this.next = afterLoc;\n }\n\n return ContinueSentinel;\n },\n\n finish: function(finallyLoc) {\n for (var i = this.tryEntries.length - 1; i >= 0; --i) {\n var entry = this.tryEntries[i];\n if (entry.finallyLoc === finallyLoc) {\n this.complete(entry.completion, entry.afterLoc);\n resetTryEntry(entry);\n return ContinueSentinel;\n }\n }\n },\n\n \"catch\": function(tryLoc) {\n for (var i = this.tryEntries.length - 1; i >= 0; --i) {\n var entry = this.tryEntries[i];\n if (entry.tryLoc === tryLoc) {\n var record = entry.completion;\n if (record.type === \"throw\") {\n var thrown = record.arg;\n resetTryEntry(entry);\n }\n return thrown;\n }\n }\n\n // The context.catch method must only be called with a location\n // argument that corresponds to a known catch block.\n throw new Error(\"illegal catch attempt\");\n },\n\n delegateYield: function(iterable, resultName, nextLoc) {\n this.delegate = {\n iterator: values(iterable),\n resultName: resultName,\n nextLoc: nextLoc\n };\n\n if (this.method === \"next\") {\n // Deliberately forget the last sent value so that we don't\n // accidentally pass it on to the delegate.\n this.arg = undefined;\n }\n\n return ContinueSentinel;\n }\n };\n\n // Regardless of whether this script is executing as a CommonJS module\n // or not, return the runtime object so that we can declare the variable\n // regeneratorRuntime in the outer scope, which allows this module to be\n // injected easily by `bin/regenerator --include-runtime script.js`.\n return exports;\n\n}(\n // If this script is executing as a CommonJS module, use module.exports\n // as the regeneratorRuntime namespace. Otherwise create a new empty\n // object. Either way, the resulting object will be used to initialize\n // the regeneratorRuntime variable at the top of this file.\n typeof module === \"object\" ? module.exports : {}\n));\n\ntry {\n regeneratorRuntime = runtime;\n} catch (accidentalStrictMode) {\n // This module should not be running in strict mode, so the above\n // assignment should always work unless something is misconfigured. Just\n // in case runtime.js accidentally runs in strict mode, we can escape\n // strict mode using a global Function call. This could conceivably fail\n // if a Content Security Policy forbids using Function, but in that case\n // the proper solution is to fix the accidental strict mode problem. If\n // you've misconfigured your bundler to force strict mode and applied a\n // CSP to forbid Function, and you're not willing to fix either of those\n // problems, please detail your unique predicament in a GitHub issue.\n Function(\"r\", \"regeneratorRuntime = r\")(runtime);\n}\n","'use strict';\n\n\nmodule.exports = require('./lib/');\n","// HTML5 entities map: { name -> utf16string }\n//\n'use strict';\n\n/*eslint quotes:0*/\nmodule.exports = require('entities/lib/maps/entities.json');\n","'use strict';\n\n\nmodule.exports.encode = require('./encode');\nmodule.exports.decode = require('./decode');\nmodule.exports.format = require('./format');\nmodule.exports.parse = require('./parse');\n","module.exports=/[\\0-\\uD7FF\\uE000-\\uFFFF]|[\\uD800-\\uDBFF][\\uDC00-\\uDFFF]|[\\uD800-\\uDBFF](?![\\uDC00-\\uDFFF])|(?:[^\\uD800-\\uDBFF]|^)[\\uDC00-\\uDFFF]/","module.exports=/[\\0-\\x1F\\x7F-\\x9F]/","module.exports=/[ \\xA0\\u1680\\u2000-\\u200A\\u2028\\u2029\\u202F\\u205F\\u3000]/","// Regexps to match html elements\n\n'use strict';\n\nvar attr_name = '[a-zA-Z_:][a-zA-Z0-9:._-]*';\n\nvar unquoted = '[^\"\\'=<>`\\\\x00-\\\\x20]+';\nvar single_quoted = \"'[^']*'\";\nvar double_quoted = '\"[^\"]*\"';\n\nvar attr_value = '(?:' + unquoted + '|' + single_quoted + '|' + double_quoted + ')';\n\nvar attribute = '(?:\\\\s+' + attr_name + '(?:\\\\s*=\\\\s*' + attr_value + ')?)';\n\nvar open_tag = '<[A-Za-z][A-Za-z0-9\\\\-]*' + attribute + '*\\\\s*\\\\/?>';\n\nvar close_tag = '<\\\\/[A-Za-z][A-Za-z0-9\\\\-]*\\\\s*>';\nvar comment = '<!---->|<!--(?:-?[^>-])(?:-?[^-])*-->';\nvar processing = '<[?].*?[?]>';\nvar declaration = '<![A-Z]+\\\\s+[^>]*>';\nvar cdata = '<!\\\\[CDATA\\\\[[\\\\s\\\\S]*?\\\\]\\\\]>';\n\nvar HTML_TAG_RE = new RegExp('^(?:' + open_tag + '|' + close_tag + '|' + comment +\n '|' + processing + '|' + declaration + '|' + cdata + ')');\nvar HTML_OPEN_CLOSE_TAG_RE = new RegExp('^(?:' + open_tag + '|' + close_tag + ')');\n\nmodule.exports.HTML_TAG_RE = HTML_TAG_RE;\nmodule.exports.HTML_OPEN_CLOSE_TAG_RE = HTML_OPEN_CLOSE_TAG_RE;\n","// ~~strike through~~\n//\n'use strict';\n\n\n// Insert each marker as a separate text token, and add it to delimiter list\n//\nmodule.exports.tokenize = function strikethrough(state, silent) {\n var i, scanned, token, len, ch,\n start = state.pos,\n marker = state.src.charCodeAt(start);\n\n if (silent) { return false; }\n\n if (marker !== 0x7E/* ~ */) { return false; }\n\n scanned = state.scanDelims(state.pos, true);\n len = scanned.length;\n ch = String.fromCharCode(marker);\n\n if (len < 2) { return false; }\n\n if (len % 2) {\n token = state.push('text', '', 0);\n token.content = ch;\n len--;\n }\n\n for (i = 0; i < len; i += 2) {\n token = state.push('text', '', 0);\n token.content = ch + ch;\n\n state.delimiters.push({\n marker: marker,\n length: 0, // disable \"rule of 3\" length checks meant for emphasis\n jump: i,\n token: state.tokens.length - 1,\n end: -1,\n open: scanned.can_open,\n close: scanned.can_close\n });\n }\n\n state.pos += scanned.length;\n\n return true;\n};\n\n\nfunction postProcess(state, delimiters) {\n var i, j,\n startDelim,\n endDelim,\n token,\n loneMarkers = [],\n max = delimiters.length;\n\n for (i = 0; i < max; i++) {\n startDelim = delimiters[i];\n\n if (startDelim.marker !== 0x7E/* ~ */) {\n continue;\n }\n\n if (startDelim.end === -1) {\n continue;\n }\n\n endDelim = delimiters[startDelim.end];\n\n token = state.tokens[startDelim.token];\n token.type = 's_open';\n token.tag = 's';\n token.nesting = 1;\n token.markup = '~~';\n token.content = '';\n\n token = state.tokens[endDelim.token];\n token.type = 's_close';\n token.tag = 's';\n token.nesting = -1;\n token.markup = '~~';\n token.content = '';\n\n if (state.tokens[endDelim.token - 1].type === 'text' &&\n state.tokens[endDelim.token - 1].content === '~') {\n\n loneMarkers.push(endDelim.token - 1);\n }\n }\n\n // If a marker sequence has an odd number of characters, it's splitted\n // like this: `~~~~~` -> `~` + `~~` + `~~`, leaving one marker at the\n // start of the sequence.\n //\n // So, we have to move all those markers after subsequent s_close tags.\n //\n while (loneMarkers.length) {\n i = loneMarkers.pop();\n j = i + 1;\n\n while (j < state.tokens.length && state.tokens[j].type === 's_close') {\n j++;\n }\n\n j--;\n\n if (i !== j) {\n token = state.tokens[j];\n state.tokens[j] = state.tokens[i];\n state.tokens[i] = token;\n }\n }\n}\n\n\n// Walk through delimiter list and replace text tokens with tags\n//\nmodule.exports.postProcess = function strikethrough(state) {\n var curr,\n tokens_meta = state.tokens_meta,\n max = state.tokens_meta.length;\n\n postProcess(state, state.delimiters);\n\n for (curr = 0; curr < max; curr++) {\n if (tokens_meta[curr] && tokens_meta[curr].delimiters) {\n postProcess(state, tokens_meta[curr].delimiters);\n }\n }\n};\n","// Process *this* and _that_\n//\n'use strict';\n\n\n// Insert each marker as a separate text token, and add it to delimiter list\n//\nmodule.exports.tokenize = function emphasis(state, silent) {\n var i, scanned, token,\n start = state.pos,\n marker = state.src.charCodeAt(start);\n\n if (silent) { return false; }\n\n if (marker !== 0x5F /* _ */ && marker !== 0x2A /* * */) { return false; }\n\n scanned = state.scanDelims(state.pos, marker === 0x2A);\n\n for (i = 0; i < scanned.length; i++) {\n token = state.push('text', '', 0);\n token.content = String.fromCharCode(marker);\n\n state.delimiters.push({\n // Char code of the starting marker (number).\n //\n marker: marker,\n\n // Total length of these series of delimiters.\n //\n length: scanned.length,\n\n // An amount of characters before this one that's equivalent to\n // current one. In plain English: if this delimiter does not open\n // an emphasis, neither do previous `jump` characters.\n //\n // Used to skip sequences like \"*****\" in one step, for 1st asterisk\n // value will be 0, for 2nd it's 1 and so on.\n //\n jump: i,\n\n // A position of the token this delimiter corresponds to.\n //\n token: state.tokens.length - 1,\n\n // If this delimiter is matched as a valid opener, `end` will be\n // equal to its position, otherwise it's `-1`.\n //\n end: -1,\n\n // Boolean flags that determine if this delimiter could open or close\n // an emphasis.\n //\n open: scanned.can_open,\n close: scanned.can_close\n });\n }\n\n state.pos += scanned.length;\n\n return true;\n};\n\n\nfunction postProcess(state, delimiters) {\n var i,\n startDelim,\n endDelim,\n token,\n ch,\n isStrong,\n max = delimiters.length;\n\n for (i = max - 1; i >= 0; i--) {\n startDelim = delimiters[i];\n\n if (startDelim.marker !== 0x5F/* _ */ && startDelim.marker !== 0x2A/* * */) {\n continue;\n }\n\n // Process only opening markers\n if (startDelim.end === -1) {\n continue;\n }\n\n endDelim = delimiters[startDelim.end];\n\n // If the previous delimiter has the same marker and is adjacent to this one,\n // merge those into one strong delimiter.\n //\n // `<em><em>whatever</em></em>` -> `<strong>whatever</strong>`\n //\n isStrong = i > 0 &&\n delimiters[i - 1].end === startDelim.end + 1 &&\n delimiters[i - 1].token === startDelim.token - 1 &&\n delimiters[startDelim.end + 1].token === endDelim.token + 1 &&\n delimiters[i - 1].marker === startDelim.marker;\n\n ch = String.fromCharCode(startDelim.marker);\n\n token = state.tokens[startDelim.token];\n token.type = isStrong ? 'strong_open' : 'em_open';\n token.tag = isStrong ? 'strong' : 'em';\n token.nesting = 1;\n token.markup = isStrong ? ch + ch : ch;\n token.content = '';\n\n token = state.tokens[endDelim.token];\n token.type = isStrong ? 'strong_close' : 'em_close';\n token.tag = isStrong ? 'strong' : 'em';\n token.nesting = -1;\n token.markup = isStrong ? ch + ch : ch;\n token.content = '';\n\n if (isStrong) {\n state.tokens[delimiters[i - 1].token].content = '';\n state.tokens[delimiters[startDelim.end + 1].token].content = '';\n i--;\n }\n }\n}\n\n\n// Walk through delimiter list and replace text tokens with tags\n//\nmodule.exports.postProcess = function emphasis(state) {\n var curr,\n tokens_meta = state.tokens_meta,\n max = state.tokens_meta.length;\n\n postProcess(state, state.delimiters);\n\n for (curr = 0; curr < max; curr++) {\n if (tokens_meta[curr] && tokens_meta[curr].delimiters) {\n postProcess(state, tokens_meta[curr].delimiters);\n }\n }\n};\n","!function(a,n){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=n():\"function\"==typeof define&&define.amd?define([],n):\"object\"==typeof exports?exports.NextcloudMoment=n():a.NextcloudMoment=n()}(window,(function(){return function(a){var n={};function e(s){if(n[s])return n[s].exports;var t=n[s]={i:s,l:!1,exports:{}};return a[s].call(t.exports,t,t.exports,e),t.l=!0,t.exports}return e.m=a,e.c=n,e.d=function(a,n,s){e.o(a,n)||Object.defineProperty(a,n,{enumerable:!0,get:s})},e.r=function(a){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(a,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(a,\"__esModule\",{value:!0})},e.t=function(a,n){if(1&n&&(a=e(a)),8&n)return a;if(4&n&&\"object\"==typeof a&&a&&a.__esModule)return a;var s=Object.create(null);if(e.r(s),Object.defineProperty(s,\"default\",{enumerable:!0,value:a}),2&n&&\"string\"!=typeof a)for(var t in a)e.d(s,t,function(n){return a[n]}.bind(null,t));return s},e.n=function(a){var n=a&&a.__esModule?function(){return a.default}:function(){return a};return e.d(n,\"a\",n),n},e.o=function(a,n){return Object.prototype.hasOwnProperty.call(a,n)},e.p=\"\",e(e.s=3)}([function(a,n){a.exports=require(\"moment\")},function(a,n){a.exports=require(\"node-gettext\")},function(a,n){a.exports=require(\"@nextcloud/l10n\")},function(a,n,e){\"use strict\";e.r(n);var s=e(0),t=e.n(s),r=e(1),l=e.n(r),o=e(2),m=new l.a,u=Object(o.getLocale)();[{locale:\"ast\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"enolp <enolp@softastur.org>, 2020\",\"Language-Team\":\"Asturian (https://www.transifex.com/nextcloud/teams/64236/ast/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ast\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nenolp <enolp@softastur.org>, 2020\\n\"},msgstr:[\"Last-Translator: enolp <enolp@softastur.org>, 2020\\nLanguage-Team: Asturian (https://www.transifex.com/nextcloud/teams/64236/ast/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ast\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundos\"]}}}}},{locale:\"cs_CZ\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Pavel Borecki <pavel.borecki@gmail.com>, 2020\",\"Language-Team\":\"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"cs_CZ\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nPavel Borecki <pavel.borecki@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: cs_CZ\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekund\"]}}}}},{locale:\"da\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Henrik Troels-Hansen <henrik@troels-hansen.dk>, 2020\",\"Language-Team\":\"Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"da\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nHenrik Troels-Hansen <henrik@troels-hansen.dk>, 2020\\n\"},msgstr:[\"Last-Translator: Henrik Troels-Hansen <henrik@troels-hansen.dk>, 2020\\nLanguage-Team: Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: da\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekunder\"]}}}}},{locale:\"de_DE\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Christoph Wurst <christoph@winzerhof-wurst.at>, 2020\",\"Language-Team\":\"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"de_DE\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nChristoph Wurst <christoph@winzerhof-wurst.at>, 2020\\n\"},msgstr:[\"Last-Translator: Christoph Wurst <christoph@winzerhof-wurst.at>, 2020\\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: de_DE\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"Sekunden\"]}}}}},{locale:\"el\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"GRMarksman <alexakos01@gmail.com>, 2020\",\"Language-Team\":\"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"el\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nGRMarksman <alexakos01@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: GRMarksman <alexakos01@gmail.com>, 2020\\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: el\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"δευτερόλεπτα\"]}}}}},{locale:\"en_GB\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\",\"Language-Team\":\"English (United Kingdom) (https://www.transifex.com/nextcloud/teams/64236/en_GB/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"en_GB\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\nLanguage-Team: English (United Kingdom) (https://www.transifex.com/nextcloud/teams/64236/en_GB/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: en_GB\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"seconds\"]}}}}},{locale:\"es\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Javier San Juan <javier.sj@trantor.es>, 2020\",\"Language-Team\":\"Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"es\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nJavier San Juan <javier.sj@trantor.es>, 2020\\n\"},msgstr:[\"Last-Translator: Javier San Juan <javier.sj@trantor.es>, 2020\\nLanguage-Team: Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: es\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundos\"]}}}}},{locale:\"eu\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\",\"Language-Team\":\"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"eu\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: eu\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundo\"]}}}}},{locale:\"fr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Yoplala <yoplala@pataraphe.net>, 2020\",\"Language-Team\":\"French (https://www.transifex.com/nextcloud/teams/64236/fr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"fr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYoplala <yoplala@pataraphe.net>, 2020\\n\"},msgstr:[\"Last-Translator: Yoplala <yoplala@pataraphe.net>, 2020\\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: fr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"secondes\"]}}}}},{locale:\"gl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\",\"Language-Team\":\"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"gl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: gl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundos\"]}}}}},{locale:\"he\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Yaron Shahrabani <sh.yaron@gmail.com>, 2020\",\"Language-Team\":\"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"he\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: he\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"שניות\"]}}}}},{locale:\"hu_HU\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Balázs Meskó <meskobalazs@gmail.com>, 2020\",\"Language-Team\":\"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"hu_HU\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nBalázs Meskó <meskobalazs@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Balázs Meskó <meskobalazs@gmail.com>, 2020\\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: hu_HU\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"másodperc\"]}}}}},{locale:\"is\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Sveinn í Felli <sv1@fellsnet.is>, 2020\",\"Language-Team\":\"Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"is\",\"Plural-Forms\":\"nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nSveinn í Felli <sv1@fellsnet.is>, 2020\\n\"},msgstr:[\"Last-Translator: Sveinn í Felli <sv1@fellsnet.is>, 2020\\nLanguage-Team: Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: is\\nPlural-Forms: nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekúndur\"]}}}}},{locale:\"it\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Random_R, 2020\",\"Language-Team\":\"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"it\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nRandom_R, 2020\\n\"},msgstr:[\"Last-Translator: Random_R, 2020\\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: it\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"secondi\"]}}}}},{locale:\"ja_JP\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"YANO Tetsu <tetuyano+transi@gmail.com>, 2020\",\"Language-Team\":\"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ja_JP\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ja_JP\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"秒\"]}}}}},{locale:\"lt_LT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Moo, 2020\",\"Language-Team\":\"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lt_LT\",\"Plural-Forms\":\"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMoo, 2020\\n\"},msgstr:[\"Last-Translator: Moo, 2020\\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lt_LT\\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sek.\"]}}}}},{locale:\"lv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"stendec <stendec@inbox.lv>, 2020\",\"Language-Team\":\"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lv\",\"Plural-Forms\":\"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nstendec <stendec@inbox.lv>, 2020\\n\"},msgstr:[\"Last-Translator: stendec <stendec@inbox.lv>, 2020\\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lv\\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekundes\"]}}}}},{locale:\"mk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Сашко Тодоров, 2020\",\"Language-Team\":\"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"mk\",\"Plural-Forms\":\"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nСашко Тодоров, 2020\\n\"},msgstr:[\"Last-Translator: Сашко Тодоров, 2020\\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: mk\\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"секунди\"]}}}}},{locale:\"nl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Roeland Jago Douma <roeland@famdouma.nl>, 2020\",\"Language-Team\":\"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"nl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\\n\"},msgstr:[\"Last-Translator: Roeland Jago Douma <roeland@famdouma.nl>, 2020\\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: nl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"seconden\"]}}}}},{locale:\"oc\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Quentin PAGÈS, 2020\",\"Language-Team\":\"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"oc\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nQuentin PAGÈS, 2020\\n\"},msgstr:[\"Last-Translator: Quentin PAGÈS, 2020\\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: oc\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segondas\"]}}}}},{locale:\"pl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Janusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020\",\"Language-Team\":\"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pl\",\"Plural-Forms\":\"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nJanusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020\\n\"},msgstr:[\"Last-Translator: Janusz Gwiazda <j.gwiazda@gwiazdaelektronika.eu>, 2020\\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pl\\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekundy\"]}}}}},{locale:\"pt_BR\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"André Marcelo Alvarenga <alvarenga@kde.org>, 2020\",\"Language-Team\":\"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_BR\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAndré Marcelo Alvarenga <alvarenga@kde.org>, 2020\\n\"},msgstr:[\"Last-Translator: André Marcelo Alvarenga <alvarenga@kde.org>, 2020\\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_BR\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundos\"]}}}}},{locale:\"pt_PT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"fpapoila <fpapoila@gmail.com>, 2020\",\"Language-Team\":\"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_PT\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nfpapoila <fpapoila@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: fpapoila <fpapoila@gmail.com>, 2020\\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_PT\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"segundos\"]}}}}},{locale:\"ru\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Игорь Бондаренко <garbond@yandex.ru>, 2020\",\"Language-Team\":\"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ru\",\"Plural-Forms\":\"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nИгорь Бондаренко <garbond@yandex.ru>, 2020\\n\"},msgstr:[\"Last-Translator: Игорь Бондаренко <garbond@yandex.ru>, 2020\\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ru\\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"секунды\"]}}}}},{locale:\"sq\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Greta, 2020\",\"Language-Team\":\"Albanian (https://www.transifex.com/nextcloud/teams/64236/sq/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sq\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nGreta, 2020\\n\"},msgstr:[\"Last-Translator: Greta, 2020\\nLanguage-Team: Albanian (https://www.transifex.com/nextcloud/teams/64236/sq/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sq\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekonda\"]}}}}},{locale:\"sr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Slobodan Simić <slsimic@gmail.com>, 2020\",\"Language-Team\":\"Serbian (https://www.transifex.com/nextcloud/teams/64236/sr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sr\",\"Plural-Forms\":\"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<10 || n%100>=20) ? 1 : 2);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nSlobodan Simić <slsimic@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Slobodan Simić <slsimic@gmail.com>, 2020\\nLanguage-Team: Serbian (https://www.transifex.com/nextcloud/teams/64236/sr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sr\\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<10 || n%100>=20) ? 1 : 2);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"секунде\"]}}}}},{locale:\"sv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Magnus Höglund, 2020\",\"Language-Team\":\"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sv\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMagnus Höglund, 2020\\n\"},msgstr:[\"Last-Translator: Magnus Höglund, 2020\\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sv\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"sekunder\"]}}}}},{locale:\"tr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\",\"Language-Team\":\"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"tr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nHüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\\n\"},msgstr:[\"Last-Translator: Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: tr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"saniye\"]}}}}},{locale:\"uk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\",\"Language-Team\":\"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"uk\",\"Plural-Forms\":\"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: uk\\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"секунди\"]}}}}},{locale:\"zh_CN\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Jay Guo <jayguo921@gmail.com>, 2020\",\"Language-Team\":\"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_CN\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nJay Guo <jayguo921@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Jay Guo <jayguo921@gmail.com>, 2020\\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_CN\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"秒\"]}}}}},{locale:\"zh_TW\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Jim Tsai <poormusic2001@gmail.com>, 2020\",\"Language-Team\":\"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_TW\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nJim Tsai <poormusic2001@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Jim Tsai <poormusic2001@gmail.com>, 2020\\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_TW\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},seconds:{msgid:\"seconds\",comments:{reference:\"lib/index.ts:22\"},msgstr:[\"秒\"]}}}}}].map((function(a){m.addTranslations(a.locale,\"messages\",a.json)})),m.setLocale(u),t.a.locale(u),t.a.updateLocale(t.a.locale(),{parentLocale:t.a.locale(),relativeTime:Object.assign(t.a.localeData(t.a.locale())._relativeTime,{s:m.gettext(\"seconds\")})}),n.default=t.a}])}));\n//# sourceMappingURL=index.js.map","'use strict'\n\nvar high = require('highlight.js/lib/core')\nvar fault = require('fault')\n\nexports.highlight = highlight\nexports.highlightAuto = highlightAuto\nexports.registerLanguage = registerLanguage\nexports.listLanguages = listLanguages\nexports.registerAlias = registerAlias\n\nEmitter.prototype.addText = text\nEmitter.prototype.addKeyword = addKeyword\nEmitter.prototype.addSublanguage = addSublanguage\nEmitter.prototype.openNode = open\nEmitter.prototype.closeNode = close\nEmitter.prototype.closeAllNodes = noop\nEmitter.prototype.finalize = noop\nEmitter.prototype.toHTML = toHtmlNoop\n\nvar defaultPrefix = 'hljs-'\n\n// Highlighting `value` in the language `name`.\nfunction highlight(name, value, options) {\n var before = high.configure({})\n var settings = options || {}\n var prefix = settings.prefix\n var result\n\n if (typeof name !== 'string') {\n throw fault('Expected `string` for name, got `%s`', name)\n }\n\n if (!high.getLanguage(name)) {\n throw fault('Unknown language: `%s` is not registered', name)\n }\n\n if (typeof value !== 'string') {\n throw fault('Expected `string` for value, got `%s`', value)\n }\n\n if (prefix === null || prefix === undefined) {\n prefix = defaultPrefix\n }\n\n high.configure({__emitter: Emitter, classPrefix: prefix})\n\n result = high.highlight(name, value, true)\n\n high.configure(before)\n\n /* istanbul ignore if - Highlight.js seems to use this (currently) for broken\n * grammars, so let’s keep it in there just to be sure. */\n if (result.errorRaised) {\n throw result.errorRaised\n }\n\n return {\n relevance: result.relevance,\n language: result.language,\n value: result.emitter.rootNode.children\n }\n}\n\nfunction highlightAuto(value, options) {\n var settings = options || {}\n var subset = settings.subset || high.listLanguages()\n var prefix = settings.prefix\n var length = subset.length\n var index = -1\n var result\n var secondBest\n var current\n var name\n\n if (prefix === null || prefix === undefined) {\n prefix = defaultPrefix\n }\n\n if (typeof value !== 'string') {\n throw fault('Expected `string` for value, got `%s`', value)\n }\n\n secondBest = {relevance: 0, language: null, value: []}\n result = {relevance: 0, language: null, value: []}\n\n while (++index < length) {\n name = subset[index]\n\n if (!high.getLanguage(name)) {\n continue\n }\n\n current = highlight(name, value, options)\n current.language = name\n\n if (current.relevance > secondBest.relevance) {\n secondBest = current\n }\n\n if (current.relevance > result.relevance) {\n secondBest = result\n result = current\n }\n }\n\n if (secondBest.language) {\n result.secondBest = secondBest\n }\n\n return result\n}\n\n// Register a language.\nfunction registerLanguage(name, syntax) {\n high.registerLanguage(name, syntax)\n}\n\n// Get a list of all registered languages.\nfunction listLanguages() {\n return high.listLanguages()\n}\n\n// Register more aliases for an already registered language.\nfunction registerAlias(name, alias) {\n var map = name\n var key\n\n if (alias) {\n map = {}\n map[name] = alias\n }\n\n for (key in map) {\n high.registerAliases(map[key], {languageName: key})\n }\n}\n\nfunction Emitter(options) {\n this.options = options\n this.rootNode = {children: []}\n this.stack = [this.rootNode]\n}\n\nfunction addKeyword(value, name) {\n this.openNode(name)\n this.addText(value)\n this.closeNode()\n}\n\nfunction addSublanguage(other, name) {\n var stack = this.stack\n var current = stack[stack.length - 1]\n var results = other.rootNode.children\n var node = name\n ? {\n type: 'element',\n tagName: 'span',\n properties: {className: [name]},\n children: results\n }\n : results\n\n current.children = current.children.concat(node)\n}\n\nfunction text(value) {\n var stack = this.stack\n var current\n var tail\n\n if (value === '') return\n\n current = stack[stack.length - 1]\n tail = current.children[current.children.length - 1]\n\n if (tail && tail.type === 'text') {\n tail.value += value\n } else {\n current.children.push({type: 'text', value: value})\n }\n}\n\nfunction open(name) {\n var stack = this.stack\n var className = this.options.classPrefix + name\n var current = stack[stack.length - 1]\n var child = {\n type: 'element',\n tagName: 'span',\n properties: {className: [className]},\n children: []\n }\n\n current.children.push(child)\n stack.push(child)\n}\n\nfunction close() {\n this.stack.pop()\n}\n\nfunction toHtmlNoop() {\n return ''\n}\n\nfunction noop() {}\n","// https://github.com/substack/deep-freeze/blob/master/index.js\n/** @param {any} obj */\nfunction deepFreeze(obj) {\n Object.freeze(obj);\n\n var objIsFunction = typeof obj === 'function';\n\n Object.getOwnPropertyNames(obj).forEach(function(prop) {\n if (Object.hasOwnProperty.call(obj, prop)\n && obj[prop] !== null\n && (typeof obj[prop] === \"object\" || typeof obj[prop] === \"function\")\n // IE11 fix: https://github.com/highlightjs/highlight.js/issues/2318\n // TODO: remove in the future\n && (objIsFunction ? prop !== 'caller' && prop !== 'callee' && prop !== 'arguments' : true)\n && !Object.isFrozen(obj[prop])) {\n deepFreeze(obj[prop]);\n }\n });\n\n return obj;\n}\n\nclass Response {\n /**\n * @param {CompiledMode} mode\n */\n constructor(mode) {\n // eslint-disable-next-line no-undefined\n if (mode.data === undefined) mode.data = {};\n\n this.data = mode.data;\n }\n\n ignoreMatch() {\n this.ignore = true;\n }\n}\n\n/**\n * @param {string} value\n * @returns {string}\n */\nfunction escapeHTML(value) {\n return value\n .replace(/&/g, '&amp;')\n .replace(/</g, '&lt;')\n .replace(/>/g, '&gt;')\n .replace(/\"/g, '&quot;')\n .replace(/'/g, '&#x27;');\n}\n\n/**\n * performs a shallow merge of multiple objects into one\n *\n * @template T\n * @param {T} original\n * @param {Record<string,any>[]} objects\n * @returns {T} a single new object\n */\nfunction inherit(original, ...objects) {\n /** @type Record<string,any> */\n var result = {};\n\n for (const key in original) {\n result[key] = original[key];\n }\n objects.forEach(function(obj) {\n for (const key in obj) {\n result[key] = obj[key];\n }\n });\n return /** @type {T} */ (result);\n}\n\n/* Stream merging */\n\n/**\n * @typedef Event\n * @property {'start'|'stop'} event\n * @property {number} offset\n * @property {Node} node\n */\n\n/**\n * @param {Node} node\n */\nfunction tag(node) {\n return node.nodeName.toLowerCase();\n}\n\n/**\n * @param {Node} node\n */\nfunction nodeStream(node) {\n /** @type Event[] */\n var result = [];\n (function _nodeStream(node, offset) {\n for (var child = node.firstChild; child; child = child.nextSibling) {\n if (child.nodeType === 3) {\n offset += child.nodeValue.length;\n } else if (child.nodeType === 1) {\n result.push({\n event: 'start',\n offset: offset,\n node: child\n });\n offset = _nodeStream(child, offset);\n // Prevent void elements from having an end tag that would actually\n // double them in the output. There are more void elements in HTML\n // but we list only those realistically expected in code display.\n if (!tag(child).match(/br|hr|img|input/)) {\n result.push({\n event: 'stop',\n offset: offset,\n node: child\n });\n }\n }\n }\n return offset;\n })(node, 0);\n return result;\n}\n\n/**\n * @param {any} original - the original stream\n * @param {any} highlighted - stream of the highlighted source\n * @param {string} value - the original source itself\n */\nfunction mergeStreams(original, highlighted, value) {\n var processed = 0;\n var result = '';\n var nodeStack = [];\n\n function selectStream() {\n if (!original.length || !highlighted.length) {\n return original.length ? original : highlighted;\n }\n if (original[0].offset !== highlighted[0].offset) {\n return (original[0].offset < highlighted[0].offset) ? original : highlighted;\n }\n\n /*\n To avoid starting the stream just before it should stop the order is\n ensured that original always starts first and closes last:\n\n if (event1 == 'start' && event2 == 'start')\n return original;\n if (event1 == 'start' && event2 == 'stop')\n return highlighted;\n if (event1 == 'stop' && event2 == 'start')\n return original;\n if (event1 == 'stop' && event2 == 'stop')\n return highlighted;\n\n ... which is collapsed to:\n */\n return highlighted[0].event === 'start' ? original : highlighted;\n }\n\n /**\n * @param {Node} node\n */\n function open(node) {\n /** @param {Attr} attr */\n function attr_str(attr) {\n return ' ' + attr.nodeName + '=\"' + escapeHTML(attr.value) + '\"';\n }\n // @ts-ignore\n result += '<' + tag(node) + [].map.call(node.attributes, attr_str).join('') + '>';\n }\n\n /**\n * @param {Node} node\n */\n function close(node) {\n result += '</' + tag(node) + '>';\n }\n\n /**\n * @param {Event} event\n */\n function render(event) {\n (event.event === 'start' ? open : close)(event.node);\n }\n\n while (original.length || highlighted.length) {\n var stream = selectStream();\n result += escapeHTML(value.substring(processed, stream[0].offset));\n processed = stream[0].offset;\n if (stream === original) {\n /*\n On any opening or closing tag of the original markup we first close\n the entire highlighted node stack, then render the original tag along\n with all the following original tags at the same offset and then\n reopen all the tags on the highlighted stack.\n */\n nodeStack.reverse().forEach(close);\n do {\n render(stream.splice(0, 1)[0]);\n stream = selectStream();\n } while (stream === original && stream.length && stream[0].offset === processed);\n nodeStack.reverse().forEach(open);\n } else {\n if (stream[0].event === 'start') {\n nodeStack.push(stream[0].node);\n } else {\n nodeStack.pop();\n }\n render(stream.splice(0, 1)[0]);\n }\n }\n return result + escapeHTML(value.substr(processed));\n}\n\nvar utils = /*#__PURE__*/Object.freeze({\n __proto__: null,\n escapeHTML: escapeHTML,\n inherit: inherit,\n nodeStream: nodeStream,\n mergeStreams: mergeStreams\n});\n\n/**\n * @typedef {object} Renderer\n * @property {(text: string) => void} addText\n * @property {(node: Node) => void} openNode\n * @property {(node: Node) => void} closeNode\n * @property {() => string} value\n */\n\n/** @typedef {{kind?: string, sublanguage?: boolean}} Node */\n/** @typedef {{walk: (r: Renderer) => void}} Tree */\n/** */\n\nconst SPAN_CLOSE = '</span>';\n\n/**\n * Determines if a node needs to be wrapped in <span>\n *\n * @param {Node} node */\nconst emitsWrappingTags = (node) => {\n return !!node.kind;\n};\n\n/** @type {Renderer} */\nclass HTMLRenderer {\n /**\n * Creates a new HTMLRenderer\n *\n * @param {Tree} parseTree - the parse tree (must support `walk` API)\n * @param {{classPrefix: string}} options\n */\n constructor(parseTree, options) {\n this.buffer = \"\";\n this.classPrefix = options.classPrefix;\n parseTree.walk(this);\n }\n\n /**\n * Adds texts to the output stream\n *\n * @param {string} text */\n addText(text) {\n this.buffer += escapeHTML(text);\n }\n\n /**\n * Adds a node open to the output stream (if needed)\n *\n * @param {Node} node */\n openNode(node) {\n if (!emitsWrappingTags(node)) return;\n\n let className = node.kind;\n if (!node.sublanguage) {\n className = `${this.classPrefix}${className}`;\n }\n this.span(className);\n }\n\n /**\n * Adds a node close to the output stream (if needed)\n *\n * @param {Node} node */\n closeNode(node) {\n if (!emitsWrappingTags(node)) return;\n\n this.buffer += SPAN_CLOSE;\n }\n\n /**\n * returns the accumulated buffer\n */\n value() {\n return this.buffer;\n }\n\n // helpers\n\n /**\n * Builds a span element\n *\n * @param {string} className */\n span(className) {\n this.buffer += `<span class=\"${className}\">`;\n }\n}\n\n/** @typedef {{kind?: string, sublanguage?: boolean, children: Node[]} | string} Node */\n/** @typedef {{kind?: string, sublanguage?: boolean, children: Node[]} } DataNode */\n/** */\n\nclass TokenTree {\n constructor() {\n /** @type DataNode */\n this.rootNode = { children: [] };\n this.stack = [this.rootNode];\n }\n\n get top() {\n return this.stack[this.stack.length - 1];\n }\n\n get root() { return this.rootNode; }\n\n /** @param {Node} node */\n add(node) {\n this.top.children.push(node);\n }\n\n /** @param {string} kind */\n openNode(kind) {\n /** @type Node */\n const node = { kind, children: [] };\n this.add(node);\n this.stack.push(node);\n }\n\n closeNode() {\n if (this.stack.length > 1) {\n return this.stack.pop();\n }\n // eslint-disable-next-line no-undefined\n return undefined;\n }\n\n closeAllNodes() {\n while (this.closeNode());\n }\n\n toJSON() {\n return JSON.stringify(this.rootNode, null, 4);\n }\n\n /**\n * @typedef { import(\"./html_renderer\").Renderer } Renderer\n * @param {Renderer} builder\n */\n walk(builder) {\n // this does not\n return this.constructor._walk(builder, this.rootNode);\n // this works\n // return TokenTree._walk(builder, this.rootNode);\n }\n\n /**\n * @param {Renderer} builder\n * @param {Node} node\n */\n static _walk(builder, node) {\n if (typeof node === \"string\") {\n builder.addText(node);\n } else if (node.children) {\n builder.openNode(node);\n node.children.forEach((child) => this._walk(builder, child));\n builder.closeNode(node);\n }\n return builder;\n }\n\n /**\n * @param {Node} node\n */\n static _collapse(node) {\n if (typeof node === \"string\") return;\n if (!node.children) return;\n\n if (node.children.every(el => typeof el === \"string\")) {\n // node.text = node.children.join(\"\");\n // delete node.children;\n node.children = [node.children.join(\"\")];\n } else {\n node.children.forEach((child) => {\n TokenTree._collapse(child);\n });\n }\n }\n}\n\n/**\n Currently this is all private API, but this is the minimal API necessary\n that an Emitter must implement to fully support the parser.\n\n Minimal interface:\n\n - addKeyword(text, kind)\n - addText(text)\n - addSublanguage(emitter, subLanguageName)\n - finalize()\n - openNode(kind)\n - closeNode()\n - closeAllNodes()\n - toHTML()\n\n*/\n\n/**\n * @implements {Emitter}\n */\nclass TokenTreeEmitter extends TokenTree {\n /**\n * @param {*} options\n */\n constructor(options) {\n super();\n this.options = options;\n }\n\n /**\n * @param {string} text\n * @param {string} kind\n */\n addKeyword(text, kind) {\n if (text === \"\") { return; }\n\n this.openNode(kind);\n this.addText(text);\n this.closeNode();\n }\n\n /**\n * @param {string} text\n */\n addText(text) {\n if (text === \"\") { return; }\n\n this.add(text);\n }\n\n /**\n * @param {Emitter & {root: DataNode}} emitter\n * @param {string} name\n */\n addSublanguage(emitter, name) {\n /** @type DataNode */\n const node = emitter.root;\n node.kind = name;\n node.sublanguage = true;\n this.add(node);\n }\n\n toHTML() {\n const renderer = new HTMLRenderer(this, this.options);\n return renderer.value();\n }\n\n finalize() {\n return true;\n }\n}\n\n/**\n * @param {string} value\n * @returns {RegExp}\n * */\nfunction escape(value) {\n return new RegExp(value.replace(/[-/\\\\^$*+?.()|[\\]{}]/g, '\\\\$&'), 'm');\n}\n\n/**\n * @param {RegExp | string } re\n * @returns {string}\n */\nfunction source(re) {\n if (!re) return null;\n if (typeof re === \"string\") return re;\n\n return re.source;\n}\n\n/**\n * @param {...(RegExp | string) } args\n * @returns {string}\n */\nfunction concat(...args) {\n const joined = args.map((x) => source(x)).join(\"\");\n return joined;\n}\n\n/**\n * @param {RegExp} re\n * @returns {number}\n */\nfunction countMatchGroups(re) {\n return (new RegExp(re.toString() + '|')).exec('').length - 1;\n}\n\n/**\n * Does lexeme start with a regular expression match at the beginning\n * @param {RegExp} re\n * @param {string} lexeme\n */\nfunction startsWith(re, lexeme) {\n var match = re && re.exec(lexeme);\n return match && match.index === 0;\n}\n\n// join logically computes regexps.join(separator), but fixes the\n// backreferences so they continue to match.\n// it also places each individual regular expression into it's own\n// match group, keeping track of the sequencing of those match groups\n// is currently an exercise for the caller. :-)\n/**\n * @param {(string | RegExp)[]} regexps\n * @param {string} separator\n * @returns {string}\n */\nfunction join(regexps, separator = \"|\") {\n // backreferenceRe matches an open parenthesis or backreference. To avoid\n // an incorrect parse, it additionally matches the following:\n // - [...] elements, where the meaning of parentheses and escapes change\n // - other escape sequences, so we do not misparse escape sequences as\n // interesting elements\n // - non-matching or lookahead parentheses, which do not capture. These\n // follow the '(' with a '?'.\n var backreferenceRe = /\\[(?:[^\\\\\\]]|\\\\.)*\\]|\\(\\??|\\\\([1-9][0-9]*)|\\\\./;\n var numCaptures = 0;\n var ret = '';\n for (var i = 0; i < regexps.length; i++) {\n numCaptures += 1;\n var offset = numCaptures;\n var re = source(regexps[i]);\n if (i > 0) {\n ret += separator;\n }\n ret += \"(\";\n while (re.length > 0) {\n var match = backreferenceRe.exec(re);\n if (match == null) {\n ret += re;\n break;\n }\n ret += re.substring(0, match.index);\n re = re.substring(match.index + match[0].length);\n if (match[0][0] === '\\\\' && match[1]) {\n // Adjust the backreference.\n ret += '\\\\' + String(Number(match[1]) + offset);\n } else {\n ret += match[0];\n if (match[0] === '(') {\n numCaptures++;\n }\n }\n }\n ret += \")\";\n }\n return ret;\n}\n\n// Common regexps\nconst IDENT_RE = '[a-zA-Z]\\\\w*';\nconst UNDERSCORE_IDENT_RE = '[a-zA-Z_]\\\\w*';\nconst NUMBER_RE = '\\\\b\\\\d+(\\\\.\\\\d+)?';\nconst C_NUMBER_RE = '(-?)(\\\\b0[xX][a-fA-F0-9]+|(\\\\b\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)([eE][-+]?\\\\d+)?)'; // 0x..., 0..., decimal, float\nconst BINARY_NUMBER_RE = '\\\\b(0b[01]+)'; // 0b...\nconst RE_STARTERS_RE = '!|!=|!==|%|%=|&|&&|&=|\\\\*|\\\\*=|\\\\+|\\\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\\\?|\\\\[|\\\\{|\\\\(|\\\\^|\\\\^=|\\\\||\\\\|=|\\\\|\\\\||~';\n\n/**\n* @param { Partial<Mode> & {binary?: string | RegExp} } opts\n*/\nconst SHEBANG = (opts = {}) => {\n const beginShebang = /^#![ ]*\\//;\n if (opts.binary) {\n opts.begin = concat(\n beginShebang,\n /.*\\b/,\n opts.binary,\n /\\b.*/);\n }\n return inherit({\n className: 'meta',\n begin: beginShebang,\n end: /$/,\n relevance: 0,\n /** @type {ModeCallback} */\n \"on:begin\": (m, resp) => {\n if (m.index !== 0) resp.ignoreMatch();\n }\n }, opts);\n};\n\n// Common modes\nconst BACKSLASH_ESCAPE = {\n begin: '\\\\\\\\[\\\\s\\\\S]', relevance: 0\n};\nconst APOS_STRING_MODE = {\n className: 'string',\n begin: '\\'',\n end: '\\'',\n illegal: '\\\\n',\n contains: [BACKSLASH_ESCAPE]\n};\nconst QUOTE_STRING_MODE = {\n className: 'string',\n begin: '\"',\n end: '\"',\n illegal: '\\\\n',\n contains: [BACKSLASH_ESCAPE]\n};\nconst PHRASAL_WORDS_MODE = {\n begin: /\\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\\b/\n};\n/**\n * Creates a comment mode\n *\n * @param {string | RegExp} begin\n * @param {string | RegExp} end\n * @param {Mode | {}} [modeOptions]\n * @returns {Partial<Mode>}\n */\nconst COMMENT = function(begin, end, modeOptions = {}) {\n var mode = inherit(\n {\n className: 'comment',\n begin,\n end,\n contains: []\n },\n modeOptions\n );\n mode.contains.push(PHRASAL_WORDS_MODE);\n mode.contains.push({\n className: 'doctag',\n begin: '(?:TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):',\n relevance: 0\n });\n return mode;\n};\nconst C_LINE_COMMENT_MODE = COMMENT('//', '$');\nconst C_BLOCK_COMMENT_MODE = COMMENT('/\\\\*', '\\\\*/');\nconst HASH_COMMENT_MODE = COMMENT('#', '$');\nconst NUMBER_MODE = {\n className: 'number',\n begin: NUMBER_RE,\n relevance: 0\n};\nconst C_NUMBER_MODE = {\n className: 'number',\n begin: C_NUMBER_RE,\n relevance: 0\n};\nconst BINARY_NUMBER_MODE = {\n className: 'number',\n begin: BINARY_NUMBER_RE,\n relevance: 0\n};\nconst CSS_NUMBER_MODE = {\n className: 'number',\n begin: NUMBER_RE + '(' +\n '%|em|ex|ch|rem' +\n '|vw|vh|vmin|vmax' +\n '|cm|mm|in|pt|pc|px' +\n '|deg|grad|rad|turn' +\n '|s|ms' +\n '|Hz|kHz' +\n '|dpi|dpcm|dppx' +\n ')?',\n relevance: 0\n};\nconst REGEXP_MODE = {\n // this outer rule makes sure we actually have a WHOLE regex and not simply\n // an expression such as:\n //\n // 3 / something\n //\n // (which will then blow up when regex's `illegal` sees the newline)\n begin: /(?=\\/[^/\\n]*\\/)/,\n contains: [{\n className: 'regexp',\n begin: /\\//,\n end: /\\/[gimuy]*/,\n illegal: /\\n/,\n contains: [\n BACKSLASH_ESCAPE,\n {\n begin: /\\[/,\n end: /\\]/,\n relevance: 0,\n contains: [BACKSLASH_ESCAPE]\n }\n ]\n }]\n};\nconst TITLE_MODE = {\n className: 'title',\n begin: IDENT_RE,\n relevance: 0\n};\nconst UNDERSCORE_TITLE_MODE = {\n className: 'title',\n begin: UNDERSCORE_IDENT_RE,\n relevance: 0\n};\nconst METHOD_GUARD = {\n // excludes method names from keyword processing\n begin: '\\\\.\\\\s*' + UNDERSCORE_IDENT_RE,\n relevance: 0\n};\n\n/**\n * Adds end same as begin mechanics to a mode\n *\n * Your mode must include at least a single () match group as that first match\n * group is what is used for comparison\n * @param {Partial<Mode>} mode\n */\nconst END_SAME_AS_BEGIN = function(mode) {\n return Object.assign(mode,\n {\n /** @type {ModeCallback} */\n 'on:begin': (m, resp) => { resp.data._beginMatch = m[1]; },\n /** @type {ModeCallback} */\n 'on:end': (m, resp) => { if (resp.data._beginMatch !== m[1]) resp.ignoreMatch(); }\n });\n};\n\nvar MODES = /*#__PURE__*/Object.freeze({\n __proto__: null,\n IDENT_RE: IDENT_RE,\n UNDERSCORE_IDENT_RE: UNDERSCORE_IDENT_RE,\n NUMBER_RE: NUMBER_RE,\n C_NUMBER_RE: C_NUMBER_RE,\n BINARY_NUMBER_RE: BINARY_NUMBER_RE,\n RE_STARTERS_RE: RE_STARTERS_RE,\n SHEBANG: SHEBANG,\n BACKSLASH_ESCAPE: BACKSLASH_ESCAPE,\n APOS_STRING_MODE: APOS_STRING_MODE,\n QUOTE_STRING_MODE: QUOTE_STRING_MODE,\n PHRASAL_WORDS_MODE: PHRASAL_WORDS_MODE,\n COMMENT: COMMENT,\n C_LINE_COMMENT_MODE: C_LINE_COMMENT_MODE,\n C_BLOCK_COMMENT_MODE: C_BLOCK_COMMENT_MODE,\n HASH_COMMENT_MODE: HASH_COMMENT_MODE,\n NUMBER_MODE: NUMBER_MODE,\n C_NUMBER_MODE: C_NUMBER_MODE,\n BINARY_NUMBER_MODE: BINARY_NUMBER_MODE,\n CSS_NUMBER_MODE: CSS_NUMBER_MODE,\n REGEXP_MODE: REGEXP_MODE,\n TITLE_MODE: TITLE_MODE,\n UNDERSCORE_TITLE_MODE: UNDERSCORE_TITLE_MODE,\n METHOD_GUARD: METHOD_GUARD,\n END_SAME_AS_BEGIN: END_SAME_AS_BEGIN\n});\n\n// keywords that should have no default relevance value\nvar COMMON_KEYWORDS = 'of and for in not or if then'.split(' ');\n\n// compilation\n\n/**\n * Compiles a language definition result\n *\n * Given the raw result of a language definition (Language), compiles this so\n * that it is ready for highlighting code.\n * @param {Language} language\n * @returns {CompiledLanguage}\n */\nfunction compileLanguage(language) {\n /**\n * Builds a regex with the case sensativility of the current language\n *\n * @param {RegExp | string} value\n * @param {boolean} [global]\n */\n function langRe(value, global) {\n return new RegExp(\n source(value),\n 'm' + (language.case_insensitive ? 'i' : '') + (global ? 'g' : '')\n );\n }\n\n /**\n Stores multiple regular expressions and allows you to quickly search for\n them all in a string simultaneously - returning the first match. It does\n this by creating a huge (a|b|c) regex - each individual item wrapped with ()\n and joined by `|` - using match groups to track position. When a match is\n found checking which position in the array has content allows us to figure\n out which of the original regexes / match groups triggered the match.\n\n The match object itself (the result of `Regex.exec`) is returned but also\n enhanced by merging in any meta-data that was registered with the regex.\n This is how we keep track of which mode matched, and what type of rule\n (`illegal`, `begin`, end, etc).\n */\n class MultiRegex {\n constructor() {\n this.matchIndexes = {};\n // @ts-ignore\n this.regexes = [];\n this.matchAt = 1;\n this.position = 0;\n }\n\n // @ts-ignore\n addRule(re, opts) {\n opts.position = this.position++;\n // @ts-ignore\n this.matchIndexes[this.matchAt] = opts;\n this.regexes.push([opts, re]);\n this.matchAt += countMatchGroups(re) + 1;\n }\n\n compile() {\n if (this.regexes.length === 0) {\n // avoids the need to check length every time exec is called\n // @ts-ignore\n this.exec = () => null;\n }\n const terminators = this.regexes.map(el => el[1]);\n this.matcherRe = langRe(join(terminators), true);\n this.lastIndex = 0;\n }\n\n /** @param {string} s */\n exec(s) {\n this.matcherRe.lastIndex = this.lastIndex;\n const match = this.matcherRe.exec(s);\n if (!match) { return null; }\n\n // eslint-disable-next-line no-undefined\n const i = match.findIndex((el, i) => i > 0 && el !== undefined);\n // @ts-ignore\n const matchData = this.matchIndexes[i];\n // trim off any earlier non-relevant match groups (ie, the other regex\n // match groups that make up the multi-matcher)\n match.splice(0, i);\n\n return Object.assign(match, matchData);\n }\n }\n\n /*\n Created to solve the key deficiently with MultiRegex - there is no way to\n test for multiple matches at a single location. Why would we need to do\n that? In the future a more dynamic engine will allow certain matches to be\n ignored. An example: if we matched say the 3rd regex in a large group but\n decided to ignore it - we'd need to started testing again at the 4th\n regex... but MultiRegex itself gives us no real way to do that.\n\n So what this class creates MultiRegexs on the fly for whatever search\n position they are needed.\n\n NOTE: These additional MultiRegex objects are created dynamically. For most\n grammars most of the time we will never actually need anything more than the\n first MultiRegex - so this shouldn't have too much overhead.\n\n Say this is our search group, and we match regex3, but wish to ignore it.\n\n regex1 | regex2 | regex3 | regex4 | regex5 ' ie, startAt = 0\n\n What we need is a new MultiRegex that only includes the remaining\n possibilities:\n\n regex4 | regex5 ' ie, startAt = 3\n\n This class wraps all that complexity up in a simple API... `startAt` decides\n where in the array of expressions to start doing the matching. It\n auto-increments, so if a match is found at position 2, then startAt will be\n set to 3. If the end is reached startAt will return to 0.\n\n MOST of the time the parser will be setting startAt manually to 0.\n */\n class ResumableMultiRegex {\n constructor() {\n // @ts-ignore\n this.rules = [];\n // @ts-ignore\n this.multiRegexes = [];\n this.count = 0;\n\n this.lastIndex = 0;\n this.regexIndex = 0;\n }\n\n // @ts-ignore\n getMatcher(index) {\n if (this.multiRegexes[index]) return this.multiRegexes[index];\n\n const matcher = new MultiRegex();\n this.rules.slice(index).forEach(([re, opts]) => matcher.addRule(re, opts));\n matcher.compile();\n this.multiRegexes[index] = matcher;\n return matcher;\n }\n\n considerAll() {\n this.regexIndex = 0;\n }\n\n // @ts-ignore\n addRule(re, opts) {\n this.rules.push([re, opts]);\n if (opts.type === \"begin\") this.count++;\n }\n\n /** @param {string} s */\n exec(s) {\n const m = this.getMatcher(this.regexIndex);\n m.lastIndex = this.lastIndex;\n const result = m.exec(s);\n if (result) {\n this.regexIndex += result.position + 1;\n if (this.regexIndex === this.count) { // wrap-around\n this.regexIndex = 0;\n }\n }\n\n // this.regexIndex = 0;\n return result;\n }\n }\n\n /**\n * Given a mode, builds a huge ResumableMultiRegex that can be used to walk\n * the content and find matches.\n *\n * @param {CompiledMode} mode\n * @returns {ResumableMultiRegex}\n */\n function buildModeRegex(mode) {\n const mm = new ResumableMultiRegex();\n\n mode.contains.forEach(term => mm.addRule(term.begin, { rule: term, type: \"begin\" }));\n\n if (mode.terminator_end) {\n mm.addRule(mode.terminator_end, { type: \"end\" });\n }\n if (mode.illegal) {\n mm.addRule(mode.illegal, { type: \"illegal\" });\n }\n\n return mm;\n }\n\n // TODO: We need negative look-behind support to do this properly\n /**\n * Skip a match if it has a preceding or trailing dot\n *\n * This is used for `beginKeywords` to prevent matching expressions such as\n * `bob.keyword.do()`. The mode compiler automatically wires this up as a\n * special _internal_ 'on:begin' callback for modes with `beginKeywords`\n * @param {RegExpMatchArray} match\n * @param {CallbackResponse} response\n */\n function skipIfhasPrecedingOrTrailingDot(match, response) {\n const before = match.input[match.index - 1];\n const after = match.input[match.index + match[0].length];\n if (before === \".\" || after === \".\") {\n response.ignoreMatch();\n }\n }\n\n /** skip vs abort vs ignore\n *\n * @skip - The mode is still entered and exited normally (and contains rules apply),\n * but all content is held and added to the parent buffer rather than being\n * output when the mode ends. Mostly used with `sublanguage` to build up\n * a single large buffer than can be parsed by sublanguage.\n *\n * - The mode begin ands ends normally.\n * - Content matched is added to the parent mode buffer.\n * - The parser cursor is moved forward normally.\n *\n * @abort - A hack placeholder until we have ignore. Aborts the mode (as if it\n * never matched) but DOES NOT continue to match subsequent `contains`\n * modes. Abort is bad/suboptimal because it can result in modes\n * farther down not getting applied because an earlier rule eats the\n * content but then aborts.\n *\n * - The mode does not begin.\n * - Content matched by `begin` is added to the mode buffer.\n * - The parser cursor is moved forward accordingly.\n *\n * @ignore - Ignores the mode (as if it never matched) and continues to match any\n * subsequent `contains` modes. Ignore isn't technically possible with\n * the current parser implementation.\n *\n * - The mode does not begin.\n * - Content matched by `begin` is ignored.\n * - The parser cursor is not moved forward.\n */\n\n /**\n * Compiles an individual mode\n *\n * This can raise an error if the mode contains certain detectable known logic\n * issues.\n * @param {Mode} mode\n * @param {CompiledMode | null} [parent]\n * @returns {CompiledMode | never}\n */\n function compileMode(mode, parent) {\n const cmode = /** @type CompiledMode */ (mode);\n if (mode.compiled) return cmode;\n mode.compiled = true;\n\n // __beforeBegin is considered private API, internal use only\n mode.__beforeBegin = null;\n\n mode.keywords = mode.keywords || mode.beginKeywords;\n\n let kw_pattern = null;\n if (typeof mode.keywords === \"object\") {\n kw_pattern = mode.keywords.$pattern;\n delete mode.keywords.$pattern;\n }\n\n if (mode.keywords) {\n mode.keywords = compileKeywords(mode.keywords, language.case_insensitive);\n }\n\n // both are not allowed\n if (mode.lexemes && kw_pattern) {\n throw new Error(\"ERR: Prefer `keywords.$pattern` to `mode.lexemes`, BOTH are not allowed. (see mode reference) \");\n }\n\n // `mode.lexemes` was the old standard before we added and now recommend\n // using `keywords.$pattern` to pass the keyword pattern\n cmode.keywordPatternRe = langRe(mode.lexemes || kw_pattern || /\\w+/, true);\n\n if (parent) {\n if (mode.beginKeywords) {\n // for languages with keywords that include non-word characters checking for\n // a word boundary is not sufficient, so instead we check for a word boundary\n // or whitespace - this does no harm in any case since our keyword engine\n // doesn't allow spaces in keywords anyways and we still check for the boundary\n // first\n mode.begin = '\\\\b(' + mode.beginKeywords.split(' ').join('|') + ')(?=\\\\b|\\\\s)';\n mode.__beforeBegin = skipIfhasPrecedingOrTrailingDot;\n }\n if (!mode.begin) mode.begin = /\\B|\\b/;\n cmode.beginRe = langRe(mode.begin);\n if (mode.endSameAsBegin) mode.end = mode.begin;\n if (!mode.end && !mode.endsWithParent) mode.end = /\\B|\\b/;\n if (mode.end) cmode.endRe = langRe(mode.end);\n cmode.terminator_end = source(mode.end) || '';\n if (mode.endsWithParent && parent.terminator_end) {\n cmode.terminator_end += (mode.end ? '|' : '') + parent.terminator_end;\n }\n }\n if (mode.illegal) cmode.illegalRe = langRe(mode.illegal);\n // eslint-disable-next-line no-undefined\n if (mode.relevance === undefined) mode.relevance = 1;\n if (!mode.contains) mode.contains = [];\n\n mode.contains = [].concat(...mode.contains.map(function(c) {\n return expand_or_clone_mode(c === 'self' ? mode : c);\n }));\n mode.contains.forEach(function(c) { compileMode(/** @type Mode */ (c), cmode); });\n\n if (mode.starts) {\n compileMode(mode.starts, parent);\n }\n\n cmode.matcher = buildModeRegex(cmode);\n return cmode;\n }\n\n // self is not valid at the top-level\n if (language.contains && language.contains.includes('self')) {\n throw new Error(\"ERR: contains `self` is not supported at the top-level of a language. See documentation.\");\n }\n return compileMode(/** @type Mode */ (language));\n}\n\n/**\n * Determines if a mode has a dependency on it's parent or not\n *\n * If a mode does have a parent dependency then often we need to clone it if\n * it's used in multiple places so that each copy points to the correct parent,\n * where-as modes without a parent can often safely be re-used at the bottom of\n * a mode chain.\n *\n * @param {Mode | null} mode\n * @returns {boolean} - is there a dependency on the parent?\n * */\nfunction dependencyOnParent(mode) {\n if (!mode) return false;\n\n return mode.endsWithParent || dependencyOnParent(mode.starts);\n}\n\n/**\n * Expands a mode or clones it if necessary\n *\n * This is necessary for modes with parental dependenceis (see notes on\n * `dependencyOnParent`) and for nodes that have `variants` - which must then be\n * exploded into their own individual modes at compile time.\n *\n * @param {Mode} mode\n * @returns {Mode | Mode[]}\n * */\nfunction expand_or_clone_mode(mode) {\n if (mode.variants && !mode.cached_variants) {\n mode.cached_variants = mode.variants.map(function(variant) {\n return inherit(mode, { variants: null }, variant);\n });\n }\n\n // EXPAND\n // if we have variants then essentially \"replace\" the mode with the variants\n // this happens in compileMode, where this function is called from\n if (mode.cached_variants) {\n return mode.cached_variants;\n }\n\n // CLONE\n // if we have dependencies on parents then we need a unique\n // instance of ourselves, so we can be reused with many\n // different parents without issue\n if (dependencyOnParent(mode)) {\n return inherit(mode, { starts: mode.starts ? inherit(mode.starts) : null });\n }\n\n if (Object.isFrozen(mode)) {\n return inherit(mode);\n }\n\n // no special dependency issues, just return ourselves\n return mode;\n}\n\n/***********************************************\n Keywords\n***********************************************/\n\n/**\n * Given raw keywords from a language definition, compile them.\n *\n * @param {string | Record<string,string>} rawKeywords\n * @param {boolean} case_insensitive\n */\nfunction compileKeywords(rawKeywords, case_insensitive) {\n /** @type KeywordDict */\n var compiled_keywords = {};\n\n if (typeof rawKeywords === 'string') { // string\n splitAndCompile('keyword', rawKeywords);\n } else {\n Object.keys(rawKeywords).forEach(function(className) {\n splitAndCompile(className, rawKeywords[className]);\n });\n }\n return compiled_keywords;\n\n // ---\n\n /**\n * Compiles an individual list of keywords\n *\n * Ex: \"for if when while|5\"\n *\n * @param {string} className\n * @param {string} keywordList\n */\n function splitAndCompile(className, keywordList) {\n if (case_insensitive) {\n keywordList = keywordList.toLowerCase();\n }\n keywordList.split(' ').forEach(function(keyword) {\n var pair = keyword.split('|');\n compiled_keywords[pair[0]] = [className, scoreForKeyword(pair[0], pair[1])];\n });\n }\n}\n\n/**\n * Returns the proper score for a given keyword\n *\n * Also takes into account comment keywords, which will be scored 0 UNLESS\n * another score has been manually assigned.\n * @param {string} keyword\n * @param {string} [providedScore]\n */\nfunction scoreForKeyword(keyword, providedScore) {\n // manual scores always win over common keywords\n // so you can force a score of 1 if you really insist\n if (providedScore) {\n return Number(providedScore);\n }\n\n return commonKeyword(keyword) ? 0 : 1;\n}\n\n/**\n * Determines if a given keyword is common or not\n *\n * @param {string} keyword */\nfunction commonKeyword(keyword) {\n return COMMON_KEYWORDS.includes(keyword.toLowerCase());\n}\n\nvar version = \"10.1.2\";\n\n/*\nSyntax highlighting with language autodetection.\nhttps://highlightjs.org/\n*/\n\nconst escape$1 = escapeHTML;\nconst inherit$1 = inherit;\n\nconst { nodeStream: nodeStream$1, mergeStreams: mergeStreams$1 } = utils;\nconst NO_MATCH = Symbol(\"nomatch\");\n\n/**\n * @param {any} hljs - object that is extended (legacy)\n * @returns {HLJSApi}\n */\nconst HLJS = function(hljs) {\n // Convenience variables for build-in objects\n /** @type {unknown[]} */\n var ArrayProto = [];\n\n // Global internal variables used within the highlight.js library.\n /** @type {Record<string, Language>} */\n var languages = Object.create(null);\n /** @type {Record<string, string>} */\n var aliases = Object.create(null);\n /** @type {HLJSPlugin[]} */\n var plugins = [];\n\n // safe/production mode - swallows more errors, tries to keep running\n // even if a single syntax or parse hits a fatal error\n var SAFE_MODE = true;\n var fixMarkupRe = /(^(<[^>]+>|\\t|)+|\\n)/gm;\n var LANGUAGE_NOT_FOUND = \"Could not find the language '{}', did you forget to load/include a language module?\";\n /** @type {Language} */\n const PLAINTEXT_LANGUAGE = { disableAutodetect: true, name: 'Plain text', contains: [] };\n\n // Global options used when within external APIs. This is modified when\n // calling the `hljs.configure` function.\n /** @type HLJSOptions */\n var options = {\n noHighlightRe: /^(no-?highlight)$/i,\n languageDetectRe: /\\blang(?:uage)?-([\\w-]+)\\b/i,\n classPrefix: 'hljs-',\n tabReplace: null,\n useBR: false,\n languages: null,\n // beta configuration options, subject to change, welcome to discuss\n // https://github.com/highlightjs/highlight.js/issues/1086\n __emitter: TokenTreeEmitter\n };\n\n /* Utility functions */\n\n /**\n * Tests a language name to see if highlighting should be skipped\n * @param {string} languageName\n */\n function shouldNotHighlight(languageName) {\n return options.noHighlightRe.test(languageName);\n }\n\n /**\n * @param {HighlightedHTMLElement} block - the HTML element to determine language for\n */\n function blockLanguage(block) {\n var classes = block.className + ' ';\n\n classes += block.parentNode ? block.parentNode.className : '';\n\n // language-* takes precedence over non-prefixed class names.\n const match = options.languageDetectRe.exec(classes);\n if (match) {\n var language = getLanguage(match[1]);\n if (!language) {\n console.warn(LANGUAGE_NOT_FOUND.replace(\"{}\", match[1]));\n console.warn(\"Falling back to no-highlight mode for this block.\", block);\n }\n return language ? match[1] : 'no-highlight';\n }\n\n return classes\n .split(/\\s+/)\n .find((_class) => shouldNotHighlight(_class) || getLanguage(_class));\n }\n\n /**\n * Core highlighting function.\n *\n * @param {string} languageName - the language to use for highlighting\n * @param {string} code - the code to highlight\n * @param {boolean} [ignoreIllegals] - whether to ignore illegal matches, default is to bail\n * @param {Mode} [continuation] - current continuation mode, if any\n *\n * @returns {HighlightResult} Result - an object that represents the result\n * @property {string} language - the language name\n * @property {number} relevance - the relevance score\n * @property {string} value - the highlighted HTML code\n * @property {string} code - the original raw code\n * @property {Mode} top - top of the current mode stack\n * @property {boolean} illegal - indicates whether any illegal matches were found\n */\n function highlight(languageName, code, ignoreIllegals, continuation) {\n /** @type {{ code: string, language: string, result?: any }} */\n var context = {\n code,\n language: languageName\n };\n // the plugin can change the desired language or the code to be highlighted\n // just be changing the object it was passed\n fire(\"before:highlight\", context);\n\n // a before plugin can usurp the result completely by providing it's own\n // in which case we don't even need to call highlight\n var result = context.result ?\n context.result :\n _highlight(context.language, context.code, ignoreIllegals, continuation);\n\n result.code = context.code;\n // the plugin can change anything in result to suite it\n fire(\"after:highlight\", result);\n\n return result;\n }\n\n /**\n * private highlight that's used internally and does not fire callbacks\n *\n * @param {string} languageName - the language to use for highlighting\n * @param {string} code - the code to highlight\n * @param {boolean} [ignoreIllegals] - whether to ignore illegal matches, default is to bail\n * @param {Mode} [continuation] - current continuation mode, if any\n */\n function _highlight(languageName, code, ignoreIllegals, continuation) {\n var codeToHighlight = code;\n\n /**\n * Return keyword data if a match is a keyword\n * @param {CompiledMode} mode - current mode\n * @param {RegExpMatchArray} match - regexp match data\n * @returns {KeywordData | false}\n */\n function keywordData(mode, match) {\n var matchText = language.case_insensitive ? match[0].toLowerCase() : match[0];\n return Object.prototype.hasOwnProperty.call(mode.keywords, matchText) && mode.keywords[matchText];\n }\n\n function processKeywords() {\n if (!top.keywords) {\n emitter.addText(mode_buffer);\n return;\n }\n\n let last_index = 0;\n top.keywordPatternRe.lastIndex = 0;\n let match = top.keywordPatternRe.exec(mode_buffer);\n let buf = \"\";\n\n while (match) {\n buf += mode_buffer.substring(last_index, match.index);\n const data = keywordData(top, match);\n if (data) {\n const [kind, keywordRelevance] = data;\n emitter.addText(buf);\n buf = \"\";\n\n relevance += keywordRelevance;\n emitter.addKeyword(match[0], kind);\n } else {\n buf += match[0];\n }\n last_index = top.keywordPatternRe.lastIndex;\n match = top.keywordPatternRe.exec(mode_buffer);\n }\n buf += mode_buffer.substr(last_index);\n emitter.addText(buf);\n }\n\n function processSubLanguage() {\n if (mode_buffer === \"\") return;\n /** @type HighlightResult */\n var result = null;\n\n if (typeof top.subLanguage === 'string') {\n if (!languages[top.subLanguage]) {\n emitter.addText(mode_buffer);\n return;\n }\n result = _highlight(top.subLanguage, mode_buffer, true, continuations[top.subLanguage]);\n continuations[top.subLanguage] = result.top;\n } else {\n result = highlightAuto(mode_buffer, top.subLanguage.length ? top.subLanguage : null);\n }\n\n // Counting embedded language score towards the host language may be disabled\n // with zeroing the containing mode relevance. Use case in point is Markdown that\n // allows XML everywhere and makes every XML snippet to have a much larger Markdown\n // score.\n if (top.relevance > 0) {\n relevance += result.relevance;\n }\n emitter.addSublanguage(result.emitter, result.language);\n }\n\n function processBuffer() {\n if (top.subLanguage != null) {\n processSubLanguage();\n } else {\n processKeywords();\n }\n mode_buffer = '';\n }\n\n /**\n * @param {Mode} mode - new mode to start\n */\n function startNewMode(mode) {\n if (mode.className) {\n emitter.openNode(mode.className);\n }\n top = Object.create(mode, { parent: { value: top } });\n return top;\n }\n\n /**\n * @param {CompiledMode } mode - the mode to potentially end\n * @param {RegExpMatchArray} match - the latest match\n * @param {string} matchPlusRemainder - match plus remainder of content\n * @returns {CompiledMode | void} - the next mode, or if void continue on in current mode\n */\n function endOfMode(mode, match, matchPlusRemainder) {\n let matched = startsWith(mode.endRe, matchPlusRemainder);\n\n if (matched) {\n if (mode[\"on:end\"]) {\n const resp = new Response(mode);\n mode[\"on:end\"](match, resp);\n if (resp.ignore) matched = false;\n }\n\n if (matched) {\n while (mode.endsParent && mode.parent) {\n mode = mode.parent;\n }\n return mode;\n }\n }\n // even if on:end fires an `ignore` it's still possible\n // that we might trigger the end node because of a parent mode\n if (mode.endsWithParent) {\n return endOfMode(mode.parent, match, matchPlusRemainder);\n }\n }\n\n /**\n * Handle matching but then ignoring a sequence of text\n *\n * @param {string} lexeme - string containing full match text\n */\n function doIgnore(lexeme) {\n if (top.matcher.regexIndex === 0) {\n // no more regexs to potentially match here, so we move the cursor forward one\n // space\n mode_buffer += lexeme[0];\n return 1;\n } else {\n // no need to move the cursor, we still have additional regexes to try and\n // match at this very spot\n continueScanAtSamePosition = true;\n return 0;\n }\n }\n\n /**\n * Handle the start of a new potential mode match\n *\n * @param {EnhancedMatch} match - the current match\n * @returns {number} how far to advance the parse cursor\n */\n function doBeginMatch(match) {\n var lexeme = match[0];\n var new_mode = match.rule;\n\n const resp = new Response(new_mode);\n // first internal before callbacks, then the public ones\n const beforeCallbacks = [new_mode.__beforeBegin, new_mode[\"on:begin\"]];\n for (const cb of beforeCallbacks) {\n if (!cb) continue;\n cb(match, resp);\n if (resp.ignore) return doIgnore(lexeme);\n }\n\n if (new_mode && new_mode.endSameAsBegin) {\n new_mode.endRe = escape(lexeme);\n }\n\n if (new_mode.skip) {\n mode_buffer += lexeme;\n } else {\n if (new_mode.excludeBegin) {\n mode_buffer += lexeme;\n }\n processBuffer();\n if (!new_mode.returnBegin && !new_mode.excludeBegin) {\n mode_buffer = lexeme;\n }\n }\n startNewMode(new_mode);\n // if (mode[\"after:begin\"]) {\n // let resp = new Response(mode);\n // mode[\"after:begin\"](match, resp);\n // }\n return new_mode.returnBegin ? 0 : lexeme.length;\n }\n\n /**\n * Handle the potential end of mode\n *\n * @param {RegExpMatchArray} match - the current match\n */\n function doEndMatch(match) {\n var lexeme = match[0];\n var matchPlusRemainder = codeToHighlight.substr(match.index);\n\n var end_mode = endOfMode(top, match, matchPlusRemainder);\n if (!end_mode) { return NO_MATCH; }\n\n var origin = top;\n if (origin.skip) {\n mode_buffer += lexeme;\n } else {\n if (!(origin.returnEnd || origin.excludeEnd)) {\n mode_buffer += lexeme;\n }\n processBuffer();\n if (origin.excludeEnd) {\n mode_buffer = lexeme;\n }\n }\n do {\n if (top.className) {\n emitter.closeNode();\n }\n if (!top.skip && !top.subLanguage) {\n relevance += top.relevance;\n }\n top = top.parent;\n } while (top !== end_mode.parent);\n if (end_mode.starts) {\n if (end_mode.endSameAsBegin) {\n end_mode.starts.endRe = end_mode.endRe;\n }\n startNewMode(end_mode.starts);\n }\n return origin.returnEnd ? 0 : lexeme.length;\n }\n\n function processContinuations() {\n var list = [];\n for (var current = top; current !== language; current = current.parent) {\n if (current.className) {\n list.unshift(current.className);\n }\n }\n list.forEach(item => emitter.openNode(item));\n }\n\n /** @type {{type?: MatchType, index?: number, rule?: Mode}}} */\n var lastMatch = {};\n\n /**\n * Process an individual match\n *\n * @param {string} textBeforeMatch - text preceeding the match (since the last match)\n * @param {EnhancedMatch} [match] - the match itself\n */\n function processLexeme(textBeforeMatch, match) {\n var lexeme = match && match[0];\n\n // add non-matched text to the current mode buffer\n mode_buffer += textBeforeMatch;\n\n if (lexeme == null) {\n processBuffer();\n return 0;\n }\n\n // we've found a 0 width match and we're stuck, so we need to advance\n // this happens when we have badly behaved rules that have optional matchers to the degree that\n // sometimes they can end up matching nothing at all\n // Ref: https://github.com/highlightjs/highlight.js/issues/2140\n if (lastMatch.type === \"begin\" && match.type === \"end\" && lastMatch.index === match.index && lexeme === \"\") {\n // spit the \"skipped\" character that our regex choked on back into the output sequence\n mode_buffer += codeToHighlight.slice(match.index, match.index + 1);\n if (!SAFE_MODE) {\n /** @type {AnnotatedError} */\n const err = new Error('0 width match regex');\n err.languageName = languageName;\n err.badRule = lastMatch.rule;\n throw err;\n }\n return 1;\n }\n lastMatch = match;\n\n if (match.type === \"begin\") {\n return doBeginMatch(match);\n } else if (match.type === \"illegal\" && !ignoreIllegals) {\n // illegal match, we do not continue processing\n /** @type {AnnotatedError} */\n const err = new Error('Illegal lexeme \"' + lexeme + '\" for mode \"' + (top.className || '<unnamed>') + '\"');\n err.mode = top;\n throw err;\n } else if (match.type === \"end\") {\n var processed = doEndMatch(match);\n if (processed !== NO_MATCH) {\n return processed;\n }\n }\n\n // edge case for when illegal matches $ (end of line) which is technically\n // a 0 width match but not a begin/end match so it's not caught by the\n // first handler (when ignoreIllegals is true)\n if (match.type === \"illegal\" && lexeme === \"\") {\n // advance so we aren't stuck in an infinite loop\n return 1;\n }\n\n // infinite loops are BAD, this is a last ditch catch all. if we have a\n // decent number of iterations yet our index (cursor position in our\n // parsing) still 3x behind our index then something is very wrong\n // so we bail\n if (iterations > 100000 && iterations > match.index * 3) {\n const err = new Error('potential infinite loop, way more iterations than matches');\n throw err;\n }\n\n /*\n Why might be find ourselves here? Only one occasion now. An end match that was\n triggered but could not be completed. When might this happen? When an `endSameasBegin`\n rule sets the end rule to a specific match. Since the overall mode termination rule that's\n being used to scan the text isn't recompiled that means that any match that LOOKS like\n the end (but is not, because it is not an exact match to the beginning) will\n end up here. A definite end match, but when `doEndMatch` tries to \"reapply\"\n the end rule and fails to match, we wind up here, and just silently ignore the end.\n\n This causes no real harm other than stopping a few times too many.\n */\n\n mode_buffer += lexeme;\n return lexeme.length;\n }\n\n var language = getLanguage(languageName);\n if (!language) {\n console.error(LANGUAGE_NOT_FOUND.replace(\"{}\", languageName));\n throw new Error('Unknown language: \"' + languageName + '\"');\n }\n\n var md = compileLanguage(language);\n var result = '';\n /** @type {CompiledMode} */\n var top = continuation || md;\n /** @type Record<string,Mode> */\n var continuations = {}; // keep continuations for sub-languages\n var emitter = new options.__emitter(options);\n processContinuations();\n var mode_buffer = '';\n var relevance = 0;\n var index = 0;\n var iterations = 0;\n var continueScanAtSamePosition = false;\n\n try {\n top.matcher.considerAll();\n\n for (;;) {\n iterations++;\n if (continueScanAtSamePosition) {\n // only regexes not matched previously will now be\n // considered for a potential match\n continueScanAtSamePosition = false;\n } else {\n top.matcher.lastIndex = index;\n top.matcher.considerAll();\n }\n const match = top.matcher.exec(codeToHighlight);\n // console.log(\"match\", match[0], match.rule && match.rule.begin)\n if (!match) break;\n\n const beforeMatch = codeToHighlight.substring(index, match.index);\n const processedCount = processLexeme(beforeMatch, match);\n index = match.index + processedCount;\n }\n processLexeme(codeToHighlight.substr(index));\n emitter.closeAllNodes();\n emitter.finalize();\n result = emitter.toHTML();\n\n return {\n relevance: relevance,\n value: result,\n language: languageName,\n illegal: false,\n emitter: emitter,\n top: top\n };\n } catch (err) {\n if (err.message && err.message.includes('Illegal')) {\n return {\n illegal: true,\n illegalBy: {\n msg: err.message,\n context: codeToHighlight.slice(index - 100, index + 100),\n mode: err.mode\n },\n sofar: result,\n relevance: 0,\n value: escape$1(codeToHighlight),\n emitter: emitter\n };\n } else if (SAFE_MODE) {\n return {\n illegal: false,\n relevance: 0,\n value: escape$1(codeToHighlight),\n emitter: emitter,\n language: languageName,\n top: top,\n errorRaised: err\n };\n } else {\n throw err;\n }\n }\n }\n\n /**\n * returns a valid highlight result, without actually doing any actual work,\n * auto highlight starts with this and it's possible for small snippets that\n * auto-detection may not find a better match\n * @param {string} code\n * @returns {HighlightResult}\n */\n function justTextHighlightResult(code) {\n const result = {\n relevance: 0,\n emitter: new options.__emitter(options),\n value: escape$1(code),\n illegal: false,\n top: PLAINTEXT_LANGUAGE\n };\n result.emitter.addText(code);\n return result;\n }\n\n /**\n Highlighting with language detection. Accepts a string with the code to\n highlight. Returns an object with the following properties:\n\n - language (detected language)\n - relevance (int)\n - value (an HTML string with highlighting markup)\n - second_best (object with the same structure for second-best heuristically\n detected language, may be absent)\n\n @param {string} code\n @param {Array<string>} [languageSubset]\n @returns {AutoHighlightResult}\n */\n function highlightAuto(code, languageSubset) {\n languageSubset = languageSubset || options.languages || Object.keys(languages);\n var result = justTextHighlightResult(code);\n var secondBest = result;\n languageSubset.filter(getLanguage).filter(autoDetection).forEach(function(name) {\n var current = _highlight(name, code, false);\n current.language = name;\n if (current.relevance > secondBest.relevance) {\n secondBest = current;\n }\n if (current.relevance > result.relevance) {\n secondBest = result;\n result = current;\n }\n });\n if (secondBest.language) {\n // second_best (with underscore) is the expected API\n result.second_best = secondBest;\n }\n return result;\n }\n\n /**\n Post-processing of the highlighted markup:\n\n - replace TABs with something more useful\n - replace real line-breaks with '<br>' for non-pre containers\n\n @param {string} html\n @returns {string}\n */\n function fixMarkup(html) {\n if (!(options.tabReplace || options.useBR)) {\n return html;\n }\n\n return html.replace(fixMarkupRe, match => {\n if (match === '\\n') {\n return options.useBR ? '<br>' : match;\n } else if (options.tabReplace) {\n return match.replace(/\\t/g, options.tabReplace);\n }\n return match;\n });\n }\n\n /**\n * Builds new class name for block given the language name\n *\n * @param {string} prevClassName\n * @param {string} [currentLang]\n * @param {string} [resultLang]\n */\n function buildClassName(prevClassName, currentLang, resultLang) {\n var language = currentLang ? aliases[currentLang] : resultLang;\n var result = [prevClassName.trim()];\n\n if (!prevClassName.match(/\\bhljs\\b/)) {\n result.push('hljs');\n }\n\n if (!prevClassName.includes(language)) {\n result.push(language);\n }\n\n return result.join(' ').trim();\n }\n\n /**\n * Applies highlighting to a DOM node containing code. Accepts a DOM node and\n * two optional parameters for fixMarkup.\n *\n * @param {HighlightedHTMLElement} element - the HTML element to highlight\n */\n function highlightBlock(element) {\n /** @type HTMLElement */\n let node = null;\n const language = blockLanguage(element);\n\n if (shouldNotHighlight(language)) return;\n\n fire(\"before:highlightBlock\",\n { block: element, language: language });\n\n if (options.useBR) {\n node = document.createElement('div');\n node.innerHTML = element.innerHTML.replace(/\\n/g, '').replace(/<br[ /]*>/g, '\\n');\n } else {\n node = element;\n }\n const text = node.textContent;\n const result = language ? highlight(language, text, true) : highlightAuto(text);\n\n const originalStream = nodeStream$1(node);\n if (originalStream.length) {\n const resultNode = document.createElement('div');\n resultNode.innerHTML = result.value;\n result.value = mergeStreams$1(originalStream, nodeStream$1(resultNode), text);\n }\n result.value = fixMarkup(result.value);\n\n fire(\"after:highlightBlock\", { block: element, result: result });\n\n element.innerHTML = result.value;\n element.className = buildClassName(element.className, language, result.language);\n element.result = {\n language: result.language,\n // TODO: remove with version 11.0\n re: result.relevance,\n relavance: result.relevance\n };\n if (result.second_best) {\n element.second_best = {\n language: result.second_best.language,\n // TODO: remove with version 11.0\n re: result.second_best.relevance,\n relavance: result.second_best.relevance\n };\n }\n }\n\n /**\n * Updates highlight.js global options with the passed options\n *\n * @param {{}} userOptions\n */\n function configure(userOptions) {\n options = inherit$1(options, userOptions);\n }\n\n /**\n * Highlights to all <pre><code> blocks on a page\n *\n * @type {Function & {called?: boolean}}\n */\n const initHighlighting = () => {\n if (initHighlighting.called) return;\n initHighlighting.called = true;\n\n var blocks = document.querySelectorAll('pre code');\n ArrayProto.forEach.call(blocks, highlightBlock);\n };\n\n // Higlights all when DOMContentLoaded fires\n function initHighlightingOnLoad() {\n // @ts-ignore\n window.addEventListener('DOMContentLoaded', initHighlighting, false);\n }\n\n /**\n * Register a language grammar module\n *\n * @param {string} languageName\n * @param {LanguageFn} languageDefinition\n */\n function registerLanguage(languageName, languageDefinition) {\n var lang = null;\n try {\n lang = languageDefinition(hljs);\n } catch (error) {\n console.error(\"Language definition for '{}' could not be registered.\".replace(\"{}\", languageName));\n // hard or soft error\n if (!SAFE_MODE) { throw error; } else { console.error(error); }\n // languages that have serious errors are replaced with essentially a\n // \"plaintext\" stand-in so that the code blocks will still get normal\n // css classes applied to them - and one bad language won't break the\n // entire highlighter\n lang = PLAINTEXT_LANGUAGE;\n }\n // give it a temporary name if it doesn't have one in the meta-data\n if (!lang.name) lang.name = languageName;\n languages[languageName] = lang;\n lang.rawDefinition = languageDefinition.bind(null, hljs);\n\n if (lang.aliases) {\n registerAliases(lang.aliases, { languageName });\n }\n }\n\n /**\n * @returns {string[]} List of language internal names\n */\n function listLanguages() {\n return Object.keys(languages);\n }\n\n /**\n intended usage: When one language truly requires another\n\n Unlike `getLanguage`, this will throw when the requested language\n is not available.\n\n @param {string} name - name of the language to fetch/require\n @returns {Language | never}\n */\n function requireLanguage(name) {\n var lang = getLanguage(name);\n if (lang) { return lang; }\n\n var err = new Error('The \\'{}\\' language is required, but not loaded.'.replace('{}', name));\n throw err;\n }\n\n /**\n * @param {string} name - name of the language to retrieve\n * @returns {Language | undefined}\n */\n function getLanguage(name) {\n name = (name || '').toLowerCase();\n return languages[name] || languages[aliases[name]];\n }\n\n /**\n *\n * @param {string|string[]} aliasList - single alias or list of aliases\n * @param {{languageName: string}} opts\n */\n function registerAliases(aliasList, { languageName }) {\n if (typeof aliasList === 'string') {\n aliasList = [aliasList];\n }\n aliasList.forEach(alias => { aliases[alias] = languageName; });\n }\n\n /**\n * Determines if a given language has auto-detection enabled\n * @param {string} name - name of the language\n */\n function autoDetection(name) {\n var lang = getLanguage(name);\n return lang && !lang.disableAutodetect;\n }\n\n /**\n * @param {HLJSPlugin} plugin\n */\n function addPlugin(plugin) {\n plugins.push(plugin);\n }\n\n /**\n *\n * @param {PluginEvent} event\n * @param {any} args\n */\n function fire(event, args) {\n var cb = event;\n plugins.forEach(function(plugin) {\n if (plugin[cb]) {\n plugin[cb](args);\n }\n });\n }\n\n /* Interface definition */\n\n Object.assign(hljs, {\n highlight,\n highlightAuto,\n fixMarkup,\n highlightBlock,\n configure,\n initHighlighting,\n initHighlightingOnLoad,\n registerLanguage,\n listLanguages,\n getLanguage,\n registerAliases,\n requireLanguage,\n autoDetection,\n inherit: inherit$1,\n addPlugin\n });\n\n hljs.debugMode = function() { SAFE_MODE = false; };\n hljs.safeMode = function() { SAFE_MODE = true; };\n hljs.versionString = version;\n\n for (const key in MODES) {\n // @ts-ignore\n if (typeof MODES[key] === \"object\") {\n // @ts-ignore\n deepFreeze(MODES[key]);\n }\n }\n\n // merge all the modes/regexs into our main object\n Object.assign(hljs, MODES);\n\n return hljs;\n};\n\n// export an \"instance\" of the highlighter\nvar highlight = HLJS({});\n\nmodule.exports = highlight;\n","'use strict'\n\nvar formatter = require('format')\n\nvar fault = create(Error)\n\nmodule.exports = fault\n\nfault.eval = create(EvalError)\nfault.range = create(RangeError)\nfault.reference = create(ReferenceError)\nfault.syntax = create(SyntaxError)\nfault.type = create(TypeError)\nfault.uri = create(URIError)\n\nfault.create = create\n\n// Create a new `EConstructor`, with the formatted `format` as a first argument.\nfunction create(EConstructor) {\n FormattedError.displayName = EConstructor.displayName || EConstructor.name\n\n return FormattedError\n\n function FormattedError(format) {\n if (format) {\n format = formatter.apply(null, arguments)\n }\n\n return new EConstructor(format)\n }\n}\n","//\n// format - printf-like string formatting for JavaScript\n// github.com/samsonjs/format\n// @_sjs\n//\n// Copyright 2010 - 2013 Sami Samhuri <sami@samhuri.net>\n//\n// MIT License\n// http://sjs.mit-license.org\n//\n\n;(function() {\n\n //// Export the API\n var namespace;\n\n // CommonJS / Node module\n if (typeof module !== 'undefined') {\n namespace = module.exports = format;\n }\n\n // Browsers and other environments\n else {\n // Get the global object. Works in ES3, ES5, and ES5 strict mode.\n namespace = (function(){ return this || (1,eval)('this') }());\n }\n\n namespace.format = format;\n namespace.vsprintf = vsprintf;\n\n if (typeof console !== 'undefined' && typeof console.log === 'function') {\n namespace.printf = printf;\n }\n\n function printf(/* ... */) {\n console.log(format.apply(null, arguments));\n }\n\n function vsprintf(fmt, replacements) {\n return format.apply(null, [fmt].concat(replacements));\n }\n\n function format(fmt) {\n var argIndex = 1 // skip initial format argument\n , args = [].slice.call(arguments)\n , i = 0\n , n = fmt.length\n , result = ''\n , c\n , escaped = false\n , arg\n , tmp\n , leadingZero = false\n , precision\n , nextArg = function() { return args[argIndex++]; }\n , slurpNumber = function() {\n var digits = '';\n while (/\\d/.test(fmt[i])) {\n digits += fmt[i++];\n c = fmt[i];\n }\n return digits.length > 0 ? parseInt(digits) : null;\n }\n ;\n for (; i < n; ++i) {\n c = fmt[i];\n if (escaped) {\n escaped = false;\n if (c == '.') {\n leadingZero = false;\n c = fmt[++i];\n }\n else if (c == '0' && fmt[i + 1] == '.') {\n leadingZero = true;\n i += 2;\n c = fmt[i];\n }\n else {\n leadingZero = true;\n }\n precision = slurpNumber();\n switch (c) {\n case 'b': // number in binary\n result += parseInt(nextArg(), 10).toString(2);\n break;\n case 'c': // character\n arg = nextArg();\n if (typeof arg === 'string' || arg instanceof String)\n result += arg;\n else\n result += String.fromCharCode(parseInt(arg, 10));\n break;\n case 'd': // number in decimal\n result += parseInt(nextArg(), 10);\n break;\n case 'f': // floating point number\n tmp = String(parseFloat(nextArg()).toFixed(precision || 6));\n result += leadingZero ? tmp : tmp.replace(/^0/, '');\n break;\n case 'j': // JSON\n result += JSON.stringify(nextArg());\n break;\n case 'o': // number in octal\n result += '0' + parseInt(nextArg(), 10).toString(8);\n break;\n case 's': // string\n result += nextArg();\n break;\n case 'x': // lowercase hexadecimal\n result += '0x' + parseInt(nextArg(), 10).toString(16);\n break;\n case 'X': // uppercase hexadecimal\n result += '0x' + parseInt(nextArg(), 10).toString(16).toUpperCase();\n break;\n default:\n result += c;\n break;\n }\n } else if (c === '%') {\n escaped = true;\n } else {\n result += c;\n }\n }\n return result;\n }\n\n}());\n","// .dirname, .basename, and .extname methods are extracted from Node.js v8.11.1,\n// backported and transplited with Babel, with backwards-compat fixes\n\n// Copyright Joyent, Inc. and other Node contributors.\n//\n// Permission is hereby granted, free of charge, to any person obtaining a\n// copy of this software and associated documentation files (the\n// \"Software\"), to deal in the Software without restriction, including\n// without limitation the rights to use, copy, modify, merge, publish,\n// distribute, sublicense, and/or sell copies of the Software, and to permit\n// persons to whom the Software is furnished to do so, subject to the\n// following conditions:\n//\n// The above copyright notice and this permission notice shall be included\n// in all copies or substantial portions of the Software.\n//\n// THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS\n// OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF\n// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN\n// NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM,\n// DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR\n// OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE\n// USE OR OTHER DEALINGS IN THE SOFTWARE.\n\n// resolves . and .. elements in a path array with directory names there\n// must be no slashes, empty elements, or device names (c:\\) in the array\n// (so also no leading and trailing slashes - it does not distinguish\n// relative and absolute paths)\nfunction normalizeArray(parts, allowAboveRoot) {\n // if the path tries to go above the root, `up` ends up > 0\n var up = 0;\n for (var i = parts.length - 1; i >= 0; i--) {\n var last = parts[i];\n if (last === '.') {\n parts.splice(i, 1);\n } else if (last === '..') {\n parts.splice(i, 1);\n up++;\n } else if (up) {\n parts.splice(i, 1);\n up--;\n }\n }\n\n // if the path is allowed to go above the root, restore leading ..s\n if (allowAboveRoot) {\n for (; up--; up) {\n parts.unshift('..');\n }\n }\n\n return parts;\n}\n\n// path.resolve([from ...], to)\n// posix version\nexports.resolve = function() {\n var resolvedPath = '',\n resolvedAbsolute = false;\n\n for (var i = arguments.length - 1; i >= -1 && !resolvedAbsolute; i--) {\n var path = (i >= 0) ? arguments[i] : process.cwd();\n\n // Skip empty and invalid entries\n if (typeof path !== 'string') {\n throw new TypeError('Arguments to path.resolve must be strings');\n } else if (!path) {\n continue;\n }\n\n resolvedPath = path + '/' + resolvedPath;\n resolvedAbsolute = path.charAt(0) === '/';\n }\n\n // At this point the path should be resolved to a full absolute path, but\n // handle relative paths to be safe (might happen when process.cwd() fails)\n\n // Normalize the path\n resolvedPath = normalizeArray(filter(resolvedPath.split('/'), function(p) {\n return !!p;\n }), !resolvedAbsolute).join('/');\n\n return ((resolvedAbsolute ? '/' : '') + resolvedPath) || '.';\n};\n\n// path.normalize(path)\n// posix version\nexports.normalize = function(path) {\n var isAbsolute = exports.isAbsolute(path),\n trailingSlash = substr(path, -1) === '/';\n\n // Normalize the path\n path = normalizeArray(filter(path.split('/'), function(p) {\n return !!p;\n }), !isAbsolute).join('/');\n\n if (!path && !isAbsolute) {\n path = '.';\n }\n if (path && trailingSlash) {\n path += '/';\n }\n\n return (isAbsolute ? '/' : '') + path;\n};\n\n// posix version\nexports.isAbsolute = function(path) {\n return path.charAt(0) === '/';\n};\n\n// posix version\nexports.join = function() {\n var paths = Array.prototype.slice.call(arguments, 0);\n return exports.normalize(filter(paths, function(p, index) {\n if (typeof p !== 'string') {\n throw new TypeError('Arguments to path.join must be strings');\n }\n return p;\n }).join('/'));\n};\n\n\n// path.relative(from, to)\n// posix version\nexports.relative = function(from, to) {\n from = exports.resolve(from).substr(1);\n to = exports.resolve(to).substr(1);\n\n function trim(arr) {\n var start = 0;\n for (; start < arr.length; start++) {\n if (arr[start] !== '') break;\n }\n\n var end = arr.length - 1;\n for (; end >= 0; end--) {\n if (arr[end] !== '') break;\n }\n\n if (start > end) return [];\n return arr.slice(start, end - start + 1);\n }\n\n var fromParts = trim(from.split('/'));\n var toParts = trim(to.split('/'));\n\n var length = Math.min(fromParts.length, toParts.length);\n var samePartsLength = length;\n for (var i = 0; i < length; i++) {\n if (fromParts[i] !== toParts[i]) {\n samePartsLength = i;\n break;\n }\n }\n\n var outputParts = [];\n for (var i = samePartsLength; i < fromParts.length; i++) {\n outputParts.push('..');\n }\n\n outputParts = outputParts.concat(toParts.slice(samePartsLength));\n\n return outputParts.join('/');\n};\n\nexports.sep = '/';\nexports.delimiter = ':';\n\nexports.dirname = function (path) {\n if (typeof path !== 'string') path = path + '';\n if (path.length === 0) return '.';\n var code = path.charCodeAt(0);\n var hasRoot = code === 47 /*/*/;\n var end = -1;\n var matchedSlash = true;\n for (var i = path.length - 1; i >= 1; --i) {\n code = path.charCodeAt(i);\n if (code === 47 /*/*/) {\n if (!matchedSlash) {\n end = i;\n break;\n }\n } else {\n // We saw the first non-path separator\n matchedSlash = false;\n }\n }\n\n if (end === -1) return hasRoot ? '/' : '.';\n if (hasRoot && end === 1) {\n // return '//';\n // Backwards-compat fix:\n return '/';\n }\n return path.slice(0, end);\n};\n\nfunction basename(path) {\n if (typeof path !== 'string') path = path + '';\n\n var start = 0;\n var end = -1;\n var matchedSlash = true;\n var i;\n\n for (i = path.length - 1; i >= 0; --i) {\n if (path.charCodeAt(i) === 47 /*/*/) {\n // If we reached a path separator that was not part of a set of path\n // separators at the end of the string, stop now\n if (!matchedSlash) {\n start = i + 1;\n break;\n }\n } else if (end === -1) {\n // We saw the first non-path separator, mark this as the end of our\n // path component\n matchedSlash = false;\n end = i + 1;\n }\n }\n\n if (end === -1) return '';\n return path.slice(start, end);\n}\n\n// Uses a mixed approach for backwards-compatibility, as ext behavior changed\n// in new Node.js versions, so only basename() above is backported here\nexports.basename = function (path, ext) {\n var f = basename(path);\n if (ext && f.substr(-1 * ext.length) === ext) {\n f = f.substr(0, f.length - ext.length);\n }\n return f;\n};\n\nexports.extname = function (path) {\n if (typeof path !== 'string') path = path + '';\n var startDot = -1;\n var startPart = 0;\n var end = -1;\n var matchedSlash = true;\n // Track the state of characters (if any) we see before our first dot and\n // after any path separator we find\n var preDotState = 0;\n for (var i = path.length - 1; i >= 0; --i) {\n var code = path.charCodeAt(i);\n if (code === 47 /*/*/) {\n // If we reached a path separator that was not part of a set of path\n // separators at the end of the string, stop now\n if (!matchedSlash) {\n startPart = i + 1;\n break;\n }\n continue;\n }\n if (end === -1) {\n // We saw the first non-path separator, mark this as the end of our\n // extension\n matchedSlash = false;\n end = i + 1;\n }\n if (code === 46 /*.*/) {\n // If this is our first dot, mark it as the start of our extension\n if (startDot === -1)\n startDot = i;\n else if (preDotState !== 1)\n preDotState = 1;\n } else if (startDot !== -1) {\n // We saw a non-dot and non-path separator before our dot, so we should\n // have a good chance at having a non-empty extension\n preDotState = -1;\n }\n }\n\n if (startDot === -1 || end === -1 ||\n // We saw a non-dot character immediately before the dot\n preDotState === 0 ||\n // The (right-most) trimmed path component is exactly '..'\n preDotState === 1 && startDot === end - 1 && startDot === startPart + 1) {\n return '';\n }\n return path.slice(startDot, end);\n};\n\nfunction filter (xs, f) {\n if (xs.filter) return xs.filter(f);\n var res = [];\n for (var i = 0; i < xs.length; i++) {\n if (f(xs[i], i, xs)) res.push(xs[i]);\n }\n return res;\n}\n\n// String.prototype.substr - negative index don't work in IE8\nvar substr = 'ab'.substr(-1) === 'b'\n ? function (str, start, len) { return str.substr(start, len) }\n : function (str, start, len) {\n if (start < 0) start = str.length + start;\n return str.substr(start, len);\n }\n;\n","// Main parser class\n\n'use strict';\n\n\nvar utils = require('./common/utils');\nvar helpers = require('./helpers');\nvar Renderer = require('./renderer');\nvar ParserCore = require('./parser_core');\nvar ParserBlock = require('./parser_block');\nvar ParserInline = require('./parser_inline');\nvar LinkifyIt = require('linkify-it');\nvar mdurl = require('mdurl');\nvar punycode = require('punycode');\n\n\nvar config = {\n 'default': require('./presets/default'),\n zero: require('./presets/zero'),\n commonmark: require('./presets/commonmark')\n};\n\n////////////////////////////////////////////////////////////////////////////////\n//\n// This validator can prohibit more than really needed to prevent XSS. It's a\n// tradeoff to keep code simple and to be secure by default.\n//\n// If you need different setup - override validator method as you wish. Or\n// replace it with dummy function and use external sanitizer.\n//\n\nvar BAD_PROTO_RE = /^(vbscript|javascript|file|data):/;\nvar GOOD_DATA_RE = /^data:image\\/(gif|png|jpeg|webp);/;\n\nfunction validateLink(url) {\n // url should be normalized at this point, and existing entities are decoded\n var str = url.trim().toLowerCase();\n\n return BAD_PROTO_RE.test(str) ? (GOOD_DATA_RE.test(str) ? true : false) : true;\n}\n\n////////////////////////////////////////////////////////////////////////////////\n\n\nvar RECODE_HOSTNAME_FOR = [ 'http:', 'https:', 'mailto:' ];\n\nfunction normalizeLink(url) {\n var parsed = mdurl.parse(url, true);\n\n if (parsed.hostname) {\n // Encode hostnames in urls like:\n // `http://host/`, `https://host/`, `mailto:user@host`, `//host/`\n //\n // We don't encode unknown schemas, because it's likely that we encode\n // something we shouldn't (e.g. `skype:name` treated as `skype:host`)\n //\n if (!parsed.protocol || RECODE_HOSTNAME_FOR.indexOf(parsed.protocol) >= 0) {\n try {\n parsed.hostname = punycode.toASCII(parsed.hostname);\n } catch (er) { /**/ }\n }\n }\n\n return mdurl.encode(mdurl.format(parsed));\n}\n\nfunction normalizeLinkText(url) {\n var parsed = mdurl.parse(url, true);\n\n if (parsed.hostname) {\n // Encode hostnames in urls like:\n // `http://host/`, `https://host/`, `mailto:user@host`, `//host/`\n //\n // We don't encode unknown schemas, because it's likely that we encode\n // something we shouldn't (e.g. `skype:name` treated as `skype:host`)\n //\n if (!parsed.protocol || RECODE_HOSTNAME_FOR.indexOf(parsed.protocol) >= 0) {\n try {\n parsed.hostname = punycode.toUnicode(parsed.hostname);\n } catch (er) { /**/ }\n }\n }\n\n return mdurl.decode(mdurl.format(parsed));\n}\n\n\n/**\n * class MarkdownIt\n *\n * Main parser/renderer class.\n *\n * ##### Usage\n *\n * ```javascript\n * // node.js, \"classic\" way:\n * var MarkdownIt = require('markdown-it'),\n * md = new MarkdownIt();\n * var result = md.render('# markdown-it rulezz!');\n *\n * // node.js, the same, but with sugar:\n * var md = require('markdown-it')();\n * var result = md.render('# markdown-it rulezz!');\n *\n * // browser without AMD, added to \"window\" on script load\n * // Note, there are no dash.\n * var md = window.markdownit();\n * var result = md.render('# markdown-it rulezz!');\n * ```\n *\n * Single line rendering, without paragraph wrap:\n *\n * ```javascript\n * var md = require('markdown-it')();\n * var result = md.renderInline('__markdown-it__ rulezz!');\n * ```\n **/\n\n/**\n * new MarkdownIt([presetName, options])\n * - presetName (String): optional, `commonmark` / `zero`\n * - options (Object)\n *\n * Creates parser instanse with given config. Can be called without `new`.\n *\n * ##### presetName\n *\n * MarkdownIt provides named presets as a convenience to quickly\n * enable/disable active syntax rules and options for common use cases.\n *\n * - [\"commonmark\"](https://github.com/markdown-it/markdown-it/blob/master/lib/presets/commonmark.js) -\n * configures parser to strict [CommonMark](http://commonmark.org/) mode.\n * - [default](https://github.com/markdown-it/markdown-it/blob/master/lib/presets/default.js) -\n * similar to GFM, used when no preset name given. Enables all available rules,\n * but still without html, typographer & autolinker.\n * - [\"zero\"](https://github.com/markdown-it/markdown-it/blob/master/lib/presets/zero.js) -\n * all rules disabled. Useful to quickly setup your config via `.enable()`.\n * For example, when you need only `bold` and `italic` markup and nothing else.\n *\n * ##### options:\n *\n * - __html__ - `false`. Set `true` to enable HTML tags in source. Be careful!\n * That's not safe! You may need external sanitizer to protect output from XSS.\n * It's better to extend features via plugins, instead of enabling HTML.\n * - __xhtmlOut__ - `false`. Set `true` to add '/' when closing single tags\n * (`<br />`). This is needed only for full CommonMark compatibility. In real\n * world you will need HTML output.\n * - __breaks__ - `false`. Set `true` to convert `\\n` in paragraphs into `<br>`.\n * - __langPrefix__ - `language-`. CSS language class prefix for fenced blocks.\n * Can be useful for external highlighters.\n * - __linkify__ - `false`. Set `true` to autoconvert URL-like text to links.\n * - __typographer__ - `false`. Set `true` to enable [some language-neutral\n * replacement](https://github.com/markdown-it/markdown-it/blob/master/lib/rules_core/replacements.js) +\n * quotes beautification (smartquotes).\n * - __quotes__ - `“”‘’`, String or Array. Double + single quotes replacement\n * pairs, when typographer enabled and smartquotes on. For example, you can\n * use `'«»„“'` for Russian, `'„“‚‘'` for German, and\n * `['«\\xA0', '\\xA0»', '‹\\xA0', '\\xA0›']` for French (including nbsp).\n * - __highlight__ - `null`. Highlighter function for fenced code blocks.\n * Highlighter `function (str, lang)` should return escaped HTML. It can also\n * return empty string if the source was not changed and should be escaped\n * externaly. If result starts with <pre... internal wrapper is skipped.\n *\n * ##### Example\n *\n * ```javascript\n * // commonmark mode\n * var md = require('markdown-it')('commonmark');\n *\n * // default mode\n * var md = require('markdown-it')();\n *\n * // enable everything\n * var md = require('markdown-it')({\n * html: true,\n * linkify: true,\n * typographer: true\n * });\n * ```\n *\n * ##### Syntax highlighting\n *\n * ```js\n * var hljs = require('highlight.js') // https://highlightjs.org/\n *\n * var md = require('markdown-it')({\n * highlight: function (str, lang) {\n * if (lang && hljs.getLanguage(lang)) {\n * try {\n * return hljs.highlight(lang, str, true).value;\n * } catch (__) {}\n * }\n *\n * return ''; // use external default escaping\n * }\n * });\n * ```\n *\n * Or with full wrapper override (if you need assign class to `<pre>`):\n *\n * ```javascript\n * var hljs = require('highlight.js') // https://highlightjs.org/\n *\n * // Actual default values\n * var md = require('markdown-it')({\n * highlight: function (str, lang) {\n * if (lang && hljs.getLanguage(lang)) {\n * try {\n * return '<pre class=\"hljs\"><code>' +\n * hljs.highlight(lang, str, true).value +\n * '</code></pre>';\n * } catch (__) {}\n * }\n *\n * return '<pre class=\"hljs\"><code>' + md.utils.escapeHtml(str) + '</code></pre>';\n * }\n * });\n * ```\n *\n **/\nfunction MarkdownIt(presetName, options) {\n if (!(this instanceof MarkdownIt)) {\n return new MarkdownIt(presetName, options);\n }\n\n if (!options) {\n if (!utils.isString(presetName)) {\n options = presetName || {};\n presetName = 'default';\n }\n }\n\n /**\n * MarkdownIt#inline -> ParserInline\n *\n * Instance of [[ParserInline]]. You may need it to add new rules when\n * writing plugins. For simple rules control use [[MarkdownIt.disable]] and\n * [[MarkdownIt.enable]].\n **/\n this.inline = new ParserInline();\n\n /**\n * MarkdownIt#block -> ParserBlock\n *\n * Instance of [[ParserBlock]]. You may need it to add new rules when\n * writing plugins. For simple rules control use [[MarkdownIt.disable]] and\n * [[MarkdownIt.enable]].\n **/\n this.block = new ParserBlock();\n\n /**\n * MarkdownIt#core -> Core\n *\n * Instance of [[Core]] chain executor. You may need it to add new rules when\n * writing plugins. For simple rules control use [[MarkdownIt.disable]] and\n * [[MarkdownIt.enable]].\n **/\n this.core = new ParserCore();\n\n /**\n * MarkdownIt#renderer -> Renderer\n *\n * Instance of [[Renderer]]. Use it to modify output look. Or to add rendering\n * rules for new token types, generated by plugins.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * function myToken(tokens, idx, options, env, self) {\n * //...\n * return result;\n * };\n *\n * md.renderer.rules['my_token'] = myToken\n * ```\n *\n * See [[Renderer]] docs and [source code](https://github.com/markdown-it/markdown-it/blob/master/lib/renderer.js).\n **/\n this.renderer = new Renderer();\n\n /**\n * MarkdownIt#linkify -> LinkifyIt\n *\n * [linkify-it](https://github.com/markdown-it/linkify-it) instance.\n * Used by [linkify](https://github.com/markdown-it/markdown-it/blob/master/lib/rules_core/linkify.js)\n * rule.\n **/\n this.linkify = new LinkifyIt();\n\n /**\n * MarkdownIt#validateLink(url) -> Boolean\n *\n * Link validation function. CommonMark allows too much in links. By default\n * we disable `javascript:`, `vbscript:`, `file:` schemas, and almost all `data:...` schemas\n * except some embedded image types.\n *\n * You can change this behaviour:\n *\n * ```javascript\n * var md = require('markdown-it')();\n * // enable everything\n * md.validateLink = function () { return true; }\n * ```\n **/\n this.validateLink = validateLink;\n\n /**\n * MarkdownIt#normalizeLink(url) -> String\n *\n * Function used to encode link url to a machine-readable format,\n * which includes url-encoding, punycode, etc.\n **/\n this.normalizeLink = normalizeLink;\n\n /**\n * MarkdownIt#normalizeLinkText(url) -> String\n *\n * Function used to decode link url to a human-readable format`\n **/\n this.normalizeLinkText = normalizeLinkText;\n\n\n // Expose utils & helpers for easy acces from plugins\n\n /**\n * MarkdownIt#utils -> utils\n *\n * Assorted utility functions, useful to write plugins. See details\n * [here](https://github.com/markdown-it/markdown-it/blob/master/lib/common/utils.js).\n **/\n this.utils = utils;\n\n /**\n * MarkdownIt#helpers -> helpers\n *\n * Link components parser functions, useful to write plugins. See details\n * [here](https://github.com/markdown-it/markdown-it/blob/master/lib/helpers).\n **/\n this.helpers = utils.assign({}, helpers);\n\n\n this.options = {};\n this.configure(presetName);\n\n if (options) { this.set(options); }\n}\n\n\n/** chainable\n * MarkdownIt.set(options)\n *\n * Set parser options (in the same format as in constructor). Probably, you\n * will never need it, but you can change options after constructor call.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')()\n * .set({ html: true, breaks: true })\n * .set({ typographer, true });\n * ```\n *\n * __Note:__ To achieve the best possible performance, don't modify a\n * `markdown-it` instance options on the fly. If you need multiple configurations\n * it's best to create multiple instances and initialize each with separate\n * config.\n **/\nMarkdownIt.prototype.set = function (options) {\n utils.assign(this.options, options);\n return this;\n};\n\n\n/** chainable, internal\n * MarkdownIt.configure(presets)\n *\n * Batch load of all options and compenent settings. This is internal method,\n * and you probably will not need it. But if you will - see available presets\n * and data structure [here](https://github.com/markdown-it/markdown-it/tree/master/lib/presets)\n *\n * We strongly recommend to use presets instead of direct config loads. That\n * will give better compatibility with next versions.\n **/\nMarkdownIt.prototype.configure = function (presets) {\n var self = this, presetName;\n\n if (utils.isString(presets)) {\n presetName = presets;\n presets = config[presetName];\n if (!presets) { throw new Error('Wrong `markdown-it` preset \"' + presetName + '\", check name'); }\n }\n\n if (!presets) { throw new Error('Wrong `markdown-it` preset, can\\'t be empty'); }\n\n if (presets.options) { self.set(presets.options); }\n\n if (presets.components) {\n Object.keys(presets.components).forEach(function (name) {\n if (presets.components[name].rules) {\n self[name].ruler.enableOnly(presets.components[name].rules);\n }\n if (presets.components[name].rules2) {\n self[name].ruler2.enableOnly(presets.components[name].rules2);\n }\n });\n }\n return this;\n};\n\n\n/** chainable\n * MarkdownIt.enable(list, ignoreInvalid)\n * - list (String|Array): rule name or list of rule names to enable\n * - ignoreInvalid (Boolean): set `true` to ignore errors when rule not found.\n *\n * Enable list or rules. It will automatically find appropriate components,\n * containing rules with given names. If rule not found, and `ignoreInvalid`\n * not set - throws exception.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')()\n * .enable(['sub', 'sup'])\n * .disable('smartquotes');\n * ```\n **/\nMarkdownIt.prototype.enable = function (list, ignoreInvalid) {\n var result = [];\n\n if (!Array.isArray(list)) { list = [ list ]; }\n\n [ 'core', 'block', 'inline' ].forEach(function (chain) {\n result = result.concat(this[chain].ruler.enable(list, true));\n }, this);\n\n result = result.concat(this.inline.ruler2.enable(list, true));\n\n var missed = list.filter(function (name) { return result.indexOf(name) < 0; });\n\n if (missed.length && !ignoreInvalid) {\n throw new Error('MarkdownIt. Failed to enable unknown rule(s): ' + missed);\n }\n\n return this;\n};\n\n\n/** chainable\n * MarkdownIt.disable(list, ignoreInvalid)\n * - list (String|Array): rule name or list of rule names to disable.\n * - ignoreInvalid (Boolean): set `true` to ignore errors when rule not found.\n *\n * The same as [[MarkdownIt.enable]], but turn specified rules off.\n **/\nMarkdownIt.prototype.disable = function (list, ignoreInvalid) {\n var result = [];\n\n if (!Array.isArray(list)) { list = [ list ]; }\n\n [ 'core', 'block', 'inline' ].forEach(function (chain) {\n result = result.concat(this[chain].ruler.disable(list, true));\n }, this);\n\n result = result.concat(this.inline.ruler2.disable(list, true));\n\n var missed = list.filter(function (name) { return result.indexOf(name) < 0; });\n\n if (missed.length && !ignoreInvalid) {\n throw new Error('MarkdownIt. Failed to disable unknown rule(s): ' + missed);\n }\n return this;\n};\n\n\n/** chainable\n * MarkdownIt.use(plugin, params)\n *\n * Load specified plugin with given params into current parser instance.\n * It's just a sugar to call `plugin(md, params)` with curring.\n *\n * ##### Example\n *\n * ```javascript\n * var iterator = require('markdown-it-for-inline');\n * var md = require('markdown-it')()\n * .use(iterator, 'foo_replace', 'text', function (tokens, idx) {\n * tokens[idx].content = tokens[idx].content.replace(/foo/g, 'bar');\n * });\n * ```\n **/\nMarkdownIt.prototype.use = function (plugin /*, params, ... */) {\n var args = [ this ].concat(Array.prototype.slice.call(arguments, 1));\n plugin.apply(plugin, args);\n return this;\n};\n\n\n/** internal\n * MarkdownIt.parse(src, env) -> Array\n * - src (String): source string\n * - env (Object): environment sandbox\n *\n * Parse input string and return list of block tokens (special token type\n * \"inline\" will contain list of inline tokens). You should not call this\n * method directly, until you write custom renderer (for example, to produce\n * AST).\n *\n * `env` is used to pass data between \"distributed\" rules and return additional\n * metadata like reference info, needed for the renderer. It also can be used to\n * inject data in specific cases. Usually, you will be ok to pass `{}`,\n * and then pass updated object to renderer.\n **/\nMarkdownIt.prototype.parse = function (src, env) {\n if (typeof src !== 'string') {\n throw new Error('Input data should be a String');\n }\n\n var state = new this.core.State(src, this, env);\n\n this.core.process(state);\n\n return state.tokens;\n};\n\n\n/**\n * MarkdownIt.render(src [, env]) -> String\n * - src (String): source string\n * - env (Object): environment sandbox\n *\n * Render markdown string into html. It does all magic for you :).\n *\n * `env` can be used to inject additional metadata (`{}` by default).\n * But you will not need it with high probability. See also comment\n * in [[MarkdownIt.parse]].\n **/\nMarkdownIt.prototype.render = function (src, env) {\n env = env || {};\n\n return this.renderer.render(this.parse(src, env), this.options, env);\n};\n\n\n/** internal\n * MarkdownIt.parseInline(src, env) -> Array\n * - src (String): source string\n * - env (Object): environment sandbox\n *\n * The same as [[MarkdownIt.parse]] but skip all block rules. It returns the\n * block tokens list with the single `inline` element, containing parsed inline\n * tokens in `children` property. Also updates `env` object.\n **/\nMarkdownIt.prototype.parseInline = function (src, env) {\n var state = new this.core.State(src, this, env);\n\n state.inlineMode = true;\n this.core.process(state);\n\n return state.tokens;\n};\n\n\n/**\n * MarkdownIt.renderInline(src [, env]) -> String\n * - src (String): source string\n * - env (Object): environment sandbox\n *\n * Similar to [[MarkdownIt.render]] but for single paragraph content. Result\n * will NOT be wrapped into `<p>` tags.\n **/\nMarkdownIt.prototype.renderInline = function (src, env) {\n env = env || {};\n\n return this.renderer.render(this.parseInline(src, env), this.options, env);\n};\n\n\nmodule.exports = MarkdownIt;\n","\n'use strict';\n\n\nvar encodeCache = {};\n\n\n// Create a lookup array where anything but characters in `chars` string\n// and alphanumeric chars is percent-encoded.\n//\nfunction getEncodeCache(exclude) {\n var i, ch, cache = encodeCache[exclude];\n if (cache) { return cache; }\n\n cache = encodeCache[exclude] = [];\n\n for (i = 0; i < 128; i++) {\n ch = String.fromCharCode(i);\n\n if (/^[0-9a-z]$/i.test(ch)) {\n // always allow unencoded alphanumeric characters\n cache.push(ch);\n } else {\n cache.push('%' + ('0' + i.toString(16).toUpperCase()).slice(-2));\n }\n }\n\n for (i = 0; i < exclude.length; i++) {\n cache[exclude.charCodeAt(i)] = exclude[i];\n }\n\n return cache;\n}\n\n\n// Encode unsafe characters with percent-encoding, skipping already\n// encoded sequences.\n//\n// - string - string to encode\n// - exclude - list of characters to ignore (in addition to a-zA-Z0-9)\n// - keepEscaped - don't encode '%' in a correct escape sequence (default: true)\n//\nfunction encode(string, exclude, keepEscaped) {\n var i, l, code, nextCode, cache,\n result = '';\n\n if (typeof exclude !== 'string') {\n // encode(string, keepEscaped)\n keepEscaped = exclude;\n exclude = encode.defaultChars;\n }\n\n if (typeof keepEscaped === 'undefined') {\n keepEscaped = true;\n }\n\n cache = getEncodeCache(exclude);\n\n for (i = 0, l = string.length; i < l; i++) {\n code = string.charCodeAt(i);\n\n if (keepEscaped && code === 0x25 /* % */ && i + 2 < l) {\n if (/^[0-9a-f]{2}$/i.test(string.slice(i + 1, i + 3))) {\n result += string.slice(i, i + 3);\n i += 2;\n continue;\n }\n }\n\n if (code < 128) {\n result += cache[code];\n continue;\n }\n\n if (code >= 0xD800 && code <= 0xDFFF) {\n if (code >= 0xD800 && code <= 0xDBFF && i + 1 < l) {\n nextCode = string.charCodeAt(i + 1);\n if (nextCode >= 0xDC00 && nextCode <= 0xDFFF) {\n result += encodeURIComponent(string[i] + string[i + 1]);\n i++;\n continue;\n }\n }\n result += '%EF%BF%BD';\n continue;\n }\n\n result += encodeURIComponent(string[i]);\n }\n\n return result;\n}\n\nencode.defaultChars = \";/?:@&=+$,-_.!~*'()#\";\nencode.componentChars = \"-_.!~*'()\";\n\n\nmodule.exports = encode;\n","\n'use strict';\n\n\n/* eslint-disable no-bitwise */\n\nvar decodeCache = {};\n\nfunction getDecodeCache(exclude) {\n var i, ch, cache = decodeCache[exclude];\n if (cache) { return cache; }\n\n cache = decodeCache[exclude] = [];\n\n for (i = 0; i < 128; i++) {\n ch = String.fromCharCode(i);\n cache.push(ch);\n }\n\n for (i = 0; i < exclude.length; i++) {\n ch = exclude.charCodeAt(i);\n cache[ch] = '%' + ('0' + ch.toString(16).toUpperCase()).slice(-2);\n }\n\n return cache;\n}\n\n\n// Decode percent-encoded string.\n//\nfunction decode(string, exclude) {\n var cache;\n\n if (typeof exclude !== 'string') {\n exclude = decode.defaultChars;\n }\n\n cache = getDecodeCache(exclude);\n\n return string.replace(/(%[a-f0-9]{2})+/gi, function(seq) {\n var i, l, b1, b2, b3, b4, chr,\n result = '';\n\n for (i = 0, l = seq.length; i < l; i += 3) {\n b1 = parseInt(seq.slice(i + 1, i + 3), 16);\n\n if (b1 < 0x80) {\n result += cache[b1];\n continue;\n }\n\n if ((b1 & 0xE0) === 0xC0 && (i + 3 < l)) {\n // 110xxxxx 10xxxxxx\n b2 = parseInt(seq.slice(i + 4, i + 6), 16);\n\n if ((b2 & 0xC0) === 0x80) {\n chr = ((b1 << 6) & 0x7C0) | (b2 & 0x3F);\n\n if (chr < 0x80) {\n result += '\\ufffd\\ufffd';\n } else {\n result += String.fromCharCode(chr);\n }\n\n i += 3;\n continue;\n }\n }\n\n if ((b1 & 0xF0) === 0xE0 && (i + 6 < l)) {\n // 1110xxxx 10xxxxxx 10xxxxxx\n b2 = parseInt(seq.slice(i + 4, i + 6), 16);\n b3 = parseInt(seq.slice(i + 7, i + 9), 16);\n\n if ((b2 & 0xC0) === 0x80 && (b3 & 0xC0) === 0x80) {\n chr = ((b1 << 12) & 0xF000) | ((b2 << 6) & 0xFC0) | (b3 & 0x3F);\n\n if (chr < 0x800 || (chr >= 0xD800 && chr <= 0xDFFF)) {\n result += '\\ufffd\\ufffd\\ufffd';\n } else {\n result += String.fromCharCode(chr);\n }\n\n i += 6;\n continue;\n }\n }\n\n if ((b1 & 0xF8) === 0xF0 && (i + 9 < l)) {\n // 111110xx 10xxxxxx 10xxxxxx 10xxxxxx\n b2 = parseInt(seq.slice(i + 4, i + 6), 16);\n b3 = parseInt(seq.slice(i + 7, i + 9), 16);\n b4 = parseInt(seq.slice(i + 10, i + 12), 16);\n\n if ((b2 & 0xC0) === 0x80 && (b3 & 0xC0) === 0x80 && (b4 & 0xC0) === 0x80) {\n chr = ((b1 << 18) & 0x1C0000) | ((b2 << 12) & 0x3F000) | ((b3 << 6) & 0xFC0) | (b4 & 0x3F);\n\n if (chr < 0x10000 || chr > 0x10FFFF) {\n result += '\\ufffd\\ufffd\\ufffd\\ufffd';\n } else {\n chr -= 0x10000;\n result += String.fromCharCode(0xD800 + (chr >> 10), 0xDC00 + (chr & 0x3FF));\n }\n\n i += 9;\n continue;\n }\n }\n\n result += '\\ufffd';\n }\n\n return result;\n });\n}\n\n\ndecode.defaultChars = ';/?:@&=+$,#';\ndecode.componentChars = '';\n\n\nmodule.exports = decode;\n","\n'use strict';\n\n\nmodule.exports = function format(url) {\n var result = '';\n\n result += url.protocol || '';\n result += url.slashes ? '//' : '';\n result += url.auth ? url.auth + '@' : '';\n\n if (url.hostname && url.hostname.indexOf(':') !== -1) {\n // ipv6 address\n result += '[' + url.hostname + ']';\n } else {\n result += url.hostname || '';\n }\n\n result += url.port ? ':' + url.port : '';\n result += url.pathname || '';\n result += url.search || '';\n result += url.hash || '';\n\n return result;\n};\n","// Copyright Joyent, Inc. and other Node contributors.\n//\n// Permission is hereby granted, free of charge, to any person obtaining a\n// copy of this software and associated documentation files (the\n// \"Software\"), to deal in the Software without restriction, including\n// without limitation the rights to use, copy, modify, merge, publish,\n// distribute, sublicense, and/or sell copies of the Software, and to permit\n// persons to whom the Software is furnished to do so, subject to the\n// following conditions:\n//\n// The above copyright notice and this permission notice shall be included\n// in all copies or substantial portions of the Software.\n//\n// THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS\n// OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF\n// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN\n// NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM,\n// DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR\n// OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE\n// USE OR OTHER DEALINGS IN THE SOFTWARE.\n\n'use strict';\n\n//\n// Changes from joyent/node:\n//\n// 1. No leading slash in paths,\n// e.g. in `url.parse('http://foo?bar')` pathname is ``, not `/`\n//\n// 2. Backslashes are not replaced with slashes,\n// so `http:\\\\example.org\\` is treated like a relative path\n//\n// 3. Trailing colon is treated like a part of the path,\n// i.e. in `http://example.org:foo` pathname is `:foo`\n//\n// 4. Nothing is URL-encoded in the resulting object,\n// (in joyent/node some chars in auth and paths are encoded)\n//\n// 5. `url.parse()` does not have `parseQueryString` argument\n//\n// 6. Removed extraneous result properties: `host`, `path`, `query`, etc.,\n// which can be constructed using other parts of the url.\n//\n\n\nfunction Url() {\n this.protocol = null;\n this.slashes = null;\n this.auth = null;\n this.port = null;\n this.hostname = null;\n this.hash = null;\n this.search = null;\n this.pathname = null;\n}\n\n// Reference: RFC 3986, RFC 1808, RFC 2396\n\n// define these here so at least they only have to be\n// compiled once on the first module load.\nvar protocolPattern = /^([a-z0-9.+-]+:)/i,\n portPattern = /:[0-9]*$/,\n\n // Special case for a simple path URL\n simplePathPattern = /^(\\/\\/?(?!\\/)[^\\?\\s]*)(\\?[^\\s]*)?$/,\n\n // RFC 2396: characters reserved for delimiting URLs.\n // We actually just auto-escape these.\n delims = [ '<', '>', '\"', '`', ' ', '\\r', '\\n', '\\t' ],\n\n // RFC 2396: characters not allowed for various reasons.\n unwise = [ '{', '}', '|', '\\\\', '^', '`' ].concat(delims),\n\n // Allowed by RFCs, but cause of XSS attacks. Always escape these.\n autoEscape = [ '\\'' ].concat(unwise),\n // Characters that are never ever allowed in a hostname.\n // Note that any invalid chars are also handled, but these\n // are the ones that are *expected* to be seen, so we fast-path\n // them.\n nonHostChars = [ '%', '/', '?', ';', '#' ].concat(autoEscape),\n hostEndingChars = [ '/', '?', '#' ],\n hostnameMaxLen = 255,\n hostnamePartPattern = /^[+a-z0-9A-Z_-]{0,63}$/,\n hostnamePartStart = /^([+a-z0-9A-Z_-]{0,63})(.*)$/,\n // protocols that can allow \"unsafe\" and \"unwise\" chars.\n /* eslint-disable no-script-url */\n // protocols that never have a hostname.\n hostlessProtocol = {\n 'javascript': true,\n 'javascript:': true\n },\n // protocols that always contain a // bit.\n slashedProtocol = {\n 'http': true,\n 'https': true,\n 'ftp': true,\n 'gopher': true,\n 'file': true,\n 'http:': true,\n 'https:': true,\n 'ftp:': true,\n 'gopher:': true,\n 'file:': true\n };\n /* eslint-enable no-script-url */\n\nfunction urlParse(url, slashesDenoteHost) {\n if (url && url instanceof Url) { return url; }\n\n var u = new Url();\n u.parse(url, slashesDenoteHost);\n return u;\n}\n\nUrl.prototype.parse = function(url, slashesDenoteHost) {\n var i, l, lowerProto, hec, slashes,\n rest = url;\n\n // trim before proceeding.\n // This is to support parse stuff like \" http://foo.com \\n\"\n rest = rest.trim();\n\n if (!slashesDenoteHost && url.split('#').length === 1) {\n // Try fast path regexp\n var simplePath = simplePathPattern.exec(rest);\n if (simplePath) {\n this.pathname = simplePath[1];\n if (simplePath[2]) {\n this.search = simplePath[2];\n }\n return this;\n }\n }\n\n var proto = protocolPattern.exec(rest);\n if (proto) {\n proto = proto[0];\n lowerProto = proto.toLowerCase();\n this.protocol = proto;\n rest = rest.substr(proto.length);\n }\n\n // figure out if it's got a host\n // user@server is *always* interpreted as a hostname, and url\n // resolution will treat //foo/bar as host=foo,path=bar because that's\n // how the browser resolves relative URLs.\n if (slashesDenoteHost || proto || rest.match(/^\\/\\/[^@\\/]+@[^@\\/]+/)) {\n slashes = rest.substr(0, 2) === '//';\n if (slashes && !(proto && hostlessProtocol[proto])) {\n rest = rest.substr(2);\n this.slashes = true;\n }\n }\n\n if (!hostlessProtocol[proto] &&\n (slashes || (proto && !slashedProtocol[proto]))) {\n\n // there's a hostname.\n // the first instance of /, ?, ;, or # ends the host.\n //\n // If there is an @ in the hostname, then non-host chars *are* allowed\n // to the left of the last @ sign, unless some host-ending character\n // comes *before* the @-sign.\n // URLs are obnoxious.\n //\n // ex:\n // http://a@b@c/ => user:a@b host:c\n // http://a@b?@c => user:a host:c path:/?@c\n\n // v0.12 TODO(isaacs): This is not quite how Chrome does things.\n // Review our test case against browsers more comprehensively.\n\n // find the first instance of any hostEndingChars\n var hostEnd = -1;\n for (i = 0; i < hostEndingChars.length; i++) {\n hec = rest.indexOf(hostEndingChars[i]);\n if (hec !== -1 && (hostEnd === -1 || hec < hostEnd)) {\n hostEnd = hec;\n }\n }\n\n // at this point, either we have an explicit point where the\n // auth portion cannot go past, or the last @ char is the decider.\n var auth, atSign;\n if (hostEnd === -1) {\n // atSign can be anywhere.\n atSign = rest.lastIndexOf('@');\n } else {\n // atSign must be in auth portion.\n // http://a@b/c@d => host:b auth:a path:/c@d\n atSign = rest.lastIndexOf('@', hostEnd);\n }\n\n // Now we have a portion which is definitely the auth.\n // Pull that off.\n if (atSign !== -1) {\n auth = rest.slice(0, atSign);\n rest = rest.slice(atSign + 1);\n this.auth = auth;\n }\n\n // the host is the remaining to the left of the first non-host char\n hostEnd = -1;\n for (i = 0; i < nonHostChars.length; i++) {\n hec = rest.indexOf(nonHostChars[i]);\n if (hec !== -1 && (hostEnd === -1 || hec < hostEnd)) {\n hostEnd = hec;\n }\n }\n // if we still have not hit it, then the entire thing is a host.\n if (hostEnd === -1) {\n hostEnd = rest.length;\n }\n\n if (rest[hostEnd - 1] === ':') { hostEnd--; }\n var host = rest.slice(0, hostEnd);\n rest = rest.slice(hostEnd);\n\n // pull out port.\n this.parseHost(host);\n\n // we've indicated that there is a hostname,\n // so even if it's empty, it has to be present.\n this.hostname = this.hostname || '';\n\n // if hostname begins with [ and ends with ]\n // assume that it's an IPv6 address.\n var ipv6Hostname = this.hostname[0] === '[' &&\n this.hostname[this.hostname.length - 1] === ']';\n\n // validate a little.\n if (!ipv6Hostname) {\n var hostparts = this.hostname.split(/\\./);\n for (i = 0, l = hostparts.length; i < l; i++) {\n var part = hostparts[i];\n if (!part) { continue; }\n if (!part.match(hostnamePartPattern)) {\n var newpart = '';\n for (var j = 0, k = part.length; j < k; j++) {\n if (part.charCodeAt(j) > 127) {\n // we replace non-ASCII char with a temporary placeholder\n // we need this to make sure size of hostname is not\n // broken by replacing non-ASCII by nothing\n newpart += 'x';\n } else {\n newpart += part[j];\n }\n }\n // we test again with ASCII char only\n if (!newpart.match(hostnamePartPattern)) {\n var validParts = hostparts.slice(0, i);\n var notHost = hostparts.slice(i + 1);\n var bit = part.match(hostnamePartStart);\n if (bit) {\n validParts.push(bit[1]);\n notHost.unshift(bit[2]);\n }\n if (notHost.length) {\n rest = notHost.join('.') + rest;\n }\n this.hostname = validParts.join('.');\n break;\n }\n }\n }\n }\n\n if (this.hostname.length > hostnameMaxLen) {\n this.hostname = '';\n }\n\n // strip [ and ] from the hostname\n // the host field still retains them, though\n if (ipv6Hostname) {\n this.hostname = this.hostname.substr(1, this.hostname.length - 2);\n }\n }\n\n // chop off from the tail first.\n var hash = rest.indexOf('#');\n if (hash !== -1) {\n // got a fragment string.\n this.hash = rest.substr(hash);\n rest = rest.slice(0, hash);\n }\n var qm = rest.indexOf('?');\n if (qm !== -1) {\n this.search = rest.substr(qm);\n rest = rest.slice(0, qm);\n }\n if (rest) { this.pathname = rest; }\n if (slashedProtocol[lowerProto] &&\n this.hostname && !this.pathname) {\n this.pathname = '';\n }\n\n return this;\n};\n\nUrl.prototype.parseHost = function(host) {\n var port = portPattern.exec(host);\n if (port) {\n port = port[0];\n if (port !== ':') {\n this.port = port.substr(1);\n }\n host = host.substr(0, host.length - port.length);\n }\n if (host) { this.hostname = host; }\n};\n\nmodule.exports = urlParse;\n","'use strict';\n\nexports.Any = require('./properties/Any/regex');\nexports.Cc = require('./categories/Cc/regex');\nexports.Cf = require('./categories/Cf/regex');\nexports.P = require('./categories/P/regex');\nexports.Z = require('./categories/Z/regex');\n","module.exports=/[\\xAD\\u0600-\\u0605\\u061C\\u06DD\\u070F\\u08E2\\u180E\\u200B-\\u200F\\u202A-\\u202E\\u2060-\\u2064\\u2066-\\u206F\\uFEFF\\uFFF9-\\uFFFB]|\\uD804[\\uDCBD\\uDCCD]|\\uD82F[\\uDCA0-\\uDCA3]|\\uD834[\\uDD73-\\uDD7A]|\\uDB40[\\uDC01\\uDC20-\\uDC7F]/","// Just a shortcut for bulk export\n'use strict';\n\n\nexports.parseLinkLabel = require('./parse_link_label');\nexports.parseLinkDestination = require('./parse_link_destination');\nexports.parseLinkTitle = require('./parse_link_title');\n","// Parse link label\n//\n// this function assumes that first character (\"[\") already matches;\n// returns the end of the label\n//\n'use strict';\n\nmodule.exports = function parseLinkLabel(state, start, disableNested) {\n var level, found, marker, prevPos,\n labelEnd = -1,\n max = state.posMax,\n oldPos = state.pos;\n\n state.pos = start + 1;\n level = 1;\n\n while (state.pos < max) {\n marker = state.src.charCodeAt(state.pos);\n if (marker === 0x5D /* ] */) {\n level--;\n if (level === 0) {\n found = true;\n break;\n }\n }\n\n prevPos = state.pos;\n state.md.inline.skipToken(state);\n if (marker === 0x5B /* [ */) {\n if (prevPos === state.pos - 1) {\n // increase level if we find text `[`, which is not a part of any token\n level++;\n } else if (disableNested) {\n state.pos = oldPos;\n return -1;\n }\n }\n }\n\n if (found) {\n labelEnd = state.pos;\n }\n\n // restore old state\n state.pos = oldPos;\n\n return labelEnd;\n};\n","// Parse link destination\n//\n'use strict';\n\n\nvar unescapeAll = require('../common/utils').unescapeAll;\n\n\nmodule.exports = function parseLinkDestination(str, pos, max) {\n var code, level,\n lines = 0,\n start = pos,\n result = {\n ok: false,\n pos: 0,\n lines: 0,\n str: ''\n };\n\n if (str.charCodeAt(pos) === 0x3C /* < */) {\n pos++;\n while (pos < max) {\n code = str.charCodeAt(pos);\n if (code === 0x0A /* \\n */) { return result; }\n if (code === 0x3E /* > */) {\n result.pos = pos + 1;\n result.str = unescapeAll(str.slice(start + 1, pos));\n result.ok = true;\n return result;\n }\n if (code === 0x5C /* \\ */ && pos + 1 < max) {\n pos += 2;\n continue;\n }\n\n pos++;\n }\n\n // no closing '>'\n return result;\n }\n\n // this should be ... } else { ... branch\n\n level = 0;\n while (pos < max) {\n code = str.charCodeAt(pos);\n\n if (code === 0x20) { break; }\n\n // ascii control characters\n if (code < 0x20 || code === 0x7F) { break; }\n\n if (code === 0x5C /* \\ */ && pos + 1 < max) {\n pos += 2;\n continue;\n }\n\n if (code === 0x28 /* ( */) {\n level++;\n }\n\n if (code === 0x29 /* ) */) {\n if (level === 0) { break; }\n level--;\n }\n\n pos++;\n }\n\n if (start === pos) { return result; }\n if (level !== 0) { return result; }\n\n result.str = unescapeAll(str.slice(start, pos));\n result.lines = lines;\n result.pos = pos;\n result.ok = true;\n return result;\n};\n","// Parse link title\n//\n'use strict';\n\n\nvar unescapeAll = require('../common/utils').unescapeAll;\n\n\nmodule.exports = function parseLinkTitle(str, pos, max) {\n var code,\n marker,\n lines = 0,\n start = pos,\n result = {\n ok: false,\n pos: 0,\n lines: 0,\n str: ''\n };\n\n if (pos >= max) { return result; }\n\n marker = str.charCodeAt(pos);\n\n if (marker !== 0x22 /* \" */ && marker !== 0x27 /* ' */ && marker !== 0x28 /* ( */) { return result; }\n\n pos++;\n\n // if opening marker is \"(\", switch it to closing marker \")\"\n if (marker === 0x28) { marker = 0x29; }\n\n while (pos < max) {\n code = str.charCodeAt(pos);\n if (code === marker) {\n result.pos = pos + 1;\n result.lines = lines;\n result.str = unescapeAll(str.slice(start + 1, pos));\n result.ok = true;\n return result;\n } else if (code === 0x0A) {\n lines++;\n } else if (code === 0x5C /* \\ */ && pos + 1 < max) {\n pos++;\n if (str.charCodeAt(pos) === 0x0A) {\n lines++;\n }\n }\n\n pos++;\n }\n\n return result;\n};\n","/**\n * class Renderer\n *\n * Generates HTML from parsed token stream. Each instance has independent\n * copy of rules. Those can be rewritten with ease. Also, you can add new\n * rules if you create plugin and adds new token types.\n **/\n'use strict';\n\n\nvar assign = require('./common/utils').assign;\nvar unescapeAll = require('./common/utils').unescapeAll;\nvar escapeHtml = require('./common/utils').escapeHtml;\n\n\n////////////////////////////////////////////////////////////////////////////////\n\nvar default_rules = {};\n\n\ndefault_rules.code_inline = function (tokens, idx, options, env, slf) {\n var token = tokens[idx];\n\n return '<code' + slf.renderAttrs(token) + '>' +\n escapeHtml(tokens[idx].content) +\n '</code>';\n};\n\n\ndefault_rules.code_block = function (tokens, idx, options, env, slf) {\n var token = tokens[idx];\n\n return '<pre' + slf.renderAttrs(token) + '><code>' +\n escapeHtml(tokens[idx].content) +\n '</code></pre>\\n';\n};\n\n\ndefault_rules.fence = function (tokens, idx, options, env, slf) {\n var token = tokens[idx],\n info = token.info ? unescapeAll(token.info).trim() : '',\n langName = '',\n highlighted, i, tmpAttrs, tmpToken;\n\n if (info) {\n langName = info.split(/\\s+/g)[0];\n }\n\n if (options.highlight) {\n highlighted = options.highlight(token.content, langName) || escapeHtml(token.content);\n } else {\n highlighted = escapeHtml(token.content);\n }\n\n if (highlighted.indexOf('<pre') === 0) {\n return highlighted + '\\n';\n }\n\n // If language exists, inject class gently, without modifying original token.\n // May be, one day we will add .clone() for token and simplify this part, but\n // now we prefer to keep things local.\n if (info) {\n i = token.attrIndex('class');\n tmpAttrs = token.attrs ? token.attrs.slice() : [];\n\n if (i < 0) {\n tmpAttrs.push([ 'class', options.langPrefix + langName ]);\n } else {\n tmpAttrs[i][1] += ' ' + options.langPrefix + langName;\n }\n\n // Fake token just to render attributes\n tmpToken = {\n attrs: tmpAttrs\n };\n\n return '<pre><code' + slf.renderAttrs(tmpToken) + '>'\n + highlighted\n + '</code></pre>\\n';\n }\n\n\n return '<pre><code' + slf.renderAttrs(token) + '>'\n + highlighted\n + '</code></pre>\\n';\n};\n\n\ndefault_rules.image = function (tokens, idx, options, env, slf) {\n var token = tokens[idx];\n\n // \"alt\" attr MUST be set, even if empty. Because it's mandatory and\n // should be placed on proper position for tests.\n //\n // Replace content with actual value\n\n token.attrs[token.attrIndex('alt')][1] =\n slf.renderInlineAsText(token.children, options, env);\n\n return slf.renderToken(tokens, idx, options);\n};\n\n\ndefault_rules.hardbreak = function (tokens, idx, options /*, env */) {\n return options.xhtmlOut ? '<br />\\n' : '<br>\\n';\n};\ndefault_rules.softbreak = function (tokens, idx, options /*, env */) {\n return options.breaks ? (options.xhtmlOut ? '<br />\\n' : '<br>\\n') : '\\n';\n};\n\n\ndefault_rules.text = function (tokens, idx /*, options, env */) {\n return escapeHtml(tokens[idx].content);\n};\n\n\ndefault_rules.html_block = function (tokens, idx /*, options, env */) {\n return tokens[idx].content;\n};\ndefault_rules.html_inline = function (tokens, idx /*, options, env */) {\n return tokens[idx].content;\n};\n\n\n/**\n * new Renderer()\n *\n * Creates new [[Renderer]] instance and fill [[Renderer#rules]] with defaults.\n **/\nfunction Renderer() {\n\n /**\n * Renderer#rules -> Object\n *\n * Contains render rules for tokens. Can be updated and extended.\n *\n * ##### Example\n *\n * ```javascript\n * var md = require('markdown-it')();\n *\n * md.renderer.rules.strong_open = function () { return '<b>'; };\n * md.renderer.rules.strong_close = function () { return '</b>'; };\n *\n * var result = md.renderInline(...);\n * ```\n *\n * Each rule is called as independent static function with fixed signature:\n *\n * ```javascript\n * function my_token_render(tokens, idx, options, env, renderer) {\n * // ...\n * return renderedHTML;\n * }\n * ```\n *\n * See [source code](https://github.com/markdown-it/markdown-it/blob/master/lib/renderer.js)\n * for more details and examples.\n **/\n this.rules = assign({}, default_rules);\n}\n\n\n/**\n * Renderer.renderAttrs(token) -> String\n *\n * Render token attributes to string.\n **/\nRenderer.prototype.renderAttrs = function renderAttrs(token) {\n var i, l, result;\n\n if (!token.attrs) { return ''; }\n\n result = '';\n\n for (i = 0, l = token.attrs.length; i < l; i++) {\n result += ' ' + escapeHtml(token.attrs[i][0]) + '=\"' + escapeHtml(token.attrs[i][1]) + '\"';\n }\n\n return result;\n};\n\n\n/**\n * Renderer.renderToken(tokens, idx, options) -> String\n * - tokens (Array): list of tokens\n * - idx (Numbed): token index to render\n * - options (Object): params of parser instance\n *\n * Default token renderer. Can be overriden by custom function\n * in [[Renderer#rules]].\n **/\nRenderer.prototype.renderToken = function renderToken(tokens, idx, options) {\n var nextToken,\n result = '',\n needLf = false,\n token = tokens[idx];\n\n // Tight list paragraphs\n if (token.hidden) {\n return '';\n }\n\n // Insert a newline between hidden paragraph and subsequent opening\n // block-level tag.\n //\n // For example, here we should insert a newline before blockquote:\n // - a\n // >\n //\n if (token.block && token.nesting !== -1 && idx && tokens[idx - 1].hidden) {\n result += '\\n';\n }\n\n // Add token name, e.g. `<img`\n result += (token.nesting === -1 ? '</' : '<') + token.tag;\n\n // Encode attributes, e.g. `<img src=\"foo\"`\n result += this.renderAttrs(token);\n\n // Add a slash for self-closing tags, e.g. `<img src=\"foo\" /`\n if (token.nesting === 0 && options.xhtmlOut) {\n result += ' /';\n }\n\n // Check if we need to add a newline after this tag\n if (token.block) {\n needLf = true;\n\n if (token.nesting === 1) {\n if (idx + 1 < tokens.length) {\n nextToken = tokens[idx + 1];\n\n if (nextToken.type === 'inline' || nextToken.hidden) {\n // Block-level tag containing an inline tag.\n //\n needLf = false;\n\n } else if (nextToken.nesting === -1 && nextToken.tag === token.tag) {\n // Opening tag + closing tag of the same type. E.g. `<li></li>`.\n //\n needLf = false;\n }\n }\n }\n }\n\n result += needLf ? '>\\n' : '>';\n\n return result;\n};\n\n\n/**\n * Renderer.renderInline(tokens, options, env) -> String\n * - tokens (Array): list on block tokens to renter\n * - options (Object): params of parser instance\n * - env (Object): additional data from parsed input (references, for example)\n *\n * The same as [[Renderer.render]], but for single token of `inline` type.\n **/\nRenderer.prototype.renderInline = function (tokens, options, env) {\n var type,\n result = '',\n rules = this.rules;\n\n for (var i = 0, len = tokens.length; i < len; i++) {\n type = tokens[i].type;\n\n if (typeof rules[type] !== 'undefined') {\n result += rules[type](tokens, i, options, env, this);\n } else {\n result += this.renderToken(tokens, i, options);\n }\n }\n\n return result;\n};\n\n\n/** internal\n * Renderer.renderInlineAsText(tokens, options, env) -> String\n * - tokens (Array): list on block tokens to renter\n * - options (Object): params of parser instance\n * - env (Object): additional data from parsed input (references, for example)\n *\n * Special kludge for image `alt` attributes to conform CommonMark spec.\n * Don't try to use it! Spec requires to show `alt` content with stripped markup,\n * instead of simple escaping.\n **/\nRenderer.prototype.renderInlineAsText = function (tokens, options, env) {\n var result = '';\n\n for (var i = 0, len = tokens.length; i < len; i++) {\n if (tokens[i].type === 'text') {\n result += tokens[i].content;\n } else if (tokens[i].type === 'image') {\n result += this.renderInlineAsText(tokens[i].children, options, env);\n }\n }\n\n return result;\n};\n\n\n/**\n * Renderer.render(tokens, options, env) -> String\n * - tokens (Array): list on block tokens to renter\n * - options (Object): params of parser instance\n * - env (Object): additional data from parsed input (references, for example)\n *\n * Takes token stream and generates HTML. Probably, you will never need to call\n * this method directly.\n **/\nRenderer.prototype.render = function (tokens, options, env) {\n var i, len, type,\n result = '',\n rules = this.rules;\n\n for (i = 0, len = tokens.length; i < len; i++) {\n type = tokens[i].type;\n\n if (type === 'inline') {\n result += this.renderInline(tokens[i].children, options, env);\n } else if (typeof rules[type] !== 'undefined') {\n result += rules[tokens[i].type](tokens, i, options, env, this);\n } else {\n result += this.renderToken(tokens, i, options, env);\n }\n }\n\n return result;\n};\n\nmodule.exports = Renderer;\n","/** internal\n * class Core\n *\n * Top-level rules executor. Glues block/inline parsers and does intermediate\n * transformations.\n **/\n'use strict';\n\n\nvar Ruler = require('./ruler');\n\n\nvar _rules = [\n [ 'normalize', require('./rules_core/normalize') ],\n [ 'block', require('./rules_core/block') ],\n [ 'inline', require('./rules_core/inline') ],\n [ 'linkify', require('./rules_core/linkify') ],\n [ 'replacements', require('./rules_core/replacements') ],\n [ 'smartquotes', require('./rules_core/smartquotes') ]\n];\n\n\n/**\n * new Core()\n **/\nfunction Core() {\n /**\n * Core#ruler -> Ruler\n *\n * [[Ruler]] instance. Keep configuration of core rules.\n **/\n this.ruler = new Ruler();\n\n for (var i = 0; i < _rules.length; i++) {\n this.ruler.push(_rules[i][0], _rules[i][1]);\n }\n}\n\n\n/**\n * Core.process(state)\n *\n * Executes core chain rules.\n **/\nCore.prototype.process = function (state) {\n var i, l, rules;\n\n rules = this.ruler.getRules('');\n\n for (i = 0, l = rules.length; i < l; i++) {\n rules[i](state);\n }\n};\n\nCore.prototype.State = require('./rules_core/state_core');\n\n\nmodule.exports = Core;\n","// Normalize input string\n\n'use strict';\n\n\n// https://spec.commonmark.org/0.29/#line-ending\nvar NEWLINES_RE = /\\r\\n?|\\n/g;\nvar NULL_RE = /\\0/g;\n\n\nmodule.exports = function normalize(state) {\n var str;\n\n // Normalize newlines\n str = state.src.replace(NEWLINES_RE, '\\n');\n\n // Replace NULL characters\n str = str.replace(NULL_RE, '\\uFFFD');\n\n state.src = str;\n};\n","'use strict';\n\n\nmodule.exports = function block(state) {\n var token;\n\n if (state.inlineMode) {\n token = new state.Token('inline', '', 0);\n token.content = state.src;\n token.map = [ 0, 1 ];\n token.children = [];\n state.tokens.push(token);\n } else {\n state.md.block.parse(state.src, state.md, state.env, state.tokens);\n }\n};\n","'use strict';\n\nmodule.exports = function inline(state) {\n var tokens = state.tokens, tok, i, l;\n\n // Parse inlines\n for (i = 0, l = tokens.length; i < l; i++) {\n tok = tokens[i];\n if (tok.type === 'inline') {\n state.md.inline.parse(tok.content, state.md, state.env, tok.children);\n }\n }\n};\n","// Replace link-like texts with link nodes.\n//\n// Currently restricted by `md.validateLink()` to http/https/ftp\n//\n'use strict';\n\n\nvar arrayReplaceAt = require('../common/utils').arrayReplaceAt;\n\n\nfunction isLinkOpen(str) {\n return /^<a[>\\s]/i.test(str);\n}\nfunction isLinkClose(str) {\n return /^<\\/a\\s*>/i.test(str);\n}\n\n\nmodule.exports = function linkify(state) {\n var i, j, l, tokens, token, currentToken, nodes, ln, text, pos, lastPos,\n level, htmlLinkLevel, url, fullUrl, urlText,\n blockTokens = state.tokens,\n links;\n\n if (!state.md.options.linkify) { return; }\n\n for (j = 0, l = blockTokens.length; j < l; j++) {\n if (blockTokens[j].type !== 'inline' ||\n !state.md.linkify.pretest(blockTokens[j].content)) {\n continue;\n }\n\n tokens = blockTokens[j].children;\n\n htmlLinkLevel = 0;\n\n // We scan from the end, to keep position when new tags added.\n // Use reversed logic in links start/end match\n for (i = tokens.length - 1; i >= 0; i--) {\n currentToken = tokens[i];\n\n // Skip content of markdown links\n if (currentToken.type === 'link_close') {\n i--;\n while (tokens[i].level !== currentToken.level && tokens[i].type !== 'link_open') {\n i--;\n }\n continue;\n }\n\n // Skip content of html tag links\n if (currentToken.type === 'html_inline') {\n if (isLinkOpen(currentToken.content) && htmlLinkLevel > 0) {\n htmlLinkLevel--;\n }\n if (isLinkClose(currentToken.content)) {\n htmlLinkLevel++;\n }\n }\n if (htmlLinkLevel > 0) { continue; }\n\n if (currentToken.type === 'text' && state.md.linkify.test(currentToken.content)) {\n\n text = currentToken.content;\n links = state.md.linkify.match(text);\n\n // Now split string to nodes\n nodes = [];\n level = currentToken.level;\n lastPos = 0;\n\n for (ln = 0; ln < links.length; ln++) {\n\n url = links[ln].url;\n fullUrl = state.md.normalizeLink(url);\n if (!state.md.validateLink(fullUrl)) { continue; }\n\n urlText = links[ln].text;\n\n // Linkifier might send raw hostnames like \"example.com\", where url\n // starts with domain name. So we prepend http:// in those cases,\n // and remove it afterwards.\n //\n if (!links[ln].schema) {\n urlText = state.md.normalizeLinkText('http://' + urlText).replace(/^http:\\/\\//, '');\n } else if (links[ln].schema === 'mailto:' && !/^mailto:/i.test(urlText)) {\n urlText = state.md.normalizeLinkText('mailto:' + urlText).replace(/^mailto:/, '');\n } else {\n urlText = state.md.normalizeLinkText(urlText);\n }\n\n pos = links[ln].index;\n\n if (pos > lastPos) {\n token = new state.Token('text', '', 0);\n token.content = text.slice(lastPos, pos);\n token.level = level;\n nodes.push(token);\n }\n\n token = new state.Token('link_open', 'a', 1);\n token.attrs = [ [ 'href', fullUrl ] ];\n token.level = level++;\n token.markup = 'linkify';\n token.info = 'auto';\n nodes.push(token);\n\n token = new state.Token('text', '', 0);\n token.content = urlText;\n token.level = level;\n nodes.push(token);\n\n token = new state.Token('link_close', 'a', -1);\n token.level = --level;\n token.markup = 'linkify';\n token.info = 'auto';\n nodes.push(token);\n\n lastPos = links[ln].lastIndex;\n }\n if (lastPos < text.length) {\n token = new state.Token('text', '', 0);\n token.content = text.slice(lastPos);\n token.level = level;\n nodes.push(token);\n }\n\n // replace current node\n blockTokens[j].children = tokens = arrayReplaceAt(tokens, i, nodes);\n }\n }\n }\n};\n","// Simple typographic replacements\n//\n// (c) (C) → ©\n// (tm) (TM) → ™\n// (r) (R) → ®\n// +- → ±\n// (p) (P) -> §\n// ... → … (also ?.... → ?.., !.... → !..)\n// ???????? → ???, !!!!! → !!!, `,,` → `,`\n// -- → &ndash;, --- → &mdash;\n//\n'use strict';\n\n// TODO:\n// - fractionals 1/2, 1/4, 3/4 -> ½, ¼, ¾\n// - miltiplication 2 x 4 -> 2 × 4\n\nvar RARE_RE = /\\+-|\\.\\.|\\?\\?\\?\\?|!!!!|,,|--/;\n\n// Workaround for phantomjs - need regex without /g flag,\n// or root check will fail every second time\nvar SCOPED_ABBR_TEST_RE = /\\((c|tm|r|p)\\)/i;\n\nvar SCOPED_ABBR_RE = /\\((c|tm|r|p)\\)/ig;\nvar SCOPED_ABBR = {\n c: '©',\n r: '®',\n p: '§',\n tm: '™'\n};\n\nfunction replaceFn(match, name) {\n return SCOPED_ABBR[name.toLowerCase()];\n}\n\nfunction replace_scoped(inlineTokens) {\n var i, token, inside_autolink = 0;\n\n for (i = inlineTokens.length - 1; i >= 0; i--) {\n token = inlineTokens[i];\n\n if (token.type === 'text' && !inside_autolink) {\n token.content = token.content.replace(SCOPED_ABBR_RE, replaceFn);\n }\n\n if (token.type === 'link_open' && token.info === 'auto') {\n inside_autolink--;\n }\n\n if (token.type === 'link_close' && token.info === 'auto') {\n inside_autolink++;\n }\n }\n}\n\nfunction replace_rare(inlineTokens) {\n var i, token, inside_autolink = 0;\n\n for (i = inlineTokens.length - 1; i >= 0; i--) {\n token = inlineTokens[i];\n\n if (token.type === 'text' && !inside_autolink) {\n if (RARE_RE.test(token.content)) {\n token.content = token.content\n .replace(/\\+-/g, '±')\n // .., ..., ....... -> …\n // but ?..... & !..... -> ?.. & !..\n .replace(/\\.{2,}/g, '…').replace(/([?!])…/g, '$1..')\n .replace(/([?!]){4,}/g, '$1$1$1').replace(/,{2,}/g, ',')\n // em-dash\n .replace(/(^|[^-])---(?=[^-]|$)/mg, '$1\\u2014')\n // en-dash\n .replace(/(^|\\s)--(?=\\s|$)/mg, '$1\\u2013')\n .replace(/(^|[^-\\s])--(?=[^-\\s]|$)/mg, '$1\\u2013');\n }\n }\n\n if (token.type === 'link_open' && token.info === 'auto') {\n inside_autolink--;\n }\n\n if (token.type === 'link_close' && token.info === 'auto') {\n inside_autolink++;\n }\n }\n}\n\n\nmodule.exports = function replace(state) {\n var blkIdx;\n\n if (!state.md.options.typographer) { return; }\n\n for (blkIdx = state.tokens.length - 1; blkIdx >= 0; blkIdx--) {\n\n if (state.tokens[blkIdx].type !== 'inline') { continue; }\n\n if (SCOPED_ABBR_TEST_RE.test(state.tokens[blkIdx].content)) {\n replace_scoped(state.tokens[blkIdx].children);\n }\n\n if (RARE_RE.test(state.tokens[blkIdx].content)) {\n replace_rare(state.tokens[blkIdx].children);\n }\n\n }\n};\n","// Convert straight quotation marks to typographic ones\n//\n'use strict';\n\n\nvar isWhiteSpace = require('../common/utils').isWhiteSpace;\nvar isPunctChar = require('../common/utils').isPunctChar;\nvar isMdAsciiPunct = require('../common/utils').isMdAsciiPunct;\n\nvar QUOTE_TEST_RE = /['\"]/;\nvar QUOTE_RE = /['\"]/g;\nvar APOSTROPHE = '\\u2019'; /* ’ */\n\n\nfunction replaceAt(str, index, ch) {\n return str.substr(0, index) + ch + str.substr(index + 1);\n}\n\nfunction process_inlines(tokens, state) {\n var i, token, text, t, pos, max, thisLevel, item, lastChar, nextChar,\n isLastPunctChar, isNextPunctChar, isLastWhiteSpace, isNextWhiteSpace,\n canOpen, canClose, j, isSingle, stack, openQuote, closeQuote;\n\n stack = [];\n\n for (i = 0; i < tokens.length; i++) {\n token = tokens[i];\n\n thisLevel = tokens[i].level;\n\n for (j = stack.length - 1; j >= 0; j--) {\n if (stack[j].level <= thisLevel) { break; }\n }\n stack.length = j + 1;\n\n if (token.type !== 'text') { continue; }\n\n text = token.content;\n pos = 0;\n max = text.length;\n\n /*eslint no-labels:0,block-scoped-var:0*/\n OUTER:\n while (pos < max) {\n QUOTE_RE.lastIndex = pos;\n t = QUOTE_RE.exec(text);\n if (!t) { break; }\n\n canOpen = canClose = true;\n pos = t.index + 1;\n isSingle = (t[0] === \"'\");\n\n // Find previous character,\n // default to space if it's the beginning of the line\n //\n lastChar = 0x20;\n\n if (t.index - 1 >= 0) {\n lastChar = text.charCodeAt(t.index - 1);\n } else {\n for (j = i - 1; j >= 0; j--) {\n if (tokens[j].type === 'softbreak' || tokens[j].type === 'hardbreak') break; // lastChar defaults to 0x20\n if (tokens[j].type !== 'text') continue;\n\n lastChar = tokens[j].content.charCodeAt(tokens[j].content.length - 1);\n break;\n }\n }\n\n // Find next character,\n // default to space if it's the end of the line\n //\n nextChar = 0x20;\n\n if (pos < max) {\n nextChar = text.charCodeAt(pos);\n } else {\n for (j = i + 1; j < tokens.length; j++) {\n if (tokens[j].type === 'softbreak' || tokens[j].type === 'hardbreak') break; // nextChar defaults to 0x20\n if (tokens[j].type !== 'text') continue;\n\n nextChar = tokens[j].content.charCodeAt(0);\n break;\n }\n }\n\n isLastPunctChar = isMdAsciiPunct(lastChar) || isPunctChar(String.fromCharCode(lastChar));\n isNextPunctChar = isMdAsciiPunct(nextChar) || isPunctChar(String.fromCharCode(nextChar));\n\n isLastWhiteSpace = isWhiteSpace(lastChar);\n isNextWhiteSpace = isWhiteSpace(nextChar);\n\n if (isNextWhiteSpace) {\n canOpen = false;\n } else if (isNextPunctChar) {\n if (!(isLastWhiteSpace || isLastPunctChar)) {\n canOpen = false;\n }\n }\n\n if (isLastWhiteSpace) {\n canClose = false;\n } else if (isLastPunctChar) {\n if (!(isNextWhiteSpace || isNextPunctChar)) {\n canClose = false;\n }\n }\n\n if (nextChar === 0x22 /* \" */ && t[0] === '\"') {\n if (lastChar >= 0x30 /* 0 */ && lastChar <= 0x39 /* 9 */) {\n // special case: 1\"\" - count first quote as an inch\n canClose = canOpen = false;\n }\n }\n\n if (canOpen && canClose) {\n // Replace quotes in the middle of punctuation sequence, but not\n // in the middle of the words, i.e.:\n //\n // 1. foo \" bar \" baz - not replaced\n // 2. foo-\"-bar-\"-baz - replaced\n // 3. foo\"bar\"baz - not replaced\n //\n canOpen = isLastPunctChar;\n canClose = isNextPunctChar;\n }\n\n if (!canOpen && !canClose) {\n // middle of word\n if (isSingle) {\n token.content = replaceAt(token.content, t.index, APOSTROPHE);\n }\n continue;\n }\n\n if (canClose) {\n // this could be a closing quote, rewind the stack to get a match\n for (j = stack.length - 1; j >= 0; j--) {\n item = stack[j];\n if (stack[j].level < thisLevel) { break; }\n if (item.single === isSingle && stack[j].level === thisLevel) {\n item = stack[j];\n\n if (isSingle) {\n openQuote = state.md.options.quotes[2];\n closeQuote = state.md.options.quotes[3];\n } else {\n openQuote = state.md.options.quotes[0];\n closeQuote = state.md.options.quotes[1];\n }\n\n // replace token.content *before* tokens[item.token].content,\n // because, if they are pointing at the same token, replaceAt\n // could mess up indices when quote length != 1\n token.content = replaceAt(token.content, t.index, closeQuote);\n tokens[item.token].content = replaceAt(\n tokens[item.token].content, item.pos, openQuote);\n\n pos += closeQuote.length - 1;\n if (item.token === i) { pos += openQuote.length - 1; }\n\n text = token.content;\n max = text.length;\n\n stack.length = j;\n continue OUTER;\n }\n }\n }\n\n if (canOpen) {\n stack.push({\n token: i,\n pos: t.index,\n single: isSingle,\n level: thisLevel\n });\n } else if (canClose && isSingle) {\n token.content = replaceAt(token.content, t.index, APOSTROPHE);\n }\n }\n }\n}\n\n\nmodule.exports = function smartquotes(state) {\n /*eslint max-depth:0*/\n var blkIdx;\n\n if (!state.md.options.typographer) { return; }\n\n for (blkIdx = state.tokens.length - 1; blkIdx >= 0; blkIdx--) {\n\n if (state.tokens[blkIdx].type !== 'inline' ||\n !QUOTE_TEST_RE.test(state.tokens[blkIdx].content)) {\n continue;\n }\n\n process_inlines(state.tokens[blkIdx].children, state);\n }\n};\n","// Core state object\n//\n'use strict';\n\nvar Token = require('../token');\n\n\nfunction StateCore(src, md, env) {\n this.src = src;\n this.env = env;\n this.tokens = [];\n this.inlineMode = false;\n this.md = md; // link to parser instance\n}\n\n// re-export Token class to use in core rules\nStateCore.prototype.Token = Token;\n\n\nmodule.exports = StateCore;\n","/** internal\n * class ParserBlock\n *\n * Block-level tokenizer.\n **/\n'use strict';\n\n\nvar Ruler = require('./ruler');\n\n\nvar _rules = [\n // First 2 params - rule name & source. Secondary array - list of rules,\n // which can be terminated by this one.\n [ 'table', require('./rules_block/table'), [ 'paragraph', 'reference' ] ],\n [ 'code', require('./rules_block/code') ],\n [ 'fence', require('./rules_block/fence'), [ 'paragraph', 'reference', 'blockquote', 'list' ] ],\n [ 'blockquote', require('./rules_block/blockquote'), [ 'paragraph', 'reference', 'blockquote', 'list' ] ],\n [ 'hr', require('./rules_block/hr'), [ 'paragraph', 'reference', 'blockquote', 'list' ] ],\n [ 'list', require('./rules_block/list'), [ 'paragraph', 'reference', 'blockquote' ] ],\n [ 'reference', require('./rules_block/reference') ],\n [ 'heading', require('./rules_block/heading'), [ 'paragraph', 'reference', 'blockquote' ] ],\n [ 'lheading', require('./rules_block/lheading') ],\n [ 'html_block', require('./rules_block/html_block'), [ 'paragraph', 'reference', 'blockquote' ] ],\n [ 'paragraph', require('./rules_block/paragraph') ]\n];\n\n\n/**\n * new ParserBlock()\n **/\nfunction ParserBlock() {\n /**\n * ParserBlock#ruler -> Ruler\n *\n * [[Ruler]] instance. Keep configuration of block rules.\n **/\n this.ruler = new Ruler();\n\n for (var i = 0; i < _rules.length; i++) {\n this.ruler.push(_rules[i][0], _rules[i][1], { alt: (_rules[i][2] || []).slice() });\n }\n}\n\n\n// Generate tokens for input range\n//\nParserBlock.prototype.tokenize = function (state, startLine, endLine) {\n var ok, i,\n rules = this.ruler.getRules(''),\n len = rules.length,\n line = startLine,\n hasEmptyLines = false,\n maxNesting = state.md.options.maxNesting;\n\n while (line < endLine) {\n state.line = line = state.skipEmptyLines(line);\n if (line >= endLine) { break; }\n\n // Termination condition for nested calls.\n // Nested calls currently used for blockquotes & lists\n if (state.sCount[line] < state.blkIndent) { break; }\n\n // If nesting level exceeded - skip tail to the end. That's not ordinary\n // situation and we should not care about content.\n if (state.level >= maxNesting) {\n state.line = endLine;\n break;\n }\n\n // Try all possible rules.\n // On success, rule should:\n //\n // - update `state.line`\n // - update `state.tokens`\n // - return true\n\n for (i = 0; i < len; i++) {\n ok = rules[i](state, line, endLine, false);\n if (ok) { break; }\n }\n\n // set state.tight if we had an empty line before current tag\n // i.e. latest empty line should not count\n state.tight = !hasEmptyLines;\n\n // paragraph might \"eat\" one newline after it in nested lists\n if (state.isEmpty(state.line - 1)) {\n hasEmptyLines = true;\n }\n\n line = state.line;\n\n if (line < endLine && state.isEmpty(line)) {\n hasEmptyLines = true;\n line++;\n state.line = line;\n }\n }\n};\n\n\n/**\n * ParserBlock.parse(str, md, env, outTokens)\n *\n * Process input string and push block tokens into `outTokens`\n **/\nParserBlock.prototype.parse = function (src, md, env, outTokens) {\n var state;\n\n if (!src) { return; }\n\n state = new this.State(src, md, env, outTokens);\n\n this.tokenize(state, state.line, state.lineMax);\n};\n\n\nParserBlock.prototype.State = require('./rules_block/state_block');\n\n\nmodule.exports = ParserBlock;\n","// GFM table, non-standard\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\nfunction getLine(state, line) {\n var pos = state.bMarks[line] + state.blkIndent,\n max = state.eMarks[line];\n\n return state.src.substr(pos, max - pos);\n}\n\nfunction escapedSplit(str) {\n var result = [],\n pos = 0,\n max = str.length,\n ch,\n escapes = 0,\n lastPos = 0,\n backTicked = false,\n lastBackTick = 0;\n\n ch = str.charCodeAt(pos);\n\n while (pos < max) {\n if (ch === 0x60/* ` */) {\n if (backTicked) {\n // make \\` close code sequence, but not open it;\n // the reason is: `\\` is correct code block\n backTicked = false;\n lastBackTick = pos;\n } else if (escapes % 2 === 0) {\n backTicked = true;\n lastBackTick = pos;\n }\n } else if (ch === 0x7c/* | */ && (escapes % 2 === 0) && !backTicked) {\n result.push(str.substring(lastPos, pos));\n lastPos = pos + 1;\n }\n\n if (ch === 0x5c/* \\ */) {\n escapes++;\n } else {\n escapes = 0;\n }\n\n pos++;\n\n // If there was an un-closed backtick, go back to just after\n // the last backtick, but as if it was a normal character\n if (pos === max && backTicked) {\n backTicked = false;\n pos = lastBackTick + 1;\n }\n\n ch = str.charCodeAt(pos);\n }\n\n result.push(str.substring(lastPos));\n\n return result;\n}\n\n\nmodule.exports = function table(state, startLine, endLine, silent) {\n var ch, lineText, pos, i, nextLine, columns, columnCount, token,\n aligns, t, tableLines, tbodyLines;\n\n // should have at least two lines\n if (startLine + 2 > endLine) { return false; }\n\n nextLine = startLine + 1;\n\n if (state.sCount[nextLine] < state.blkIndent) { return false; }\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[nextLine] - state.blkIndent >= 4) { return false; }\n\n // first character of the second line should be '|', '-', ':',\n // and no other characters are allowed but spaces;\n // basically, this is the equivalent of /^[-:|][-:|\\s]*$/ regexp\n\n pos = state.bMarks[nextLine] + state.tShift[nextLine];\n if (pos >= state.eMarks[nextLine]) { return false; }\n\n ch = state.src.charCodeAt(pos++);\n if (ch !== 0x7C/* | */ && ch !== 0x2D/* - */ && ch !== 0x3A/* : */) { return false; }\n\n while (pos < state.eMarks[nextLine]) {\n ch = state.src.charCodeAt(pos);\n\n if (ch !== 0x7C/* | */ && ch !== 0x2D/* - */ && ch !== 0x3A/* : */ && !isSpace(ch)) { return false; }\n\n pos++;\n }\n\n lineText = getLine(state, startLine + 1);\n\n columns = lineText.split('|');\n aligns = [];\n for (i = 0; i < columns.length; i++) {\n t = columns[i].trim();\n if (!t) {\n // allow empty columns before and after table, but not in between columns;\n // e.g. allow ` |---| `, disallow ` ---||--- `\n if (i === 0 || i === columns.length - 1) {\n continue;\n } else {\n return false;\n }\n }\n\n if (!/^:?-+:?$/.test(t)) { return false; }\n if (t.charCodeAt(t.length - 1) === 0x3A/* : */) {\n aligns.push(t.charCodeAt(0) === 0x3A/* : */ ? 'center' : 'right');\n } else if (t.charCodeAt(0) === 0x3A/* : */) {\n aligns.push('left');\n } else {\n aligns.push('');\n }\n }\n\n lineText = getLine(state, startLine).trim();\n if (lineText.indexOf('|') === -1) { return false; }\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n columns = escapedSplit(lineText.replace(/^\\||\\|$/g, ''));\n\n // header row will define an amount of columns in the entire table,\n // and align row shouldn't be smaller than that (the rest of the rows can)\n columnCount = columns.length;\n if (columnCount > aligns.length) { return false; }\n\n if (silent) { return true; }\n\n token = state.push('table_open', 'table', 1);\n token.map = tableLines = [ startLine, 0 ];\n\n token = state.push('thead_open', 'thead', 1);\n token.map = [ startLine, startLine + 1 ];\n\n token = state.push('tr_open', 'tr', 1);\n token.map = [ startLine, startLine + 1 ];\n\n for (i = 0; i < columns.length; i++) {\n token = state.push('th_open', 'th', 1);\n token.map = [ startLine, startLine + 1 ];\n if (aligns[i]) {\n token.attrs = [ [ 'style', 'text-align:' + aligns[i] ] ];\n }\n\n token = state.push('inline', '', 0);\n token.content = columns[i].trim();\n token.map = [ startLine, startLine + 1 ];\n token.children = [];\n\n token = state.push('th_close', 'th', -1);\n }\n\n token = state.push('tr_close', 'tr', -1);\n token = state.push('thead_close', 'thead', -1);\n\n token = state.push('tbody_open', 'tbody', 1);\n token.map = tbodyLines = [ startLine + 2, 0 ];\n\n for (nextLine = startLine + 2; nextLine < endLine; nextLine++) {\n if (state.sCount[nextLine] < state.blkIndent) { break; }\n\n lineText = getLine(state, nextLine).trim();\n if (lineText.indexOf('|') === -1) { break; }\n if (state.sCount[nextLine] - state.blkIndent >= 4) { break; }\n columns = escapedSplit(lineText.replace(/^\\||\\|$/g, ''));\n\n token = state.push('tr_open', 'tr', 1);\n for (i = 0; i < columnCount; i++) {\n token = state.push('td_open', 'td', 1);\n if (aligns[i]) {\n token.attrs = [ [ 'style', 'text-align:' + aligns[i] ] ];\n }\n\n token = state.push('inline', '', 0);\n token.content = columns[i] ? columns[i].trim() : '';\n token.children = [];\n\n token = state.push('td_close', 'td', -1);\n }\n token = state.push('tr_close', 'tr', -1);\n }\n token = state.push('tbody_close', 'tbody', -1);\n token = state.push('table_close', 'table', -1);\n\n tableLines[1] = tbodyLines[1] = nextLine;\n state.line = nextLine;\n return true;\n};\n","// Code block (4 spaces padded)\n\n'use strict';\n\n\nmodule.exports = function code(state, startLine, endLine/*, silent*/) {\n var nextLine, last, token;\n\n if (state.sCount[startLine] - state.blkIndent < 4) { return false; }\n\n last = nextLine = startLine + 1;\n\n while (nextLine < endLine) {\n if (state.isEmpty(nextLine)) {\n nextLine++;\n continue;\n }\n\n if (state.sCount[nextLine] - state.blkIndent >= 4) {\n nextLine++;\n last = nextLine;\n continue;\n }\n break;\n }\n\n state.line = last;\n\n token = state.push('code_block', 'code', 0);\n token.content = state.getLines(startLine, last, 4 + state.blkIndent, true);\n token.map = [ startLine, state.line ];\n\n return true;\n};\n","// fences (``` lang, ~~~ lang)\n\n'use strict';\n\n\nmodule.exports = function fence(state, startLine, endLine, silent) {\n var marker, len, params, nextLine, mem, token, markup,\n haveEndMarker = false,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine];\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n if (pos + 3 > max) { return false; }\n\n marker = state.src.charCodeAt(pos);\n\n if (marker !== 0x7E/* ~ */ && marker !== 0x60 /* ` */) {\n return false;\n }\n\n // scan marker length\n mem = pos;\n pos = state.skipChars(pos, marker);\n\n len = pos - mem;\n\n if (len < 3) { return false; }\n\n markup = state.src.slice(mem, pos);\n params = state.src.slice(pos, max);\n\n if (marker === 0x60 /* ` */) {\n if (params.indexOf(String.fromCharCode(marker)) >= 0) {\n return false;\n }\n }\n\n // Since start is found, we can report success here in validation mode\n if (silent) { return true; }\n\n // search end of block\n nextLine = startLine;\n\n for (;;) {\n nextLine++;\n if (nextLine >= endLine) {\n // unclosed block should be autoclosed by end of document.\n // also block seems to be autoclosed by end of parent\n break;\n }\n\n pos = mem = state.bMarks[nextLine] + state.tShift[nextLine];\n max = state.eMarks[nextLine];\n\n if (pos < max && state.sCount[nextLine] < state.blkIndent) {\n // non-empty line with negative indent should stop the list:\n // - ```\n // test\n break;\n }\n\n if (state.src.charCodeAt(pos) !== marker) { continue; }\n\n if (state.sCount[nextLine] - state.blkIndent >= 4) {\n // closing fence should be indented less than 4 spaces\n continue;\n }\n\n pos = state.skipChars(pos, marker);\n\n // closing code fence must be at least as long as the opening one\n if (pos - mem < len) { continue; }\n\n // make sure tail has spaces only\n pos = state.skipSpaces(pos);\n\n if (pos < max) { continue; }\n\n haveEndMarker = true;\n // found!\n break;\n }\n\n // If a fence has heading spaces, they should be removed from its inner block\n len = state.sCount[startLine];\n\n state.line = nextLine + (haveEndMarker ? 1 : 0);\n\n token = state.push('fence', 'code', 0);\n token.info = params;\n token.content = state.getLines(startLine + 1, nextLine, len, true);\n token.markup = markup;\n token.map = [ startLine, state.line ];\n\n return true;\n};\n","// Block quotes\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function blockquote(state, startLine, endLine, silent) {\n var adjustTab,\n ch,\n i,\n initial,\n l,\n lastLineEmpty,\n lines,\n nextLine,\n offset,\n oldBMarks,\n oldBSCount,\n oldIndent,\n oldParentType,\n oldSCount,\n oldTShift,\n spaceAfterMarker,\n terminate,\n terminatorRules,\n token,\n wasOutdented,\n oldLineMax = state.lineMax,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine];\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n // check the block quote marker\n if (state.src.charCodeAt(pos++) !== 0x3E/* > */) { return false; }\n\n // we know that it's going to be a valid blockquote,\n // so no point trying to find the end of it in silent mode\n if (silent) { return true; }\n\n // skip spaces after \">\" and re-calculate offset\n initial = offset = state.sCount[startLine] + pos - (state.bMarks[startLine] + state.tShift[startLine]);\n\n // skip one optional space after '>'\n if (state.src.charCodeAt(pos) === 0x20 /* space */) {\n // ' > test '\n // ^ -- position start of line here:\n pos++;\n initial++;\n offset++;\n adjustTab = false;\n spaceAfterMarker = true;\n } else if (state.src.charCodeAt(pos) === 0x09 /* tab */) {\n spaceAfterMarker = true;\n\n if ((state.bsCount[startLine] + offset) % 4 === 3) {\n // ' >\\t test '\n // ^ -- position start of line here (tab has width===1)\n pos++;\n initial++;\n offset++;\n adjustTab = false;\n } else {\n // ' >\\t test '\n // ^ -- position start of line here + shift bsCount slightly\n // to make extra space appear\n adjustTab = true;\n }\n } else {\n spaceAfterMarker = false;\n }\n\n oldBMarks = [ state.bMarks[startLine] ];\n state.bMarks[startLine] = pos;\n\n while (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (isSpace(ch)) {\n if (ch === 0x09) {\n offset += 4 - (offset + state.bsCount[startLine] + (adjustTab ? 1 : 0)) % 4;\n } else {\n offset++;\n }\n } else {\n break;\n }\n\n pos++;\n }\n\n oldBSCount = [ state.bsCount[startLine] ];\n state.bsCount[startLine] = state.sCount[startLine] + 1 + (spaceAfterMarker ? 1 : 0);\n\n lastLineEmpty = pos >= max;\n\n oldSCount = [ state.sCount[startLine] ];\n state.sCount[startLine] = offset - initial;\n\n oldTShift = [ state.tShift[startLine] ];\n state.tShift[startLine] = pos - state.bMarks[startLine];\n\n terminatorRules = state.md.block.ruler.getRules('blockquote');\n\n oldParentType = state.parentType;\n state.parentType = 'blockquote';\n wasOutdented = false;\n\n // Search the end of the block\n //\n // Block ends with either:\n // 1. an empty line outside:\n // ```\n // > test\n //\n // ```\n // 2. an empty line inside:\n // ```\n // >\n // test\n // ```\n // 3. another tag:\n // ```\n // > test\n // - - -\n // ```\n for (nextLine = startLine + 1; nextLine < endLine; nextLine++) {\n // check if it's outdented, i.e. it's inside list item and indented\n // less than said list item:\n //\n // ```\n // 1. anything\n // > current blockquote\n // 2. checking this line\n // ```\n if (state.sCount[nextLine] < state.blkIndent) wasOutdented = true;\n\n pos = state.bMarks[nextLine] + state.tShift[nextLine];\n max = state.eMarks[nextLine];\n\n if (pos >= max) {\n // Case 1: line is not inside the blockquote, and this line is empty.\n break;\n }\n\n if (state.src.charCodeAt(pos++) === 0x3E/* > */ && !wasOutdented) {\n // This line is inside the blockquote.\n\n // skip spaces after \">\" and re-calculate offset\n initial = offset = state.sCount[nextLine] + pos - (state.bMarks[nextLine] + state.tShift[nextLine]);\n\n // skip one optional space after '>'\n if (state.src.charCodeAt(pos) === 0x20 /* space */) {\n // ' > test '\n // ^ -- position start of line here:\n pos++;\n initial++;\n offset++;\n adjustTab = false;\n spaceAfterMarker = true;\n } else if (state.src.charCodeAt(pos) === 0x09 /* tab */) {\n spaceAfterMarker = true;\n\n if ((state.bsCount[nextLine] + offset) % 4 === 3) {\n // ' >\\t test '\n // ^ -- position start of line here (tab has width===1)\n pos++;\n initial++;\n offset++;\n adjustTab = false;\n } else {\n // ' >\\t test '\n // ^ -- position start of line here + shift bsCount slightly\n // to make extra space appear\n adjustTab = true;\n }\n } else {\n spaceAfterMarker = false;\n }\n\n oldBMarks.push(state.bMarks[nextLine]);\n state.bMarks[nextLine] = pos;\n\n while (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (isSpace(ch)) {\n if (ch === 0x09) {\n offset += 4 - (offset + state.bsCount[nextLine] + (adjustTab ? 1 : 0)) % 4;\n } else {\n offset++;\n }\n } else {\n break;\n }\n\n pos++;\n }\n\n lastLineEmpty = pos >= max;\n\n oldBSCount.push(state.bsCount[nextLine]);\n state.bsCount[nextLine] = state.sCount[nextLine] + 1 + (spaceAfterMarker ? 1 : 0);\n\n oldSCount.push(state.sCount[nextLine]);\n state.sCount[nextLine] = offset - initial;\n\n oldTShift.push(state.tShift[nextLine]);\n state.tShift[nextLine] = pos - state.bMarks[nextLine];\n continue;\n }\n\n // Case 2: line is not inside the blockquote, and the last line was empty.\n if (lastLineEmpty) { break; }\n\n // Case 3: another tag found.\n terminate = false;\n for (i = 0, l = terminatorRules.length; i < l; i++) {\n if (terminatorRules[i](state, nextLine, endLine, true)) {\n terminate = true;\n break;\n }\n }\n\n if (terminate) {\n // Quirk to enforce \"hard termination mode\" for paragraphs;\n // normally if you call `tokenize(state, startLine, nextLine)`,\n // paragraphs will look below nextLine for paragraph continuation,\n // but if blockquote is terminated by another tag, they shouldn't\n state.lineMax = nextLine;\n\n if (state.blkIndent !== 0) {\n // state.blkIndent was non-zero, we now set it to zero,\n // so we need to re-calculate all offsets to appear as\n // if indent wasn't changed\n oldBMarks.push(state.bMarks[nextLine]);\n oldBSCount.push(state.bsCount[nextLine]);\n oldTShift.push(state.tShift[nextLine]);\n oldSCount.push(state.sCount[nextLine]);\n state.sCount[nextLine] -= state.blkIndent;\n }\n\n break;\n }\n\n oldBMarks.push(state.bMarks[nextLine]);\n oldBSCount.push(state.bsCount[nextLine]);\n oldTShift.push(state.tShift[nextLine]);\n oldSCount.push(state.sCount[nextLine]);\n\n // A negative indentation means that this is a paragraph continuation\n //\n state.sCount[nextLine] = -1;\n }\n\n oldIndent = state.blkIndent;\n state.blkIndent = 0;\n\n token = state.push('blockquote_open', 'blockquote', 1);\n token.markup = '>';\n token.map = lines = [ startLine, 0 ];\n\n state.md.block.tokenize(state, startLine, nextLine);\n\n token = state.push('blockquote_close', 'blockquote', -1);\n token.markup = '>';\n\n state.lineMax = oldLineMax;\n state.parentType = oldParentType;\n lines[1] = state.line;\n\n // Restore original tShift; this might not be necessary since the parser\n // has already been here, but just to make sure we can do that.\n for (i = 0; i < oldTShift.length; i++) {\n state.bMarks[i + startLine] = oldBMarks[i];\n state.tShift[i + startLine] = oldTShift[i];\n state.sCount[i + startLine] = oldSCount[i];\n state.bsCount[i + startLine] = oldBSCount[i];\n }\n state.blkIndent = oldIndent;\n\n return true;\n};\n","// Horizontal rule\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function hr(state, startLine, endLine, silent) {\n var marker, cnt, ch, token,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine];\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n marker = state.src.charCodeAt(pos++);\n\n // Check hr marker\n if (marker !== 0x2A/* * */ &&\n marker !== 0x2D/* - */ &&\n marker !== 0x5F/* _ */) {\n return false;\n }\n\n // markers can be mixed with spaces, but there should be at least 3 of them\n\n cnt = 1;\n while (pos < max) {\n ch = state.src.charCodeAt(pos++);\n if (ch !== marker && !isSpace(ch)) { return false; }\n if (ch === marker) { cnt++; }\n }\n\n if (cnt < 3) { return false; }\n\n if (silent) { return true; }\n\n state.line = startLine + 1;\n\n token = state.push('hr', 'hr', 0);\n token.map = [ startLine, state.line ];\n token.markup = Array(cnt + 1).join(String.fromCharCode(marker));\n\n return true;\n};\n","// Lists\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\n// Search `[-+*][\\n ]`, returns next pos after marker on success\n// or -1 on fail.\nfunction skipBulletListMarker(state, startLine) {\n var marker, pos, max, ch;\n\n pos = state.bMarks[startLine] + state.tShift[startLine];\n max = state.eMarks[startLine];\n\n marker = state.src.charCodeAt(pos++);\n // Check bullet\n if (marker !== 0x2A/* * */ &&\n marker !== 0x2D/* - */ &&\n marker !== 0x2B/* + */) {\n return -1;\n }\n\n if (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (!isSpace(ch)) {\n // \" -test \" - is not a list item\n return -1;\n }\n }\n\n return pos;\n}\n\n// Search `\\d+[.)][\\n ]`, returns next pos after marker on success\n// or -1 on fail.\nfunction skipOrderedListMarker(state, startLine) {\n var ch,\n start = state.bMarks[startLine] + state.tShift[startLine],\n pos = start,\n max = state.eMarks[startLine];\n\n // List marker should have at least 2 chars (digit + dot)\n if (pos + 1 >= max) { return -1; }\n\n ch = state.src.charCodeAt(pos++);\n\n if (ch < 0x30/* 0 */ || ch > 0x39/* 9 */) { return -1; }\n\n for (;;) {\n // EOL -> fail\n if (pos >= max) { return -1; }\n\n ch = state.src.charCodeAt(pos++);\n\n if (ch >= 0x30/* 0 */ && ch <= 0x39/* 9 */) {\n\n // List marker should have no more than 9 digits\n // (prevents integer overflow in browsers)\n if (pos - start >= 10) { return -1; }\n\n continue;\n }\n\n // found valid marker\n if (ch === 0x29/* ) */ || ch === 0x2e/* . */) {\n break;\n }\n\n return -1;\n }\n\n\n if (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (!isSpace(ch)) {\n // \" 1.test \" - is not a list item\n return -1;\n }\n }\n return pos;\n}\n\nfunction markTightParagraphs(state, idx) {\n var i, l,\n level = state.level + 2;\n\n for (i = idx + 2, l = state.tokens.length - 2; i < l; i++) {\n if (state.tokens[i].level === level && state.tokens[i].type === 'paragraph_open') {\n state.tokens[i + 2].hidden = true;\n state.tokens[i].hidden = true;\n i += 2;\n }\n }\n}\n\n\nmodule.exports = function list(state, startLine, endLine, silent) {\n var ch,\n contentStart,\n i,\n indent,\n indentAfterMarker,\n initial,\n isOrdered,\n itemLines,\n l,\n listLines,\n listTokIdx,\n markerCharCode,\n markerValue,\n max,\n nextLine,\n offset,\n oldListIndent,\n oldParentType,\n oldSCount,\n oldTShift,\n oldTight,\n pos,\n posAfterMarker,\n prevEmptyEnd,\n start,\n terminate,\n terminatorRules,\n token,\n isTerminatingParagraph = false,\n tight = true;\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n // Special case:\n // - item 1\n // - item 2\n // - item 3\n // - item 4\n // - this one is a paragraph continuation\n if (state.listIndent >= 0 &&\n state.sCount[startLine] - state.listIndent >= 4 &&\n state.sCount[startLine] < state.blkIndent) {\n return false;\n }\n\n // limit conditions when list can interrupt\n // a paragraph (validation mode only)\n if (silent && state.parentType === 'paragraph') {\n // Next list item should still terminate previous list item;\n //\n // This code can fail if plugins use blkIndent as well as lists,\n // but I hope the spec gets fixed long before that happens.\n //\n if (state.tShift[startLine] >= state.blkIndent) {\n isTerminatingParagraph = true;\n }\n }\n\n // Detect list type and position after marker\n if ((posAfterMarker = skipOrderedListMarker(state, startLine)) >= 0) {\n isOrdered = true;\n start = state.bMarks[startLine] + state.tShift[startLine];\n markerValue = Number(state.src.substr(start, posAfterMarker - start - 1));\n\n // If we're starting a new ordered list right after\n // a paragraph, it should start with 1.\n if (isTerminatingParagraph && markerValue !== 1) return false;\n\n } else if ((posAfterMarker = skipBulletListMarker(state, startLine)) >= 0) {\n isOrdered = false;\n\n } else {\n return false;\n }\n\n // If we're starting a new unordered list right after\n // a paragraph, first line should not be empty.\n if (isTerminatingParagraph) {\n if (state.skipSpaces(posAfterMarker) >= state.eMarks[startLine]) return false;\n }\n\n // We should terminate list on style change. Remember first one to compare.\n markerCharCode = state.src.charCodeAt(posAfterMarker - 1);\n\n // For validation mode we can terminate immediately\n if (silent) { return true; }\n\n // Start list\n listTokIdx = state.tokens.length;\n\n if (isOrdered) {\n token = state.push('ordered_list_open', 'ol', 1);\n if (markerValue !== 1) {\n token.attrs = [ [ 'start', markerValue ] ];\n }\n\n } else {\n token = state.push('bullet_list_open', 'ul', 1);\n }\n\n token.map = listLines = [ startLine, 0 ];\n token.markup = String.fromCharCode(markerCharCode);\n\n //\n // Iterate list items\n //\n\n nextLine = startLine;\n prevEmptyEnd = false;\n terminatorRules = state.md.block.ruler.getRules('list');\n\n oldParentType = state.parentType;\n state.parentType = 'list';\n\n while (nextLine < endLine) {\n pos = posAfterMarker;\n max = state.eMarks[nextLine];\n\n initial = offset = state.sCount[nextLine] + posAfterMarker - (state.bMarks[startLine] + state.tShift[startLine]);\n\n while (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (ch === 0x09) {\n offset += 4 - (offset + state.bsCount[nextLine]) % 4;\n } else if (ch === 0x20) {\n offset++;\n } else {\n break;\n }\n\n pos++;\n }\n\n contentStart = pos;\n\n if (contentStart >= max) {\n // trimming space in \"- \\n 3\" case, indent is 1 here\n indentAfterMarker = 1;\n } else {\n indentAfterMarker = offset - initial;\n }\n\n // If we have more than 4 spaces, the indent is 1\n // (the rest is just indented code block)\n if (indentAfterMarker > 4) { indentAfterMarker = 1; }\n\n // \" - test\"\n // ^^^^^ - calculating total length of this thing\n indent = initial + indentAfterMarker;\n\n // Run subparser & write tokens\n token = state.push('list_item_open', 'li', 1);\n token.markup = String.fromCharCode(markerCharCode);\n token.map = itemLines = [ startLine, 0 ];\n\n // change current state, then restore it after parser subcall\n oldTight = state.tight;\n oldTShift = state.tShift[startLine];\n oldSCount = state.sCount[startLine];\n\n // - example list\n // ^ listIndent position will be here\n // ^ blkIndent position will be here\n //\n oldListIndent = state.listIndent;\n state.listIndent = state.blkIndent;\n state.blkIndent = indent;\n\n state.tight = true;\n state.tShift[startLine] = contentStart - state.bMarks[startLine];\n state.sCount[startLine] = offset;\n\n if (contentStart >= max && state.isEmpty(startLine + 1)) {\n // workaround for this case\n // (list item is empty, list terminates before \"foo\"):\n // ~~~~~~~~\n // -\n //\n // foo\n // ~~~~~~~~\n state.line = Math.min(state.line + 2, endLine);\n } else {\n state.md.block.tokenize(state, startLine, endLine, true);\n }\n\n // If any of list item is tight, mark list as tight\n if (!state.tight || prevEmptyEnd) {\n tight = false;\n }\n // Item become loose if finish with empty line,\n // but we should filter last element, because it means list finish\n prevEmptyEnd = (state.line - startLine) > 1 && state.isEmpty(state.line - 1);\n\n state.blkIndent = state.listIndent;\n state.listIndent = oldListIndent;\n state.tShift[startLine] = oldTShift;\n state.sCount[startLine] = oldSCount;\n state.tight = oldTight;\n\n token = state.push('list_item_close', 'li', -1);\n token.markup = String.fromCharCode(markerCharCode);\n\n nextLine = startLine = state.line;\n itemLines[1] = nextLine;\n contentStart = state.bMarks[startLine];\n\n if (nextLine >= endLine) { break; }\n\n //\n // Try to check if list is terminated or continued.\n //\n if (state.sCount[nextLine] < state.blkIndent) { break; }\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { break; }\n\n // fail if terminating block found\n terminate = false;\n for (i = 0, l = terminatorRules.length; i < l; i++) {\n if (terminatorRules[i](state, nextLine, endLine, true)) {\n terminate = true;\n break;\n }\n }\n if (terminate) { break; }\n\n // fail if list has another type\n if (isOrdered) {\n posAfterMarker = skipOrderedListMarker(state, nextLine);\n if (posAfterMarker < 0) { break; }\n } else {\n posAfterMarker = skipBulletListMarker(state, nextLine);\n if (posAfterMarker < 0) { break; }\n }\n\n if (markerCharCode !== state.src.charCodeAt(posAfterMarker - 1)) { break; }\n }\n\n // Finalize list\n if (isOrdered) {\n token = state.push('ordered_list_close', 'ol', -1);\n } else {\n token = state.push('bullet_list_close', 'ul', -1);\n }\n token.markup = String.fromCharCode(markerCharCode);\n\n listLines[1] = nextLine;\n state.line = nextLine;\n\n state.parentType = oldParentType;\n\n // mark paragraphs tight if needed\n if (tight) {\n markTightParagraphs(state, listTokIdx);\n }\n\n return true;\n};\n","'use strict';\n\n\nvar normalizeReference = require('../common/utils').normalizeReference;\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function reference(state, startLine, _endLine, silent) {\n var ch,\n destEndPos,\n destEndLineNo,\n endLine,\n href,\n i,\n l,\n label,\n labelEnd,\n oldParentType,\n res,\n start,\n str,\n terminate,\n terminatorRules,\n title,\n lines = 0,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine],\n nextLine = startLine + 1;\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n if (state.src.charCodeAt(pos) !== 0x5B/* [ */) { return false; }\n\n // Simple check to quickly interrupt scan on [link](url) at the start of line.\n // Can be useful on practice: https://github.com/markdown-it/markdown-it/issues/54\n while (++pos < max) {\n if (state.src.charCodeAt(pos) === 0x5D /* ] */ &&\n state.src.charCodeAt(pos - 1) !== 0x5C/* \\ */) {\n if (pos + 1 === max) { return false; }\n if (state.src.charCodeAt(pos + 1) !== 0x3A/* : */) { return false; }\n break;\n }\n }\n\n endLine = state.lineMax;\n\n // jump line-by-line until empty one or EOF\n terminatorRules = state.md.block.ruler.getRules('reference');\n\n oldParentType = state.parentType;\n state.parentType = 'reference';\n\n for (; nextLine < endLine && !state.isEmpty(nextLine); nextLine++) {\n // this would be a code block normally, but after paragraph\n // it's considered a lazy continuation regardless of what's there\n if (state.sCount[nextLine] - state.blkIndent > 3) { continue; }\n\n // quirk for blockquotes, this line should already be checked by that rule\n if (state.sCount[nextLine] < 0) { continue; }\n\n // Some tags can terminate paragraph without empty line.\n terminate = false;\n for (i = 0, l = terminatorRules.length; i < l; i++) {\n if (terminatorRules[i](state, nextLine, endLine, true)) {\n terminate = true;\n break;\n }\n }\n if (terminate) { break; }\n }\n\n str = state.getLines(startLine, nextLine, state.blkIndent, false).trim();\n max = str.length;\n\n for (pos = 1; pos < max; pos++) {\n ch = str.charCodeAt(pos);\n if (ch === 0x5B /* [ */) {\n return false;\n } else if (ch === 0x5D /* ] */) {\n labelEnd = pos;\n break;\n } else if (ch === 0x0A /* \\n */) {\n lines++;\n } else if (ch === 0x5C /* \\ */) {\n pos++;\n if (pos < max && str.charCodeAt(pos) === 0x0A) {\n lines++;\n }\n }\n }\n\n if (labelEnd < 0 || str.charCodeAt(labelEnd + 1) !== 0x3A/* : */) { return false; }\n\n // [label]: destination 'title'\n // ^^^ skip optional whitespace here\n for (pos = labelEnd + 2; pos < max; pos++) {\n ch = str.charCodeAt(pos);\n if (ch === 0x0A) {\n lines++;\n } else if (isSpace(ch)) {\n /*eslint no-empty:0*/\n } else {\n break;\n }\n }\n\n // [label]: destination 'title'\n // ^^^^^^^^^^^ parse this\n res = state.md.helpers.parseLinkDestination(str, pos, max);\n if (!res.ok) { return false; }\n\n href = state.md.normalizeLink(res.str);\n if (!state.md.validateLink(href)) { return false; }\n\n pos = res.pos;\n lines += res.lines;\n\n // save cursor state, we could require to rollback later\n destEndPos = pos;\n destEndLineNo = lines;\n\n // [label]: destination 'title'\n // ^^^ skipping those spaces\n start = pos;\n for (; pos < max; pos++) {\n ch = str.charCodeAt(pos);\n if (ch === 0x0A) {\n lines++;\n } else if (isSpace(ch)) {\n /*eslint no-empty:0*/\n } else {\n break;\n }\n }\n\n // [label]: destination 'title'\n // ^^^^^^^ parse this\n res = state.md.helpers.parseLinkTitle(str, pos, max);\n if (pos < max && start !== pos && res.ok) {\n title = res.str;\n pos = res.pos;\n lines += res.lines;\n } else {\n title = '';\n pos = destEndPos;\n lines = destEndLineNo;\n }\n\n // skip trailing spaces until the rest of the line\n while (pos < max) {\n ch = str.charCodeAt(pos);\n if (!isSpace(ch)) { break; }\n pos++;\n }\n\n if (pos < max && str.charCodeAt(pos) !== 0x0A) {\n if (title) {\n // garbage at the end of the line after title,\n // but it could still be a valid reference if we roll back\n title = '';\n pos = destEndPos;\n lines = destEndLineNo;\n while (pos < max) {\n ch = str.charCodeAt(pos);\n if (!isSpace(ch)) { break; }\n pos++;\n }\n }\n }\n\n if (pos < max && str.charCodeAt(pos) !== 0x0A) {\n // garbage at the end of the line\n return false;\n }\n\n label = normalizeReference(str.slice(1, labelEnd));\n if (!label) {\n // CommonMark 0.20 disallows empty labels\n return false;\n }\n\n // Reference can not terminate anything. This check is for safety only.\n /*istanbul ignore if*/\n if (silent) { return true; }\n\n if (typeof state.env.references === 'undefined') {\n state.env.references = {};\n }\n if (typeof state.env.references[label] === 'undefined') {\n state.env.references[label] = { title: title, href: href };\n }\n\n state.parentType = oldParentType;\n\n state.line = startLine + lines + 1;\n return true;\n};\n","// heading (#, ##, ...)\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function heading(state, startLine, endLine, silent) {\n var ch, level, tmp, token,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine];\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n ch = state.src.charCodeAt(pos);\n\n if (ch !== 0x23/* # */ || pos >= max) { return false; }\n\n // count heading level\n level = 1;\n ch = state.src.charCodeAt(++pos);\n while (ch === 0x23/* # */ && pos < max && level <= 6) {\n level++;\n ch = state.src.charCodeAt(++pos);\n }\n\n if (level > 6 || (pos < max && !isSpace(ch))) { return false; }\n\n if (silent) { return true; }\n\n // Let's cut tails like ' ### ' from the end of string\n\n max = state.skipSpacesBack(max, pos);\n tmp = state.skipCharsBack(max, 0x23, pos); // #\n if (tmp > pos && isSpace(state.src.charCodeAt(tmp - 1))) {\n max = tmp;\n }\n\n state.line = startLine + 1;\n\n token = state.push('heading_open', 'h' + String(level), 1);\n token.markup = '########'.slice(0, level);\n token.map = [ startLine, state.line ];\n\n token = state.push('inline', '', 0);\n token.content = state.src.slice(pos, max).trim();\n token.map = [ startLine, state.line ];\n token.children = [];\n\n token = state.push('heading_close', 'h' + String(level), -1);\n token.markup = '########'.slice(0, level);\n\n return true;\n};\n","// lheading (---, ===)\n\n'use strict';\n\n\nmodule.exports = function lheading(state, startLine, endLine/*, silent*/) {\n var content, terminate, i, l, token, pos, max, level, marker,\n nextLine = startLine + 1, oldParentType,\n terminatorRules = state.md.block.ruler.getRules('paragraph');\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n oldParentType = state.parentType;\n state.parentType = 'paragraph'; // use paragraph to match terminatorRules\n\n // jump line-by-line until empty one or EOF\n for (; nextLine < endLine && !state.isEmpty(nextLine); nextLine++) {\n // this would be a code block normally, but after paragraph\n // it's considered a lazy continuation regardless of what's there\n if (state.sCount[nextLine] - state.blkIndent > 3) { continue; }\n\n //\n // Check for underline in setext header\n //\n if (state.sCount[nextLine] >= state.blkIndent) {\n pos = state.bMarks[nextLine] + state.tShift[nextLine];\n max = state.eMarks[nextLine];\n\n if (pos < max) {\n marker = state.src.charCodeAt(pos);\n\n if (marker === 0x2D/* - */ || marker === 0x3D/* = */) {\n pos = state.skipChars(pos, marker);\n pos = state.skipSpaces(pos);\n\n if (pos >= max) {\n level = (marker === 0x3D/* = */ ? 1 : 2);\n break;\n }\n }\n }\n }\n\n // quirk for blockquotes, this line should already be checked by that rule\n if (state.sCount[nextLine] < 0) { continue; }\n\n // Some tags can terminate paragraph without empty line.\n terminate = false;\n for (i = 0, l = terminatorRules.length; i < l; i++) {\n if (terminatorRules[i](state, nextLine, endLine, true)) {\n terminate = true;\n break;\n }\n }\n if (terminate) { break; }\n }\n\n if (!level) {\n // Didn't find valid underline\n return false;\n }\n\n content = state.getLines(startLine, nextLine, state.blkIndent, false).trim();\n\n state.line = nextLine + 1;\n\n token = state.push('heading_open', 'h' + String(level), 1);\n token.markup = String.fromCharCode(marker);\n token.map = [ startLine, state.line ];\n\n token = state.push('inline', '', 0);\n token.content = content;\n token.map = [ startLine, state.line - 1 ];\n token.children = [];\n\n token = state.push('heading_close', 'h' + String(level), -1);\n token.markup = String.fromCharCode(marker);\n\n state.parentType = oldParentType;\n\n return true;\n};\n","// HTML block\n\n'use strict';\n\n\nvar block_names = require('../common/html_blocks');\nvar HTML_OPEN_CLOSE_TAG_RE = require('../common/html_re').HTML_OPEN_CLOSE_TAG_RE;\n\n// An array of opening and corresponding closing sequences for html tags,\n// last argument defines whether it can terminate a paragraph or not\n//\nvar HTML_SEQUENCES = [\n [ /^<(script|pre|style)(?=(\\s|>|$))/i, /<\\/(script|pre|style)>/i, true ],\n [ /^<!--/, /-->/, true ],\n [ /^<\\?/, /\\?>/, true ],\n [ /^<![A-Z]/, />/, true ],\n [ /^<!\\[CDATA\\[/, /\\]\\]>/, true ],\n [ new RegExp('^</?(' + block_names.join('|') + ')(?=(\\\\s|/?>|$))', 'i'), /^$/, true ],\n [ new RegExp(HTML_OPEN_CLOSE_TAG_RE.source + '\\\\s*$'), /^$/, false ]\n];\n\n\nmodule.exports = function html_block(state, startLine, endLine, silent) {\n var i, nextLine, token, lineText,\n pos = state.bMarks[startLine] + state.tShift[startLine],\n max = state.eMarks[startLine];\n\n // if it's indented more than 3 spaces, it should be a code block\n if (state.sCount[startLine] - state.blkIndent >= 4) { return false; }\n\n if (!state.md.options.html) { return false; }\n\n if (state.src.charCodeAt(pos) !== 0x3C/* < */) { return false; }\n\n lineText = state.src.slice(pos, max);\n\n for (i = 0; i < HTML_SEQUENCES.length; i++) {\n if (HTML_SEQUENCES[i][0].test(lineText)) { break; }\n }\n\n if (i === HTML_SEQUENCES.length) { return false; }\n\n if (silent) {\n // true if this sequence can be a terminator, false otherwise\n return HTML_SEQUENCES[i][2];\n }\n\n nextLine = startLine + 1;\n\n // If we are here - we detected HTML block.\n // Let's roll down till block end.\n if (!HTML_SEQUENCES[i][1].test(lineText)) {\n for (; nextLine < endLine; nextLine++) {\n if (state.sCount[nextLine] < state.blkIndent) { break; }\n\n pos = state.bMarks[nextLine] + state.tShift[nextLine];\n max = state.eMarks[nextLine];\n lineText = state.src.slice(pos, max);\n\n if (HTML_SEQUENCES[i][1].test(lineText)) {\n if (lineText.length !== 0) { nextLine++; }\n break;\n }\n }\n }\n\n state.line = nextLine;\n\n token = state.push('html_block', '', 0);\n token.map = [ startLine, nextLine ];\n token.content = state.getLines(startLine, nextLine, state.blkIndent, true);\n\n return true;\n};\n","// List of valid html blocks names, accorting to commonmark spec\n// http://jgm.github.io/CommonMark/spec.html#html-blocks\n\n'use strict';\n\n\nmodule.exports = [\n 'address',\n 'article',\n 'aside',\n 'base',\n 'basefont',\n 'blockquote',\n 'body',\n 'caption',\n 'center',\n 'col',\n 'colgroup',\n 'dd',\n 'details',\n 'dialog',\n 'dir',\n 'div',\n 'dl',\n 'dt',\n 'fieldset',\n 'figcaption',\n 'figure',\n 'footer',\n 'form',\n 'frame',\n 'frameset',\n 'h1',\n 'h2',\n 'h3',\n 'h4',\n 'h5',\n 'h6',\n 'head',\n 'header',\n 'hr',\n 'html',\n 'iframe',\n 'legend',\n 'li',\n 'link',\n 'main',\n 'menu',\n 'menuitem',\n 'meta',\n 'nav',\n 'noframes',\n 'ol',\n 'optgroup',\n 'option',\n 'p',\n 'param',\n 'section',\n 'source',\n 'summary',\n 'table',\n 'tbody',\n 'td',\n 'tfoot',\n 'th',\n 'thead',\n 'title',\n 'tr',\n 'track',\n 'ul'\n];\n","// Paragraph\n\n'use strict';\n\n\nmodule.exports = function paragraph(state, startLine/*, endLine*/) {\n var content, terminate, i, l, token, oldParentType,\n nextLine = startLine + 1,\n terminatorRules = state.md.block.ruler.getRules('paragraph'),\n endLine = state.lineMax;\n\n oldParentType = state.parentType;\n state.parentType = 'paragraph';\n\n // jump line-by-line until empty one or EOF\n for (; nextLine < endLine && !state.isEmpty(nextLine); nextLine++) {\n // this would be a code block normally, but after paragraph\n // it's considered a lazy continuation regardless of what's there\n if (state.sCount[nextLine] - state.blkIndent > 3) { continue; }\n\n // quirk for blockquotes, this line should already be checked by that rule\n if (state.sCount[nextLine] < 0) { continue; }\n\n // Some tags can terminate paragraph without empty line.\n terminate = false;\n for (i = 0, l = terminatorRules.length; i < l; i++) {\n if (terminatorRules[i](state, nextLine, endLine, true)) {\n terminate = true;\n break;\n }\n }\n if (terminate) { break; }\n }\n\n content = state.getLines(startLine, nextLine, state.blkIndent, false).trim();\n\n state.line = nextLine;\n\n token = state.push('paragraph_open', 'p', 1);\n token.map = [ startLine, state.line ];\n\n token = state.push('inline', '', 0);\n token.content = content;\n token.map = [ startLine, state.line ];\n token.children = [];\n\n token = state.push('paragraph_close', 'p', -1);\n\n state.parentType = oldParentType;\n\n return true;\n};\n","// Parser state class\n\n'use strict';\n\nvar Token = require('../token');\nvar isSpace = require('../common/utils').isSpace;\n\n\nfunction StateBlock(src, md, env, tokens) {\n var ch, s, start, pos, len, indent, offset, indent_found;\n\n this.src = src;\n\n // link to parser instance\n this.md = md;\n\n this.env = env;\n\n //\n // Internal state vartiables\n //\n\n this.tokens = tokens;\n\n this.bMarks = []; // line begin offsets for fast jumps\n this.eMarks = []; // line end offsets for fast jumps\n this.tShift = []; // offsets of the first non-space characters (tabs not expanded)\n this.sCount = []; // indents for each line (tabs expanded)\n\n // An amount of virtual spaces (tabs expanded) between beginning\n // of each line (bMarks) and real beginning of that line.\n //\n // It exists only as a hack because blockquotes override bMarks\n // losing information in the process.\n //\n // It's used only when expanding tabs, you can think about it as\n // an initial tab length, e.g. bsCount=21 applied to string `\\t123`\n // means first tab should be expanded to 4-21%4 === 3 spaces.\n //\n this.bsCount = [];\n\n // block parser variables\n this.blkIndent = 0; // required block content indent (for example, if we are\n // inside a list, it would be positioned after list marker)\n this.line = 0; // line index in src\n this.lineMax = 0; // lines count\n this.tight = false; // loose/tight mode for lists\n this.ddIndent = -1; // indent of the current dd block (-1 if there isn't any)\n this.listIndent = -1; // indent of the current list block (-1 if there isn't any)\n\n // can be 'blockquote', 'list', 'root', 'paragraph' or 'reference'\n // used in lists to determine if they interrupt a paragraph\n this.parentType = 'root';\n\n this.level = 0;\n\n // renderer\n this.result = '';\n\n // Create caches\n // Generate markers.\n s = this.src;\n indent_found = false;\n\n for (start = pos = indent = offset = 0, len = s.length; pos < len; pos++) {\n ch = s.charCodeAt(pos);\n\n if (!indent_found) {\n if (isSpace(ch)) {\n indent++;\n\n if (ch === 0x09) {\n offset += 4 - offset % 4;\n } else {\n offset++;\n }\n continue;\n } else {\n indent_found = true;\n }\n }\n\n if (ch === 0x0A || pos === len - 1) {\n if (ch !== 0x0A) { pos++; }\n this.bMarks.push(start);\n this.eMarks.push(pos);\n this.tShift.push(indent);\n this.sCount.push(offset);\n this.bsCount.push(0);\n\n indent_found = false;\n indent = 0;\n offset = 0;\n start = pos + 1;\n }\n }\n\n // Push fake entry to simplify cache bounds checks\n this.bMarks.push(s.length);\n this.eMarks.push(s.length);\n this.tShift.push(0);\n this.sCount.push(0);\n this.bsCount.push(0);\n\n this.lineMax = this.bMarks.length - 1; // don't count last fake line\n}\n\n// Push new token to \"stream\".\n//\nStateBlock.prototype.push = function (type, tag, nesting) {\n var token = new Token(type, tag, nesting);\n token.block = true;\n\n if (nesting < 0) this.level--; // closing tag\n token.level = this.level;\n if (nesting > 0) this.level++; // opening tag\n\n this.tokens.push(token);\n return token;\n};\n\nStateBlock.prototype.isEmpty = function isEmpty(line) {\n return this.bMarks[line] + this.tShift[line] >= this.eMarks[line];\n};\n\nStateBlock.prototype.skipEmptyLines = function skipEmptyLines(from) {\n for (var max = this.lineMax; from < max; from++) {\n if (this.bMarks[from] + this.tShift[from] < this.eMarks[from]) {\n break;\n }\n }\n return from;\n};\n\n// Skip spaces from given position.\nStateBlock.prototype.skipSpaces = function skipSpaces(pos) {\n var ch;\n\n for (var max = this.src.length; pos < max; pos++) {\n ch = this.src.charCodeAt(pos);\n if (!isSpace(ch)) { break; }\n }\n return pos;\n};\n\n// Skip spaces from given position in reverse.\nStateBlock.prototype.skipSpacesBack = function skipSpacesBack(pos, min) {\n if (pos <= min) { return pos; }\n\n while (pos > min) {\n if (!isSpace(this.src.charCodeAt(--pos))) { return pos + 1; }\n }\n return pos;\n};\n\n// Skip char codes from given position\nStateBlock.prototype.skipChars = function skipChars(pos, code) {\n for (var max = this.src.length; pos < max; pos++) {\n if (this.src.charCodeAt(pos) !== code) { break; }\n }\n return pos;\n};\n\n// Skip char codes reverse from given position - 1\nStateBlock.prototype.skipCharsBack = function skipCharsBack(pos, code, min) {\n if (pos <= min) { return pos; }\n\n while (pos > min) {\n if (code !== this.src.charCodeAt(--pos)) { return pos + 1; }\n }\n return pos;\n};\n\n// cut lines range from source.\nStateBlock.prototype.getLines = function getLines(begin, end, indent, keepLastLF) {\n var i, lineIndent, ch, first, last, queue, lineStart,\n line = begin;\n\n if (begin >= end) {\n return '';\n }\n\n queue = new Array(end - begin);\n\n for (i = 0; line < end; line++, i++) {\n lineIndent = 0;\n lineStart = first = this.bMarks[line];\n\n if (line + 1 < end || keepLastLF) {\n // No need for bounds check because we have fake entry on tail.\n last = this.eMarks[line] + 1;\n } else {\n last = this.eMarks[line];\n }\n\n while (first < last && lineIndent < indent) {\n ch = this.src.charCodeAt(first);\n\n if (isSpace(ch)) {\n if (ch === 0x09) {\n lineIndent += 4 - (lineIndent + this.bsCount[line]) % 4;\n } else {\n lineIndent++;\n }\n } else if (first - lineStart < this.tShift[line]) {\n // patched tShift masked characters to look like spaces (blockquotes, list markers)\n lineIndent++;\n } else {\n break;\n }\n\n first++;\n }\n\n if (lineIndent > indent) {\n // partially expanding tabs in code blocks, e.g '\\t\\tfoobar'\n // with indent=2 becomes ' \\tfoobar'\n queue[i] = new Array(lineIndent - indent + 1).join(' ') + this.src.slice(first, last);\n } else {\n queue[i] = this.src.slice(first, last);\n }\n }\n\n return queue.join('');\n};\n\n// re-export Token class to use in block rules\nStateBlock.prototype.Token = Token;\n\n\nmodule.exports = StateBlock;\n","/** internal\n * class ParserInline\n *\n * Tokenizes paragraph content.\n **/\n'use strict';\n\n\nvar Ruler = require('./ruler');\n\n\n////////////////////////////////////////////////////////////////////////////////\n// Parser rules\n\nvar _rules = [\n [ 'text', require('./rules_inline/text') ],\n [ 'newline', require('./rules_inline/newline') ],\n [ 'escape', require('./rules_inline/escape') ],\n [ 'backticks', require('./rules_inline/backticks') ],\n [ 'strikethrough', require('./rules_inline/strikethrough').tokenize ],\n [ 'emphasis', require('./rules_inline/emphasis').tokenize ],\n [ 'link', require('./rules_inline/link') ],\n [ 'image', require('./rules_inline/image') ],\n [ 'autolink', require('./rules_inline/autolink') ],\n [ 'html_inline', require('./rules_inline/html_inline') ],\n [ 'entity', require('./rules_inline/entity') ]\n];\n\nvar _rules2 = [\n [ 'balance_pairs', require('./rules_inline/balance_pairs') ],\n [ 'strikethrough', require('./rules_inline/strikethrough').postProcess ],\n [ 'emphasis', require('./rules_inline/emphasis').postProcess ],\n [ 'text_collapse', require('./rules_inline/text_collapse') ]\n];\n\n\n/**\n * new ParserInline()\n **/\nfunction ParserInline() {\n var i;\n\n /**\n * ParserInline#ruler -> Ruler\n *\n * [[Ruler]] instance. Keep configuration of inline rules.\n **/\n this.ruler = new Ruler();\n\n for (i = 0; i < _rules.length; i++) {\n this.ruler.push(_rules[i][0], _rules[i][1]);\n }\n\n /**\n * ParserInline#ruler2 -> Ruler\n *\n * [[Ruler]] instance. Second ruler used for post-processing\n * (e.g. in emphasis-like rules).\n **/\n this.ruler2 = new Ruler();\n\n for (i = 0; i < _rules2.length; i++) {\n this.ruler2.push(_rules2[i][0], _rules2[i][1]);\n }\n}\n\n\n// Skip single token by running all rules in validation mode;\n// returns `true` if any rule reported success\n//\nParserInline.prototype.skipToken = function (state) {\n var ok, i, pos = state.pos,\n rules = this.ruler.getRules(''),\n len = rules.length,\n maxNesting = state.md.options.maxNesting,\n cache = state.cache;\n\n\n if (typeof cache[pos] !== 'undefined') {\n state.pos = cache[pos];\n return;\n }\n\n if (state.level < maxNesting) {\n for (i = 0; i < len; i++) {\n // Increment state.level and decrement it later to limit recursion.\n // It's harmless to do here, because no tokens are created. But ideally,\n // we'd need a separate private state variable for this purpose.\n //\n state.level++;\n ok = rules[i](state, true);\n state.level--;\n\n if (ok) { break; }\n }\n } else {\n // Too much nesting, just skip until the end of the paragraph.\n //\n // NOTE: this will cause links to behave incorrectly in the following case,\n // when an amount of `[` is exactly equal to `maxNesting + 1`:\n //\n // [[[[[[[[[[[[[[[[[[[[[foo]()\n //\n // TODO: remove this workaround when CM standard will allow nested links\n // (we can replace it by preventing links from being parsed in\n // validation mode)\n //\n state.pos = state.posMax;\n }\n\n if (!ok) { state.pos++; }\n cache[pos] = state.pos;\n};\n\n\n// Generate tokens for input range\n//\nParserInline.prototype.tokenize = function (state) {\n var ok, i,\n rules = this.ruler.getRules(''),\n len = rules.length,\n end = state.posMax,\n maxNesting = state.md.options.maxNesting;\n\n while (state.pos < end) {\n // Try all possible rules.\n // On success, rule should:\n //\n // - update `state.pos`\n // - update `state.tokens`\n // - return true\n\n if (state.level < maxNesting) {\n for (i = 0; i < len; i++) {\n ok = rules[i](state, false);\n if (ok) { break; }\n }\n }\n\n if (ok) {\n if (state.pos >= end) { break; }\n continue;\n }\n\n state.pending += state.src[state.pos++];\n }\n\n if (state.pending) {\n state.pushPending();\n }\n};\n\n\n/**\n * ParserInline.parse(str, md, env, outTokens)\n *\n * Process input string and push inline tokens into `outTokens`\n **/\nParserInline.prototype.parse = function (str, md, env, outTokens) {\n var i, rules, len;\n var state = new this.State(str, md, env, outTokens);\n\n this.tokenize(state);\n\n rules = this.ruler2.getRules('');\n len = rules.length;\n\n for (i = 0; i < len; i++) {\n rules[i](state);\n }\n};\n\n\nParserInline.prototype.State = require('./rules_inline/state_inline');\n\n\nmodule.exports = ParserInline;\n","// Skip text characters for text token, place those to pending buffer\n// and increment current pos\n\n'use strict';\n\n\n// Rule to skip pure text\n// '{}$%@~+=:' reserved for extentions\n\n// !, \", #, $, %, &, ', (, ), *, +, ,, -, ., /, :, ;, <, =, >, ?, @, [, \\, ], ^, _, `, {, |, }, or ~\n\n// !!!! Don't confuse with \"Markdown ASCII Punctuation\" chars\n// http://spec.commonmark.org/0.15/#ascii-punctuation-character\nfunction isTerminatorChar(ch) {\n switch (ch) {\n case 0x0A/* \\n */:\n case 0x21/* ! */:\n case 0x23/* # */:\n case 0x24/* $ */:\n case 0x25/* % */:\n case 0x26/* & */:\n case 0x2A/* * */:\n case 0x2B/* + */:\n case 0x2D/* - */:\n case 0x3A/* : */:\n case 0x3C/* < */:\n case 0x3D/* = */:\n case 0x3E/* > */:\n case 0x40/* @ */:\n case 0x5B/* [ */:\n case 0x5C/* \\ */:\n case 0x5D/* ] */:\n case 0x5E/* ^ */:\n case 0x5F/* _ */:\n case 0x60/* ` */:\n case 0x7B/* { */:\n case 0x7D/* } */:\n case 0x7E/* ~ */:\n return true;\n default:\n return false;\n }\n}\n\nmodule.exports = function text(state, silent) {\n var pos = state.pos;\n\n while (pos < state.posMax && !isTerminatorChar(state.src.charCodeAt(pos))) {\n pos++;\n }\n\n if (pos === state.pos) { return false; }\n\n if (!silent) { state.pending += state.src.slice(state.pos, pos); }\n\n state.pos = pos;\n\n return true;\n};\n\n// Alternative implementation, for memory.\n//\n// It costs 10% of performance, but allows extend terminators list, if place it\n// to `ParcerInline` property. Probably, will switch to it sometime, such\n// flexibility required.\n\n/*\nvar TERMINATOR_RE = /[\\n!#$%&*+\\-:<=>@[\\\\\\]^_`{}~]/;\n\nmodule.exports = function text(state, silent) {\n var pos = state.pos,\n idx = state.src.slice(pos).search(TERMINATOR_RE);\n\n // first char is terminator -> empty text\n if (idx === 0) { return false; }\n\n // no terminator -> text till end of string\n if (idx < 0) {\n if (!silent) { state.pending += state.src.slice(pos); }\n state.pos = state.src.length;\n return true;\n }\n\n if (!silent) { state.pending += state.src.slice(pos, pos + idx); }\n\n state.pos += idx;\n\n return true;\n};*/\n","// Proceess '\\n'\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function newline(state, silent) {\n var pmax, max, pos = state.pos;\n\n if (state.src.charCodeAt(pos) !== 0x0A/* \\n */) { return false; }\n\n pmax = state.pending.length - 1;\n max = state.posMax;\n\n // ' \\n' -> hardbreak\n // Lookup in pending chars is bad practice! Don't copy to other rules!\n // Pending string is stored in concat mode, indexed lookups will cause\n // convertion to flat mode.\n if (!silent) {\n if (pmax >= 0 && state.pending.charCodeAt(pmax) === 0x20) {\n if (pmax >= 1 && state.pending.charCodeAt(pmax - 1) === 0x20) {\n state.pending = state.pending.replace(/ +$/, '');\n state.push('hardbreak', 'br', 0);\n } else {\n state.pending = state.pending.slice(0, -1);\n state.push('softbreak', 'br', 0);\n }\n\n } else {\n state.push('softbreak', 'br', 0);\n }\n }\n\n pos++;\n\n // skip heading spaces for next line\n while (pos < max && isSpace(state.src.charCodeAt(pos))) { pos++; }\n\n state.pos = pos;\n return true;\n};\n","// Process escaped chars and hardbreaks\n\n'use strict';\n\nvar isSpace = require('../common/utils').isSpace;\n\nvar ESCAPED = [];\n\nfor (var i = 0; i < 256; i++) { ESCAPED.push(0); }\n\n'\\\\!\"#$%&\\'()*+,./:;<=>?@[]^_`{|}~-'\n .split('').forEach(function (ch) { ESCAPED[ch.charCodeAt(0)] = 1; });\n\n\nmodule.exports = function escape(state, silent) {\n var ch, pos = state.pos, max = state.posMax;\n\n if (state.src.charCodeAt(pos) !== 0x5C/* \\ */) { return false; }\n\n pos++;\n\n if (pos < max) {\n ch = state.src.charCodeAt(pos);\n\n if (ch < 256 && ESCAPED[ch] !== 0) {\n if (!silent) { state.pending += state.src[pos]; }\n state.pos += 2;\n return true;\n }\n\n if (ch === 0x0A) {\n if (!silent) {\n state.push('hardbreak', 'br', 0);\n }\n\n pos++;\n // skip leading whitespaces from next line\n while (pos < max) {\n ch = state.src.charCodeAt(pos);\n if (!isSpace(ch)) { break; }\n pos++;\n }\n\n state.pos = pos;\n return true;\n }\n }\n\n if (!silent) { state.pending += '\\\\'; }\n state.pos++;\n return true;\n};\n","// Parse backticks\n\n'use strict';\n\nmodule.exports = function backtick(state, silent) {\n var start, max, marker, matchStart, matchEnd, token,\n pos = state.pos,\n ch = state.src.charCodeAt(pos);\n\n if (ch !== 0x60/* ` */) { return false; }\n\n start = pos;\n pos++;\n max = state.posMax;\n\n while (pos < max && state.src.charCodeAt(pos) === 0x60/* ` */) { pos++; }\n\n marker = state.src.slice(start, pos);\n\n matchStart = matchEnd = pos;\n\n while ((matchStart = state.src.indexOf('`', matchEnd)) !== -1) {\n matchEnd = matchStart + 1;\n\n while (matchEnd < max && state.src.charCodeAt(matchEnd) === 0x60/* ` */) { matchEnd++; }\n\n if (matchEnd - matchStart === marker.length) {\n if (!silent) {\n token = state.push('code_inline', 'code', 0);\n token.markup = marker;\n token.content = state.src.slice(pos, matchStart)\n .replace(/\\n/g, ' ')\n .replace(/^ (.+) $/, '$1');\n }\n state.pos = matchEnd;\n return true;\n }\n }\n\n if (!silent) { state.pending += marker; }\n state.pos += marker.length;\n return true;\n};\n","// Process [link](<to> \"stuff\")\n\n'use strict';\n\nvar normalizeReference = require('../common/utils').normalizeReference;\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function link(state, silent) {\n var attrs,\n code,\n label,\n labelEnd,\n labelStart,\n pos,\n res,\n ref,\n title,\n token,\n href = '',\n oldPos = state.pos,\n max = state.posMax,\n start = state.pos,\n parseReference = true;\n\n if (state.src.charCodeAt(state.pos) !== 0x5B/* [ */) { return false; }\n\n labelStart = state.pos + 1;\n labelEnd = state.md.helpers.parseLinkLabel(state, state.pos, true);\n\n // parser failed to find ']', so it's not a valid link\n if (labelEnd < 0) { return false; }\n\n pos = labelEnd + 1;\n if (pos < max && state.src.charCodeAt(pos) === 0x28/* ( */) {\n //\n // Inline link\n //\n\n // might have found a valid shortcut link, disable reference parsing\n parseReference = false;\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n pos++;\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n if (pos >= max) { return false; }\n\n // [link]( <href> \"title\" )\n // ^^^^^^ parsing link destination\n start = pos;\n res = state.md.helpers.parseLinkDestination(state.src, pos, state.posMax);\n if (res.ok) {\n href = state.md.normalizeLink(res.str);\n if (state.md.validateLink(href)) {\n pos = res.pos;\n } else {\n href = '';\n }\n }\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n start = pos;\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n\n // [link]( <href> \"title\" )\n // ^^^^^^^ parsing link title\n res = state.md.helpers.parseLinkTitle(state.src, pos, state.posMax);\n if (pos < max && start !== pos && res.ok) {\n title = res.str;\n pos = res.pos;\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n } else {\n title = '';\n }\n\n if (pos >= max || state.src.charCodeAt(pos) !== 0x29/* ) */) {\n // parsing a valid shortcut link failed, fallback to reference\n parseReference = true;\n }\n pos++;\n }\n\n if (parseReference) {\n //\n // Link reference\n //\n if (typeof state.env.references === 'undefined') { return false; }\n\n if (pos < max && state.src.charCodeAt(pos) === 0x5B/* [ */) {\n start = pos + 1;\n pos = state.md.helpers.parseLinkLabel(state, pos);\n if (pos >= 0) {\n label = state.src.slice(start, pos++);\n } else {\n pos = labelEnd + 1;\n }\n } else {\n pos = labelEnd + 1;\n }\n\n // covers label === '' and label === undefined\n // (collapsed reference link and shortcut reference link respectively)\n if (!label) { label = state.src.slice(labelStart, labelEnd); }\n\n ref = state.env.references[normalizeReference(label)];\n if (!ref) {\n state.pos = oldPos;\n return false;\n }\n href = ref.href;\n title = ref.title;\n }\n\n //\n // We found the end of the link, and know for a fact it's a valid link;\n // so all that's left to do is to call tokenizer.\n //\n if (!silent) {\n state.pos = labelStart;\n state.posMax = labelEnd;\n\n token = state.push('link_open', 'a', 1);\n token.attrs = attrs = [ [ 'href', href ] ];\n if (title) {\n attrs.push([ 'title', title ]);\n }\n\n state.md.inline.tokenize(state);\n\n token = state.push('link_close', 'a', -1);\n }\n\n state.pos = pos;\n state.posMax = max;\n return true;\n};\n","// Process ![image](<src> \"title\")\n\n'use strict';\n\nvar normalizeReference = require('../common/utils').normalizeReference;\nvar isSpace = require('../common/utils').isSpace;\n\n\nmodule.exports = function image(state, silent) {\n var attrs,\n code,\n content,\n label,\n labelEnd,\n labelStart,\n pos,\n ref,\n res,\n title,\n token,\n tokens,\n start,\n href = '',\n oldPos = state.pos,\n max = state.posMax;\n\n if (state.src.charCodeAt(state.pos) !== 0x21/* ! */) { return false; }\n if (state.src.charCodeAt(state.pos + 1) !== 0x5B/* [ */) { return false; }\n\n labelStart = state.pos + 2;\n labelEnd = state.md.helpers.parseLinkLabel(state, state.pos + 1, false);\n\n // parser failed to find ']', so it's not a valid link\n if (labelEnd < 0) { return false; }\n\n pos = labelEnd + 1;\n if (pos < max && state.src.charCodeAt(pos) === 0x28/* ( */) {\n //\n // Inline link\n //\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n pos++;\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n if (pos >= max) { return false; }\n\n // [link]( <href> \"title\" )\n // ^^^^^^ parsing link destination\n start = pos;\n res = state.md.helpers.parseLinkDestination(state.src, pos, state.posMax);\n if (res.ok) {\n href = state.md.normalizeLink(res.str);\n if (state.md.validateLink(href)) {\n pos = res.pos;\n } else {\n href = '';\n }\n }\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n start = pos;\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n\n // [link]( <href> \"title\" )\n // ^^^^^^^ parsing link title\n res = state.md.helpers.parseLinkTitle(state.src, pos, state.posMax);\n if (pos < max && start !== pos && res.ok) {\n title = res.str;\n pos = res.pos;\n\n // [link]( <href> \"title\" )\n // ^^ skipping these spaces\n for (; pos < max; pos++) {\n code = state.src.charCodeAt(pos);\n if (!isSpace(code) && code !== 0x0A) { break; }\n }\n } else {\n title = '';\n }\n\n if (pos >= max || state.src.charCodeAt(pos) !== 0x29/* ) */) {\n state.pos = oldPos;\n return false;\n }\n pos++;\n } else {\n //\n // Link reference\n //\n if (typeof state.env.references === 'undefined') { return false; }\n\n if (pos < max && state.src.charCodeAt(pos) === 0x5B/* [ */) {\n start = pos + 1;\n pos = state.md.helpers.parseLinkLabel(state, pos);\n if (pos >= 0) {\n label = state.src.slice(start, pos++);\n } else {\n pos = labelEnd + 1;\n }\n } else {\n pos = labelEnd + 1;\n }\n\n // covers label === '' and label === undefined\n // (collapsed reference link and shortcut reference link respectively)\n if (!label) { label = state.src.slice(labelStart, labelEnd); }\n\n ref = state.env.references[normalizeReference(label)];\n if (!ref) {\n state.pos = oldPos;\n return false;\n }\n href = ref.href;\n title = ref.title;\n }\n\n //\n // We found the end of the link, and know for a fact it's a valid link;\n // so all that's left to do is to call tokenizer.\n //\n if (!silent) {\n content = state.src.slice(labelStart, labelEnd);\n\n state.md.inline.parse(\n content,\n state.md,\n state.env,\n tokens = []\n );\n\n token = state.push('image', 'img', 0);\n token.attrs = attrs = [ [ 'src', href ], [ 'alt', '' ] ];\n token.children = tokens;\n token.content = content;\n\n if (title) {\n attrs.push([ 'title', title ]);\n }\n }\n\n state.pos = pos;\n state.posMax = max;\n return true;\n};\n","// Process autolinks '<protocol:...>'\n\n'use strict';\n\n\n/*eslint max-len:0*/\nvar EMAIL_RE = /^<([a-zA-Z0-9.!#$%&'*+\\/=?^_`{|}~-]+@[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)*)>/;\nvar AUTOLINK_RE = /^<([a-zA-Z][a-zA-Z0-9+.\\-]{1,31}):([^<>\\x00-\\x20]*)>/;\n\n\nmodule.exports = function autolink(state, silent) {\n var tail, linkMatch, emailMatch, url, fullUrl, token,\n pos = state.pos;\n\n if (state.src.charCodeAt(pos) !== 0x3C/* < */) { return false; }\n\n tail = state.src.slice(pos);\n\n if (tail.indexOf('>') < 0) { return false; }\n\n if (AUTOLINK_RE.test(tail)) {\n linkMatch = tail.match(AUTOLINK_RE);\n\n url = linkMatch[0].slice(1, -1);\n fullUrl = state.md.normalizeLink(url);\n if (!state.md.validateLink(fullUrl)) { return false; }\n\n if (!silent) {\n token = state.push('link_open', 'a', 1);\n token.attrs = [ [ 'href', fullUrl ] ];\n token.markup = 'autolink';\n token.info = 'auto';\n\n token = state.push('text', '', 0);\n token.content = state.md.normalizeLinkText(url);\n\n token = state.push('link_close', 'a', -1);\n token.markup = 'autolink';\n token.info = 'auto';\n }\n\n state.pos += linkMatch[0].length;\n return true;\n }\n\n if (EMAIL_RE.test(tail)) {\n emailMatch = tail.match(EMAIL_RE);\n\n url = emailMatch[0].slice(1, -1);\n fullUrl = state.md.normalizeLink('mailto:' + url);\n if (!state.md.validateLink(fullUrl)) { return false; }\n\n if (!silent) {\n token = state.push('link_open', 'a', 1);\n token.attrs = [ [ 'href', fullUrl ] ];\n token.markup = 'autolink';\n token.info = 'auto';\n\n token = state.push('text', '', 0);\n token.content = state.md.normalizeLinkText(url);\n\n token = state.push('link_close', 'a', -1);\n token.markup = 'autolink';\n token.info = 'auto';\n }\n\n state.pos += emailMatch[0].length;\n return true;\n }\n\n return false;\n};\n","// Process html tags\n\n'use strict';\n\n\nvar HTML_TAG_RE = require('../common/html_re').HTML_TAG_RE;\n\n\nfunction isLetter(ch) {\n /*eslint no-bitwise:0*/\n var lc = ch | 0x20; // to lower case\n return (lc >= 0x61/* a */) && (lc <= 0x7a/* z */);\n}\n\n\nmodule.exports = function html_inline(state, silent) {\n var ch, match, max, token,\n pos = state.pos;\n\n if (!state.md.options.html) { return false; }\n\n // Check start\n max = state.posMax;\n if (state.src.charCodeAt(pos) !== 0x3C/* < */ ||\n pos + 2 >= max) {\n return false;\n }\n\n // Quick fail on second char\n ch = state.src.charCodeAt(pos + 1);\n if (ch !== 0x21/* ! */ &&\n ch !== 0x3F/* ? */ &&\n ch !== 0x2F/* / */ &&\n !isLetter(ch)) {\n return false;\n }\n\n match = state.src.slice(pos).match(HTML_TAG_RE);\n if (!match) { return false; }\n\n if (!silent) {\n token = state.push('html_inline', '', 0);\n token.content = state.src.slice(pos, pos + match[0].length);\n }\n state.pos += match[0].length;\n return true;\n};\n","// Process html entity - &#123;, &#xAF;, &quot;, ...\n\n'use strict';\n\nvar entities = require('../common/entities');\nvar has = require('../common/utils').has;\nvar isValidEntityCode = require('../common/utils').isValidEntityCode;\nvar fromCodePoint = require('../common/utils').fromCodePoint;\n\n\nvar DIGITAL_RE = /^&#((?:x[a-f0-9]{1,6}|[0-9]{1,7}));/i;\nvar NAMED_RE = /^&([a-z][a-z0-9]{1,31});/i;\n\n\nmodule.exports = function entity(state, silent) {\n var ch, code, match, pos = state.pos, max = state.posMax;\n\n if (state.src.charCodeAt(pos) !== 0x26/* & */) { return false; }\n\n if (pos + 1 < max) {\n ch = state.src.charCodeAt(pos + 1);\n\n if (ch === 0x23 /* # */) {\n match = state.src.slice(pos).match(DIGITAL_RE);\n if (match) {\n if (!silent) {\n code = match[1][0].toLowerCase() === 'x' ? parseInt(match[1].slice(1), 16) : parseInt(match[1], 10);\n state.pending += isValidEntityCode(code) ? fromCodePoint(code) : fromCodePoint(0xFFFD);\n }\n state.pos += match[0].length;\n return true;\n }\n } else {\n match = state.src.slice(pos).match(NAMED_RE);\n if (match) {\n if (has(entities, match[1])) {\n if (!silent) { state.pending += entities[match[1]]; }\n state.pos += match[0].length;\n return true;\n }\n }\n }\n }\n\n if (!silent) { state.pending += '&'; }\n state.pos++;\n return true;\n};\n","// For each opening emphasis-like marker find a matching closing one\n//\n'use strict';\n\n\nfunction processDelimiters(state, delimiters) {\n var closerIdx, openerIdx, closer, opener, minOpenerIdx, newMinOpenerIdx,\n isOddMatch, lastJump,\n openersBottom = {},\n max = delimiters.length;\n\n for (closerIdx = 0; closerIdx < max; closerIdx++) {\n closer = delimiters[closerIdx];\n\n // Length is only used for emphasis-specific \"rule of 3\",\n // if it's not defined (in strikethrough or 3rd party plugins),\n // we can default it to 0 to disable those checks.\n //\n closer.length = closer.length || 0;\n\n if (!closer.close) continue;\n\n // Previously calculated lower bounds (previous fails)\n // for each marker and each delimiter length modulo 3.\n if (!openersBottom.hasOwnProperty(closer.marker)) {\n openersBottom[closer.marker] = [ -1, -1, -1 ];\n }\n\n minOpenerIdx = openersBottom[closer.marker][closer.length % 3];\n newMinOpenerIdx = -1;\n\n openerIdx = closerIdx - closer.jump - 1;\n\n for (; openerIdx > minOpenerIdx; openerIdx -= opener.jump + 1) {\n opener = delimiters[openerIdx];\n\n if (opener.marker !== closer.marker) continue;\n\n if (newMinOpenerIdx === -1) newMinOpenerIdx = openerIdx;\n\n if (opener.open &&\n opener.end < 0 &&\n opener.level === closer.level) {\n\n isOddMatch = false;\n\n // from spec:\n //\n // If one of the delimiters can both open and close emphasis, then the\n // sum of the lengths of the delimiter runs containing the opening and\n // closing delimiters must not be a multiple of 3 unless both lengths\n // are multiples of 3.\n //\n if (opener.close || closer.open) {\n if ((opener.length + closer.length) % 3 === 0) {\n if (opener.length % 3 !== 0 || closer.length % 3 !== 0) {\n isOddMatch = true;\n }\n }\n }\n\n if (!isOddMatch) {\n // If previous delimiter cannot be an opener, we can safely skip\n // the entire sequence in future checks. This is required to make\n // sure algorithm has linear complexity (see *_*_*_*_*_... case).\n //\n lastJump = openerIdx > 0 && !delimiters[openerIdx - 1].open ?\n delimiters[openerIdx - 1].jump + 1 :\n 0;\n\n closer.jump = closerIdx - openerIdx + lastJump;\n closer.open = false;\n opener.end = closerIdx;\n opener.jump = lastJump;\n opener.close = false;\n newMinOpenerIdx = -1;\n break;\n }\n }\n }\n\n if (newMinOpenerIdx !== -1) {\n // If match for this delimiter run failed, we want to set lower bound for\n // future lookups. This is required to make sure algorithm has linear\n // complexity.\n //\n // See details here:\n // https://github.com/commonmark/cmark/issues/178#issuecomment-270417442\n //\n openersBottom[closer.marker][(closer.length || 0) % 3] = newMinOpenerIdx;\n }\n }\n}\n\n\nmodule.exports = function link_pairs(state) {\n var curr,\n tokens_meta = state.tokens_meta,\n max = state.tokens_meta.length;\n\n processDelimiters(state, state.delimiters);\n\n for (curr = 0; curr < max; curr++) {\n if (tokens_meta[curr] && tokens_meta[curr].delimiters) {\n processDelimiters(state, tokens_meta[curr].delimiters);\n }\n }\n};\n","// Clean up tokens after emphasis and strikethrough postprocessing:\n// merge adjacent text nodes into one and re-calculate all token levels\n//\n// This is necessary because initially emphasis delimiter markers (*, _, ~)\n// are treated as their own separate text tokens. Then emphasis rule either\n// leaves them as text (needed to merge with adjacent text) or turns them\n// into opening/closing tags (which messes up levels inside).\n//\n'use strict';\n\n\nmodule.exports = function text_collapse(state) {\n var curr, last,\n level = 0,\n tokens = state.tokens,\n max = state.tokens.length;\n\n for (curr = last = 0; curr < max; curr++) {\n // re-calculate levels after emphasis/strikethrough turns some text nodes\n // into opening/closing tags\n if (tokens[curr].nesting < 0) level--; // closing tag\n tokens[curr].level = level;\n if (tokens[curr].nesting > 0) level++; // opening tag\n\n if (tokens[curr].type === 'text' &&\n curr + 1 < max &&\n tokens[curr + 1].type === 'text') {\n\n // collapse two adjacent text nodes\n tokens[curr + 1].content = tokens[curr].content + tokens[curr + 1].content;\n } else {\n if (curr !== last) { tokens[last] = tokens[curr]; }\n\n last++;\n }\n }\n\n if (curr !== last) {\n tokens.length = last;\n }\n};\n","// Inline parser state\n\n'use strict';\n\n\nvar Token = require('../token');\nvar isWhiteSpace = require('../common/utils').isWhiteSpace;\nvar isPunctChar = require('../common/utils').isPunctChar;\nvar isMdAsciiPunct = require('../common/utils').isMdAsciiPunct;\n\n\nfunction StateInline(src, md, env, outTokens) {\n this.src = src;\n this.env = env;\n this.md = md;\n this.tokens = outTokens;\n this.tokens_meta = Array(outTokens.length);\n\n this.pos = 0;\n this.posMax = this.src.length;\n this.level = 0;\n this.pending = '';\n this.pendingLevel = 0;\n\n // Stores { start: end } pairs. Useful for backtrack\n // optimization of pairs parse (emphasis, strikes).\n this.cache = {};\n\n // List of emphasis-like delimiters for current tag\n this.delimiters = [];\n\n // Stack of delimiter lists for upper level tags\n this._prev_delimiters = [];\n}\n\n\n// Flush pending text\n//\nStateInline.prototype.pushPending = function () {\n var token = new Token('text', '', 0);\n token.content = this.pending;\n token.level = this.pendingLevel;\n this.tokens.push(token);\n this.pending = '';\n return token;\n};\n\n\n// Push new token to \"stream\".\n// If pending text exists - flush it as text token\n//\nStateInline.prototype.push = function (type, tag, nesting) {\n if (this.pending) {\n this.pushPending();\n }\n\n var token = new Token(type, tag, nesting);\n var token_meta = null;\n\n if (nesting < 0) {\n // closing tag\n this.level--;\n this.delimiters = this._prev_delimiters.pop();\n }\n\n token.level = this.level;\n\n if (nesting > 0) {\n // opening tag\n this.level++;\n this._prev_delimiters.push(this.delimiters);\n this.delimiters = [];\n token_meta = { delimiters: this.delimiters };\n }\n\n this.pendingLevel = this.level;\n this.tokens.push(token);\n this.tokens_meta.push(token_meta);\n return token;\n};\n\n\n// Scan a sequence of emphasis-like markers, and determine whether\n// it can start an emphasis sequence or end an emphasis sequence.\n//\n// - start - position to scan from (it should point at a valid marker);\n// - canSplitWord - determine if these markers can be found inside a word\n//\nStateInline.prototype.scanDelims = function (start, canSplitWord) {\n var pos = start, lastChar, nextChar, count, can_open, can_close,\n isLastWhiteSpace, isLastPunctChar,\n isNextWhiteSpace, isNextPunctChar,\n left_flanking = true,\n right_flanking = true,\n max = this.posMax,\n marker = this.src.charCodeAt(start);\n\n // treat beginning of the line as a whitespace\n lastChar = start > 0 ? this.src.charCodeAt(start - 1) : 0x20;\n\n while (pos < max && this.src.charCodeAt(pos) === marker) { pos++; }\n\n count = pos - start;\n\n // treat end of the line as a whitespace\n nextChar = pos < max ? this.src.charCodeAt(pos) : 0x20;\n\n isLastPunctChar = isMdAsciiPunct(lastChar) || isPunctChar(String.fromCharCode(lastChar));\n isNextPunctChar = isMdAsciiPunct(nextChar) || isPunctChar(String.fromCharCode(nextChar));\n\n isLastWhiteSpace = isWhiteSpace(lastChar);\n isNextWhiteSpace = isWhiteSpace(nextChar);\n\n if (isNextWhiteSpace) {\n left_flanking = false;\n } else if (isNextPunctChar) {\n if (!(isLastWhiteSpace || isLastPunctChar)) {\n left_flanking = false;\n }\n }\n\n if (isLastWhiteSpace) {\n right_flanking = false;\n } else if (isLastPunctChar) {\n if (!(isNextWhiteSpace || isNextPunctChar)) {\n right_flanking = false;\n }\n }\n\n if (!canSplitWord) {\n can_open = left_flanking && (!right_flanking || isLastPunctChar);\n can_close = right_flanking && (!left_flanking || isNextPunctChar);\n } else {\n can_open = left_flanking;\n can_close = right_flanking;\n }\n\n return {\n can_open: can_open,\n can_close: can_close,\n length: count\n };\n};\n\n\n// re-export Token class to use in block rules\nStateInline.prototype.Token = Token;\n\n\nmodule.exports = StateInline;\n","'use strict';\n\n\n////////////////////////////////////////////////////////////////////////////////\n// Helpers\n\n// Merge objects\n//\nfunction assign(obj /*from1, from2, from3, ...*/) {\n var sources = Array.prototype.slice.call(arguments, 1);\n\n sources.forEach(function (source) {\n if (!source) { return; }\n\n Object.keys(source).forEach(function (key) {\n obj[key] = source[key];\n });\n });\n\n return obj;\n}\n\nfunction _class(obj) { return Object.prototype.toString.call(obj); }\nfunction isString(obj) { return _class(obj) === '[object String]'; }\nfunction isObject(obj) { return _class(obj) === '[object Object]'; }\nfunction isRegExp(obj) { return _class(obj) === '[object RegExp]'; }\nfunction isFunction(obj) { return _class(obj) === '[object Function]'; }\n\n\nfunction escapeRE(str) { return str.replace(/[.?*+^$[\\]\\\\(){}|-]/g, '\\\\$&'); }\n\n////////////////////////////////////////////////////////////////////////////////\n\n\nvar defaultOptions = {\n fuzzyLink: true,\n fuzzyEmail: true,\n fuzzyIP: false\n};\n\n\nfunction isOptionsObj(obj) {\n return Object.keys(obj || {}).reduce(function (acc, k) {\n return acc || defaultOptions.hasOwnProperty(k);\n }, false);\n}\n\n\nvar defaultSchemas = {\n 'http:': {\n validate: function (text, pos, self) {\n var tail = text.slice(pos);\n\n if (!self.re.http) {\n // compile lazily, because \"host\"-containing variables can change on tlds update.\n self.re.http = new RegExp(\n '^\\\\/\\\\/' + self.re.src_auth + self.re.src_host_port_strict + self.re.src_path, 'i'\n );\n }\n if (self.re.http.test(tail)) {\n return tail.match(self.re.http)[0].length;\n }\n return 0;\n }\n },\n 'https:': 'http:',\n 'ftp:': 'http:',\n '//': {\n validate: function (text, pos, self) {\n var tail = text.slice(pos);\n\n if (!self.re.no_http) {\n // compile lazily, because \"host\"-containing variables can change on tlds update.\n self.re.no_http = new RegExp(\n '^' +\n self.re.src_auth +\n // Don't allow single-level domains, because of false positives like '//test'\n // with code comments\n '(?:localhost|(?:(?:' + self.re.src_domain + ')\\\\.)+' + self.re.src_domain_root + ')' +\n self.re.src_port +\n self.re.src_host_terminator +\n self.re.src_path,\n\n 'i'\n );\n }\n\n if (self.re.no_http.test(tail)) {\n // should not be `://` & `///`, that protects from errors in protocol name\n if (pos >= 3 && text[pos - 3] === ':') { return 0; }\n if (pos >= 3 && text[pos - 3] === '/') { return 0; }\n return tail.match(self.re.no_http)[0].length;\n }\n return 0;\n }\n },\n 'mailto:': {\n validate: function (text, pos, self) {\n var tail = text.slice(pos);\n\n if (!self.re.mailto) {\n self.re.mailto = new RegExp(\n '^' + self.re.src_email_name + '@' + self.re.src_host_strict, 'i'\n );\n }\n if (self.re.mailto.test(tail)) {\n return tail.match(self.re.mailto)[0].length;\n }\n return 0;\n }\n }\n};\n\n/*eslint-disable max-len*/\n\n// RE pattern for 2-character tlds (autogenerated by ./support/tlds_2char_gen.js)\nvar tlds_2ch_src_re = 'a[cdefgilmnoqrstuwxz]|b[abdefghijmnorstvwyz]|c[acdfghiklmnoruvwxyz]|d[ejkmoz]|e[cegrstu]|f[ijkmor]|g[abdefghilmnpqrstuwy]|h[kmnrtu]|i[delmnoqrst]|j[emop]|k[eghimnprwyz]|l[abcikrstuvy]|m[acdeghklmnopqrstuvwxyz]|n[acefgilopruz]|om|p[aefghklmnrstwy]|qa|r[eosuw]|s[abcdeghijklmnortuvxyz]|t[cdfghjklmnortvwz]|u[agksyz]|v[aceginu]|w[fs]|y[et]|z[amw]';\n\n// DON'T try to make PRs with changes. Extend TLDs with LinkifyIt.tlds() instead\nvar tlds_default = 'biz|com|edu|gov|net|org|pro|web|xxx|aero|asia|coop|info|museum|name|shop|рф'.split('|');\n\n/*eslint-enable max-len*/\n\n////////////////////////////////////////////////////////////////////////////////\n\nfunction resetScanCache(self) {\n self.__index__ = -1;\n self.__text_cache__ = '';\n}\n\nfunction createValidator(re) {\n return function (text, pos) {\n var tail = text.slice(pos);\n\n if (re.test(tail)) {\n return tail.match(re)[0].length;\n }\n return 0;\n };\n}\n\nfunction createNormalizer() {\n return function (match, self) {\n self.normalize(match);\n };\n}\n\n// Schemas compiler. Build regexps.\n//\nfunction compile(self) {\n\n // Load & clone RE patterns.\n var re = self.re = require('./lib/re')(self.__opts__);\n\n // Define dynamic patterns\n var tlds = self.__tlds__.slice();\n\n self.onCompile();\n\n if (!self.__tlds_replaced__) {\n tlds.push(tlds_2ch_src_re);\n }\n tlds.push(re.src_xn);\n\n re.src_tlds = tlds.join('|');\n\n function untpl(tpl) { return tpl.replace('%TLDS%', re.src_tlds); }\n\n re.email_fuzzy = RegExp(untpl(re.tpl_email_fuzzy), 'i');\n re.link_fuzzy = RegExp(untpl(re.tpl_link_fuzzy), 'i');\n re.link_no_ip_fuzzy = RegExp(untpl(re.tpl_link_no_ip_fuzzy), 'i');\n re.host_fuzzy_test = RegExp(untpl(re.tpl_host_fuzzy_test), 'i');\n\n //\n // Compile each schema\n //\n\n var aliases = [];\n\n self.__compiled__ = {}; // Reset compiled data\n\n function schemaError(name, val) {\n throw new Error('(LinkifyIt) Invalid schema \"' + name + '\": ' + val);\n }\n\n Object.keys(self.__schemas__).forEach(function (name) {\n var val = self.__schemas__[name];\n\n // skip disabled methods\n if (val === null) { return; }\n\n var compiled = { validate: null, link: null };\n\n self.__compiled__[name] = compiled;\n\n if (isObject(val)) {\n if (isRegExp(val.validate)) {\n compiled.validate = createValidator(val.validate);\n } else if (isFunction(val.validate)) {\n compiled.validate = val.validate;\n } else {\n schemaError(name, val);\n }\n\n if (isFunction(val.normalize)) {\n compiled.normalize = val.normalize;\n } else if (!val.normalize) {\n compiled.normalize = createNormalizer();\n } else {\n schemaError(name, val);\n }\n\n return;\n }\n\n if (isString(val)) {\n aliases.push(name);\n return;\n }\n\n schemaError(name, val);\n });\n\n //\n // Compile postponed aliases\n //\n\n aliases.forEach(function (alias) {\n if (!self.__compiled__[self.__schemas__[alias]]) {\n // Silently fail on missed schemas to avoid errons on disable.\n // schemaError(alias, self.__schemas__[alias]);\n return;\n }\n\n self.__compiled__[alias].validate =\n self.__compiled__[self.__schemas__[alias]].validate;\n self.__compiled__[alias].normalize =\n self.__compiled__[self.__schemas__[alias]].normalize;\n });\n\n //\n // Fake record for guessed links\n //\n self.__compiled__[''] = { validate: null, normalize: createNormalizer() };\n\n //\n // Build schema condition\n //\n var slist = Object.keys(self.__compiled__)\n .filter(function (name) {\n // Filter disabled & fake schemas\n return name.length > 0 && self.__compiled__[name];\n })\n .map(escapeRE)\n .join('|');\n // (?!_) cause 1.5x slowdown\n self.re.schema_test = RegExp('(^|(?!_)(?:[><\\uff5c]|' + re.src_ZPCc + '))(' + slist + ')', 'i');\n self.re.schema_search = RegExp('(^|(?!_)(?:[><\\uff5c]|' + re.src_ZPCc + '))(' + slist + ')', 'ig');\n\n self.re.pretest = RegExp(\n '(' + self.re.schema_test.source + ')|(' + self.re.host_fuzzy_test.source + ')|@',\n 'i'\n );\n\n //\n // Cleanup\n //\n\n resetScanCache(self);\n}\n\n/**\n * class Match\n *\n * Match result. Single element of array, returned by [[LinkifyIt#match]]\n **/\nfunction Match(self, shift) {\n var start = self.__index__,\n end = self.__last_index__,\n text = self.__text_cache__.slice(start, end);\n\n /**\n * Match#schema -> String\n *\n * Prefix (protocol) for matched string.\n **/\n this.schema = self.__schema__.toLowerCase();\n /**\n * Match#index -> Number\n *\n * First position of matched string.\n **/\n this.index = start + shift;\n /**\n * Match#lastIndex -> Number\n *\n * Next position after matched string.\n **/\n this.lastIndex = end + shift;\n /**\n * Match#raw -> String\n *\n * Matched string.\n **/\n this.raw = text;\n /**\n * Match#text -> String\n *\n * Notmalized text of matched string.\n **/\n this.text = text;\n /**\n * Match#url -> String\n *\n * Normalized url of matched string.\n **/\n this.url = text;\n}\n\nfunction createMatch(self, shift) {\n var match = new Match(self, shift);\n\n self.__compiled__[match.schema].normalize(match, self);\n\n return match;\n}\n\n\n/**\n * class LinkifyIt\n **/\n\n/**\n * new LinkifyIt(schemas, options)\n * - schemas (Object): Optional. Additional schemas to validate (prefix/validator)\n * - options (Object): { fuzzyLink|fuzzyEmail|fuzzyIP: true|false }\n *\n * Creates new linkifier instance with optional additional schemas.\n * Can be called without `new` keyword for convenience.\n *\n * By default understands:\n *\n * - `http(s)://...` , `ftp://...`, `mailto:...` & `//...` links\n * - \"fuzzy\" links and emails (example.com, foo@bar.com).\n *\n * `schemas` is an object, where each key/value describes protocol/rule:\n *\n * - __key__ - link prefix (usually, protocol name with `:` at the end, `skype:`\n * for example). `linkify-it` makes shure that prefix is not preceeded with\n * alphanumeric char and symbols. Only whitespaces and punctuation allowed.\n * - __value__ - rule to check tail after link prefix\n * - _String_ - just alias to existing rule\n * - _Object_\n * - _validate_ - validator function (should return matched length on success),\n * or `RegExp`.\n * - _normalize_ - optional function to normalize text & url of matched result\n * (for example, for @twitter mentions).\n *\n * `options`:\n *\n * - __fuzzyLink__ - recognige URL-s without `http(s):` prefix. Default `true`.\n * - __fuzzyIP__ - allow IPs in fuzzy links above. Can conflict with some texts\n * like version numbers. Default `false`.\n * - __fuzzyEmail__ - recognize emails without `mailto:` prefix.\n *\n **/\nfunction LinkifyIt(schemas, options) {\n if (!(this instanceof LinkifyIt)) {\n return new LinkifyIt(schemas, options);\n }\n\n if (!options) {\n if (isOptionsObj(schemas)) {\n options = schemas;\n schemas = {};\n }\n }\n\n this.__opts__ = assign({}, defaultOptions, options);\n\n // Cache last tested result. Used to skip repeating steps on next `match` call.\n this.__index__ = -1;\n this.__last_index__ = -1; // Next scan position\n this.__schema__ = '';\n this.__text_cache__ = '';\n\n this.__schemas__ = assign({}, defaultSchemas, schemas);\n this.__compiled__ = {};\n\n this.__tlds__ = tlds_default;\n this.__tlds_replaced__ = false;\n\n this.re = {};\n\n compile(this);\n}\n\n\n/** chainable\n * LinkifyIt#add(schema, definition)\n * - schema (String): rule name (fixed pattern prefix)\n * - definition (String|RegExp|Object): schema definition\n *\n * Add new rule definition. See constructor description for details.\n **/\nLinkifyIt.prototype.add = function add(schema, definition) {\n this.__schemas__[schema] = definition;\n compile(this);\n return this;\n};\n\n\n/** chainable\n * LinkifyIt#set(options)\n * - options (Object): { fuzzyLink|fuzzyEmail|fuzzyIP: true|false }\n *\n * Set recognition options for links without schema.\n **/\nLinkifyIt.prototype.set = function set(options) {\n this.__opts__ = assign(this.__opts__, options);\n return this;\n};\n\n\n/**\n * LinkifyIt#test(text) -> Boolean\n *\n * Searches linkifiable pattern and returns `true` on success or `false` on fail.\n **/\nLinkifyIt.prototype.test = function test(text) {\n // Reset scan cache\n this.__text_cache__ = text;\n this.__index__ = -1;\n\n if (!text.length) { return false; }\n\n var m, ml, me, len, shift, next, re, tld_pos, at_pos;\n\n // try to scan for link with schema - that's the most simple rule\n if (this.re.schema_test.test(text)) {\n re = this.re.schema_search;\n re.lastIndex = 0;\n while ((m = re.exec(text)) !== null) {\n len = this.testSchemaAt(text, m[2], re.lastIndex);\n if (len) {\n this.__schema__ = m[2];\n this.__index__ = m.index + m[1].length;\n this.__last_index__ = m.index + m[0].length + len;\n break;\n }\n }\n }\n\n if (this.__opts__.fuzzyLink && this.__compiled__['http:']) {\n // guess schemaless links\n tld_pos = text.search(this.re.host_fuzzy_test);\n if (tld_pos >= 0) {\n // if tld is located after found link - no need to check fuzzy pattern\n if (this.__index__ < 0 || tld_pos < this.__index__) {\n if ((ml = text.match(this.__opts__.fuzzyIP ? this.re.link_fuzzy : this.re.link_no_ip_fuzzy)) !== null) {\n\n shift = ml.index + ml[1].length;\n\n if (this.__index__ < 0 || shift < this.__index__) {\n this.__schema__ = '';\n this.__index__ = shift;\n this.__last_index__ = ml.index + ml[0].length;\n }\n }\n }\n }\n }\n\n if (this.__opts__.fuzzyEmail && this.__compiled__['mailto:']) {\n // guess schemaless emails\n at_pos = text.indexOf('@');\n if (at_pos >= 0) {\n // We can't skip this check, because this cases are possible:\n // 192.168.1.1@gmail.com, my.in@example.com\n if ((me = text.match(this.re.email_fuzzy)) !== null) {\n\n shift = me.index + me[1].length;\n next = me.index + me[0].length;\n\n if (this.__index__ < 0 || shift < this.__index__ ||\n (shift === this.__index__ && next > this.__last_index__)) {\n this.__schema__ = 'mailto:';\n this.__index__ = shift;\n this.__last_index__ = next;\n }\n }\n }\n }\n\n return this.__index__ >= 0;\n};\n\n\n/**\n * LinkifyIt#pretest(text) -> Boolean\n *\n * Very quick check, that can give false positives. Returns true if link MAY BE\n * can exists. Can be used for speed optimization, when you need to check that\n * link NOT exists.\n **/\nLinkifyIt.prototype.pretest = function pretest(text) {\n return this.re.pretest.test(text);\n};\n\n\n/**\n * LinkifyIt#testSchemaAt(text, name, position) -> Number\n * - text (String): text to scan\n * - name (String): rule (schema) name\n * - position (Number): text offset to check from\n *\n * Similar to [[LinkifyIt#test]] but checks only specific protocol tail exactly\n * at given position. Returns length of found pattern (0 on fail).\n **/\nLinkifyIt.prototype.testSchemaAt = function testSchemaAt(text, schema, pos) {\n // If not supported schema check requested - terminate\n if (!this.__compiled__[schema.toLowerCase()]) {\n return 0;\n }\n return this.__compiled__[schema.toLowerCase()].validate(text, pos, this);\n};\n\n\n/**\n * LinkifyIt#match(text) -> Array|null\n *\n * Returns array of found link descriptions or `null` on fail. We strongly\n * recommend to use [[LinkifyIt#test]] first, for best speed.\n *\n * ##### Result match description\n *\n * - __schema__ - link schema, can be empty for fuzzy links, or `//` for\n * protocol-neutral links.\n * - __index__ - offset of matched text\n * - __lastIndex__ - index of next char after mathch end\n * - __raw__ - matched text\n * - __text__ - normalized text\n * - __url__ - link, generated from matched text\n **/\nLinkifyIt.prototype.match = function match(text) {\n var shift = 0, result = [];\n\n // Try to take previous element from cache, if .test() called before\n if (this.__index__ >= 0 && this.__text_cache__ === text) {\n result.push(createMatch(this, shift));\n shift = this.__last_index__;\n }\n\n // Cut head if cache was used\n var tail = shift ? text.slice(shift) : text;\n\n // Scan string until end reached\n while (this.test(tail)) {\n result.push(createMatch(this, shift));\n\n tail = tail.slice(this.__last_index__);\n shift += this.__last_index__;\n }\n\n if (result.length) {\n return result;\n }\n\n return null;\n};\n\n\n/** chainable\n * LinkifyIt#tlds(list [, keepOld]) -> this\n * - list (Array): list of tlds\n * - keepOld (Boolean): merge with current list if `true` (`false` by default)\n *\n * Load (or merge) new tlds list. Those are user for fuzzy links (without prefix)\n * to avoid false positives. By default this algorythm used:\n *\n * - hostname with any 2-letter root zones are ok.\n * - biz|com|edu|gov|net|org|pro|web|xxx|aero|asia|coop|info|museum|name|shop|рф\n * are ok.\n * - encoded (`xn--...`) root zones are ok.\n *\n * If list is replaced, then exact match for 2-chars root zones will be checked.\n **/\nLinkifyIt.prototype.tlds = function tlds(list, keepOld) {\n list = Array.isArray(list) ? list : [ list ];\n\n if (!keepOld) {\n this.__tlds__ = list.slice();\n this.__tlds_replaced__ = true;\n compile(this);\n return this;\n }\n\n this.__tlds__ = this.__tlds__.concat(list)\n .sort()\n .filter(function (el, idx, arr) {\n return el !== arr[idx - 1];\n })\n .reverse();\n\n compile(this);\n return this;\n};\n\n/**\n * LinkifyIt#normalize(match)\n *\n * Default normalizer (if schema does not define it's own).\n **/\nLinkifyIt.prototype.normalize = function normalize(match) {\n\n // Do minimal possible changes by default. Need to collect feedback prior\n // to move forward https://github.com/markdown-it/linkify-it/issues/1\n\n if (!match.schema) { match.url = 'http://' + match.url; }\n\n if (match.schema === 'mailto:' && !/^mailto:/i.test(match.url)) {\n match.url = 'mailto:' + match.url;\n }\n};\n\n\n/**\n * LinkifyIt#onCompile()\n *\n * Override to modify basic RegExp-s.\n **/\nLinkifyIt.prototype.onCompile = function onCompile() {\n};\n\n\nmodule.exports = LinkifyIt;\n","'use strict';\n\n\nmodule.exports = function (opts) {\n var re = {};\n\n // Use direct extract instead of `regenerate` to reduse browserified size\n re.src_Any = require('uc.micro/properties/Any/regex').source;\n re.src_Cc = require('uc.micro/categories/Cc/regex').source;\n re.src_Z = require('uc.micro/categories/Z/regex').source;\n re.src_P = require('uc.micro/categories/P/regex').source;\n\n // \\p{\\Z\\P\\Cc\\CF} (white spaces + control + format + punctuation)\n re.src_ZPCc = [ re.src_Z, re.src_P, re.src_Cc ].join('|');\n\n // \\p{\\Z\\Cc} (white spaces + control)\n re.src_ZCc = [ re.src_Z, re.src_Cc ].join('|');\n\n // Experimental. List of chars, completely prohibited in links\n // because can separate it from other part of text\n var text_separators = '[><\\uff5c]';\n\n // All possible word characters (everything without punctuation, spaces & controls)\n // Defined via punctuation & spaces to save space\n // Should be something like \\p{\\L\\N\\S\\M} (\\w but without `_`)\n re.src_pseudo_letter = '(?:(?!' + text_separators + '|' + re.src_ZPCc + ')' + re.src_Any + ')';\n // The same as abothe but without [0-9]\n // var src_pseudo_letter_non_d = '(?:(?![0-9]|' + src_ZPCc + ')' + src_Any + ')';\n\n ////////////////////////////////////////////////////////////////////////////////\n\n re.src_ip4 =\n\n '(?:(25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\\\\.){3}(25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)';\n\n // Prohibit any of \"@/[]()\" in user/pass to avoid wrong domain fetch.\n re.src_auth = '(?:(?:(?!' + re.src_ZCc + '|[@/\\\\[\\\\]()]).)+@)?';\n\n re.src_port =\n\n '(?::(?:6(?:[0-4]\\\\d{3}|5(?:[0-4]\\\\d{2}|5(?:[0-2]\\\\d|3[0-5])))|[1-5]?\\\\d{1,4}))?';\n\n re.src_host_terminator =\n\n '(?=$|' + text_separators + '|' + re.src_ZPCc + ')(?!-|_|:\\\\d|\\\\.-|\\\\.(?!$|' + re.src_ZPCc + '))';\n\n re.src_path =\n\n '(?:' +\n '[/?#]' +\n '(?:' +\n '(?!' + re.src_ZCc + '|' + text_separators + '|[()[\\\\]{}.,\"\\'?!\\\\-]).|' +\n '\\\\[(?:(?!' + re.src_ZCc + '|\\\\]).)*\\\\]|' +\n '\\\\((?:(?!' + re.src_ZCc + '|[)]).)*\\\\)|' +\n '\\\\{(?:(?!' + re.src_ZCc + '|[}]).)*\\\\}|' +\n '\\\\\"(?:(?!' + re.src_ZCc + '|[\"]).)+\\\\\"|' +\n \"\\\\'(?:(?!\" + re.src_ZCc + \"|[']).)+\\\\'|\" +\n \"\\\\'(?=\" + re.src_pseudo_letter + '|[-]).|' + // allow `I'm_king` if no pair found\n '\\\\.{2,4}[a-zA-Z0-9%/]|' + // github has ... in commit range links,\n // google has .... in links (issue #66)\n // Restrict to\n // - english\n // - percent-encoded\n // - parts of file path\n // until more examples found.\n '\\\\.(?!' + re.src_ZCc + '|[.]).|' +\n (opts && opts['---'] ?\n '\\\\-(?!--(?:[^-]|$))(?:-*)|' // `---` => long dash, terminate\n :\n '\\\\-+|'\n ) +\n '\\\\,(?!' + re.src_ZCc + ').|' + // allow `,,,` in paths\n '\\\\!(?!' + re.src_ZCc + '|[!]).|' +\n '\\\\?(?!' + re.src_ZCc + '|[?]).' +\n ')+' +\n '|\\\\/' +\n ')?';\n\n // Allow anything in markdown spec, forbid quote (\") at the first position\n // because emails enclosed in quotes are far more common\n re.src_email_name =\n\n '[\\\\-;:&=\\\\+\\\\$,\\\\.a-zA-Z0-9_][\\\\-;:&=\\\\+\\\\$,\\\\\"\\\\.a-zA-Z0-9_]*';\n\n re.src_xn =\n\n 'xn--[a-z0-9\\\\-]{1,59}';\n\n // More to read about domain names\n // http://serverfault.com/questions/638260/\n\n re.src_domain_root =\n\n // Allow letters & digits (http://test1)\n '(?:' +\n re.src_xn +\n '|' +\n re.src_pseudo_letter + '{1,63}' +\n ')';\n\n re.src_domain =\n\n '(?:' +\n re.src_xn +\n '|' +\n '(?:' + re.src_pseudo_letter + ')' +\n '|' +\n '(?:' + re.src_pseudo_letter + '(?:-|' + re.src_pseudo_letter + '){0,61}' + re.src_pseudo_letter + ')' +\n ')';\n\n re.src_host =\n\n '(?:' +\n // Don't need IP check, because digits are already allowed in normal domain names\n // src_ip4 +\n // '|' +\n '(?:(?:(?:' + re.src_domain + ')\\\\.)*' + re.src_domain/*_root*/ + ')' +\n ')';\n\n re.tpl_host_fuzzy =\n\n '(?:' +\n re.src_ip4 +\n '|' +\n '(?:(?:(?:' + re.src_domain + ')\\\\.)+(?:%TLDS%))' +\n ')';\n\n re.tpl_host_no_ip_fuzzy =\n\n '(?:(?:(?:' + re.src_domain + ')\\\\.)+(?:%TLDS%))';\n\n re.src_host_strict =\n\n re.src_host + re.src_host_terminator;\n\n re.tpl_host_fuzzy_strict =\n\n re.tpl_host_fuzzy + re.src_host_terminator;\n\n re.src_host_port_strict =\n\n re.src_host + re.src_port + re.src_host_terminator;\n\n re.tpl_host_port_fuzzy_strict =\n\n re.tpl_host_fuzzy + re.src_port + re.src_host_terminator;\n\n re.tpl_host_port_no_ip_fuzzy_strict =\n\n re.tpl_host_no_ip_fuzzy + re.src_port + re.src_host_terminator;\n\n\n ////////////////////////////////////////////////////////////////////////////////\n // Main rules\n\n // Rude test fuzzy links by host, for quick deny\n re.tpl_host_fuzzy_test =\n\n 'localhost|www\\\\.|\\\\.\\\\d{1,3}\\\\.|(?:\\\\.(?:%TLDS%)(?:' + re.src_ZPCc + '|>|$))';\n\n re.tpl_email_fuzzy =\n\n '(^|' + text_separators + '|\"|\\\\(|' + re.src_ZCc + ')' +\n '(' + re.src_email_name + '@' + re.tpl_host_fuzzy_strict + ')';\n\n re.tpl_link_fuzzy =\n // Fuzzy link can't be prepended with .:/\\- and non punctuation.\n // but can start with > (markdown blockquote)\n '(^|(?![.:/\\\\-_@])(?:[$+<=>^`|\\uff5c]|' + re.src_ZPCc + '))' +\n '((?![$+<=>^`|\\uff5c])' + re.tpl_host_port_fuzzy_strict + re.src_path + ')';\n\n re.tpl_link_no_ip_fuzzy =\n // Fuzzy link can't be prepended with .:/\\- and non punctuation.\n // but can start with > (markdown blockquote)\n '(^|(?![.:/\\\\-_@])(?:[$+<=>^`|\\uff5c]|' + re.src_ZPCc + '))' +\n '((?![$+<=>^`|\\uff5c])' + re.tpl_host_port_no_ip_fuzzy_strict + re.src_path + ')';\n\n return re;\n};\n","/*! https://mths.be/punycode v1.4.1 by @mathias */\n;(function(root) {\n\n\t/** Detect free variables */\n\tvar freeExports = typeof exports == 'object' && exports &&\n\t\t!exports.nodeType && exports;\n\tvar freeModule = typeof module == 'object' && module &&\n\t\t!module.nodeType && module;\n\tvar freeGlobal = typeof global == 'object' && global;\n\tif (\n\t\tfreeGlobal.global === freeGlobal ||\n\t\tfreeGlobal.window === freeGlobal ||\n\t\tfreeGlobal.self === freeGlobal\n\t) {\n\t\troot = freeGlobal;\n\t}\n\n\t/**\n\t * The `punycode` object.\n\t * @name punycode\n\t * @type Object\n\t */\n\tvar punycode,\n\n\t/** Highest positive signed 32-bit float value */\n\tmaxInt = 2147483647, // aka. 0x7FFFFFFF or 2^31-1\n\n\t/** Bootstring parameters */\n\tbase = 36,\n\ttMin = 1,\n\ttMax = 26,\n\tskew = 38,\n\tdamp = 700,\n\tinitialBias = 72,\n\tinitialN = 128, // 0x80\n\tdelimiter = '-', // '\\x2D'\n\n\t/** Regular expressions */\n\tregexPunycode = /^xn--/,\n\tregexNonASCII = /[^\\x20-\\x7E]/, // unprintable ASCII chars + non-ASCII chars\n\tregexSeparators = /[\\x2E\\u3002\\uFF0E\\uFF61]/g, // RFC 3490 separators\n\n\t/** Error messages */\n\terrors = {\n\t\t'overflow': 'Overflow: input needs wider integers to process',\n\t\t'not-basic': 'Illegal input >= 0x80 (not a basic code point)',\n\t\t'invalid-input': 'Invalid input'\n\t},\n\n\t/** Convenience shortcuts */\n\tbaseMinusTMin = base - tMin,\n\tfloor = Math.floor,\n\tstringFromCharCode = String.fromCharCode,\n\n\t/** Temporary variable */\n\tkey;\n\n\t/*--------------------------------------------------------------------------*/\n\n\t/**\n\t * A generic error utility function.\n\t * @private\n\t * @param {String} type The error type.\n\t * @returns {Error} Throws a `RangeError` with the applicable error message.\n\t */\n\tfunction error(type) {\n\t\tthrow new RangeError(errors[type]);\n\t}\n\n\t/**\n\t * A generic `Array#map` utility function.\n\t * @private\n\t * @param {Array} array The array to iterate over.\n\t * @param {Function} callback The function that gets called for every array\n\t * item.\n\t * @returns {Array} A new array of values returned by the callback function.\n\t */\n\tfunction map(array, fn) {\n\t\tvar length = array.length;\n\t\tvar result = [];\n\t\twhile (length--) {\n\t\t\tresult[length] = fn(array[length]);\n\t\t}\n\t\treturn result;\n\t}\n\n\t/**\n\t * A simple `Array#map`-like wrapper to work with domain name strings or email\n\t * addresses.\n\t * @private\n\t * @param {String} domain The domain name or email address.\n\t * @param {Function} callback The function that gets called for every\n\t * character.\n\t * @returns {Array} A new string of characters returned by the callback\n\t * function.\n\t */\n\tfunction mapDomain(string, fn) {\n\t\tvar parts = string.split('@');\n\t\tvar result = '';\n\t\tif (parts.length > 1) {\n\t\t\t// In email addresses, only the domain name should be punycoded. Leave\n\t\t\t// the local part (i.e. everything up to `@`) intact.\n\t\t\tresult = parts[0] + '@';\n\t\t\tstring = parts[1];\n\t\t}\n\t\t// Avoid `split(regex)` for IE8 compatibility. See #17.\n\t\tstring = string.replace(regexSeparators, '\\x2E');\n\t\tvar labels = string.split('.');\n\t\tvar encoded = map(labels, fn).join('.');\n\t\treturn result + encoded;\n\t}\n\n\t/**\n\t * Creates an array containing the numeric code points of each Unicode\n\t * character in the string. While JavaScript uses UCS-2 internally,\n\t * this function will convert a pair of surrogate halves (each of which\n\t * UCS-2 exposes as separate characters) into a single code point,\n\t * matching UTF-16.\n\t * @see `punycode.ucs2.encode`\n\t * @see <https://mathiasbynens.be/notes/javascript-encoding>\n\t * @memberOf punycode.ucs2\n\t * @name decode\n\t * @param {String} string The Unicode input string (UCS-2).\n\t * @returns {Array} The new array of code points.\n\t */\n\tfunction ucs2decode(string) {\n\t\tvar output = [],\n\t\t counter = 0,\n\t\t length = string.length,\n\t\t value,\n\t\t extra;\n\t\twhile (counter < length) {\n\t\t\tvalue = string.charCodeAt(counter++);\n\t\t\tif (value >= 0xD800 && value <= 0xDBFF && counter < length) {\n\t\t\t\t// high surrogate, and there is a next character\n\t\t\t\textra = string.charCodeAt(counter++);\n\t\t\t\tif ((extra & 0xFC00) == 0xDC00) { // low surrogate\n\t\t\t\t\toutput.push(((value & 0x3FF) << 10) + (extra & 0x3FF) + 0x10000);\n\t\t\t\t} else {\n\t\t\t\t\t// unmatched surrogate; only append this code unit, in case the next\n\t\t\t\t\t// code unit is the high surrogate of a surrogate pair\n\t\t\t\t\toutput.push(value);\n\t\t\t\t\tcounter--;\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\toutput.push(value);\n\t\t\t}\n\t\t}\n\t\treturn output;\n\t}\n\n\t/**\n\t * Creates a string based on an array of numeric code points.\n\t * @see `punycode.ucs2.decode`\n\t * @memberOf punycode.ucs2\n\t * @name encode\n\t * @param {Array} codePoints The array of numeric code points.\n\t * @returns {String} The new Unicode string (UCS-2).\n\t */\n\tfunction ucs2encode(array) {\n\t\treturn map(array, function(value) {\n\t\t\tvar output = '';\n\t\t\tif (value > 0xFFFF) {\n\t\t\t\tvalue -= 0x10000;\n\t\t\t\toutput += stringFromCharCode(value >>> 10 & 0x3FF | 0xD800);\n\t\t\t\tvalue = 0xDC00 | value & 0x3FF;\n\t\t\t}\n\t\t\toutput += stringFromCharCode(value);\n\t\t\treturn output;\n\t\t}).join('');\n\t}\n\n\t/**\n\t * Converts a basic code point into a digit/integer.\n\t * @see `digitToBasic()`\n\t * @private\n\t * @param {Number} codePoint The basic numeric code point value.\n\t * @returns {Number} The numeric value of a basic code point (for use in\n\t * representing integers) in the range `0` to `base - 1`, or `base` if\n\t * the code point does not represent a value.\n\t */\n\tfunction basicToDigit(codePoint) {\n\t\tif (codePoint - 48 < 10) {\n\t\t\treturn codePoint - 22;\n\t\t}\n\t\tif (codePoint - 65 < 26) {\n\t\t\treturn codePoint - 65;\n\t\t}\n\t\tif (codePoint - 97 < 26) {\n\t\t\treturn codePoint - 97;\n\t\t}\n\t\treturn base;\n\t}\n\n\t/**\n\t * Converts a digit/integer into a basic code point.\n\t * @see `basicToDigit()`\n\t * @private\n\t * @param {Number} digit The numeric value of a basic code point.\n\t * @returns {Number} The basic code point whose value (when used for\n\t * representing integers) is `digit`, which needs to be in the range\n\t * `0` to `base - 1`. If `flag` is non-zero, the uppercase form is\n\t * used; else, the lowercase form is used. The behavior is undefined\n\t * if `flag` is non-zero and `digit` has no uppercase form.\n\t */\n\tfunction digitToBasic(digit, flag) {\n\t\t// 0..25 map to ASCII a..z or A..Z\n\t\t// 26..35 map to ASCII 0..9\n\t\treturn digit + 22 + 75 * (digit < 26) - ((flag != 0) << 5);\n\t}\n\n\t/**\n\t * Bias adaptation function as per section 3.4 of RFC 3492.\n\t * https://tools.ietf.org/html/rfc3492#section-3.4\n\t * @private\n\t */\n\tfunction adapt(delta, numPoints, firstTime) {\n\t\tvar k = 0;\n\t\tdelta = firstTime ? floor(delta / damp) : delta >> 1;\n\t\tdelta += floor(delta / numPoints);\n\t\tfor (/* no initialization */; delta > baseMinusTMin * tMax >> 1; k += base) {\n\t\t\tdelta = floor(delta / baseMinusTMin);\n\t\t}\n\t\treturn floor(k + (baseMinusTMin + 1) * delta / (delta + skew));\n\t}\n\n\t/**\n\t * Converts a Punycode string of ASCII-only symbols to a string of Unicode\n\t * symbols.\n\t * @memberOf punycode\n\t * @param {String} input The Punycode string of ASCII-only symbols.\n\t * @returns {String} The resulting string of Unicode symbols.\n\t */\n\tfunction decode(input) {\n\t\t// Don't use UCS-2\n\t\tvar output = [],\n\t\t inputLength = input.length,\n\t\t out,\n\t\t i = 0,\n\t\t n = initialN,\n\t\t bias = initialBias,\n\t\t basic,\n\t\t j,\n\t\t index,\n\t\t oldi,\n\t\t w,\n\t\t k,\n\t\t digit,\n\t\t t,\n\t\t /** Cached calculation results */\n\t\t baseMinusT;\n\n\t\t// Handle the basic code points: let `basic` be the number of input code\n\t\t// points before the last delimiter, or `0` if there is none, then copy\n\t\t// the first basic code points to the output.\n\n\t\tbasic = input.lastIndexOf(delimiter);\n\t\tif (basic < 0) {\n\t\t\tbasic = 0;\n\t\t}\n\n\t\tfor (j = 0; j < basic; ++j) {\n\t\t\t// if it's not a basic code point\n\t\t\tif (input.charCodeAt(j) >= 0x80) {\n\t\t\t\terror('not-basic');\n\t\t\t}\n\t\t\toutput.push(input.charCodeAt(j));\n\t\t}\n\n\t\t// Main decoding loop: start just after the last delimiter if any basic code\n\t\t// points were copied; start at the beginning otherwise.\n\n\t\tfor (index = basic > 0 ? basic + 1 : 0; index < inputLength; /* no final expression */) {\n\n\t\t\t// `index` is the index of the next character to be consumed.\n\t\t\t// Decode a generalized variable-length integer into `delta`,\n\t\t\t// which gets added to `i`. The overflow checking is easier\n\t\t\t// if we increase `i` as we go, then subtract off its starting\n\t\t\t// value at the end to obtain `delta`.\n\t\t\tfor (oldi = i, w = 1, k = base; /* no condition */; k += base) {\n\n\t\t\t\tif (index >= inputLength) {\n\t\t\t\t\terror('invalid-input');\n\t\t\t\t}\n\n\t\t\t\tdigit = basicToDigit(input.charCodeAt(index++));\n\n\t\t\t\tif (digit >= base || digit > floor((maxInt - i) / w)) {\n\t\t\t\t\terror('overflow');\n\t\t\t\t}\n\n\t\t\t\ti += digit * w;\n\t\t\t\tt = k <= bias ? tMin : (k >= bias + tMax ? tMax : k - bias);\n\n\t\t\t\tif (digit < t) {\n\t\t\t\t\tbreak;\n\t\t\t\t}\n\n\t\t\t\tbaseMinusT = base - t;\n\t\t\t\tif (w > floor(maxInt / baseMinusT)) {\n\t\t\t\t\terror('overflow');\n\t\t\t\t}\n\n\t\t\t\tw *= baseMinusT;\n\n\t\t\t}\n\n\t\t\tout = output.length + 1;\n\t\t\tbias = adapt(i - oldi, out, oldi == 0);\n\n\t\t\t// `i` was supposed to wrap around from `out` to `0`,\n\t\t\t// incrementing `n` each time, so we'll fix that now:\n\t\t\tif (floor(i / out) > maxInt - n) {\n\t\t\t\terror('overflow');\n\t\t\t}\n\n\t\t\tn += floor(i / out);\n\t\t\ti %= out;\n\n\t\t\t// Insert `n` at position `i` of the output\n\t\t\toutput.splice(i++, 0, n);\n\n\t\t}\n\n\t\treturn ucs2encode(output);\n\t}\n\n\t/**\n\t * Converts a string of Unicode symbols (e.g. a domain name label) to a\n\t * Punycode string of ASCII-only symbols.\n\t * @memberOf punycode\n\t * @param {String} input The string of Unicode symbols.\n\t * @returns {String} The resulting Punycode string of ASCII-only symbols.\n\t */\n\tfunction encode(input) {\n\t\tvar n,\n\t\t delta,\n\t\t handledCPCount,\n\t\t basicLength,\n\t\t bias,\n\t\t j,\n\t\t m,\n\t\t q,\n\t\t k,\n\t\t t,\n\t\t currentValue,\n\t\t output = [],\n\t\t /** `inputLength` will hold the number of code points in `input`. */\n\t\t inputLength,\n\t\t /** Cached calculation results */\n\t\t handledCPCountPlusOne,\n\t\t baseMinusT,\n\t\t qMinusT;\n\n\t\t// Convert the input in UCS-2 to Unicode\n\t\tinput = ucs2decode(input);\n\n\t\t// Cache the length\n\t\tinputLength = input.length;\n\n\t\t// Initialize the state\n\t\tn = initialN;\n\t\tdelta = 0;\n\t\tbias = initialBias;\n\n\t\t// Handle the basic code points\n\t\tfor (j = 0; j < inputLength; ++j) {\n\t\t\tcurrentValue = input[j];\n\t\t\tif (currentValue < 0x80) {\n\t\t\t\toutput.push(stringFromCharCode(currentValue));\n\t\t\t}\n\t\t}\n\n\t\thandledCPCount = basicLength = output.length;\n\n\t\t// `handledCPCount` is the number of code points that have been handled;\n\t\t// `basicLength` is the number of basic code points.\n\n\t\t// Finish the basic string - if it is not empty - with a delimiter\n\t\tif (basicLength) {\n\t\t\toutput.push(delimiter);\n\t\t}\n\n\t\t// Main encoding loop:\n\t\twhile (handledCPCount < inputLength) {\n\n\t\t\t// All non-basic code points < n have been handled already. Find the next\n\t\t\t// larger one:\n\t\t\tfor (m = maxInt, j = 0; j < inputLength; ++j) {\n\t\t\t\tcurrentValue = input[j];\n\t\t\t\tif (currentValue >= n && currentValue < m) {\n\t\t\t\t\tm = currentValue;\n\t\t\t\t}\n\t\t\t}\n\n\t\t\t// Increase `delta` enough to advance the decoder's <n,i> state to <m,0>,\n\t\t\t// but guard against overflow\n\t\t\thandledCPCountPlusOne = handledCPCount + 1;\n\t\t\tif (m - n > floor((maxInt - delta) / handledCPCountPlusOne)) {\n\t\t\t\terror('overflow');\n\t\t\t}\n\n\t\t\tdelta += (m - n) * handledCPCountPlusOne;\n\t\t\tn = m;\n\n\t\t\tfor (j = 0; j < inputLength; ++j) {\n\t\t\t\tcurrentValue = input[j];\n\n\t\t\t\tif (currentValue < n && ++delta > maxInt) {\n\t\t\t\t\terror('overflow');\n\t\t\t\t}\n\n\t\t\t\tif (currentValue == n) {\n\t\t\t\t\t// Represent delta as a generalized variable-length integer\n\t\t\t\t\tfor (q = delta, k = base; /* no condition */; k += base) {\n\t\t\t\t\t\tt = k <= bias ? tMin : (k >= bias + tMax ? tMax : k - bias);\n\t\t\t\t\t\tif (q < t) {\n\t\t\t\t\t\t\tbreak;\n\t\t\t\t\t\t}\n\t\t\t\t\t\tqMinusT = q - t;\n\t\t\t\t\t\tbaseMinusT = base - t;\n\t\t\t\t\t\toutput.push(\n\t\t\t\t\t\t\tstringFromCharCode(digitToBasic(t + qMinusT % baseMinusT, 0))\n\t\t\t\t\t\t);\n\t\t\t\t\t\tq = floor(qMinusT / baseMinusT);\n\t\t\t\t\t}\n\n\t\t\t\t\toutput.push(stringFromCharCode(digitToBasic(q, 0)));\n\t\t\t\t\tbias = adapt(delta, handledCPCountPlusOne, handledCPCount == basicLength);\n\t\t\t\t\tdelta = 0;\n\t\t\t\t\t++handledCPCount;\n\t\t\t\t}\n\t\t\t}\n\n\t\t\t++delta;\n\t\t\t++n;\n\n\t\t}\n\t\treturn output.join('');\n\t}\n\n\t/**\n\t * Converts a Punycode string representing a domain name or an email address\n\t * to Unicode. Only the Punycoded parts of the input will be converted, i.e.\n\t * it doesn't matter if you call it on a string that has already been\n\t * converted to Unicode.\n\t * @memberOf punycode\n\t * @param {String} input The Punycoded domain name or email address to\n\t * convert to Unicode.\n\t * @returns {String} The Unicode representation of the given Punycode\n\t * string.\n\t */\n\tfunction toUnicode(input) {\n\t\treturn mapDomain(input, function(string) {\n\t\t\treturn regexPunycode.test(string)\n\t\t\t\t? decode(string.slice(4).toLowerCase())\n\t\t\t\t: string;\n\t\t});\n\t}\n\n\t/**\n\t * Converts a Unicode string representing a domain name or an email address to\n\t * Punycode. Only the non-ASCII parts of the domain name will be converted,\n\t * i.e. it doesn't matter if you call it with a domain that's already in\n\t * ASCII.\n\t * @memberOf punycode\n\t * @param {String} input The domain name or email address to convert, as a\n\t * Unicode string.\n\t * @returns {String} The Punycode representation of the given domain name or\n\t * email address.\n\t */\n\tfunction toASCII(input) {\n\t\treturn mapDomain(input, function(string) {\n\t\t\treturn regexNonASCII.test(string)\n\t\t\t\t? 'xn--' + encode(string)\n\t\t\t\t: string;\n\t\t});\n\t}\n\n\t/*--------------------------------------------------------------------------*/\n\n\t/** Define the public API */\n\tpunycode = {\n\t\t/**\n\t\t * A string representing the current Punycode.js version number.\n\t\t * @memberOf punycode\n\t\t * @type String\n\t\t */\n\t\t'version': '1.4.1',\n\t\t/**\n\t\t * An object of methods to convert from JavaScript's internal character\n\t\t * representation (UCS-2) to Unicode code points, and back.\n\t\t * @see <https://mathiasbynens.be/notes/javascript-encoding>\n\t\t * @memberOf punycode\n\t\t * @type Object\n\t\t */\n\t\t'ucs2': {\n\t\t\t'decode': ucs2decode,\n\t\t\t'encode': ucs2encode\n\t\t},\n\t\t'decode': decode,\n\t\t'encode': encode,\n\t\t'toASCII': toASCII,\n\t\t'toUnicode': toUnicode\n\t};\n\n\t/** Expose `punycode` */\n\t// Some AMD build optimizers, like r.js, check for specific condition patterns\n\t// like the following:\n\tif (\n\t\ttypeof define == 'function' &&\n\t\ttypeof define.amd == 'object' &&\n\t\tdefine.amd\n\t) {\n\t\tdefine('punycode', function() {\n\t\t\treturn punycode;\n\t\t});\n\t} else if (freeExports && freeModule) {\n\t\tif (module.exports == freeExports) {\n\t\t\t// in Node.js, io.js, or RingoJS v0.8.0+\n\t\t\tfreeModule.exports = punycode;\n\t\t} else {\n\t\t\t// in Narwhal or RingoJS v0.7.0-\n\t\t\tfor (key in punycode) {\n\t\t\t\tpunycode.hasOwnProperty(key) && (freeExports[key] = punycode[key]);\n\t\t\t}\n\t\t}\n\t} else {\n\t\t// in Rhino or a web browser\n\t\troot.punycode = punycode;\n\t}\n\n}(this));\n","// markdown-it default options\n\n'use strict';\n\n\nmodule.exports = {\n options: {\n html: false, // Enable HTML tags in source\n xhtmlOut: false, // Use '/' to close single tags (<br />)\n breaks: false, // Convert '\\n' in paragraphs into <br>\n langPrefix: 'language-', // CSS language prefix for fenced blocks\n linkify: false, // autoconvert URL-like texts to links\n\n // Enable some language-neutral replacements + quotes beautification\n typographer: false,\n\n // Double + single quotes replacement pairs, when typographer enabled,\n // and smartquotes on. Could be either a String or an Array.\n //\n // For example, you can use '«»„“' for Russian, '„“‚‘' for German,\n // and ['«\\xA0', '\\xA0»', '‹\\xA0', '\\xA0›'] for French (including nbsp).\n quotes: '\\u201c\\u201d\\u2018\\u2019', /* “”‘’ */\n\n // Highlighter function. Should return escaped HTML,\n // or '' if the source string is not changed and should be escaped externaly.\n // If result starts with <pre... internal wrapper is skipped.\n //\n // function (/*str, lang*/) { return ''; }\n //\n highlight: null,\n\n maxNesting: 100 // Internal protection, recursion limit\n },\n\n components: {\n\n core: {},\n block: {},\n inline: {}\n }\n};\n","// \"Zero\" preset, with nothing enabled. Useful for manual configuring of simple\n// modes. For example, to parse bold/italic only.\n\n'use strict';\n\n\nmodule.exports = {\n options: {\n html: false, // Enable HTML tags in source\n xhtmlOut: false, // Use '/' to close single tags (<br />)\n breaks: false, // Convert '\\n' in paragraphs into <br>\n langPrefix: 'language-', // CSS language prefix for fenced blocks\n linkify: false, // autoconvert URL-like texts to links\n\n // Enable some language-neutral replacements + quotes beautification\n typographer: false,\n\n // Double + single quotes replacement pairs, when typographer enabled,\n // and smartquotes on. Could be either a String or an Array.\n //\n // For example, you can use '«»„“' for Russian, '„“‚‘' for German,\n // and ['«\\xA0', '\\xA0»', '‹\\xA0', '\\xA0›'] for French (including nbsp).\n quotes: '\\u201c\\u201d\\u2018\\u2019', /* “”‘’ */\n\n // Highlighter function. Should return escaped HTML,\n // or '' if the source string is not changed and should be escaped externaly.\n // If result starts with <pre... internal wrapper is skipped.\n //\n // function (/*str, lang*/) { return ''; }\n //\n highlight: null,\n\n maxNesting: 20 // Internal protection, recursion limit\n },\n\n components: {\n\n core: {\n rules: [\n 'normalize',\n 'block',\n 'inline'\n ]\n },\n\n block: {\n rules: [\n 'paragraph'\n ]\n },\n\n inline: {\n rules: [\n 'text'\n ],\n rules2: [\n 'balance_pairs',\n 'text_collapse'\n ]\n }\n }\n};\n","// Commonmark default options\n\n'use strict';\n\n\nmodule.exports = {\n options: {\n html: true, // Enable HTML tags in source\n xhtmlOut: true, // Use '/' to close single tags (<br />)\n breaks: false, // Convert '\\n' in paragraphs into <br>\n langPrefix: 'language-', // CSS language prefix for fenced blocks\n linkify: false, // autoconvert URL-like texts to links\n\n // Enable some language-neutral replacements + quotes beautification\n typographer: false,\n\n // Double + single quotes replacement pairs, when typographer enabled,\n // and smartquotes on. Could be either a String or an Array.\n //\n // For example, you can use '«»„“' for Russian, '„“‚‘' for German,\n // and ['«\\xA0', '\\xA0»', '‹\\xA0', '\\xA0›'] for French (including nbsp).\n quotes: '\\u201c\\u201d\\u2018\\u2019', /* “”‘’ */\n\n // Highlighter function. Should return escaped HTML,\n // or '' if the source string is not changed and should be escaped externaly.\n // If result starts with <pre... internal wrapper is skipped.\n //\n // function (/*str, lang*/) { return ''; }\n //\n highlight: null,\n\n maxNesting: 20 // Internal protection, recursion limit\n },\n\n components: {\n\n core: {\n rules: [\n 'normalize',\n 'block',\n 'inline'\n ]\n },\n\n block: {\n rules: [\n 'blockquote',\n 'code',\n 'fence',\n 'heading',\n 'hr',\n 'html_block',\n 'lheading',\n 'list',\n 'reference',\n 'paragraph'\n ]\n },\n\n inline: {\n rules: [\n 'autolink',\n 'backticks',\n 'emphasis',\n 'entity',\n 'escape',\n 'html_inline',\n 'image',\n 'link',\n 'newline',\n 'text'\n ],\n rules2: [\n 'balance_pairs',\n 'emphasis',\n 'text_collapse'\n ]\n }\n }\n};\n","// Markdown-it plugin to render GitHub-style task lists; see\n//\n// https://github.com/blog/1375-task-lists-in-gfm-issues-pulls-comments\n// https://github.com/blog/1825-task-lists-in-all-markdown-documents\n\nvar disableCheckboxes = true;\nvar useLabelWrapper = false;\nvar useLabelAfter = false;\n\nmodule.exports = function(md, options) {\n\tif (options) {\n\t\tdisableCheckboxes = !options.enabled;\n\t\tuseLabelWrapper = !!options.label;\n\t\tuseLabelAfter = !!options.labelAfter;\n\t}\n\n\tmd.core.ruler.after('inline', 'github-task-lists', function(state) {\n\t\tvar tokens = state.tokens;\n\t\tfor (var i = 2; i < tokens.length; i++) {\n\t\t\tif (isTodoItem(tokens, i)) {\n\t\t\t\ttodoify(tokens[i], state.Token);\n\t\t\t\tattrSet(tokens[i-2], 'class', 'task-list-item' + (!disableCheckboxes ? ' enabled' : ''));\n\t\t\t\tattrSet(tokens[parentToken(tokens, i-2)], 'class', 'contains-task-list');\n\t\t\t}\n\t\t}\n\t});\n};\n\nfunction attrSet(token, name, value) {\n\tvar index = token.attrIndex(name);\n\tvar attr = [name, value];\n\n\tif (index < 0) {\n\t\ttoken.attrPush(attr);\n\t} else {\n\t\ttoken.attrs[index] = attr;\n\t}\n}\n\nfunction parentToken(tokens, index) {\n\tvar targetLevel = tokens[index].level - 1;\n\tfor (var i = index - 1; i >= 0; i--) {\n\t\tif (tokens[i].level === targetLevel) {\n\t\t\treturn i;\n\t\t}\n\t}\n\treturn -1;\n}\n\nfunction isTodoItem(tokens, index) {\n\treturn isInline(tokens[index]) &&\n\t isParagraph(tokens[index - 1]) &&\n\t isListItem(tokens[index - 2]) &&\n\t startsWithTodoMarkdown(tokens[index]);\n}\n\nfunction todoify(token, TokenConstructor) {\n\ttoken.children.unshift(makeCheckbox(token, TokenConstructor));\n\ttoken.children[1].content = token.children[1].content.slice(3);\n\ttoken.content = token.content.slice(3);\n\n\tif (useLabelWrapper) {\n\t\tif (useLabelAfter) {\n\t\t\ttoken.children.pop();\n\n\t\t\t// Use large random number as id property of the checkbox.\n\t\t\tvar id = 'task-item-' + Math.ceil(Math.random() * (10000 * 1000) - 1000);\n\t\t\ttoken.children[0].content = token.children[0].content.slice(0, -1) + ' id=\"' + id + '\">';\n\t\t\ttoken.children.push(afterLabel(token.content, id, TokenConstructor));\n\t\t} else {\n\t\t\ttoken.children.unshift(beginLabel(TokenConstructor));\n\t\t\ttoken.children.push(endLabel(TokenConstructor));\n\t\t}\n\t}\n}\n\nfunction makeCheckbox(token, TokenConstructor) {\n\tvar checkbox = new TokenConstructor('html_inline', '', 0);\n\tvar disabledAttr = disableCheckboxes ? ' disabled=\"\" ' : '';\n\tif (token.content.indexOf('[ ] ') === 0) {\n\t\tcheckbox.content = '<input class=\"task-list-item-checkbox\"' + disabledAttr + 'type=\"checkbox\">';\n\t} else if (token.content.indexOf('[x] ') === 0 || token.content.indexOf('[X] ') === 0) {\n\t\tcheckbox.content = '<input class=\"task-list-item-checkbox\" checked=\"\"' + disabledAttr + 'type=\"checkbox\">';\n\t}\n\treturn checkbox;\n}\n\n// these next two functions are kind of hacky; probably should really be a\n// true block-level token with .tag=='label'\nfunction beginLabel(TokenConstructor) {\n\tvar token = new TokenConstructor('html_inline', '', 0);\n\ttoken.content = '<label>';\n\treturn token;\n}\n\nfunction endLabel(TokenConstructor) {\n\tvar token = new TokenConstructor('html_inline', '', 0);\n\ttoken.content = '</label>';\n\treturn token;\n}\n\nfunction afterLabel(content, id, TokenConstructor) {\n\tvar token = new TokenConstructor('html_inline', '', 0);\n\ttoken.content = '<label class=\"task-list-item-label\" for=\"' + id + '\">' + content + '</label>';\n\ttoken.attrs = [{for: id}];\n\treturn token;\n}\n\nfunction isInline(token) { return token.type === 'inline'; }\nfunction isParagraph(token) { return token.type === 'paragraph_open'; }\nfunction isListItem(token) { return token.type === 'list_item_open'; }\n\nfunction startsWithTodoMarkdown(token) {\n\t// leading whitespace in a list item is already trimmed off by markdown-it\n\treturn token.content.indexOf('[ ] ') === 0 || token.content.indexOf('[x] ') === 0 || token.content.indexOf('[X] ') === 0;\n}\n","/*\n * Copyright 2018 Google Inc. All rights reserved.\n *\n * Licensed under the Apache License, Version 2.0 (the \"License\"); you may not\n * use this file except in compliance with the License. You may obtain a copy of\n * the License at\n *\n * http://www.apache.org/licenses/LICENSE-2.0\n *\n * Unless required by applicable law or agreed to in writing, software\n * distributed under the License is distributed on an \"AS IS\" BASIS, WITHOUT\n * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the\n * License for the specific language governing permissions and limitations under\n * the License.\n */\n\n'use strict';\n\n(function(scope) {\n if (scope['Proxy']) {\n return;\n }\n scope.Proxy = require('./proxy.js')();\n scope.Proxy['revocable'] = scope.Proxy.revocable;\n})(\n ('undefined' !== typeof process &&\n '[object process]' === {}.toString.call(process)) ||\n ('undefined' !== typeof navigator && navigator.product === 'ReactNative')\n ? global\n : self\n);\n\n","/*\n * Copyright 2016 Google Inc. All rights reserved.\n *\n * Licensed under the Apache License, Version 2.0 (the \"License\"); you may not\n * use this file except in compliance with the License. You may obtain a copy of\n * the License at\n *\n * http://www.apache.org/licenses/LICENSE-2.0\n *\n * Unless required by applicable law or agreed to in writing, software\n * distributed under the License is distributed on an \"AS IS\" BASIS, WITHOUT\n * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the\n * License for the specific language governing permissions and limitations under\n * the License.\n */\n\nmodule.exports = function proxyPolyfill() {\n let lastRevokeFn = null;\n let ProxyPolyfill;\n\n /**\n * @param {*} o\n * @return {boolean} whether this is probably a (non-null) Object\n */\n function isObject(o) {\n return o ? (typeof o === 'object' || typeof o === 'function') : false;\n }\n\n function validateProto(proto) {\n if (proto !== null && !isObject(proto)) {\n throw new TypeError('Object prototype may only be an Object or null: ' + proto);\n }\n }\n\n const $Object = Object;\n\n // Closure assumes that `{__proto__: null} instanceof Object` is always true, hence why we check against a different name.\n const canCreateNullProtoObjects = Boolean($Object.create) || !({ __proto__: null } instanceof $Object);\n const objectCreate =\n $Object.create ||\n (canCreateNullProtoObjects\n ? function create(proto) {\n validateProto(proto);\n return { __proto__: proto };\n }\n : function create(proto) {\n validateProto(proto);\n if (proto === null) {\n throw new SyntaxError('Native Object.create is required to create objects with null prototype');\n }\n\n // nb. cast to convince Closure compiler that this is a constructor\n var T = /** @type {!Function} */ (function T() {});\n T.prototype = proto;\n return new T();\n });\n\n const noop = function() { return null; };\n\n const getProto =\n $Object.getPrototypeOf ||\n ([].__proto__ === Array.prototype\n ? function getPrototypeOf(O) {\n // If O.[[Prototype]] === null, then the __proto__ accessor won't exist,\n // as it's inherited from `Object.prototype`\n const proto = O.__proto__;\n return isObject(proto) ? proto : null;\n }\n : noop);\n\n /**\n * @constructor\n * @param {!Object} target\n * @param {{apply, construct, get, set}} handler\n */\n ProxyPolyfill = function(target, handler) {\n const newTarget = this && this instanceof ProxyPolyfill ? this.constructor : undefined;\n if (newTarget === undefined) {\n throw new TypeError(\"Constructor Proxy requires 'new'\");\n }\n\n if (!isObject(target) || !isObject(handler)) {\n throw new TypeError('Cannot create proxy with a non-object as target or handler');\n }\n\n // Construct revoke function, and set lastRevokeFn so that Proxy.revocable can steal it.\n // The caller might get the wrong revoke function if a user replaces or wraps scope.Proxy\n // to call itself, but that seems unlikely especially when using the polyfill.\n let throwRevoked = function() {};\n lastRevokeFn = function() {\n /** @suppress {checkTypes} */\n target = null; // clear ref\n throwRevoked = function(trap) {\n throw new TypeError(`Cannot perform '${trap}' on a proxy that has been revoked`);\n };\n };\n setTimeout(function() {\n lastRevokeFn = null;\n }, 0);\n\n // Fail on unsupported traps: Chrome doesn't do this, but ensure that users of the polyfill\n // are a bit more careful. Copy the internal parts of handler to prevent user changes.\n const unsafeHandler = handler;\n handler = { 'get': null, 'set': null, 'apply': null, 'construct': null };\n for (let k in unsafeHandler) {\n if (!(k in handler)) {\n throw new TypeError(`Proxy polyfill does not support trap '${k}'`);\n }\n handler[k] = unsafeHandler[k];\n }\n if (typeof unsafeHandler === 'function') {\n // Allow handler to be a function (which has an 'apply' method). This matches what is\n // probably a bug in native versions. It treats the apply call as a trap to be configured.\n handler.apply = unsafeHandler.apply.bind(unsafeHandler);\n }\n\n // Define proxy as an object that extends target.[[Prototype]],\n // or a Function (if either it's callable, or apply is set).\n const proto = getProto(target); // can return null in old browsers\n let proxy;\n let isMethod = false;\n let isArray = false;\n if (typeof target === 'function') {\n proxy = function ProxyPolyfill() {\n const usingNew = (this && this.constructor === proxy);\n const args = Array.prototype.slice.call(arguments);\n throwRevoked(usingNew ? 'construct' : 'apply');\n\n // TODO(samthor): Closure compiler doesn't know about 'construct', attempts to rename it.\n if (usingNew && handler['construct']) {\n return handler['construct'].call(this, target, args);\n } else if (!usingNew && handler.apply) {\n return handler['apply'](target, this, args);\n }\n\n // since the target was a function, fallback to calling it directly.\n if (usingNew) {\n // inspired by answers to https://stackoverflow.com/q/1606797\n args.unshift(target); // pass class as first arg to constructor, although irrelevant\n // nb. cast to convince Closure compiler that this is a constructor\n const f = /** @type {!Function} */ (target.bind.apply(target, args));\n return new f();\n }\n return target.apply(this, args);\n };\n isMethod = true;\n } else if (target instanceof Array) {\n proxy = [];\n isArray = true;\n } else {\n proxy = (canCreateNullProtoObjects || proto !== null) ? objectCreate(proto) : {};\n }\n\n // Create default getters/setters. Create different code paths as handler.get/handler.set can't\n // change after creation.\n const getter = handler.get ? function(prop) {\n throwRevoked('get');\n return handler.get(this, prop, proxy);\n } : function(prop) {\n throwRevoked('get');\n return this[prop];\n };\n const setter = handler.set ? function(prop, value) {\n throwRevoked('set');\n const status = handler.set(this, prop, value, proxy);\n // TODO(samthor): If the calling code is in strict mode, throw TypeError.\n // if (!status) {\n // It's (sometimes) possible to work this out, if this code isn't strict- try to load the\n // callee, and if it's available, that code is non-strict. However, this isn't exhaustive.\n // }\n } : function(prop, value) {\n throwRevoked('set');\n this[prop] = value;\n };\n\n // Clone direct properties (i.e., not part of a prototype).\n const propertyNames = $Object.getOwnPropertyNames(target);\n const propertyMap = {};\n propertyNames.forEach(function(prop) {\n if ((isMethod || isArray) && prop in proxy) {\n return; // ignore properties already here, e.g. 'bind', 'prototype' etc\n }\n const real = $Object.getOwnPropertyDescriptor(target, prop);\n const desc = {\n enumerable: Boolean(real.enumerable),\n get: getter.bind(target, prop),\n set: setter.bind(target, prop),\n };\n $Object.defineProperty(proxy, prop, desc);\n propertyMap[prop] = true;\n });\n\n // Set the prototype, or clone all prototype methods (always required if a getter is provided).\n // TODO(samthor): We don't allow prototype methods to be set. It's (even more) awkward.\n // An alternative here would be to _just_ clone methods to keep behavior consistent.\n let prototypeOk = true;\n if (isMethod || isArray) {\n // Arrays and methods are special: above, we instantiate boring versions of these then swap\n // our their prototype later. So we only need to use setPrototypeOf in these cases. Some old\n // engines support `Object.getPrototypeOf` but not `Object.setPrototypeOf`.\n const setProto =\n $Object.setPrototypeOf ||\n ([].__proto__ === Array.prototype\n ? function setPrototypeOf(O, proto) {\n validateProto(proto);\n O.__proto__ = proto;\n return O;\n }\n : noop);\n if (!(proto && setProto(proxy, proto))) {\n prototypeOk = false;\n }\n }\n if (handler.get || !prototypeOk) {\n for (let k in target) {\n if (propertyMap[k]) {\n continue;\n }\n $Object.defineProperty(proxy, k, { get: getter.bind(target, k) });\n }\n }\n\n // The Proxy polyfill cannot handle adding new properties. Seal the target and proxy.\n $Object.seal(target);\n $Object.seal(proxy);\n\n return proxy; // nb. if isMethod is true, proxy != this\n };\n\n ProxyPolyfill.revocable = function(target, handler) {\n const p = new ProxyPolyfill(target, handler);\n return { 'proxy': p, 'revoke': lastRevokeFn };\n };\n\n return ProxyPolyfill;\n}\n","import { Schema, Mark } from 'prosemirror-model';\nimport markdownit from 'markdown-it';\n\n// ::Schema Document schema for the data model used by CommonMark.\nvar schema = new Schema({\n nodes: {\n doc: {\n content: \"block+\"\n },\n\n paragraph: {\n content: \"inline*\",\n group: \"block\",\n parseDOM: [{tag: \"p\"}],\n toDOM: function toDOM() { return [\"p\", 0] }\n },\n\n blockquote: {\n content: \"block+\",\n group: \"block\",\n parseDOM: [{tag: \"blockquote\"}],\n toDOM: function toDOM() { return [\"blockquote\", 0] }\n },\n\n horizontal_rule: {\n group: \"block\",\n parseDOM: [{tag: \"hr\"}],\n toDOM: function toDOM() { return [\"div\", [\"hr\"]] }\n },\n\n heading: {\n attrs: {level: {default: 1}},\n content: \"(text | image)*\",\n group: \"block\",\n defining: true,\n parseDOM: [{tag: \"h1\", attrs: {level: 1}},\n {tag: \"h2\", attrs: {level: 2}},\n {tag: \"h3\", attrs: {level: 3}},\n {tag: \"h4\", attrs: {level: 4}},\n {tag: \"h5\", attrs: {level: 5}},\n {tag: \"h6\", attrs: {level: 6}}],\n toDOM: function toDOM(node) { return [\"h\" + node.attrs.level, 0] }\n },\n\n code_block: {\n content: \"text*\",\n group: \"block\",\n code: true,\n defining: true,\n marks: \"\",\n attrs: {params: {default: \"\"}},\n parseDOM: [{tag: \"pre\", preserveWhitespace: \"full\", getAttrs: function (node) { return (\n {params: node.getAttribute(\"data-params\") || \"\"}\n ); }}],\n toDOM: function toDOM(node) { return [\"pre\", node.attrs.params ? {\"data-params\": node.attrs.params} : {}, [\"code\", 0]] }\n },\n\n ordered_list: {\n content: \"list_item+\",\n group: \"block\",\n attrs: {order: {default: 1}, tight: {default: false}},\n parseDOM: [{tag: \"ol\", getAttrs: function getAttrs(dom) {\n return {order: dom.hasAttribute(\"start\") ? +dom.getAttribute(\"start\") : 1,\n tight: dom.hasAttribute(\"data-tight\")}\n }}],\n toDOM: function toDOM(node) {\n return [\"ol\", {start: node.attrs.order == 1 ? null : node.attrs.order,\n \"data-tight\": node.attrs.tight ? \"true\" : null}, 0]\n }\n },\n\n bullet_list: {\n content: \"list_item+\",\n group: \"block\",\n attrs: {tight: {default: false}},\n parseDOM: [{tag: \"ul\", getAttrs: function (dom) { return ({tight: dom.hasAttribute(\"data-tight\")}); }}],\n toDOM: function toDOM(node) { return [\"ul\", {\"data-tight\": node.attrs.tight ? \"true\" : null}, 0] }\n },\n\n list_item: {\n content: \"paragraph block*\",\n defining: true,\n parseDOM: [{tag: \"li\"}],\n toDOM: function toDOM() { return [\"li\", 0] }\n },\n\n text: {\n group: \"inline\"\n },\n\n image: {\n inline: true,\n attrs: {\n src: {},\n alt: {default: null},\n title: {default: null}\n },\n group: \"inline\",\n draggable: true,\n parseDOM: [{tag: \"img[src]\", getAttrs: function getAttrs(dom) {\n return {\n src: dom.getAttribute(\"src\"),\n title: dom.getAttribute(\"title\"),\n alt: dom.getAttribute(\"alt\")\n }\n }}],\n toDOM: function toDOM(node) { return [\"img\", node.attrs] }\n },\n\n hard_break: {\n inline: true,\n group: \"inline\",\n selectable: false,\n parseDOM: [{tag: \"br\"}],\n toDOM: function toDOM() { return [\"br\"] }\n }\n },\n\n marks: {\n em: {\n parseDOM: [{tag: \"i\"}, {tag: \"em\"},\n {style: \"font-style\", getAttrs: function (value) { return value == \"italic\" && null; }}],\n toDOM: function toDOM() { return [\"em\"] }\n },\n\n strong: {\n parseDOM: [{tag: \"b\"}, {tag: \"strong\"},\n {style: \"font-weight\", getAttrs: function (value) { return /^(bold(er)?|[5-9]\\d{2,})$/.test(value) && null; }}],\n toDOM: function toDOM() { return [\"strong\"] }\n },\n\n link: {\n attrs: {\n href: {},\n title: {default: null}\n },\n inclusive: false,\n parseDOM: [{tag: \"a[href]\", getAttrs: function getAttrs(dom) {\n return {href: dom.getAttribute(\"href\"), title: dom.getAttribute(\"title\")}\n }}],\n toDOM: function toDOM(node) { return [\"a\", node.attrs] }\n },\n\n code: {\n parseDOM: [{tag: \"code\"}],\n toDOM: function toDOM() { return [\"code\"] }\n }\n }\n});\n\nfunction maybeMerge(a, b) {\n if (a.isText && b.isText && Mark.sameSet(a.marks, b.marks))\n { return a.withText(a.text + b.text) }\n}\n\n// Object used to track the context of a running parse.\nvar MarkdownParseState = function MarkdownParseState(schema, tokenHandlers) {\n this.schema = schema;\n this.stack = [{type: schema.topNodeType, content: []}];\n this.marks = Mark.none;\n this.tokenHandlers = tokenHandlers;\n};\n\nMarkdownParseState.prototype.top = function top () {\n return this.stack[this.stack.length - 1]\n};\n\nMarkdownParseState.prototype.push = function push (elt) {\n if (this.stack.length) { this.top().content.push(elt); }\n};\n\n// : (string)\n// Adds the given text to the current position in the document,\n// using the current marks as styling.\nMarkdownParseState.prototype.addText = function addText (text) {\n if (!text) { return }\n var nodes = this.top().content, last = nodes[nodes.length - 1];\n var node = this.schema.text(text, this.marks), merged;\n if (last && (merged = maybeMerge(last, node))) { nodes[nodes.length - 1] = merged; }\n else { nodes.push(node); }\n};\n\n// : (Mark)\n// Adds the given mark to the set of active marks.\nMarkdownParseState.prototype.openMark = function openMark (mark) {\n this.marks = mark.addToSet(this.marks);\n};\n\n// : (Mark)\n// Removes the given mark from the set of active marks.\nMarkdownParseState.prototype.closeMark = function closeMark (mark) {\n this.marks = mark.removeFromSet(this.marks);\n};\n\nMarkdownParseState.prototype.parseTokens = function parseTokens (toks) {\n for (var i = 0; i < toks.length; i++) {\n var tok = toks[i];\n var handler = this.tokenHandlers[tok.type];\n if (!handler)\n { throw new Error(\"Token type `\" + tok.type + \"` not supported by Markdown parser\") }\n handler(this, tok);\n }\n};\n\n// : (NodeType, ?Object, ?[Node]) → ?Node\n// Add a node at the current position.\nMarkdownParseState.prototype.addNode = function addNode (type, attrs, content) {\n var node = type.createAndFill(attrs, content, this.marks);\n if (!node) { return null }\n this.push(node);\n return node\n};\n\n// : (NodeType, ?Object)\n// Wrap subsequent content in a node of the given type.\nMarkdownParseState.prototype.openNode = function openNode (type, attrs) {\n this.stack.push({type: type, attrs: attrs, content: []});\n};\n\n// : () → ?Node\n// Close and return the node that is currently on top of the stack.\nMarkdownParseState.prototype.closeNode = function closeNode () {\n if (this.marks.length) { this.marks = Mark.none; }\n var info = this.stack.pop();\n return this.addNode(info.type, info.attrs, info.content)\n};\n\nfunction attrs(spec, token) {\n if (spec.getAttrs) { return spec.getAttrs(token) }\n // For backwards compatibility when `attrs` is a Function\n else if (spec.attrs instanceof Function) { return spec.attrs(token) }\n else { return spec.attrs }\n}\n\n// Code content is represented as a single token with a `content`\n// property in Markdown-it.\nfunction noCloseToken(spec, type) {\n return spec.noCloseToken || type == \"code_inline\" || type == \"code_block\" || type == \"fence\"\n}\n\nfunction withoutTrailingNewline(str) {\n return str[str.length - 1] == \"\\n\" ? str.slice(0, str.length - 1) : str\n}\n\nfunction noOp() {}\n\nfunction tokenHandlers(schema, tokens) {\n var handlers = Object.create(null);\n var loop = function ( type ) {\n var spec = tokens[type];\n if (spec.block) {\n var nodeType = schema.nodeType(spec.block);\n if (noCloseToken(spec, type)) {\n handlers[type] = function (state, tok) {\n state.openNode(nodeType, attrs(spec, tok));\n state.addText(withoutTrailingNewline(tok.content));\n state.closeNode();\n };\n } else {\n handlers[type + \"_open\"] = function (state, tok) { return state.openNode(nodeType, attrs(spec, tok)); };\n handlers[type + \"_close\"] = function (state) { return state.closeNode(); };\n }\n } else if (spec.node) {\n var nodeType$1 = schema.nodeType(spec.node);\n handlers[type] = function (state, tok) { return state.addNode(nodeType$1, attrs(spec, tok)); };\n } else if (spec.mark) {\n var markType = schema.marks[spec.mark];\n if (noCloseToken(spec, type)) {\n handlers[type] = function (state, tok) {\n state.openMark(markType.create(attrs(spec, tok)));\n state.addText(withoutTrailingNewline(tok.content));\n state.closeMark(markType);\n };\n } else {\n handlers[type + \"_open\"] = function (state, tok) { return state.openMark(markType.create(attrs(spec, tok))); };\n handlers[type + \"_close\"] = function (state) { return state.closeMark(markType); };\n }\n } else if (spec.ignore) {\n if (noCloseToken(spec, type)) {\n handlers[type] = noOp;\n } else {\n handlers[type + '_open'] = noOp;\n handlers[type + '_close'] = noOp;\n }\n } else {\n throw new RangeError(\"Unrecognized parsing spec \" + JSON.stringify(spec))\n }\n };\n\n for (var type in tokens) loop( type );\n\n handlers.text = function (state, tok) { return state.addText(tok.content); };\n handlers.inline = function (state, tok) { return state.parseTokens(tok.children); };\n handlers.softbreak = handlers.softbreak || (function (state) { return state.addText(\"\\n\"); });\n\n return handlers\n}\n\n// ::- A configuration of a Markdown parser. Such a parser uses\n// [markdown-it](https://github.com/markdown-it/markdown-it) to\n// tokenize a file, and then runs the custom rules it is given over\n// the tokens to create a ProseMirror document tree.\nvar MarkdownParser = function MarkdownParser(schema, tokenizer, tokens) {\n // :: Object The value of the `tokens` object used to construct\n // this parser. Can be useful to copy and modify to base other\n // parsers on.\n this.tokens = tokens;\n this.schema = schema;\n this.tokenizer = tokenizer;\n this.tokenHandlers = tokenHandlers(schema, tokens);\n};\n\n// :: (string) → Node\n// Parse a string as [CommonMark](http://commonmark.org/) markup,\n// and create a ProseMirror document as prescribed by this parser's\n// rules.\nMarkdownParser.prototype.parse = function parse (text) {\n var state = new MarkdownParseState(this.schema, this.tokenHandlers), doc;\n state.parseTokens(this.tokenizer.parse(text, {}));\n do { doc = state.closeNode(); } while (state.stack.length)\n return doc\n};\n\n// :: MarkdownParser\n// A parser parsing unextended [CommonMark](http://commonmark.org/),\n// without inline HTML, and producing a document in the basic schema.\nvar defaultMarkdownParser = new MarkdownParser(schema, markdownit(\"commonmark\", {html: false}), {\n blockquote: {block: \"blockquote\"},\n paragraph: {block: \"paragraph\"},\n list_item: {block: \"list_item\"},\n bullet_list: {block: \"bullet_list\"},\n ordered_list: {block: \"ordered_list\", getAttrs: function (tok) { return ({order: +tok.attrGet(\"start\") || 1}); }},\n heading: {block: \"heading\", getAttrs: function (tok) { return ({level: +tok.tag.slice(1)}); }},\n code_block: {block: \"code_block\", noCloseToken: true},\n fence: {block: \"code_block\", getAttrs: function (tok) { return ({params: tok.info || \"\"}); }, noCloseToken: true},\n hr: {node: \"horizontal_rule\"},\n image: {node: \"image\", getAttrs: function (tok) { return ({\n src: tok.attrGet(\"src\"),\n title: tok.attrGet(\"title\") || null,\n alt: tok.children[0] && tok.children[0].content || null\n }); }},\n hardbreak: {node: \"hard_break\"},\n\n em: {mark: \"em\"},\n strong: {mark: \"strong\"},\n link: {mark: \"link\", getAttrs: function (tok) { return ({\n href: tok.attrGet(\"href\"),\n title: tok.attrGet(\"title\") || null\n }); }},\n code_inline: {mark: \"code\", noCloseToken: true}\n});\n\n// ::- A specification for serializing a ProseMirror document as\n// Markdown/CommonMark text.\nvar MarkdownSerializer = function MarkdownSerializer(nodes, marks) {\n // :: Object<(MarkdownSerializerState, Node)> The node serializer\n // functions for this serializer.\n this.nodes = nodes;\n // :: Object The mark serializer info.\n this.marks = marks;\n};\n\n// :: (Node, ?Object) → string\n// Serialize the content of the given node to\n// [CommonMark](http://commonmark.org/).\nMarkdownSerializer.prototype.serialize = function serialize (content, options) {\n var state = new MarkdownSerializerState(this.nodes, this.marks, options);\n state.renderContent(content);\n return state.out\n};\n\n// :: MarkdownSerializer\n// A serializer for the [basic schema](#schema).\nvar defaultMarkdownSerializer = new MarkdownSerializer({\n blockquote: function blockquote(state, node) {\n state.wrapBlock(\"> \", null, node, function () { return state.renderContent(node); });\n },\n code_block: function code_block(state, node) {\n state.write(\"```\" + (node.attrs.params || \"\") + \"\\n\");\n state.text(node.textContent, false);\n state.ensureNewLine();\n state.write(\"```\");\n state.closeBlock(node);\n },\n heading: function heading(state, node) {\n state.write(state.repeat(\"#\", node.attrs.level) + \" \");\n state.renderInline(node);\n state.closeBlock(node);\n },\n horizontal_rule: function horizontal_rule(state, node) {\n state.write(node.attrs.markup || \"---\");\n state.closeBlock(node);\n },\n bullet_list: function bullet_list(state, node) {\n state.renderList(node, \" \", function () { return (node.attrs.bullet || \"*\") + \" \"; });\n },\n ordered_list: function ordered_list(state, node) {\n var start = node.attrs.order || 1;\n var maxW = String(start + node.childCount - 1).length;\n var space = state.repeat(\" \", maxW + 2);\n state.renderList(node, space, function (i) {\n var nStr = String(start + i);\n return state.repeat(\" \", maxW - nStr.length) + nStr + \". \"\n });\n },\n list_item: function list_item(state, node) {\n state.renderContent(node);\n },\n paragraph: function paragraph(state, node) {\n state.renderInline(node);\n state.closeBlock(node);\n },\n\n image: function image(state, node) {\n state.write(\"![\" + state.esc(node.attrs.alt || \"\") + \"](\" + state.esc(node.attrs.src) +\n (node.attrs.title ? \" \" + state.quote(node.attrs.title) : \"\") + \")\");\n },\n hard_break: function hard_break(state, node, parent, index) {\n for (var i = index + 1; i < parent.childCount; i++)\n { if (parent.child(i).type != node.type) {\n state.write(\"\\\\\\n\");\n return\n } }\n },\n text: function text(state, node) {\n state.text(node.text);\n }\n}, {\n em: {open: \"*\", close: \"*\", mixable: true, expelEnclosingWhitespace: true},\n strong: {open: \"**\", close: \"**\", mixable: true, expelEnclosingWhitespace: true},\n link: {\n open: function open(_state, mark, parent, index) {\n return isPlainURL(mark, parent, index, 1) ? \"<\" : \"[\"\n },\n close: function close(state, mark, parent, index) {\n return isPlainURL(mark, parent, index, -1) ? \">\"\n : \"](\" + state.esc(mark.attrs.href) + (mark.attrs.title ? \" \" + state.quote(mark.attrs.title) : \"\") + \")\"\n }\n },\n code: {open: function open(_state, _mark, parent, index) { return backticksFor(parent.child(index), -1) },\n close: function close(_state, _mark, parent, index) { return backticksFor(parent.child(index - 1), 1) },\n escape: false}\n});\n\nfunction backticksFor(node, side) {\n var ticks = /`+/g, m, len = 0;\n if (node.isText) { while (m = ticks.exec(node.text)) { len = Math.max(len, m[0].length); } }\n var result = len > 0 && side > 0 ? \" `\" : \"`\";\n for (var i = 0; i < len; i++) { result += \"`\"; }\n if (len > 0 && side < 0) { result += \" \"; }\n return result\n}\n\nfunction isPlainURL(link, parent, index, side) {\n if (link.attrs.title || !/^\\w+:/.test(link.attrs.href)) { return false }\n var content = parent.child(index + (side < 0 ? -1 : 0));\n if (!content.isText || content.text != link.attrs.href || content.marks[content.marks.length - 1] != link) { return false }\n if (index == (side < 0 ? 1 : parent.childCount - 1)) { return true }\n var next = parent.child(index + (side < 0 ? -2 : 1));\n return !link.isInSet(next.marks)\n}\n\n// ::- This is an object used to track state and expose\n// methods related to markdown serialization. Instances are passed to\n// node and mark serialization methods (see `toMarkdown`).\nvar MarkdownSerializerState = function MarkdownSerializerState(nodes, marks, options) {\n this.nodes = nodes;\n this.marks = marks;\n this.delim = this.out = \"\";\n this.closed = false;\n this.inTightList = false;\n // :: Object\n // The options passed to the serializer.\n // tightLists:: ?bool\n // Whether to render lists in a tight style. This can be overridden\n // on a node level by specifying a tight attribute on the node.\n // Defaults to false.\n this.options = options || {};\n if (typeof this.options.tightLists == \"undefined\")\n { this.options.tightLists = false; }\n};\n\nMarkdownSerializerState.prototype.flushClose = function flushClose (size) {\n if (this.closed) {\n if (!this.atBlank()) { this.out += \"\\n\"; }\n if (size == null) { size = 2; }\n if (size > 1) {\n var delimMin = this.delim;\n var trim = /\\s+$/.exec(delimMin);\n if (trim) { delimMin = delimMin.slice(0, delimMin.length - trim[0].length); }\n for (var i = 1; i < size; i++)\n { this.out += delimMin + \"\\n\"; }\n }\n this.closed = false;\n }\n};\n\n// :: (string, ?string, Node, ())\n// Render a block, prefixing each line with `delim`, and the first\n// line in `firstDelim`. `node` should be the node that is closed at\n// the end of the block, and `f` is a function that renders the\n// content of the block.\nMarkdownSerializerState.prototype.wrapBlock = function wrapBlock (delim, firstDelim, node, f) {\n var old = this.delim;\n this.write(firstDelim || delim);\n this.delim += delim;\n f();\n this.delim = old;\n this.closeBlock(node);\n};\n\nMarkdownSerializerState.prototype.atBlank = function atBlank () {\n return /(^|\\n)$/.test(this.out)\n};\n\n// :: ()\n// Ensure the current content ends with a newline.\nMarkdownSerializerState.prototype.ensureNewLine = function ensureNewLine () {\n if (!this.atBlank()) { this.out += \"\\n\"; }\n};\n\n// :: (?string)\n// Prepare the state for writing output (closing closed paragraphs,\n// adding delimiters, and so on), and then optionally add content\n// (unescaped) to the output.\nMarkdownSerializerState.prototype.write = function write (content) {\n this.flushClose();\n if (this.delim && this.atBlank())\n { this.out += this.delim; }\n if (content) { this.out += content; }\n};\n\n// :: (Node)\n// Close the block for the given node.\nMarkdownSerializerState.prototype.closeBlock = function closeBlock (node) {\n this.closed = node;\n};\n\n// :: (string, ?bool)\n// Add the given text to the document. When escape is not `false`,\n// it will be escaped.\nMarkdownSerializerState.prototype.text = function text (text$1, escape) {\n var lines = text$1.split(\"\\n\");\n for (var i = 0; i < lines.length; i++) {\n var startOfLine = this.atBlank() || this.closed;\n this.write();\n this.out += escape !== false ? this.esc(lines[i], startOfLine) : lines[i];\n if (i != lines.length - 1) { this.out += \"\\n\"; }\n }\n};\n\n// :: (Node)\n// Render the given node as a block.\nMarkdownSerializerState.prototype.render = function render (node, parent, index) {\n if (typeof parent == \"number\") { throw new Error(\"!\") }\n this.nodes[node.type.name](this, node, parent, index);\n};\n\n// :: (Node)\n// Render the contents of `parent` as block nodes.\nMarkdownSerializerState.prototype.renderContent = function renderContent (parent) {\n var this$1 = this;\n\n parent.forEach(function (node, _, i) { return this$1.render(node, parent, i); });\n};\n\n// :: (Node)\n// Render the contents of `parent` as inline content.\nMarkdownSerializerState.prototype.renderInline = function renderInline (parent) {\n var this$1 = this;\n\n var active = [], trailing = \"\";\n var progress = function (node, _, index) {\n var marks = node ? node.marks : [];\n\n // Remove marks from `hard_break` that are the last node inside\n // that mark to prevent parser edge cases with new lines just\n // before closing marks.\n // (FIXME it'd be nice if we had a schema-agnostic way to\n // identify nodes that serialize as hard breaks)\n if (node && node.type.name === \"hard_break\")\n { marks = marks.filter(function (m) {\n if (index + 1 == parent.childCount) { return false }\n var next = parent.child(index + 1);\n return m.isInSet(next.marks) && (!next.isText || /\\S/.test(next.text))\n }); }\n\n var leading = trailing;\n trailing = \"\";\n // If whitespace has to be expelled from the node, adjust\n // leading and trailing accordingly.\n if (node && node.isText && marks.some(function (mark) {\n var info = this$1.marks[mark.type.name];\n return info && info.expelEnclosingWhitespace\n })) {\n var ref = /^(\\s*)(.*?)(\\s*)$/m.exec(node.text);\n var _$1 = ref[0];\n var lead = ref[1];\n var inner$1 = ref[2];\n var trail = ref[3];\n leading += lead;\n trailing = trail;\n if (lead || trail) {\n node = inner$1 ? node.withText(inner$1) : null;\n if (!node) { marks = active; }\n }\n }\n\n var inner = marks.length && marks[marks.length - 1], noEsc = inner && this$1.marks[inner.type.name].escape === false;\n var len = marks.length - (noEsc ? 1 : 0);\n\n // Try to reorder 'mixable' marks, such as em and strong, which\n // in Markdown may be opened and closed in different order, so\n // that order of the marks for the token matches the order in\n // active.\n outer: for (var i = 0; i < len; i++) {\n var mark = marks[i];\n if (!this$1.marks[mark.type.name].mixable) { break }\n for (var j = 0; j < active.length; j++) {\n var other = active[j];\n if (!this$1.marks[other.type.name].mixable) { break }\n if (mark.eq(other)) {\n if (i > j)\n { marks = marks.slice(0, j).concat(mark).concat(marks.slice(j, i)).concat(marks.slice(i + 1, len)); }\n else if (j > i)\n { marks = marks.slice(0, i).concat(marks.slice(i + 1, j)).concat(mark).concat(marks.slice(j, len)); }\n continue outer\n }\n }\n }\n\n // Find the prefix of the mark set that didn't change\n var keep = 0;\n while (keep < Math.min(active.length, len) && marks[keep].eq(active[keep])) { ++keep; }\n\n // Close the marks that need to be closed\n while (keep < active.length)\n { this$1.text(this$1.markString(active.pop(), false, parent, index), false); }\n\n // Output any previously expelled trailing whitespace outside the marks\n if (leading) { this$1.text(leading); }\n\n // Open the marks that need to be opened\n if (node) {\n while (active.length < len) {\n var add = marks[active.length];\n active.push(add);\n this$1.text(this$1.markString(add, true, parent, index), false);\n }\n\n // Render the node. Special case code marks, since their content\n // may not be escaped.\n if (noEsc && node.isText)\n { this$1.text(this$1.markString(inner, true, parent, index) + node.text +\n this$1.markString(inner, false, parent, index + 1), false); }\n else\n { this$1.render(node, parent, index); }\n }\n };\n parent.forEach(progress);\n progress(null, null, parent.childCount);\n};\n\n// :: (Node, string, (number) → string)\n// Render a node's content as a list. `delim` should be the extra\n// indentation added to all lines except the first in an item,\n// `firstDelim` is a function going from an item index to a\n// delimiter for the first line of the item.\nMarkdownSerializerState.prototype.renderList = function renderList (node, delim, firstDelim) {\n var this$1 = this;\n\n if (this.closed && this.closed.type == node.type)\n { this.flushClose(3); }\n else if (this.inTightList)\n { this.flushClose(1); }\n\n var isTight = typeof node.attrs.tight != \"undefined\" ? node.attrs.tight : this.options.tightLists;\n var prevTight = this.inTightList;\n this.inTightList = isTight;\n node.forEach(function (child, _, i) {\n if (i && isTight) { this$1.flushClose(1); }\n this$1.wrapBlock(delim, firstDelim(i), node, function () { return this$1.render(child, node, i); });\n });\n this.inTightList = prevTight;\n};\n\n// :: (string, ?bool) → string\n// Escape the given string so that it can safely appear in Markdown\n// content. If `startOfLine` is true, also escape characters that\n// has special meaning only at the start of the line.\nMarkdownSerializerState.prototype.esc = function esc (str, startOfLine) {\n str = str.replace(/[`*\\\\~\\[\\]]/g, \"\\\\$&\");\n if (startOfLine) { str = str.replace(/^[:#\\-*+]/, \"\\\\$&\").replace(/^(\\d+)\\./, \"$1\\\\.\"); }\n return str\n};\n\nMarkdownSerializerState.prototype.quote = function quote (str) {\n var wrap = str.indexOf('\"') == -1 ? '\"\"' : str.indexOf(\"'\") == -1 ? \"''\" : \"()\";\n return wrap[0] + str + wrap[1]\n};\n\n// :: (string, number) → string\n// Repeat the given string `n` times.\nMarkdownSerializerState.prototype.repeat = function repeat (str, n) {\n var out = \"\";\n for (var i = 0; i < n; i++) { out += str; }\n return out\n};\n\n// : (Mark, bool, string?) → string\n// Get the markdown string for a given opening or closing mark.\nMarkdownSerializerState.prototype.markString = function markString (mark, open, parent, index) {\n var info = this.marks[mark.type.name];\n var value = open ? info.open : info.close;\n return typeof value == \"string\" ? value : value(this, mark, parent, index)\n};\n\n// :: (string) → { leading: ?string, trailing: ?string }\n// Get leading and trailing whitespace from a string. Values of\n// leading or trailing property of the return object will be undefined\n// if there is no match.\nMarkdownSerializerState.prototype.getEnclosingWhitespace = function getEnclosingWhitespace (text) {\n return {\n leading: (text.match(/^(\\s+)/) || [])[0],\n trailing: (text.match(/(\\s+)$/) || [])[0]\n }\n};\n\nexport { MarkdownParser, MarkdownSerializer, MarkdownSerializerState, defaultMarkdownParser, defaultMarkdownSerializer, schema };\n//# sourceMappingURL=index.es.js.map\n"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/vendors~editor~files-modal.js b/js/vendors~editor~files-modal.js
index c7d13cb46..e3b4dac7f 100644
--- a/js/vendors~editor~files-modal.js
+++ b/js/vendors~editor~files-modal.js
@@ -1,4 +1,4 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[188],{189:function(e,t,n){"use strict";function r(){return"undefined"==typeof OC?(console.warn("No OC found"),"en"):OC.getLocale()}n(53),n(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getLocale=r,t.getCanonicalLocale=function(){return r().replace(/_/g,"-")},t.getLanguage=function(){if("undefined"==typeof OC)return console.warn("No OC found"),"en";return OC.getLanguage()},t.translate=function(e,t,n,r,l){if("undefined"==typeof OC)return console.warn("No OC found"),t;return OC.L10N.translate(e,t,n,r,l)},t.translatePlural=function(e,t,n,r,l,a){if("undefined"==typeof OC)return console.warn("No OC found"),t;return OC.L10N.translatePlural(e,t,n,r,l,a)},t.getFirstDay=function(){if(void 0===window.firstDay)return console.warn("No firstDay found"),1;return window.firstDay},t.getDayNames=function(){if(void 0===window.dayNames)return console.warn("No dayNames found"),["Sunday","Monday","Tuesday","Wednesday","Thursday","Friday","Saturday"];return window.dayNames},t.getDayNamesShort=function(){if(void 0===window.dayNamesShort)return console.warn("No dayNamesShort found"),["Sun.","Mon.","Tue.","Wed.","Thu.","Fri.","Sat."];return window.dayNamesShort},t.getDayNamesMin=function(){if(void 0===window.dayNamesMin)return console.warn("No dayNamesMin found"),["Su","Mo","Tu","We","Th","Fr","Sa"];return window.dayNamesMin},t.getMonthNames=function(){if(void 0===window.monthNames)return console.warn("No monthNames found"),["January","February","March","April","May","June","July","August","September","October","November","December"];return window.monthNames},t.getMonthNamesShort=function(){if(void 0===window.monthNamesShort)return console.warn("No monthNamesShort found"),["Jan.","Feb.","Mar.","Apr.","May.","Jun.","Jul.","Aug.","Sep.","Oct.","Nov.","Dec."];return window.monthNamesShort}},193:function(e,t,n){"use strict";n.r(t),function(e){n.d(t,"VClosePopover",(function(){return ur})),n.d(t,"VPopover",(function(){return pr})),n.d(t,"VTooltip",(function(){return ir})),n.d(t,"createTooltip",(function(){return Zt})),n.d(t,"destroyTooltip",(function(){return en})),n.d(t,"install",(function(){return sr}));var r=n(625),l=n(624);function a(e){return(a="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e})(e)}function o(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function s(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function i(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function u(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?i(Object(n),!0).forEach((function(t){s(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):i(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var p=function(){};function c(e){return"string"==typeof e&&(e=e.split(" ")),e}function f(e,t){var n,r=c(t);n=e.className instanceof p?c(e.className.baseVal):c(e.className),r.forEach((function(e){-1===n.indexOf(e)&&n.push(e)})),e instanceof SVGElement?e.setAttribute("class",n.join(" ")):e.className=n.join(" ")}function d(e,t){var n,r=c(t);n=e.className instanceof p?c(e.className.baseVal):c(e.className),r.forEach((function(e){var t=n.indexOf(e);-1!==t&&n.splice(t,1)})),e instanceof SVGElement?e.setAttribute("class",n.join(" ")):e.className=n.join(" ")}"undefined"!=typeof window&&(p=window.SVGAnimatedString);var h=!1;if("undefined"!=typeof window){h=!1;try{var m=Object.defineProperty({},"passive",{get:function(){h=!0}});window.addEventListener("test",null,m)}catch(e){}}var v=function(){this.__data__=[],this.size=0};var g=function(e,t){return e===t||e!=e&&t!=t};var y=function(e,t){for(var n=e.length;n--;)if(g(e[n][0],t))return n;return-1},b=Array.prototype.splice;var _=function(e){var t=this.__data__,n=y(t,e);return!(n<0)&&(n==t.length-1?t.pop():b.call(t,n,1),--this.size,!0)};var x=function(e){var t=this.__data__,n=y(t,e);return n<0?void 0:t[n][1]};var w=function(e){return y(this.__data__,e)>-1};var T=function(e,t){var n=this.__data__,r=y(n,e);return r<0?(++this.size,n.push([e,t])):n[r][1]=t,this};function O(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}O.prototype.clear=v,O.prototype.delete=_,O.prototype.get=x,O.prototype.has=w,O.prototype.set=T;var F=O;var j=function(){this.__data__=new F,this.size=0};var E=function(e){var t=this.__data__,n=t.delete(e);return this.size=t.size,n};var C=function(e){return this.__data__.get(e)};var N=function(e){return this.__data__.has(e)},S="undefined"!=typeof globalThis?globalThis:"undefined"!=typeof window?window:void 0!==e?e:"undefined"!=typeof self?self:{};function k(e,t){return e(t={exports:{}},t.exports),t.exports}var $="object"==typeof S&&S&&S.Object===Object&&S,L="object"==typeof self&&self&&self.Object===Object&&self,A=$||L||Function("return this")(),P=A.Symbol,D=Object.prototype,M=D.hasOwnProperty,I=D.toString,z=P?P.toStringTag:void 0;var H=function(e){var t=M.call(e,z),n=e[z];try{e[z]=void 0;var r=!0}catch(e){}var l=I.call(e);return r&&(t?e[z]=n:delete e[z]),l},B=Object.prototype.toString;var R=function(e){return B.call(e)},W=P?P.toStringTag:void 0;var V=function(e){return null==e?void 0===e?"[object Undefined]":"[object Null]":W&&W in Object(e)?H(e):R(e)};var U=function(e){var t=typeof e;return null!=e&&("object"==t||"function"==t)};var q,G=function(e){if(!U(e))return!1;var t=V(e);return"[object Function]"==t||"[object GeneratorFunction]"==t||"[object AsyncFunction]"==t||"[object Proxy]"==t},Y=A["__core-js_shared__"],J=(q=/[^.]+$/.exec(Y&&Y.keys&&Y.keys.IE_PROTO||""))?"Symbol(src)_1."+q:"";var K=function(e){return!!J&&J in e},X=Function.prototype.toString;var Q=function(e){if(null!=e){try{return X.call(e)}catch(e){}try{return e+""}catch(e){}}return""},Z=/^\[object .+?Constructor\]$/,ee=Function.prototype,te=Object.prototype,ne=ee.toString,re=te.hasOwnProperty,le=RegExp("^"+ne.call(re).replace(/[\\^$.*+?()[\]{}|]/g,"\\$&").replace(/hasOwnProperty|(function).*?(?=\\\()| for .+?(?=\\\])/g,"$1.*?")+"$");var ae=function(e){return!(!U(e)||K(e))&&(G(e)?le:Z).test(Q(e))};var oe=function(e,t){return null==e?void 0:e[t]};var se=function(e,t){var n=oe(e,t);return ae(n)?n:void 0},ie=se(A,"Map"),ue=se(Object,"create");var pe=function(){this.__data__=ue?ue(null):{},this.size=0};var ce=function(e){var t=this.has(e)&&delete this.__data__[e];return this.size-=t?1:0,t},fe=Object.prototype.hasOwnProperty;var de=function(e){var t=this.__data__;if(ue){var n=t[e];return"__lodash_hash_undefined__"===n?void 0:n}return fe.call(t,e)?t[e]:void 0},he=Object.prototype.hasOwnProperty;var me=function(e){var t=this.__data__;return ue?void 0!==t[e]:he.call(t,e)};var ve=function(e,t){var n=this.__data__;return this.size+=this.has(e)?0:1,n[e]=ue&&void 0===t?"__lodash_hash_undefined__":t,this};function ge(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}ge.prototype.clear=pe,ge.prototype.delete=ce,ge.prototype.get=de,ge.prototype.has=me,ge.prototype.set=ve;var ye=ge;var be=function(){this.size=0,this.__data__={hash:new ye,map:new(ie||F),string:new ye}};var _e=function(e){var t=typeof e;return"string"==t||"number"==t||"symbol"==t||"boolean"==t?"__proto__"!==e:null===e};var xe=function(e,t){var n=e.__data__;return _e(t)?n["string"==typeof t?"string":"hash"]:n.map};var we=function(e){var t=xe(this,e).delete(e);return this.size-=t?1:0,t};var Te=function(e){return xe(this,e).get(e)};var Oe=function(e){return xe(this,e).has(e)};var Fe=function(e,t){var n=xe(this,e),r=n.size;return n.set(e,t),this.size+=n.size==r?0:1,this};function je(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}je.prototype.clear=be,je.prototype.delete=we,je.prototype.get=Te,je.prototype.has=Oe,je.prototype.set=Fe;var Ee=je;var Ce=function(e,t){var n=this.__data__;if(n instanceof F){var r=n.__data__;if(!ie||r.length<199)return r.push([e,t]),this.size=++n.size,this;n=this.__data__=new Ee(r)}return n.set(e,t),this.size=n.size,this};function Ne(e){var t=this.__data__=new F(e);this.size=t.size}Ne.prototype.clear=j,Ne.prototype.delete=E,Ne.prototype.get=C,Ne.prototype.has=N,Ne.prototype.set=Ce;var Se=Ne;var ke=function(e){return this.__data__.set(e,"__lodash_hash_undefined__"),this};var $e=function(e){return this.__data__.has(e)};function Le(e){var t=-1,n=null==e?0:e.length;for(this.__data__=new Ee;++t<n;)this.add(e[t])}Le.prototype.add=Le.prototype.push=ke,Le.prototype.has=$e;var Ae=Le;var Pe=function(e,t){for(var n=-1,r=null==e?0:e.length;++n<r;)if(t(e[n],n,e))return!0;return!1};var De=function(e,t){return e.has(t)};var Me=function(e,t,n,r,l,a){var o=1&n,s=e.length,i=t.length;if(s!=i&&!(o&&i>s))return!1;var u=a.get(e);if(u&&a.get(t))return u==t;var p=-1,c=!0,f=2&n?new Ae:void 0;for(a.set(e,t),a.set(t,e);++p<s;){var d=e[p],h=t[p];if(r)var m=o?r(h,d,p,t,e,a):r(d,h,p,e,t,a);if(void 0!==m){if(m)continue;c=!1;break}if(f){if(!Pe(t,(function(e,t){if(!De(f,t)&&(d===e||l(d,e,n,r,a)))return f.push(t)}))){c=!1;break}}else if(d!==h&&!l(d,h,n,r,a)){c=!1;break}}return a.delete(e),a.delete(t),c},Ie=A.Uint8Array;var ze=function(e){var t=-1,n=Array(e.size);return e.forEach((function(e,r){n[++t]=[r,e]})),n};var He=function(e){var t=-1,n=Array(e.size);return e.forEach((function(e){n[++t]=e})),n},Be=P?P.prototype:void 0,Re=Be?Be.valueOf:void 0;var We=function(e,t,n,r,l,a,o){switch(n){case"[object DataView]":if(e.byteLength!=t.byteLength||e.byteOffset!=t.byteOffset)return!1;e=e.buffer,t=t.buffer;case"[object ArrayBuffer]":return!(e.byteLength!=t.byteLength||!a(new Ie(e),new Ie(t)));case"[object Boolean]":case"[object Date]":case"[object Number]":return g(+e,+t);case"[object Error]":return e.name==t.name&&e.message==t.message;case"[object RegExp]":case"[object String]":return e==t+"";case"[object Map]":var s=ze;case"[object Set]":var i=1&r;if(s||(s=He),e.size!=t.size&&!i)return!1;var u=o.get(e);if(u)return u==t;r|=2,o.set(e,t);var p=Me(s(e),s(t),r,l,a,o);return o.delete(e),p;case"[object Symbol]":if(Re)return Re.call(e)==Re.call(t)}return!1};var Ve=function(e,t){for(var n=-1,r=t.length,l=e.length;++n<r;)e[l+n]=t[n];return e},Ue=Array.isArray;var qe=function(e,t,n){var r=t(e);return Ue(e)?r:Ve(r,n(e))};var Ge=function(e,t){for(var n=-1,r=null==e?0:e.length,l=0,a=[];++n<r;){var o=e[n];t(o,n,e)&&(a[l++]=o)}return a};var Ye=function(){return[]},Je=Object.prototype.propertyIsEnumerable,Ke=Object.getOwnPropertySymbols,Xe=Ke?function(e){return null==e?[]:(e=Object(e),Ge(Ke(e),(function(t){return Je.call(e,t)})))}:Ye;var Qe=function(e,t){for(var n=-1,r=Array(e);++n<e;)r[n]=t(n);return r};var Ze=function(e){return null!=e&&"object"==typeof e};var et=function(e){return Ze(e)&&"[object Arguments]"==V(e)},tt=Object.prototype,nt=tt.hasOwnProperty,rt=tt.propertyIsEnumerable,lt=et(function(){return arguments}())?et:function(e){return Ze(e)&&nt.call(e,"callee")&&!rt.call(e,"callee")};var at=function(){return!1},ot=k((function(e,t){var n=t&&!t.nodeType&&t,r=n&&e&&!e.nodeType&&e,l=r&&r.exports===n?A.Buffer:void 0,a=(l?l.isBuffer:void 0)||at;e.exports=a})),st=/^(?:0|[1-9]\d*)$/;var it=function(e,t){var n=typeof e;return!!(t=null==t?9007199254740991:t)&&("number"==n||"symbol"!=n&&st.test(e))&&e>-1&&e%1==0&&e<t};var ut=function(e){return"number"==typeof e&&e>-1&&e%1==0&&e<=9007199254740991},pt={};pt["[object Float32Array]"]=pt["[object Float64Array]"]=pt["[object Int8Array]"]=pt["[object Int16Array]"]=pt["[object Int32Array]"]=pt["[object Uint8Array]"]=pt["[object Uint8ClampedArray]"]=pt["[object Uint16Array]"]=pt["[object Uint32Array]"]=!0,pt["[object Arguments]"]=pt["[object Array]"]=pt["[object ArrayBuffer]"]=pt["[object Boolean]"]=pt["[object DataView]"]=pt["[object Date]"]=pt["[object Error]"]=pt["[object Function]"]=pt["[object Map]"]=pt["[object Number]"]=pt["[object Object]"]=pt["[object RegExp]"]=pt["[object Set]"]=pt["[object String]"]=pt["[object WeakMap]"]=!1;var ct=function(e){return Ze(e)&&ut(e.length)&&!!pt[V(e)]};var ft=function(e){return function(t){return e(t)}},dt=k((function(e,t){var n=t&&!t.nodeType&&t,r=n&&e&&!e.nodeType&&e,l=r&&r.exports===n&&$.process,a=function(){try{var e=r&&r.require&&r.require("util").types;return e||l&&l.binding&&l.binding("util")}catch(e){}}();e.exports=a})),ht=dt&&dt.isTypedArray,mt=ht?ft(ht):ct,vt=Object.prototype.hasOwnProperty;var gt=function(e,t){var n=Ue(e),r=!n&&lt(e),l=!n&&!r&&ot(e),a=!n&&!r&&!l&&mt(e),o=n||r||l||a,s=o?Qe(e.length,String):[],i=s.length;for(var u in e)!t&&!vt.call(e,u)||o&&("length"==u||l&&("offset"==u||"parent"==u)||a&&("buffer"==u||"byteLength"==u||"byteOffset"==u)||it(u,i))||s.push(u);return s},yt=Object.prototype;var bt=function(e){var t=e&&e.constructor;return e===("function"==typeof t&&t.prototype||yt)};var _t=function(e,t){return function(n){return e(t(n))}},xt=_t(Object.keys,Object),wt=Object.prototype.hasOwnProperty;var Tt=function(e){if(!bt(e))return xt(e);var t=[];for(var n in Object(e))wt.call(e,n)&&"constructor"!=n&&t.push(n);return t};var Ot=function(e){return null!=e&&ut(e.length)&&!G(e)};var Ft=function(e){return Ot(e)?gt(e):Tt(e)};var jt=function(e){return qe(e,Ft,Xe)},Et=Object.prototype.hasOwnProperty;var Ct=function(e,t,n,r,l,a){var o=1&n,s=jt(e),i=s.length;if(i!=jt(t).length&&!o)return!1;for(var u=i;u--;){var p=s[u];if(!(o?p in t:Et.call(t,p)))return!1}var c=a.get(e);if(c&&a.get(t))return c==t;var f=!0;a.set(e,t),a.set(t,e);for(var d=o;++u<i;){var h=e[p=s[u]],m=t[p];if(r)var v=o?r(m,h,p,t,e,a):r(h,m,p,e,t,a);if(!(void 0===v?h===m||l(h,m,n,r,a):v)){f=!1;break}d||(d="constructor"==p)}if(f&&!d){var g=e.constructor,y=t.constructor;g==y||!("constructor"in e)||!("constructor"in t)||"function"==typeof g&&g instanceof g&&"function"==typeof y&&y instanceof y||(f=!1)}return a.delete(e),a.delete(t),f},Nt=se(A,"DataView"),St=se(A,"Promise"),kt=se(A,"Set"),$t=se(A,"WeakMap"),Lt=Q(Nt),At=Q(ie),Pt=Q(St),Dt=Q(kt),Mt=Q($t),It=V;(Nt&&"[object DataView]"!=It(new Nt(new ArrayBuffer(1)))||ie&&"[object Map]"!=It(new ie)||St&&"[object Promise]"!=It(St.resolve())||kt&&"[object Set]"!=It(new kt)||$t&&"[object WeakMap]"!=It(new $t))&&(It=function(e){var t=V(e),n="[object Object]"==t?e.constructor:void 0,r=n?Q(n):"";if(r)switch(r){case Lt:return"[object DataView]";case At:return"[object Map]";case Pt:return"[object Promise]";case Dt:return"[object Set]";case Mt:return"[object WeakMap]"}return t});var zt=It,Ht=Object.prototype.hasOwnProperty;var Bt=function(e,t,n,r,l,a){var o=Ue(e),s=Ue(t),i=o?"[object Array]":zt(e),u=s?"[object Array]":zt(t),p="[object Object]"==(i="[object Arguments]"==i?"[object Object]":i),c="[object Object]"==(u="[object Arguments]"==u?"[object Object]":u),f=i==u;if(f&&ot(e)){if(!ot(t))return!1;o=!0,p=!1}if(f&&!p)return a||(a=new Se),o||mt(e)?Me(e,t,n,r,l,a):We(e,t,i,n,r,l,a);if(!(1&n)){var d=p&&Ht.call(e,"__wrapped__"),h=c&&Ht.call(t,"__wrapped__");if(d||h){var m=d?e.value():e,v=h?t.value():t;return a||(a=new Se),l(m,v,n,r,a)}}return!!f&&(a||(a=new Se),Ct(e,t,n,r,l,a))};var Rt=function e(t,n,r,l,a){return t===n||(null==t||null==n||!Ze(t)&&!Ze(n)?t!=t&&n!=n:Bt(t,n,r,l,e,a))};var Wt=function(e,t){return Rt(e,t)},Vt={container:!1,delay:0,html:!1,placement:"top",title:"",template:'<div class="tooltip" role="tooltip"><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>',trigger:"hover focus",offset:0},Ut=[],qt=function(){function e(t,n){var r=this;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),s(this,"_events",[]),s(this,"_setTooltipNodeEvent",(function(e,t,n,l){var a=e.relatedreference||e.toElement||e.relatedTarget;return!!r._tooltipNode.contains(a)&&(r._tooltipNode.addEventListener(e.type,(function n(a){var o=a.relatedreference||a.toElement||a.relatedTarget;r._tooltipNode.removeEventListener(e.type,n),t.contains(o)||r._scheduleHide(t,l.delay,l,a)})),!0)})),n=u({},Vt,{},n),t.jquery&&(t=t[0]),this.show=this.show.bind(this),this.hide=this.hide.bind(this),this.reference=t,this.options=n,this._isOpen=!1,this._init()}var t,n,l;return t=e,(n=[{key:"show",value:function(){this._show(this.reference,this.options)}},{key:"hide",value:function(){this._hide()}},{key:"dispose",value:function(){this._dispose()}},{key:"toggle",value:function(){return this._isOpen?this.hide():this.show()}},{key:"setClasses",value:function(e){this._classes=e}},{key:"setContent",value:function(e){this.options.title=e,this._tooltipNode&&this._setContent(e,this.options)}},{key:"setOptions",value:function(e){var t=!1,n=e&&e.classes||nn.options.defaultClass;Wt(this._classes,n)||(this.setClasses(n),t=!0),e=Kt(e);var r=!1,l=!1;for(var a in this.options.offset===e.offset&&this.options.placement===e.placement||(r=!0),(this.options.template!==e.template||this.options.trigger!==e.trigger||this.options.container!==e.container||t)&&(l=!0),e)this.options[a]=e[a];if(this._tooltipNode)if(l){var o=this._isOpen;this.dispose(),this._init(),o&&this.show()}else r&&this.popperInstance.update()}},{key:"_init",value:function(){var e="string"==typeof this.options.trigger?this.options.trigger.split(" "):[];this._isDisposed=!1,this._enableDocumentTouch=-1===e.indexOf("manual"),e=e.filter((function(e){return-1!==["click","hover","focus"].indexOf(e)})),this._setEventListeners(this.reference,e,this.options),this.$_originalTitle=this.reference.getAttribute("title"),this.reference.removeAttribute("title"),this.reference.setAttribute("data-original-title",this.$_originalTitle)}},{key:"_create",value:function(e,t){var n=window.document.createElement("div");n.innerHTML=t.trim();var r=n.childNodes[0];return r.id="tooltip_".concat(Math.random().toString(36).substr(2,10)),r.setAttribute("aria-hidden","true"),this.options.autoHide&&-1!==this.options.trigger.indexOf("hover")&&(r.addEventListener("mouseenter",this.hide),r.addEventListener("click",this.hide)),r}},{key:"_setContent",value:function(e,t){var n=this;this.asyncContent=!1,this._applyContent(e,t).then((function(){n.popperInstance.update()}))}},{key:"_applyContent",value:function(e,t){var n=this;return new Promise((function(r,l){var a=t.html,o=n._tooltipNode;if(o){var s=o.querySelector(n.options.innerSelector);if(1===e.nodeType){if(a){for(;s.firstChild;)s.removeChild(s.firstChild);s.appendChild(e)}}else{if("function"==typeof e){var i=e();return void(i&&"function"==typeof i.then?(n.asyncContent=!0,t.loadingClass&&f(o,t.loadingClass),t.loadingContent&&n._applyContent(t.loadingContent,t),i.then((function(e){return t.loadingClass&&d(o,t.loadingClass),n._applyContent(e,t)})).then(r).catch(l)):n._applyContent(i,t).then(r).catch(l))}a?s.innerHTML=e:s.innerText=e}r()}}))}},{key:"_show",value:function(e,t){if(!t||"string"!=typeof t.container||document.querySelector(t.container)){clearTimeout(this._disposeTimer),delete(t=Object.assign({},t)).offset;var n=!0;this._tooltipNode&&(f(this._tooltipNode,this._classes),n=!1);var r=this._ensureShown(e,t);return n&&this._tooltipNode&&f(this._tooltipNode,this._classes),f(e,["v-tooltip-open"]),r}}},{key:"_ensureShown",value:function(e,t){var n=this;if(this._isOpen)return this;if(this._isOpen=!0,Ut.push(this),this._tooltipNode)return this._tooltipNode.style.display="",this._tooltipNode.setAttribute("aria-hidden","false"),this.popperInstance.enableEventListeners(),this.popperInstance.update(),this.asyncContent&&this._setContent(t.title,t),this;var l=e.getAttribute("title")||t.title;if(!l)return this;var a=this._create(e,t.template);this._tooltipNode=a,e.setAttribute("aria-describedby",a.id);var o=this._findContainer(t.container,e);this._append(a,o);var s=u({},t.popperOptions,{placement:t.placement});return s.modifiers=u({},s.modifiers,{arrow:{element:this.options.arrowSelector}}),t.boundariesElement&&(s.modifiers.preventOverflow={boundariesElement:t.boundariesElement}),this.popperInstance=new r.a(e,a,s),this._setContent(l,t),requestAnimationFrame((function(){!n._isDisposed&&n.popperInstance?(n.popperInstance.update(),requestAnimationFrame((function(){n._isDisposed?n.dispose():n._isOpen&&a.setAttribute("aria-hidden","false")}))):n.dispose()})),this}},{key:"_noLongerOpen",value:function(){var e=Ut.indexOf(this);-1!==e&&Ut.splice(e,1)}},{key:"_hide",value:function(){var e=this;if(!this._isOpen)return this;this._isOpen=!1,this._noLongerOpen(),this._tooltipNode.style.display="none",this._tooltipNode.setAttribute("aria-hidden","true"),this.popperInstance.disableEventListeners(),clearTimeout(this._disposeTimer);var t=nn.options.disposeTimeout;return null!==t&&(this._disposeTimer=setTimeout((function(){e._tooltipNode&&(e._tooltipNode.removeEventListener("mouseenter",e.hide),e._tooltipNode.removeEventListener("click",e.hide),e._removeTooltipNode())}),t)),d(this.reference,["v-tooltip-open"]),this}},{key:"_removeTooltipNode",value:function(){if(this._tooltipNode){var e=this._tooltipNode.parentNode;e&&(e.removeChild(this._tooltipNode),this.reference.removeAttribute("aria-describedby")),this._tooltipNode=null}}},{key:"_dispose",value:function(){var e=this;return this._isDisposed=!0,this.reference.removeAttribute("data-original-title"),this.$_originalTitle&&this.reference.setAttribute("title",this.$_originalTitle),this._events.forEach((function(t){var n=t.func,r=t.event;e.reference.removeEventListener(r,n)})),this._events=[],this._tooltipNode?(this._hide(),this._tooltipNode.removeEventListener("mouseenter",this.hide),this._tooltipNode.removeEventListener("click",this.hide),this.popperInstance.destroy(),this.popperInstance.options.removeOnDestroy||this._removeTooltipNode()):this._noLongerOpen(),this}},{key:"_findContainer",value:function(e,t){return"string"==typeof e?e=window.document.querySelector(e):!1===e&&(e=t.parentNode),e}},{key:"_append",value:function(e,t){t.appendChild(e)}},{key:"_setEventListeners",value:function(e,t,n){var r=this,l=[],a=[];t.forEach((function(e){switch(e){case"hover":l.push("mouseenter"),a.push("mouseleave"),r.options.hideOnTargetClick&&a.push("click");break;case"focus":l.push("focus"),a.push("blur"),r.options.hideOnTargetClick&&a.push("click");break;case"click":l.push("click"),a.push("click")}})),l.forEach((function(t){var l=function(t){!0!==r._isOpen&&(t.usedByTooltip=!0,r._scheduleShow(e,n.delay,n,t))};r._events.push({event:t,func:l}),e.addEventListener(t,l)})),a.forEach((function(t){var l=function(t){!0!==t.usedByTooltip&&r._scheduleHide(e,n.delay,n,t)};r._events.push({event:t,func:l}),e.addEventListener(t,l)}))}},{key:"_onDocumentTouch",value:function(e){this._enableDocumentTouch&&this._scheduleHide(this.reference,this.options.delay,this.options,e)}},{key:"_scheduleShow",value:function(e,t,n){var r=this,l=t&&t.show||t||0;clearTimeout(this._scheduleTimer),this._scheduleTimer=window.setTimeout((function(){return r._show(e,n)}),l)}},{key:"_scheduleHide",value:function(e,t,n,r){var l=this,a=t&&t.hide||t||0;clearTimeout(this._scheduleTimer),this._scheduleTimer=window.setTimeout((function(){if(!1!==l._isOpen&&l._tooltipNode.ownerDocument.body.contains(l._tooltipNode)){if("mouseleave"===r.type&&l._setTooltipNodeEvent(r,e,t,n))return;l._hide(e,n)}}),a)}}])&&o(t.prototype,n),l&&o(t,l),e}();"undefined"!=typeof document&&document.addEventListener("touchstart",(function(e){for(var t=0;t<Ut.length;t++)Ut[t]._onDocumentTouch(e)}),!h||{passive:!0,capture:!0});var Gt={enabled:!0},Yt=["top","top-start","top-end","right","right-start","right-end","bottom","bottom-start","bottom-end","left","left-start","left-end"],Jt={defaultPlacement:"top",defaultClass:"vue-tooltip-theme",defaultTargetClass:"has-tooltip",defaultHtml:!0,defaultTemplate:'<div class="tooltip" role="tooltip"><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>',defaultArrowSelector:".tooltip-arrow, .tooltip__arrow",defaultInnerSelector:".tooltip-inner, .tooltip__inner",defaultDelay:0,defaultTrigger:"hover focus",defaultOffset:0,defaultContainer:"body",defaultBoundariesElement:void 0,defaultPopperOptions:{},defaultLoadingClass:"tooltip-loading",defaultLoadingContent:"...",autoHide:!0,defaultHideOnTargetClick:!0,disposeTimeout:5e3,popover:{defaultPlacement:"bottom",defaultClass:"vue-popover-theme",defaultBaseClass:"tooltip popover",defaultWrapperClass:"wrapper",defaultInnerClass:"tooltip-inner popover-inner",defaultArrowClass:"tooltip-arrow popover-arrow",defaultOpenClass:"open",defaultDelay:0,defaultTrigger:"click",defaultOffset:0,defaultContainer:"body",defaultBoundariesElement:void 0,defaultPopperOptions:{},defaultAutoHide:!0,defaultHandleResize:!0}};function Kt(e){var t={placement:void 0!==e.placement?e.placement:nn.options.defaultPlacement,delay:void 0!==e.delay?e.delay:nn.options.defaultDelay,html:void 0!==e.html?e.html:nn.options.defaultHtml,template:void 0!==e.template?e.template:nn.options.defaultTemplate,arrowSelector:void 0!==e.arrowSelector?e.arrowSelector:nn.options.defaultArrowSelector,innerSelector:void 0!==e.innerSelector?e.innerSelector:nn.options.defaultInnerSelector,trigger:void 0!==e.trigger?e.trigger:nn.options.defaultTrigger,offset:void 0!==e.offset?e.offset:nn.options.defaultOffset,container:void 0!==e.container?e.container:nn.options.defaultContainer,boundariesElement:void 0!==e.boundariesElement?e.boundariesElement:nn.options.defaultBoundariesElement,autoHide:void 0!==e.autoHide?e.autoHide:nn.options.autoHide,hideOnTargetClick:void 0!==e.hideOnTargetClick?e.hideOnTargetClick:nn.options.defaultHideOnTargetClick,loadingClass:void 0!==e.loadingClass?e.loadingClass:nn.options.defaultLoadingClass,loadingContent:void 0!==e.loadingContent?e.loadingContent:nn.options.defaultLoadingContent,popperOptions:u({},void 0!==e.popperOptions?e.popperOptions:nn.options.defaultPopperOptions)};if(t.offset){var n=a(t.offset),r=t.offset;("number"===n||"string"===n&&-1===r.indexOf(","))&&(r="0, ".concat(r)),t.popperOptions.modifiers||(t.popperOptions.modifiers={}),t.popperOptions.modifiers.offset={offset:r}}return t.trigger&&-1!==t.trigger.indexOf("click")&&(t.hideOnTargetClick=!1),t}function Xt(e,t){for(var n=e.placement,r=0;r<Yt.length;r++){var l=Yt[r];t[l]&&(n=l)}return n}function Qt(e){var t=a(e);return"string"===t?e:!(!e||"object"!==t)&&e.content}function Zt(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},r=Qt(t),l=void 0!==t.classes?t.classes:nn.options.defaultClass,a=u({title:r},Kt(u({},t,{placement:Xt(t,n)}))),o=e._tooltip=new qt(e,a);o.setClasses(l),o._vueEl=e;var s=void 0!==t.targetClasses?t.targetClasses:nn.options.defaultTargetClass;return e._tooltipTargetClasses=s,f(e,s),o}function en(e){e._tooltip&&(e._tooltip.dispose(),delete e._tooltip,delete e._tooltipOldShow),e._tooltipTargetClasses&&(d(e,e._tooltipTargetClasses),delete e._tooltipTargetClasses)}function tn(e,t){var n,r=t.value,l=(t.oldValue,t.modifiers),a=Qt(r);a&&Gt.enabled?(e._tooltip?((n=e._tooltip).setContent(a),n.setOptions(u({},r,{placement:Xt(r,l)}))):n=Zt(e,r,l),void 0!==r.show&&r.show!==e._tooltipOldShow&&(e._tooltipOldShow=r.show,r.show?n.show():n.hide())):en(e)}var nn={options:Jt,bind:tn,update:tn,unbind:function(e){en(e)}};function rn(e){e.addEventListener("click",an),e.addEventListener("touchstart",on,!!h&&{passive:!0})}function ln(e){e.removeEventListener("click",an),e.removeEventListener("touchstart",on),e.removeEventListener("touchend",sn),e.removeEventListener("touchcancel",un)}function an(e){var t=e.currentTarget;e.closePopover=!t.$_vclosepopover_touch,e.closeAllPopover=t.$_closePopoverModifiers&&!!t.$_closePopoverModifiers.all}function on(e){if(1===e.changedTouches.length){var t=e.currentTarget;t.$_vclosepopover_touch=!0;var n=e.changedTouches[0];t.$_vclosepopover_touchPoint=n,t.addEventListener("touchend",sn),t.addEventListener("touchcancel",un)}}function sn(e){var t=e.currentTarget;if(t.$_vclosepopover_touch=!1,1===e.changedTouches.length){var n=e.changedTouches[0],r=t.$_vclosepopover_touchPoint;e.closePopover=Math.abs(n.screenY-r.screenY)<20&&Math.abs(n.screenX-r.screenX)<20,e.closeAllPopover=t.$_closePopoverModifiers&&!!t.$_closePopoverModifiers.all}}function un(e){e.currentTarget.$_vclosepopover_touch=!1}var pn={bind:function(e,t){var n=t.value,r=t.modifiers;e.$_closePopoverModifiers=r,(void 0===n||n)&&rn(e)},update:function(e,t){var n=t.value,r=t.oldValue,l=t.modifiers;e.$_closePopoverModifiers=l,n!==r&&(void 0===n||n?rn(e):ln(e))},unbind:function(e){ln(e)}};function cn(e){var t=nn.options.popover[e];return void 0===t?nn.options[e]:t}var fn=!1;"undefined"!=typeof window&&"undefined"!=typeof navigator&&(fn=/iPad|iPhone|iPod/.test(navigator.userAgent)&&!window.MSStream);var dn=[],hn=function(){};"undefined"!=typeof window&&(hn=window.Element);var mn={name:"VPopover",components:{ResizeObserver:l.a},props:{open:{type:Boolean,default:!1},disabled:{type:Boolean,default:!1},placement:{type:String,default:function(){return cn("defaultPlacement")}},delay:{type:[String,Number,Object],default:function(){return cn("defaultDelay")}},offset:{type:[String,Number],default:function(){return cn("defaultOffset")}},trigger:{type:String,default:function(){return cn("defaultTrigger")}},container:{type:[String,Object,hn,Boolean],default:function(){return cn("defaultContainer")}},boundariesElement:{type:[String,hn],default:function(){return cn("defaultBoundariesElement")}},popperOptions:{type:Object,default:function(){return cn("defaultPopperOptions")}},popoverClass:{type:[String,Array],default:function(){return cn("defaultClass")}},popoverBaseClass:{type:[String,Array],default:function(){return nn.options.popover.defaultBaseClass}},popoverInnerClass:{type:[String,Array],default:function(){return nn.options.popover.defaultInnerClass}},popoverWrapperClass:{type:[String,Array],default:function(){return nn.options.popover.defaultWrapperClass}},popoverArrowClass:{type:[String,Array],default:function(){return nn.options.popover.defaultArrowClass}},autoHide:{type:Boolean,default:function(){return nn.options.popover.defaultAutoHide}},handleResize:{type:Boolean,default:function(){return nn.options.popover.defaultHandleResize}},openGroup:{type:String,default:null},openClass:{type:[String,Array],default:function(){return nn.options.popover.defaultOpenClass}}},data:function(){return{isOpen:!1,id:Math.random().toString(36).substr(2,10)}},computed:{cssClass:function(){return s({},this.openClass,this.isOpen)},popoverId:function(){return"popover_".concat(this.id)}},watch:{open:function(e){e?this.show():this.hide()},disabled:function(e,t){e!==t&&(e?this.hide():this.open&&this.show())},container:function(e){if(this.isOpen&&this.popperInstance){var t=this.$refs.popover,n=this.$refs.trigger,r=this.$_findContainer(this.container,n);if(!r)return void console.warn("No container for popover",this);r.appendChild(t),this.popperInstance.scheduleUpdate()}},trigger:function(e){this.$_removeEventListeners(),this.$_addEventListeners()},placement:function(e){var t=this;this.$_updatePopper((function(){t.popperInstance.options.placement=e}))},offset:"$_restartPopper",boundariesElement:"$_restartPopper",popperOptions:{handler:"$_restartPopper",deep:!0}},created:function(){this.$_isDisposed=!1,this.$_mounted=!1,this.$_events=[],this.$_preventOpen=!1},mounted:function(){var e=this.$refs.popover;e.parentNode&&e.parentNode.removeChild(e),this.$_init(),this.open&&this.show()},deactivated:function(){this.hide()},beforeDestroy:function(){this.dispose()},methods:{show:function(){var e=this,t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},n=t.event,r=(t.skipDelay,t.force),l=void 0!==r&&r;!l&&this.disabled||(this.$_scheduleShow(n),this.$emit("show")),this.$emit("update:open",!0),this.$_beingShowed=!0,requestAnimationFrame((function(){e.$_beingShowed=!1}))},hide:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},t=e.event;e.skipDelay;this.$_scheduleHide(t),this.$emit("hide"),this.$emit("update:open",!1)},dispose:function(){if(this.$_isDisposed=!0,this.$_removeEventListeners(),this.hide({skipDelay:!0}),this.popperInstance&&(this.popperInstance.destroy(),!this.popperInstance.options.removeOnDestroy)){var e=this.$refs.popover;e.parentNode&&e.parentNode.removeChild(e)}this.$_mounted=!1,this.popperInstance=null,this.isOpen=!1,this.$emit("dispose")},$_init:function(){-1===this.trigger.indexOf("manual")&&this.$_addEventListeners()},$_show:function(){var e=this,t=this.$refs.trigger,n=this.$refs.popover;if(clearTimeout(this.$_disposeTimer),!this.isOpen){if(this.popperInstance&&(this.isOpen=!0,this.popperInstance.enableEventListeners(),this.popperInstance.scheduleUpdate()),!this.$_mounted){var l=this.$_findContainer(this.container,t);if(!l)return void console.warn("No container for popover",this);l.appendChild(n),this.$_mounted=!0}if(!this.popperInstance){var a=u({},this.popperOptions,{placement:this.placement});if(a.modifiers=u({},a.modifiers,{arrow:u({},a.modifiers&&a.modifiers.arrow,{element:this.$refs.arrow})}),this.offset){var o=this.$_getOffset();a.modifiers.offset=u({},a.modifiers&&a.modifiers.offset,{offset:o})}this.boundariesElement&&(a.modifiers.preventOverflow=u({},a.modifiers&&a.modifiers.preventOverflow,{boundariesElement:this.boundariesElement})),this.popperInstance=new r.a(t,n,a),requestAnimationFrame((function(){if(e.hidden)return e.hidden=!1,void e.$_hide();!e.$_isDisposed&&e.popperInstance?(e.popperInstance.scheduleUpdate(),requestAnimationFrame((function(){if(e.hidden)return e.hidden=!1,void e.$_hide();e.$_isDisposed?e.dispose():e.isOpen=!0}))):e.dispose()}))}var s=this.openGroup;if(s)for(var i,p=0;p<dn.length;p++)(i=dn[p]).openGroup!==s&&(i.hide(),i.$emit("close-group"));dn.push(this),this.$emit("apply-show")}},$_hide:function(){var e=this;if(this.isOpen){var t=dn.indexOf(this);-1!==t&&dn.splice(t,1),this.isOpen=!1,this.popperInstance&&this.popperInstance.disableEventListeners(),clearTimeout(this.$_disposeTimer);var n=nn.options.popover.disposeTimeout||nn.options.disposeTimeout;null!==n&&(this.$_disposeTimer=setTimeout((function(){var t=e.$refs.popover;t&&(t.parentNode&&t.parentNode.removeChild(t),e.$_mounted=!1)}),n)),this.$emit("apply-hide")}},$_findContainer:function(e,t){return"string"==typeof e?e=window.document.querySelector(e):!1===e&&(e=t.parentNode),e},$_getOffset:function(){var e=a(this.offset),t=this.offset;return("number"===e||"string"===e&&-1===t.indexOf(","))&&(t="0, ".concat(t)),t},$_addEventListeners:function(){var e=this,t=this.$refs.trigger,n=[],r=[];("string"==typeof this.trigger?this.trigger.split(" ").filter((function(e){return-1!==["click","hover","focus"].indexOf(e)})):[]).forEach((function(e){switch(e){case"hover":n.push("mouseenter"),r.push("mouseleave");break;case"focus":n.push("focus"),r.push("blur");break;case"click":n.push("click"),r.push("click")}})),n.forEach((function(n){var r=function(t){e.isOpen||(t.usedByTooltip=!0,!e.$_preventOpen&&e.show({event:t}),e.hidden=!1)};e.$_events.push({event:n,func:r}),t.addEventListener(n,r)})),r.forEach((function(n){var r=function(t){t.usedByTooltip||(e.hide({event:t}),e.hidden=!0)};e.$_events.push({event:n,func:r}),t.addEventListener(n,r)}))},$_scheduleShow:function(){var e=arguments.length>1&&void 0!==arguments[1]&&arguments[1];if(clearTimeout(this.$_scheduleTimer),e)this.$_show();else{var t=parseInt(this.delay&&this.delay.show||this.delay||0);this.$_scheduleTimer=setTimeout(this.$_show.bind(this),t)}},$_scheduleHide:function(){var e=this,t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null,n=arguments.length>1&&void 0!==arguments[1]&&arguments[1];if(clearTimeout(this.$_scheduleTimer),n)this.$_hide();else{var r=parseInt(this.delay&&this.delay.hide||this.delay||0);this.$_scheduleTimer=setTimeout((function(){if(e.isOpen){if(t&&"mouseleave"===t.type)if(e.$_setTooltipNodeEvent(t))return;e.$_hide()}}),r)}},$_setTooltipNodeEvent:function(e){var t=this,n=this.$refs.trigger,r=this.$refs.popover,l=e.relatedreference||e.toElement||e.relatedTarget;return!!r.contains(l)&&(r.addEventListener(e.type,(function l(a){var o=a.relatedreference||a.toElement||a.relatedTarget;r.removeEventListener(e.type,l),n.contains(o)||t.hide({event:a})})),!0)},$_removeEventListeners:function(){var e=this.$refs.trigger;this.$_events.forEach((function(t){var n=t.func,r=t.event;e.removeEventListener(r,n)})),this.$_events=[]},$_updatePopper:function(e){this.popperInstance&&(e(),this.isOpen&&this.popperInstance.scheduleUpdate())},$_restartPopper:function(){if(this.popperInstance){var e=this.isOpen;this.dispose(),this.$_isDisposed=!1,this.$_init(),e&&this.show({skipDelay:!0,force:!0})}},$_handleGlobalClose:function(e){var t=this,n=arguments.length>1&&void 0!==arguments[1]&&arguments[1];this.$_beingShowed||(this.hide({event:e}),e.closePopover?this.$emit("close-directive"):this.$emit("auto-hide"),n&&(this.$_preventOpen=!0,setTimeout((function(){t.$_preventOpen=!1}),300)))},$_handleResize:function(){this.isOpen&&this.popperInstance&&(this.popperInstance.scheduleUpdate(),this.$emit("resize"))}}};function vn(e){for(var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1],n=function(n){var r=dn[n];if(r.$refs.popover){var l=r.$refs.popover.contains(e.target);requestAnimationFrame((function(){(e.closeAllPopover||e.closePopover&&l||r.autoHide&&!l)&&r.$_handleGlobalClose(e,t)}))}},r=0;r<dn.length;r++)n(r)}"undefined"!=typeof document&&"undefined"!=typeof window&&(fn?document.addEventListener("touchend",(function(e){vn(e,!0)}),!h||{passive:!0,capture:!0}):window.addEventListener("click",(function(e){vn(e)}),!0));var gn=mn,yn=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{staticClass:"v-popover",class:e.cssClass},[n("div",{ref:"trigger",staticClass:"trigger",staticStyle:{display:"inline-block"},attrs:{"aria-describedby":e.popoverId,tabindex:-1!==e.trigger.indexOf("focus")?0:void 0}},[e._t("default")],2),e._v(" "),n("div",{ref:"popover",class:[e.popoverBaseClass,e.popoverClass,e.cssClass],style:{visibility:e.isOpen?"visible":"hidden"},attrs:{id:e.popoverId,"aria-hidden":e.isOpen?"false":"true",tabindex:e.autoHide?0:void 0},on:{keyup:function(t){if(!t.type.indexOf("key")&&e._k(t.keyCode,"esc",27,t.key,["Esc","Escape"]))return null;e.autoHide&&e.hide()}}},[n("div",{class:e.popoverWrapperClass},[n("div",{ref:"inner",class:e.popoverInnerClass,staticStyle:{position:"relative"}},[n("div",[e._t("popover")],2),e._v(" "),e.handleResize?n("ResizeObserver",{on:{notify:e.$_handleResize}}):e._e()],1),e._v(" "),n("div",{ref:"arrow",class:e.popoverArrowClass})])])])};yn._withStripped=!0;var bn=function(e,t,n,r,l,a,o,s,i,u){"boolean"!=typeof o&&(i=s,s=o,o=!1);const p="function"==typeof n?n.options:n;let c;if(e&&e.render&&(p.render=e.render,p.staticRenderFns=e.staticRenderFns,p._compiled=!0,l&&(p.functional=!0)),r&&(p._scopeId=r),a?(c=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),t&&t.call(this,i(e)),e&&e._registeredComponents&&e._registeredComponents.add(a)},p._ssrRegister=c):t&&(c=o?function(e){t.call(this,u(e,this.$root.$options.shadowRoot))}:function(e){t.call(this,s(e))}),c)if(p.functional){const e=p.render;p.render=function(t,n){return c.call(n),e(t,n)}}else{const e=p.beforeCreate;p.beforeCreate=e?[].concat(e,c):[c]}return n}({render:yn,staticRenderFns:[]},void 0,gn,void 0,!1,void 0,!1,void 0,void 0,void 0),_n=function(){try{var e=se(Object,"defineProperty");return e({},"",{}),e}catch(e){}}();var xn=function(e,t,n){"__proto__"==t&&_n?_n(e,t,{configurable:!0,enumerable:!0,value:n,writable:!0}):e[t]=n};var wn=function(e,t,n){(void 0!==n&&!g(e[t],n)||void 0===n&&!(t in e))&&xn(e,t,n)};var Tn=function(e){return function(t,n,r){for(var l=-1,a=Object(t),o=r(t),s=o.length;s--;){var i=o[e?s:++l];if(!1===n(a[i],i,a))break}return t}}(),On=k((function(e,t){var n=t&&!t.nodeType&&t,r=n&&e&&!e.nodeType&&e,l=r&&r.exports===n?A.Buffer:void 0,a=l?l.allocUnsafe:void 0;e.exports=function(e,t){if(t)return e.slice();var n=e.length,r=a?a(n):new e.constructor(n);return e.copy(r),r}}));var Fn=function(e){var t=new e.constructor(e.byteLength);return new Ie(t).set(new Ie(e)),t};var jn=function(e,t){var n=t?Fn(e.buffer):e.buffer;return new e.constructor(n,e.byteOffset,e.length)};var En=function(e,t){var n=-1,r=e.length;for(t||(t=Array(r));++n<r;)t[n]=e[n];return t},Cn=Object.create,Nn=function(){function e(){}return function(t){if(!U(t))return{};if(Cn)return Cn(t);e.prototype=t;var n=new e;return e.prototype=void 0,n}}(),Sn=_t(Object.getPrototypeOf,Object);var kn=function(e){return"function"!=typeof e.constructor||bt(e)?{}:Nn(Sn(e))};var $n=function(e){return Ze(e)&&Ot(e)},Ln=Function.prototype,An=Object.prototype,Pn=Ln.toString,Dn=An.hasOwnProperty,Mn=Pn.call(Object);var In=function(e){if(!Ze(e)||"[object Object]"!=V(e))return!1;var t=Sn(e);if(null===t)return!0;var n=Dn.call(t,"constructor")&&t.constructor;return"function"==typeof n&&n instanceof n&&Pn.call(n)==Mn};var zn=function(e,t){if(("constructor"!==t||"function"!=typeof e[t])&&"__proto__"!=t)return e[t]},Hn=Object.prototype.hasOwnProperty;var Bn=function(e,t,n){var r=e[t];Hn.call(e,t)&&g(r,n)&&(void 0!==n||t in e)||xn(e,t,n)};var Rn=function(e,t,n,r){var l=!n;n||(n={});for(var a=-1,o=t.length;++a<o;){var s=t[a],i=r?r(n[s],e[s],s,n,e):void 0;void 0===i&&(i=e[s]),l?xn(n,s,i):Bn(n,s,i)}return n};var Wn=function(e){var t=[];if(null!=e)for(var n in Object(e))t.push(n);return t},Vn=Object.prototype.hasOwnProperty;var Un=function(e){if(!U(e))return Wn(e);var t=bt(e),n=[];for(var r in e)("constructor"!=r||!t&&Vn.call(e,r))&&n.push(r);return n};var qn=function(e){return Ot(e)?gt(e,!0):Un(e)};var Gn=function(e){return Rn(e,qn(e))};var Yn=function(e,t,n,r,l,a,o){var s=zn(e,n),i=zn(t,n),u=o.get(i);if(u)wn(e,n,u);else{var p=a?a(s,i,n+"",e,t,o):void 0,c=void 0===p;if(c){var f=Ue(i),d=!f&&ot(i),h=!f&&!d&&mt(i);p=i,f||d||h?Ue(s)?p=s:$n(s)?p=En(s):d?(c=!1,p=On(i,!0)):h?(c=!1,p=jn(i,!0)):p=[]:In(i)||lt(i)?(p=s,lt(s)?p=Gn(s):U(s)&&!G(s)||(p=kn(i))):c=!1}c&&(o.set(i,p),l(p,i,r,a,o),o.delete(i)),wn(e,n,p)}};var Jn=function e(t,n,r,l,a){t!==n&&Tn(n,(function(o,s){if(a||(a=new Se),U(o))Yn(t,n,s,r,e,l,a);else{var i=l?l(zn(t,s),o,s+"",t,n,a):void 0;void 0===i&&(i=o),wn(t,s,i)}}),qn)};var Kn=function(e){return e};var Xn=function(e,t,n){switch(n.length){case 0:return e.call(t);case 1:return e.call(t,n[0]);case 2:return e.call(t,n[0],n[1]);case 3:return e.call(t,n[0],n[1],n[2])}return e.apply(t,n)},Qn=Math.max;var Zn=function(e,t,n){return t=Qn(void 0===t?e.length-1:t,0),function(){for(var r=arguments,l=-1,a=Qn(r.length-t,0),o=Array(a);++l<a;)o[l]=r[t+l];l=-1;for(var s=Array(t+1);++l<t;)s[l]=r[l];return s[t]=n(o),Xn(e,this,s)}};var er=function(e){return function(){return e}},tr=_n?function(e,t){return _n(e,"toString",{configurable:!0,enumerable:!1,value:er(t),writable:!0})}:Kn,nr=Date.now;var rr=function(e){var t=0,n=0;return function(){var r=nr(),l=16-(r-n);if(n=r,l>0){if(++t>=800)return arguments[0]}else t=0;return e.apply(void 0,arguments)}}(tr);var lr=function(e,t){return rr(Zn(e,t,Kn),e+"")};var ar=function(e,t,n){if(!U(n))return!1;var r=typeof t;return!!("number"==r?Ot(n)&&it(t,n.length):"string"==r&&t in n)&&g(n[t],e)};var or=function(e){return lr((function(t,n){var r=-1,l=n.length,a=l>1?n[l-1]:void 0,o=l>2?n[2]:void 0;for(a=e.length>3&&"function"==typeof a?(l--,a):void 0,o&&ar(n[0],n[1],o)&&(a=l<3?void 0:a,l=1),t=Object(t);++r<l;){var s=n[r];s&&e(t,s,r,a)}return t}))}((function(e,t,n){Jn(e,t,n)}));function sr(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};if(!sr.installed){sr.installed=!0;var n={};or(n,Jt,t),cr.options=n,nn.options=n,e.directive("tooltip",nn),e.directive("close-popover",pn),e.component("v-popover",bn)}}!function(e,t){void 0===t&&(t={});var n=t.insertAt;if(e&&"undefined"!=typeof document){var r=document.head||document.getElementsByTagName("head")[0],l=document.createElement("style");l.type="text/css","top"===n&&r.firstChild?r.insertBefore(l,r.firstChild):r.appendChild(l),l.styleSheet?l.styleSheet.cssText=e:l.appendChild(document.createTextNode(e))}}(".resize-observer[data-v-b329ee4c]{position:absolute;top:0;left:0;z-index:-1;width:100%;height:100%;border:none;background-color:transparent;pointer-events:none;display:block;overflow:hidden;opacity:0}.resize-observer[data-v-b329ee4c] object{display:block;position:absolute;top:0;left:0;height:100%;width:100%;overflow:hidden;pointer-events:none;z-index:-1}");var ir=nn,ur=pn,pr=bn,cr={install:sr,get enabled(){return Gt.enabled},set enabled(e){Gt.enabled=e}},fr=null;"undefined"!=typeof window?fr=window.Vue:void 0!==e&&(fr=e.Vue),fr&&fr.use(cr),t.default=cr}.call(this,n(46))},197:function(e,t,n){"use strict";var r=n(556),l=n(557);function a(e){e=e||{},this.catalogs={},this.locale="",this.domain="messages",this.listeners=[],this.sourceLocale="",e.sourceLocale&&("string"==typeof e.sourceLocale?this.sourceLocale=e.sourceLocale:this.warn("The `sourceLocale` option should be a string")),this.debug="debug"in e&&!0===e.debug}e.exports=a,a.prototype.on=function(e,t){this.listeners.push({eventName:e,callback:t})},a.prototype.off=function(e,t){this.listeners=this.listeners.filter((function(n){return!1==(n.eventName===e&&n.callback===t)}))},a.prototype.emit=function(e,t){for(var n=0;n<this.listeners.length;n++){var r=this.listeners[n];r.eventName===e&&r.callback(t)}},a.prototype.warn=function(e){this.debug&&console.warn(e),this.emit("error",new Error(e))},a.prototype.addTranslations=function(e,t,n){this.catalogs[e]||(this.catalogs[e]={}),this.catalogs[e][t]=n},a.prototype.setLocale=function(e){"string"==typeof e?(""===e.trim()&&this.warn("You called setLocale() with an empty value, which makes little sense."),e===this.sourceLocale||this.catalogs[e]||this.warn('You called setLocale() with "'+e+'", but no translations for that locale has been added.'),this.locale=e):this.warn("You called setLocale() with an argument of type "+typeof e+". The locale must be a string.")},a.prototype.setTextDomain=function(e){"string"==typeof e?(""===e.trim()&&this.warn("You called setTextDomain() with an empty `domain` value."),this.domain=e):this.warn("You called setTextDomain() with an argument of type "+typeof e+". The domain must be a string.")},a.prototype.gettext=function(e){return this.dnpgettext(this.domain,"",e)},a.prototype.dgettext=function(e,t){return this.dnpgettext(e,"",t)},a.prototype.ngettext=function(e,t,n){return this.dnpgettext(this.domain,"",e,t,n)},a.prototype.dngettext=function(e,t,n,r){return this.dnpgettext(e,"",t,n,r)},a.prototype.pgettext=function(e,t){return this.dnpgettext(this.domain,e,t)},a.prototype.dpgettext=function(e,t,n){return this.dnpgettext(e,t,n)},a.prototype.npgettext=function(e,t,n,r){return this.dnpgettext(this.domain,e,t,n,r)},a.prototype.dnpgettext=function(e,t,n,r,o){var s,i,u=n;if(t=t||"",isNaN(o)||1===o||(u=r||n),s=this._getTranslation(e,t,n)){if("number"==typeof o)"boolean"==typeof(i=(0,l[a.getLanguageCode(this.locale)].pluralsFunc)(o))&&(i=i?1:0);else i=0;return s.msgstr[i]||u}return this.sourceLocale&&this.locale===this.sourceLocale||this.warn('No translation was found for msgid "'+n+'" in msgctxt "'+t+'" and domain "'+e+'"'),u},a.prototype.getComment=function(e,t,n){var r;return(r=this._getTranslation(e,t,n))&&r.comments||{}},a.prototype._getTranslation=function(e,t,n){return t=t||"",r(this.catalogs,[this.locale,e,"translations",t,n])},a.getLanguageCode=function(e){return e.split(/[\-_]/)[0].toLowerCase()},a.prototype.textdomain=function(e){this.debug&&console.warn("textdomain(domain) was used to set locales in node-gettext v1. Make sure you are using it for domains, and switch to setLocale(locale) if you are not.\n\n To read more about the migration from node-gettext v1 to v2, see https://github.com/alexanderwallin/node-gettext/#migrating-from-1x-to-2x\n\nThis warning will be removed in the final 2.0.0"),this.setTextDomain(e)},a.prototype.setlocale=function(e){this.setLocale(e)},a.prototype.addTextdomain=function(){console.error("addTextdomain() is deprecated.\n\n* To add translations, use addTranslations()\n* To set the default domain, use setTextDomain() (or its alias textdomain())\n\nTo read more about the migration from node-gettext v1 to v2, see https://github.com/alexanderwallin/node-gettext/#migrating-from-1x-to-2x")}},556:function(e,t,n){(function(t){var n=/\.|\[(?:[^[\]]*|(["'])(?:(?!\1)[^\\]|\\.)*?\1)\]/,r=/^\w*$/,l=/^\./,a=/[^.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\\]|\\.)*?)\2)\]|(?=(?:\.|\[\])(?:\.|\[\]|$))/g,o=/\\(\\)?/g,s=/^\[object .+?Constructor\]$/,i="object"==typeof t&&t&&t.Object===Object&&t,u="object"==typeof self&&self&&self.Object===Object&&self,p=i||u||Function("return this")();var c,f=Array.prototype,d=Function.prototype,h=Object.prototype,m=p["__core-js_shared__"],v=(c=/[^.]+$/.exec(m&&m.keys&&m.keys.IE_PROTO||""))?"Symbol(src)_1."+c:"",g=d.toString,y=h.hasOwnProperty,b=h.toString,_=RegExp("^"+g.call(y).replace(/[\\^$.*+?()[\]{}|]/g,"\\$&").replace(/hasOwnProperty|(function).*?(?=\\\()| for .+?(?=\\\])/g,"$1.*?")+"$"),x=p.Symbol,w=f.splice,T=A(p,"Map"),O=A(Object,"create"),F=x?x.prototype:void 0,j=F?F.toString:void 0;function E(e){var t=-1,n=e?e.length:0;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}function C(e){var t=-1,n=e?e.length:0;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}function N(e){var t=-1,n=e?e.length:0;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}function S(e,t){for(var n,r,l=e.length;l--;)if((n=e[l][0])===(r=t)||n!=n&&r!=r)return l;return-1}function k(e,t){for(var l,a=0,o=(t=function(e,t){if(I(e))return!1;var l=typeof e;if("number"==l||"symbol"==l||"boolean"==l||null==e||H(e))return!0;return r.test(e)||!n.test(e)||null!=t&&e in Object(t)}(t,e)?[t]:I(l=t)?l:P(l)).length;null!=e&&a<o;)e=e[D(t[a++])];return a&&a==o?e:void 0}function $(e){return!(!z(e)||(t=e,v&&v in t))&&(function(e){var t=z(e)?b.call(e):"";return"[object Function]"==t||"[object GeneratorFunction]"==t}(e)||function(e){var t=!1;if(null!=e&&"function"!=typeof e.toString)try{t=!!(e+"")}catch(e){}return t}(e)?_:s).test(function(e){if(null!=e){try{return g.call(e)}catch(e){}try{return e+""}catch(e){}}return""}(e));var t}function L(e,t){var n,r,l=e.__data__;return("string"==(r=typeof(n=t))||"number"==r||"symbol"==r||"boolean"==r?"__proto__"!==n:null===n)?l["string"==typeof t?"string":"hash"]:l.map}function A(e,t){var n=function(e,t){return null==e?void 0:e[t]}(e,t);return $(n)?n:void 0}E.prototype.clear=function(){this.__data__=O?O(null):{}},E.prototype.delete=function(e){return this.has(e)&&delete this.__data__[e]},E.prototype.get=function(e){var t=this.__data__;if(O){var n=t[e];return"__lodash_hash_undefined__"===n?void 0:n}return y.call(t,e)?t[e]:void 0},E.prototype.has=function(e){var t=this.__data__;return O?void 0!==t[e]:y.call(t,e)},E.prototype.set=function(e,t){return this.__data__[e]=O&&void 0===t?"__lodash_hash_undefined__":t,this},C.prototype.clear=function(){this.__data__=[]},C.prototype.delete=function(e){var t=this.__data__,n=S(t,e);return!(n<0)&&(n==t.length-1?t.pop():w.call(t,n,1),!0)},C.prototype.get=function(e){var t=this.__data__,n=S(t,e);return n<0?void 0:t[n][1]},C.prototype.has=function(e){return S(this.__data__,e)>-1},C.prototype.set=function(e,t){var n=this.__data__,r=S(n,e);return r<0?n.push([e,t]):n[r][1]=t,this},N.prototype.clear=function(){this.__data__={hash:new E,map:new(T||C),string:new E}},N.prototype.delete=function(e){return L(this,e).delete(e)},N.prototype.get=function(e){return L(this,e).get(e)},N.prototype.has=function(e){return L(this,e).has(e)},N.prototype.set=function(e,t){return L(this,e).set(e,t),this};var P=M((function(e){var t;e=null==(t=e)?"":function(e){if("string"==typeof e)return e;if(H(e))return j?j.call(e):"";var t=e+"";return"0"==t&&1/e==-1/0?"-0":t}(t);var n=[];return l.test(e)&&n.push(""),e.replace(a,(function(e,t,r,l){n.push(r?l.replace(o,"$1"):t||e)})),n}));function D(e){if("string"==typeof e||H(e))return e;var t=e+"";return"0"==t&&1/e==-1/0?"-0":t}function M(e,t){if("function"!=typeof e||t&&"function"!=typeof t)throw new TypeError("Expected a function");var n=function(){var r=arguments,l=t?t.apply(this,r):r[0],a=n.cache;if(a.has(l))return a.get(l);var o=e.apply(this,r);return n.cache=a.set(l,o),o};return n.cache=new(M.Cache||N),n}M.Cache=N;var I=Array.isArray;function z(e){var t=typeof e;return!!e&&("object"==t||"function"==t)}function H(e){return"symbol"==typeof e||function(e){return!!e&&"object"==typeof e}(e)&&"[object Symbol]"==b.call(e)}e.exports=function(e,t,n){var r=null==e?void 0:k(e,t);return void 0===r?n:r}}).call(this,n(46))},557:function(e,t,n){"use strict";e.exports={ach:{name:"Acholi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},af:{name:"Afrikaans",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ak:{name:"Akan",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},am:{name:"Amharic",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},an:{name:"Aragonese",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ar:{name:"Arabic",examples:[{plural:0,sample:0},{plural:1,sample:1},{plural:2,sample:2},{plural:3,sample:3},{plural:4,sample:11},{plural:5,sample:100}],nplurals:6,pluralsText:"nplurals = 6; plural = (n === 0 ? 0 : n === 1 ? 1 : n === 2 ? 2 : n % 100 >= 3 && n % 100 <= 10 ? 3 : n % 100 >= 11 ? 4 : 5)",pluralsFunc:function(e){return 0===e?0:1===e?1:2===e?2:e%100>=3&&e%100<=10?3:e%100>=11?4:5}},arn:{name:"Mapudungun",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},ast:{name:"Asturian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ay:{name:"Aymará",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},az:{name:"Azerbaijani",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},be:{name:"Belarusian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},bg:{name:"Bulgarian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},bn:{name:"Bengali",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},bo:{name:"Tibetan",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},br:{name:"Breton",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},brx:{name:"Bodo",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},bs:{name:"Bosnian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},ca:{name:"Catalan",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},cgg:{name:"Chiga",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},cs:{name:"Czech",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2)",pluralsFunc:function(e){return 1===e?0:e>=2&&e<=4?1:2}},csb:{name:"Kashubian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return 1===e?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},cy:{name:"Welsh",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:3},{plural:3,sample:8}],nplurals:4,pluralsText:"nplurals = 4; plural = (n === 1 ? 0 : n === 2 ? 1 : (n !== 8 && n !== 11) ? 2 : 3)",pluralsFunc:function(e){return 1===e?0:2===e?1:8!==e&&11!==e?2:3}},da:{name:"Danish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},de:{name:"German",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},doi:{name:"Dogri",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},dz:{name:"Dzongkha",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},el:{name:"Greek",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},en:{name:"English",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},eo:{name:"Esperanto",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},es:{name:"Spanish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},et:{name:"Estonian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},eu:{name:"Basque",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},fa:{name:"Persian",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},ff:{name:"Fulah",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},fi:{name:"Finnish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},fil:{name:"Filipino",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},fo:{name:"Faroese",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},fr:{name:"French",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},fur:{name:"Friulian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},fy:{name:"Frisian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ga:{name:"Irish",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:3},{plural:3,sample:7},{plural:4,sample:11}],nplurals:5,pluralsText:"nplurals = 5; plural = (n === 1 ? 0 : n === 2 ? 1 : n < 7 ? 2 : n < 11 ? 3 : 4)",pluralsFunc:function(e){return 1===e?0:2===e?1:e<7?2:e<11?3:4}},gd:{name:"Scottish Gaelic",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:3},{plural:3,sample:20}],nplurals:4,pluralsText:"nplurals = 4; plural = ((n === 1 || n === 11) ? 0 : (n === 2 || n === 12) ? 1 : (n > 2 && n < 20) ? 2 : 3)",pluralsFunc:function(e){return 1===e||11===e?0:2===e||12===e?1:e>2&&e<20?2:3}},gl:{name:"Galician",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},gu:{name:"Gujarati",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},gun:{name:"Gun",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},ha:{name:"Hausa",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},he:{name:"Hebrew",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},hi:{name:"Hindi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},hne:{name:"Chhattisgarhi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},hr:{name:"Croatian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},hu:{name:"Hungarian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},hy:{name:"Armenian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},id:{name:"Indonesian",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},is:{name:"Icelandic",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n % 10 !== 1 || n % 100 === 11)",pluralsFunc:function(e){return e%10!=1||e%100==11}},it:{name:"Italian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ja:{name:"Japanese",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},jbo:{name:"Lojban",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},jv:{name:"Javanese",examples:[{plural:0,sample:0},{plural:1,sample:1}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 0)",pluralsFunc:function(e){return 0!==e}},ka:{name:"Georgian",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},kk:{name:"Kazakh",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},km:{name:"Khmer",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},kn:{name:"Kannada",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ko:{name:"Korean",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},ku:{name:"Kurdish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},kw:{name:"Cornish",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:3},{plural:3,sample:4}],nplurals:4,pluralsText:"nplurals = 4; plural = (n === 1 ? 0 : n === 2 ? 1 : n === 3 ? 2 : 3)",pluralsFunc:function(e){return 1===e?0:2===e?1:3===e?2:3}},ky:{name:"Kyrgyz",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},lb:{name:"Letzeburgesch",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ln:{name:"Lingala",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},lo:{name:"Lao",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},lt:{name:"Lithuanian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:10}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&(e%100<10||e%100>=20)?1:2}},lv:{name:"Latvian",examples:[{plural:2,sample:0},{plural:0,sample:1},{plural:1,sample:2}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n !== 0 ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:0!==e?1:2}},mai:{name:"Maithili",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},mfe:{name:"Mauritian Creole",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},mg:{name:"Malagasy",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},mi:{name:"Maori",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},mk:{name:"Macedonian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n === 1 || n % 10 === 1 ? 0 : 1)",pluralsFunc:function(e){return 1===e||e%10==1?0:1}},ml:{name:"Malayalam",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},mn:{name:"Mongolian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},mni:{name:"Manipuri",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},mnk:{name:"Mandinka",examples:[{plural:0,sample:0},{plural:1,sample:1},{plural:2,sample:2}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 0 ? 0 : n === 1 ? 1 : 2)",pluralsFunc:function(e){return 0===e?0:1===e?1:2}},mr:{name:"Marathi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ms:{name:"Malay",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},mt:{name:"Maltese",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:11},{plural:3,sample:20}],nplurals:4,pluralsText:"nplurals = 4; plural = (n === 1 ? 0 : n === 0 || ( n % 100 > 1 && n % 100 < 11) ? 1 : (n % 100 > 10 && n % 100 < 20 ) ? 2 : 3)",pluralsFunc:function(e){return 1===e?0:0===e||e%100>1&&e%100<11?1:e%100>10&&e%100<20?2:3}},my:{name:"Burmese",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},nah:{name:"Nahuatl",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},nap:{name:"Neapolitan",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},nb:{name:"Norwegian Bokmal",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ne:{name:"Nepali",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},nl:{name:"Dutch",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},nn:{name:"Norwegian Nynorsk",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},no:{name:"Norwegian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},nso:{name:"Northern Sotho",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},oc:{name:"Occitan",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},or:{name:"Oriya",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},pa:{name:"Punjabi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},pap:{name:"Papiamento",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},pl:{name:"Polish",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return 1===e?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},pms:{name:"Piemontese",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ps:{name:"Pashto",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},pt:{name:"Portuguese",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},rm:{name:"Romansh",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ro:{name:"Romanian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:20}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 1 ? 0 : (n === 0 || (n % 100 > 0 && n % 100 < 20)) ? 1 : 2)",pluralsFunc:function(e){return 1===e?0:0===e||e%100>0&&e%100<20?1:2}},ru:{name:"Russian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},rw:{name:"Kinyarwanda",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sah:{name:"Yakut",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},sat:{name:"Santali",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sco:{name:"Scots",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sd:{name:"Sindhi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},se:{name:"Northern Sami",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},si:{name:"Sinhala",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sk:{name:"Slovak",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2)",pluralsFunc:function(e){return 1===e?0:e>=2&&e<=4?1:2}},sl:{name:"Slovenian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:3},{plural:3,sample:5}],nplurals:4,pluralsText:"nplurals = 4; plural = (n % 100 === 1 ? 0 : n % 100 === 2 ? 1 : n % 100 === 3 || n % 100 === 4 ? 2 : 3)",pluralsFunc:function(e){return e%100==1?0:e%100==2?1:e%100==3||e%100==4?2:3}},so:{name:"Somali",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},son:{name:"Songhay",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sq:{name:"Albanian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sr:{name:"Serbian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},su:{name:"Sundanese",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},sv:{name:"Swedish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sw:{name:"Swahili",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ta:{name:"Tamil",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},te:{name:"Telugu",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},tg:{name:"Tajik",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},th:{name:"Thai",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},ti:{name:"Tigrinya",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},tk:{name:"Turkmen",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},tr:{name:"Turkish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},tt:{name:"Tatar",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},ug:{name:"Uyghur",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},uk:{name:"Ukrainian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},ur:{name:"Urdu",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},uz:{name:"Uzbek",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},vi:{name:"Vietnamese",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},wa:{name:"Walloon",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},wo:{name:"Wolof",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},yo:{name:"Yoruba",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},zh:{name:"Chinese",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}}}},624:function(e,t,n){"use strict";(function(e){n.d(t,"a",(function(){return a}));var r=void 0;function l(){l.init||(l.init=!0,r=-1!==function(){var e=window.navigator.userAgent,t=e.indexOf("MSIE ");if(t>0)return parseInt(e.substring(t+5,e.indexOf(".",t)),10);if(e.indexOf("Trident/")>0){var n=e.indexOf("rv:");return parseInt(e.substring(n+3,e.indexOf(".",n)),10)}var r=e.indexOf("Edge/");return r>0?parseInt(e.substring(r+5,e.indexOf(".",r)),10):-1}())}var a={render:function(){var e=this.$createElement;return(this._self._c||e)("div",{staticClass:"resize-observer",attrs:{tabindex:"-1"}})},staticRenderFns:[],_scopeId:"data-v-b329ee4c",name:"resize-observer",methods:{compareAndNotify:function(){this._w===this.$el.offsetWidth&&this._h===this.$el.offsetHeight||(this._w=this.$el.offsetWidth,this._h=this.$el.offsetHeight,this.$emit("notify"))},addResizeHandlers:function(){this._resizeObject.contentDocument.defaultView.addEventListener("resize",this.compareAndNotify),this.compareAndNotify()},removeResizeHandlers:function(){this._resizeObject&&this._resizeObject.onload&&(!r&&this._resizeObject.contentDocument&&this._resizeObject.contentDocument.defaultView.removeEventListener("resize",this.compareAndNotify),delete this._resizeObject.onload)}},mounted:function(){var e=this;l(),this.$nextTick((function(){e._w=e.$el.offsetWidth,e._h=e.$el.offsetHeight}));var t=document.createElement("object");this._resizeObject=t,t.setAttribute("aria-hidden","true"),t.setAttribute("tabindex",-1),t.onload=this.addResizeHandlers,t.type="text/html",r&&this.$el.appendChild(t),t.data="about:blank",r||this.$el.appendChild(t)},beforeDestroy:function(){this.removeResizeHandlers()}};var o={version:"0.4.5",install:function(e){e.component("resize-observer",a),e.component("ResizeObserver",a)}},s=null;"undefined"!=typeof window?s=window.Vue:void 0!==e&&(s=e.Vue),s&&s.use(o)}).call(this,n(46))},625:function(e,t,n){"use strict";(function(e){
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[188],{189:function(e,t,n){"use strict";function r(){return"undefined"==typeof OC?(console.warn("No OC found"),"en"):OC.getLocale()}n(53),n(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getLocale=r,t.getCanonicalLocale=function(){return r().replace(/_/g,"-")},t.getLanguage=function(){if("undefined"==typeof OC)return console.warn("No OC found"),"en";return OC.getLanguage()},t.translate=function(e,t,n,r,l){if("undefined"==typeof OC)return console.warn("No OC found"),t;return OC.L10N.translate(e,t,n,r,l)},t.translatePlural=function(e,t,n,r,l,a){if("undefined"==typeof OC)return console.warn("No OC found"),t;return OC.L10N.translatePlural(e,t,n,r,l,a)},t.getFirstDay=function(){if(void 0===window.firstDay)return console.warn("No firstDay found"),1;return window.firstDay},t.getDayNames=function(){if(void 0===window.dayNames)return console.warn("No dayNames found"),["Sunday","Monday","Tuesday","Wednesday","Thursday","Friday","Saturday"];return window.dayNames},t.getDayNamesShort=function(){if(void 0===window.dayNamesShort)return console.warn("No dayNamesShort found"),["Sun.","Mon.","Tue.","Wed.","Thu.","Fri.","Sat."];return window.dayNamesShort},t.getDayNamesMin=function(){if(void 0===window.dayNamesMin)return console.warn("No dayNamesMin found"),["Su","Mo","Tu","We","Th","Fr","Sa"];return window.dayNamesMin},t.getMonthNames=function(){if(void 0===window.monthNames)return console.warn("No monthNames found"),["January","February","March","April","May","June","July","August","September","October","November","December"];return window.monthNames},t.getMonthNamesShort=function(){if(void 0===window.monthNamesShort)return console.warn("No monthNamesShort found"),["Jan.","Feb.","Mar.","Apr.","May.","Jun.","Jul.","Aug.","Sep.","Oct.","Nov.","Dec."];return window.monthNamesShort}},193:function(e,t,n){"use strict";n.r(t),function(e){n.d(t,"VClosePopover",(function(){return ur})),n.d(t,"VPopover",(function(){return pr})),n.d(t,"VTooltip",(function(){return ir})),n.d(t,"createTooltip",(function(){return Zt})),n.d(t,"destroyTooltip",(function(){return en})),n.d(t,"install",(function(){return sr}));var r=n(626),l=n(625);function a(e){return(a="function"==typeof Symbol&&"symbol"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&"function"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?"symbol":typeof e})(e)}function o(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}function s(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function i(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var r=Object.getOwnPropertySymbols(e);t&&(r=r.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,r)}return n}function u(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?i(Object(n),!0).forEach((function(t){s(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):i(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}var p=function(){};function c(e){return"string"==typeof e&&(e=e.split(" ")),e}function f(e,t){var n,r=c(t);n=e.className instanceof p?c(e.className.baseVal):c(e.className),r.forEach((function(e){-1===n.indexOf(e)&&n.push(e)})),e instanceof SVGElement?e.setAttribute("class",n.join(" ")):e.className=n.join(" ")}function d(e,t){var n,r=c(t);n=e.className instanceof p?c(e.className.baseVal):c(e.className),r.forEach((function(e){var t=n.indexOf(e);-1!==t&&n.splice(t,1)})),e instanceof SVGElement?e.setAttribute("class",n.join(" ")):e.className=n.join(" ")}"undefined"!=typeof window&&(p=window.SVGAnimatedString);var h=!1;if("undefined"!=typeof window){h=!1;try{var m=Object.defineProperty({},"passive",{get:function(){h=!0}});window.addEventListener("test",null,m)}catch(e){}}var v=function(){this.__data__=[],this.size=0};var g=function(e,t){return e===t||e!=e&&t!=t};var y=function(e,t){for(var n=e.length;n--;)if(g(e[n][0],t))return n;return-1},b=Array.prototype.splice;var _=function(e){var t=this.__data__,n=y(t,e);return!(n<0)&&(n==t.length-1?t.pop():b.call(t,n,1),--this.size,!0)};var x=function(e){var t=this.__data__,n=y(t,e);return n<0?void 0:t[n][1]};var w=function(e){return y(this.__data__,e)>-1};var T=function(e,t){var n=this.__data__,r=y(n,e);return r<0?(++this.size,n.push([e,t])):n[r][1]=t,this};function O(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}O.prototype.clear=v,O.prototype.delete=_,O.prototype.get=x,O.prototype.has=w,O.prototype.set=T;var F=O;var j=function(){this.__data__=new F,this.size=0};var E=function(e){var t=this.__data__,n=t.delete(e);return this.size=t.size,n};var C=function(e){return this.__data__.get(e)};var N=function(e){return this.__data__.has(e)},S="undefined"!=typeof globalThis?globalThis:"undefined"!=typeof window?window:void 0!==e?e:"undefined"!=typeof self?self:{};function k(e,t){return e(t={exports:{}},t.exports),t.exports}var $="object"==typeof S&&S&&S.Object===Object&&S,L="object"==typeof self&&self&&self.Object===Object&&self,A=$||L||Function("return this")(),P=A.Symbol,D=Object.prototype,M=D.hasOwnProperty,I=D.toString,z=P?P.toStringTag:void 0;var H=function(e){var t=M.call(e,z),n=e[z];try{e[z]=void 0;var r=!0}catch(e){}var l=I.call(e);return r&&(t?e[z]=n:delete e[z]),l},B=Object.prototype.toString;var R=function(e){return B.call(e)},W=P?P.toStringTag:void 0;var V=function(e){return null==e?void 0===e?"[object Undefined]":"[object Null]":W&&W in Object(e)?H(e):R(e)};var U=function(e){var t=typeof e;return null!=e&&("object"==t||"function"==t)};var q,G=function(e){if(!U(e))return!1;var t=V(e);return"[object Function]"==t||"[object GeneratorFunction]"==t||"[object AsyncFunction]"==t||"[object Proxy]"==t},Y=A["__core-js_shared__"],J=(q=/[^.]+$/.exec(Y&&Y.keys&&Y.keys.IE_PROTO||""))?"Symbol(src)_1."+q:"";var K=function(e){return!!J&&J in e},X=Function.prototype.toString;var Q=function(e){if(null!=e){try{return X.call(e)}catch(e){}try{return e+""}catch(e){}}return""},Z=/^\[object .+?Constructor\]$/,ee=Function.prototype,te=Object.prototype,ne=ee.toString,re=te.hasOwnProperty,le=RegExp("^"+ne.call(re).replace(/[\\^$.*+?()[\]{}|]/g,"\\$&").replace(/hasOwnProperty|(function).*?(?=\\\()| for .+?(?=\\\])/g,"$1.*?")+"$");var ae=function(e){return!(!U(e)||K(e))&&(G(e)?le:Z).test(Q(e))};var oe=function(e,t){return null==e?void 0:e[t]};var se=function(e,t){var n=oe(e,t);return ae(n)?n:void 0},ie=se(A,"Map"),ue=se(Object,"create");var pe=function(){this.__data__=ue?ue(null):{},this.size=0};var ce=function(e){var t=this.has(e)&&delete this.__data__[e];return this.size-=t?1:0,t},fe=Object.prototype.hasOwnProperty;var de=function(e){var t=this.__data__;if(ue){var n=t[e];return"__lodash_hash_undefined__"===n?void 0:n}return fe.call(t,e)?t[e]:void 0},he=Object.prototype.hasOwnProperty;var me=function(e){var t=this.__data__;return ue?void 0!==t[e]:he.call(t,e)};var ve=function(e,t){var n=this.__data__;return this.size+=this.has(e)?0:1,n[e]=ue&&void 0===t?"__lodash_hash_undefined__":t,this};function ge(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}ge.prototype.clear=pe,ge.prototype.delete=ce,ge.prototype.get=de,ge.prototype.has=me,ge.prototype.set=ve;var ye=ge;var be=function(){this.size=0,this.__data__={hash:new ye,map:new(ie||F),string:new ye}};var _e=function(e){var t=typeof e;return"string"==t||"number"==t||"symbol"==t||"boolean"==t?"__proto__"!==e:null===e};var xe=function(e,t){var n=e.__data__;return _e(t)?n["string"==typeof t?"string":"hash"]:n.map};var we=function(e){var t=xe(this,e).delete(e);return this.size-=t?1:0,t};var Te=function(e){return xe(this,e).get(e)};var Oe=function(e){return xe(this,e).has(e)};var Fe=function(e,t){var n=xe(this,e),r=n.size;return n.set(e,t),this.size+=n.size==r?0:1,this};function je(e){var t=-1,n=null==e?0:e.length;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}je.prototype.clear=be,je.prototype.delete=we,je.prototype.get=Te,je.prototype.has=Oe,je.prototype.set=Fe;var Ee=je;var Ce=function(e,t){var n=this.__data__;if(n instanceof F){var r=n.__data__;if(!ie||r.length<199)return r.push([e,t]),this.size=++n.size,this;n=this.__data__=new Ee(r)}return n.set(e,t),this.size=n.size,this};function Ne(e){var t=this.__data__=new F(e);this.size=t.size}Ne.prototype.clear=j,Ne.prototype.delete=E,Ne.prototype.get=C,Ne.prototype.has=N,Ne.prototype.set=Ce;var Se=Ne;var ke=function(e){return this.__data__.set(e,"__lodash_hash_undefined__"),this};var $e=function(e){return this.__data__.has(e)};function Le(e){var t=-1,n=null==e?0:e.length;for(this.__data__=new Ee;++t<n;)this.add(e[t])}Le.prototype.add=Le.prototype.push=ke,Le.prototype.has=$e;var Ae=Le;var Pe=function(e,t){for(var n=-1,r=null==e?0:e.length;++n<r;)if(t(e[n],n,e))return!0;return!1};var De=function(e,t){return e.has(t)};var Me=function(e,t,n,r,l,a){var o=1&n,s=e.length,i=t.length;if(s!=i&&!(o&&i>s))return!1;var u=a.get(e);if(u&&a.get(t))return u==t;var p=-1,c=!0,f=2&n?new Ae:void 0;for(a.set(e,t),a.set(t,e);++p<s;){var d=e[p],h=t[p];if(r)var m=o?r(h,d,p,t,e,a):r(d,h,p,e,t,a);if(void 0!==m){if(m)continue;c=!1;break}if(f){if(!Pe(t,(function(e,t){if(!De(f,t)&&(d===e||l(d,e,n,r,a)))return f.push(t)}))){c=!1;break}}else if(d!==h&&!l(d,h,n,r,a)){c=!1;break}}return a.delete(e),a.delete(t),c},Ie=A.Uint8Array;var ze=function(e){var t=-1,n=Array(e.size);return e.forEach((function(e,r){n[++t]=[r,e]})),n};var He=function(e){var t=-1,n=Array(e.size);return e.forEach((function(e){n[++t]=e})),n},Be=P?P.prototype:void 0,Re=Be?Be.valueOf:void 0;var We=function(e,t,n,r,l,a,o){switch(n){case"[object DataView]":if(e.byteLength!=t.byteLength||e.byteOffset!=t.byteOffset)return!1;e=e.buffer,t=t.buffer;case"[object ArrayBuffer]":return!(e.byteLength!=t.byteLength||!a(new Ie(e),new Ie(t)));case"[object Boolean]":case"[object Date]":case"[object Number]":return g(+e,+t);case"[object Error]":return e.name==t.name&&e.message==t.message;case"[object RegExp]":case"[object String]":return e==t+"";case"[object Map]":var s=ze;case"[object Set]":var i=1&r;if(s||(s=He),e.size!=t.size&&!i)return!1;var u=o.get(e);if(u)return u==t;r|=2,o.set(e,t);var p=Me(s(e),s(t),r,l,a,o);return o.delete(e),p;case"[object Symbol]":if(Re)return Re.call(e)==Re.call(t)}return!1};var Ve=function(e,t){for(var n=-1,r=t.length,l=e.length;++n<r;)e[l+n]=t[n];return e},Ue=Array.isArray;var qe=function(e,t,n){var r=t(e);return Ue(e)?r:Ve(r,n(e))};var Ge=function(e,t){for(var n=-1,r=null==e?0:e.length,l=0,a=[];++n<r;){var o=e[n];t(o,n,e)&&(a[l++]=o)}return a};var Ye=function(){return[]},Je=Object.prototype.propertyIsEnumerable,Ke=Object.getOwnPropertySymbols,Xe=Ke?function(e){return null==e?[]:(e=Object(e),Ge(Ke(e),(function(t){return Je.call(e,t)})))}:Ye;var Qe=function(e,t){for(var n=-1,r=Array(e);++n<e;)r[n]=t(n);return r};var Ze=function(e){return null!=e&&"object"==typeof e};var et=function(e){return Ze(e)&&"[object Arguments]"==V(e)},tt=Object.prototype,nt=tt.hasOwnProperty,rt=tt.propertyIsEnumerable,lt=et(function(){return arguments}())?et:function(e){return Ze(e)&&nt.call(e,"callee")&&!rt.call(e,"callee")};var at=function(){return!1},ot=k((function(e,t){var n=t&&!t.nodeType&&t,r=n&&e&&!e.nodeType&&e,l=r&&r.exports===n?A.Buffer:void 0,a=(l?l.isBuffer:void 0)||at;e.exports=a})),st=/^(?:0|[1-9]\d*)$/;var it=function(e,t){var n=typeof e;return!!(t=null==t?9007199254740991:t)&&("number"==n||"symbol"!=n&&st.test(e))&&e>-1&&e%1==0&&e<t};var ut=function(e){return"number"==typeof e&&e>-1&&e%1==0&&e<=9007199254740991},pt={};pt["[object Float32Array]"]=pt["[object Float64Array]"]=pt["[object Int8Array]"]=pt["[object Int16Array]"]=pt["[object Int32Array]"]=pt["[object Uint8Array]"]=pt["[object Uint8ClampedArray]"]=pt["[object Uint16Array]"]=pt["[object Uint32Array]"]=!0,pt["[object Arguments]"]=pt["[object Array]"]=pt["[object ArrayBuffer]"]=pt["[object Boolean]"]=pt["[object DataView]"]=pt["[object Date]"]=pt["[object Error]"]=pt["[object Function]"]=pt["[object Map]"]=pt["[object Number]"]=pt["[object Object]"]=pt["[object RegExp]"]=pt["[object Set]"]=pt["[object String]"]=pt["[object WeakMap]"]=!1;var ct=function(e){return Ze(e)&&ut(e.length)&&!!pt[V(e)]};var ft=function(e){return function(t){return e(t)}},dt=k((function(e,t){var n=t&&!t.nodeType&&t,r=n&&e&&!e.nodeType&&e,l=r&&r.exports===n&&$.process,a=function(){try{var e=r&&r.require&&r.require("util").types;return e||l&&l.binding&&l.binding("util")}catch(e){}}();e.exports=a})),ht=dt&&dt.isTypedArray,mt=ht?ft(ht):ct,vt=Object.prototype.hasOwnProperty;var gt=function(e,t){var n=Ue(e),r=!n&&lt(e),l=!n&&!r&&ot(e),a=!n&&!r&&!l&&mt(e),o=n||r||l||a,s=o?Qe(e.length,String):[],i=s.length;for(var u in e)!t&&!vt.call(e,u)||o&&("length"==u||l&&("offset"==u||"parent"==u)||a&&("buffer"==u||"byteLength"==u||"byteOffset"==u)||it(u,i))||s.push(u);return s},yt=Object.prototype;var bt=function(e){var t=e&&e.constructor;return e===("function"==typeof t&&t.prototype||yt)};var _t=function(e,t){return function(n){return e(t(n))}},xt=_t(Object.keys,Object),wt=Object.prototype.hasOwnProperty;var Tt=function(e){if(!bt(e))return xt(e);var t=[];for(var n in Object(e))wt.call(e,n)&&"constructor"!=n&&t.push(n);return t};var Ot=function(e){return null!=e&&ut(e.length)&&!G(e)};var Ft=function(e){return Ot(e)?gt(e):Tt(e)};var jt=function(e){return qe(e,Ft,Xe)},Et=Object.prototype.hasOwnProperty;var Ct=function(e,t,n,r,l,a){var o=1&n,s=jt(e),i=s.length;if(i!=jt(t).length&&!o)return!1;for(var u=i;u--;){var p=s[u];if(!(o?p in t:Et.call(t,p)))return!1}var c=a.get(e);if(c&&a.get(t))return c==t;var f=!0;a.set(e,t),a.set(t,e);for(var d=o;++u<i;){var h=e[p=s[u]],m=t[p];if(r)var v=o?r(m,h,p,t,e,a):r(h,m,p,e,t,a);if(!(void 0===v?h===m||l(h,m,n,r,a):v)){f=!1;break}d||(d="constructor"==p)}if(f&&!d){var g=e.constructor,y=t.constructor;g==y||!("constructor"in e)||!("constructor"in t)||"function"==typeof g&&g instanceof g&&"function"==typeof y&&y instanceof y||(f=!1)}return a.delete(e),a.delete(t),f},Nt=se(A,"DataView"),St=se(A,"Promise"),kt=se(A,"Set"),$t=se(A,"WeakMap"),Lt=Q(Nt),At=Q(ie),Pt=Q(St),Dt=Q(kt),Mt=Q($t),It=V;(Nt&&"[object DataView]"!=It(new Nt(new ArrayBuffer(1)))||ie&&"[object Map]"!=It(new ie)||St&&"[object Promise]"!=It(St.resolve())||kt&&"[object Set]"!=It(new kt)||$t&&"[object WeakMap]"!=It(new $t))&&(It=function(e){var t=V(e),n="[object Object]"==t?e.constructor:void 0,r=n?Q(n):"";if(r)switch(r){case Lt:return"[object DataView]";case At:return"[object Map]";case Pt:return"[object Promise]";case Dt:return"[object Set]";case Mt:return"[object WeakMap]"}return t});var zt=It,Ht=Object.prototype.hasOwnProperty;var Bt=function(e,t,n,r,l,a){var o=Ue(e),s=Ue(t),i=o?"[object Array]":zt(e),u=s?"[object Array]":zt(t),p="[object Object]"==(i="[object Arguments]"==i?"[object Object]":i),c="[object Object]"==(u="[object Arguments]"==u?"[object Object]":u),f=i==u;if(f&&ot(e)){if(!ot(t))return!1;o=!0,p=!1}if(f&&!p)return a||(a=new Se),o||mt(e)?Me(e,t,n,r,l,a):We(e,t,i,n,r,l,a);if(!(1&n)){var d=p&&Ht.call(e,"__wrapped__"),h=c&&Ht.call(t,"__wrapped__");if(d||h){var m=d?e.value():e,v=h?t.value():t;return a||(a=new Se),l(m,v,n,r,a)}}return!!f&&(a||(a=new Se),Ct(e,t,n,r,l,a))};var Rt=function e(t,n,r,l,a){return t===n||(null==t||null==n||!Ze(t)&&!Ze(n)?t!=t&&n!=n:Bt(t,n,r,l,e,a))};var Wt=function(e,t){return Rt(e,t)},Vt={container:!1,delay:0,html:!1,placement:"top",title:"",template:'<div class="tooltip" role="tooltip"><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>',trigger:"hover focus",offset:0},Ut=[],qt=function(){function e(t,n){var r=this;!function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}(this,e),s(this,"_events",[]),s(this,"_setTooltipNodeEvent",(function(e,t,n,l){var a=e.relatedreference||e.toElement||e.relatedTarget;return!!r._tooltipNode.contains(a)&&(r._tooltipNode.addEventListener(e.type,(function n(a){var o=a.relatedreference||a.toElement||a.relatedTarget;r._tooltipNode.removeEventListener(e.type,n),t.contains(o)||r._scheduleHide(t,l.delay,l,a)})),!0)})),n=u({},Vt,{},n),t.jquery&&(t=t[0]),this.show=this.show.bind(this),this.hide=this.hide.bind(this),this.reference=t,this.options=n,this._isOpen=!1,this._init()}var t,n,l;return t=e,(n=[{key:"show",value:function(){this._show(this.reference,this.options)}},{key:"hide",value:function(){this._hide()}},{key:"dispose",value:function(){this._dispose()}},{key:"toggle",value:function(){return this._isOpen?this.hide():this.show()}},{key:"setClasses",value:function(e){this._classes=e}},{key:"setContent",value:function(e){this.options.title=e,this._tooltipNode&&this._setContent(e,this.options)}},{key:"setOptions",value:function(e){var t=!1,n=e&&e.classes||nn.options.defaultClass;Wt(this._classes,n)||(this.setClasses(n),t=!0),e=Kt(e);var r=!1,l=!1;for(var a in this.options.offset===e.offset&&this.options.placement===e.placement||(r=!0),(this.options.template!==e.template||this.options.trigger!==e.trigger||this.options.container!==e.container||t)&&(l=!0),e)this.options[a]=e[a];if(this._tooltipNode)if(l){var o=this._isOpen;this.dispose(),this._init(),o&&this.show()}else r&&this.popperInstance.update()}},{key:"_init",value:function(){var e="string"==typeof this.options.trigger?this.options.trigger.split(" "):[];this._isDisposed=!1,this._enableDocumentTouch=-1===e.indexOf("manual"),e=e.filter((function(e){return-1!==["click","hover","focus"].indexOf(e)})),this._setEventListeners(this.reference,e,this.options),this.$_originalTitle=this.reference.getAttribute("title"),this.reference.removeAttribute("title"),this.reference.setAttribute("data-original-title",this.$_originalTitle)}},{key:"_create",value:function(e,t){var n=window.document.createElement("div");n.innerHTML=t.trim();var r=n.childNodes[0];return r.id="tooltip_".concat(Math.random().toString(36).substr(2,10)),r.setAttribute("aria-hidden","true"),this.options.autoHide&&-1!==this.options.trigger.indexOf("hover")&&(r.addEventListener("mouseenter",this.hide),r.addEventListener("click",this.hide)),r}},{key:"_setContent",value:function(e,t){var n=this;this.asyncContent=!1,this._applyContent(e,t).then((function(){n.popperInstance.update()}))}},{key:"_applyContent",value:function(e,t){var n=this;return new Promise((function(r,l){var a=t.html,o=n._tooltipNode;if(o){var s=o.querySelector(n.options.innerSelector);if(1===e.nodeType){if(a){for(;s.firstChild;)s.removeChild(s.firstChild);s.appendChild(e)}}else{if("function"==typeof e){var i=e();return void(i&&"function"==typeof i.then?(n.asyncContent=!0,t.loadingClass&&f(o,t.loadingClass),t.loadingContent&&n._applyContent(t.loadingContent,t),i.then((function(e){return t.loadingClass&&d(o,t.loadingClass),n._applyContent(e,t)})).then(r).catch(l)):n._applyContent(i,t).then(r).catch(l))}a?s.innerHTML=e:s.innerText=e}r()}}))}},{key:"_show",value:function(e,t){if(!t||"string"!=typeof t.container||document.querySelector(t.container)){clearTimeout(this._disposeTimer),delete(t=Object.assign({},t)).offset;var n=!0;this._tooltipNode&&(f(this._tooltipNode,this._classes),n=!1);var r=this._ensureShown(e,t);return n&&this._tooltipNode&&f(this._tooltipNode,this._classes),f(e,["v-tooltip-open"]),r}}},{key:"_ensureShown",value:function(e,t){var n=this;if(this._isOpen)return this;if(this._isOpen=!0,Ut.push(this),this._tooltipNode)return this._tooltipNode.style.display="",this._tooltipNode.setAttribute("aria-hidden","false"),this.popperInstance.enableEventListeners(),this.popperInstance.update(),this.asyncContent&&this._setContent(t.title,t),this;var l=e.getAttribute("title")||t.title;if(!l)return this;var a=this._create(e,t.template);this._tooltipNode=a,e.setAttribute("aria-describedby",a.id);var o=this._findContainer(t.container,e);this._append(a,o);var s=u({},t.popperOptions,{placement:t.placement});return s.modifiers=u({},s.modifiers,{arrow:{element:this.options.arrowSelector}}),t.boundariesElement&&(s.modifiers.preventOverflow={boundariesElement:t.boundariesElement}),this.popperInstance=new r.a(e,a,s),this._setContent(l,t),requestAnimationFrame((function(){!n._isDisposed&&n.popperInstance?(n.popperInstance.update(),requestAnimationFrame((function(){n._isDisposed?n.dispose():n._isOpen&&a.setAttribute("aria-hidden","false")}))):n.dispose()})),this}},{key:"_noLongerOpen",value:function(){var e=Ut.indexOf(this);-1!==e&&Ut.splice(e,1)}},{key:"_hide",value:function(){var e=this;if(!this._isOpen)return this;this._isOpen=!1,this._noLongerOpen(),this._tooltipNode.style.display="none",this._tooltipNode.setAttribute("aria-hidden","true"),this.popperInstance.disableEventListeners(),clearTimeout(this._disposeTimer);var t=nn.options.disposeTimeout;return null!==t&&(this._disposeTimer=setTimeout((function(){e._tooltipNode&&(e._tooltipNode.removeEventListener("mouseenter",e.hide),e._tooltipNode.removeEventListener("click",e.hide),e._removeTooltipNode())}),t)),d(this.reference,["v-tooltip-open"]),this}},{key:"_removeTooltipNode",value:function(){if(this._tooltipNode){var e=this._tooltipNode.parentNode;e&&(e.removeChild(this._tooltipNode),this.reference.removeAttribute("aria-describedby")),this._tooltipNode=null}}},{key:"_dispose",value:function(){var e=this;return this._isDisposed=!0,this.reference.removeAttribute("data-original-title"),this.$_originalTitle&&this.reference.setAttribute("title",this.$_originalTitle),this._events.forEach((function(t){var n=t.func,r=t.event;e.reference.removeEventListener(r,n)})),this._events=[],this._tooltipNode?(this._hide(),this._tooltipNode.removeEventListener("mouseenter",this.hide),this._tooltipNode.removeEventListener("click",this.hide),this.popperInstance.destroy(),this.popperInstance.options.removeOnDestroy||this._removeTooltipNode()):this._noLongerOpen(),this}},{key:"_findContainer",value:function(e,t){return"string"==typeof e?e=window.document.querySelector(e):!1===e&&(e=t.parentNode),e}},{key:"_append",value:function(e,t){t.appendChild(e)}},{key:"_setEventListeners",value:function(e,t,n){var r=this,l=[],a=[];t.forEach((function(e){switch(e){case"hover":l.push("mouseenter"),a.push("mouseleave"),r.options.hideOnTargetClick&&a.push("click");break;case"focus":l.push("focus"),a.push("blur"),r.options.hideOnTargetClick&&a.push("click");break;case"click":l.push("click"),a.push("click")}})),l.forEach((function(t){var l=function(t){!0!==r._isOpen&&(t.usedByTooltip=!0,r._scheduleShow(e,n.delay,n,t))};r._events.push({event:t,func:l}),e.addEventListener(t,l)})),a.forEach((function(t){var l=function(t){!0!==t.usedByTooltip&&r._scheduleHide(e,n.delay,n,t)};r._events.push({event:t,func:l}),e.addEventListener(t,l)}))}},{key:"_onDocumentTouch",value:function(e){this._enableDocumentTouch&&this._scheduleHide(this.reference,this.options.delay,this.options,e)}},{key:"_scheduleShow",value:function(e,t,n){var r=this,l=t&&t.show||t||0;clearTimeout(this._scheduleTimer),this._scheduleTimer=window.setTimeout((function(){return r._show(e,n)}),l)}},{key:"_scheduleHide",value:function(e,t,n,r){var l=this,a=t&&t.hide||t||0;clearTimeout(this._scheduleTimer),this._scheduleTimer=window.setTimeout((function(){if(!1!==l._isOpen&&l._tooltipNode.ownerDocument.body.contains(l._tooltipNode)){if("mouseleave"===r.type&&l._setTooltipNodeEvent(r,e,t,n))return;l._hide(e,n)}}),a)}}])&&o(t.prototype,n),l&&o(t,l),e}();"undefined"!=typeof document&&document.addEventListener("touchstart",(function(e){for(var t=0;t<Ut.length;t++)Ut[t]._onDocumentTouch(e)}),!h||{passive:!0,capture:!0});var Gt={enabled:!0},Yt=["top","top-start","top-end","right","right-start","right-end","bottom","bottom-start","bottom-end","left","left-start","left-end"],Jt={defaultPlacement:"top",defaultClass:"vue-tooltip-theme",defaultTargetClass:"has-tooltip",defaultHtml:!0,defaultTemplate:'<div class="tooltip" role="tooltip"><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>',defaultArrowSelector:".tooltip-arrow, .tooltip__arrow",defaultInnerSelector:".tooltip-inner, .tooltip__inner",defaultDelay:0,defaultTrigger:"hover focus",defaultOffset:0,defaultContainer:"body",defaultBoundariesElement:void 0,defaultPopperOptions:{},defaultLoadingClass:"tooltip-loading",defaultLoadingContent:"...",autoHide:!0,defaultHideOnTargetClick:!0,disposeTimeout:5e3,popover:{defaultPlacement:"bottom",defaultClass:"vue-popover-theme",defaultBaseClass:"tooltip popover",defaultWrapperClass:"wrapper",defaultInnerClass:"tooltip-inner popover-inner",defaultArrowClass:"tooltip-arrow popover-arrow",defaultOpenClass:"open",defaultDelay:0,defaultTrigger:"click",defaultOffset:0,defaultContainer:"body",defaultBoundariesElement:void 0,defaultPopperOptions:{},defaultAutoHide:!0,defaultHandleResize:!0}};function Kt(e){var t={placement:void 0!==e.placement?e.placement:nn.options.defaultPlacement,delay:void 0!==e.delay?e.delay:nn.options.defaultDelay,html:void 0!==e.html?e.html:nn.options.defaultHtml,template:void 0!==e.template?e.template:nn.options.defaultTemplate,arrowSelector:void 0!==e.arrowSelector?e.arrowSelector:nn.options.defaultArrowSelector,innerSelector:void 0!==e.innerSelector?e.innerSelector:nn.options.defaultInnerSelector,trigger:void 0!==e.trigger?e.trigger:nn.options.defaultTrigger,offset:void 0!==e.offset?e.offset:nn.options.defaultOffset,container:void 0!==e.container?e.container:nn.options.defaultContainer,boundariesElement:void 0!==e.boundariesElement?e.boundariesElement:nn.options.defaultBoundariesElement,autoHide:void 0!==e.autoHide?e.autoHide:nn.options.autoHide,hideOnTargetClick:void 0!==e.hideOnTargetClick?e.hideOnTargetClick:nn.options.defaultHideOnTargetClick,loadingClass:void 0!==e.loadingClass?e.loadingClass:nn.options.defaultLoadingClass,loadingContent:void 0!==e.loadingContent?e.loadingContent:nn.options.defaultLoadingContent,popperOptions:u({},void 0!==e.popperOptions?e.popperOptions:nn.options.defaultPopperOptions)};if(t.offset){var n=a(t.offset),r=t.offset;("number"===n||"string"===n&&-1===r.indexOf(","))&&(r="0, ".concat(r)),t.popperOptions.modifiers||(t.popperOptions.modifiers={}),t.popperOptions.modifiers.offset={offset:r}}return t.trigger&&-1!==t.trigger.indexOf("click")&&(t.hideOnTargetClick=!1),t}function Xt(e,t){for(var n=e.placement,r=0;r<Yt.length;r++){var l=Yt[r];t[l]&&(n=l)}return n}function Qt(e){var t=a(e);return"string"===t?e:!(!e||"object"!==t)&&e.content}function Zt(e,t){var n=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{},r=Qt(t),l=void 0!==t.classes?t.classes:nn.options.defaultClass,a=u({title:r},Kt(u({},t,{placement:Xt(t,n)}))),o=e._tooltip=new qt(e,a);o.setClasses(l),o._vueEl=e;var s=void 0!==t.targetClasses?t.targetClasses:nn.options.defaultTargetClass;return e._tooltipTargetClasses=s,f(e,s),o}function en(e){e._tooltip&&(e._tooltip.dispose(),delete e._tooltip,delete e._tooltipOldShow),e._tooltipTargetClasses&&(d(e,e._tooltipTargetClasses),delete e._tooltipTargetClasses)}function tn(e,t){var n,r=t.value,l=(t.oldValue,t.modifiers),a=Qt(r);a&&Gt.enabled?(e._tooltip?((n=e._tooltip).setContent(a),n.setOptions(u({},r,{placement:Xt(r,l)}))):n=Zt(e,r,l),void 0!==r.show&&r.show!==e._tooltipOldShow&&(e._tooltipOldShow=r.show,r.show?n.show():n.hide())):en(e)}var nn={options:Jt,bind:tn,update:tn,unbind:function(e){en(e)}};function rn(e){e.addEventListener("click",an),e.addEventListener("touchstart",on,!!h&&{passive:!0})}function ln(e){e.removeEventListener("click",an),e.removeEventListener("touchstart",on),e.removeEventListener("touchend",sn),e.removeEventListener("touchcancel",un)}function an(e){var t=e.currentTarget;e.closePopover=!t.$_vclosepopover_touch,e.closeAllPopover=t.$_closePopoverModifiers&&!!t.$_closePopoverModifiers.all}function on(e){if(1===e.changedTouches.length){var t=e.currentTarget;t.$_vclosepopover_touch=!0;var n=e.changedTouches[0];t.$_vclosepopover_touchPoint=n,t.addEventListener("touchend",sn),t.addEventListener("touchcancel",un)}}function sn(e){var t=e.currentTarget;if(t.$_vclosepopover_touch=!1,1===e.changedTouches.length){var n=e.changedTouches[0],r=t.$_vclosepopover_touchPoint;e.closePopover=Math.abs(n.screenY-r.screenY)<20&&Math.abs(n.screenX-r.screenX)<20,e.closeAllPopover=t.$_closePopoverModifiers&&!!t.$_closePopoverModifiers.all}}function un(e){e.currentTarget.$_vclosepopover_touch=!1}var pn={bind:function(e,t){var n=t.value,r=t.modifiers;e.$_closePopoverModifiers=r,(void 0===n||n)&&rn(e)},update:function(e,t){var n=t.value,r=t.oldValue,l=t.modifiers;e.$_closePopoverModifiers=l,n!==r&&(void 0===n||n?rn(e):ln(e))},unbind:function(e){ln(e)}};function cn(e){var t=nn.options.popover[e];return void 0===t?nn.options[e]:t}var fn=!1;"undefined"!=typeof window&&"undefined"!=typeof navigator&&(fn=/iPad|iPhone|iPod/.test(navigator.userAgent)&&!window.MSStream);var dn=[],hn=function(){};"undefined"!=typeof window&&(hn=window.Element);var mn={name:"VPopover",components:{ResizeObserver:l.a},props:{open:{type:Boolean,default:!1},disabled:{type:Boolean,default:!1},placement:{type:String,default:function(){return cn("defaultPlacement")}},delay:{type:[String,Number,Object],default:function(){return cn("defaultDelay")}},offset:{type:[String,Number],default:function(){return cn("defaultOffset")}},trigger:{type:String,default:function(){return cn("defaultTrigger")}},container:{type:[String,Object,hn,Boolean],default:function(){return cn("defaultContainer")}},boundariesElement:{type:[String,hn],default:function(){return cn("defaultBoundariesElement")}},popperOptions:{type:Object,default:function(){return cn("defaultPopperOptions")}},popoverClass:{type:[String,Array],default:function(){return cn("defaultClass")}},popoverBaseClass:{type:[String,Array],default:function(){return nn.options.popover.defaultBaseClass}},popoverInnerClass:{type:[String,Array],default:function(){return nn.options.popover.defaultInnerClass}},popoverWrapperClass:{type:[String,Array],default:function(){return nn.options.popover.defaultWrapperClass}},popoverArrowClass:{type:[String,Array],default:function(){return nn.options.popover.defaultArrowClass}},autoHide:{type:Boolean,default:function(){return nn.options.popover.defaultAutoHide}},handleResize:{type:Boolean,default:function(){return nn.options.popover.defaultHandleResize}},openGroup:{type:String,default:null},openClass:{type:[String,Array],default:function(){return nn.options.popover.defaultOpenClass}}},data:function(){return{isOpen:!1,id:Math.random().toString(36).substr(2,10)}},computed:{cssClass:function(){return s({},this.openClass,this.isOpen)},popoverId:function(){return"popover_".concat(this.id)}},watch:{open:function(e){e?this.show():this.hide()},disabled:function(e,t){e!==t&&(e?this.hide():this.open&&this.show())},container:function(e){if(this.isOpen&&this.popperInstance){var t=this.$refs.popover,n=this.$refs.trigger,r=this.$_findContainer(this.container,n);if(!r)return void console.warn("No container for popover",this);r.appendChild(t),this.popperInstance.scheduleUpdate()}},trigger:function(e){this.$_removeEventListeners(),this.$_addEventListeners()},placement:function(e){var t=this;this.$_updatePopper((function(){t.popperInstance.options.placement=e}))},offset:"$_restartPopper",boundariesElement:"$_restartPopper",popperOptions:{handler:"$_restartPopper",deep:!0}},created:function(){this.$_isDisposed=!1,this.$_mounted=!1,this.$_events=[],this.$_preventOpen=!1},mounted:function(){var e=this.$refs.popover;e.parentNode&&e.parentNode.removeChild(e),this.$_init(),this.open&&this.show()},deactivated:function(){this.hide()},beforeDestroy:function(){this.dispose()},methods:{show:function(){var e=this,t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},n=t.event,r=(t.skipDelay,t.force),l=void 0!==r&&r;!l&&this.disabled||(this.$_scheduleShow(n),this.$emit("show")),this.$emit("update:open",!0),this.$_beingShowed=!0,requestAnimationFrame((function(){e.$_beingShowed=!1}))},hide:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},t=e.event;e.skipDelay;this.$_scheduleHide(t),this.$emit("hide"),this.$emit("update:open",!1)},dispose:function(){if(this.$_isDisposed=!0,this.$_removeEventListeners(),this.hide({skipDelay:!0}),this.popperInstance&&(this.popperInstance.destroy(),!this.popperInstance.options.removeOnDestroy)){var e=this.$refs.popover;e.parentNode&&e.parentNode.removeChild(e)}this.$_mounted=!1,this.popperInstance=null,this.isOpen=!1,this.$emit("dispose")},$_init:function(){-1===this.trigger.indexOf("manual")&&this.$_addEventListeners()},$_show:function(){var e=this,t=this.$refs.trigger,n=this.$refs.popover;if(clearTimeout(this.$_disposeTimer),!this.isOpen){if(this.popperInstance&&(this.isOpen=!0,this.popperInstance.enableEventListeners(),this.popperInstance.scheduleUpdate()),!this.$_mounted){var l=this.$_findContainer(this.container,t);if(!l)return void console.warn("No container for popover",this);l.appendChild(n),this.$_mounted=!0}if(!this.popperInstance){var a=u({},this.popperOptions,{placement:this.placement});if(a.modifiers=u({},a.modifiers,{arrow:u({},a.modifiers&&a.modifiers.arrow,{element:this.$refs.arrow})}),this.offset){var o=this.$_getOffset();a.modifiers.offset=u({},a.modifiers&&a.modifiers.offset,{offset:o})}this.boundariesElement&&(a.modifiers.preventOverflow=u({},a.modifiers&&a.modifiers.preventOverflow,{boundariesElement:this.boundariesElement})),this.popperInstance=new r.a(t,n,a),requestAnimationFrame((function(){if(e.hidden)return e.hidden=!1,void e.$_hide();!e.$_isDisposed&&e.popperInstance?(e.popperInstance.scheduleUpdate(),requestAnimationFrame((function(){if(e.hidden)return e.hidden=!1,void e.$_hide();e.$_isDisposed?e.dispose():e.isOpen=!0}))):e.dispose()}))}var s=this.openGroup;if(s)for(var i,p=0;p<dn.length;p++)(i=dn[p]).openGroup!==s&&(i.hide(),i.$emit("close-group"));dn.push(this),this.$emit("apply-show")}},$_hide:function(){var e=this;if(this.isOpen){var t=dn.indexOf(this);-1!==t&&dn.splice(t,1),this.isOpen=!1,this.popperInstance&&this.popperInstance.disableEventListeners(),clearTimeout(this.$_disposeTimer);var n=nn.options.popover.disposeTimeout||nn.options.disposeTimeout;null!==n&&(this.$_disposeTimer=setTimeout((function(){var t=e.$refs.popover;t&&(t.parentNode&&t.parentNode.removeChild(t),e.$_mounted=!1)}),n)),this.$emit("apply-hide")}},$_findContainer:function(e,t){return"string"==typeof e?e=window.document.querySelector(e):!1===e&&(e=t.parentNode),e},$_getOffset:function(){var e=a(this.offset),t=this.offset;return("number"===e||"string"===e&&-1===t.indexOf(","))&&(t="0, ".concat(t)),t},$_addEventListeners:function(){var e=this,t=this.$refs.trigger,n=[],r=[];("string"==typeof this.trigger?this.trigger.split(" ").filter((function(e){return-1!==["click","hover","focus"].indexOf(e)})):[]).forEach((function(e){switch(e){case"hover":n.push("mouseenter"),r.push("mouseleave");break;case"focus":n.push("focus"),r.push("blur");break;case"click":n.push("click"),r.push("click")}})),n.forEach((function(n){var r=function(t){e.isOpen||(t.usedByTooltip=!0,!e.$_preventOpen&&e.show({event:t}),e.hidden=!1)};e.$_events.push({event:n,func:r}),t.addEventListener(n,r)})),r.forEach((function(n){var r=function(t){t.usedByTooltip||(e.hide({event:t}),e.hidden=!0)};e.$_events.push({event:n,func:r}),t.addEventListener(n,r)}))},$_scheduleShow:function(){var e=arguments.length>1&&void 0!==arguments[1]&&arguments[1];if(clearTimeout(this.$_scheduleTimer),e)this.$_show();else{var t=parseInt(this.delay&&this.delay.show||this.delay||0);this.$_scheduleTimer=setTimeout(this.$_show.bind(this),t)}},$_scheduleHide:function(){var e=this,t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:null,n=arguments.length>1&&void 0!==arguments[1]&&arguments[1];if(clearTimeout(this.$_scheduleTimer),n)this.$_hide();else{var r=parseInt(this.delay&&this.delay.hide||this.delay||0);this.$_scheduleTimer=setTimeout((function(){if(e.isOpen){if(t&&"mouseleave"===t.type)if(e.$_setTooltipNodeEvent(t))return;e.$_hide()}}),r)}},$_setTooltipNodeEvent:function(e){var t=this,n=this.$refs.trigger,r=this.$refs.popover,l=e.relatedreference||e.toElement||e.relatedTarget;return!!r.contains(l)&&(r.addEventListener(e.type,(function l(a){var o=a.relatedreference||a.toElement||a.relatedTarget;r.removeEventListener(e.type,l),n.contains(o)||t.hide({event:a})})),!0)},$_removeEventListeners:function(){var e=this.$refs.trigger;this.$_events.forEach((function(t){var n=t.func,r=t.event;e.removeEventListener(r,n)})),this.$_events=[]},$_updatePopper:function(e){this.popperInstance&&(e(),this.isOpen&&this.popperInstance.scheduleUpdate())},$_restartPopper:function(){if(this.popperInstance){var e=this.isOpen;this.dispose(),this.$_isDisposed=!1,this.$_init(),e&&this.show({skipDelay:!0,force:!0})}},$_handleGlobalClose:function(e){var t=this,n=arguments.length>1&&void 0!==arguments[1]&&arguments[1];this.$_beingShowed||(this.hide({event:e}),e.closePopover?this.$emit("close-directive"):this.$emit("auto-hide"),n&&(this.$_preventOpen=!0,setTimeout((function(){t.$_preventOpen=!1}),300)))},$_handleResize:function(){this.isOpen&&this.popperInstance&&(this.popperInstance.scheduleUpdate(),this.$emit("resize"))}}};function vn(e){for(var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1],n=function(n){var r=dn[n];if(r.$refs.popover){var l=r.$refs.popover.contains(e.target);requestAnimationFrame((function(){(e.closeAllPopover||e.closePopover&&l||r.autoHide&&!l)&&r.$_handleGlobalClose(e,t)}))}},r=0;r<dn.length;r++)n(r)}"undefined"!=typeof document&&"undefined"!=typeof window&&(fn?document.addEventListener("touchend",(function(e){vn(e,!0)}),!h||{passive:!0,capture:!0}):window.addEventListener("click",(function(e){vn(e)}),!0));var gn=mn,yn=function(){var e=this,t=e.$createElement,n=e._self._c||t;return n("div",{staticClass:"v-popover",class:e.cssClass},[n("div",{ref:"trigger",staticClass:"trigger",staticStyle:{display:"inline-block"},attrs:{"aria-describedby":e.popoverId,tabindex:-1!==e.trigger.indexOf("focus")?0:void 0}},[e._t("default")],2),e._v(" "),n("div",{ref:"popover",class:[e.popoverBaseClass,e.popoverClass,e.cssClass],style:{visibility:e.isOpen?"visible":"hidden"},attrs:{id:e.popoverId,"aria-hidden":e.isOpen?"false":"true",tabindex:e.autoHide?0:void 0},on:{keyup:function(t){if(!t.type.indexOf("key")&&e._k(t.keyCode,"esc",27,t.key,["Esc","Escape"]))return null;e.autoHide&&e.hide()}}},[n("div",{class:e.popoverWrapperClass},[n("div",{ref:"inner",class:e.popoverInnerClass,staticStyle:{position:"relative"}},[n("div",[e._t("popover")],2),e._v(" "),e.handleResize?n("ResizeObserver",{on:{notify:e.$_handleResize}}):e._e()],1),e._v(" "),n("div",{ref:"arrow",class:e.popoverArrowClass})])])])};yn._withStripped=!0;var bn=function(e,t,n,r,l,a,o,s,i,u){"boolean"!=typeof o&&(i=s,s=o,o=!1);const p="function"==typeof n?n.options:n;let c;if(e&&e.render&&(p.render=e.render,p.staticRenderFns=e.staticRenderFns,p._compiled=!0,l&&(p.functional=!0)),r&&(p._scopeId=r),a?(c=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),t&&t.call(this,i(e)),e&&e._registeredComponents&&e._registeredComponents.add(a)},p._ssrRegister=c):t&&(c=o?function(e){t.call(this,u(e,this.$root.$options.shadowRoot))}:function(e){t.call(this,s(e))}),c)if(p.functional){const e=p.render;p.render=function(t,n){return c.call(n),e(t,n)}}else{const e=p.beforeCreate;p.beforeCreate=e?[].concat(e,c):[c]}return n}({render:yn,staticRenderFns:[]},void 0,gn,void 0,!1,void 0,!1,void 0,void 0,void 0),_n=function(){try{var e=se(Object,"defineProperty");return e({},"",{}),e}catch(e){}}();var xn=function(e,t,n){"__proto__"==t&&_n?_n(e,t,{configurable:!0,enumerable:!0,value:n,writable:!0}):e[t]=n};var wn=function(e,t,n){(void 0!==n&&!g(e[t],n)||void 0===n&&!(t in e))&&xn(e,t,n)};var Tn=function(e){return function(t,n,r){for(var l=-1,a=Object(t),o=r(t),s=o.length;s--;){var i=o[e?s:++l];if(!1===n(a[i],i,a))break}return t}}(),On=k((function(e,t){var n=t&&!t.nodeType&&t,r=n&&e&&!e.nodeType&&e,l=r&&r.exports===n?A.Buffer:void 0,a=l?l.allocUnsafe:void 0;e.exports=function(e,t){if(t)return e.slice();var n=e.length,r=a?a(n):new e.constructor(n);return e.copy(r),r}}));var Fn=function(e){var t=new e.constructor(e.byteLength);return new Ie(t).set(new Ie(e)),t};var jn=function(e,t){var n=t?Fn(e.buffer):e.buffer;return new e.constructor(n,e.byteOffset,e.length)};var En=function(e,t){var n=-1,r=e.length;for(t||(t=Array(r));++n<r;)t[n]=e[n];return t},Cn=Object.create,Nn=function(){function e(){}return function(t){if(!U(t))return{};if(Cn)return Cn(t);e.prototype=t;var n=new e;return e.prototype=void 0,n}}(),Sn=_t(Object.getPrototypeOf,Object);var kn=function(e){return"function"!=typeof e.constructor||bt(e)?{}:Nn(Sn(e))};var $n=function(e){return Ze(e)&&Ot(e)},Ln=Function.prototype,An=Object.prototype,Pn=Ln.toString,Dn=An.hasOwnProperty,Mn=Pn.call(Object);var In=function(e){if(!Ze(e)||"[object Object]"!=V(e))return!1;var t=Sn(e);if(null===t)return!0;var n=Dn.call(t,"constructor")&&t.constructor;return"function"==typeof n&&n instanceof n&&Pn.call(n)==Mn};var zn=function(e,t){if(("constructor"!==t||"function"!=typeof e[t])&&"__proto__"!=t)return e[t]},Hn=Object.prototype.hasOwnProperty;var Bn=function(e,t,n){var r=e[t];Hn.call(e,t)&&g(r,n)&&(void 0!==n||t in e)||xn(e,t,n)};var Rn=function(e,t,n,r){var l=!n;n||(n={});for(var a=-1,o=t.length;++a<o;){var s=t[a],i=r?r(n[s],e[s],s,n,e):void 0;void 0===i&&(i=e[s]),l?xn(n,s,i):Bn(n,s,i)}return n};var Wn=function(e){var t=[];if(null!=e)for(var n in Object(e))t.push(n);return t},Vn=Object.prototype.hasOwnProperty;var Un=function(e){if(!U(e))return Wn(e);var t=bt(e),n=[];for(var r in e)("constructor"!=r||!t&&Vn.call(e,r))&&n.push(r);return n};var qn=function(e){return Ot(e)?gt(e,!0):Un(e)};var Gn=function(e){return Rn(e,qn(e))};var Yn=function(e,t,n,r,l,a,o){var s=zn(e,n),i=zn(t,n),u=o.get(i);if(u)wn(e,n,u);else{var p=a?a(s,i,n+"",e,t,o):void 0,c=void 0===p;if(c){var f=Ue(i),d=!f&&ot(i),h=!f&&!d&&mt(i);p=i,f||d||h?Ue(s)?p=s:$n(s)?p=En(s):d?(c=!1,p=On(i,!0)):h?(c=!1,p=jn(i,!0)):p=[]:In(i)||lt(i)?(p=s,lt(s)?p=Gn(s):U(s)&&!G(s)||(p=kn(i))):c=!1}c&&(o.set(i,p),l(p,i,r,a,o),o.delete(i)),wn(e,n,p)}};var Jn=function e(t,n,r,l,a){t!==n&&Tn(n,(function(o,s){if(a||(a=new Se),U(o))Yn(t,n,s,r,e,l,a);else{var i=l?l(zn(t,s),o,s+"",t,n,a):void 0;void 0===i&&(i=o),wn(t,s,i)}}),qn)};var Kn=function(e){return e};var Xn=function(e,t,n){switch(n.length){case 0:return e.call(t);case 1:return e.call(t,n[0]);case 2:return e.call(t,n[0],n[1]);case 3:return e.call(t,n[0],n[1],n[2])}return e.apply(t,n)},Qn=Math.max;var Zn=function(e,t,n){return t=Qn(void 0===t?e.length-1:t,0),function(){for(var r=arguments,l=-1,a=Qn(r.length-t,0),o=Array(a);++l<a;)o[l]=r[t+l];l=-1;for(var s=Array(t+1);++l<t;)s[l]=r[l];return s[t]=n(o),Xn(e,this,s)}};var er=function(e){return function(){return e}},tr=_n?function(e,t){return _n(e,"toString",{configurable:!0,enumerable:!1,value:er(t),writable:!0})}:Kn,nr=Date.now;var rr=function(e){var t=0,n=0;return function(){var r=nr(),l=16-(r-n);if(n=r,l>0){if(++t>=800)return arguments[0]}else t=0;return e.apply(void 0,arguments)}}(tr);var lr=function(e,t){return rr(Zn(e,t,Kn),e+"")};var ar=function(e,t,n){if(!U(n))return!1;var r=typeof t;return!!("number"==r?Ot(n)&&it(t,n.length):"string"==r&&t in n)&&g(n[t],e)};var or=function(e){return lr((function(t,n){var r=-1,l=n.length,a=l>1?n[l-1]:void 0,o=l>2?n[2]:void 0;for(a=e.length>3&&"function"==typeof a?(l--,a):void 0,o&&ar(n[0],n[1],o)&&(a=l<3?void 0:a,l=1),t=Object(t);++r<l;){var s=n[r];s&&e(t,s,r,a)}return t}))}((function(e,t,n){Jn(e,t,n)}));function sr(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};if(!sr.installed){sr.installed=!0;var n={};or(n,Jt,t),cr.options=n,nn.options=n,e.directive("tooltip",nn),e.directive("close-popover",pn),e.component("v-popover",bn)}}!function(e,t){void 0===t&&(t={});var n=t.insertAt;if(e&&"undefined"!=typeof document){var r=document.head||document.getElementsByTagName("head")[0],l=document.createElement("style");l.type="text/css","top"===n&&r.firstChild?r.insertBefore(l,r.firstChild):r.appendChild(l),l.styleSheet?l.styleSheet.cssText=e:l.appendChild(document.createTextNode(e))}}(".resize-observer[data-v-b329ee4c]{position:absolute;top:0;left:0;z-index:-1;width:100%;height:100%;border:none;background-color:transparent;pointer-events:none;display:block;overflow:hidden;opacity:0}.resize-observer[data-v-b329ee4c] object{display:block;position:absolute;top:0;left:0;height:100%;width:100%;overflow:hidden;pointer-events:none;z-index:-1}");var ir=nn,ur=pn,pr=bn,cr={install:sr,get enabled(){return Gt.enabled},set enabled(e){Gt.enabled=e}},fr=null;"undefined"!=typeof window?fr=window.Vue:void 0!==e&&(fr=e.Vue),fr&&fr.use(cr),t.default=cr}.call(this,n(46))},197:function(e,t,n){"use strict";var r=n(557),l=n(558);function a(e){e=e||{},this.catalogs={},this.locale="",this.domain="messages",this.listeners=[],this.sourceLocale="",e.sourceLocale&&("string"==typeof e.sourceLocale?this.sourceLocale=e.sourceLocale:this.warn("The `sourceLocale` option should be a string")),this.debug="debug"in e&&!0===e.debug}e.exports=a,a.prototype.on=function(e,t){this.listeners.push({eventName:e,callback:t})},a.prototype.off=function(e,t){this.listeners=this.listeners.filter((function(n){return!1==(n.eventName===e&&n.callback===t)}))},a.prototype.emit=function(e,t){for(var n=0;n<this.listeners.length;n++){var r=this.listeners[n];r.eventName===e&&r.callback(t)}},a.prototype.warn=function(e){this.debug&&console.warn(e),this.emit("error",new Error(e))},a.prototype.addTranslations=function(e,t,n){this.catalogs[e]||(this.catalogs[e]={}),this.catalogs[e][t]=n},a.prototype.setLocale=function(e){"string"==typeof e?(""===e.trim()&&this.warn("You called setLocale() with an empty value, which makes little sense."),e===this.sourceLocale||this.catalogs[e]||this.warn('You called setLocale() with "'+e+'", but no translations for that locale has been added.'),this.locale=e):this.warn("You called setLocale() with an argument of type "+typeof e+". The locale must be a string.")},a.prototype.setTextDomain=function(e){"string"==typeof e?(""===e.trim()&&this.warn("You called setTextDomain() with an empty `domain` value."),this.domain=e):this.warn("You called setTextDomain() with an argument of type "+typeof e+". The domain must be a string.")},a.prototype.gettext=function(e){return this.dnpgettext(this.domain,"",e)},a.prototype.dgettext=function(e,t){return this.dnpgettext(e,"",t)},a.prototype.ngettext=function(e,t,n){return this.dnpgettext(this.domain,"",e,t,n)},a.prototype.dngettext=function(e,t,n,r){return this.dnpgettext(e,"",t,n,r)},a.prototype.pgettext=function(e,t){return this.dnpgettext(this.domain,e,t)},a.prototype.dpgettext=function(e,t,n){return this.dnpgettext(e,t,n)},a.prototype.npgettext=function(e,t,n,r){return this.dnpgettext(this.domain,e,t,n,r)},a.prototype.dnpgettext=function(e,t,n,r,o){var s,i,u=n;if(t=t||"",isNaN(o)||1===o||(u=r||n),s=this._getTranslation(e,t,n)){if("number"==typeof o)"boolean"==typeof(i=(0,l[a.getLanguageCode(this.locale)].pluralsFunc)(o))&&(i=i?1:0);else i=0;return s.msgstr[i]||u}return this.sourceLocale&&this.locale===this.sourceLocale||this.warn('No translation was found for msgid "'+n+'" in msgctxt "'+t+'" and domain "'+e+'"'),u},a.prototype.getComment=function(e,t,n){var r;return(r=this._getTranslation(e,t,n))&&r.comments||{}},a.prototype._getTranslation=function(e,t,n){return t=t||"",r(this.catalogs,[this.locale,e,"translations",t,n])},a.getLanguageCode=function(e){return e.split(/[\-_]/)[0].toLowerCase()},a.prototype.textdomain=function(e){this.debug&&console.warn("textdomain(domain) was used to set locales in node-gettext v1. Make sure you are using it for domains, and switch to setLocale(locale) if you are not.\n\n To read more about the migration from node-gettext v1 to v2, see https://github.com/alexanderwallin/node-gettext/#migrating-from-1x-to-2x\n\nThis warning will be removed in the final 2.0.0"),this.setTextDomain(e)},a.prototype.setlocale=function(e){this.setLocale(e)},a.prototype.addTextdomain=function(){console.error("addTextdomain() is deprecated.\n\n* To add translations, use addTranslations()\n* To set the default domain, use setTextDomain() (or its alias textdomain())\n\nTo read more about the migration from node-gettext v1 to v2, see https://github.com/alexanderwallin/node-gettext/#migrating-from-1x-to-2x")}},557:function(e,t,n){(function(t){var n=/\.|\[(?:[^[\]]*|(["'])(?:(?!\1)[^\\]|\\.)*?\1)\]/,r=/^\w*$/,l=/^\./,a=/[^.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\\]|\\.)*?)\2)\]|(?=(?:\.|\[\])(?:\.|\[\]|$))/g,o=/\\(\\)?/g,s=/^\[object .+?Constructor\]$/,i="object"==typeof t&&t&&t.Object===Object&&t,u="object"==typeof self&&self&&self.Object===Object&&self,p=i||u||Function("return this")();var c,f=Array.prototype,d=Function.prototype,h=Object.prototype,m=p["__core-js_shared__"],v=(c=/[^.]+$/.exec(m&&m.keys&&m.keys.IE_PROTO||""))?"Symbol(src)_1."+c:"",g=d.toString,y=h.hasOwnProperty,b=h.toString,_=RegExp("^"+g.call(y).replace(/[\\^$.*+?()[\]{}|]/g,"\\$&").replace(/hasOwnProperty|(function).*?(?=\\\()| for .+?(?=\\\])/g,"$1.*?")+"$"),x=p.Symbol,w=f.splice,T=A(p,"Map"),O=A(Object,"create"),F=x?x.prototype:void 0,j=F?F.toString:void 0;function E(e){var t=-1,n=e?e.length:0;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}function C(e){var t=-1,n=e?e.length:0;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}function N(e){var t=-1,n=e?e.length:0;for(this.clear();++t<n;){var r=e[t];this.set(r[0],r[1])}}function S(e,t){for(var n,r,l=e.length;l--;)if((n=e[l][0])===(r=t)||n!=n&&r!=r)return l;return-1}function k(e,t){for(var l,a=0,o=(t=function(e,t){if(I(e))return!1;var l=typeof e;if("number"==l||"symbol"==l||"boolean"==l||null==e||H(e))return!0;return r.test(e)||!n.test(e)||null!=t&&e in Object(t)}(t,e)?[t]:I(l=t)?l:P(l)).length;null!=e&&a<o;)e=e[D(t[a++])];return a&&a==o?e:void 0}function $(e){return!(!z(e)||(t=e,v&&v in t))&&(function(e){var t=z(e)?b.call(e):"";return"[object Function]"==t||"[object GeneratorFunction]"==t}(e)||function(e){var t=!1;if(null!=e&&"function"!=typeof e.toString)try{t=!!(e+"")}catch(e){}return t}(e)?_:s).test(function(e){if(null!=e){try{return g.call(e)}catch(e){}try{return e+""}catch(e){}}return""}(e));var t}function L(e,t){var n,r,l=e.__data__;return("string"==(r=typeof(n=t))||"number"==r||"symbol"==r||"boolean"==r?"__proto__"!==n:null===n)?l["string"==typeof t?"string":"hash"]:l.map}function A(e,t){var n=function(e,t){return null==e?void 0:e[t]}(e,t);return $(n)?n:void 0}E.prototype.clear=function(){this.__data__=O?O(null):{}},E.prototype.delete=function(e){return this.has(e)&&delete this.__data__[e]},E.prototype.get=function(e){var t=this.__data__;if(O){var n=t[e];return"__lodash_hash_undefined__"===n?void 0:n}return y.call(t,e)?t[e]:void 0},E.prototype.has=function(e){var t=this.__data__;return O?void 0!==t[e]:y.call(t,e)},E.prototype.set=function(e,t){return this.__data__[e]=O&&void 0===t?"__lodash_hash_undefined__":t,this},C.prototype.clear=function(){this.__data__=[]},C.prototype.delete=function(e){var t=this.__data__,n=S(t,e);return!(n<0)&&(n==t.length-1?t.pop():w.call(t,n,1),!0)},C.prototype.get=function(e){var t=this.__data__,n=S(t,e);return n<0?void 0:t[n][1]},C.prototype.has=function(e){return S(this.__data__,e)>-1},C.prototype.set=function(e,t){var n=this.__data__,r=S(n,e);return r<0?n.push([e,t]):n[r][1]=t,this},N.prototype.clear=function(){this.__data__={hash:new E,map:new(T||C),string:new E}},N.prototype.delete=function(e){return L(this,e).delete(e)},N.prototype.get=function(e){return L(this,e).get(e)},N.prototype.has=function(e){return L(this,e).has(e)},N.prototype.set=function(e,t){return L(this,e).set(e,t),this};var P=M((function(e){var t;e=null==(t=e)?"":function(e){if("string"==typeof e)return e;if(H(e))return j?j.call(e):"";var t=e+"";return"0"==t&&1/e==-1/0?"-0":t}(t);var n=[];return l.test(e)&&n.push(""),e.replace(a,(function(e,t,r,l){n.push(r?l.replace(o,"$1"):t||e)})),n}));function D(e){if("string"==typeof e||H(e))return e;var t=e+"";return"0"==t&&1/e==-1/0?"-0":t}function M(e,t){if("function"!=typeof e||t&&"function"!=typeof t)throw new TypeError("Expected a function");var n=function(){var r=arguments,l=t?t.apply(this,r):r[0],a=n.cache;if(a.has(l))return a.get(l);var o=e.apply(this,r);return n.cache=a.set(l,o),o};return n.cache=new(M.Cache||N),n}M.Cache=N;var I=Array.isArray;function z(e){var t=typeof e;return!!e&&("object"==t||"function"==t)}function H(e){return"symbol"==typeof e||function(e){return!!e&&"object"==typeof e}(e)&&"[object Symbol]"==b.call(e)}e.exports=function(e,t,n){var r=null==e?void 0:k(e,t);return void 0===r?n:r}}).call(this,n(46))},558:function(e,t,n){"use strict";e.exports={ach:{name:"Acholi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},af:{name:"Afrikaans",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ak:{name:"Akan",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},am:{name:"Amharic",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},an:{name:"Aragonese",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ar:{name:"Arabic",examples:[{plural:0,sample:0},{plural:1,sample:1},{plural:2,sample:2},{plural:3,sample:3},{plural:4,sample:11},{plural:5,sample:100}],nplurals:6,pluralsText:"nplurals = 6; plural = (n === 0 ? 0 : n === 1 ? 1 : n === 2 ? 2 : n % 100 >= 3 && n % 100 <= 10 ? 3 : n % 100 >= 11 ? 4 : 5)",pluralsFunc:function(e){return 0===e?0:1===e?1:2===e?2:e%100>=3&&e%100<=10?3:e%100>=11?4:5}},arn:{name:"Mapudungun",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},ast:{name:"Asturian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ay:{name:"Aymará",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},az:{name:"Azerbaijani",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},be:{name:"Belarusian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},bg:{name:"Bulgarian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},bn:{name:"Bengali",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},bo:{name:"Tibetan",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},br:{name:"Breton",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},brx:{name:"Bodo",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},bs:{name:"Bosnian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},ca:{name:"Catalan",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},cgg:{name:"Chiga",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},cs:{name:"Czech",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2)",pluralsFunc:function(e){return 1===e?0:e>=2&&e<=4?1:2}},csb:{name:"Kashubian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return 1===e?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},cy:{name:"Welsh",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:3},{plural:3,sample:8}],nplurals:4,pluralsText:"nplurals = 4; plural = (n === 1 ? 0 : n === 2 ? 1 : (n !== 8 && n !== 11) ? 2 : 3)",pluralsFunc:function(e){return 1===e?0:2===e?1:8!==e&&11!==e?2:3}},da:{name:"Danish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},de:{name:"German",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},doi:{name:"Dogri",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},dz:{name:"Dzongkha",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},el:{name:"Greek",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},en:{name:"English",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},eo:{name:"Esperanto",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},es:{name:"Spanish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},et:{name:"Estonian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},eu:{name:"Basque",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},fa:{name:"Persian",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},ff:{name:"Fulah",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},fi:{name:"Finnish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},fil:{name:"Filipino",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},fo:{name:"Faroese",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},fr:{name:"French",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},fur:{name:"Friulian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},fy:{name:"Frisian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ga:{name:"Irish",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:3},{plural:3,sample:7},{plural:4,sample:11}],nplurals:5,pluralsText:"nplurals = 5; plural = (n === 1 ? 0 : n === 2 ? 1 : n < 7 ? 2 : n < 11 ? 3 : 4)",pluralsFunc:function(e){return 1===e?0:2===e?1:e<7?2:e<11?3:4}},gd:{name:"Scottish Gaelic",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:3},{plural:3,sample:20}],nplurals:4,pluralsText:"nplurals = 4; plural = ((n === 1 || n === 11) ? 0 : (n === 2 || n === 12) ? 1 : (n > 2 && n < 20) ? 2 : 3)",pluralsFunc:function(e){return 1===e||11===e?0:2===e||12===e?1:e>2&&e<20?2:3}},gl:{name:"Galician",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},gu:{name:"Gujarati",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},gun:{name:"Gun",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},ha:{name:"Hausa",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},he:{name:"Hebrew",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},hi:{name:"Hindi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},hne:{name:"Chhattisgarhi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},hr:{name:"Croatian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},hu:{name:"Hungarian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},hy:{name:"Armenian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},id:{name:"Indonesian",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},is:{name:"Icelandic",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n % 10 !== 1 || n % 100 === 11)",pluralsFunc:function(e){return e%10!=1||e%100==11}},it:{name:"Italian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ja:{name:"Japanese",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},jbo:{name:"Lojban",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},jv:{name:"Javanese",examples:[{plural:0,sample:0},{plural:1,sample:1}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 0)",pluralsFunc:function(e){return 0!==e}},ka:{name:"Georgian",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},kk:{name:"Kazakh",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},km:{name:"Khmer",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},kn:{name:"Kannada",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ko:{name:"Korean",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},ku:{name:"Kurdish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},kw:{name:"Cornish",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:3},{plural:3,sample:4}],nplurals:4,pluralsText:"nplurals = 4; plural = (n === 1 ? 0 : n === 2 ? 1 : n === 3 ? 2 : 3)",pluralsFunc:function(e){return 1===e?0:2===e?1:3===e?2:3}},ky:{name:"Kyrgyz",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},lb:{name:"Letzeburgesch",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ln:{name:"Lingala",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},lo:{name:"Lao",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},lt:{name:"Lithuanian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:10}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&(e%100<10||e%100>=20)?1:2}},lv:{name:"Latvian",examples:[{plural:2,sample:0},{plural:0,sample:1},{plural:1,sample:2}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n !== 0 ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:0!==e?1:2}},mai:{name:"Maithili",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},mfe:{name:"Mauritian Creole",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},mg:{name:"Malagasy",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},mi:{name:"Maori",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},mk:{name:"Macedonian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n === 1 || n % 10 === 1 ? 0 : 1)",pluralsFunc:function(e){return 1===e||e%10==1?0:1}},ml:{name:"Malayalam",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},mn:{name:"Mongolian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},mni:{name:"Manipuri",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},mnk:{name:"Mandinka",examples:[{plural:0,sample:0},{plural:1,sample:1},{plural:2,sample:2}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 0 ? 0 : n === 1 ? 1 : 2)",pluralsFunc:function(e){return 0===e?0:1===e?1:2}},mr:{name:"Marathi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ms:{name:"Malay",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},mt:{name:"Maltese",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:11},{plural:3,sample:20}],nplurals:4,pluralsText:"nplurals = 4; plural = (n === 1 ? 0 : n === 0 || ( n % 100 > 1 && n % 100 < 11) ? 1 : (n % 100 > 10 && n % 100 < 20 ) ? 2 : 3)",pluralsFunc:function(e){return 1===e?0:0===e||e%100>1&&e%100<11?1:e%100>10&&e%100<20?2:3}},my:{name:"Burmese",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},nah:{name:"Nahuatl",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},nap:{name:"Neapolitan",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},nb:{name:"Norwegian Bokmal",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ne:{name:"Nepali",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},nl:{name:"Dutch",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},nn:{name:"Norwegian Nynorsk",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},no:{name:"Norwegian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},nso:{name:"Northern Sotho",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},oc:{name:"Occitan",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},or:{name:"Oriya",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},pa:{name:"Punjabi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},pap:{name:"Papiamento",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},pl:{name:"Polish",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return 1===e?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},pms:{name:"Piemontese",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ps:{name:"Pashto",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},pt:{name:"Portuguese",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},rm:{name:"Romansh",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ro:{name:"Romanian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:20}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 1 ? 0 : (n === 0 || (n % 100 > 0 && n % 100 < 20)) ? 1 : 2)",pluralsFunc:function(e){return 1===e?0:0===e||e%100>0&&e%100<20?1:2}},ru:{name:"Russian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},rw:{name:"Kinyarwanda",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sah:{name:"Yakut",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},sat:{name:"Santali",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sco:{name:"Scots",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sd:{name:"Sindhi",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},se:{name:"Northern Sami",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},si:{name:"Sinhala",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sk:{name:"Slovak",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2)",pluralsFunc:function(e){return 1===e?0:e>=2&&e<=4?1:2}},sl:{name:"Slovenian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:3},{plural:3,sample:5}],nplurals:4,pluralsText:"nplurals = 4; plural = (n % 100 === 1 ? 0 : n % 100 === 2 ? 1 : n % 100 === 3 || n % 100 === 4 ? 2 : 3)",pluralsFunc:function(e){return e%100==1?0:e%100==2?1:e%100==3||e%100==4?2:3}},so:{name:"Somali",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},son:{name:"Songhay",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sq:{name:"Albanian",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sr:{name:"Serbian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},su:{name:"Sundanese",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},sv:{name:"Swedish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},sw:{name:"Swahili",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},ta:{name:"Tamil",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},te:{name:"Telugu",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},tg:{name:"Tajik",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},th:{name:"Thai",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},ti:{name:"Tigrinya",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},tk:{name:"Turkmen",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},tr:{name:"Turkish",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},tt:{name:"Tatar",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},ug:{name:"Uyghur",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},uk:{name:"Ukrainian",examples:[{plural:0,sample:1},{plural:1,sample:2},{plural:2,sample:5}],nplurals:3,pluralsText:"nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)",pluralsFunc:function(e){return e%10==1&&e%100!=11?0:e%10>=2&&e%10<=4&&(e%100<10||e%100>=20)?1:2}},ur:{name:"Urdu",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},uz:{name:"Uzbek",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},vi:{name:"Vietnamese",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},wa:{name:"Walloon",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n > 1)",pluralsFunc:function(e){return e>1}},wo:{name:"Wolof",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}},yo:{name:"Yoruba",examples:[{plural:0,sample:1},{plural:1,sample:2}],nplurals:2,pluralsText:"nplurals = 2; plural = (n !== 1)",pluralsFunc:function(e){return 1!==e}},zh:{name:"Chinese",examples:[{plural:0,sample:1}],nplurals:1,pluralsText:"nplurals = 1; plural = 0",pluralsFunc:function(){return 0}}}},625:function(e,t,n){"use strict";(function(e){n.d(t,"a",(function(){return a}));var r=void 0;function l(){l.init||(l.init=!0,r=-1!==function(){var e=window.navigator.userAgent,t=e.indexOf("MSIE ");if(t>0)return parseInt(e.substring(t+5,e.indexOf(".",t)),10);if(e.indexOf("Trident/")>0){var n=e.indexOf("rv:");return parseInt(e.substring(n+3,e.indexOf(".",n)),10)}var r=e.indexOf("Edge/");return r>0?parseInt(e.substring(r+5,e.indexOf(".",r)),10):-1}())}var a={render:function(){var e=this.$createElement;return(this._self._c||e)("div",{staticClass:"resize-observer",attrs:{tabindex:"-1"}})},staticRenderFns:[],_scopeId:"data-v-b329ee4c",name:"resize-observer",methods:{compareAndNotify:function(){this._w===this.$el.offsetWidth&&this._h===this.$el.offsetHeight||(this._w=this.$el.offsetWidth,this._h=this.$el.offsetHeight,this.$emit("notify"))},addResizeHandlers:function(){this._resizeObject.contentDocument.defaultView.addEventListener("resize",this.compareAndNotify),this.compareAndNotify()},removeResizeHandlers:function(){this._resizeObject&&this._resizeObject.onload&&(!r&&this._resizeObject.contentDocument&&this._resizeObject.contentDocument.defaultView.removeEventListener("resize",this.compareAndNotify),delete this._resizeObject.onload)}},mounted:function(){var e=this;l(),this.$nextTick((function(){e._w=e.$el.offsetWidth,e._h=e.$el.offsetHeight}));var t=document.createElement("object");this._resizeObject=t,t.setAttribute("aria-hidden","true"),t.setAttribute("tabindex",-1),t.onload=this.addResizeHandlers,t.type="text/html",r&&this.$el.appendChild(t),t.data="about:blank",r||this.$el.appendChild(t)},beforeDestroy:function(){this.removeResizeHandlers()}};var o={version:"0.4.5",install:function(e){e.component("resize-observer",a),e.component("ResizeObserver",a)}},s=null;"undefined"!=typeof window?s=window.Vue:void 0!==e&&(s=e.Vue),s&&s.use(o)}).call(this,n(46))},626:function(e,t,n){"use strict";(function(e){
/**!
* @fileOverview Kickass library to create and place poppers near their reference elements.
* @version 1.16.1
@@ -24,4 +24,4 @@
* SOFTWARE.
*/
var n="undefined"!=typeof window&&"undefined"!=typeof document&&"undefined"!=typeof navigator,r=function(){for(var e=["Edge","Trident","Firefox"],t=0;t<e.length;t+=1)if(n&&navigator.userAgent.indexOf(e[t])>=0)return 1;return 0}();var l=n&&window.Promise?function(e){var t=!1;return function(){t||(t=!0,window.Promise.resolve().then((function(){t=!1,e()})))}}:function(e){var t=!1;return function(){t||(t=!0,setTimeout((function(){t=!1,e()}),r))}};function a(e){return e&&"[object Function]"==={}.toString.call(e)}function o(e,t){if(1!==e.nodeType)return[];var n=e.ownerDocument.defaultView.getComputedStyle(e,null);return t?n[t]:n}function s(e){return"HTML"===e.nodeName?e:e.parentNode||e.host}function i(e){if(!e)return document.body;switch(e.nodeName){case"HTML":case"BODY":return e.ownerDocument.body;case"#document":return e.body}var t=o(e),n=t.overflow,r=t.overflowX,l=t.overflowY;return/(auto|scroll|overlay)/.test(n+l+r)?e:i(s(e))}function u(e){return e&&e.referenceNode?e.referenceNode:e}var p=n&&!(!window.MSInputMethodContext||!document.documentMode),c=n&&/MSIE 10/.test(navigator.userAgent);function f(e){return 11===e?p:10===e?c:p||c}function d(e){if(!e)return document.documentElement;for(var t=f(10)?document.body:null,n=e.offsetParent||null;n===t&&e.nextElementSibling;)n=(e=e.nextElementSibling).offsetParent;var r=n&&n.nodeName;return r&&"BODY"!==r&&"HTML"!==r?-1!==["TH","TD","TABLE"].indexOf(n.nodeName)&&"static"===o(n,"position")?d(n):n:e?e.ownerDocument.documentElement:document.documentElement}function h(e){return null!==e.parentNode?h(e.parentNode):e}function m(e,t){if(!(e&&e.nodeType&&t&&t.nodeType))return document.documentElement;var n=e.compareDocumentPosition(t)&Node.DOCUMENT_POSITION_FOLLOWING,r=n?e:t,l=n?t:e,a=document.createRange();a.setStart(r,0),a.setEnd(l,0);var o,s,i=a.commonAncestorContainer;if(e!==i&&t!==i||r.contains(l))return"BODY"===(s=(o=i).nodeName)||"HTML"!==s&&d(o.firstElementChild)!==o?d(i):i;var u=h(e);return u.host?m(u.host,t):m(e,h(t).host)}function v(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:"top",n="top"===t?"scrollTop":"scrollLeft",r=e.nodeName;if("BODY"===r||"HTML"===r){var l=e.ownerDocument.documentElement,a=e.ownerDocument.scrollingElement||l;return a[n]}return e[n]}function g(e,t){var n=arguments.length>2&&void 0!==arguments[2]&&arguments[2],r=v(t,"top"),l=v(t,"left"),a=n?-1:1;return e.top+=r*a,e.bottom+=r*a,e.left+=l*a,e.right+=l*a,e}function y(e,t){var n="x"===t?"Left":"Top",r="Left"===n?"Right":"Bottom";return parseFloat(e["border"+n+"Width"])+parseFloat(e["border"+r+"Width"])}function b(e,t,n,r){return Math.max(t["offset"+e],t["scroll"+e],n["client"+e],n["offset"+e],n["scroll"+e],f(10)?parseInt(n["offset"+e])+parseInt(r["margin"+("Height"===e?"Top":"Left")])+parseInt(r["margin"+("Height"===e?"Bottom":"Right")]):0)}function _(e){var t=e.body,n=e.documentElement,r=f(10)&&getComputedStyle(n);return{height:b("Height",t,n,r),width:b("Width",t,n,r)}}var x=function(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")},w=function(){function e(e,t){for(var n=0;n<t.length;n++){var r=t[n];r.enumerable=r.enumerable||!1,r.configurable=!0,"value"in r&&(r.writable=!0),Object.defineProperty(e,r.key,r)}}return function(t,n,r){return n&&e(t.prototype,n),r&&e(t,r),t}}(),T=function(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e},O=Object.assign||function(e){for(var t=1;t<arguments.length;t++){var n=arguments[t];for(var r in n)Object.prototype.hasOwnProperty.call(n,r)&&(e[r]=n[r])}return e};function F(e){return O({},e,{right:e.left+e.width,bottom:e.top+e.height})}function j(e){var t={};try{if(f(10)){t=e.getBoundingClientRect();var n=v(e,"top"),r=v(e,"left");t.top+=n,t.left+=r,t.bottom+=n,t.right+=r}else t=e.getBoundingClientRect()}catch(e){}var l={left:t.left,top:t.top,width:t.right-t.left,height:t.bottom-t.top},a="HTML"===e.nodeName?_(e.ownerDocument):{},s=a.width||e.clientWidth||l.width,i=a.height||e.clientHeight||l.height,u=e.offsetWidth-s,p=e.offsetHeight-i;if(u||p){var c=o(e);u-=y(c,"x"),p-=y(c,"y"),l.width-=u,l.height-=p}return F(l)}function E(e,t){var n=arguments.length>2&&void 0!==arguments[2]&&arguments[2],r=f(10),l="HTML"===t.nodeName,a=j(e),s=j(t),u=i(e),p=o(t),c=parseFloat(p.borderTopWidth),d=parseFloat(p.borderLeftWidth);n&&l&&(s.top=Math.max(s.top,0),s.left=Math.max(s.left,0));var h=F({top:a.top-s.top-c,left:a.left-s.left-d,width:a.width,height:a.height});if(h.marginTop=0,h.marginLeft=0,!r&&l){var m=parseFloat(p.marginTop),v=parseFloat(p.marginLeft);h.top-=c-m,h.bottom-=c-m,h.left-=d-v,h.right-=d-v,h.marginTop=m,h.marginLeft=v}return(r&&!n?t.contains(u):t===u&&"BODY"!==u.nodeName)&&(h=g(h,t)),h}function C(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1],n=e.ownerDocument.documentElement,r=E(e,n),l=Math.max(n.clientWidth,window.innerWidth||0),a=Math.max(n.clientHeight,window.innerHeight||0),o=t?0:v(n),s=t?0:v(n,"left"),i={top:o-r.top+r.marginTop,left:s-r.left+r.marginLeft,width:l,height:a};return F(i)}function N(e){var t=e.nodeName;if("BODY"===t||"HTML"===t)return!1;if("fixed"===o(e,"position"))return!0;var n=s(e);return!!n&&N(n)}function S(e){if(!e||!e.parentElement||f())return document.documentElement;for(var t=e.parentElement;t&&"none"===o(t,"transform");)t=t.parentElement;return t||document.documentElement}function k(e,t,n,r){var l=arguments.length>4&&void 0!==arguments[4]&&arguments[4],a={top:0,left:0},o=l?S(e):m(e,u(t));if("viewport"===r)a=C(o,l);else{var p=void 0;"scrollParent"===r?"BODY"===(p=i(s(t))).nodeName&&(p=e.ownerDocument.documentElement):p="window"===r?e.ownerDocument.documentElement:r;var c=E(p,o,l);if("HTML"!==p.nodeName||N(o))a=c;else{var f=_(e.ownerDocument),d=f.height,h=f.width;a.top+=c.top-c.marginTop,a.bottom=d+c.top,a.left+=c.left-c.marginLeft,a.right=h+c.left}}var v="number"==typeof(n=n||0);return a.left+=v?n:n.left||0,a.top+=v?n:n.top||0,a.right-=v?n:n.right||0,a.bottom-=v?n:n.bottom||0,a}function $(e){return e.width*e.height}function L(e,t,n,r,l){var a=arguments.length>5&&void 0!==arguments[5]?arguments[5]:0;if(-1===e.indexOf("auto"))return e;var o=k(n,r,a,l),s={top:{width:o.width,height:t.top-o.top},right:{width:o.right-t.right,height:o.height},bottom:{width:o.width,height:o.bottom-t.bottom},left:{width:t.left-o.left,height:o.height}},i=Object.keys(s).map((function(e){return O({key:e},s[e],{area:$(s[e])})})).sort((function(e,t){return t.area-e.area})),u=i.filter((function(e){var t=e.width,r=e.height;return t>=n.clientWidth&&r>=n.clientHeight})),p=u.length>0?u[0].key:i[0].key,c=e.split("-")[1];return p+(c?"-"+c:"")}function A(e,t,n){var r=arguments.length>3&&void 0!==arguments[3]?arguments[3]:null,l=r?S(t):m(t,u(n));return E(n,l,r)}function P(e){var t=e.ownerDocument.defaultView.getComputedStyle(e),n=parseFloat(t.marginTop||0)+parseFloat(t.marginBottom||0),r=parseFloat(t.marginLeft||0)+parseFloat(t.marginRight||0);return{width:e.offsetWidth+r,height:e.offsetHeight+n}}function D(e){var t={left:"right",right:"left",bottom:"top",top:"bottom"};return e.replace(/left|right|bottom|top/g,(function(e){return t[e]}))}function M(e,t,n){n=n.split("-")[0];var r=P(e),l={width:r.width,height:r.height},a=-1!==["right","left"].indexOf(n),o=a?"top":"left",s=a?"left":"top",i=a?"height":"width",u=a?"width":"height";return l[o]=t[o]+t[i]/2-r[i]/2,l[s]=n===s?t[s]-r[u]:t[D(s)],l}function I(e,t){return Array.prototype.find?e.find(t):e.filter(t)[0]}function z(e,t,n){return(void 0===n?e:e.slice(0,function(e,t,n){if(Array.prototype.findIndex)return e.findIndex((function(e){return e[t]===n}));var r=I(e,(function(e){return e[t]===n}));return e.indexOf(r)}(e,"name",n))).forEach((function(e){e.function&&console.warn("`modifier.function` is deprecated, use `modifier.fn`!");var n=e.function||e.fn;e.enabled&&a(n)&&(t.offsets.popper=F(t.offsets.popper),t.offsets.reference=F(t.offsets.reference),t=n(t,e))})),t}function H(){if(!this.state.isDestroyed){var e={instance:this,styles:{},arrowStyles:{},attributes:{},flipped:!1,offsets:{}};e.offsets.reference=A(this.state,this.popper,this.reference,this.options.positionFixed),e.placement=L(this.options.placement,e.offsets.reference,this.popper,this.reference,this.options.modifiers.flip.boundariesElement,this.options.modifiers.flip.padding),e.originalPlacement=e.placement,e.positionFixed=this.options.positionFixed,e.offsets.popper=M(this.popper,e.offsets.reference,e.placement),e.offsets.popper.position=this.options.positionFixed?"fixed":"absolute",e=z(this.modifiers,e),this.state.isCreated?this.options.onUpdate(e):(this.state.isCreated=!0,this.options.onCreate(e))}}function B(e,t){return e.some((function(e){var n=e.name;return e.enabled&&n===t}))}function R(e){for(var t=[!1,"ms","Webkit","Moz","O"],n=e.charAt(0).toUpperCase()+e.slice(1),r=0;r<t.length;r++){var l=t[r],a=l?""+l+n:e;if(void 0!==document.body.style[a])return a}return null}function W(){return this.state.isDestroyed=!0,B(this.modifiers,"applyStyle")&&(this.popper.removeAttribute("x-placement"),this.popper.style.position="",this.popper.style.top="",this.popper.style.left="",this.popper.style.right="",this.popper.style.bottom="",this.popper.style.willChange="",this.popper.style[R("transform")]=""),this.disableEventListeners(),this.options.removeOnDestroy&&this.popper.parentNode.removeChild(this.popper),this}function V(e){var t=e.ownerDocument;return t?t.defaultView:window}function U(e,t,n,r){n.updateBound=r,V(e).addEventListener("resize",n.updateBound,{passive:!0});var l=i(e);return function e(t,n,r,l){var a="BODY"===t.nodeName,o=a?t.ownerDocument.defaultView:t;o.addEventListener(n,r,{passive:!0}),a||e(i(o.parentNode),n,r,l),l.push(o)}(l,"scroll",n.updateBound,n.scrollParents),n.scrollElement=l,n.eventsEnabled=!0,n}function q(){this.state.eventsEnabled||(this.state=U(this.reference,this.options,this.state,this.scheduleUpdate))}function G(){var e,t;this.state.eventsEnabled&&(cancelAnimationFrame(this.scheduleUpdate),this.state=(e=this.reference,t=this.state,V(e).removeEventListener("resize",t.updateBound),t.scrollParents.forEach((function(e){e.removeEventListener("scroll",t.updateBound)})),t.updateBound=null,t.scrollParents=[],t.scrollElement=null,t.eventsEnabled=!1,t))}function Y(e){return""!==e&&!isNaN(parseFloat(e))&&isFinite(e)}function J(e,t){Object.keys(t).forEach((function(n){var r="";-1!==["width","height","top","right","bottom","left"].indexOf(n)&&Y(t[n])&&(r="px"),e.style[n]=t[n]+r}))}var K=n&&/Firefox/i.test(navigator.userAgent);function X(e,t,n){var r=I(e,(function(e){return e.name===t})),l=!!r&&e.some((function(e){return e.name===n&&e.enabled&&e.order<r.order}));if(!l){var a="`"+t+"`",o="`"+n+"`";console.warn(o+" modifier is required by "+a+" modifier in order to work, be sure to include it before "+a+"!")}return l}var Q=["auto-start","auto","auto-end","top-start","top","top-end","right-start","right","right-end","bottom-end","bottom","bottom-start","left-end","left","left-start"],Z=Q.slice(3);function ee(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1],n=Z.indexOf(e),r=Z.slice(n+1).concat(Z.slice(0,n));return t?r.reverse():r}var te="flip",ne="clockwise",re="counterclockwise";function le(e,t,n,r){var l=[0,0],a=-1!==["right","left"].indexOf(r),o=e.split(/(\+|\-)/).map((function(e){return e.trim()})),s=o.indexOf(I(o,(function(e){return-1!==e.search(/,|\s/)})));o[s]&&-1===o[s].indexOf(",")&&console.warn("Offsets separated by white space(s) are deprecated, use a comma (,) instead.");var i=/\s*,\s*|\s+/,u=-1!==s?[o.slice(0,s).concat([o[s].split(i)[0]]),[o[s].split(i)[1]].concat(o.slice(s+1))]:[o];return(u=u.map((function(e,r){var l=(1===r?!a:a)?"height":"width",o=!1;return e.reduce((function(e,t){return""===e[e.length-1]&&-1!==["+","-"].indexOf(t)?(e[e.length-1]=t,o=!0,e):o?(e[e.length-1]+=t,o=!1,e):e.concat(t)}),[]).map((function(e){return function(e,t,n,r){var l=e.match(/((?:\-|\+)?\d*\.?\d*)(.*)/),a=+l[1],o=l[2];if(!a)return e;if(0===o.indexOf("%")){var s=void 0;switch(o){case"%p":s=n;break;case"%":case"%r":default:s=r}return F(s)[t]/100*a}if("vh"===o||"vw"===o){return("vh"===o?Math.max(document.documentElement.clientHeight,window.innerHeight||0):Math.max(document.documentElement.clientWidth,window.innerWidth||0))/100*a}return a}(e,l,t,n)}))}))).forEach((function(e,t){e.forEach((function(n,r){Y(n)&&(l[t]+=n*("-"===e[r-1]?-1:1))}))})),l}var ae={placement:"bottom",positionFixed:!1,eventsEnabled:!0,removeOnDestroy:!1,onCreate:function(){},onUpdate:function(){},modifiers:{shift:{order:100,enabled:!0,fn:function(e){var t=e.placement,n=t.split("-")[0],r=t.split("-")[1];if(r){var l=e.offsets,a=l.reference,o=l.popper,s=-1!==["bottom","top"].indexOf(n),i=s?"left":"top",u=s?"width":"height",p={start:T({},i,a[i]),end:T({},i,a[i]+a[u]-o[u])};e.offsets.popper=O({},o,p[r])}return e}},offset:{order:200,enabled:!0,fn:function(e,t){var n=t.offset,r=e.placement,l=e.offsets,a=l.popper,o=l.reference,s=r.split("-")[0],i=void 0;return i=Y(+n)?[+n,0]:le(n,a,o,s),"left"===s?(a.top+=i[0],a.left-=i[1]):"right"===s?(a.top+=i[0],a.left+=i[1]):"top"===s?(a.left+=i[0],a.top-=i[1]):"bottom"===s&&(a.left+=i[0],a.top+=i[1]),e.popper=a,e},offset:0},preventOverflow:{order:300,enabled:!0,fn:function(e,t){var n=t.boundariesElement||d(e.instance.popper);e.instance.reference===n&&(n=d(n));var r=R("transform"),l=e.instance.popper.style,a=l.top,o=l.left,s=l[r];l.top="",l.left="",l[r]="";var i=k(e.instance.popper,e.instance.reference,t.padding,n,e.positionFixed);l.top=a,l.left=o,l[r]=s,t.boundaries=i;var u=t.priority,p=e.offsets.popper,c={primary:function(e){var n=p[e];return p[e]<i[e]&&!t.escapeWithReference&&(n=Math.max(p[e],i[e])),T({},e,n)},secondary:function(e){var n="right"===e?"left":"top",r=p[n];return p[e]>i[e]&&!t.escapeWithReference&&(r=Math.min(p[n],i[e]-("right"===e?p.width:p.height))),T({},n,r)}};return u.forEach((function(e){var t=-1!==["left","top"].indexOf(e)?"primary":"secondary";p=O({},p,c[t](e))})),e.offsets.popper=p,e},priority:["left","right","top","bottom"],padding:5,boundariesElement:"scrollParent"},keepTogether:{order:400,enabled:!0,fn:function(e){var t=e.offsets,n=t.popper,r=t.reference,l=e.placement.split("-")[0],a=Math.floor,o=-1!==["top","bottom"].indexOf(l),s=o?"right":"bottom",i=o?"left":"top",u=o?"width":"height";return n[s]<a(r[i])&&(e.offsets.popper[i]=a(r[i])-n[u]),n[i]>a(r[s])&&(e.offsets.popper[i]=a(r[s])),e}},arrow:{order:500,enabled:!0,fn:function(e,t){var n;if(!X(e.instance.modifiers,"arrow","keepTogether"))return e;var r=t.element;if("string"==typeof r){if(!(r=e.instance.popper.querySelector(r)))return e}else if(!e.instance.popper.contains(r))return console.warn("WARNING: `arrow.element` must be child of its popper element!"),e;var l=e.placement.split("-")[0],a=e.offsets,s=a.popper,i=a.reference,u=-1!==["left","right"].indexOf(l),p=u?"height":"width",c=u?"Top":"Left",f=c.toLowerCase(),d=u?"left":"top",h=u?"bottom":"right",m=P(r)[p];i[h]-m<s[f]&&(e.offsets.popper[f]-=s[f]-(i[h]-m)),i[f]+m>s[h]&&(e.offsets.popper[f]+=i[f]+m-s[h]),e.offsets.popper=F(e.offsets.popper);var v=i[f]+i[p]/2-m/2,g=o(e.instance.popper),y=parseFloat(g["margin"+c]),b=parseFloat(g["border"+c+"Width"]),_=v-e.offsets.popper[f]-y-b;return _=Math.max(Math.min(s[p]-m,_),0),e.arrowElement=r,e.offsets.arrow=(T(n={},f,Math.round(_)),T(n,d,""),n),e},element:"[x-arrow]"},flip:{order:600,enabled:!0,fn:function(e,t){if(B(e.instance.modifiers,"inner"))return e;if(e.flipped&&e.placement===e.originalPlacement)return e;var n=k(e.instance.popper,e.instance.reference,t.padding,t.boundariesElement,e.positionFixed),r=e.placement.split("-")[0],l=D(r),a=e.placement.split("-")[1]||"",o=[];switch(t.behavior){case te:o=[r,l];break;case ne:o=ee(r);break;case re:o=ee(r,!0);break;default:o=t.behavior}return o.forEach((function(s,i){if(r!==s||o.length===i+1)return e;r=e.placement.split("-")[0],l=D(r);var u=e.offsets.popper,p=e.offsets.reference,c=Math.floor,f="left"===r&&c(u.right)>c(p.left)||"right"===r&&c(u.left)<c(p.right)||"top"===r&&c(u.bottom)>c(p.top)||"bottom"===r&&c(u.top)<c(p.bottom),d=c(u.left)<c(n.left),h=c(u.right)>c(n.right),m=c(u.top)<c(n.top),v=c(u.bottom)>c(n.bottom),g="left"===r&&d||"right"===r&&h||"top"===r&&m||"bottom"===r&&v,y=-1!==["top","bottom"].indexOf(r),b=!!t.flipVariations&&(y&&"start"===a&&d||y&&"end"===a&&h||!y&&"start"===a&&m||!y&&"end"===a&&v),_=!!t.flipVariationsByContent&&(y&&"start"===a&&h||y&&"end"===a&&d||!y&&"start"===a&&v||!y&&"end"===a&&m),x=b||_;(f||g||x)&&(e.flipped=!0,(f||g)&&(r=o[i+1]),x&&(a=function(e){return"end"===e?"start":"start"===e?"end":e}(a)),e.placement=r+(a?"-"+a:""),e.offsets.popper=O({},e.offsets.popper,M(e.instance.popper,e.offsets.reference,e.placement)),e=z(e.instance.modifiers,e,"flip"))})),e},behavior:"flip",padding:5,boundariesElement:"viewport",flipVariations:!1,flipVariationsByContent:!1},inner:{order:700,enabled:!1,fn:function(e){var t=e.placement,n=t.split("-")[0],r=e.offsets,l=r.popper,a=r.reference,o=-1!==["left","right"].indexOf(n),s=-1===["top","left"].indexOf(n);return l[o?"left":"top"]=a[n]-(s?l[o?"width":"height"]:0),e.placement=D(t),e.offsets.popper=F(l),e}},hide:{order:800,enabled:!0,fn:function(e){if(!X(e.instance.modifiers,"hide","preventOverflow"))return e;var t=e.offsets.reference,n=I(e.instance.modifiers,(function(e){return"preventOverflow"===e.name})).boundaries;if(t.bottom<n.top||t.left>n.right||t.top>n.bottom||t.right<n.left){if(!0===e.hide)return e;e.hide=!0,e.attributes["x-out-of-boundaries"]=""}else{if(!1===e.hide)return e;e.hide=!1,e.attributes["x-out-of-boundaries"]=!1}return e}},computeStyle:{order:850,enabled:!0,fn:function(e,t){var n=t.x,r=t.y,l=e.offsets.popper,a=I(e.instance.modifiers,(function(e){return"applyStyle"===e.name})).gpuAcceleration;void 0!==a&&console.warn("WARNING: `gpuAcceleration` option moved to `computeStyle` modifier and will not be supported in future versions of Popper.js!");var o=void 0!==a?a:t.gpuAcceleration,s=d(e.instance.popper),i=j(s),u={position:l.position},p=function(e,t){var n=e.offsets,r=n.popper,l=n.reference,a=Math.round,o=Math.floor,s=function(e){return e},i=a(l.width),u=a(r.width),p=-1!==["left","right"].indexOf(e.placement),c=-1!==e.placement.indexOf("-"),f=t?p||c||i%2==u%2?a:o:s,d=t?a:s;return{left:f(i%2==1&&u%2==1&&!c&&t?r.left-1:r.left),top:d(r.top),bottom:d(r.bottom),right:f(r.right)}}(e,window.devicePixelRatio<2||!K),c="bottom"===n?"top":"bottom",f="right"===r?"left":"right",h=R("transform"),m=void 0,v=void 0;if(v="bottom"===c?"HTML"===s.nodeName?-s.clientHeight+p.bottom:-i.height+p.bottom:p.top,m="right"===f?"HTML"===s.nodeName?-s.clientWidth+p.right:-i.width+p.right:p.left,o&&h)u[h]="translate3d("+m+"px, "+v+"px, 0)",u[c]=0,u[f]=0,u.willChange="transform";else{var g="bottom"===c?-1:1,y="right"===f?-1:1;u[c]=v*g,u[f]=m*y,u.willChange=c+", "+f}var b={"x-placement":e.placement};return e.attributes=O({},b,e.attributes),e.styles=O({},u,e.styles),e.arrowStyles=O({},e.offsets.arrow,e.arrowStyles),e},gpuAcceleration:!0,x:"bottom",y:"right"},applyStyle:{order:900,enabled:!0,fn:function(e){var t,n;return J(e.instance.popper,e.styles),t=e.instance.popper,n=e.attributes,Object.keys(n).forEach((function(e){!1!==n[e]?t.setAttribute(e,n[e]):t.removeAttribute(e)})),e.arrowElement&&Object.keys(e.arrowStyles).length&&J(e.arrowElement,e.arrowStyles),e},onLoad:function(e,t,n,r,l){var a=A(l,t,e,n.positionFixed),o=L(n.placement,a,t,e,n.modifiers.flip.boundariesElement,n.modifiers.flip.padding);return t.setAttribute("x-placement",o),J(t,{position:n.positionFixed?"fixed":"absolute"}),n},gpuAcceleration:void 0}}},oe=function(){function e(t,n){var r=this,o=arguments.length>2&&void 0!==arguments[2]?arguments[2]:{};x(this,e),this.scheduleUpdate=function(){return requestAnimationFrame(r.update)},this.update=l(this.update.bind(this)),this.options=O({},e.Defaults,o),this.state={isDestroyed:!1,isCreated:!1,scrollParents:[]},this.reference=t&&t.jquery?t[0]:t,this.popper=n&&n.jquery?n[0]:n,this.options.modifiers={},Object.keys(O({},e.Defaults.modifiers,o.modifiers)).forEach((function(t){r.options.modifiers[t]=O({},e.Defaults.modifiers[t]||{},o.modifiers?o.modifiers[t]:{})})),this.modifiers=Object.keys(this.options.modifiers).map((function(e){return O({name:e},r.options.modifiers[e])})).sort((function(e,t){return e.order-t.order})),this.modifiers.forEach((function(e){e.enabled&&a(e.onLoad)&&e.onLoad(r.reference,r.popper,r.options,e,r.state)})),this.update();var s=this.options.eventsEnabled;s&&this.enableEventListeners(),this.state.eventsEnabled=s}return w(e,[{key:"update",value:function(){return H.call(this)}},{key:"destroy",value:function(){return W.call(this)}},{key:"enableEventListeners",value:function(){return q.call(this)}},{key:"disableEventListeners",value:function(){return G.call(this)}}]),e}();oe.Utils=("undefined"!=typeof window?window:e).PopperUtils,oe.placements=Q,oe.Defaults=ae,t.a=oe}).call(this,n(46))}}]);
-//# sourceMappingURL=vendors~editor~files-modal.js.map?v=52bb514bab8d0c1423ed \ No newline at end of file
+//# sourceMappingURL=vendors~editor~files-modal.js.map?v=941e6f21e484ae4d0350 \ No newline at end of file
diff --git a/js/vendors~editor~files-modal.js.map b/js/vendors~editor~files-modal.js.map
index 17587bfa5..dfd725489 100644
--- a/js/vendors~editor~files-modal.js.map
+++ b/js/vendors~editor~files-modal.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/@nextcloud/l10n/dist/index.js","webpack:///./node_modules/v-tooltip/dist/v-tooltip.esm.js","webpack:///./node_modules/node-gettext/lib/gettext.js","webpack:///./node_modules/lodash.get/index.js","webpack:///./node_modules/node-gettext/lib/plurals.js","webpack:///./node_modules/vue-resize/dist/vue-resize.esm.js","webpack:///./node_modules/popper.js/dist/esm/popper.js"],"names":["getLocale","OC","console","warn","Object","defineProperty","exports","value","getCanonicalLocale","replace","getLanguage","translate","app","text","vars","count","options","L10N","translatePlural","textSingular","textPlural","getFirstDay","window","firstDay","getDayNames","dayNames","getDayNamesShort","dayNamesShort","getDayNamesMin","dayNamesMin","getMonthNames","monthNames","getMonthNamesShort","monthNamesShort","_typeof","obj","Symbol","iterator","constructor","prototype","_defineProperties","target","props","i","length","descriptor","enumerable","configurable","writable","key","_defineProperty","ownKeys","object","enumerableOnly","keys","getOwnPropertySymbols","symbols","filter","sym","getOwnPropertyDescriptor","push","apply","_objectSpread2","arguments","source","forEach","getOwnPropertyDescriptors","defineProperties","SVGAnimatedString","convertToArray","split","addClasses","el","classes","classList","newClasses","className","baseVal","newClass","indexOf","SVGElement","setAttribute","join","removeClasses","index","splice","supportsPassive","opts","get","addEventListener","e","_listCacheClear","this","__data__","size","eq_1","other","_assocIndexOf","array","Array","_listCacheDelete","data","pop","call","_listCacheGet","undefined","_listCacheHas","_listCacheSet","ListCache","entries","clear","entry","set","has","_ListCache","_stackClear","_stackDelete","result","_stackGet","_stackHas","commonjsGlobal","globalThis","global","self","createCommonjsModule","fn","module","_freeGlobal","freeSelf","_root","Function","_Symbol","objectProto","hasOwnProperty","nativeObjectToString","toString","symToStringTag","toStringTag","_getRawTag","isOwn","tag","unmasked","nativeObjectToString$1","_objectToString","symToStringTag$1","_baseGetTag","isObject_1","type","uid","isFunction_1","_coreJsData","maskSrcKey","exec","IE_PROTO","_isMasked","func","funcToString","_toSource","reIsHostCtor","funcProto$1","objectProto$2","funcToString$1","hasOwnProperty$1","reIsNative","RegExp","_baseIsNative","test","_getValue","_getNative","_Map","_nativeCreate","_hashClear","_hashDelete","hasOwnProperty$2","_hashGet","hasOwnProperty$3","_hashHas","_hashSet","Hash","_Hash","_mapCacheClear","_isKeyable","_getMapData","map","_mapCacheDelete","_mapCacheGet","_mapCacheHas","_mapCacheSet","MapCache","_MapCache","_stackSet","pairs","LARGE_ARRAY_SIZE","Stack","_Stack","_setCacheAdd","_setCacheHas","SetCache","values","add","_SetCache","_arraySome","predicate","_cacheHas","cache","_equalArrays","bitmask","customizer","equalFunc","stack","isPartial","arrLength","othLength","stacked","seen","arrValue","othValue","compared","othIndex","_Uint8Array","Uint8Array","_mapToArray","_setToArray","symbolProto","symbolValueOf","valueOf","_equalByTag","byteLength","byteOffset","buffer","name","message","convert","_arrayPush","offset","isArray_1","isArray","_baseGetAllKeys","keysFunc","symbolsFunc","_arrayFilter","resIndex","stubArray_1","propertyIsEnumerable","nativeGetSymbols","_getSymbols","symbol","_baseTimes","n","iteratee","isObjectLike_1","_baseIsArguments","objectProto$6","hasOwnProperty$4","propertyIsEnumerable$1","isArguments_1","stubFalse_1","isBuffer_1","freeExports","nodeType","freeModule","Buffer","isBuffer","reIsUint","_isIndex","isLength_1","typedArrayTags","_baseIsTypedArray","_baseUnary","_nodeUtil","freeProcess","process","nodeUtil","types","require","binding","nodeIsTypedArray","isTypedArray","isTypedArray_1","hasOwnProperty$5","_arrayLikeKeys","inherited","isArr","isArg","isBuff","isType","skipIndexes","String","objectProto$8","_isPrototype","Ctor","_overArg","transform","arg","_nativeKeys","hasOwnProperty$6","_baseKeys","isArrayLike_1","keys_1","_getAllKeys","hasOwnProperty$7","_equalObjects","objProps","objLength","skipCtor","objValue","objCtor","othCtor","_DataView","_Promise","_Set","_WeakMap","dataViewCtorString","mapCtorString","promiseCtorString","setCtorString","weakMapCtorString","getTag","ArrayBuffer","resolve","ctorString","_getTag","hasOwnProperty$8","_baseIsEqualDeep","objIsArr","othIsArr","objTag","othTag","objIsObj","othIsObj","isSameTag","objIsWrapped","othIsWrapped","objUnwrapped","othUnwrapped","_baseIsEqual","baseIsEqual","isEqual_1","DEFAULT_OPTIONS","container","delay","html","placement","title","template","trigger","openTooltips","Tooltip","_reference","_options","_this","instance","Constructor","TypeError","_classCallCheck","evt","reference","relatedreference","toElement","relatedTarget","_tooltipNode","contains","callback","evt2","relatedreference2","removeEventListener","_scheduleHide","jquery","show","bind","hide","_isOpen","_init","protoProps","staticProps","_show","_hide","_dispose","_classes","content","_setContent","classesUpdated","directive","defaultClass","setClasses","getOptions","needPopperUpdate","needRestart","isOpen","dispose","popperInstance","update","events","_isDisposed","_enableDocumentTouch","_setEventListeners","$_originalTitle","getAttribute","removeAttribute","tooltipGenerator","document","createElement","innerHTML","trim","tooltipNode","childNodes","id","concat","Math","random","substr","autoHide","_this2","asyncContent","_applyContent","then","_this3","Promise","reject","allowHtml","rootNode","titleNode","querySelector","innerSelector","firstChild","removeChild","appendChild","loadingClass","loadingContent","asyncResult","catch","innerText","clearTimeout","_disposeTimer","assign","updateClasses","_ensureShown","_this4","style","display","enableEventListeners","_create","_findContainer","_append","popperOptions","modifiers","arrow","element","arrowSelector","boundariesElement","preventOverflow","requestAnimationFrame","_this5","_noLongerOpen","disableEventListeners","disposeTime","disposeTimeout","setTimeout","_removeTooltipNode","parentNode","_this6","_events","_ref","event","destroy","removeOnDestroy","_this7","directEvents","oppositeEvents","hideOnTargetClick","usedByTooltip","_scheduleShow","_this8","computedDelay","_scheduleTimer","_this9","ownerDocument","body","_setTooltipNodeEvent","_onDocumentTouch","passive","capture","state","enabled","positions","defaultOptions","defaultPlacement","defaultTargetClass","defaultHtml","defaultTemplate","defaultArrowSelector","defaultInnerSelector","defaultDelay","defaultTrigger","defaultOffset","defaultContainer","defaultBoundariesElement","defaultPopperOptions","defaultLoadingClass","defaultLoadingContent","defaultHideOnTargetClick","popover","defaultBaseClass","defaultWrapperClass","defaultInnerClass","defaultArrowClass","defaultOpenClass","defaultAutoHide","defaultHandleResize","typeofOffset","getPlacement","pos","getContent","createTooltip","tooltip","_tooltip","_vueEl","targetClasses","_tooltipTargetClasses","destroyTooltip","_tooltipOldShow","oldValue","setContent","setOptions","unbind","addListeners","onClick","onTouchStart","removeListeners","onTouchEnd","onTouchCancel","currentTarget","closePopover","$_vclosepopover_touch","closeAllPopover","$_closePopoverModifiers","all","changedTouches","touch","$_vclosepopover_touchPoint","firstTouch","abs","screenY","screenX","vclosepopover","_ref2","getDefault","isIOS","navigator","userAgent","MSStream","openPopovers","Element","script","components","ResizeObserver","open","Boolean","default","disabled","Number","popoverClass","popoverBaseClass","popoverInnerClass","popoverWrapperClass","popoverArrowClass","handleResize","openGroup","openClass","computed","cssClass","popoverId","watch","val","oldVal","popoverNode","$refs","$_findContainer","scheduleUpdate","$_removeEventListeners","$_addEventListeners","$_updatePopper","handler","deep","created","$_isDisposed","$_mounted","$_events","$_preventOpen","mounted","$_init","deactivated","beforeDestroy","methods","_ref2$force","skipDelay","force","$_scheduleShow","$emit","$_beingShowed","_ref3","$_scheduleHide","$_show","$_disposeTimer","$_getOffset","hidden","$_hide","$_scheduleTimer","parseInt","$_setTooltipNodeEvent","event2","_ref4","cb","$_restartPopper","$_handleGlobalClose","$_handleResize","handleGlobalClose","_loop","__vue_script__","__vue_render__","_vm","_h","$createElement","_c","_self","staticClass","class","ref","staticStyle","attrs","tabindex","_t","_v","visibility","on","keyup","$event","_k","keyCode","position","notify","_e","_withStripped","__vue_component__","scopeId","isFunctionalTemplate","moduleIdentifier","shadowMode","createInjector","createInjectorSSR","createInjectorShadow","hook","render","staticRenderFns","_compiled","functional","_scopeId","context","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","_ssrRegister","$root","$options","shadowRoot","originalRender","h","existing","beforeCreate","normalizeComponent","_defineProperty$1","_baseAssignValue","_assignMergeValue","_baseFor","fromRight","iterable","_createBaseFor","_cloneBuffer","allocUnsafe","isDeep","slice","copy","_cloneArrayBuffer","arrayBuffer","_cloneTypedArray","typedArray","_copyArray","objectCreate","create","_baseCreate","proto","_getPrototype","getPrototypeOf","_initCloneObject","isArrayLikeObject_1","funcProto$2","objectProto$c","funcToString$2","hasOwnProperty$9","objectCtorString","isPlainObject_1","_safeGet","hasOwnProperty$a","_assignValue","_copyObject","isNew","newValue","_nativeKeysIn","hasOwnProperty$b","_baseKeysIn","isProto","keysIn_1","toPlainObject_1","_baseMergeDeep","srcIndex","mergeFunc","srcValue","isCommon","isTyped","_baseMerge","baseMerge","identity_1","_apply","thisArg","args","nativeMax","max","_overRest","start","otherArgs","constant_1","_baseSetToString","string","nativeNow","Date","now","_setToString","lastCalled","stamp","remaining","_shortOut","_baseRest","_isIterateeCall","merge_1","assigner","sources","guard","_createAssigner","install","Vue","installed","finalOptions","plugin","component","css","insertAt","head","getElementsByTagName","insertBefore","styleSheet","cssText","createTextNode","styleInject","VTooltip","VClosePopover","VPopover","GlobalVue","use","plurals","Gettext","catalogs","locale","domain","listeners","sourceLocale","debug","eventName","off","listener","emit","eventData","Error","addTranslations","translations","setLocale","setTextDomain","gettext","msgid","dnpgettext","dgettext","ngettext","msgidPlural","dngettext","pgettext","msgctxt","dpgettext","npgettext","translation","defaultTranslation","isNaN","_getTranslation","pluralsFunc","getLanguageCode","msgstr","getComment","comments","toLowerCase","textdomain","setlocale","addTextdomain","error","reIsDeepProp","reIsPlainProp","reLeadingDot","rePropName","reEscapeChar","freeGlobal","root","arrayProto","funcProto","coreJsData","objectToString","Map","getNative","nativeCreate","symbolToString","assocIndexOf","baseGet","path","isSymbol","isKey","stringToPath","toKey","baseIsNative","isObject","isFunction","isHostObject","toSource","getMapData","getValue","memoize","baseToString","match","number","quote","resolver","memoized","Cache","isObjectLike","defaultValue","ach","examples","plural","sample","nplurals","pluralsText","af","ak","am","an","ar","arn","ast","ay","az","be","bg","bn","bo","br","brx","bs","ca","cgg","cs","csb","cy","da","de","doi","dz","en","eo","es","et","eu","fa","ff","fi","fil","fo","fr","fur","fy","ga","gd","gl","gu","gun","ha","he","hi","hne","hr","hu","hy","is","it","ja","jbo","jv","ka","kk","km","kn","ko","ku","kw","ky","lb","ln","lo","lt","lv","mai","mfe","mg","mi","mk","ml","mn","mni","mnk","mr","ms","mt","my","nah","nap","nb","ne","nl","nn","no","nso","oc","or","pa","pap","pl","pms","ps","pt","rm","ro","ru","rw","sah","sat","sco","sd","se","si","sk","sl","so","son","sq","sr","su","sv","sw","ta","te","tg","th","ti","tk","tr","tt","ug","uk","ur","uz","vi","wa","wo","yo","zh","isIE","initCompat","init","ua","msie","substring","rv","edge","getInternetExplorerVersion","compareAndNotify","_w","$el","offsetWidth","offsetHeight","addResizeHandlers","_resizeObject","contentDocument","defaultView","removeResizeHandlers","onload","$nextTick","version","isBrowser","timeoutDuration","longerTimeoutBrowsers","debounce","called","scheduled","functionToCheck","getStyleComputedProperty","property","getComputedStyle","getParentNode","nodeName","host","getScrollParent","_getStyleComputedProp","overflow","overflowX","overflowY","getReferenceNode","referenceNode","isIE11","MSInputMethodContext","documentMode","isIE10","getOffsetParent","documentElement","noOffsetParent","offsetParent","nextElementSibling","getRoot","node","findCommonOffsetParent","element1","element2","order","compareDocumentPosition","Node","DOCUMENT_POSITION_FOLLOWING","end","range","createRange","setStart","setEnd","commonAncestorContainer","firstElementChild","element1root","getScroll","side","upperSide","scrollingElement","includeScroll","rect","subtract","scrollTop","scrollLeft","modifier","top","bottom","left","right","getBordersSize","styles","axis","sideA","sideB","parseFloat","getSize","computedStyle","getWindowSizes","height","width","classCallCheck","createClass","_extends","getClientRect","offsets","getBoundingClientRect","sizes","clientWidth","clientHeight","horizScrollbar","vertScrollbar","getOffsetRectRelativeToArbitraryNode","children","fixedPosition","isHTML","childrenRect","parentRect","scrollParent","borderTopWidth","borderLeftWidth","marginTop","marginLeft","getViewportOffsetRectRelativeToArtbitraryNode","excludeScroll","relativeOffset","innerWidth","innerHeight","isFixed","getFixedPositionOffsetParent","parentElement","getBoundaries","popper","padding","boundaries","boundariesNode","_getWindowSizes","isPaddingNumber","getArea","computeAutoPlacement","refRect","rects","sortedAreas","area","sort","a","b","filteredAreas","computedPlacement","variation","getReferenceOffsets","commonOffsetParent","getOuterSizes","x","marginBottom","y","marginRight","getOppositePlacement","hash","matched","getPopperOffsets","referenceOffsets","popperRect","popperOffsets","isHoriz","mainSide","secondarySide","measurement","secondaryMeasurement","find","arr","check","runModifiers","ends","prop","findIndex","cur","isDestroyed","arrowStyles","attributes","flipped","positionFixed","flip","originalPlacement","isCreated","onUpdate","onCreate","isModifierEnabled","modifierName","some","getSupportedPropertyName","prefixes","upperProp","charAt","toUpperCase","prefix","toCheck","willChange","getWindow","setupEventListeners","updateBound","scrollElement","attachToScrollParents","scrollParents","isBody","eventsEnabled","cancelAnimationFrame","isNumeric","isFinite","setStyles","unit","isFirefox","isModifierRequired","requestingName","requestedName","requesting","isRequired","_requesting","requested","placements","validPlacements","clockwise","counter","reverse","BEHAVIORS","parseOffset","basePlacement","useHeight","fragments","frag","divider","search","splitRegex","ops","op","mergeWithPrevious","reduce","str","toValue","index2","Defaults","shift","shiftvariation","_data$offsets","isVertical","shiftOffsets","transformProp","popperStyles","priority","primary","escapeWithReference","secondary","min","keepTogether","floor","opSide","_data$offsets$arrow","arrowElement","len","sideCapitalized","altSide","arrowElementSize","center","popperMarginSide","popperBorderSide","sideValue","round","placementOpposite","flipOrder","behavior","step","refOffsets","overlapsRef","overflowsLeft","overflowsRight","overflowsTop","overflowsBottom","overflowsBoundaries","flippedVariationByRef","flipVariations","flippedVariationByContent","flipVariationsByContent","flippedVariation","getOppositeVariation","inner","subtractLength","bound","computeStyle","legacyGpuAccelerationOption","gpuAcceleration","offsetParentRect","shouldRound","noRound","v","referenceWidth","popperWidth","isVariation","horizontalToInteger","verticalToInteger","getRoundedOffsets","devicePixelRatio","prefixedProperty","invertTop","invertLeft","applyStyle","onLoad","modifierOptions","Popper","Utils","PopperUtils"],"mappings":"oGA0BA,SAASA,IACP,MAAkB,oBAAPC,IACTC,QAAQC,KAAK,eACN,MAGFF,GAAGD,YA9BZ,EAAQ,IAER,EAAQ,KAERI,OAAOC,eAAeC,EAAS,aAAc,CAC3CC,OAAO,IAETD,EAAQN,UAAYA,EACpBM,EAAQE,mBAyBR,WACE,OAAOR,IAAYS,QAAQ,KAAM,MAzBnCH,EAAQI,YAgCR,WACE,GAAkB,oBAAPT,GAET,OADAC,QAAQC,KAAK,eACN,KAGT,OAAOF,GAAGS,eArCZJ,EAAQK,UAkDR,SAAmBC,EAAKC,EAAMC,EAAMC,EAAOC,GACzC,GAAkB,oBAAPf,GAET,OADAC,QAAQC,KAAK,eACNU,EAGT,OAAOZ,GAAGgB,KAAKN,UAAUC,EAAKC,EAAMC,EAAMC,EAAOC,IAvDnDV,EAAQY,gBAsER,SAAyBN,EAAKO,EAAcC,EAAYL,EAAOD,EAAME,GACnE,GAAkB,oBAAPf,GAET,OADAC,QAAQC,KAAK,eACNgB,EAGT,OAAOlB,GAAGgB,KAAKC,gBAAgBN,EAAKO,EAAcC,EAAYL,EAAOD,EAAME,IA3E7EV,EAAQe,YAoFR,WACE,QAA+B,IAApBC,OAAOC,SAEhB,OADArB,QAAQC,KAAK,qBACN,EAGT,OAAOmB,OAAOC,UAzFhBjB,EAAQkB,YAkGR,WACE,QAA+B,IAApBF,OAAOG,SAEhB,OADAvB,QAAQC,KAAK,qBACN,CAAC,SAAU,SAAU,UAAW,YAAa,WAAY,SAAU,YAG5E,OAAOmB,OAAOG,UAvGhBnB,EAAQoB,iBAgHR,WACE,QAAoC,IAAzBJ,OAAOK,cAEhB,OADAzB,QAAQC,KAAK,0BACN,CAAC,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,QAG1D,OAAOmB,OAAOK,eArHhBrB,EAAQsB,eA8HR,WACE,QAAkC,IAAvBN,OAAOO,YAEhB,OADA3B,QAAQC,KAAK,wBACN,CAAC,KAAM,KAAM,KAAM,KAAM,KAAM,KAAM,MAG9C,OAAOmB,OAAOO,aAnIhBvB,EAAQwB,cA4IR,WACE,QAAiC,IAAtBR,OAAOS,WAEhB,OADA7B,QAAQC,KAAK,uBACN,CAAC,UAAW,WAAY,QAAS,QAAS,MAAO,OAAQ,OAAQ,SAAU,YAAa,UAAW,WAAY,YAGxH,OAAOmB,OAAOS,YAjJhBzB,EAAQ0B,mBA0JR,WACE,QAAsC,IAA3BV,OAAOW,gBAEhB,OADA/B,QAAQC,KAAK,4BACN,CAAC,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,QAGlG,OAAOmB,OAAOW,kB,iCCnLhB,oTAGA,SAASC,EAAQC,GAWf,OATED,EADoB,mBAAXE,QAAoD,iBAApBA,OAAOC,SACtC,SAAUF,GAClB,cAAcA,GAGN,SAAUA,GAClB,OAAOA,GAAyB,mBAAXC,QAAyBD,EAAIG,cAAgBF,QAAUD,IAAQC,OAAOG,UAAY,gBAAkBJ,IAI9GA,GASjB,SAASK,EAAkBC,EAAQC,GACjC,IAAK,IAAIC,EAAI,EAAGA,EAAID,EAAME,OAAQD,IAAK,CACrC,IAAIE,EAAaH,EAAMC,GACvBE,EAAWC,WAAaD,EAAWC,aAAc,EACjDD,EAAWE,cAAe,EACtB,UAAWF,IAAYA,EAAWG,UAAW,GACjD5C,OAAOC,eAAeoC,EAAQI,EAAWI,IAAKJ,IAUlD,SAASK,EAAgBf,EAAKc,EAAK1C,GAYjC,OAXI0C,KAAOd,EACT/B,OAAOC,eAAe8B,EAAKc,EAAK,CAC9B1C,MAAOA,EACPuC,YAAY,EACZC,cAAc,EACdC,UAAU,IAGZb,EAAIc,GAAO1C,EAGN4B,EAGT,SAASgB,EAAQC,EAAQC,GACvB,IAAIC,EAAOlD,OAAOkD,KAAKF,GAEvB,GAAIhD,OAAOmD,sBAAuB,CAChC,IAAIC,EAAUpD,OAAOmD,sBAAsBH,GACvCC,IAAgBG,EAAUA,EAAQC,QAAO,SAAUC,GACrD,OAAOtD,OAAOuD,yBAAyBP,EAAQM,GAAKZ,eAEtDQ,EAAKM,KAAKC,MAAMP,EAAME,GAGxB,OAAOF,EAGT,SAASQ,EAAerB,GACtB,IAAK,IAAIE,EAAI,EAAGA,EAAIoB,UAAUnB,OAAQD,IAAK,CACzC,IAAIqB,EAAyB,MAAhBD,UAAUpB,GAAaoB,UAAUpB,GAAK,GAE/CA,EAAI,EACNQ,EAAQ/C,OAAO4D,IAAS,GAAMC,SAAQ,SAAUhB,GAC9CC,EAAgBT,EAAQQ,EAAKe,EAAOf,OAE7B7C,OAAO8D,0BAChB9D,OAAO+D,iBAAiB1B,EAAQrC,OAAO8D,0BAA0BF,IAEjEb,EAAQ/C,OAAO4D,IAASC,SAAQ,SAAUhB,GACxC7C,OAAOC,eAAeoC,EAAQQ,EAAK7C,OAAOuD,yBAAyBK,EAAQf,OAKjF,OAAOR,EAGT,IAAI2B,EAAoB,aAMxB,SAASC,EAAe9D,GAKtB,MAJqB,iBAAVA,IACTA,EAAQA,EAAM+D,MAAM,MAGf/D,EAUT,SAASgE,EAAWC,EAAIC,GACtB,IACIC,EADAC,EAAaN,EAAeI,GAI9BC,EADEF,EAAGI,qBAAqBR,EACdC,EAAeG,EAAGI,UAAUC,SAE5BR,EAAeG,EAAGI,WAGhCD,EAAWV,SAAQ,SAAUa,IACU,IAAjCJ,EAAUK,QAAQD,IACpBJ,EAAUd,KAAKkB,MAIfN,aAAcQ,WAChBR,EAAGS,aAAa,QAASP,EAAUQ,KAAK,MAExCV,EAAGI,UAAYF,EAAUQ,KAAK,KAWlC,SAASC,EAAcX,EAAIC,GACzB,IACIC,EADAC,EAAaN,EAAeI,GAI9BC,EADEF,EAAGI,qBAAqBR,EACdC,EAAeG,EAAGI,UAAUC,SAE5BR,EAAeG,EAAGI,WAGhCD,EAAWV,SAAQ,SAAUa,GAC3B,IAAIM,EAAQV,EAAUK,QAAQD,IAEf,IAAXM,GACFV,EAAUW,OAAOD,EAAO,MAIxBZ,aAAcQ,WAChBR,EAAGS,aAAa,QAASP,EAAUQ,KAAK,MAExCV,EAAGI,UAAYF,EAAUQ,KAAK,KAtEZ,oBAAX5D,SACT8C,EAAoB9C,OAAO8C,mBAwE7B,IAAIkB,GAAkB,EAEtB,GAAsB,oBAAXhE,OAAwB,CACjCgE,GAAkB,EAElB,IACE,IAAIC,EAAOnF,OAAOC,eAAe,GAAI,UAAW,CAC9CmF,IAAK,WACHF,GAAkB,KAGtBhE,OAAOmE,iBAAiB,OAAQ,KAAMF,GACtC,MAAOG,KAeX,IAAIC,EALJ,WACEC,KAAKC,SAAW,GAChBD,KAAKE,KAAO,GAyCd,IAAIC,EAJJ,SAAYxF,EAAOyF,GACjB,OAAOzF,IAAUyF,GAAUzF,GAAUA,GAASyF,GAAUA,GAuB1D,IAAIC,EAVJ,SAAsBC,EAAOjD,GAE3B,IADA,IAAIL,EAASsD,EAAMtD,OACZA,KACL,GAAImD,EAAKG,EAAMtD,GAAQ,GAAIK,GACzB,OAAOL,EAGX,OAAQ,GASNyC,EAHac,MAAM5D,UAGC8C,OA4BxB,IAAIe,EAjBJ,SAAyBnD,GACvB,IAAIoD,EAAOT,KAAKC,SACZT,EAAQa,EAAcI,EAAMpD,GAEhC,QAAImC,EAAQ,KAIRA,GADYiB,EAAKzD,OAAS,EAE5ByD,EAAKC,MAELjB,EAAOkB,KAAKF,EAAMjB,EAAO,KAEzBQ,KAAKE,MACA,IAqBT,IAAIU,EAPJ,SAAsBvD,GACpB,IAAIoD,EAAOT,KAAKC,SACZT,EAAQa,EAAcI,EAAMpD,GAEhC,OAAOmC,EAAQ,OAAIqB,EAAYJ,EAAKjB,GAAO,IAkB7C,IAAIsB,EAJJ,SAAsBzD,GACpB,OAAOgD,EAAcL,KAAKC,SAAU5C,IAAQ,GA4B9C,IAAI0D,EAbJ,SAAsB1D,EAAK1C,GACzB,IAAI8F,EAAOT,KAAKC,SACZT,EAAQa,EAAcI,EAAMpD,GAQhC,OANImC,EAAQ,KACRQ,KAAKE,KACPO,EAAKzC,KAAK,CAACX,EAAK1C,KAEhB8F,EAAKjB,GAAO,GAAK7E,EAEZqF,MAYT,SAASgB,EAAUC,GACjB,IAAIzB,GAAS,EACTxC,EAAoB,MAAXiE,EAAkB,EAAIA,EAAQjE,OAG3C,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KAK7BH,EAAUrE,UAAUuE,MAAQnB,EAC5BiB,EAAUrE,UAAkB,OAAI6D,EAChCQ,EAAUrE,UAAUiD,IAAMgB,EAC1BI,EAAUrE,UAAU0E,IAAMP,EAC1BE,EAAUrE,UAAUyE,IAAML,EAE1B,IAAIO,EAAaN,EAcjB,IAAIO,EALJ,WACEvB,KAAKC,SAAW,IAAIqB,EACpBtB,KAAKE,KAAO,GAsBd,IAAIsB,EARJ,SAAqBnE,GACnB,IAAIoD,EAAOT,KAAKC,SACZwB,EAAShB,EAAa,OAAEpD,GAG5B,OADA2C,KAAKE,KAAOO,EAAKP,KACVuB,GAkBT,IAAIC,EAJJ,SAAkBrE,GAChB,OAAO2C,KAAKC,SAASL,IAAIvC,IAkB3B,IAAIsE,EAJJ,SAAkBtE,GAChB,OAAO2C,KAAKC,SAASoB,IAAIhE,IAKvBuE,EAAuC,oBAAfC,WAA6BA,WAA+B,oBAAXnG,OAAyBA,YAA2B,IAAXoG,EAAyBA,EAAyB,oBAATC,KAAuBA,KAAO,GAE7L,SAASC,EAAqBC,EAAIC,GACjC,OAAiCD,EAA1BC,EAAS,CAAExH,QAAS,IAAiBwH,EAAOxH,SAAUwH,EAAOxH,QAIrE,IAEIyH,EAFsC,iBAAlBP,GAA8BA,GAAkBA,EAAepH,SAAWA,QAAUoH,EAKxGQ,EAA0B,iBAARL,MAAoBA,MAAQA,KAAKvH,SAAWA,QAAUuH,KAKxEM,EAFOF,GAAeC,GAAYE,SAAS,cAATA,GAOlCC,EAFWF,EAAM7F,OAKjBgG,EAAchI,OAAOmC,UAGrB8F,EAAiBD,EAAYC,eAO7BC,EAAuBF,EAAYG,SAGnCC,EAAiBL,EAAUA,EAAQM,iBAAchC,EA6BrD,IAAIiC,EApBJ,SAAmBnI,GACjB,IAAIoI,EAAQN,EAAe9B,KAAKhG,EAAOiI,GACnCI,EAAMrI,EAAMiI,GAEhB,IACEjI,EAAMiI,QAAkB/B,EACxB,IAAIoC,GAAW,EACf,MAAOnD,IAET,IAAI2B,EAASiB,EAAqB/B,KAAKhG,GAQvC,OAPIsI,IACEF,EACFpI,EAAMiI,GAAkBI,SAEjBrI,EAAMiI,IAGVnB,GAaLyB,EAPgB1I,OAAOmC,UAOgBgG,SAa3C,IAAIQ,EAJJ,SAAwBxI,GACtB,OAAOuI,EAAuBvC,KAAKhG,IAUjCyI,EAAmBb,EAAUA,EAAQM,iBAAchC,EAkBvD,IAAIwC,EATJ,SAAoB1I,GAClB,OAAa,MAATA,OACekG,IAAVlG,EAdQ,qBADL,gBAiBJyI,GAAoBA,KAAoB5I,OAAOG,GACnDmI,EAAWnI,GACXwI,EAAgBxI,IAmCtB,IAAI2I,EALJ,SAAkB3I,GAChB,IAAI4I,SAAc5I,EAClB,OAAgB,MAATA,IAA0B,UAAR4I,GAA4B,YAARA,IAsC/C,IASMC,EATFC,EAVJ,SAAoB9I,GAClB,IAAK2I,EAAW3I,GACd,OAAO,EAIT,IAAIqI,EAAMK,EAAY1I,GACtB,MA5BY,qBA4BLqI,GA3BI,8BA2BcA,GA7BZ,0BA6B6BA,GA1B7B,kBA0BgDA,GAQ3DU,EAFarB,EAAM,sBAKnBsB,GACEH,EAAM,SAASI,KAAKF,GAAeA,EAAYhG,MAAQgG,EAAYhG,KAAKmG,UAAY,KAC1E,iBAAmBL,EAAO,GAc1C,IAAIM,EAJJ,SAAkBC,GAChB,QAASJ,GAAeA,KAAcI,GASpCC,EAHY1B,SAAS3F,UAGIgG,SAqB7B,IAAIsB,EAZJ,SAAkBF,GAChB,GAAY,MAARA,EAAc,CAChB,IACE,OAAOC,EAAarD,KAAKoD,GACzB,MAAOjE,IACT,IACE,OAAQiE,EAAO,GACf,MAAOjE,KAEX,MAAO,IAYLoE,EAAe,8BAGfC,GAAc7B,SAAS3F,UACvByH,GAAgB5J,OAAOmC,UAGvB0H,GAAiBF,GAAYxB,SAG7B2B,GAAmBF,GAAc3B,eAGjC8B,GAAaC,OAAO,IACtBH,GAAe1D,KAAK2D,IAAkBzJ,QAjBrB,sBAiB2C,QAC3DA,QAAQ,yDAA0D,SAAW,KAmBhF,IAAI4J,GARJ,SAAsB9J,GACpB,SAAK2I,EAAW3I,IAAUmJ,EAAUnJ,MAGtB8I,EAAa9I,GAAS4J,GAAaL,GAClCQ,KAAKT,EAAUtJ,KAiBhC,IAAIgK,GAJJ,SAAkBnH,EAAQH,GACxB,OAAiB,MAAVG,OAAiBqD,EAAYrD,EAAOH,IAkB7C,IAAIuH,GALJ,SAAmBpH,EAAQH,GACzB,IAAI1C,EAAQgK,GAAUnH,EAAQH,GAC9B,OAAOoH,GAAc9J,GAASA,OAAQkG,GAQpCgE,GAFMD,GAAWvC,EAAO,OAOxByC,GAFeF,GAAWpK,OAAQ,UAgBtC,IAAIuK,GALJ,WACE/E,KAAKC,SAAW6E,GAAgBA,GAAc,MAAQ,GACtD9E,KAAKE,KAAO,GAqBd,IAAI8E,GANJ,SAAoB3H,GAClB,IAAIoE,EAASzB,KAAKqB,IAAIhE,WAAe2C,KAAKC,SAAS5C,GAEnD,OADA2C,KAAKE,MAAQuB,EAAS,EAAI,EACnBA,GAYLwD,GAHgBzK,OAAOmC,UAGU8F,eAoBrC,IAAIyC,GATJ,SAAiB7H,GACf,IAAIoD,EAAOT,KAAKC,SAChB,GAAI6E,GAAe,CACjB,IAAIrD,EAAShB,EAAKpD,GAClB,MArBiB,8BAqBVoE,OAA4BZ,EAAYY,EAEjD,OAAOwD,GAAiBtE,KAAKF,EAAMpD,GAAOoD,EAAKpD,QAAOwD,GASpDsE,GAHgB3K,OAAOmC,UAGU8F,eAgBrC,IAAI2C,GALJ,SAAiB/H,GACf,IAAIoD,EAAOT,KAAKC,SAChB,OAAO6E,QAA+BjE,IAAdJ,EAAKpD,GAAsB8H,GAAiBxE,KAAKF,EAAMpD,IAyBjF,IAAIgI,GAPJ,SAAiBhI,EAAK1C,GACpB,IAAI8F,EAAOT,KAAKC,SAGhB,OAFAD,KAAKE,MAAQF,KAAKqB,IAAIhE,GAAO,EAAI,EACjCoD,EAAKpD,GAAQyH,SAA2BjE,IAAVlG,EAfT,4BAemDA,EACjEqF,MAYT,SAASsF,GAAKrE,GACZ,IAAIzB,GAAS,EACTxC,EAAoB,MAAXiE,EAAkB,EAAIA,EAAQjE,OAG3C,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KAK7BmE,GAAK3I,UAAUuE,MAAQ6D,GACvBO,GAAK3I,UAAkB,OAAIqI,GAC3BM,GAAK3I,UAAUiD,IAAMsF,GACrBI,GAAK3I,UAAU0E,IAAM+D,GACrBE,GAAK3I,UAAUyE,IAAMiE,GAErB,IAAIE,GAAQD,GAkBZ,IAAIE,GATJ,WACExF,KAAKE,KAAO,EACZF,KAAKC,SAAW,CACd,KAAQ,IAAIsF,GACZ,IAAO,IAAKV,IAAQvD,GACpB,OAAU,IAAIiE,KAoBlB,IAAIE,GAPJ,SAAmB9K,GACjB,IAAI4I,SAAc5I,EAClB,MAAgB,UAAR4I,GAA4B,UAARA,GAA4B,UAARA,GAA4B,WAARA,EACrD,cAAV5I,EACU,OAAVA,GAoBP,IAAI+K,GAPJ,SAAoBC,EAAKtI,GACvB,IAAIoD,EAAOkF,EAAI1F,SACf,OAAOwF,GAAWpI,GACdoD,EAAmB,iBAAPpD,EAAkB,SAAW,QACzCoD,EAAKkF,KAoBX,IAAIC,GANJ,SAAwBvI,GACtB,IAAIoE,EAASiE,GAAY1F,KAAM3C,GAAa,OAAEA,GAE9C,OADA2C,KAAKE,MAAQuB,EAAS,EAAI,EACnBA,GAkBT,IAAIoE,GAJJ,SAAqBxI,GACnB,OAAOqI,GAAY1F,KAAM3C,GAAKuC,IAAIvC,IAkBpC,IAAIyI,GAJJ,SAAqBzI,GACnB,OAAOqI,GAAY1F,KAAM3C,GAAKgE,IAAIhE,IAwBpC,IAAI0I,GATJ,SAAqB1I,EAAK1C,GACxB,IAAI8F,EAAOiF,GAAY1F,KAAM3C,GACzB6C,EAAOO,EAAKP,KAIhB,OAFAO,EAAKW,IAAI/D,EAAK1C,GACdqF,KAAKE,MAAQO,EAAKP,MAAQA,EAAO,EAAI,EAC9BF,MAYT,SAASgG,GAAS/E,GAChB,IAAIzB,GAAS,EACTxC,EAAoB,MAAXiE,EAAkB,EAAIA,EAAQjE,OAG3C,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KAK7B6E,GAASrJ,UAAUuE,MAAQsE,GAC3BQ,GAASrJ,UAAkB,OAAIiJ,GAC/BI,GAASrJ,UAAUiD,IAAMiG,GACzBG,GAASrJ,UAAU0E,IAAMyE,GACzBE,GAASrJ,UAAUyE,IAAM2E,GAEzB,IAAIE,GAAYD,GA+BhB,IAAIE,GAhBJ,SAAkB7I,EAAK1C,GACrB,IAAI8F,EAAOT,KAAKC,SAChB,GAAIQ,aAAgBa,EAAY,CAC9B,IAAI6E,EAAQ1F,EAAKR,SACjB,IAAK4E,IAASsB,EAAMnJ,OAASoJ,IAG3B,OAFAD,EAAMnI,KAAK,CAACX,EAAK1C,IACjBqF,KAAKE,OAASO,EAAKP,KACZF,KAETS,EAAOT,KAAKC,SAAW,IAAIgG,GAAUE,GAIvC,OAFA1F,EAAKW,IAAI/D,EAAK1C,GACdqF,KAAKE,KAAOO,EAAKP,KACVF,MAYT,SAASqG,GAAMpF,GACb,IAAIR,EAAOT,KAAKC,SAAW,IAAIqB,EAAWL,GAC1CjB,KAAKE,KAAOO,EAAKP,KAInBmG,GAAM1J,UAAUuE,MAAQK,EACxB8E,GAAM1J,UAAkB,OAAI6E,EAC5B6E,GAAM1J,UAAUiD,IAAM8B,EACtB2E,GAAM1J,UAAU0E,IAAMM,EACtB0E,GAAM1J,UAAUyE,IAAM8E,GAEtB,IAAII,GAASD,GAoBb,IAAIE,GALJ,SAAqB5L,GAEnB,OADAqF,KAAKC,SAASmB,IAAIzG,EAbG,6BAcdqF,MAkBT,IAAIwG,GAJJ,SAAqB7L,GACnB,OAAOqF,KAAKC,SAASoB,IAAI1G,IAa3B,SAAS8L,GAASC,GAChB,IAAIlH,GAAS,EACTxC,EAAmB,MAAV0J,EAAiB,EAAIA,EAAO1J,OAGzC,IADAgD,KAAKC,SAAW,IAAIgG,KACXzG,EAAQxC,GACfgD,KAAK2G,IAAID,EAAOlH,IAKpBiH,GAAS9J,UAAUgK,IAAMF,GAAS9J,UAAUqB,KAAOuI,GACnDE,GAAS9J,UAAU0E,IAAMmF,GAEzB,IAAII,GAAYH,GAwBhB,IAAII,GAZJ,SAAmBvG,EAAOwG,GAIxB,IAHA,IAAItH,GAAS,EACTxC,EAAkB,MAATsD,EAAgB,EAAIA,EAAMtD,SAE9BwC,EAAQxC,GACf,GAAI8J,EAAUxG,EAAMd,GAAQA,EAAOc,GACjC,OAAO,EAGX,OAAO,GAiBT,IAAIyG,GAJJ,SAAkBC,EAAO3J,GACvB,OAAO2J,EAAM3F,IAAIhE,IAmFnB,IAAI4J,GA7DJ,SAAqB3G,EAAOF,EAAO8G,EAASC,EAAYC,EAAWC,GACjE,IAAIC,EAjBqB,EAiBTJ,EACZK,EAAYjH,EAAMtD,OAClBwK,EAAYpH,EAAMpD,OAEtB,GAAIuK,GAAaC,KAAeF,GAAaE,EAAYD,GACvD,OAAO,EAGT,IAAIE,EAAUJ,EAAMzH,IAAIU,GACxB,GAAImH,GAAWJ,EAAMzH,IAAIQ,GACvB,OAAOqH,GAAWrH,EAEpB,IAAIZ,GAAS,EACTiC,GAAS,EACTiG,EA9BuB,EA8BfR,EAAoC,IAAIN,QAAY/F,EAMhE,IAJAwG,EAAMjG,IAAId,EAAOF,GACjBiH,EAAMjG,IAAIhB,EAAOE,KAGRd,EAAQ+H,GAAW,CAC1B,IAAII,EAAWrH,EAAMd,GACjBoI,EAAWxH,EAAMZ,GAErB,GAAI2H,EACF,IAAIU,EAAWP,EACXH,EAAWS,EAAUD,EAAUnI,EAAOY,EAAOE,EAAO+G,GACpDF,EAAWQ,EAAUC,EAAUpI,EAAOc,EAAOF,EAAOiH,GAE1D,QAAiBxG,IAAbgH,EAAwB,CAC1B,GAAIA,EACF,SAEFpG,GAAS,EACT,MAGF,GAAIiG,GACF,IAAKb,GAAWzG,GAAO,SAASwH,EAAUE,GACpC,IAAKf,GAAUW,EAAMI,KAChBH,IAAaC,GAAYR,EAAUO,EAAUC,EAAUV,EAASC,EAAYE,IAC/E,OAAOK,EAAK1J,KAAK8J,MAEjB,CACNrG,GAAS,EACT,YAEG,GACDkG,IAAaC,IACXR,EAAUO,EAAUC,EAAUV,EAASC,EAAYE,GACpD,CACL5F,GAAS,EACT,OAKJ,OAFA4F,EAAc,OAAE/G,GAChB+G,EAAc,OAAEjH,GACTqB,GAQLsG,GAFa1F,EAAM2F,WAqBvB,IAAIC,GAVJ,SAAoBtC,GAClB,IAAInG,GAAS,EACTiC,EAASlB,MAAMoF,EAAIzF,MAKvB,OAHAyF,EAAItH,SAAQ,SAAS1D,EAAO0C,GAC1BoE,IAASjC,GAAS,CAACnC,EAAK1C,MAEnB8G,GAsBT,IAAIyG,GAVJ,SAAoB9G,GAClB,IAAI5B,GAAS,EACTiC,EAASlB,MAAMa,EAAIlB,MAKvB,OAHAkB,EAAI/C,SAAQ,SAAS1D,GACnB8G,IAASjC,GAAS7E,KAEb8G,GAwBL0G,GAAc5F,EAAUA,EAAQ5F,eAAYkE,EAC5CuH,GAAgBD,GAAcA,GAAYE,aAAUxH,EAoFxD,IAAIyH,GAjEJ,SAAoB9K,EAAQ4C,EAAO4C,EAAKkE,EAASC,EAAYC,EAAWC,GACtE,OAAQrE,GACN,IAzBc,oBA0BZ,GAAKxF,EAAO+K,YAAcnI,EAAMmI,YAC3B/K,EAAOgL,YAAcpI,EAAMoI,WAC9B,OAAO,EAEThL,EAASA,EAAOiL,OAChBrI,EAAQA,EAAMqI,OAEhB,IAlCiB,uBAmCf,QAAKjL,EAAO+K,YAAcnI,EAAMmI,aAC3BnB,EAAU,IAAIW,GAAYvK,GAAS,IAAIuK,GAAY3H,KAK1D,IAnDU,mBAoDV,IAnDU,gBAoDV,IAjDY,kBAoDV,OAAOD,GAAM3C,GAAS4C,GAExB,IAxDW,iBAyDT,OAAO5C,EAAOkL,MAAQtI,EAAMsI,MAAQlL,EAAOmL,SAAWvI,EAAMuI,QAE9D,IAxDY,kBAyDZ,IAvDY,kBA2DV,OAAOnL,GAAW4C,EAAQ,GAE5B,IAjES,eAkEP,IAAIwI,EAAUX,GAEhB,IAjES,eAkEP,IAAIX,EA5EmB,EA4EPJ,EAGhB,GAFA0B,IAAYA,EAAUV,IAElB1K,EAAO0C,MAAQE,EAAMF,OAASoH,EAChC,OAAO,EAGT,IAAIG,EAAUJ,EAAMzH,IAAIpC,GACxB,GAAIiK,EACF,OAAOA,GAAWrH,EAEpB8G,GAtFyB,EAyFzBG,EAAMjG,IAAI5D,EAAQ4C,GAClB,IAAIqB,EAASwF,GAAa2B,EAAQpL,GAASoL,EAAQxI,GAAQ8G,EAASC,EAAYC,EAAWC,GAE3F,OADAA,EAAc,OAAE7J,GACTiE,EAET,IAnFY,kBAoFV,GAAI2G,GACF,OAAOA,GAAczH,KAAKnD,IAAW4K,GAAczH,KAAKP,GAG9D,OAAO,GAwBT,IAAIyI,GAXJ,SAAmBvI,EAAOoG,GAKxB,IAJA,IAAIlH,GAAS,EACTxC,EAAS0J,EAAO1J,OAChB8L,EAASxI,EAAMtD,SAEVwC,EAAQxC,GACfsD,EAAMwI,EAAStJ,GAASkH,EAAOlH,GAEjC,OAAOc,GA8BLyI,GAFUxI,MAAMyI,QAoBpB,IAAIC,GALJ,SAAwBzL,EAAQ0L,EAAUC,GACxC,IAAI1H,EAASyH,EAAS1L,GACtB,OAAOuL,GAAUvL,GAAUiE,EAASoH,GAAWpH,EAAQ0H,EAAY3L,KA6BrE,IAAI4L,GAfJ,SAAqB9I,EAAOwG,GAM1B,IALA,IAAItH,GAAS,EACTxC,EAAkB,MAATsD,EAAgB,EAAIA,EAAMtD,OACnCqM,EAAW,EACX5H,EAAS,KAEJjC,EAAQxC,GAAQ,CACvB,IAAIrC,EAAQ2F,EAAMd,GACdsH,EAAUnM,EAAO6E,EAAOc,KAC1BmB,EAAO4H,KAAc1O,GAGzB,OAAO8G,GA2BT,IAAI6H,GAJJ,WACE,MAAO,IASLC,GAHgB/O,OAAOmC,UAGc4M,qBAGrCC,GAAmBhP,OAAOmD,sBAmB1B8L,GAVcD,GAAiC,SAAShM,GAC1D,OAAc,MAAVA,EACK,IAETA,EAAShD,OAAOgD,GACT4L,GAAaI,GAAiBhM,IAAS,SAASkM,GACrD,OAAOH,GAAqB5I,KAAKnD,EAAQkM,QANRJ,GA+BrC,IAAIK,GAVJ,SAAmBC,EAAGC,GAIpB,IAHA,IAAIrK,GAAS,EACTiC,EAASlB,MAAMqJ,KAEVpK,EAAQoK,GACfnI,EAAOjC,GAASqK,EAASrK,GAE3B,OAAOiC,GAiCT,IAAIqI,GAJJ,SAAsBnP,GACpB,OAAgB,MAATA,GAAiC,iBAATA,GAmBjC,IAAIoP,GAJJ,SAAyBpP,GACvB,OAAOmP,GAAenP,IAVV,sBAUoB0I,EAAY1I,IAM1CqP,GAAgBxP,OAAOmC,UAGvBsN,GAAmBD,GAAcvH,eAGjCyH,GAAyBF,GAAcT,qBAyBvCY,GALcJ,GAAiB,WAAa,OAAO5L,UAApB,IAAsC4L,GAAmB,SAASpP,GACnG,OAAOmP,GAAenP,IAAUsP,GAAiBtJ,KAAKhG,EAAO,YAC1DuP,GAAuBvJ,KAAKhG,EAAO,WAsBxC,IAAIyP,GAJJ,WACE,OAAO,GAKLC,GAAarI,GAAqB,SAAUE,EAAQxH,GAExD,IAAI4P,EAAe5P,IAAYA,EAAQ6P,UAAY7P,EAG/C8P,EAAaF,GAAuCpI,IAAWA,EAAOqI,UAAYrI,EAMlFuI,EAHgBD,GAAcA,EAAW9P,UAAY4P,EAG5BjI,EAAMoI,YAAS5J,EAsBxC6J,GAnBiBD,EAASA,EAAOC,cAAW7J,IAmBfuJ,GAEjClI,EAAOxH,QAAUgQ,KAObC,GAAW,mBAoBf,IAAIC,GAVJ,SAAiBjQ,EAAOqC,GACtB,IAAIuG,SAAc5I,EAGlB,SAFAqC,EAAmB,MAAVA,EAfY,iBAewBA,KAGlC,UAARuG,GACU,UAARA,GAAoBoH,GAASjG,KAAK/J,KAChCA,GAAS,GAAKA,EAAQ,GAAK,GAAKA,EAAQqC,GAuCjD,IAAI6N,GALJ,SAAkBlQ,GAChB,MAAuB,iBAATA,GACZA,GAAS,GAAKA,EAAQ,GAAK,GAAKA,GA9BX,kBA+DrBmQ,GAAiB,GACrBA,GAZiB,yBAYYA,GAXZ,yBAYjBA,GAXc,sBAWYA,GAVX,uBAWfA,GAVe,uBAUYA,GATZ,uBAUfA,GATsB,8BASYA,GARlB,wBAShBA,GARgB,yBAQY,EAC5BA,GAjCgB,sBAiCYA,GAhCb,kBAiCfA,GApBuB,wBAoBYA,GAhCnB,oBAiChBA,GApBoB,qBAoBYA,GAhChB,iBAiChBA,GAhCiB,kBAgCYA,GA/Bb,qBAgChBA,GA/Be,gBA+BYA,GA9BT,mBA+BlBA,GA9BgB,mBA8BYA,GA7BV,mBA8BlBA,GA7Be,gBA6BYA,GA5BT,mBA6BlBA,GA5BiB,qBA4BY,EAc7B,IAAIC,GALJ,SAA0BpQ,GACxB,OAAOmP,GAAenP,IACpBkQ,GAAWlQ,EAAMqC,WAAa8N,GAAezH,EAAY1I,KAkB7D,IAAIqQ,GANJ,SAAmBjH,GACjB,OAAO,SAASpJ,GACd,OAAOoJ,EAAKpJ,KAMZsQ,GAAYjJ,GAAqB,SAAUE,EAAQxH,GAEvD,IAAI4P,EAAe5P,IAAYA,EAAQ6P,UAAY7P,EAG/C8P,EAAaF,GAAuCpI,IAAWA,EAAOqI,UAAYrI,EAMlFgJ,EAHgBV,GAAcA,EAAW9P,UAAY4P,GAGtBnI,EAAYgJ,QAG3CC,EAAY,WACd,IAEE,IAAIC,EAAQb,GAAcA,EAAWc,SAAWd,EAAWc,QAAQ,QAAQD,MAE3E,OAAIA,GAKGH,GAAeA,EAAYK,SAAWL,EAAYK,QAAQ,QACjE,MAAOzL,KAXI,GAcfoC,EAAOxH,QAAU0Q,KAIbI,GAAmBP,IAAaA,GAAUQ,aAqB1CC,GAFeF,GAAmBR,GAAWQ,IAAoBT,GAQjEY,GAHgBnR,OAAOmC,UAGU8F,eAqCrC,IAAImJ,GA3BJ,SAAuBjR,EAAOkR,GAC5B,IAAIC,EAAQ/C,GAAUpO,GAClBoR,GAASD,GAAS3B,GAAcxP,GAChCqR,GAAUF,IAAUC,GAAS1B,GAAW1P,GACxCsR,GAAUH,IAAUC,IAAUC,GAAUN,GAAe/Q,GACvDuR,EAAcJ,GAASC,GAASC,GAAUC,EAC1CxK,EAASyK,EAAcvC,GAAWhP,EAAMqC,OAAQmP,QAAU,GAC1DnP,EAASyE,EAAOzE,OAEpB,IAAK,IAAIK,KAAO1C,GACTkR,IAAaF,GAAiBhL,KAAKhG,EAAO0C,IACzC6O,IAEQ,UAAP7O,GAEC2O,IAAkB,UAAP3O,GAA0B,UAAPA,IAE9B4O,IAAkB,UAAP5O,GAA0B,cAAPA,GAA8B,cAAPA,IAEtDuN,GAASvN,EAAKL,KAEnByE,EAAOzD,KAAKX,GAGhB,OAAOoE,GAML2K,GAAgB5R,OAAOmC,UAgB3B,IAAI0P,GAPJ,SAAqB1R,GACnB,IAAI2R,EAAO3R,GAASA,EAAM+B,YAG1B,OAAO/B,KAFqB,mBAAR2R,GAAsBA,EAAK3P,WAAcyP,KAqB/D,IAAIG,GANJ,SAAiBxI,EAAMyI,GACrB,OAAO,SAASC,GACd,OAAO1I,EAAKyI,EAAUC,MAStBC,GAFaH,GAAS/R,OAAOkD,KAAMlD,QAQnCmS,GAHgBnS,OAAOmC,UAGU8F,eAsBrC,IAAImK,GAbJ,SAAkBpP,GAChB,IAAK6O,GAAa7O,GAChB,OAAOkP,GAAYlP,GAErB,IAAIiE,EAAS,GACb,IAAK,IAAIpE,KAAO7C,OAAOgD,GACjBmP,GAAiBhM,KAAKnD,EAAQH,IAAe,eAAPA,GACxCoE,EAAOzD,KAAKX,GAGhB,OAAOoE,GAkCT,IAAIoL,GAJJ,SAAqBlS,GACnB,OAAgB,MAATA,GAAiBkQ,GAAWlQ,EAAMqC,UAAYyG,EAAa9I,IAqCpE,IAAImS,GAJJ,SAActP,GACZ,OAAOqP,GAAcrP,GAAUoO,GAAepO,GAAUoP,GAAUpP,IAgBpE,IAAIuP,GAJJ,SAAoBvP,GAClB,OAAOyL,GAAgBzL,EAAQsP,GAAQrD,KAYrCuD,GAHgBxS,OAAOmC,UAGU8F,eA+ErC,IAAIwK,GAhEJ,SAAsBzP,EAAQ4C,EAAO8G,EAASC,EAAYC,EAAWC,GACnE,IAAIC,EAtBuB,EAsBXJ,EACZgG,EAAWH,GAAYvP,GACvB2P,EAAYD,EAASlQ,OAIzB,GAAImQ,GAHWJ,GAAY3M,GACFpD,SAEMsK,EAC7B,OAAO,EAGT,IADA,IAAI9H,EAAQ2N,EACL3N,KAAS,CACd,IAAInC,EAAM6P,EAAS1N,GACnB,KAAM8H,EAAYjK,KAAO+C,EAAQ4M,GAAiBrM,KAAKP,EAAO/C,IAC5D,OAAO,EAIX,IAAIoK,EAAUJ,EAAMzH,IAAIpC,GACxB,GAAIiK,GAAWJ,EAAMzH,IAAIQ,GACvB,OAAOqH,GAAWrH,EAEpB,IAAIqB,GAAS,EACb4F,EAAMjG,IAAI5D,EAAQ4C,GAClBiH,EAAMjG,IAAIhB,EAAO5C,GAGjB,IADA,IAAI4P,EAAW9F,IACN9H,EAAQ2N,GAAW,CAE1B,IAAIE,EAAW7P,EADfH,EAAM6P,EAAS1N,IAEXoI,EAAWxH,EAAM/C,GAErB,GAAI8J,EACF,IAAIU,EAAWP,EACXH,EAAWS,EAAUyF,EAAUhQ,EAAK+C,EAAO5C,EAAQ6J,GACnDF,EAAWkG,EAAUzF,EAAUvK,EAAKG,EAAQ4C,EAAOiH,GAGzD,UAAmBxG,IAAbgH,EACGwF,IAAazF,GAAYR,EAAUiG,EAAUzF,EAAUV,EAASC,EAAYE,GAC7EQ,GACD,CACLpG,GAAS,EACT,MAEF2L,IAAaA,EAAkB,eAAP/P,GAE1B,GAAIoE,IAAW2L,EAAU,CACvB,IAAIE,EAAU9P,EAAOd,YACjB6Q,EAAUnN,EAAM1D,YAGhB4Q,GAAWC,KACV,gBAAiB/P,MAAU,gBAAiB4C,IACzB,mBAAXkN,GAAyBA,aAAmBA,GACjC,mBAAXC,GAAyBA,aAAmBA,IACvD9L,GAAS,GAKb,OAFA4F,EAAc,OAAE7J,GAChB6J,EAAc,OAAEjH,GACTqB,GAQL+L,GAFW5I,GAAWvC,EAAO,YAO7BoL,GAFY7I,GAAWvC,EAAO,WAO9BqL,GAFM9I,GAAWvC,EAAO,OAOxBsL,GAFU/I,GAAWvC,EAAO,WAc5BuL,GAAqB3J,EAAUuJ,IAC/BK,GAAgB5J,EAAUY,IAC1BiJ,GAAoB7J,EAAUwJ,IAC9BM,GAAgB9J,EAAUyJ,IAC1BM,GAAoB/J,EAAU0J,IAS9BM,GAAS5K,GAGRmK,IAnBe,qBAmBFS,GAAO,IAAIT,GAAU,IAAIU,YAAY,MAClDrJ,IA1BU,gBA0BFoJ,GAAO,IAAIpJ,KACnB4I,IAzBY,oBAyBAQ,GAAOR,GAASU,YAC5BT,IAzBU,gBAyBFO,GAAO,IAAIP,KACnBC,IAzBc,oBAyBFM,GAAO,IAAIN,OAC1BM,GAAS,SAAStT,GAChB,IAAI8G,EAAS4B,EAAY1I,GACrB2R,EA/BU,mBA+BH7K,EAAwB9G,EAAM+B,iBAAcmE,EACnDuN,EAAa9B,EAAOrI,EAAUqI,GAAQ,GAE1C,GAAI8B,EACF,OAAQA,GACN,KAAKR,GAAoB,MA/Bb,oBAgCZ,KAAKC,GAAe,MAtCb,eAuCP,KAAKC,GAAmB,MArCf,mBAsCT,KAAKC,GAAe,MArCb,eAsCP,KAAKC,GAAmB,MArCb,mBAwCf,OAAOvM,IAIX,IAAI4M,GAAUJ,GAcVK,GAHgB9T,OAAOmC,UAGU8F,eA6DrC,IAAI8L,GA7CJ,SAAyB/Q,EAAQ4C,EAAO8G,EAASC,EAAYC,EAAWC,GACtE,IAAImH,EAAWzF,GAAUvL,GACrBiR,EAAW1F,GAAU3I,GACrBsO,EAASF,EA1BE,iBA0BsBH,GAAQ7Q,GACzCmR,EAASF,EA3BE,iBA2BsBJ,GAAQjO,GAKzCwO,EA/BY,oBA4BhBF,EA9Bc,sBA8BLA,EA5BO,kBA4B6BA,GAIzCG,EAhCY,oBA6BhBF,EA/Bc,sBA+BLA,EA7BO,kBA6B6BA,GAIzCG,EAAYJ,GAAUC,EAE1B,GAAIG,GAAazE,GAAW7M,GAAS,CACnC,IAAK6M,GAAWjK,GACd,OAAO,EAEToO,GAAW,EACXI,GAAW,EAEb,GAAIE,IAAcF,EAEhB,OADAvH,IAAUA,EAAQ,IAAIf,IACdkI,GAAY9C,GAAelO,GAC/ByJ,GAAazJ,EAAQ4C,EAAO8G,EAASC,EAAYC,EAAWC,GAC5DiB,GAAY9K,EAAQ4C,EAAOsO,EAAQxH,EAASC,EAAYC,EAAWC,GAEzE,KArD2B,EAqDrBH,GAAmC,CACvC,IAAI6H,EAAeH,GAAYN,GAAiB3N,KAAKnD,EAAQ,eACzDwR,EAAeH,GAAYP,GAAiB3N,KAAKP,EAAO,eAE5D,GAAI2O,GAAgBC,EAAc,CAChC,IAAIC,EAAeF,EAAevR,EAAO7C,QAAU6C,EAC/C0R,EAAeF,EAAe5O,EAAMzF,QAAUyF,EAGlD,OADAiH,IAAUA,EAAQ,IAAIf,IACfc,EAAU6H,EAAcC,EAAchI,EAASC,EAAYE,IAGtE,QAAKyH,IAGLzH,IAAUA,EAAQ,IAAIf,IACf2G,GAAczP,EAAQ4C,EAAO8G,EAASC,EAAYC,EAAWC,KA6BtE,IAAI8H,GAVJ,SAASC,EAAYzU,EAAOyF,EAAO8G,EAASC,EAAYE,GACtD,OAAI1M,IAAUyF,IAGD,MAATzF,GAA0B,MAATyF,IAAmB0J,GAAenP,KAAWmP,GAAe1J,GACxEzF,GAAUA,GAASyF,GAAUA,EAE/BmO,GAAiB5T,EAAOyF,EAAO8G,EAASC,EAAYiI,EAAa/H,KAqC1E,IAAIgI,GAJJ,SAAiB1U,EAAOyF,GACtB,OAAO+O,GAAaxU,EAAOyF,IAKzBkP,GAAkB,CACpBC,WAAW,EACXC,MAAO,EACPC,MAAM,EACNC,UAAW,MACXC,MAAO,GACPC,SAAU,+GACVC,QAAS,cACT/G,OAAQ,GAENgH,GAAe,GAEfC,GAEJ,WAkCE,SAASA,EAAQC,EAAYC,GAC3B,IAAIC,EAAQlQ,MA32EhB,SAAyBmQ,EAAUC,GACjC,KAAMD,aAAoBC,GACxB,MAAM,IAAIC,UAAU,qCA22EpBC,CAAgBtQ,KAAM+P,GAEtBzS,EAAgB0C,KAAM,UAAW,IAEjC1C,EAAgB0C,KAAM,wBAAwB,SAAUuQ,EAAKC,EAAWhB,EAAOpU,GAC7E,IAAIqV,EAAmBF,EAAIE,kBAAoBF,EAAIG,WAAaH,EAAII,cAcpE,QAAIT,EAAMU,aAAaC,SAASJ,KAE9BP,EAAMU,aAAa/Q,iBAAiB0Q,EAAIhN,MAd3B,SAASuN,EAASC,GAC/B,IAAIC,EAAoBD,EAAKN,kBAAoBM,EAAKL,WAAaK,EAAKJ,cAExET,EAAMU,aAAaK,oBAAoBV,EAAIhN,KAAMuN,GAG5CN,EAAUK,SAASG,IAEtBd,EAAMgB,cAAcV,EAAWpV,EAAQoU,MAAOpU,EAAS2V,OAQlD,MAOXd,EAAW/R,EAAe,GAAIoR,GAAiB,GAAIW,GACnDD,EAAWmB,SAAWnB,EAAaA,EAAW,IAC9ChQ,KAAKoR,KAAOpR,KAAKoR,KAAKC,KAAKrR,MAC3BA,KAAKsR,KAAOtR,KAAKsR,KAAKD,KAAKrR,MAE3BA,KAAKwQ,UAAYR,EACjBhQ,KAAK5E,QAAU6U,EAEfjQ,KAAKuR,SAAU,EAEfvR,KAAKwR,QAr4ET,IAAsBpB,EAAaqB,EAAYC,EA+8F7C,OA/8FoBtB,EAk5EPL,GAl5EoB0B,EAk5EX,CAAC,CACrBpU,IAAK,OACL1C,MAAO,WACLqF,KAAK2R,MAAM3R,KAAKwQ,UAAWxQ,KAAK5E,WAQjC,CACDiC,IAAK,OACL1C,MAAO,WACLqF,KAAK4R,UAQN,CACDvU,IAAK,UACL1C,MAAO,WACLqF,KAAK6R,aAQN,CACDxU,IAAK,SACL1C,MAAO,WACL,OAAIqF,KAAKuR,QACAvR,KAAKsR,OAELtR,KAAKoR,SAGf,CACD/T,IAAK,aACL1C,MAAO,SAAoBkE,GACzBmB,KAAK8R,SAAWjT,IAEjB,CACDxB,IAAK,aACL1C,MAAO,SAAoBoX,GACzB/R,KAAK5E,QAAQuU,MAAQoC,EAEjB/R,KAAK4Q,cACP5Q,KAAKgS,YAAYD,EAAS/R,KAAK5E,WAGlC,CACDiC,IAAK,aACL1C,MAAO,SAAoBS,GACzB,IAAI6W,GAAiB,EACjBpT,EAAUzD,GAAWA,EAAQyD,SAAWqT,GAAU9W,QAAQ+W,aAEzD9C,GAAUrP,KAAK8R,SAAUjT,KAC5BmB,KAAKoS,WAAWvT,GAChBoT,GAAiB,GAGnB7W,EAAUiX,GAAWjX,GACrB,IAAIkX,GAAmB,EACnBC,GAAc,EAUlB,IAAK,IAAIlV,KARL2C,KAAK5E,QAAQ0N,SAAW1N,EAAQ0N,QAAU9I,KAAK5E,QAAQsU,YAActU,EAAQsU,YAC/E4C,GAAmB,IAGjBtS,KAAK5E,QAAQwU,WAAaxU,EAAQwU,UAAY5P,KAAK5E,QAAQyU,UAAYzU,EAAQyU,SAAW7P,KAAK5E,QAAQmU,YAAcnU,EAAQmU,WAAa0C,KAC5IM,GAAc,GAGAnX,EACd4E,KAAK5E,QAAQiC,GAAOjC,EAAQiC,GAG9B,GAAI2C,KAAK4Q,aACP,GAAI2B,EAAa,CACf,IAAIC,EAASxS,KAAKuR,QAClBvR,KAAKyS,UAELzS,KAAKwR,QAEDgB,GACFxS,KAAKoR,YAEEkB,GACTtS,KAAK0S,eAAeC,WAOzB,CACDtV,IAAK,QACL1C,MAAO,WAEL,IAAIiY,EAAyC,iBAAzB5S,KAAK5E,QAAQyU,QAAuB7P,KAAK5E,QAAQyU,QAAQnR,MAAM,KAAO,GAC1FsB,KAAK6S,aAAc,EACnB7S,KAAK8S,sBAAqD,IAA9BF,EAAOzT,QAAQ,UAC3CyT,EAASA,EAAO/U,QAAO,SAAUgS,GAC/B,OAAyD,IAAlD,CAAC,QAAS,QAAS,SAAS1Q,QAAQ0Q,MAG7C7P,KAAK+S,mBAAmB/S,KAAKwQ,UAAWoC,EAAQ5S,KAAK5E,SAGrD4E,KAAKgT,gBAAkBhT,KAAKwQ,UAAUyC,aAAa,SACnDjT,KAAKwQ,UAAU0C,gBAAgB,SAC/BlT,KAAKwQ,UAAUnR,aAAa,sBAAuBW,KAAKgT,mBAazD,CACD3V,IAAK,UACL1C,MAAO,SAAiB6V,EAAWZ,GAEjC,IAAIuD,EAAmBzX,OAAO0X,SAASC,cAAc,OACrDF,EAAiBG,UAAY1D,EAAS2D,OACtC,IAAIC,EAAcL,EAAiBM,WAAW,GAc9C,OAZAD,EAAYE,GAAK,WAAWC,OAAOC,KAAKC,SAASlR,SAAS,IAAImR,OAAO,EAAG,KAIxEN,EAAYnU,aAAa,cAAe,QAEpCW,KAAK5E,QAAQ2Y,WAAuD,IAA3C/T,KAAK5E,QAAQyU,QAAQ1Q,QAAQ,WACxDqU,EAAY3T,iBAAiB,aAAcG,KAAKsR,MAChDkC,EAAY3T,iBAAiB,QAASG,KAAKsR,OAItCkC,IAER,CACDnW,IAAK,cACL1C,MAAO,SAAqBoX,EAAS3W,GACnC,IAAI4Y,EAAShU,KAEbA,KAAKiU,cAAe,EAEpBjU,KAAKkU,cAAcnC,EAAS3W,GAAS+Y,MAAK,WACxCH,EAAOtB,eAAeC,cAGzB,CACDtV,IAAK,gBACL1C,MAAO,SAAuBgV,EAAOvU,GACnC,IAAIgZ,EAASpU,KAEb,OAAO,IAAIqU,SAAQ,SAAUlG,EAASmG,GACpC,IAAIC,EAAYnZ,EAAQqU,KACpB+E,EAAWJ,EAAOxD,aACtB,GAAK4D,EAAL,CACA,IAAIC,EAAYD,EAASE,cAAcN,EAAOhZ,QAAQuZ,eAEtD,GAAuB,IAAnBhF,EAAMpF,UAER,GAAIgK,EAAW,CACb,KAAOE,EAAUG,YACfH,EAAUI,YAAYJ,EAAUG,YAGlCH,EAAUK,YAAYnF,QAEnB,IAAqB,mBAAVA,EAAsB,CAEtC,IAAIlO,EAASkO,IAkBb,YAhBIlO,GAAiC,mBAAhBA,EAAO0S,MAC1BC,EAAOH,cAAe,EACtB7Y,EAAQ2Z,cAAgBpW,EAAW6V,EAAUpZ,EAAQ2Z,cAEjD3Z,EAAQ4Z,gBACVZ,EAAOF,cAAc9Y,EAAQ4Z,eAAgB5Z,GAG/CqG,EAAO0S,MAAK,SAAUc,GAEpB,OADA7Z,EAAQ2Z,cAAgBxV,EAAciV,EAAUpZ,EAAQ2Z,cACjDX,EAAOF,cAAce,EAAa7Z,MACxC+Y,KAAKhG,GAAS+G,MAAMZ,IAEvBF,EAAOF,cAAczS,EAAQrG,GAAS+Y,KAAKhG,GAAS+G,MAAMZ,IAM5DC,EAAYE,EAAUnB,UAAY3D,EAAQ8E,EAAUU,UAAYxF,EAGlExB,UAGH,CACD9Q,IAAK,QACL1C,MAAO,SAAe6V,EAAWpV,GAC/B,IAAIA,GAAwC,iBAAtBA,EAAQmU,WACZ6D,SAASsB,cAActZ,EAAQmU,WADjD,CAKA6F,aAAapV,KAAKqV,sBAClBja,EAAUZ,OAAO8a,OAAO,GAAIla,IACb0N,OACf,IAAIyM,GAAgB,EAEhBvV,KAAK4Q,eACPjS,EAAWqB,KAAK4Q,aAAc5Q,KAAK8R,UACnCyD,GAAgB,GAGlB,IAAI9T,EAASzB,KAAKwV,aAAahF,EAAWpV,GAO1C,OALIma,GAAiBvV,KAAK4Q,cACxBjS,EAAWqB,KAAK4Q,aAAc5Q,KAAK8R,UAGrCnT,EAAW6R,EAAW,CAAC,mBAChB/O,KAER,CACDpE,IAAK,eACL1C,MAAO,SAAsB6V,EAAWpV,GACtC,IAAIqa,EAASzV,KAGb,GAAIA,KAAKuR,QACP,OAAOvR,KAMT,GAHAA,KAAKuR,SAAU,EACfzB,GAAa9R,KAAKgC,MAEdA,KAAK4Q,aAYP,OAXA5Q,KAAK4Q,aAAa8E,MAAMC,QAAU,GAElC3V,KAAK4Q,aAAavR,aAAa,cAAe,SAE9CW,KAAK0S,eAAekD,uBACpB5V,KAAK0S,eAAeC,SAEhB3S,KAAKiU,cACPjU,KAAKgS,YAAY5W,EAAQuU,MAAOvU,GAG3B4E,KAIT,IAAI2P,EAAQa,EAAUyC,aAAa,UAAY7X,EAAQuU,MAEvD,IAAKA,EACH,OAAO3P,KAIT,IAAIwT,EAAcxT,KAAK6V,QAAQrF,EAAWpV,EAAQwU,UAElD5P,KAAK4Q,aAAe4C,EAEpBhD,EAAUnR,aAAa,mBAAoBmU,EAAYE,IAEvD,IAAInE,EAAYvP,KAAK8V,eAAe1a,EAAQmU,UAAWiB,GAEvDxQ,KAAK+V,QAAQvC,EAAajE,GAE1B,IAAIyG,EAAgB9X,EAAe,GAAI9C,EAAQ4a,cAAe,CAC5DtG,UAAWtU,EAAQsU,YAoCrB,OAjCAsG,EAAcC,UAAY/X,EAAe,GAAI8X,EAAcC,UAAW,CACpEC,MAAO,CACLC,QAASnW,KAAK5E,QAAQgb,iBAItBhb,EAAQib,oBACVL,EAAcC,UAAUK,gBAAkB,CACxCD,kBAAmBjb,EAAQib,oBAI/BrW,KAAK0S,eAAiB,IAAI,IAAOlC,EAAWgD,EAAawC,GAEzDhW,KAAKgS,YAAYrC,EAAOvU,GAGxBmb,uBAAsB,YACfd,EAAO5C,aAAe4C,EAAO/C,gBAChC+C,EAAO/C,eAAeC,SAGtB4D,uBAAsB,WACfd,EAAO5C,YAGV4C,EAAOhD,UAFPgD,EAAOlE,SAAWiC,EAAYnU,aAAa,cAAe,aAM9DoW,EAAOhD,aAGJzS,OAER,CACD3C,IAAK,gBACL1C,MAAO,WACL,IAAI6E,EAAQsQ,GAAa3Q,QAAQa,OAElB,IAAXR,GACFsQ,GAAarQ,OAAOD,EAAO,KAG9B,CACDnC,IAAK,QACL1C,MAAO,WAGL,IAAI6b,EAASxW,KAGb,IAAKA,KAAKuR,QACR,OAAOvR,KAGTA,KAAKuR,SAAU,EAEfvR,KAAKyW,gBAGLzW,KAAK4Q,aAAa8E,MAAMC,QAAU,OAElC3V,KAAK4Q,aAAavR,aAAa,cAAe,QAE9CW,KAAK0S,eAAegE,wBACpBtB,aAAapV,KAAKqV,eAClB,IAAIsB,EAAczE,GAAU9W,QAAQwb,eAgBpC,OAdoB,OAAhBD,IACF3W,KAAKqV,cAAgBwB,YAAW,WAC1BL,EAAO5F,eACT4F,EAAO5F,aAAaK,oBAAoB,aAAcuF,EAAOlF,MAE7DkF,EAAO5F,aAAaK,oBAAoB,QAASuF,EAAOlF,MAGxDkF,EAAOM,wBAERH,IAGLpX,EAAcS,KAAKwQ,UAAW,CAAC,mBACxBxQ,OAER,CACD3C,IAAK,qBACL1C,MAAO,WACL,GAAKqF,KAAK4Q,aAAV,CACA,IAAImG,EAAa/W,KAAK4Q,aAAamG,WAE/BA,IACFA,EAAWlC,YAAY7U,KAAK4Q,cAC5B5Q,KAAKwQ,UAAU0C,gBAAgB,qBAGjClT,KAAK4Q,aAAe,QAErB,CACDvT,IAAK,WACL1C,MAAO,WACL,IAAIqc,EAAShX,KAoCb,OAlCAA,KAAK6S,aAAc,EACnB7S,KAAKwQ,UAAU0C,gBAAgB,uBAE3BlT,KAAKgT,iBACPhT,KAAKwQ,UAAUnR,aAAa,QAASW,KAAKgT,iBAI5ChT,KAAKiX,QAAQ5Y,SAAQ,SAAU6Y,GAC7B,IAAInT,EAAOmT,EAAKnT,KACZoT,EAAQD,EAAKC,MAEjBH,EAAOxG,UAAUS,oBAAoBkG,EAAOpT,MAG9C/D,KAAKiX,QAAU,GAEXjX,KAAK4Q,cACP5Q,KAAK4R,QAEL5R,KAAK4Q,aAAaK,oBAAoB,aAAcjR,KAAKsR,MAEzDtR,KAAK4Q,aAAaK,oBAAoB,QAASjR,KAAKsR,MAGpDtR,KAAK0S,eAAe0E,UAEfpX,KAAK0S,eAAetX,QAAQic,iBAC/BrX,KAAK8W,sBAGP9W,KAAKyW,gBAGAzW,OAER,CACD3C,IAAK,iBACL1C,MAAO,SAAwB4U,EAAWiB,GASxC,MAPyB,iBAAdjB,EACTA,EAAY7T,OAAO0X,SAASsB,cAAcnF,IACnB,IAAdA,IAETA,EAAYiB,EAAUuG,YAGjBxH,IAUR,CACDlS,IAAK,UACL1C,MAAO,SAAiB6Y,EAAajE,GACnCA,EAAUuF,YAAYtB,KAEvB,CACDnW,IAAK,qBACL1C,MAAO,SAA4B6V,EAAWoC,EAAQxX,GACpD,IAAIkc,EAAStX,KAETuX,EAAe,GACfC,EAAiB,GACrB5E,EAAOvU,SAAQ,SAAU8Y,GACvB,OAAQA,GACN,IAAK,QACHI,EAAavZ,KAAK,cAClBwZ,EAAexZ,KAAK,cAChBsZ,EAAOlc,QAAQqc,mBAAmBD,EAAexZ,KAAK,SAC1D,MAEF,IAAK,QACHuZ,EAAavZ,KAAK,SAClBwZ,EAAexZ,KAAK,QAChBsZ,EAAOlc,QAAQqc,mBAAmBD,EAAexZ,KAAK,SAC1D,MAEF,IAAK,QACHuZ,EAAavZ,KAAK,SAClBwZ,EAAexZ,KAAK,aAK1BuZ,EAAalZ,SAAQ,SAAU8Y,GAC7B,IAAIpT,EAAO,SAAcwM,IACA,IAAnB+G,EAAO/F,UAIXhB,EAAImH,eAAgB,EAEpBJ,EAAOK,cAAcnH,EAAWpV,EAAQoU,MAAOpU,EAASmV,KAG1D+G,EAAOL,QAAQjZ,KAAK,CAClBmZ,MAAOA,EACPpT,KAAMA,IAGRyM,EAAU3Q,iBAAiBsX,EAAOpT,MAGpCyT,EAAenZ,SAAQ,SAAU8Y,GAC/B,IAAIpT,EAAO,SAAcwM,IACG,IAAtBA,EAAImH,eAIRJ,EAAOpG,cAAcV,EAAWpV,EAAQoU,MAAOpU,EAASmV,IAG1D+G,EAAOL,QAAQjZ,KAAK,CAClBmZ,MAAOA,EACPpT,KAAMA,IAGRyM,EAAU3Q,iBAAiBsX,EAAOpT,QAGrC,CACD1G,IAAK,mBACL1C,MAAO,SAA0Bwc,GAC3BnX,KAAK8S,sBACP9S,KAAKkR,cAAclR,KAAKwQ,UAAWxQ,KAAK5E,QAAQoU,MAAOxP,KAAK5E,QAAS+b,KAGxE,CACD9Z,IAAK,gBACL1C,MAAO,SAAuB6V,EAAWhB,EAAOpU,GAG9C,IAAIwc,EAAS5X,KAGT6X,EAAgBrI,GAASA,EAAM4B,MAAQ5B,GAAS,EACpD4F,aAAapV,KAAK8X,gBAClB9X,KAAK8X,eAAiBpc,OAAOmb,YAAW,WACtC,OAAOe,EAAOjG,MAAMnB,EAAWpV,KAC9Byc,KAEJ,CACDxa,IAAK,gBACL1C,MAAO,SAAuB6V,EAAWhB,EAAOpU,EAASmV,GACvD,IAAIwH,EAAS/X,KAGT6X,EAAgBrI,GAASA,EAAM8B,MAAQ9B,GAAS,EACpD4F,aAAapV,KAAK8X,gBAClB9X,KAAK8X,eAAiBpc,OAAOmb,YAAW,WACtC,IAAuB,IAAnBkB,EAAOxG,SAINwG,EAAOnH,aAAaoH,cAAcC,KAAKpH,SAASkH,EAAOnH,cAA5D,CAMA,GAAiB,eAAbL,EAAIhN,MACMwU,EAAOG,qBAAqB3H,EAAKC,EAAWhB,EAAOpU,GAK7D,OAIJ2c,EAAOnG,MAAMpB,EAAWpV,MACvByc,QA18FSjb,EAAkBwT,EAAYzT,UAAW8U,GACrDC,GAAa9U,EAAkBwT,EAAasB,GA68FzC3B,EAvpBT,GA0pBwB,oBAAbqD,UACTA,SAASvT,iBAAiB,cAAc,SAAUsX,GAChD,IAAK,IAAIpa,EAAI,EAAGA,EAAI+S,GAAa9S,OAAQD,IACvC+S,GAAa/S,GAAGob,iBAAiBhB,MAElCzX,GAAkB,CACnB0Y,SAAS,EACTC,SAAS,IAmBb,IAAIC,GAAQ,CACVC,SAAS,GAEPC,GAAY,CAAC,MAAO,YAAa,UAAW,QAAS,cAAe,YAAa,SAAU,eAAgB,aAAc,OAAQ,aAAc,YAC/IC,GAAiB,CAEnBC,iBAAkB,MAElBvG,aAAc,oBAEdwG,mBAAoB,cAEpBC,aAAa,EAIbC,gBAAiB,+GAEjBC,qBAAsB,kCAEtBC,qBAAsB,kCAEtBC,aAAc,EAEdC,eAAgB,cAEhBC,cAAe,EAEfC,iBAAkB,OAClBC,8BAA0BvY,EAC1BwY,qBAAsB,GAEtBC,oBAAqB,kBAErBC,sBAAuB,MAEvBxF,UAAU,EAEVyF,0BAA0B,EAE1B5C,eAAgB,IAEhB6C,QAAS,CACPf,iBAAkB,SAElBvG,aAAc,oBAEduH,iBAAkB,kBAElBC,oBAAqB,UAErBC,kBAAmB,8BAEnBC,kBAAmB,8BAEnBC,iBAAkB,OAClBd,aAAc,EACdC,eAAgB,QAChBC,cAAe,EACfC,iBAAkB,OAClBC,8BAA0BvY,EAC1BwY,qBAAsB,GAEtBU,iBAAiB,EAEjBC,qBAAqB,IAGzB,SAAS3H,GAAWjX,GAClB,IAAIqG,EAAS,CACXiO,eAAwC,IAAtBtU,EAAQsU,UAA4BtU,EAAQsU,UAAYwC,GAAU9W,QAAQsd,iBAC5FlJ,WAAgC,IAAlBpU,EAAQoU,MAAwBpU,EAAQoU,MAAQ0C,GAAU9W,QAAQ4d,aAChFvJ,UAA8B,IAAjBrU,EAAQqU,KAAuBrU,EAAQqU,KAAOyC,GAAU9W,QAAQwd,YAC7EhJ,cAAsC,IAArBxU,EAAQwU,SAA2BxU,EAAQwU,SAAWsC,GAAU9W,QAAQyd,gBACzFzC,mBAAgD,IAA1Bhb,EAAQgb,cAAgChb,EAAQgb,cAAgBlE,GAAU9W,QAAQ0d,qBACxGnE,mBAAgD,IAA1BvZ,EAAQuZ,cAAgCvZ,EAAQuZ,cAAgBzC,GAAU9W,QAAQ2d,qBACxGlJ,aAAoC,IAApBzU,EAAQyU,QAA0BzU,EAAQyU,QAAUqC,GAAU9W,QAAQ6d,eACtFnQ,YAAkC,IAAnB1N,EAAQ0N,OAAyB1N,EAAQ0N,OAASoJ,GAAU9W,QAAQ8d,cACnF3J,eAAwC,IAAtBnU,EAAQmU,UAA4BnU,EAAQmU,UAAY2C,GAAU9W,QAAQ+d,iBAC5F9C,uBAAwD,IAA9Bjb,EAAQib,kBAAoCjb,EAAQib,kBAAoBnE,GAAU9W,QAAQge,yBACpHrF,cAAsC,IAArB3Y,EAAQ2Y,SAA2B3Y,EAAQ2Y,SAAW7B,GAAU9W,QAAQ2Y,SACzF0D,uBAAwD,IAA9Brc,EAAQqc,kBAAoCrc,EAAQqc,kBAAoBvF,GAAU9W,QAAQoe,yBACpHzE,kBAA8C,IAAzB3Z,EAAQ2Z,aAA+B3Z,EAAQ2Z,aAAe7C,GAAU9W,QAAQke,oBACrGtE,oBAAkD,IAA3B5Z,EAAQ4Z,eAAiC5Z,EAAQ4Z,eAAiB9C,GAAU9W,QAAQme,sBAC3GvD,cAAe9X,EAAe,QAAqC,IAA1B9C,EAAQ4a,cAAgC5a,EAAQ4a,cAAgB9D,GAAU9W,QAAQie,uBAG7H,GAAI5X,EAAOqH,OAAQ,CACjB,IAAImR,EAAe3d,EAAQmF,EAAOqH,QAE9BA,EAASrH,EAAOqH,QAEC,WAAjBmR,GAA8C,WAAjBA,IAAsD,IAAzBnR,EAAO3J,QAAQ,QAC3E2J,EAAS,MAAM6K,OAAO7K,IAGnBrH,EAAOuU,cAAcC,YACxBxU,EAAOuU,cAAcC,UAAY,IAGnCxU,EAAOuU,cAAcC,UAAUnN,OAAS,CACtCA,OAAQA,GAQZ,OAJIrH,EAAOoO,UAAgD,IAArCpO,EAAOoO,QAAQ1Q,QAAQ,WAC3CsC,EAAOgW,mBAAoB,GAGtBhW,EAET,SAASyY,GAAavf,EAAOsb,GAG3B,IAFA,IAAIvG,EAAY/U,EAAM+U,UAEb3S,EAAI,EAAGA,EAAIyb,GAAUxb,OAAQD,IAAK,CACzC,IAAIod,EAAM3B,GAAUzb,GAEhBkZ,EAAUkE,KACZzK,EAAYyK,GAIhB,OAAOzK,EAET,SAAS0K,GAAWzf,GAClB,IAAI4I,EAAOjH,EAAQ3B,GAEnB,MAAa,WAAT4I,EACK5I,KACEA,GAAkB,WAAT4I,IACX5I,EAAMoX,QAKjB,SAASsI,GAAczb,EAAIjE,GACzB,IAAIsb,EAAY9X,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,GAChF4T,EAAUqI,GAAWzf,GACrBkE,OAAmC,IAAlBlE,EAAMkE,QAA0BlE,EAAMkE,QAAUqT,GAAU9W,QAAQ+W,aAEnFxS,EAAOzB,EAAe,CACxByR,MAAOoC,GACNM,GAAWnU,EAAe,GAAIvD,EAAO,CACtC+U,UAAWwK,GAAavf,EAAOsb,OAG7BqE,EAAU1b,EAAG2b,SAAW,IAAIxK,GAAQnR,EAAIe,GAC5C2a,EAAQlI,WAAWvT,GACnByb,EAAQE,OAAS5b,EAEjB,IAAI6b,OAA+C,IAAxB9f,EAAM8f,cAAgC9f,EAAM8f,cAAgBvI,GAAU9W,QAAQud,mBAGzG,OAFA/Z,EAAG8b,sBAAwBD,EAC3B9b,EAAWC,EAAI6b,GACRH,EAET,SAASK,GAAe/b,GAClBA,EAAG2b,WACL3b,EAAG2b,SAAS9H,iBAEL7T,EAAG2b,gBACH3b,EAAGgc,iBAGRhc,EAAG8b,wBACLnb,EAAcX,EAAIA,EAAG8b,8BACd9b,EAAG8b,uBAGd,SAASrJ,GAAKzS,EAAIsY,GAChB,IAQMoD,EARF3f,EAAQuc,EAAKvc,MAEbsb,GADWiB,EAAK2D,SACJ3D,EAAKjB,WACjBlE,EAAUqI,GAAWzf,GAEpBoX,GAAYuG,GAAMC,SAKjB3Z,EAAG2b,WACLD,EAAU1b,EAAG2b,UAELO,WAAW/I,GAEnBuI,EAAQS,WAAW7c,EAAe,GAAIvD,EAAO,CAC3C+U,UAAWwK,GAAavf,EAAOsb,OAGjCqE,EAAUD,GAAczb,EAAIjE,EAAOsb,QAIX,IAAftb,EAAMyW,MAAwBzW,EAAMyW,OAASxS,EAAGgc,kBACzDhc,EAAGgc,gBAAkBjgB,EAAMyW,KAC3BzW,EAAMyW,KAAOkJ,EAAQlJ,OAASkJ,EAAQhJ,SAnBxCqJ,GAAe/b,GAuBnB,IAAIsT,GAAY,CACd9W,QAASqd,GACTpH,KAAMA,GACNsB,OAAQtB,GACR2J,OAAQ,SAAgBpc,GACtB+b,GAAe/b,KAInB,SAASqc,GAAarc,GACpBA,EAAGiB,iBAAiB,QAASqb,IAC7Btc,EAAGiB,iBAAiB,aAAcsb,KAAczb,GAAkB,CAChE0Y,SAAS,IAIb,SAASgD,GAAgBxc,GACvBA,EAAGqS,oBAAoB,QAASiK,IAChCtc,EAAGqS,oBAAoB,aAAckK,IACrCvc,EAAGqS,oBAAoB,WAAYoK,IACnCzc,EAAGqS,oBAAoB,cAAeqK,IAGxC,SAASJ,GAAQ/D,GACf,IAAIvY,EAAKuY,EAAMoE,cACfpE,EAAMqE,cAAgB5c,EAAG6c,sBACzBtE,EAAMuE,gBAAkB9c,EAAG+c,2BAA6B/c,EAAG+c,wBAAwBC,IAGrF,SAAST,GAAahE,GACpB,GAAoC,IAAhCA,EAAM0E,eAAe7e,OAAc,CACrC,IAAI4B,EAAKuY,EAAMoE,cACf3c,EAAG6c,uBAAwB,EAC3B,IAAIK,EAAQ3E,EAAM0E,eAAe,GACjCjd,EAAGmd,2BAA6BD,EAChCld,EAAGiB,iBAAiB,WAAYwb,IAChCzc,EAAGiB,iBAAiB,cAAeyb,KAIvC,SAASD,GAAWlE,GAClB,IAAIvY,EAAKuY,EAAMoE,cAGf,GAFA3c,EAAG6c,uBAAwB,EAES,IAAhCtE,EAAM0E,eAAe7e,OAAc,CACrC,IAAI8e,EAAQ3E,EAAM0E,eAAe,GAC7BG,EAAapd,EAAGmd,2BACpB5E,EAAMqE,aAAe5H,KAAKqI,IAAIH,EAAMI,QAAUF,EAAWE,SAAW,IAAMtI,KAAKqI,IAAIH,EAAMK,QAAUH,EAAWG,SAAW,GACzHhF,EAAMuE,gBAAkB9c,EAAG+c,2BAA6B/c,EAAG+c,wBAAwBC,KAIvF,SAASN,GAAcnE,GACZA,EAAMoE,cACZE,uBAAwB,EAG7B,IAAIW,GAAgB,CAClB/K,KAAM,SAAczS,EAAIsY,GACtB,IAAIvc,EAAQuc,EAAKvc,MACbsb,EAAYiB,EAAKjB,UACrBrX,EAAG+c,wBAA0B1F,QAER,IAAVtb,GAAyBA,IAClCsgB,GAAarc,IAGjB+T,OAAQ,SAAgB/T,EAAIyd,GAC1B,IAAI1hB,EAAQ0hB,EAAM1hB,MACdkgB,EAAWwB,EAAMxB,SACjB5E,EAAYoG,EAAMpG,UACtBrX,EAAG+c,wBAA0B1F,EAEzBtb,IAAUkgB,SACS,IAAVlgB,GAAyBA,EAClCsgB,GAAarc,GAEbwc,GAAgBxc,KAItBoc,OAAQ,SAAgBpc,GACtBwc,GAAgBxc,KAIpB,SAAS0d,GAAWjf,GAClB,IAAI1C,EAAQuX,GAAU9W,QAAQqe,QAAQpc,GAEtC,YAAqB,IAAV1C,EACFuX,GAAU9W,QAAQiC,GAGpB1C,EAGT,IAAI4hB,IAAQ,EAEU,oBAAX7gB,QAA+C,oBAAd8gB,YAC1CD,GAAQ,mBAAmB7X,KAAK8X,UAAUC,aAAe/gB,OAAOghB,UAGlE,IAAIC,GAAe,GAEfC,GAAU,aAEQ,oBAAXlhB,SACTkhB,GAAUlhB,OAAOkhB,SAGnB,IAAIC,GAAS,CACXnU,KAAM,WACNoU,WAAY,CACVC,eAAgB,KAElBjgB,MAAO,CACLkgB,KAAM,CACJzZ,KAAM0Z,QACNC,SAAS,GAEXC,SAAU,CACR5Z,KAAM0Z,QACNC,SAAS,GAEXxN,UAAW,CACTnM,KAAM4I,OACN+Q,QAAS,WACP,OAAOZ,GAAW,sBAGtB9M,MAAO,CACLjM,KAAM,CAAC4I,OAAQiR,OAAQ5iB,QACvB0iB,QAAS,WACP,OAAOZ,GAAW,kBAGtBxT,OAAQ,CACNvF,KAAM,CAAC4I,OAAQiR,QACfF,QAAS,WACP,OAAOZ,GAAW,mBAGtBzM,QAAS,CACPtM,KAAM4I,OACN+Q,QAAS,WACP,OAAOZ,GAAW,oBAGtB/M,UAAW,CACThM,KAAM,CAAC4I,OAAQ3R,OAAQoiB,GAASK,SAChCC,QAAS,WACP,OAAOZ,GAAW,sBAGtBjG,kBAAmB,CACjB9S,KAAM,CAAC4I,OAAQyQ,IACfM,QAAS,WACP,OAAOZ,GAAW,8BAGtBtG,cAAe,CACbzS,KAAM/I,OACN0iB,QAAS,WACP,OAAOZ,GAAW,0BAGtBe,aAAc,CACZ9Z,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOZ,GAAW,kBAGtBgB,iBAAkB,CAChB/Z,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQC,mBAGrC6D,kBAAmB,CACjBha,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQG,oBAGrC4D,oBAAqB,CACnBja,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQE,sBAGrC8D,kBAAmB,CACjBla,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQI,oBAGrC9F,SAAU,CACRxQ,KAAM0Z,QACNC,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQM,kBAGrC2D,aAAc,CACZna,KAAM0Z,QACNC,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQO,sBAGrC2D,UAAW,CACTpa,KAAM4I,OACN+Q,QAAS,MAEXU,UAAW,CACTra,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQK,oBAIvCrZ,KAAM,WACJ,MAAO,CACL+R,QAAQ,EACRkB,GAAIE,KAAKC,SAASlR,SAAS,IAAImR,OAAO,EAAG,MAG7C+J,SAAU,CACRC,SAAU,WACR,OAAOxgB,EAAgB,GAAI0C,KAAK4d,UAAW5d,KAAKwS,SAElDuL,UAAW,WACT,MAAO,WAAWpK,OAAO3T,KAAK0T,MAGlCsK,MAAO,CACLhB,KAAM,SAAciB,GACdA,EACFje,KAAKoR,OAELpR,KAAKsR,QAGT6L,SAAU,SAAkBc,EAAKC,GAC3BD,IAAQC,IACND,EACFje,KAAKsR,OACItR,KAAKgd,MACdhd,KAAKoR,SAIX7B,UAAW,SAAmB0O,GAC5B,GAAIje,KAAKwS,QAAUxS,KAAK0S,eAAgB,CACtC,IAAIyL,EAAcne,KAAKoe,MAAM3E,QACzBjJ,EAAYxQ,KAAKoe,MAAMvO,QACvBN,EAAYvP,KAAKqe,gBAAgBre,KAAKuP,UAAWiB,GAErD,IAAKjB,EAEH,YADAjV,QAAQC,KAAK,2BAA4ByF,MAI3CuP,EAAUuF,YAAYqJ,GACtBne,KAAK0S,eAAe4L,mBAGxBzO,QAAS,SAAiBoO,GACxBje,KAAKue,yBACLve,KAAKwe,uBAEP9O,UAAW,SAAmBuO,GAC5B,IAAI/N,EAAQlQ,KAEZA,KAAKye,gBAAe,WAClBvO,EAAMwC,eAAetX,QAAQsU,UAAYuO,MAG7CnV,OAAQ,kBACRuN,kBAAmB,kBACnBL,cAAe,CACb0I,QAAS,kBACTC,MAAM,IAGVC,QAAS,WACP5e,KAAK6e,cAAe,EACpB7e,KAAK8e,WAAY,EACjB9e,KAAK+e,SAAW,GAChB/e,KAAKgf,eAAgB,GAEvBC,QAAS,WACP,IAAId,EAAcne,KAAKoe,MAAM3E,QAC7B0E,EAAYpH,YAAcoH,EAAYpH,WAAWlC,YAAYsJ,GAC7Dne,KAAKkf,SAEDlf,KAAKgd,MACPhd,KAAKoR,QAGT+N,YAAa,WACXnf,KAAKsR,QAEP8N,cAAe,WACbpf,KAAKyS,WAEP4M,QAAS,CACPjO,KAAM,WACJ,IAAI4C,EAAShU,KAETqc,EAAQle,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,GAC5EgZ,EAAQkF,EAAMlF,MAEdmI,GADkBjD,EAAMkD,UACVlD,EAAMmD,OACpBA,OAAwB,IAAhBF,GAAiCA,GAEzCE,GAAUxf,KAAKmd,WACjBnd,KAAKyf,eAAetI,GACpBnX,KAAK0f,MAAM,SAGb1f,KAAK0f,MAAM,eAAe,GAC1B1f,KAAK2f,eAAgB,EACrBpJ,uBAAsB,WACpBvC,EAAO2L,eAAgB,MAG3BrO,KAAM,WACJ,IAAIsO,EAAQzhB,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,GAC5EgZ,EAAQyI,EAAMzI,MACIyI,EAAML,UAE5Bvf,KAAK6f,eAAe1I,GACpBnX,KAAK0f,MAAM,QACX1f,KAAK0f,MAAM,eAAe,IAE5BjN,QAAS,WAOP,GANAzS,KAAK6e,cAAe,EACpB7e,KAAKue,yBACLve,KAAKsR,KAAK,CACRiO,WAAW,IAGTvf,KAAK0S,iBACP1S,KAAK0S,eAAe0E,WAEfpX,KAAK0S,eAAetX,QAAQic,iBAAiB,CAChD,IAAI8G,EAAcne,KAAKoe,MAAM3E,QAC7B0E,EAAYpH,YAAcoH,EAAYpH,WAAWlC,YAAYsJ,GAIjEne,KAAK8e,WAAY,EACjB9e,KAAK0S,eAAiB,KACtB1S,KAAKwS,QAAS,EACdxS,KAAK0f,MAAM,YAEbR,OAAQ,YACkC,IAApClf,KAAK6P,QAAQ1Q,QAAQ,WACvBa,KAAKwe,uBAGTsB,OAAQ,WACN,IAAI1L,EAASpU,KAETwQ,EAAYxQ,KAAKoe,MAAMvO,QACvBsO,EAAcne,KAAKoe,MAAM3E,QAG7B,GAFArE,aAAapV,KAAK+f,iBAEd/f,KAAKwS,OAAT,CAWA,GANIxS,KAAK0S,iBACP1S,KAAKwS,QAAS,EACdxS,KAAK0S,eAAekD,uBACpB5V,KAAK0S,eAAe4L,mBAGjBte,KAAK8e,UAAW,CACnB,IAAIvP,EAAYvP,KAAKqe,gBAAgBre,KAAKuP,UAAWiB,GAErD,IAAKjB,EAEH,YADAjV,QAAQC,KAAK,2BAA4ByF,MAI3CuP,EAAUuF,YAAYqJ,GACtBne,KAAK8e,WAAY,EAGnB,IAAK9e,KAAK0S,eAAgB,CACxB,IAAIsD,EAAgB9X,EAAe,GAAI8B,KAAKgW,cAAe,CACzDtG,UAAW1P,KAAK0P,YASlB,GANAsG,EAAcC,UAAY/X,EAAe,GAAI8X,EAAcC,UAAW,CACpEC,MAAOhY,EAAe,GAAI8X,EAAcC,WAAaD,EAAcC,UAAUC,MAAO,CAClFC,QAASnW,KAAKoe,MAAMlI,UAIpBlW,KAAK8I,OAAQ,CACf,IAAIA,EAAS9I,KAAKggB,cAClBhK,EAAcC,UAAUnN,OAAS5K,EAAe,GAAI8X,EAAcC,WAAaD,EAAcC,UAAUnN,OAAQ,CAC7GA,OAAQA,IAIR9I,KAAKqW,oBACPL,EAAcC,UAAUK,gBAAkBpY,EAAe,GAAI8X,EAAcC,WAAaD,EAAcC,UAAUK,gBAAiB,CAC/HD,kBAAmBrW,KAAKqW,qBAI5BrW,KAAK0S,eAAiB,IAAI,IAAOlC,EAAW2N,EAAanI,GAEzDO,uBAAsB,WACpB,GAAInC,EAAO6L,OAKT,OAJA7L,EAAO6L,QAAS,OAEhB7L,EAAO8L,UAKJ9L,EAAOyK,cAAgBzK,EAAO1B,gBACjC0B,EAAO1B,eAAe4L,iBAGtB/H,uBAAsB,WACpB,GAAInC,EAAO6L,OAKT,OAJA7L,EAAO6L,QAAS,OAEhB7L,EAAO8L,SAKJ9L,EAAOyK,aAGVzK,EAAO3B,UAFP2B,EAAO5B,QAAS,MAMpB4B,EAAO3B,aAKb,IAAIkL,EAAY3d,KAAK2d,UAErB,GAAIA,EAGF,IAFA,IAAIlE,EAEK1c,EAAI,EAAGA,EAAI4f,GAAa3f,OAAQD,KACvC0c,EAAUkD,GAAa5f,IAEX4gB,YAAcA,IACxBlE,EAAQnI,OACRmI,EAAQiG,MAAM,gBAKpB/C,GAAa3e,KAAKgC,MAClBA,KAAK0f,MAAM,gBAEbQ,OAAQ,WACN,IAAIzK,EAASzV,KAGb,GAAKA,KAAKwS,OAAV,CAIA,IAAIhT,EAAQmd,GAAaxd,QAAQa,OAElB,IAAXR,GACFmd,GAAald,OAAOD,EAAO,GAG7BQ,KAAKwS,QAAS,EAEVxS,KAAK0S,gBACP1S,KAAK0S,eAAegE,wBAGtBtB,aAAapV,KAAK+f,gBAClB,IAAIpJ,EAAczE,GAAU9W,QAAQqe,QAAQ7C,gBAAkB1E,GAAU9W,QAAQwb,eAE5D,OAAhBD,IACF3W,KAAK+f,eAAiBlJ,YAAW,WAC/B,IAAIsH,EAAc1I,EAAO2I,MAAM3E,QAE3B0E,IAEFA,EAAYpH,YAAcoH,EAAYpH,WAAWlC,YAAYsJ,GAC7D1I,EAAOqJ,WAAY,KAEpBnI,IAGL3W,KAAK0f,MAAM,gBAEbrB,gBAAiB,SAAyB9O,EAAWiB,GASnD,MAPyB,iBAAdjB,EACTA,EAAY7T,OAAO0X,SAASsB,cAAcnF,IACnB,IAAdA,IAETA,EAAYiB,EAAUuG,YAGjBxH,GAETyQ,YAAa,WACX,IAAI/F,EAAe3d,EAAQ0D,KAAK8I,QAE5BA,EAAS9I,KAAK8I,OAMlB,OAJqB,WAAjBmR,GAA8C,WAAjBA,IAAsD,IAAzBnR,EAAO3J,QAAQ,QAC3E2J,EAAS,MAAM6K,OAAO7K,IAGjBA,GAET0V,oBAAqB,WACnB,IAAIhI,EAASxW,KAETwQ,EAAYxQ,KAAKoe,MAAMvO,QACvB0H,EAAe,GACfC,EAAiB,IACgB,iBAAjBxX,KAAK6P,QAAuB7P,KAAK6P,QAAQnR,MAAM,KAAKb,QAAO,SAAUgS,GACvF,OAAyD,IAAlD,CAAC,QAAS,QAAS,SAAS1Q,QAAQ0Q,MACxC,IACExR,SAAQ,SAAU8Y,GACvB,OAAQA,GACN,IAAK,QACHI,EAAavZ,KAAK,cAClBwZ,EAAexZ,KAAK,cACpB,MAEF,IAAK,QACHuZ,EAAavZ,KAAK,SAClBwZ,EAAexZ,KAAK,QACpB,MAEF,IAAK,QACHuZ,EAAavZ,KAAK,SAClBwZ,EAAexZ,KAAK,aAK1BuZ,EAAalZ,SAAQ,SAAU8Y,GAC7B,IAAIpT,EAAO,SAAcoT,GACnBX,EAAOhE,SAIX2E,EAAMO,eAAgB,GACrBlB,EAAOwI,eAAiBxI,EAAOpF,KAAK,CACnC+F,MAAOA,IAETX,EAAOyJ,QAAS,IAGlBzJ,EAAOuI,SAAS/gB,KAAK,CACnBmZ,MAAOA,EACPpT,KAAMA,IAGRyM,EAAU3Q,iBAAiBsX,EAAOpT,MAGpCyT,EAAenZ,SAAQ,SAAU8Y,GAC/B,IAAIpT,EAAO,SAAcoT,GACnBA,EAAMO,gBAIVlB,EAAOlF,KAAK,CACV6F,MAAOA,IAGTX,EAAOyJ,QAAS,IAGlBzJ,EAAOuI,SAAS/gB,KAAK,CACnBmZ,MAAOA,EACPpT,KAAMA,IAGRyM,EAAU3Q,iBAAiBsX,EAAOpT,OAGtC0b,eAAgB,WACd,IAAIF,EAAYphB,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAG/E,GAFAiX,aAAapV,KAAKmgB,iBAEdZ,EACFvf,KAAK8f,aACA,CAEL,IAAIjI,EAAgBuI,SAASpgB,KAAKwP,OAASxP,KAAKwP,MAAM4B,MAAQpR,KAAKwP,OAAS,GAC5ExP,KAAKmgB,gBAAkBtJ,WAAW7W,KAAK8f,OAAOzO,KAAKrR,MAAO6X,KAG9DgI,eAAgB,WACd,IAAI7I,EAAShX,KAETmX,EAAQhZ,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,KAC5EohB,EAAYphB,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAG/E,GAFAiX,aAAapV,KAAKmgB,iBAEdZ,EACFvf,KAAKkgB,aACA,CAEL,IAAIrI,EAAgBuI,SAASpgB,KAAKwP,OAASxP,KAAKwP,MAAM8B,MAAQtR,KAAKwP,OAAS,GAC5ExP,KAAKmgB,gBAAkBtJ,YAAW,WAChC,GAAKG,EAAOxE,OAAZ,CAMA,GAAI2E,GAAwB,eAAfA,EAAM5T,KAKjB,GAJYyT,EAAOqJ,sBAAsBlJ,GAKvC,OAIJH,EAAOkJ,YACNrI,KAGPwI,sBAAuB,SAA+BlJ,GACpD,IAAIG,EAAStX,KAETwQ,EAAYxQ,KAAKoe,MAAMvO,QACvBsO,EAAcne,KAAKoe,MAAM3E,QACzBhJ,EAAmB0G,EAAM1G,kBAAoB0G,EAAMzG,WAAayG,EAAMxG,cAe1E,QAAIwN,EAAYtN,SAASJ,KAEvB0N,EAAYte,iBAAiBsX,EAAM5T,MAftB,SAASuN,EAASwP,GAC/B,IAAItP,EAAoBsP,EAAO7P,kBAAoB6P,EAAO5P,WAAa4P,EAAO3P,cAE9EwN,EAAYlN,oBAAoBkG,EAAM5T,KAAMuN,GAEvCN,EAAUK,SAASG,IAEtBsG,EAAOhG,KAAK,CACV6F,MAAOmJ,QAQJ,IAKX/B,uBAAwB,WACtB,IAAI/N,EAAYxQ,KAAKoe,MAAMvO,QAC3B7P,KAAK+e,SAAS1gB,SAAQ,SAAUkiB,GAC9B,IAAIxc,EAAOwc,EAAMxc,KACboT,EAAQoJ,EAAMpJ,MAClB3G,EAAUS,oBAAoBkG,EAAOpT,MAEvC/D,KAAK+e,SAAW,IAElBN,eAAgB,SAAwB+B,GAClCxgB,KAAK0S,iBACP8N,IACIxgB,KAAKwS,QAAQxS,KAAK0S,eAAe4L,mBAGzCmC,gBAAiB,WACf,GAAIzgB,KAAK0S,eAAgB,CACvB,IAAIF,EAASxS,KAAKwS,OAClBxS,KAAKyS,UACLzS,KAAK6e,cAAe,EACpB7e,KAAKkf,SAED1M,GACFxS,KAAKoR,KAAK,CACRmO,WAAW,EACXC,OAAO,MAKfkB,oBAAqB,SAA6BvJ,GAChD,IAAIS,EAAS5X,KAET8b,EAAQ3d,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GACvE6B,KAAK2f,gBACT3f,KAAKsR,KAAK,CACR6F,MAAOA,IAGLA,EAAMqE,aACRxb,KAAK0f,MAAM,mBAEX1f,KAAK0f,MAAM,aAGT5D,IACF9b,KAAKgf,eAAgB,EACrBnI,YAAW,WACTe,EAAOoH,eAAgB,IACtB,QAGP2B,eAAgB,WACV3gB,KAAKwS,QAAUxS,KAAK0S,iBACtB1S,KAAK0S,eAAe4L,iBACpBte,KAAK0f,MAAM,cAyBnB,SAASkB,GAAkBzJ,GAiBzB,IAhBA,IAAI2E,EAAQ3d,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAEvE0iB,EAAQ,SAAe9jB,GACzB,IAAI0c,EAAUkD,GAAa5f,GAE3B,GAAI0c,EAAQ2E,MAAM3E,QAAS,CACzB,IAAI5I,EAAW4I,EAAQ2E,MAAM3E,QAAQ5I,SAASsG,EAAMta,QACpD0Z,uBAAsB,YAChBY,EAAMuE,iBAAmBvE,EAAMqE,cAAgB3K,GAAY4I,EAAQ1F,WAAalD,IAClF4I,EAAQiH,oBAAoBvJ,EAAO2E,QAOlC/e,EAAI,EAAGA,EAAI4f,GAAa3f,OAAQD,IACvC8jB,EAAM9jB,GArCc,oBAAbqW,UAA8C,oBAAX1X,SACxC6gB,GACFnJ,SAASvT,iBAAiB,YAa9B,SAA8BsX,GAC5ByJ,GAAkBzJ,GAAO,MAdqCzX,GAAkB,CAC5E0Y,SAAS,EACTC,SAAS,IAGX3c,OAAOmE,iBAAiB,SAI5B,SAA2BsX,GACzByJ,GAAkBzJ,MALoC,IA8GxD,IAAI2J,GAAiBjE,GAGjBkE,GAAiB,WACnB,IAAIC,EAAMhhB,KAENihB,EAAKD,EAAIE,eAETC,EAAKH,EAAII,MAAMD,IAAMF,EAEzB,OAAOE,EAAG,MAAO,CACfE,YAAa,YACbC,MAAON,EAAIlD,UACV,CAACqD,EAAG,MAAO,CACZI,IAAK,UACLF,YAAa,UACbG,YAAa,CACX7L,QAAS,gBAEX8L,MAAO,CACL,mBAAoBT,EAAIjD,UACxB2D,UAA4C,IAAlCV,EAAInR,QAAQ1Q,QAAQ,SAAkB,OAAI0B,IAErD,CAACmgB,EAAIW,GAAG,YAAa,GAAIX,EAAIY,GAAG,KAAMT,EAAG,MAAO,CACjDI,IAAK,UACLD,MAAO,CAACN,EAAI1D,iBAAkB0D,EAAI3D,aAAc2D,EAAIlD,UACpDpI,MAAO,CACLmM,WAAYb,EAAIxO,OAAS,UAAY,UAEvCiP,MAAO,CACL/N,GAAIsN,EAAIjD,UACR,cAAeiD,EAAIxO,OAAS,QAAU,OACtCkP,SAAUV,EAAIjN,SAAW,OAAIlT,GAE/BihB,GAAI,CACFC,MAAO,SAAeC,GACpB,IAAKA,EAAOze,KAAKpE,QAAQ,QAAU6hB,EAAIiB,GAAGD,EAAOE,QAAS,MAAO,GAAIF,EAAO3kB,IAAK,CAAC,MAAO,WACvF,OAAO,KAGT2jB,EAAIjN,UAAYiN,EAAI1P,UAGvB,CAAC6P,EAAG,MAAO,CACZG,MAAON,EAAIxD,qBACV,CAAC2D,EAAG,MAAO,CACZI,IAAK,QACLD,MAAON,EAAIzD,kBACXiE,YAAa,CACXW,SAAU,aAEX,CAAChB,EAAG,MAAO,CAACH,EAAIW,GAAG,YAAa,GAAIX,EAAIY,GAAG,KAAMZ,EAAItD,aAAeyD,EAAG,iBAAkB,CAC1FW,GAAI,CACFM,OAAQpB,EAAIL,kBAEXK,EAAIqB,MAAO,GAAIrB,EAAIY,GAAG,KAAMT,EAAG,MAAO,CACzCI,IAAK,QACLD,MAAON,EAAIvD,2BAKfsD,GAAeuB,eAAgB,EAG/B,IAgBIC,GA7JJ,SAA4B3S,EAAU8F,EAAOmH,EAAQ2F,EAASC,EAAsBC,EAAoCC,EAAYC,EAAgBC,EAAmBC,GACzI,kBAAfH,IACPE,EAAoBD,EACpBA,EAAiBD,EACjBA,GAAa,GAGjB,MAAMvnB,EAA4B,mBAAXyhB,EAAwBA,EAAOzhB,QAAUyhB,EAehE,IAAIkG,EAmCJ,GAhDInT,GAAYA,EAASoT,SACrB5nB,EAAQ4nB,OAASpT,EAASoT,OAC1B5nB,EAAQ6nB,gBAAkBrT,EAASqT,gBACnC7nB,EAAQ8nB,WAAY,EAEhBT,IACArnB,EAAQ+nB,YAAa,IAIzBX,IACApnB,EAAQgoB,SAAWZ,GAGnBE,GAEAK,EAAO,SAAUM,IAEbA,EACIA,GACKrjB,KAAKsjB,QAAUtjB,KAAKsjB,OAAOC,YAC3BvjB,KAAKwjB,QAAUxjB,KAAKwjB,OAAOF,QAAUtjB,KAAKwjB,OAAOF,OAAOC,aAElB,oBAAxBE,sBACnBJ,EAAUI,qBAGV/N,GACAA,EAAM/U,KAAKX,KAAM6iB,EAAkBQ,IAGnCA,GAAWA,EAAQK,uBACnBL,EAAQK,sBAAsB/c,IAAI+b,IAK1CtnB,EAAQuoB,aAAeZ,GAElBrN,IACLqN,EAAOJ,EACD,SAAUU,GACR3N,EAAM/U,KAAKX,KAAM8iB,EAAqBO,EAASrjB,KAAK4jB,MAAMC,SAASC,cAErE,SAAUT,GACR3N,EAAM/U,KAAKX,KAAM4iB,EAAeS,MAGxCN,EACA,GAAI3nB,EAAQ+nB,WAAY,CAEpB,MAAMY,EAAiB3oB,EAAQ4nB,OAC/B5nB,EAAQ4nB,OAAS,SAAkCgB,EAAGX,GAElD,OADAN,EAAKpiB,KAAK0iB,GACHU,EAAeC,EAAGX,QAG5B,CAED,MAAMY,EAAW7oB,EAAQ8oB,aACzB9oB,EAAQ8oB,aAAeD,EAAW,GAAGtQ,OAAOsQ,EAAUlB,GAAQ,CAACA,GAGvE,OAAOlG,EAqFasH,CAAmB,CACzCnB,OAAQjC,GACRkC,gBAtB4B,SAIFpiB,EAmBFigB,QAhBHjgB,GAMc,OAHLA,GAauF,OAAOA,OAAWA,OAAWA,GAUhJujB,GARkB,WACpB,IACE,IAAIrgB,EAAOa,GAAWpK,OAAQ,kBAE9B,OADAuJ,EAAK,GAAI,GAAI,IACNA,EACP,MAAOjE,KALU,GAgCrB,IAAIukB,GAbJ,SAAyB7mB,EAAQH,EAAK1C,GACzB,aAAP0C,GAAsB+mB,GACxBA,GAAkB5mB,EAAQH,EAAK,CAC7B,cAAgB,EAChB,YAAc,EACd,MAAS1C,EACT,UAAY,IAGd6C,EAAOH,GAAO1C,GAsBlB,IAAI2pB,GAPJ,SAA0B9mB,EAAQH,EAAK1C,SACtBkG,IAAVlG,IAAwBwF,EAAK3C,EAAOH,GAAM1C,SAChCkG,IAAVlG,KAAyB0C,KAAOG,KACnC6mB,GAAiB7mB,EAAQH,EAAK1C,IA8BlC,IAeI4pB,GAhCJ,SAAuBC,GACrB,OAAO,SAAShnB,EAAQqM,EAAUX,GAMhC,IALA,IAAI1J,GAAS,EACTilB,EAAWjqB,OAAOgD,GAClBV,EAAQoM,EAAS1L,GACjBR,EAASF,EAAME,OAEZA,KAAU,CACf,IAAIK,EAAMP,EAAM0nB,EAAYxnB,IAAWwC,GACvC,IAA+C,IAA3CqK,EAAS4a,EAASpnB,GAAMA,EAAKonB,GAC/B,MAGJ,OAAOjnB,GAiBGknB,GAIVC,GAAe3iB,GAAqB,SAAUE,EAAQxH,GAE1D,IAAI4P,EAAe5P,IAAYA,EAAQ6P,UAAY7P,EAG/C8P,EAAaF,GAAuCpI,IAAWA,EAAOqI,UAAYrI,EAMlFuI,EAHgBD,GAAcA,EAAW9P,UAAY4P,EAG5BjI,EAAMoI,YAAS5J,EACxC+jB,EAAcna,EAASA,EAAOma,iBAAc/jB,EAqBhDqB,EAAOxH,QAXP,SAAqB+N,EAAQoc,GAC3B,GAAIA,EACF,OAAOpc,EAAOqc,QAEhB,IAAI9nB,EAASyL,EAAOzL,OAChByE,EAASmjB,EAAcA,EAAY5nB,GAAU,IAAIyL,EAAO/L,YAAYM,GAGxE,OADAyL,EAAOsc,KAAKtjB,GACLA,MAmBT,IAAIujB,GANJ,SAA0BC,GACxB,IAAIxjB,EAAS,IAAIwjB,EAAYvoB,YAAYuoB,EAAY1c,YAErD,OADA,IAAIR,GAAYtG,GAAQL,IAAI,IAAI2G,GAAYkd,IACrCxjB,GAkBT,IAAIyjB,GALJ,SAAyBC,EAAYN,GACnC,IAAIpc,EAASoc,EAASG,GAAkBG,EAAW1c,QAAU0c,EAAW1c,OACxE,OAAO,IAAI0c,EAAWzoB,YAAY+L,EAAQ0c,EAAW3c,WAAY2c,EAAWnoB,SAwB9E,IAAIooB,GAXJ,SAAmBhnB,EAAQkC,GACzB,IAAId,GAAS,EACTxC,EAASoB,EAAOpB,OAGpB,IADAsD,IAAUA,EAAQC,MAAMvD,MACfwC,EAAQxC,GACfsD,EAAMd,GAASpB,EAAOoB,GAExB,OAAOc,GAML+kB,GAAe7qB,OAAO8qB,OA0BtBC,GAhBc,WAChB,SAAS/nB,KACT,OAAO,SAASgoB,GACd,IAAKliB,EAAWkiB,GACd,MAAO,GAET,GAAIH,GACF,OAAOA,GAAaG,GAEtBhoB,EAAOb,UAAY6oB,EACnB,IAAI/jB,EAAS,IAAIjE,EAEjB,OADAA,EAAOb,eAAYkE,EACZY,GAZM,GAqBbgkB,GAFelZ,GAAS/R,OAAOkrB,eAAgBlrB,QAiBnD,IAAImrB,GANJ,SAAyBnoB,GACvB,MAAqC,mBAAtBA,EAAOd,aAA8B2P,GAAa7O,GAE7D,GADA+nB,GAAYE,GAAcjoB,KAmChC,IAAIooB,GAJJ,SAA2BjrB,GACzB,OAAOmP,GAAenP,IAAUkS,GAAclS,IAS5CkrB,GAAcvjB,SAAS3F,UACvBmpB,GAAgBtrB,OAAOmC,UAGvBopB,GAAiBF,GAAYljB,SAG7BqjB,GAAmBF,GAAcrjB,eAGjCwjB,GAAmBF,GAAeplB,KAAKnG,QA2C3C,IAAI0rB,GAbJ,SAAuBvrB,GACrB,IAAKmP,GAAenP,IA5CJ,mBA4Cc0I,EAAY1I,GACxC,OAAO,EAET,IAAI6qB,EAAQC,GAAc9qB,GAC1B,GAAc,OAAV6qB,EACF,OAAO,EAET,IAAIlZ,EAAO0Z,GAAiBrlB,KAAK6kB,EAAO,gBAAkBA,EAAM9oB,YAChE,MAAsB,mBAAR4P,GAAsBA,aAAgBA,GAClDyZ,GAAeplB,KAAK2L,IAAS2Z,IAyBjC,IAAIE,GAZJ,SAAiB3oB,EAAQH,GACvB,IAAY,gBAARA,GAAgD,mBAAhBG,EAAOH,KAIhC,aAAPA,EAIJ,OAAOG,EAAOH,IASZ+oB,GAHgB5rB,OAAOmC,UAGU8F,eAoBrC,IAAI4jB,GARJ,SAAqB7oB,EAAQH,EAAK1C,GAChC,IAAI0S,EAAW7P,EAAOH,GAChB+oB,GAAiBzlB,KAAKnD,EAAQH,IAAQ8C,EAAKkN,EAAU1S,UAC5CkG,IAAVlG,GAAyB0C,KAAOG,IACnC6mB,GAAiB7mB,EAAQH,EAAK1C,IA0ClC,IAAI2rB,GA1BJ,SAAoBloB,EAAQtB,EAAOU,EAAQ2J,GACzC,IAAIof,GAAS/oB,EACbA,IAAWA,EAAS,IAKpB,IAHA,IAAIgC,GAAS,EACTxC,EAASF,EAAME,SAEVwC,EAAQxC,GAAQ,CACvB,IAAIK,EAAMP,EAAM0C,GAEZgnB,EAAWrf,EACXA,EAAW3J,EAAOH,GAAMe,EAAOf,GAAMA,EAAKG,EAAQY,QAClDyC,OAEaA,IAAb2lB,IACFA,EAAWpoB,EAAOf,IAEhBkpB,EACFlC,GAAiB7mB,EAAQH,EAAKmpB,GAE9BH,GAAa7oB,EAAQH,EAAKmpB,GAG9B,OAAOhpB,GAwBT,IAAIipB,GAVJ,SAAsBjpB,GACpB,IAAIiE,EAAS,GACb,GAAc,MAAVjE,EACF,IAAK,IAAIH,KAAO7C,OAAOgD,GACrBiE,EAAOzD,KAAKX,GAGhB,OAAOoE,GASLilB,GAHgBlsB,OAAOmC,UAGU8F,eAwBrC,IAAIkkB,GAfJ,SAAoBnpB,GAClB,IAAK8F,EAAW9F,GACd,OAAOipB,GAAcjpB,GAEvB,IAAIopB,EAAUva,GAAa7O,GACvBiE,EAAS,GAEb,IAAK,IAAIpE,KAAOG,GACD,eAAPH,IAAyBupB,GAAYF,GAAiB/lB,KAAKnD,EAAQH,KACvEoE,EAAOzD,KAAKX,GAGhB,OAAOoE,GAgCT,IAAIolB,GAJJ,SAAgBrpB,GACd,OAAOqP,GAAcrP,GAAUoO,GAAepO,GAAQ,GAAQmpB,GAAYnpB,IAiC5E,IAAIspB,GAJJ,SAAuBnsB,GACrB,OAAO2rB,GAAY3rB,EAAOksB,GAASlsB,KAkFrC,IAAIosB,GA9DJ,SAAuBvpB,EAAQY,EAAQf,EAAK2pB,EAAUC,EAAW9f,EAAYE,GAC3E,IAAIgG,EAAW8Y,GAAS3oB,EAAQH,GAC5B6pB,EAAWf,GAAS/nB,EAAQf,GAC5BoK,EAAUJ,EAAMzH,IAAIsnB,GAExB,GAAIzf,EACF6c,GAAkB9mB,EAAQH,EAAKoK,OADjC,CAIA,IAAI+e,EAAWrf,EACXA,EAAWkG,EAAU6Z,EAAW7pB,EAAM,GAAKG,EAAQY,EAAQiJ,QAC3DxG,EAEAsmB,OAAwBtmB,IAAb2lB,EAEf,GAAIW,EAAU,CACZ,IAAIrb,EAAQ/C,GAAUme,GAClBlb,GAAUF,GAASzB,GAAW6c,GAC9BE,GAAWtb,IAAUE,GAAUN,GAAewb,GAElDV,EAAWU,EACPpb,GAASE,GAAUob,EACjBre,GAAUsE,GACZmZ,EAAWnZ,EAEJuY,GAAoBvY,GAC3BmZ,EAAWpB,GAAW/X,GAEfrB,GACPmb,GAAW,EACXX,EAAW7B,GAAauC,GAAU,IAE3BE,GACPD,GAAW,EACXX,EAAWtB,GAAiBgC,GAAU,IAGtCV,EAAW,GAGNN,GAAgBgB,IAAa/c,GAAc+c,IAClDV,EAAWnZ,EACPlD,GAAckD,GAChBmZ,EAAWM,GAAgBzZ,GAEnB/J,EAAW+J,KAAa5J,EAAa4J,KAC7CmZ,EAAWb,GAAiBuB,KAI9BC,GAAW,EAGXA,IAEF9f,EAAMjG,IAAI8lB,EAAUV,GACpBS,EAAUT,EAAUU,EAAUF,EAAU7f,EAAYE,GACpDA,EAAc,OAAE6f,IAElB5C,GAAkB9mB,EAAQH,EAAKmpB,KAsCjC,IAAIa,GAtBJ,SAASC,EAAU9pB,EAAQY,EAAQ4oB,EAAU7f,EAAYE,GACnD7J,IAAWY,GAGfmmB,GAASnmB,GAAQ,SAAS8oB,EAAU7pB,GAElC,GADAgK,IAAUA,EAAQ,IAAIf,IAClBhD,EAAW4jB,GACbH,GAAevpB,EAAQY,EAAQf,EAAK2pB,EAAUM,EAAWngB,EAAYE,OAElE,CACH,IAAImf,EAAWrf,EACXA,EAAWgf,GAAS3oB,EAAQH,GAAM6pB,EAAW7pB,EAAM,GAAKG,EAAQY,EAAQiJ,QACxExG,OAEaA,IAAb2lB,IACFA,EAAWU,GAEb5C,GAAkB9mB,EAAQH,EAAKmpB,MAEhCK,KAyBL,IAAIU,GAJJ,SAAkB5sB,GAChB,OAAOA,GAyBT,IAAI6sB,GAVJ,SAAezjB,EAAM0jB,EAASC,GAC5B,OAAQA,EAAK1qB,QACX,KAAK,EAAG,OAAO+G,EAAKpD,KAAK8mB,GACzB,KAAK,EAAG,OAAO1jB,EAAKpD,KAAK8mB,EAASC,EAAK,IACvC,KAAK,EAAG,OAAO3jB,EAAKpD,KAAK8mB,EAASC,EAAK,GAAIA,EAAK,IAChD,KAAK,EAAG,OAAO3jB,EAAKpD,KAAK8mB,EAASC,EAAK,GAAIA,EAAK,GAAIA,EAAK,IAE3D,OAAO3jB,EAAK9F,MAAMwpB,EAASC,IAMzBC,GAAY/T,KAAKgU,IAgCrB,IAAIC,GArBJ,SAAkB9jB,EAAM+jB,EAAOtb,GAE7B,OADAsb,EAAQH,QAAoB9mB,IAAVinB,EAAuB/jB,EAAK/G,OAAS,EAAK8qB,EAAO,GAC5D,WAML,IALA,IAAIJ,EAAOvpB,UACPqB,GAAS,EACTxC,EAAS2qB,GAAUD,EAAK1qB,OAAS8qB,EAAO,GACxCxnB,EAAQC,MAAMvD,KAETwC,EAAQxC,GACfsD,EAAMd,GAASkoB,EAAKI,EAAQtoB,GAE9BA,GAAS,EAET,IADA,IAAIuoB,EAAYxnB,MAAMunB,EAAQ,KACrBtoB,EAAQsoB,GACfC,EAAUvoB,GAASkoB,EAAKloB,GAG1B,OADAuoB,EAAUD,GAAStb,EAAUlM,GACtBknB,GAAOzjB,EAAM/D,KAAM+nB,KA+B9B,IAAIC,GANJ,SAAkBrtB,GAChB,OAAO,WACL,OAAOA,IAuBPstB,GATmB7D,GAAiC,SAASrgB,EAAMmkB,GACrE,OAAO9D,GAAkBrgB,EAAM,WAAY,CACzC,cAAgB,EAChB,YAAc,EACd,MAASikB,GAAWE,GACpB,UAAY,KAL2BX,GAgBvCY,GAAYC,KAAKC,IA+BrB,IAYIC,GAhCJ,SAAkBvkB,GAChB,IAAI5I,EAAQ,EACRotB,EAAa,EAEjB,OAAO,WACL,IAAIC,EAAQL,KACRM,EApBO,IAoBiBD,EAAQD,GAGpC,GADAA,EAAaC,EACTC,EAAY,GACd,KAAMttB,GAzBI,IA0BR,OAAOgD,UAAU,QAGnBhD,EAAQ,EAEV,OAAO4I,EAAK9F,WAAM4C,EAAW1C,YAcfuqB,CAAUT,IAgB5B,IAAIU,GAJJ,SAAkB5kB,EAAM+jB,GACtB,OAAOQ,GAAaT,GAAU9jB,EAAM+jB,EAAOP,IAAaxjB,EAAO,KA6BjE,IAAI6kB,GAdJ,SAAwBjuB,EAAO6E,EAAOhC,GACpC,IAAK8F,EAAW9F,GACd,OAAO,EAET,IAAI+F,SAAc/D,EAClB,SAAY,UAAR+D,EACKsJ,GAAcrP,IAAWoN,GAASpL,EAAOhC,EAAOR,QACxC,UAARuG,GAAoB/D,KAAShC,IAE7B2C,EAAK3C,EAAOgC,GAAQ7E,IAwC/B,IAqCIkuB,GA/DJ,SAAwBC,GACtB,OAAOH,IAAU,SAASnrB,EAAQurB,GAChC,IAAIvpB,GAAS,EACTxC,EAAS+rB,EAAQ/rB,OACjBmK,EAAanK,EAAS,EAAI+rB,EAAQ/rB,EAAS,QAAK6D,EAChDmoB,EAAQhsB,EAAS,EAAI+rB,EAAQ,QAAKloB,EAWtC,IATAsG,EAAc2hB,EAAS9rB,OAAS,GAA0B,mBAAdmK,GACvCnK,IAAUmK,QACXtG,EAEAmoB,GAASJ,GAAgBG,EAAQ,GAAIA,EAAQ,GAAIC,KACnD7hB,EAAanK,EAAS,OAAI6D,EAAYsG,EACtCnK,EAAS,GAEXQ,EAAShD,OAAOgD,KACPgC,EAAQxC,GAAQ,CACvB,IAAIoB,EAAS2qB,EAAQvpB,GACjBpB,GACF0qB,EAAStrB,EAAQY,EAAQoB,EAAO2H,GAGpC,OAAO3J,KAqCCyrB,EAAgB,SAASzrB,EAAQY,EAAQ4oB,GACnDK,GAAW7pB,EAAQY,EAAQ4oB,MAmC7B,SAASkC,GAAQC,GACf,IAAI/tB,EAAU+C,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,GAClF,IAAI+qB,GAAQE,UAAZ,CACAF,GAAQE,WAAY,EACpB,IAAIC,EAAe,GACnBR,GAAQQ,EAAc5Q,GAAgBrd,GACtCkuB,GAAOluB,QAAUiuB,EACjBnX,GAAU9W,QAAUiuB,EACpBF,EAAIjX,UAAU,UAAWA,IACzBiX,EAAIjX,UAAU,gBAAiBkK,IAC/B+M,EAAII,UAAU,YAAahH,MAxC7B,SAAqBiH,EAAKjI,QACX,IAARA,IAAiBA,EAAM,IAC5B,IAAIkI,EAAWlI,EAAIkI,SAEnB,GAAKD,GAA2B,oBAAbpW,SAAnB,CAEA,IAAIsW,EAAOtW,SAASsW,MAAQtW,SAASuW,qBAAqB,QAAQ,GAC9DjU,EAAQtC,SAASC,cAAc,SACnCqC,EAAMnS,KAAO,WAEI,QAAbkmB,GACEC,EAAK9U,WACP8U,EAAKE,aAAalU,EAAOgU,EAAK9U,YAKhC8U,EAAK5U,YAAYY,GAGfA,EAAMmU,WACRnU,EAAMmU,WAAWC,QAAUN,EAE3B9T,EAAMZ,YAAY1B,SAAS2W,eAAeP,KAK9CQ,CADU,wWAeV,IAAIC,GAAW/X,GACXgY,GAAgB9N,GAChB+N,GAAW5H,GACX+G,GAAS,CACXJ,QAASA,GAET,cACE,OAAO5Q,GAAMC,SAGf,YAAY5d,GACV2d,GAAMC,QAAU5d,IAKhByvB,GAAY,KAEM,oBAAX1uB,OACT0uB,GAAY1uB,OAAOytB,SACQ,IAAXrnB,IAChBsoB,GAAYtoB,EAAOqnB,KAGjBiB,IACFA,GAAUC,IAAIf,IAGD,c,mDCjlKf,IAAI1pB,EAAM,EAAQ,KACd0qB,EAAU,EAAQ,KAgBtB,SAASC,EAAQnvB,GACbA,EAAUA,GAAW,GAErB4E,KAAKwqB,SAAW,GAChBxqB,KAAKyqB,OAAS,GACdzqB,KAAK0qB,OAAS,WAEd1qB,KAAK2qB,UAAY,GAGjB3qB,KAAK4qB,aAAe,GAChBxvB,EAAQwvB,eAC4B,iBAAzBxvB,EAAQwvB,aACf5qB,KAAK4qB,aAAexvB,EAAQwvB,aAG5B5qB,KAAKzF,KAAK,iDAKlByF,KAAK6qB,MAAQ,UAAWzvB,IAA6B,IAAlBA,EAAQyvB,MAnC/C3oB,EAAOxH,QAAU6vB,EA4CjBA,EAAQ5tB,UAAUmlB,GAAK,SAASgJ,EAAWha,GACvC9Q,KAAK2qB,UAAU3sB,KAAK,CAChB8sB,UAAWA,EACXha,SAAUA,KAUlByZ,EAAQ5tB,UAAUouB,IAAM,SAASD,EAAWha,GACxC9Q,KAAK2qB,UAAY3qB,KAAK2qB,UAAU9sB,QAAO,SAASmtB,GAC5C,OAGM,IAFFA,EAASF,YAAcA,GACvBE,EAASla,WAAaA,OAYlCyZ,EAAQ5tB,UAAUsuB,KAAO,SAASH,EAAWI,GACzC,IAAK,IAAInuB,EAAI,EAAGA,EAAIiD,KAAK2qB,UAAU3tB,OAAQD,IAAK,CAC5C,IAAIiuB,EAAWhrB,KAAK2qB,UAAU5tB,GAC1BiuB,EAASF,YAAcA,GACvBE,EAASla,SAASoa,KAW9BX,EAAQ5tB,UAAUpC,KAAO,SAASoO,GAC1B3I,KAAK6qB,OACLvwB,QAAQC,KAAKoO,GAGjB3I,KAAKirB,KAAK,QAAS,IAAIE,MAAMxiB,KAcjC4hB,EAAQ5tB,UAAUyuB,gBAAkB,SAASX,EAAQC,EAAQW,GACpDrrB,KAAKwqB,SAASC,KACfzqB,KAAKwqB,SAASC,GAAU,IAG5BzqB,KAAKwqB,SAASC,GAAQC,GAAUW,GAWpCd,EAAQ5tB,UAAU2uB,UAAY,SAASb,GACb,iBAAXA,GAQW,KAAlBA,EAAOlX,QACPvT,KAAKzF,KAAK,yEAGVkwB,IAAWzqB,KAAK4qB,cAAiB5qB,KAAKwqB,SAASC,IAC/CzqB,KAAKzF,KAAK,gCAAkCkwB,EAAS,0DAGzDzqB,KAAKyqB,OAASA,GAfVzqB,KAAKzF,KACD,0DAA6DkwB,EAA7D,mCAyBZF,EAAQ5tB,UAAU4uB,cAAgB,SAASb,GACjB,iBAAXA,GAQW,KAAlBA,EAAOnX,QACPvT,KAAKzF,KAAK,4DAGdyF,KAAK0qB,OAASA,GAXV1qB,KAAKzF,KACD,8DAAiEmwB,EAAjE,mCAsBZH,EAAQ5tB,UAAU6uB,QAAU,SAASC,GACjC,OAAOzrB,KAAK0rB,WAAW1rB,KAAK0qB,OAAQ,GAAIe,IAa5ClB,EAAQ5tB,UAAUgvB,SAAW,SAASjB,EAAQe,GAC1C,OAAOzrB,KAAK0rB,WAAWhB,EAAQ,GAAIe,IAcvClB,EAAQ5tB,UAAUivB,SAAW,SAASH,EAAOI,EAAa1wB,GACtD,OAAO6E,KAAK0rB,WAAW1rB,KAAK0qB,OAAQ,GAAIe,EAAOI,EAAa1wB,IAehEovB,EAAQ5tB,UAAUmvB,UAAY,SAASpB,EAAQe,EAAOI,EAAa1wB,GAC/D,OAAO6E,KAAK0rB,WAAWhB,EAAQ,GAAIe,EAAOI,EAAa1wB,IAa3DovB,EAAQ5tB,UAAUovB,SAAW,SAASC,EAASP,GAC3C,OAAOzrB,KAAK0rB,WAAW1rB,KAAK0qB,OAAQsB,EAASP,IAcjDlB,EAAQ5tB,UAAUsvB,UAAY,SAASvB,EAAQsB,EAASP,GACpD,OAAOzrB,KAAK0rB,WAAWhB,EAAQsB,EAASP,IAe5ClB,EAAQ5tB,UAAUuvB,UAAY,SAASF,EAASP,EAAOI,EAAa1wB,GAChE,OAAO6E,KAAK0rB,WAAW1rB,KAAK0qB,OAAQsB,EAASP,EAAOI,EAAa1wB,IAgBrEovB,EAAQ5tB,UAAU+uB,WAAa,SAAShB,EAAQsB,EAASP,EAAOI,EAAa1wB,GACzE,IACIgxB,EACA3sB,EAFA4sB,EAAqBX,EAYzB,GARAO,EAAUA,GAAW,GAEhBK,MAAMlxB,IAAoB,IAAVA,IACjBixB,EAAqBP,GAAeJ,GAGxCU,EAAcnsB,KAAKssB,gBAAgB5B,EAAQsB,EAASP,GAEnC,CACb,GAAqB,iBAAVtwB,EAGc,kBADrBqE,GAAQ+sB,EADUjC,EAAQC,EAAQiC,gBAAgBxsB,KAAKyqB,SAAS8B,aAC5CpxB,MAEhBqE,EAAQA,EAAQ,EAAI,QAGxBA,EAAQ,EAGZ,OAAO2sB,EAAYM,OAAOjtB,IAAU4sB,EAMxC,OAJUpsB,KAAK4qB,cAAgB5qB,KAAKyqB,SAAWzqB,KAAK4qB,cAChD5qB,KAAKzF,KAAK,uCAAyCkxB,EAAQ,iBAAmBO,EAAU,iBAAmBtB,EAAS,KAGjH0B,GAgBX7B,EAAQ5tB,UAAU+vB,WAAa,SAAShC,EAAQsB,EAASP,GACrD,IAAIU,EAGJ,OADAA,EAAcnsB,KAAKssB,gBAAgB5B,EAAQsB,EAASP,KAEzCU,EAAYQ,UAGhB,IAYXpC,EAAQ5tB,UAAU2vB,gBAAkB,SAAS5B,EAAQsB,EAASP,GAG1D,OAFAO,EAAUA,GAAW,GAEdpsB,EAAII,KAAKwqB,SAAU,CAACxqB,KAAKyqB,OAAQC,EAAQ,eAAgBsB,EAASP,KAc7ElB,EAAQiC,gBAAkB,SAAS/B,GAC/B,OAAOA,EAAO/rB,MAAM,SAAS,GAAGkuB,eAUpCrC,EAAQ5tB,UAAUkwB,WAAa,SAASnC,GAChC1qB,KAAK6qB,OACLvwB,QAAQC,KAAK,2VAOjByF,KAAKurB,cAAcb,IAQvBH,EAAQ5tB,UAAUmwB,UAAY,SAASrC,GACnCzqB,KAAKsrB,UAAUb,IAUnBF,EAAQ5tB,UAAUowB,cAAgB,WAC9BzyB,QAAQ0yB,MAAM,+S,qBC3ZlB,YAUA,IAcIC,EAAe,mDACfC,EAAgB,QAChBC,EAAe,MACfC,EAAa,mGASbC,EAAe,WAGfnpB,EAAe,8BAGfopB,EAA8B,iBAAVxrB,GAAsBA,GAAUA,EAAOtH,SAAWA,QAAUsH,EAGhFM,EAA0B,iBAARL,MAAoBA,MAAQA,KAAKvH,SAAWA,QAAUuH,KAGxEwrB,EAAOD,GAAclrB,GAAYE,SAAS,cAATA,GAkCrC,IASMkB,EATFgqB,EAAajtB,MAAM5D,UACnB8wB,EAAYnrB,SAAS3F,UACrB6F,EAAchI,OAAOmC,UAGrB+wB,EAAaH,EAAK,sBAGlB5pB,GACEH,EAAM,SAASI,KAAK8pB,GAAcA,EAAWhwB,MAAQgwB,EAAWhwB,KAAKmG,UAAY,KACvE,iBAAmBL,EAAO,GAItCQ,EAAeypB,EAAU9qB,SAGzBF,EAAiBD,EAAYC,eAO7BkrB,EAAiBnrB,EAAYG,SAG7B4B,EAAaC,OAAO,IACtBR,EAAarD,KAAK8B,GAAgB5H,QA7EjB,sBA6EuC,QACvDA,QAAQ,yDAA0D,SAAW,KAI5E2B,EAAS+wB,EAAK/wB,OACdiD,EAAS+tB,EAAW/tB,OAGpBmuB,EAAMC,EAAUN,EAAM,OACtBO,EAAeD,EAAUrzB,OAAQ,UAGjC2N,EAAc3L,EAASA,EAAOG,eAAYkE,EAC1CktB,EAAiB5lB,EAAcA,EAAYxF,cAAW9B,EAS1D,SAASyE,EAAKrE,GACZ,IAAIzB,GAAS,EACTxC,EAASiE,EAAUA,EAAQjE,OAAS,EAGxC,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KA2F7B,SAASH,EAAUC,GACjB,IAAIzB,GAAS,EACTxC,EAASiE,EAAUA,EAAQjE,OAAS,EAGxC,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KAyG7B,SAAS6E,EAAS/E,GAChB,IAAIzB,GAAS,EACTxC,EAASiE,EAAUA,EAAQjE,OAAS,EAGxC,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KAwF7B,SAAS6sB,EAAa1tB,EAAOjD,GAE3B,IADA,IA+SU1C,EAAOyF,EA/SbpD,EAASsD,EAAMtD,OACZA,KACL,IA6SQrC,EA7SD2F,EAAMtD,GAAQ,OA6SNoD,EA7SU/C,IA8SA1C,GAAUA,GAASyF,GAAUA,EA7SpD,OAAOpD,EAGX,OAAQ,EAWV,SAASixB,EAAQzwB,EAAQ0wB,GAMvB,IAiDF,IAAkBvzB,EApDZ6E,EAAQ,EACRxC,GAHJkxB,EA8FF,SAAevzB,EAAO6C,GACpB,GAAIwL,EAAQrO,GACV,OAAO,EAET,IAAI4I,SAAc5I,EAClB,GAAY,UAAR4I,GAA4B,UAARA,GAA4B,WAARA,GAC/B,MAAT5I,GAAiBwzB,EAASxzB,GAC5B,OAAO,EAET,OAAOuyB,EAAcxoB,KAAK/J,KAAWsyB,EAAavoB,KAAK/J,IAC1C,MAAV6C,GAAkB7C,KAASH,OAAOgD,GAxG9B4wB,CAAMF,EAAM1wB,GAAU,CAAC0wB,GAuDvBllB,EADSrO,EAtD+BuzB,GAuDvBvzB,EAAQ0zB,EAAa1zB,IApD3BqC,OAED,MAAVQ,GAAkBgC,EAAQxC,GAC/BQ,EAASA,EAAO8wB,EAAMJ,EAAK1uB,OAE7B,OAAQA,GAASA,GAASxC,EAAUQ,OAASqD,EAW/C,SAAS0tB,EAAa5zB,GACpB,SAAK6zB,EAAS7zB,KA4GEoJ,EA5GiBpJ,EA6GxBgJ,GAAeA,KAAcI,MA0MxC,SAAoBpJ,GAGlB,IAAIqI,EAAMwrB,EAAS7zB,GAASgzB,EAAehtB,KAAKhG,GAAS,GACzD,MArwBY,qBAqwBLqI,GApwBI,8BAowBcA,EAxTVyrB,CAAW9zB,IA3Z5B,SAAsBA,GAGpB,IAAI8G,GAAS,EACb,GAAa,MAAT9G,GAA0C,mBAAlBA,EAAMgI,SAChC,IACElB,KAAY9G,EAAQ,IACpB,MAAOmF,IAEX,OAAO2B,EAkZ6BitB,CAAa/zB,GAAU4J,EAAaL,GACzDQ,KAsJjB,SAAkBX,GAChB,GAAY,MAARA,EAAc,CAChB,IACE,OAAOC,EAAarD,KAAKoD,GACzB,MAAOjE,IACT,IACE,OAAQiE,EAAO,GACf,MAAOjE,KAEX,MAAO,GA/Ja6uB,CAASh0B,IAwG/B,IAAkBoJ,EA9DlB,SAAS6qB,EAAWjpB,EAAKtI,GACvB,IA+CiB1C,EACb4I,EAhDA9C,EAAOkF,EAAI1F,SACf,OAgDgB,WADZsD,SADa5I,EA9CA0C,KAgDmB,UAARkG,GAA4B,UAARA,GAA4B,WAARA,EACrD,cAAV5I,EACU,OAAVA,GAjDD8F,EAAmB,iBAAPpD,EAAkB,SAAW,QACzCoD,EAAKkF,IAWX,SAASkoB,EAAUrwB,EAAQH,GACzB,IAAI1C,EAjeN,SAAkB6C,EAAQH,GACxB,OAAiB,MAAVG,OAAiBqD,EAAYrD,EAAOH,GAge/BwxB,CAASrxB,EAAQH,GAC7B,OAAOkxB,EAAa5zB,GAASA,OAAQkG,EAlUvCyE,EAAK3I,UAAUuE,MAnEf,WACElB,KAAKC,SAAW6tB,EAAeA,EAAa,MAAQ,IAmEtDxoB,EAAK3I,UAAkB,OAtDvB,SAAoBU,GAClB,OAAO2C,KAAKqB,IAAIhE,WAAe2C,KAAKC,SAAS5C,IAsD/CiI,EAAK3I,UAAUiD,IA1Cf,SAAiBvC,GACf,IAAIoD,EAAOT,KAAKC,SAChB,GAAI6tB,EAAc,CAChB,IAAIrsB,EAAShB,EAAKpD,GAClB,MAzKiB,8BAyKVoE,OAA4BZ,EAAYY,EAEjD,OAAOgB,EAAe9B,KAAKF,EAAMpD,GAAOoD,EAAKpD,QAAOwD,GAqCtDyE,EAAK3I,UAAU0E,IAzBf,SAAiBhE,GACf,IAAIoD,EAAOT,KAAKC,SAChB,OAAO6tB,OAA6BjtB,IAAdJ,EAAKpD,GAAqBoF,EAAe9B,KAAKF,EAAMpD,IAwB5EiI,EAAK3I,UAAUyE,IAXf,SAAiB/D,EAAK1C,GAGpB,OAFWqF,KAAKC,SACX5C,GAAQywB,QAA0BjtB,IAAVlG,EAxMV,4BAwMkDA,EAC9DqF,MAoHTgB,EAAUrE,UAAUuE,MAjFpB,WACElB,KAAKC,SAAW,IAiFlBe,EAAUrE,UAAkB,OArE5B,SAAyBU,GACvB,IAAIoD,EAAOT,KAAKC,SACZT,EAAQwuB,EAAavtB,EAAMpD,GAE/B,QAAImC,EAAQ,KAIRA,GADYiB,EAAKzD,OAAS,EAE5ByD,EAAKC,MAELjB,EAAOkB,KAAKF,EAAMjB,EAAO,IAEpB,IAyDTwB,EAAUrE,UAAUiD,IA7CpB,SAAsBvC,GACpB,IAAIoD,EAAOT,KAAKC,SACZT,EAAQwuB,EAAavtB,EAAMpD,GAE/B,OAAOmC,EAAQ,OAAIqB,EAAYJ,EAAKjB,GAAO,IA0C7CwB,EAAUrE,UAAU0E,IA9BpB,SAAsBhE,GACpB,OAAO2wB,EAAahuB,KAAKC,SAAU5C,IAAQ,GA8B7C2D,EAAUrE,UAAUyE,IAjBpB,SAAsB/D,EAAK1C,GACzB,IAAI8F,EAAOT,KAAKC,SACZT,EAAQwuB,EAAavtB,EAAMpD,GAO/B,OALImC,EAAQ,EACViB,EAAKzC,KAAK,CAACX,EAAK1C,IAEhB8F,EAAKjB,GAAO,GAAK7E,EAEZqF,MAkGTgG,EAASrJ,UAAUuE,MA/DnB,WACElB,KAAKC,SAAW,CACd,KAAQ,IAAIqF,EACZ,IAAO,IAAKsoB,GAAO5sB,GACnB,OAAU,IAAIsE,IA4DlBU,EAASrJ,UAAkB,OA/C3B,SAAwBU,GACtB,OAAOuxB,EAAW5uB,KAAM3C,GAAa,OAAEA,IA+CzC2I,EAASrJ,UAAUiD,IAnCnB,SAAqBvC,GACnB,OAAOuxB,EAAW5uB,KAAM3C,GAAKuC,IAAIvC,IAmCnC2I,EAASrJ,UAAU0E,IAvBnB,SAAqBhE,GACnB,OAAOuxB,EAAW5uB,KAAM3C,GAAKgE,IAAIhE,IAuBnC2I,EAASrJ,UAAUyE,IAVnB,SAAqB/D,EAAK1C,GAExB,OADAi0B,EAAW5uB,KAAM3C,GAAK+D,IAAI/D,EAAK1C,GACxBqF,MAgLT,IAAIquB,EAAeS,GAAQ,SAAS5G,GA4SpC,IAAkBvtB,EA3ShButB,EA4SgB,OADAvtB,EA3SEutB,GA4SK,GArZzB,SAAsBvtB,GAEpB,GAAoB,iBAATA,EACT,OAAOA,EAET,GAAIwzB,EAASxzB,GACX,OAAOozB,EAAiBA,EAAeptB,KAAKhG,GAAS,GAEvD,IAAI8G,EAAU9G,EAAQ,GACtB,MAAkB,KAAV8G,GAAkB,EAAI9G,IAAU,IAAa,KAAO8G,EA4YhCstB,CAAap0B,GA1SzC,IAAI8G,EAAS,GAOb,OANI0rB,EAAazoB,KAAKwjB,IACpBzmB,EAAOzD,KAAK,IAEdkqB,EAAOrtB,QAAQuyB,GAAY,SAAS4B,EAAOC,EAAQC,EAAOhH,GACxDzmB,EAAOzD,KAAKkxB,EAAQhH,EAAOrtB,QAAQwyB,EAAc,MAAS4B,GAAUD,MAE/DvtB,KAUT,SAAS6sB,EAAM3zB,GACb,GAAoB,iBAATA,GAAqBwzB,EAASxzB,GACvC,OAAOA,EAET,IAAI8G,EAAU9G,EAAQ,GACtB,MAAkB,KAAV8G,GAAkB,EAAI9G,IA7lBjB,IA6lBwC,KAAO8G,EAkE9D,SAASqtB,EAAQ/qB,EAAMorB,GACrB,GAAmB,mBAARprB,GAAuBorB,GAA+B,mBAAZA,EACnD,MAAM,IAAI9e,UAvqBQ,uBAyqBpB,IAAI+e,EAAW,WACb,IAAI1H,EAAOvpB,UACPd,EAAM8xB,EAAWA,EAASlxB,MAAM+B,KAAM0nB,GAAQA,EAAK,GACnD1gB,EAAQooB,EAASpoB,MAErB,GAAIA,EAAM3F,IAAIhE,GACZ,OAAO2J,EAAMpH,IAAIvC,GAEnB,IAAIoE,EAASsC,EAAK9F,MAAM+B,KAAM0nB,GAE9B,OADA0H,EAASpoB,MAAQA,EAAM5F,IAAI/D,EAAKoE,GACzBA,GAGT,OADA2tB,EAASpoB,MAAQ,IAAK8nB,EAAQO,OAASrpB,GAChCopB,EAITN,EAAQO,MAAQrpB,EA6DhB,IAAIgD,EAAUzI,MAAMyI,QAmDpB,SAASwlB,EAAS7zB,GAChB,IAAI4I,SAAc5I,EAClB,QAASA,IAAkB,UAAR4I,GAA4B,YAARA,GAgDzC,SAAS4qB,EAASxzB,GAChB,MAAuB,iBAATA,GAtBhB,SAAsBA,GACpB,QAASA,GAAyB,iBAATA,EAsBtB20B,CAAa30B,IAn1BF,mBAm1BYgzB,EAAehtB,KAAKhG,GA0DhDuH,EAAOxH,QALP,SAAa8C,EAAQ0wB,EAAMqB,GACzB,IAAI9tB,EAAmB,MAAVjE,OAAiBqD,EAAYotB,EAAQzwB,EAAQ0wB,GAC1D,YAAkBrtB,IAAXY,EAAuB8tB,EAAe9tB,K,mDC75B/CS,EAAOxH,QAAU,CACb80B,IAAK,CACD9mB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBkmB,GAAI,CACApnB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBmmB,GAAI,CACArnB,KAAM,OACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBomB,GAAI,CACAtnB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBqmB,GAAI,CACAvnB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBsmB,GAAI,CACAxnB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IACT,CACCD,OAAQ,EACRC,OAAQ,MAEZC,SAAU,EACVC,YAAa,+HACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,EAAU,EAAU,IAANA,EAAU,EAAIA,EAAI,KAAO,GAAKA,EAAI,KAAO,GAAK,EAAIA,EAAI,KAAO,GAAK,EAAI,IAGlHumB,IAAK,CACDznB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBwmB,IAAK,CACD1nB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBymB,GAAI,CACA3nB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf+D,GAAI,CACA5nB,KAAM,cACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB2mB,GAAI,CACA7nB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzH4mB,GAAI,CACA9nB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6mB,GAAI,CACA/nB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8mB,GAAI,CACAhoB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfoE,GAAI,CACAjoB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBgnB,IAAK,CACDloB,KAAM,OACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBinB,GAAI,CACAnoB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzHknB,GAAI,CACApoB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBmnB,IAAK,CACDroB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfyE,GAAI,CACAtoB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,oEACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAKA,GAAK,GAAKA,GAAK,EAAK,EAAI,IAGvDqnB,IAAK,CACDvoB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,+GACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGlGsnB,GAAI,CACAxoB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,qFACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,EAAU,EAAW,IAANA,GAAiB,KAANA,EAAY,EAAI,IAGxEunB,GAAI,CACAzoB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBwnB,GAAI,CACA1oB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBynB,IAAK,CACD3oB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB0nB,GAAI,CACA5oB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf3tB,GAAI,CACA8J,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB2nB,GAAI,CACA7oB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB4nB,GAAI,CACA9oB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6nB,GAAI,CACA/oB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8nB,GAAI,CACAhpB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB+nB,GAAI,CACAjpB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBgoB,GAAI,CACAlpB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfsF,GAAI,CACAnpB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBkoB,GAAI,CACAppB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBmoB,IAAK,CACDrpB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBooB,GAAI,CACAtpB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBqoB,GAAI,CACAvpB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBsoB,IAAK,CACDxpB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBuoB,GAAI,CACAzpB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBwoB,GAAI,CACA1pB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,KAEZC,SAAU,EACVC,YAAa,kFACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,EAAU,EAAIA,EAAI,EAAI,EAAIA,EAAI,GAAK,EAAI,IAGrEyoB,GAAI,CACA3pB,KAAM,kBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,KAEZC,SAAU,EACVC,YAAa,6GACbtD,YAAa,SAAS3iB,GAClB,OAAe,IAANA,GAAiB,KAANA,EAAY,EAAW,IAANA,GAAiB,KAANA,EAAY,EAAKA,EAAI,GAAKA,EAAI,GAAM,EAAI,IAGhG0oB,GAAI,CACA5pB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB2oB,GAAI,CACA7pB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB4oB,IAAK,CACD9pB,KAAM,MACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpB6oB,GAAI,CACA/pB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8oB,GAAI,CACAhqB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB+oB,GAAI,CACAjqB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBgpB,IAAK,CACDlqB,KAAM,gBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBipB,GAAI,CACAnqB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzHkpB,GAAI,CACApqB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBmpB,GAAI,CACArqB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8J,GAAI,CACAhL,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfyG,GAAI,CACAtqB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,0DACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,KAG5CqpB,GAAI,CACAvqB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBspB,GAAI,CACAxqB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf4G,IAAK,CACDzqB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf6G,GAAI,CACA1qB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBypB,GAAI,CACA3qB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf+G,GAAI,CACA5qB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfgH,GAAI,CACA7qB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfiH,GAAI,CACA9qB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6pB,GAAI,CACA/qB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfmH,GAAI,CACAhrB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB+pB,GAAI,CACAjrB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,uEACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,EAAU,EAAU,IAANA,EAAU,EAAI,IAG1DgqB,GAAI,CACAlrB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfsH,GAAI,CACAnrB,KAAM,gBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBkqB,GAAI,CACAprB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBmqB,GAAI,CACArrB,KAAM,MACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfyH,GAAI,CACAtrB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,KAEZC,SAAU,EACVC,YAAa,uHACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAG1GqqB,GAAI,CACAvrB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,gFACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAU,IAANA,EAAU,EAAI,IAGnEsqB,IAAK,CACDxrB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBuqB,IAAK,CACDzrB,KAAM,mBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBwqB,GAAI,CACA1rB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpByqB,GAAI,CACA3rB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpB0qB,GAAI,CACA5rB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2DACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,GAAWA,EAAI,IAAO,EAAI,EAAI,IAG9C2qB,GAAI,CACA7rB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB4qB,GAAI,CACA9rB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6qB,IAAK,CACD/rB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8qB,IAAK,CACDhsB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,yDACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,EAAU,EAAI,IAG5C+qB,GAAI,CACAjsB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBgrB,GAAI,CACAlsB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfsI,GAAI,CACAnsB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IACT,CACCD,OAAQ,EACRC,OAAQ,KAEZC,SAAU,EACVC,YAAa,iIACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,GAAYA,EAAI,IAAM,GAAKA,EAAI,IAAM,GAAM,EAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,GAAM,EAAI,IAGlHkrB,GAAI,CACApsB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfwI,IAAK,CACDrsB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBorB,IAAK,CACDtsB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBqrB,GAAI,CACAvsB,KAAM,mBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBsrB,GAAI,CACAxsB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBurB,GAAI,CACAzsB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBwrB,GAAI,CACA1sB,KAAM,oBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhByrB,GAAI,CACA3sB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB0rB,IAAK,CACD5sB,KAAM,iBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB2rB,GAAI,CACA7sB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpB4rB,GAAI,CACA9sB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6rB,GAAI,CACA/sB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8rB,IAAK,CACDhtB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB+rB,GAAI,CACAjtB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,+GACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGlGgsB,IAAK,CACDltB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBisB,GAAI,CACAntB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBksB,GAAI,CACAptB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBmsB,GAAI,CACArtB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBosB,GAAI,CACAttB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,KAEZC,SAAU,EACVC,YAAa,4FACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAW,IAANA,GAAYA,EAAI,IAAM,GAAKA,EAAI,IAAM,GAAO,EAAI,IAG/EqsB,GAAI,CACAvtB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzHssB,GAAI,CACAxtB,KAAM,cACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBusB,IAAK,CACDztB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf6J,IAAK,CACD1tB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBysB,IAAK,CACD3tB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB0sB,GAAI,CACA5tB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB2sB,GAAI,CACA7tB,KAAM,gBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB4sB,GAAI,CACA9tB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6sB,GAAI,CACA/tB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,oEACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAKA,GAAK,GAAKA,GAAK,EAAK,EAAI,IAGvD8sB,GAAI,CACAhuB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,0GACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,KAAQ,EAAI,EAAIA,EAAI,KAAQ,EAAI,EAAIA,EAAI,KAAQ,GAAKA,EAAI,KAAQ,EAAI,EAAI,IAG7F+sB,GAAI,CACAjuB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBgtB,IAAK,CACDluB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBitB,GAAI,CACAnuB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBktB,GAAI,CACApuB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzHmtB,GAAI,CACAruB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfyK,GAAI,CACAtuB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBqtB,GAAI,CACAvuB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBstB,GAAI,CACAxuB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhButB,GAAI,CACAzuB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBwtB,GAAI,CACA1uB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBytB,GAAI,CACA3uB,KAAM,OACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf+K,GAAI,CACA5uB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpB2tB,GAAI,CACA7uB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB4tB,GAAI,CACA9uB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpB6tB,GAAI,CACA/uB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfmL,GAAI,CACAhvB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfoL,GAAI,CACAjvB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzHguB,GAAI,CACAlvB,KAAM,OACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBiuB,GAAI,CACAnvB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBkuB,GAAI,CACApvB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfwL,GAAI,CACArvB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBouB,GAAI,CACAtvB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf0L,GAAI,CACAvvB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBsuB,GAAI,CACAxvB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,M,kCC7gEnB,8CA0BA,IAAI4L,OAAO,EAEX,SAASC,IACHA,EAAWC,OACfD,EAAWC,MAAO,EAClBF,GAAyC,IA/B3C,WACC,IAAIG,EAAK58B,OAAO8gB,UAAUC,UAEtB8b,EAAOD,EAAGn5B,QAAQ,SACtB,GAAIo5B,EAAO,EAEV,OAAOnY,SAASkY,EAAGE,UAAUD,EAAO,EAAGD,EAAGn5B,QAAQ,IAAKo5B,IAAQ,IAIhE,GADcD,EAAGn5B,QAAQ,YACX,EAAG,CAEhB,IAAIs5B,EAAKH,EAAGn5B,QAAQ,OACpB,OAAOihB,SAASkY,EAAGE,UAAUC,EAAK,EAAGH,EAAGn5B,QAAQ,IAAKs5B,IAAM,IAG5D,IAAIC,EAAOJ,EAAGn5B,QAAQ,SACtB,OAAIu5B,EAAO,EAEHtY,SAASkY,EAAGE,UAAUE,EAAO,EAAGJ,EAAGn5B,QAAQ,IAAKu5B,IAAQ,KAIxD,EAQAC,IAIT,IAAI5b,EAAiB,CAAEiG,OAAQ,WAC7B,IAAmB/B,EAATjhB,KAAkBkhB,eAA2C,OAA7DlhB,KAA8CohB,MAAMD,IAAMF,GAAa,MAAO,CAAEI,YAAa,kBAAmBI,MAAO,CAAE,SAAY,SAC7IwB,gBAAiB,GAAIG,SAAU,kBAClC1a,KAAM,kBAEN2W,QAAS,CACRuZ,iBAAkB,WACb54B,KAAK64B,KAAO74B,KAAK84B,IAAIC,aAAe/4B,KAAKihB,KAAOjhB,KAAK84B,IAAIE,eAC5Dh5B,KAAK64B,GAAK74B,KAAK84B,IAAIC,YACnB/4B,KAAKihB,GAAKjhB,KAAK84B,IAAIE,aACnBh5B,KAAK0f,MAAM,YAGbuZ,kBAAmB,WAClBj5B,KAAKk5B,cAAcC,gBAAgBC,YAAYv5B,iBAAiB,SAAUG,KAAK44B,kBAC/E54B,KAAK44B,oBAENS,qBAAsB,WACjBr5B,KAAKk5B,eAAiBl5B,KAAKk5B,cAAcI,UACvCnB,GAAQn4B,KAAKk5B,cAAcC,iBAC/Bn5B,KAAKk5B,cAAcC,gBAAgBC,YAAYnoB,oBAAoB,SAAUjR,KAAK44B,yBAE5E54B,KAAKk5B,cAAcI,UAK7Bra,QAAS,WACR,IAAI/O,EAAQlQ,KAEZo4B,IACAp4B,KAAKu5B,WAAU,WACdrpB,EAAM2oB,GAAK3oB,EAAM4oB,IAAIC,YACrB7oB,EAAM+Q,GAAK/Q,EAAM4oB,IAAIE,gBAEtB,IAAIx7B,EAAS4V,SAASC,cAAc,UACpCrT,KAAKk5B,cAAgB17B,EACrBA,EAAO6B,aAAa,cAAe,QACnC7B,EAAO6B,aAAa,YAAa,GACjC7B,EAAO87B,OAASt5B,KAAKi5B,kBACrBz7B,EAAO+F,KAAO,YACV40B,GACHn4B,KAAK84B,IAAIhkB,YAAYtX,GAEtBA,EAAOiD,KAAO,cACT03B,GACJn4B,KAAK84B,IAAIhkB,YAAYtX,IAGvB4hB,cAAe,WACdpf,KAAKq5B,yBAWP,IAAI/P,EAAS,CAEZkQ,QAAS,QACTtQ,QATD,SAAiBC,GAChBA,EAAII,UAAU,kBAAmBxM,GACjCoM,EAAII,UAAU,iBAAkBxM,KAW7BqN,EAAY,KACM,oBAAX1uB,OACV0uB,EAAY1uB,OAAOytB,SACS,IAAXrnB,IACjBsoB,EAAYtoB,EAAOqnB,KAEhBiB,GACHA,EAAUC,IAAIf,K,oDC9Gf;;;;;;;;;;;;;;;;;;;;;;;;;AAwBA,IAAImQ,EAA8B,oBAAX/9B,QAA8C,oBAAb0X,UAAiD,oBAAdoJ,UAEvFkd,EAAkB,WAEpB,IADA,IAAIC,EAAwB,CAAC,OAAQ,UAAW,WACvC58B,EAAI,EAAGA,EAAI48B,EAAsB38B,OAAQD,GAAK,EACrD,GAAI08B,GAAajd,UAAUC,UAAUtd,QAAQw6B,EAAsB58B,KAAO,EACxE,OAAO,EAGX,OAAO,EAPa,GAqCtB,IAWI68B,EAXqBH,GAAa/9B,OAAO2Y,QA3B7C,SAA2BpS,GACzB,IAAI43B,GAAS,EACb,OAAO,WACDA,IAGJA,GAAS,EACTn+B,OAAO2Y,QAAQlG,UAAUgG,MAAK,WAC5B0lB,GAAS,EACT53B,UAKN,SAAsBA,GACpB,IAAI63B,GAAY,EAChB,OAAO,WACAA,IACHA,GAAY,EACZjjB,YAAW,WACTijB,GAAY,EACZ73B,MACCy3B,MAyBT,SAASjL,EAAWsL,GAElB,OAAOA,GAA8D,sBADvD,GACoBp3B,SAAShC,KAAKo5B,GAUlD,SAASC,EAAyB7jB,EAAS8jB,GACzC,GAAyB,IAArB9jB,EAAQ5L,SACV,MAAO,GAGT,IACIif,EADSrT,EAAQ6B,cAAcohB,YAClBc,iBAAiB/jB,EAAS,MAC3C,OAAO8jB,EAAWzQ,EAAIyQ,GAAYzQ,EAUpC,SAAS2Q,EAAchkB,GACrB,MAAyB,SAArBA,EAAQikB,SACHjkB,EAEFA,EAAQY,YAAcZ,EAAQkkB,KAUvC,SAASC,EAAgBnkB,GAEvB,IAAKA,EACH,OAAO/C,SAAS6E,KAGlB,OAAQ9B,EAAQikB,UACd,IAAK,OACL,IAAK,OACH,OAAOjkB,EAAQ6B,cAAcC,KAC/B,IAAK,YACH,OAAO9B,EAAQ8B,KAKnB,IAAIsiB,EAAwBP,EAAyB7jB,GACjDqkB,EAAWD,EAAsBC,SACjCC,EAAYF,EAAsBE,UAClCC,EAAYH,EAAsBG,UAEtC,MAAI,wBAAwBh2B,KAAK81B,EAAWE,EAAYD,GAC/CtkB,EAGFmkB,EAAgBH,EAAchkB,IAUvC,SAASwkB,EAAiBnqB,GACxB,OAAOA,GAAaA,EAAUoqB,cAAgBpqB,EAAUoqB,cAAgBpqB,EAG1E,IAAIqqB,EAASpB,MAAgB/9B,OAAOo/B,uBAAwB1nB,SAAS2nB,cACjEC,EAASvB,GAAa,UAAU/0B,KAAK8X,UAAUC,WASnD,SAAS0b,EAAKqB,GACZ,OAAgB,KAAZA,EACKqB,EAEO,KAAZrB,EACKwB,EAEFH,GAAUG,EAUnB,SAASC,EAAgB9kB,GACvB,IAAKA,EACH,OAAO/C,SAAS8nB,gBAQlB,IALA,IAAIC,EAAiBhD,EAAK,IAAM/kB,SAAS6E,KAAO,KAG5CmjB,EAAejlB,EAAQilB,cAAgB,KAEpCA,IAAiBD,GAAkBhlB,EAAQklB,oBAChDD,GAAgBjlB,EAAUA,EAAQklB,oBAAoBD,aAGxD,IAAIhB,EAAWgB,GAAgBA,EAAahB,SAE5C,OAAKA,GAAyB,SAAbA,GAAoC,SAAbA,GAMsB,IAA1D,CAAC,KAAM,KAAM,SAASj7B,QAAQi8B,EAAahB,WAA2E,WAAvDJ,EAAyBoB,EAAc,YACjGH,EAAgBG,GAGlBA,EATEjlB,EAAUA,EAAQ6B,cAAckjB,gBAAkB9nB,SAAS8nB,gBA4BtE,SAASI,EAAQC,GACf,OAAwB,OAApBA,EAAKxkB,WACAukB,EAAQC,EAAKxkB,YAGfwkB,EAWT,SAASC,EAAuBC,EAAUC,GAExC,KAAKD,GAAaA,EAASlxB,UAAamxB,GAAaA,EAASnxB,UAC5D,OAAO6I,SAAS8nB,gBAIlB,IAAIS,EAAQF,EAASG,wBAAwBF,GAAYG,KAAKC,4BAC1DhU,EAAQ6T,EAAQF,EAAWC,EAC3BK,EAAMJ,EAAQD,EAAWD,EAGzBO,EAAQ5oB,SAAS6oB,cACrBD,EAAME,SAASpU,EAAO,GACtBkU,EAAMG,OAAOJ,EAAK,GAClB,IA/CyB5lB,EACrBikB,EA8CAgC,EAA0BJ,EAAMI,wBAIpC,GAAIX,IAAaW,GAA2BV,IAAaU,GAA2BtU,EAAMjX,SAASkrB,GACjG,MAjDe,UAFb3B,GADqBjkB,EAoDDimB,GAnDDhC,WAKH,SAAbA,GAAuBa,EAAgB9kB,EAAQkmB,qBAAuBlmB,EAkDpE8kB,EAAgBmB,GAHdA,EAOX,IAAIE,EAAehB,EAAQG,GAC3B,OAAIa,EAAajC,KACRmB,EAAuBc,EAAajC,KAAMqB,GAE1CF,EAAuBC,EAAUH,EAAQI,GAAUrB,MAY9D,SAASkC,EAAUpmB,GACjB,IAAIqmB,EAAOr+B,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,MAE3Es+B,EAAqB,QAATD,EAAiB,YAAc,aAC3CpC,EAAWjkB,EAAQikB,SAEvB,GAAiB,SAAbA,GAAoC,SAAbA,EAAqB,CAC9C,IAAI3qB,EAAO0G,EAAQ6B,cAAckjB,gBAC7BwB,EAAmBvmB,EAAQ6B,cAAc0kB,kBAAoBjtB,EACjE,OAAOitB,EAAiBD,GAG1B,OAAOtmB,EAAQsmB,GAYjB,SAASE,EAAcC,EAAMzmB,GAC3B,IAAI0mB,EAAW1+B,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAE1E2+B,EAAYP,EAAUpmB,EAAS,OAC/B4mB,EAAaR,EAAUpmB,EAAS,QAChC6mB,EAAWH,GAAY,EAAI,EAK/B,OAJAD,EAAKK,KAAOH,EAAYE,EACxBJ,EAAKM,QAAUJ,EAAYE,EAC3BJ,EAAKO,MAAQJ,EAAaC,EAC1BJ,EAAKQ,OAASL,EAAaC,EACpBJ,EAaT,SAASS,EAAeC,EAAQC,GAC9B,IAAIC,EAAiB,MAATD,EAAe,OAAS,MAChCE,EAAkB,SAAVD,EAAmB,QAAU,SAEzC,OAAOE,WAAWJ,EAAO,SAAWE,EAAQ,UAAYE,WAAWJ,EAAO,SAAWG,EAAQ,UAG/F,SAASE,EAAQJ,EAAMtlB,EAAMxI,EAAMmuB,GACjC,OAAOhqB,KAAKgU,IAAI3P,EAAK,SAAWslB,GAAOtlB,EAAK,SAAWslB,GAAO9tB,EAAK,SAAW8tB,GAAO9tB,EAAK,SAAW8tB,GAAO9tB,EAAK,SAAW8tB,GAAOpF,EAAK,IAAM/X,SAAS3Q,EAAK,SAAW8tB,IAASnd,SAASwd,EAAc,UAAqB,WAATL,EAAoB,MAAQ,UAAYnd,SAASwd,EAAc,UAAqB,WAATL,EAAoB,SAAW,WAAa,GAG5U,SAASM,EAAezqB,GACtB,IAAI6E,EAAO7E,EAAS6E,KAChBxI,EAAO2D,EAAS8nB,gBAChB0C,EAAgBzF,EAAK,KAAO+B,iBAAiBzqB,GAEjD,MAAO,CACLquB,OAAQH,EAAQ,SAAU1lB,EAAMxI,EAAMmuB,GACtCG,MAAOJ,EAAQ,QAAS1lB,EAAMxI,EAAMmuB,IAIxC,IAAII,EAAiB,SAAU7tB,EAAUC,GACvC,KAAMD,aAAoBC,GACxB,MAAM,IAAIC,UAAU,sCAIpB4tB,EAAc,WAChB,SAAS1/B,EAAiB1B,EAAQC,GAChC,IAAK,IAAIC,EAAI,EAAGA,EAAID,EAAME,OAAQD,IAAK,CACrC,IAAIE,EAAaH,EAAMC,GACvBE,EAAWC,WAAaD,EAAWC,aAAc,EACjDD,EAAWE,cAAe,EACtB,UAAWF,IAAYA,EAAWG,UAAW,GACjD5C,OAAOC,eAAeoC,EAAQI,EAAWI,IAAKJ,IAIlD,OAAO,SAAUmT,EAAaqB,EAAYC,GAGxC,OAFID,GAAYlT,EAAiB6R,EAAYzT,UAAW8U,GACpDC,GAAanT,EAAiB6R,EAAasB,GACxCtB,GAdO,GAsBd3V,EAAiB,SAAU8B,EAAKc,EAAK1C,GAYvC,OAXI0C,KAAOd,EACT/B,OAAOC,eAAe8B,EAAKc,EAAK,CAC9B1C,MAAOA,EACPuC,YAAY,EACZC,cAAc,EACdC,UAAU,IAGZb,EAAIc,GAAO1C,EAGN4B,GAGL2hC,EAAW1jC,OAAO8a,QAAU,SAAUzY,GACxC,IAAK,IAAIE,EAAI,EAAGA,EAAIoB,UAAUnB,OAAQD,IAAK,CACzC,IAAIqB,EAASD,UAAUpB,GAEvB,IAAK,IAAIM,KAAOe,EACV5D,OAAOmC,UAAU8F,eAAe9B,KAAKvC,EAAQf,KAC/CR,EAAOQ,GAAOe,EAAOf,IAK3B,OAAOR,GAUT,SAASshC,EAAcC,GACrB,OAAOF,EAAS,GAAIE,EAAS,CAC3BhB,MAAOgB,EAAQjB,KAAOiB,EAAQL,MAC9Bb,OAAQkB,EAAQnB,IAAMmB,EAAQN,SAWlC,SAASO,EAAsBloB,GAC7B,IAAIymB,EAAO,GAKX,IACE,GAAIzE,EAAK,IAAK,CACZyE,EAAOzmB,EAAQkoB,wBACf,IAAIvB,EAAYP,EAAUpmB,EAAS,OAC/B4mB,EAAaR,EAAUpmB,EAAS,QACpCymB,EAAKK,KAAOH,EACZF,EAAKO,MAAQJ,EACbH,EAAKM,QAAUJ,EACfF,EAAKQ,OAASL,OAEdH,EAAOzmB,EAAQkoB,wBAEjB,MAAOv+B,IAET,IAAI2B,EAAS,CACX07B,KAAMP,EAAKO,KACXF,IAAKL,EAAKK,IACVc,MAAOnB,EAAKQ,MAAQR,EAAKO,KACzBW,OAAQlB,EAAKM,OAASN,EAAKK,KAIzBqB,EAA6B,SAArBnoB,EAAQikB,SAAsByD,EAAe1nB,EAAQ6B,eAAiB,GAC9E+lB,EAAQO,EAAMP,OAAS5nB,EAAQooB,aAAe98B,EAAOs8B,MACrDD,EAASQ,EAAMR,QAAU3nB,EAAQqoB,cAAgB/8B,EAAOq8B,OAExDW,EAAiBtoB,EAAQ4iB,YAAcgF,EACvCW,EAAgBvoB,EAAQ6iB,aAAe8E,EAI3C,GAAIW,GAAkBC,EAAe,CACnC,IAAIpB,EAAStD,EAAyB7jB,GACtCsoB,GAAkBpB,EAAeC,EAAQ,KACzCoB,GAAiBrB,EAAeC,EAAQ,KAExC77B,EAAOs8B,OAASU,EAChBh9B,EAAOq8B,QAAUY,EAGnB,OAAOP,EAAc18B,GAGvB,SAASk9B,EAAqCC,EAAUpb,GACtD,IAAIqb,EAAgB1gC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAE/E68B,EAAS7C,EAAK,IACd2G,EAA6B,SAApBtb,EAAO4W,SAChB2E,EAAeV,EAAsBO,GACrCI,EAAaX,EAAsB7a,GACnCyb,EAAe3E,EAAgBsE,GAE/BtB,EAAStD,EAAyBxW,GAClC0b,EAAiBxB,WAAWJ,EAAO4B,gBACnCC,EAAkBzB,WAAWJ,EAAO6B,iBAGpCN,GAAiBC,IACnBE,EAAW/B,IAAMrpB,KAAKgU,IAAIoX,EAAW/B,IAAK,GAC1C+B,EAAW7B,KAAOvpB,KAAKgU,IAAIoX,EAAW7B,KAAM,IAE9C,IAAIiB,EAAUD,EAAc,CAC1BlB,IAAK8B,EAAa9B,IAAM+B,EAAW/B,IAAMiC,EACzC/B,KAAM4B,EAAa5B,KAAO6B,EAAW7B,KAAOgC,EAC5CpB,MAAOgB,EAAahB,MACpBD,OAAQiB,EAAajB,SASvB,GAPAM,EAAQgB,UAAY,EACpBhB,EAAQiB,WAAa,GAMhBrE,GAAU8D,EAAQ,CACrB,IAAIM,EAAY1B,WAAWJ,EAAO8B,WAC9BC,EAAa3B,WAAWJ,EAAO+B,YAEnCjB,EAAQnB,KAAOiC,EAAiBE,EAChChB,EAAQlB,QAAUgC,EAAiBE,EACnChB,EAAQjB,MAAQgC,EAAkBE,EAClCjB,EAAQhB,OAAS+B,EAAkBE,EAGnCjB,EAAQgB,UAAYA,EACpBhB,EAAQiB,WAAaA,EAOvB,OAJIrE,IAAW6D,EAAgBrb,EAAO3S,SAASouB,GAAgBzb,IAAWyb,GAA0C,SAA1BA,EAAa7E,YACrGgE,EAAUzB,EAAcyB,EAAS5a,IAG5B4a,EAGT,SAASkB,EAA8CnpB,GACrD,IAAIopB,EAAgBphC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAE/EsR,EAAO0G,EAAQ6B,cAAckjB,gBAC7BsE,EAAiBb,EAAqCxoB,EAAS1G,GAC/DsuB,EAAQnqB,KAAKgU,IAAInY,EAAK8uB,YAAa7iC,OAAO+jC,YAAc,GACxD3B,EAASlqB,KAAKgU,IAAInY,EAAK+uB,aAAc9iC,OAAOgkC,aAAe,GAE3D5C,EAAayC,EAAkC,EAAlBhD,EAAU9sB,GACvCstB,EAAcwC,EAA0C,EAA1BhD,EAAU9sB,EAAM,QAE9C3G,EAAS,CACXm0B,IAAKH,EAAY0C,EAAevC,IAAMuC,EAAeJ,UACrDjC,KAAMJ,EAAayC,EAAerC,KAAOqC,EAAeH,WACxDtB,MAAOA,EACPD,OAAQA,GAGV,OAAOK,EAAcr1B,GAWvB,SAAS62B,EAAQxpB,GACf,IAAIikB,EAAWjkB,EAAQikB,SACvB,GAAiB,SAAbA,GAAoC,SAAbA,EACzB,OAAO,EAET,GAAsD,UAAlDJ,EAAyB7jB,EAAS,YACpC,OAAO,EAET,IAAIY,EAAaojB,EAAchkB,GAC/B,QAAKY,GAGE4oB,EAAQ5oB,GAWjB,SAAS6oB,EAA6BzpB,GAEpC,IAAKA,IAAYA,EAAQ0pB,eAAiB1H,IACxC,OAAO/kB,SAAS8nB,gBAGlB,IADA,IAAIt8B,EAAKuX,EAAQ0pB,cACVjhC,GAAoD,SAA9Co7B,EAAyBp7B,EAAI,cACxCA,EAAKA,EAAGihC,cAEV,OAAOjhC,GAAMwU,SAAS8nB,gBAcxB,SAAS4E,EAAcC,EAAQvvB,EAAWwvB,EAAS3pB,GACjD,IAAIwoB,EAAgB1gC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAI/E8hC,EAAa,CAAEhD,IAAK,EAAGE,KAAM,GAC7B/B,EAAeyD,EAAgBe,EAA6BG,GAAUvE,EAAuBuE,EAAQpF,EAAiBnqB,IAG1H,GAA0B,aAAtB6F,EACF4pB,EAAaX,EAA8ClE,EAAcyD,OACpE,CAEL,IAAIqB,OAAiB,EACK,iBAAtB7pB,EAE8B,UADhC6pB,EAAiB5F,EAAgBH,EAAc3pB,KAC5B4pB,WACjB8F,EAAiBH,EAAO/nB,cAAckjB,iBAGxCgF,EAD+B,WAAtB7pB,EACQ0pB,EAAO/nB,cAAckjB,gBAErB7kB,EAGnB,IAAI+nB,EAAUO,EAAqCuB,EAAgB9E,EAAcyD,GAGjF,GAAgC,SAA5BqB,EAAe9F,UAAwBuF,EAAQvE,GAWjD6E,EAAa7B,MAXmD,CAChE,IAAI+B,EAAkBtC,EAAekC,EAAO/nB,eACxC8lB,EAASqC,EAAgBrC,OACzBC,EAAQoC,EAAgBpC,MAE5BkC,EAAWhD,KAAOmB,EAAQnB,IAAMmB,EAAQgB,UACxCa,EAAW/C,OAASY,EAASM,EAAQnB,IACrCgD,EAAW9C,MAAQiB,EAAQjB,KAAOiB,EAAQiB,WAC1CY,EAAW7C,MAAQW,EAAQK,EAAQjB,MASvC,IAAIiD,EAAqC,iBADzCJ,EAAUA,GAAW,GAOrB,OALAC,EAAW9C,MAAQiD,EAAkBJ,EAAUA,EAAQ7C,MAAQ,EAC/D8C,EAAWhD,KAAOmD,EAAkBJ,EAAUA,EAAQ/C,KAAO,EAC7DgD,EAAW7C,OAASgD,EAAkBJ,EAAUA,EAAQ5C,OAAS,EACjE6C,EAAW/C,QAAUkD,EAAkBJ,EAAUA,EAAQ9C,QAAU,EAE5D+C,EAGT,SAASI,EAAQnpB,GAIf,OAHYA,EAAK6mB,MACJ7mB,EAAK4mB,OAcpB,SAASwC,EAAqB5wB,EAAW6wB,EAASR,EAAQvvB,EAAW6F,GACnE,IAAI2pB,EAAU7hC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,EAElF,IAAmC,IAA/BuR,EAAUvQ,QAAQ,QACpB,OAAOuQ,EAGT,IAAIuwB,EAAaH,EAAcC,EAAQvvB,EAAWwvB,EAAS3pB,GAEvDmqB,EAAQ,CACVvD,IAAK,CACHc,MAAOkC,EAAWlC,MAClBD,OAAQyC,EAAQtD,IAAMgD,EAAWhD,KAEnCG,MAAO,CACLW,MAAOkC,EAAW7C,MAAQmD,EAAQnD,MAClCU,OAAQmC,EAAWnC,QAErBZ,OAAQ,CACNa,MAAOkC,EAAWlC,MAClBD,OAAQmC,EAAW/C,OAASqD,EAAQrD,QAEtCC,KAAM,CACJY,MAAOwC,EAAQpD,KAAO8C,EAAW9C,KACjCW,OAAQmC,EAAWnC,SAInB2C,EAAcjmC,OAAOkD,KAAK8iC,GAAO76B,KAAI,SAAUtI,GACjD,OAAO6gC,EAAS,CACd7gC,IAAKA,GACJmjC,EAAMnjC,GAAM,CACbqjC,KAAML,EAAQG,EAAMnjC,SAErBsjC,MAAK,SAAUC,EAAGC,GACnB,OAAOA,EAAEH,KAAOE,EAAEF,QAGhBI,EAAgBL,EAAY5iC,QAAO,SAAUwe,GAC/C,IAAI0hB,EAAQ1hB,EAAM0hB,MACdD,EAASzhB,EAAMyhB,OACnB,OAAOC,GAASgC,EAAOxB,aAAeT,GAAUiC,EAAOvB,gBAGrDuC,EAAoBD,EAAc9jC,OAAS,EAAI8jC,EAAc,GAAGzjC,IAAMojC,EAAY,GAAGpjC,IAErF2jC,EAAYtxB,EAAUhR,MAAM,KAAK,GAErC,OAAOqiC,GAAqBC,EAAY,IAAMA,EAAY,IAa5D,SAASC,EAAoB3oB,EAAOynB,EAAQvvB,GAC1C,IAAIquB,EAAgB1gC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,KAEpF+iC,EAAqBrC,EAAgBe,EAA6BG,GAAUvE,EAAuBuE,EAAQpF,EAAiBnqB,IAChI,OAAOmuB,EAAqCnuB,EAAW0wB,EAAoBrC,GAU7E,SAASsC,EAAchrB,GACrB,IACImnB,EADSnnB,EAAQ6B,cAAcohB,YACfc,iBAAiB/jB,GACjCirB,EAAI1D,WAAWJ,EAAO8B,WAAa,GAAK1B,WAAWJ,EAAO+D,cAAgB,GAC1EC,EAAI5D,WAAWJ,EAAO+B,YAAc,GAAK3B,WAAWJ,EAAOiE,aAAe,GAK9E,MAJa,CACXxD,MAAO5nB,EAAQ4iB,YAAcuI,EAC7BxD,OAAQ3nB,EAAQ6iB,aAAeoI,GAYnC,SAASI,EAAqB9xB,GAC5B,IAAI+xB,EAAO,CAAEtE,KAAM,QAASC,MAAO,OAAQF,OAAQ,MAAOD,IAAK,UAC/D,OAAOvtB,EAAU7U,QAAQ,0BAA0B,SAAU6mC,GAC3D,OAAOD,EAAKC,MAchB,SAASC,EAAiB5B,EAAQ6B,EAAkBlyB,GAClDA,EAAYA,EAAUhR,MAAM,KAAK,GAGjC,IAAImjC,EAAaV,EAAcpB,GAG3B+B,EAAgB,CAClB/D,MAAO8D,EAAW9D,MAClBD,OAAQ+D,EAAW/D,QAIjBiE,GAAoD,IAA1C,CAAC,QAAS,QAAQ5iC,QAAQuQ,GACpCsyB,EAAWD,EAAU,MAAQ,OAC7BE,EAAgBF,EAAU,OAAS,MACnCG,EAAcH,EAAU,SAAW,QACnCI,EAAwBJ,EAAqB,QAAX,SAStC,OAPAD,EAAcE,GAAYJ,EAAiBI,GAAYJ,EAAiBM,GAAe,EAAIL,EAAWK,GAAe,EAEnHJ,EAAcG,GADZvyB,IAAcuyB,EACeL,EAAiBK,GAAiBJ,EAAWM,GAE7CP,EAAiBJ,EAAqBS,IAGhEH,EAYT,SAASM,EAAKC,EAAKC,GAEjB,OAAI/hC,MAAM5D,UAAUylC,KACXC,EAAID,KAAKE,GAIXD,EAAIxkC,OAAOykC,GAAO,GAqC3B,SAASC,EAAatsB,EAAWxV,EAAM+hC,GAoBrC,YAnB8B3hC,IAAT2hC,EAAqBvsB,EAAYA,EAAU6O,MAAM,EA1BxE,SAAmBud,EAAKI,EAAM9nC,GAE5B,GAAI4F,MAAM5D,UAAU+lC,UAClB,OAAOL,EAAIK,WAAU,SAAUC,GAC7B,OAAOA,EAAIF,KAAU9nC,KAKzB,IAAIq0B,EAAQoT,EAAKC,GAAK,SAAU9lC,GAC9B,OAAOA,EAAIkmC,KAAU9nC,KAEvB,OAAO0nC,EAAIljC,QAAQ6vB,GAcsD0T,CAAUzsB,EAAW,OAAQusB,KAEvFnkC,SAAQ,SAAU2+B,GAC3BA,EAAmB,UAErB1iC,QAAQC,KAAK,yDAEf,IAAI0H,EAAK+6B,EAAmB,UAAKA,EAAS/6B,GACtC+6B,EAASzkB,SAAWkW,EAAWxsB,KAIjCxB,EAAK29B,QAAQ2B,OAAS5B,EAAc19B,EAAK29B,QAAQ2B,QACjDt/B,EAAK29B,QAAQ5tB,UAAY2tB,EAAc19B,EAAK29B,QAAQ5tB,WAEpD/P,EAAOwB,EAAGxB,EAAMu8B,OAIbv8B,EAUT,SAASkS,IAEP,IAAI3S,KAAKsY,MAAMsqB,YAAf,CAIA,IAAIniC,EAAO,CACT0P,SAAUnQ,KACVs9B,OAAQ,GACRuF,YAAa,GACbC,WAAY,GACZC,SAAS,EACT3E,QAAS,IAIX39B,EAAK29B,QAAQ5tB,UAAYywB,EAAoBjhC,KAAKsY,MAAOtY,KAAK+/B,OAAQ//B,KAAKwQ,UAAWxQ,KAAK5E,QAAQ4nC,eAKnGviC,EAAKiP,UAAY4wB,EAAqBtgC,KAAK5E,QAAQsU,UAAWjP,EAAK29B,QAAQ5tB,UAAWxQ,KAAK+/B,OAAQ//B,KAAKwQ,UAAWxQ,KAAK5E,QAAQ6a,UAAUgtB,KAAK5sB,kBAAmBrW,KAAK5E,QAAQ6a,UAAUgtB,KAAKjD,SAG9Lv/B,EAAKyiC,kBAAoBziC,EAAKiP,UAE9BjP,EAAKuiC,cAAgBhjC,KAAK5E,QAAQ4nC,cAGlCviC,EAAK29B,QAAQ2B,OAAS4B,EAAiB3hC,KAAK+/B,OAAQt/B,EAAK29B,QAAQ5tB,UAAW/P,EAAKiP,WAEjFjP,EAAK29B,QAAQ2B,OAAO5d,SAAWniB,KAAK5E,QAAQ4nC,cAAgB,QAAU,WAGtEviC,EAAO8hC,EAAaviC,KAAKiW,UAAWxV,GAI/BT,KAAKsY,MAAM6qB,UAIdnjC,KAAK5E,QAAQgoC,SAAS3iC,IAHtBT,KAAKsY,MAAM6qB,WAAY,EACvBnjC,KAAK5E,QAAQioC,SAAS5iC,KAY1B,SAAS6iC,EAAkBrtB,EAAWstB,GACpC,OAAOttB,EAAUutB,MAAK,SAAUtsB,GAC9B,IAAIxO,EAAOwO,EAAKxO,KAEhB,OADcwO,EAAKqB,SACD7P,IAAS66B,KAW/B,SAASE,EAAyBxJ,GAIhC,IAHA,IAAIyJ,EAAW,EAAC,EAAO,KAAM,SAAU,MAAO,KAC1CC,EAAY1J,EAAS2J,OAAO,GAAGC,cAAgB5J,EAASnV,MAAM,GAEzD/nB,EAAI,EAAGA,EAAI2mC,EAAS1mC,OAAQD,IAAK,CACxC,IAAI+mC,EAASJ,EAAS3mC,GAClBgnC,EAAUD,EAAS,GAAKA,EAASH,EAAY1J,EACjD,QAA4C,IAAjC7mB,SAAS6E,KAAKvC,MAAMquB,GAC7B,OAAOA,EAGX,OAAO,KAQT,SAAS3sB,IAsBP,OArBApX,KAAKsY,MAAMsqB,aAAc,EAGrBU,EAAkBtjC,KAAKiW,UAAW,gBACpCjW,KAAK+/B,OAAO7sB,gBAAgB,eAC5BlT,KAAK+/B,OAAOrqB,MAAMyM,SAAW,GAC7BniB,KAAK+/B,OAAOrqB,MAAMunB,IAAM,GACxBj9B,KAAK+/B,OAAOrqB,MAAMynB,KAAO,GACzBn9B,KAAK+/B,OAAOrqB,MAAM0nB,MAAQ,GAC1Bp9B,KAAK+/B,OAAOrqB,MAAMwnB,OAAS,GAC3Bl9B,KAAK+/B,OAAOrqB,MAAMsuB,WAAa,GAC/BhkC,KAAK+/B,OAAOrqB,MAAM+tB,EAAyB,cAAgB,IAG7DzjC,KAAK0W,wBAID1W,KAAK5E,QAAQic,iBACfrX,KAAK+/B,OAAOhpB,WAAWlC,YAAY7U,KAAK+/B,QAEnC//B,KAQT,SAASikC,EAAU9tB,GACjB,IAAI6B,EAAgB7B,EAAQ6B,cAC5B,OAAOA,EAAgBA,EAAcohB,YAAc19B,OAoBrD,SAASwoC,EAAoB1zB,EAAWpV,EAASkd,EAAO6rB,GAEtD7rB,EAAM6rB,YAAcA,EACpBF,EAAUzzB,GAAW3Q,iBAAiB,SAAUyY,EAAM6rB,YAAa,CAAE/rB,SAAS,IAG9E,IAAIgsB,EAAgB9J,EAAgB9pB,GAKpC,OA5BF,SAAS6zB,EAAsBpF,EAAc9nB,EAAOrG,EAAUwzB,GAC5D,IAAIC,EAAmC,SAA1BtF,EAAa7E,SACtBv9B,EAAS0nC,EAAStF,EAAajnB,cAAcohB,YAAc6F,EAC/DpiC,EAAOgD,iBAAiBsX,EAAOrG,EAAU,CAAEsH,SAAS,IAE/CmsB,GACHF,EAAsB/J,EAAgBz9B,EAAOka,YAAaI,EAAOrG,EAAUwzB,GAE7EA,EAActmC,KAAKnB,GAgBnBwnC,CAAsBD,EAAe,SAAU9rB,EAAM6rB,YAAa7rB,EAAMgsB,eACxEhsB,EAAM8rB,cAAgBA,EACtB9rB,EAAMksB,eAAgB,EAEflsB,EAST,SAAS1C,IACF5V,KAAKsY,MAAMksB,gBACdxkC,KAAKsY,MAAQ4rB,EAAoBlkC,KAAKwQ,UAAWxQ,KAAK5E,QAAS4E,KAAKsY,MAAOtY,KAAKse,iBAkCpF,SAAS5H,IAxBT,IAA8BlG,EAAW8H,EAyBnCtY,KAAKsY,MAAMksB,gBACbC,qBAAqBzkC,KAAKse,gBAC1Bte,KAAKsY,OA3BqB9H,EA2BQxQ,KAAKwQ,UA3BF8H,EA2BatY,KAAKsY,MAzBzD2rB,EAAUzzB,GAAWS,oBAAoB,SAAUqH,EAAM6rB,aAGzD7rB,EAAMgsB,cAAcjmC,SAAQ,SAAUxB,GACpCA,EAAOoU,oBAAoB,SAAUqH,EAAM6rB,gBAI7C7rB,EAAM6rB,YAAc,KACpB7rB,EAAMgsB,cAAgB,GACtBhsB,EAAM8rB,cAAgB,KACtB9rB,EAAMksB,eAAgB,EACflsB,IAwBT,SAASosB,EAAU96B,GACjB,MAAa,KAANA,IAAayiB,MAAMqR,WAAW9zB,KAAO+6B,SAAS/6B,GAWvD,SAASg7B,EAAUzuB,EAASmnB,GAC1B9iC,OAAOkD,KAAK4/B,GAAQj/B,SAAQ,SAAUokC,GACpC,IAAIoC,EAAO,IAEkE,IAAzE,CAAC,QAAS,SAAU,MAAO,QAAS,SAAU,QAAQ1lC,QAAQsjC,IAAgBiC,EAAUpH,EAAOmF,MACjGoC,EAAO,MAET1uB,EAAQT,MAAM+sB,GAAQnF,EAAOmF,GAAQoC,KAgIzC,IAAIC,EAAYrL,GAAa,WAAW/0B,KAAK8X,UAAUC,WA8GvD,SAASsoB,EAAmB9uB,EAAW+uB,EAAgBC,GACrD,IAAIC,EAAa9C,EAAKnsB,GAAW,SAAUiB,GAEzC,OADWA,EAAKxO,OACAs8B,KAGdG,IAAeD,GAAcjvB,EAAUutB,MAAK,SAAUxG,GACxD,OAAOA,EAASt0B,OAASu8B,GAAiBjI,EAASzkB,SAAWykB,EAASrB,MAAQuJ,EAAWvJ,SAG5F,IAAKwJ,EAAY,CACf,IAAIC,EAAc,IAAMJ,EAAiB,IACrCK,EAAY,IAAMJ,EAAgB,IACtC3qC,QAAQC,KAAK8qC,EAAY,4BAA8BD,EAAc,4DAA8DA,EAAc,KAEnJ,OAAOD,EAoIT,IAAIG,EAAa,CAAC,aAAc,OAAQ,WAAY,YAAa,MAAO,UAAW,cAAe,QAAS,YAAa,aAAc,SAAU,eAAgB,WAAY,OAAQ,cAGhLC,EAAkBD,EAAWxgB,MAAM,GAYvC,SAAS0gB,GAAU91B,GACjB,IAAI+1B,EAAUtnC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAEzEqB,EAAQ+lC,EAAgBpmC,QAAQuQ,GAChC2yB,EAAMkD,EAAgBzgB,MAAMtlB,EAAQ,GAAGmU,OAAO4xB,EAAgBzgB,MAAM,EAAGtlB,IAC3E,OAAOimC,EAAUpD,EAAIqD,UAAYrD,EAGnC,IAAIsD,GACI,OADJA,GAES,YAFTA,GAGgB,mBAiMpB,SAASC,GAAY98B,EAAQg5B,EAAeF,EAAkBiE,GAC5D,IAAIzH,EAAU,CAAC,EAAG,GAKd0H,GAA0D,IAA9C,CAAC,QAAS,QAAQ3mC,QAAQ0mC,GAItCE,EAAYj9B,EAAOpK,MAAM,WAAWiH,KAAI,SAAUqgC,GACpD,OAAOA,EAAKzyB,UAKV0yB,EAAUF,EAAU5mC,QAAQijC,EAAK2D,GAAW,SAAUC,GACxD,OAAgC,IAAzBA,EAAKE,OAAO,YAGjBH,EAAUE,KAAiD,IAArCF,EAAUE,GAAS9mC,QAAQ,MACnD7E,QAAQC,KAAK,gFAKf,IAAI4rC,EAAa,cACbC,GAAmB,IAAbH,EAAiB,CAACF,EAAUjhB,MAAM,EAAGmhB,GAAStyB,OAAO,CAACoyB,EAAUE,GAASvnC,MAAMynC,GAAY,KAAM,CAACJ,EAAUE,GAASvnC,MAAMynC,GAAY,IAAIxyB,OAAOoyB,EAAUjhB,MAAMmhB,EAAU,KAAO,CAACF,GAqC9L,OAlCAK,EAAMA,EAAIzgC,KAAI,SAAU0gC,EAAI7mC,GAE1B,IAAI0iC,GAAyB,IAAV1iC,GAAesmC,EAAYA,GAAa,SAAW,QAClEQ,GAAoB,EACxB,OAAOD,EAGNE,QAAO,SAAU3F,EAAGC,GACnB,MAAwB,KAApBD,EAAEA,EAAE5jC,OAAS,KAAwC,IAA3B,CAAC,IAAK,KAAKmC,QAAQ0hC,IAC/CD,EAAEA,EAAE5jC,OAAS,GAAK6jC,EAClByF,GAAoB,EACb1F,GACE0F,GACT1F,EAAEA,EAAE5jC,OAAS,IAAM6jC,EACnByF,GAAoB,EACb1F,GAEAA,EAAEjtB,OAAOktB,KAEjB,IAEFl7B,KAAI,SAAU6gC,GACb,OAxGN,SAAiBA,EAAKtE,EAAaJ,EAAeF,GAEhD,IAAIljC,EAAQ8nC,EAAIxX,MAAM,6BAClBr0B,GAAS+D,EAAM,GACfmmC,EAAOnmC,EAAM,GAGjB,IAAK/D,EACH,OAAO6rC,EAGT,GAA0B,IAAtB3B,EAAK1lC,QAAQ,KAAY,CAC3B,IAAIgX,OAAU,EACd,OAAQ0uB,GACN,IAAK,KACH1uB,EAAU2rB,EACV,MACF,IAAK,IACL,IAAK,KACL,QACE3rB,EAAUyrB,EAId,OADWzD,EAAchoB,GACb+rB,GAAe,IAAMvnC,EAC5B,GAAa,OAATkqC,GAA0B,OAATA,EAAe,CAQzC,OALa,OAATA,EACKjxB,KAAKgU,IAAIxU,SAAS8nB,gBAAgBsD,aAAc9iC,OAAOgkC,aAAe,GAEtE9rB,KAAKgU,IAAIxU,SAAS8nB,gBAAgBqD,YAAa7iC,OAAO+jC,YAAc,IAE/D,IAAM9kC,EAIpB,OAAOA,EAmEE8rC,CAAQD,EAAKtE,EAAaJ,EAAeF,UAKhDvjC,SAAQ,SAAUgoC,EAAI7mC,GACxB6mC,EAAGhoC,SAAQ,SAAU2nC,EAAMU,GACrBhC,EAAUsB,KACZ5H,EAAQ5+B,IAAUwmC,GAA2B,MAAnBK,EAAGK,EAAS,IAAc,EAAI,UAIvDtI,EA2OT,IAkWIuI,GAAW,CAKbj3B,UAAW,SAMXszB,eAAe,EAMfwB,eAAe,EAOfntB,iBAAiB,EAQjBgsB,SAAU,aAUVD,SAAU,aAOVntB,UAnZc,CASd2wB,MAAO,CAELjL,MAAO,IAEPpjB,SAAS,EAETtW,GA9HJ,SAAexB,GACb,IAAIiP,EAAYjP,EAAKiP,UACjBm2B,EAAgBn2B,EAAUhR,MAAM,KAAK,GACrCmoC,EAAiBn3B,EAAUhR,MAAM,KAAK,GAG1C,GAAImoC,EAAgB,CAClB,IAAIC,EAAgBrmC,EAAK29B,QACrB5tB,EAAYs2B,EAAct2B,UAC1BuvB,EAAS+G,EAAc/G,OAEvBgH,GAA2D,IAA9C,CAAC,SAAU,OAAO5nC,QAAQ0mC,GACvCrJ,EAAOuK,EAAa,OAAS,MAC7B7E,EAAc6E,EAAa,QAAU,SAErCC,EAAe,CACjBlf,MAAOrtB,EAAe,GAAI+hC,EAAMhsB,EAAUgsB,IAC1CT,IAAKthC,EAAe,GAAI+hC,EAAMhsB,EAAUgsB,GAAQhsB,EAAU0xB,GAAenC,EAAOmC,KAGlFzhC,EAAK29B,QAAQ2B,OAAS7B,EAAS,GAAI6B,EAAQiH,EAAaH,IAG1D,OAAOpmC,IAgJPqI,OAAQ,CAEN6yB,MAAO,IAEPpjB,SAAS,EAETtW,GA7RJ,SAAgBxB,EAAMyW,GACpB,IAAIpO,EAASoO,EAAKpO,OACd4G,EAAYjP,EAAKiP,UACjBo3B,EAAgBrmC,EAAK29B,QACrB2B,EAAS+G,EAAc/G,OACvBvvB,EAAYs2B,EAAct2B,UAE1Bq1B,EAAgBn2B,EAAUhR,MAAM,KAAK,GAErC0/B,OAAU,EAsBd,OApBEA,EADEsG,GAAW57B,GACH,EAAEA,EAAQ,GAEV88B,GAAY98B,EAAQi3B,EAAQvvB,EAAWq1B,GAG7B,SAAlBA,GACF9F,EAAO9C,KAAOmB,EAAQ,GACtB2B,EAAO5C,MAAQiB,EAAQ,IACI,UAAlByH,GACT9F,EAAO9C,KAAOmB,EAAQ,GACtB2B,EAAO5C,MAAQiB,EAAQ,IACI,QAAlByH,GACT9F,EAAO5C,MAAQiB,EAAQ,GACvB2B,EAAO9C,KAAOmB,EAAQ,IACK,WAAlByH,IACT9F,EAAO5C,MAAQiB,EAAQ,GACvB2B,EAAO9C,KAAOmB,EAAQ,IAGxB39B,EAAKs/B,OAASA,EACPt/B,GAkQLqI,OAAQ,GAoBVwN,gBAAiB,CAEfqlB,MAAO,IAEPpjB,SAAS,EAETtW,GAlRJ,SAAyBxB,EAAMrF,GAC7B,IAAIib,EAAoBjb,EAAQib,mBAAqB4kB,EAAgBx6B,EAAK0P,SAAS4vB,QAK/Et/B,EAAK0P,SAASK,YAAc6F,IAC9BA,EAAoB4kB,EAAgB5kB,IAMtC,IAAI4wB,EAAgBxD,EAAyB,aACzCyD,EAAezmC,EAAK0P,SAAS4vB,OAAOrqB,MACpCunB,EAAMiK,EAAajK,IACnBE,EAAO+J,EAAa/J,KACpB3wB,EAAY06B,EAAaD,GAE7BC,EAAajK,IAAM,GACnBiK,EAAa/J,KAAO,GACpB+J,EAAaD,GAAiB,GAE9B,IAAIhH,EAAaH,EAAcr/B,EAAK0P,SAAS4vB,OAAQt/B,EAAK0P,SAASK,UAAWpV,EAAQ4kC,QAAS3pB,EAAmB5V,EAAKuiC,eAIvHkE,EAAajK,IAAMA,EACnBiK,EAAa/J,KAAOA,EACpB+J,EAAaD,GAAiBz6B,EAE9BpR,EAAQ6kC,WAAaA,EAErB,IAAItE,EAAQvgC,EAAQ+rC,SAChBpH,EAASt/B,EAAK29B,QAAQ2B,OAEtBuC,EAAQ,CACV8E,QAAS,SAAiB13B,GACxB,IAAI/U,EAAQolC,EAAOrwB,GAInB,OAHIqwB,EAAOrwB,GAAauwB,EAAWvwB,KAAetU,EAAQisC,sBACxD1sC,EAAQiZ,KAAKgU,IAAImY,EAAOrwB,GAAYuwB,EAAWvwB,KAE1CjV,EAAe,GAAIiV,EAAW/U,IAEvC2sC,UAAW,SAAmB53B,GAC5B,IAAIsyB,EAAyB,UAAdtyB,EAAwB,OAAS,MAC5C/U,EAAQolC,EAAOiC,GAInB,OAHIjC,EAAOrwB,GAAauwB,EAAWvwB,KAAetU,EAAQisC,sBACxD1sC,EAAQiZ,KAAK2zB,IAAIxH,EAAOiC,GAAW/B,EAAWvwB,IAA4B,UAAdA,EAAwBqwB,EAAOhC,MAAQgC,EAAOjC,UAErGrjC,EAAe,GAAIunC,EAAUrnC,KAWxC,OAPAghC,EAAMt9B,SAAQ,SAAUqR,GACtB,IAAI8sB,GAA+C,IAAxC,CAAC,OAAQ,OAAOr9B,QAAQuQ,GAAoB,UAAY,YACnEqwB,EAAS7B,EAAS,GAAI6B,EAAQuC,EAAM9F,GAAM9sB,OAG5CjP,EAAK29B,QAAQ2B,OAASA,EAEft/B,GA2NL0mC,SAAU,CAAC,OAAQ,QAAS,MAAO,UAOnCnH,QAAS,EAMT3pB,kBAAmB,gBAYrBmxB,aAAc,CAEZ7L,MAAO,IAEPpjB,SAAS,EAETtW,GAlgBJ,SAAsBxB,GACpB,IAAIqmC,EAAgBrmC,EAAK29B,QACrB2B,EAAS+G,EAAc/G,OACvBvvB,EAAYs2B,EAAct2B,UAE1Bd,EAAYjP,EAAKiP,UAAUhR,MAAM,KAAK,GACtC+oC,EAAQ7zB,KAAK6zB,MACbV,GAAuD,IAA1C,CAAC,MAAO,UAAU5nC,QAAQuQ,GACvC8sB,EAAOuK,EAAa,QAAU,SAC9BW,EAASX,EAAa,OAAS,MAC/B7E,EAAc6E,EAAa,QAAU,SASzC,OAPIhH,EAAOvD,GAAQiL,EAAMj3B,EAAUk3B,MACjCjnC,EAAK29B,QAAQ2B,OAAO2H,GAAUD,EAAMj3B,EAAUk3B,IAAW3H,EAAOmC,IAE9DnC,EAAO2H,GAAUD,EAAMj3B,EAAUgsB,MACnC/7B,EAAK29B,QAAQ2B,OAAO2H,GAAUD,EAAMj3B,EAAUgsB,KAGzC/7B,IA4fPyV,MAAO,CAELylB,MAAO,IAEPpjB,SAAS,EAETtW,GApxBJ,SAAexB,EAAMrF,GACnB,IAAIusC,EAGJ,IAAK5C,EAAmBtkC,EAAK0P,SAAS8F,UAAW,QAAS,gBACxD,OAAOxV,EAGT,IAAImnC,EAAexsC,EAAQ+a,QAG3B,GAA4B,iBAAjByxB,GAIT,KAHAA,EAAennC,EAAK0P,SAAS4vB,OAAOrrB,cAAckzB,IAIhD,OAAOnnC,OAKT,IAAKA,EAAK0P,SAAS4vB,OAAOlvB,SAAS+2B,GAEjC,OADAttC,QAAQC,KAAK,iEACNkG,EAIX,IAAIiP,EAAYjP,EAAKiP,UAAUhR,MAAM,KAAK,GACtCooC,EAAgBrmC,EAAK29B,QACrB2B,EAAS+G,EAAc/G,OACvBvvB,EAAYs2B,EAAct2B,UAE1Bu2B,GAAuD,IAA1C,CAAC,OAAQ,SAAS5nC,QAAQuQ,GAEvCm4B,EAAMd,EAAa,SAAW,QAC9Be,EAAkBf,EAAa,MAAQ,OACvCvK,EAAOsL,EAAgBlb,cACvBmb,EAAUhB,EAAa,OAAS,MAChCW,EAASX,EAAa,SAAW,QACjCiB,EAAmB7G,EAAcyG,GAAcC,GAQ/Cr3B,EAAUk3B,GAAUM,EAAmBjI,EAAOvD,KAChD/7B,EAAK29B,QAAQ2B,OAAOvD,IAASuD,EAAOvD,IAAShsB,EAAUk3B,GAAUM,IAG/Dx3B,EAAUgsB,GAAQwL,EAAmBjI,EAAO2H,KAC9CjnC,EAAK29B,QAAQ2B,OAAOvD,IAAShsB,EAAUgsB,GAAQwL,EAAmBjI,EAAO2H,IAE3EjnC,EAAK29B,QAAQ2B,OAAS5B,EAAc19B,EAAK29B,QAAQ2B,QAGjD,IAAIkI,EAASz3B,EAAUgsB,GAAQhsB,EAAUq3B,GAAO,EAAIG,EAAmB,EAInExe,EAAMwQ,EAAyBv5B,EAAK0P,SAAS4vB,QAC7CmI,EAAmBxK,WAAWlU,EAAI,SAAWse,IAC7CK,EAAmBzK,WAAWlU,EAAI,SAAWse,EAAkB,UAC/DM,EAAYH,EAASxnC,EAAK29B,QAAQ2B,OAAOvD,GAAQ0L,EAAmBC,EAQxE,OALAC,EAAYx0B,KAAKgU,IAAIhU,KAAK2zB,IAAIxH,EAAO8H,GAAOG,EAAkBI,GAAY,GAE1E3nC,EAAKmnC,aAAeA,EACpBnnC,EAAK29B,QAAQloB,OAAmCzb,EAA1BktC,EAAsB,GAAwCnL,EAAM5oB,KAAKy0B,MAAMD,IAAa3tC,EAAektC,EAAqBI,EAAS,IAAKJ,GAE7JlnC,GA8sBL0V,QAAS,aAcX8sB,KAAM,CAEJtH,MAAO,IAEPpjB,SAAS,EAETtW,GA5oBJ,SAAcxB,EAAMrF,GAElB,GAAIkoC,EAAkB7iC,EAAK0P,SAAS8F,UAAW,SAC7C,OAAOxV,EAGT,GAAIA,EAAKsiC,SAAWtiC,EAAKiP,YAAcjP,EAAKyiC,kBAE1C,OAAOziC,EAGT,IAAIw/B,EAAaH,EAAcr/B,EAAK0P,SAAS4vB,OAAQt/B,EAAK0P,SAASK,UAAWpV,EAAQ4kC,QAAS5kC,EAAQib,kBAAmB5V,EAAKuiC,eAE3HtzB,EAAYjP,EAAKiP,UAAUhR,MAAM,KAAK,GACtC4pC,EAAoB9G,EAAqB9xB,GACzCsxB,EAAYvgC,EAAKiP,UAAUhR,MAAM,KAAK,IAAM,GAE5C6pC,EAAY,GAEhB,OAAQntC,EAAQotC,UACd,KAAK7C,GACH4C,EAAY,CAAC74B,EAAW44B,GACxB,MACF,KAAK3C,GACH4C,EAAY/C,GAAU91B,GACtB,MACF,KAAKi2B,GACH4C,EAAY/C,GAAU91B,GAAW,GACjC,MACF,QACE64B,EAAYntC,EAAQotC,SAyDxB,OAtDAD,EAAUlqC,SAAQ,SAAUoqC,EAAMjpC,GAChC,GAAIkQ,IAAc+4B,GAAQF,EAAUvrC,SAAWwC,EAAQ,EACrD,OAAOiB,EAGTiP,EAAYjP,EAAKiP,UAAUhR,MAAM,KAAK,GACtC4pC,EAAoB9G,EAAqB9xB,GAEzC,IAAIoyB,EAAgBrhC,EAAK29B,QAAQ2B,OAC7B2I,EAAajoC,EAAK29B,QAAQ5tB,UAG1Bi3B,EAAQ7zB,KAAK6zB,MACbkB,EAA4B,SAAdj5B,GAAwB+3B,EAAM3F,EAAc1E,OAASqK,EAAMiB,EAAWvL,OAAuB,UAAdztB,GAAyB+3B,EAAM3F,EAAc3E,MAAQsK,EAAMiB,EAAWtL,QAAwB,QAAd1tB,GAAuB+3B,EAAM3F,EAAc5E,QAAUuK,EAAMiB,EAAWzL,MAAsB,WAAdvtB,GAA0B+3B,EAAM3F,EAAc7E,KAAOwK,EAAMiB,EAAWxL,QAEjU0L,EAAgBnB,EAAM3F,EAAc3E,MAAQsK,EAAMxH,EAAW9C,MAC7D0L,EAAiBpB,EAAM3F,EAAc1E,OAASqK,EAAMxH,EAAW7C,OAC/D0L,EAAerB,EAAM3F,EAAc7E,KAAOwK,EAAMxH,EAAWhD,KAC3D8L,EAAkBtB,EAAM3F,EAAc5E,QAAUuK,EAAMxH,EAAW/C,QAEjE8L,EAAoC,SAAdt5B,GAAwBk5B,GAA+B,UAAdl5B,GAAyBm5B,GAAgC,QAAdn5B,GAAuBo5B,GAA8B,WAAdp5B,GAA0Bq5B,EAG3KhC,GAAuD,IAA1C,CAAC,MAAO,UAAU5nC,QAAQuQ,GAGvCu5B,IAA0B7tC,EAAQ8tC,iBAAmBnC,GAA4B,UAAd/F,GAAyB4H,GAAiB7B,GAA4B,QAAd/F,GAAuB6H,IAAmB9B,GAA4B,UAAd/F,GAAyB8H,IAAiB/B,GAA4B,QAAd/F,GAAuB+H,GAGlQI,IAA8B/tC,EAAQguC,0BAA4BrC,GAA4B,UAAd/F,GAAyB6H,GAAkB9B,GAA4B,QAAd/F,GAAuB4H,IAAkB7B,GAA4B,UAAd/F,GAAyB+H,IAAoBhC,GAA4B,QAAd/F,GAAuB8H,GAElRO,EAAmBJ,GAAyBE,GAE5CR,GAAeK,GAAuBK,KAExC5oC,EAAKsiC,SAAU,GAEX4F,GAAeK,KACjBt5B,EAAY64B,EAAU/oC,EAAQ,IAG5B6pC,IACFrI,EAvJR,SAA8BA,GAC5B,MAAkB,QAAdA,EACK,QACgB,UAAdA,EACF,MAEFA,EAiJWsI,CAAqBtI,IAGnCvgC,EAAKiP,UAAYA,GAAasxB,EAAY,IAAMA,EAAY,IAI5DvgC,EAAK29B,QAAQ2B,OAAS7B,EAAS,GAAIz9B,EAAK29B,QAAQ2B,OAAQ4B,EAAiBlhC,EAAK0P,SAAS4vB,OAAQt/B,EAAK29B,QAAQ5tB,UAAW/P,EAAKiP,YAE5HjP,EAAO8hC,EAAa9hC,EAAK0P,SAAS8F,UAAWxV,EAAM,YAGhDA,GA4jBL+nC,SAAU,OAKVxI,QAAS,EAOT3pB,kBAAmB,WAQnB6yB,gBAAgB,EAQhBE,yBAAyB,GAU3BG,MAAO,CAEL5N,MAAO,IAEPpjB,SAAS,EAETtW,GArQJ,SAAexB,GACb,IAAIiP,EAAYjP,EAAKiP,UACjBm2B,EAAgBn2B,EAAUhR,MAAM,KAAK,GACrCooC,EAAgBrmC,EAAK29B,QACrB2B,EAAS+G,EAAc/G,OACvBvvB,EAAYs2B,EAAct2B,UAE1BuxB,GAAwD,IAA9C,CAAC,OAAQ,SAAS5iC,QAAQ0mC,GAEpC2D,GAA6D,IAA5C,CAAC,MAAO,QAAQrqC,QAAQ0mC,GAO7C,OALA9F,EAAOgC,EAAU,OAAS,OAASvxB,EAAUq1B,IAAkB2D,EAAiBzJ,EAAOgC,EAAU,QAAU,UAAY,GAEvHthC,EAAKiP,UAAY8xB,EAAqB9xB,GACtCjP,EAAK29B,QAAQ2B,OAAS5B,EAAc4B,GAE7Bt/B,IAkQP6Q,KAAM,CAEJqqB,MAAO,IAEPpjB,SAAS,EAETtW,GA9TJ,SAAcxB,GACZ,IAAKskC,EAAmBtkC,EAAK0P,SAAS8F,UAAW,OAAQ,mBACvD,OAAOxV,EAGT,IAAI8/B,EAAU9/B,EAAK29B,QAAQ5tB,UACvBi5B,EAAQrH,EAAK3hC,EAAK0P,SAAS8F,WAAW,SAAU+mB,GAClD,MAAyB,oBAAlBA,EAASt0B,QACfu3B,WAEH,GAAIM,EAAQrD,OAASuM,EAAMxM,KAAOsD,EAAQpD,KAAOsM,EAAMrM,OAASmD,EAAQtD,IAAMwM,EAAMvM,QAAUqD,EAAQnD,MAAQqM,EAAMtM,KAAM,CAExH,IAAkB,IAAd18B,EAAK6Q,KACP,OAAO7Q,EAGTA,EAAK6Q,MAAO,EACZ7Q,EAAKqiC,WAAW,uBAAyB,OACpC,CAEL,IAAkB,IAAdriC,EAAK6Q,KACP,OAAO7Q,EAGTA,EAAK6Q,MAAO,EACZ7Q,EAAKqiC,WAAW,wBAAyB,EAG3C,OAAOriC,IAoTPipC,aAAc,CAEZ/N,MAAO,IAEPpjB,SAAS,EAETtW,GAtgCJ,SAAsBxB,EAAMrF,GAC1B,IAAIgmC,EAAIhmC,EAAQgmC,EACZE,EAAIlmC,EAAQkmC,EACZvB,EAASt/B,EAAK29B,QAAQ2B,OAItB4J,EAA8BvH,EAAK3hC,EAAK0P,SAAS8F,WAAW,SAAU+mB,GACxE,MAAyB,eAAlBA,EAASt0B,QACfkhC,qBACiC/oC,IAAhC8oC,GACFrvC,QAAQC,KAAK,iIAEf,IAAIqvC,OAAkD/oC,IAAhC8oC,EAA4CA,EAA8BvuC,EAAQwuC,gBAEpGxO,EAAeH,EAAgBx6B,EAAK0P,SAAS4vB,QAC7C8J,EAAmBxL,EAAsBjD,GAGzCkC,EAAS,CACXnb,SAAU4d,EAAO5d,UAGfic,EA9DN,SAA2B39B,EAAMqpC,GAC/B,IAAIhD,EAAgBrmC,EAAK29B,QACrB2B,EAAS+G,EAAc/G,OACvBvvB,EAAYs2B,EAAct2B,UAC1B63B,EAAQz0B,KAAKy0B,MACbZ,EAAQ7zB,KAAK6zB,MAEbsC,EAAU,SAAiBC,GAC7B,OAAOA,GAGLC,EAAiB5B,EAAM73B,EAAUutB,OACjCmM,EAAc7B,EAAMtI,EAAOhC,OAE3BgJ,GAA4D,IAA/C,CAAC,OAAQ,SAAS5nC,QAAQsB,EAAKiP,WAC5Cy6B,GAA+C,IAAjC1pC,EAAKiP,UAAUvQ,QAAQ,KAIrCirC,EAAuBN,EAAwB/C,GAAcoD,GAH3CF,EAAiB,GAAMC,EAAc,EAGuC7B,EAAQZ,EAAjEsC,EACrCM,EAAqBP,EAAwBzB,EAAV0B,EAEvC,MAAO,CACL5M,KAAMiN,EANWH,EAAiB,GAAM,GAAKC,EAAc,GAAM,IAMtBC,GAAeL,EAAc/J,EAAO5C,KAAO,EAAI4C,EAAO5C,MACjGF,IAAKoN,EAAkBtK,EAAO9C,KAC9BC,OAAQmN,EAAkBtK,EAAO7C,QACjCE,MAAOgN,EAAoBrK,EAAO3C,QAoCtBkN,CAAkB7pC,EAAM/E,OAAO6uC,iBAAmB,IAAMzF,GAElEtH,EAAc,WAAN4D,EAAiB,MAAQ,SACjC3D,EAAc,UAAN6D,EAAgB,OAAS,QAKjCkJ,EAAmB/G,EAAyB,aAW5CtG,OAAO,EACPF,OAAM,EAqBV,GAhBIA,EAJU,WAAVO,EAG4B,SAA1BpC,EAAahB,UACRgB,EAAaoD,aAAeJ,EAAQlB,QAEpC2M,EAAiB/L,OAASM,EAAQlB,OAGrCkB,EAAQnB,IAIZE,EAFU,UAAVM,EAC4B,SAA1BrC,EAAahB,UACPgB,EAAamD,YAAcH,EAAQhB,OAEnCyM,EAAiB9L,MAAQK,EAAQhB,MAGpCgB,EAAQjB,KAEbyM,GAAmBY,EACrBlN,EAAOkN,GAAoB,eAAiBrN,EAAO,OAASF,EAAM,SAClEK,EAAOE,GAAS,EAChBF,EAAOG,GAAS,EAChBH,EAAO0G,WAAa,gBACf,CAEL,IAAIyG,EAAsB,WAAVjN,GAAsB,EAAI,EACtCkN,EAAuB,UAAVjN,GAAqB,EAAI,EAC1CH,EAAOE,GAASP,EAAMwN,EACtBnN,EAAOG,GAASN,EAAOuN,EACvBpN,EAAO0G,WAAaxG,EAAQ,KAAOC,EAIrC,IAAIqF,EAAa,CACf,cAAeriC,EAAKiP,WAQtB,OAJAjP,EAAKqiC,WAAa5E,EAAS,GAAI4E,EAAYriC,EAAKqiC,YAChDriC,EAAK68B,OAASY,EAAS,GAAIZ,EAAQ78B,EAAK68B,QACxC78B,EAAKoiC,YAAc3E,EAAS,GAAIz9B,EAAK29B,QAAQloB,MAAOzV,EAAKoiC,aAElDpiC,GAo7BLmpC,iBAAiB,EAMjBxI,EAAG,SAMHE,EAAG,SAkBLqJ,WAAY,CAEVhP,MAAO,IAEPpjB,SAAS,EAETtW,GAzpCJ,SAAoBxB,GApBpB,IAAuB0V,EAAS2sB,EAoC9B,OAXA8B,EAAUnkC,EAAK0P,SAAS4vB,OAAQt/B,EAAK68B,QAzBhBnnB,EA6BP1V,EAAK0P,SAAS4vB,OA7BE+C,EA6BMriC,EAAKqiC,WA5BzCtoC,OAAOkD,KAAKolC,GAAYzkC,SAAQ,SAAUokC,IAE1B,IADFK,EAAWL,GAErBtsB,EAAQ9W,aAAaojC,EAAMK,EAAWL,IAEtCtsB,EAAQjD,gBAAgBuvB,MA0BxBhiC,EAAKmnC,cAAgBptC,OAAOkD,KAAK+C,EAAKoiC,aAAa7lC,QACrD4nC,EAAUnkC,EAAKmnC,aAAcnnC,EAAKoiC,aAG7BpiC,GA2oCLmqC,OA9nCJ,SAA0Bp6B,EAAWuvB,EAAQ3kC,EAASyvC,EAAiBvyB,GAErE,IAAIspB,EAAmBX,EAAoB3oB,EAAOynB,EAAQvvB,EAAWpV,EAAQ4nC,eAKzEtzB,EAAY4wB,EAAqBllC,EAAQsU,UAAWkyB,EAAkB7B,EAAQvvB,EAAWpV,EAAQ6a,UAAUgtB,KAAK5sB,kBAAmBjb,EAAQ6a,UAAUgtB,KAAKjD,SAQ9J,OANAD,EAAO1gC,aAAa,cAAeqQ,GAInCk1B,EAAU7E,EAAQ,CAAE5d,SAAU/mB,EAAQ4nC,cAAgB,QAAU,aAEzD5nC,GAsnCLwuC,qBAAiB/oC,KAuGjBiqC,GAAS,WASX,SAASA,EAAOt6B,EAAWuvB,GACzB,IAAI7vB,EAAQlQ,KAER5E,EAAU+C,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,GAClF6/B,EAAeh+B,KAAM8qC,GAErB9qC,KAAKse,eAAiB,WACpB,OAAO/H,sBAAsBrG,EAAMyC,SAIrC3S,KAAK2S,OAASinB,EAAS55B,KAAK2S,OAAOtB,KAAKrR,OAGxCA,KAAK5E,QAAU8iC,EAAS,GAAI4M,EAAOnE,SAAUvrC,GAG7C4E,KAAKsY,MAAQ,CACXsqB,aAAa,EACbO,WAAW,EACXmB,cAAe,IAIjBtkC,KAAKwQ,UAAYA,GAAaA,EAAUW,OAASX,EAAU,GAAKA,EAChExQ,KAAK+/B,OAASA,GAAUA,EAAO5uB,OAAS4uB,EAAO,GAAKA,EAGpD//B,KAAK5E,QAAQ6a,UAAY,GACzBzb,OAAOkD,KAAKwgC,EAAS,GAAI4M,EAAOnE,SAAS1wB,UAAW7a,EAAQ6a,YAAY5X,SAAQ,SAAUqK,GACxFwH,EAAM9U,QAAQ6a,UAAUvN,GAAQw1B,EAAS,GAAI4M,EAAOnE,SAAS1wB,UAAUvN,IAAS,GAAItN,EAAQ6a,UAAY7a,EAAQ6a,UAAUvN,GAAQ,OAIpI1I,KAAKiW,UAAYzb,OAAOkD,KAAKsC,KAAK5E,QAAQ6a,WAAWtQ,KAAI,SAAU+C,GACjE,OAAOw1B,EAAS,CACdx1B,KAAMA,GACLwH,EAAM9U,QAAQ6a,UAAUvN,OAG5Bi4B,MAAK,SAAUC,EAAGC,GACjB,OAAOD,EAAEjF,MAAQkF,EAAElF,SAOrB37B,KAAKiW,UAAU5X,SAAQ,SAAUwsC,GAC3BA,EAAgBtyB,SAAWkW,EAAWoc,EAAgBD,SACxDC,EAAgBD,OAAO16B,EAAMM,UAAWN,EAAM6vB,OAAQ7vB,EAAM9U,QAASyvC,EAAiB36B,EAAMoI,UAKhGtY,KAAK2S,SAEL,IAAI6xB,EAAgBxkC,KAAK5E,QAAQopC,cAC7BA,GAEFxkC,KAAK4V,uBAGP5V,KAAKsY,MAAMksB,cAAgBA,EAqD7B,OA9CAvG,EAAY6M,EAAQ,CAAC,CACnBztC,IAAK,SACL1C,MAAO,WACL,OAAOgY,EAAOhS,KAAKX,QAEpB,CACD3C,IAAK,UACL1C,MAAO,WACL,OAAOyc,EAAQzW,KAAKX,QAErB,CACD3C,IAAK,uBACL1C,MAAO,WACL,OAAOib,EAAqBjV,KAAKX,QAElC,CACD3C,IAAK,wBACL1C,MAAO,WACL,OAAO+b,EAAsB/V,KAAKX,UA4B/B8qC,EA7HI,GAqJbA,GAAOC,OAA2B,oBAAXrvC,OAAyBA,OAASoG,GAAQkpC,YACjEF,GAAOxF,WAAaA,EACpBwF,GAAOnE,SAAWA,GAEH,S","file":"vendors~editor~files-modal.js?v=52bb514bab8d0c1423ed","sourcesContent":["\"use strict\";\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getLocale = getLocale;\nexports.getCanonicalLocale = getCanonicalLocale;\nexports.getLanguage = getLanguage;\nexports.translate = translate;\nexports.translatePlural = translatePlural;\nexports.getFirstDay = getFirstDay;\nexports.getDayNames = getDayNames;\nexports.getDayNamesShort = getDayNamesShort;\nexports.getDayNamesMin = getDayNamesMin;\nexports.getMonthNames = getMonthNames;\nexports.getMonthNamesShort = getMonthNamesShort;\n\n/// <reference types=\"@nextcloud/typings\" />\n\n/**\n * Returns the user's locale\n */\nfunction getLocale() {\n if (typeof OC === 'undefined') {\n console.warn('No OC found');\n return 'en';\n }\n\n return OC.getLocale();\n}\n\nfunction getCanonicalLocale() {\n return getLocale().replace(/_/g, '-');\n}\n/**\n * Returns the user's language\n */\n\n\nfunction getLanguage() {\n if (typeof OC === 'undefined') {\n console.warn('No OC found');\n return 'en';\n }\n\n return OC.getLanguage();\n}\n\n/**\n * Translate a string\n *\n * @param {string} app the id of the app for which to translate the string\n * @param {string} text the string to translate\n * @param {object} vars map of placeholder key to value\n * @param {number} number to replace %n with\n * @param {object} [options] options object\n * @return {string}\n */\nfunction translate(app, text, vars, count, options) {\n if (typeof OC === 'undefined') {\n console.warn('No OC found');\n return text;\n }\n\n return OC.L10N.translate(app, text, vars, count, options);\n}\n/**\n * Translate a plural string\n *\n * @param {string} app the id of the app for which to translate the string\n * @param {string} textSingular the string to translate for exactly one object\n * @param {string} textPlural the string to translate for n objects\n * @param {number} count number to determine whether to use singular or plural\n * @param {Object} vars of placeholder key to value\n * @param {object} options options object\n * @return {string}\n */\n\n\nfunction translatePlural(app, textSingular, textPlural, count, vars, options) {\n if (typeof OC === 'undefined') {\n console.warn('No OC found');\n return textSingular;\n }\n\n return OC.L10N.translatePlural(app, textSingular, textPlural, count, vars, options);\n}\n/**\n * Get the first day of the week\n *\n * @return {number}\n */\n\n\nfunction getFirstDay() {\n if (typeof window.firstDay === 'undefined') {\n console.warn('No firstDay found');\n return 1;\n }\n\n return window.firstDay;\n}\n/**\n * Get a list of day names (full names)\n *\n * @return {string[]}\n */\n\n\nfunction getDayNames() {\n if (typeof window.dayNames === 'undefined') {\n console.warn('No dayNames found');\n return ['Sunday', 'Monday', 'Tuesday', 'Wednesday', 'Thursday', 'Friday', 'Saturday'];\n }\n\n return window.dayNames;\n}\n/**\n * Get a list of day names (short names)\n *\n * @return {string[]}\n */\n\n\nfunction getDayNamesShort() {\n if (typeof window.dayNamesShort === 'undefined') {\n console.warn('No dayNamesShort found');\n return ['Sun.', 'Mon.', 'Tue.', 'Wed.', 'Thu.', 'Fri.', 'Sat.'];\n }\n\n return window.dayNamesShort;\n}\n/**\n * Get a list of day names (minified names)\n *\n * @return {string[]}\n */\n\n\nfunction getDayNamesMin() {\n if (typeof window.dayNamesMin === 'undefined') {\n console.warn('No dayNamesMin found');\n return ['Su', 'Mo', 'Tu', 'We', 'Th', 'Fr', 'Sa'];\n }\n\n return window.dayNamesMin;\n}\n/**\n * Get a list of month names (full names)\n *\n * @return {string[]}\n */\n\n\nfunction getMonthNames() {\n if (typeof window.monthNames === 'undefined') {\n console.warn('No monthNames found');\n return ['January', 'February', 'March', 'April', 'May', 'June', 'July', 'August', 'September', 'October', 'November', 'December'];\n }\n\n return window.monthNames;\n}\n/**\n * Get a list of month names (short names)\n *\n * @return {string[]}\n */\n\n\nfunction getMonthNamesShort() {\n if (typeof window.monthNamesShort === 'undefined') {\n console.warn('No monthNamesShort found');\n return ['Jan.', 'Feb.', 'Mar.', 'Apr.', 'May.', 'Jun.', 'Jul.', 'Aug.', 'Sep.', 'Oct.', 'Nov.', 'Dec.'];\n }\n\n return window.monthNamesShort;\n}\n//# sourceMappingURL=index.js.map","import Popper from 'popper.js';\nimport { ResizeObserver } from 'vue-resize';\n\nfunction _typeof(obj) {\n if (typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\") {\n _typeof = function (obj) {\n return typeof obj;\n };\n } else {\n _typeof = function (obj) {\n return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj;\n };\n }\n\n return _typeof(obj);\n}\n\nfunction _classCallCheck(instance, Constructor) {\n if (!(instance instanceof Constructor)) {\n throw new TypeError(\"Cannot call a class as a function\");\n }\n}\n\nfunction _defineProperties(target, props) {\n for (var i = 0; i < props.length; i++) {\n var descriptor = props[i];\n descriptor.enumerable = descriptor.enumerable || false;\n descriptor.configurable = true;\n if (\"value\" in descriptor) descriptor.writable = true;\n Object.defineProperty(target, descriptor.key, descriptor);\n }\n}\n\nfunction _createClass(Constructor, protoProps, staticProps) {\n if (protoProps) _defineProperties(Constructor.prototype, protoProps);\n if (staticProps) _defineProperties(Constructor, staticProps);\n return Constructor;\n}\n\nfunction _defineProperty(obj, key, value) {\n if (key in obj) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n } else {\n obj[key] = value;\n }\n\n return obj;\n}\n\nfunction ownKeys(object, enumerableOnly) {\n var keys = Object.keys(object);\n\n if (Object.getOwnPropertySymbols) {\n var symbols = Object.getOwnPropertySymbols(object);\n if (enumerableOnly) symbols = symbols.filter(function (sym) {\n return Object.getOwnPropertyDescriptor(object, sym).enumerable;\n });\n keys.push.apply(keys, symbols);\n }\n\n return keys;\n}\n\nfunction _objectSpread2(target) {\n for (var i = 1; i < arguments.length; i++) {\n var source = arguments[i] != null ? arguments[i] : {};\n\n if (i % 2) {\n ownKeys(Object(source), true).forEach(function (key) {\n _defineProperty(target, key, source[key]);\n });\n } else if (Object.getOwnPropertyDescriptors) {\n Object.defineProperties(target, Object.getOwnPropertyDescriptors(source));\n } else {\n ownKeys(Object(source)).forEach(function (key) {\n Object.defineProperty(target, key, Object.getOwnPropertyDescriptor(source, key));\n });\n }\n }\n\n return target;\n}\n\nvar SVGAnimatedString = function SVGAnimatedString() {};\n\nif (typeof window !== 'undefined') {\n SVGAnimatedString = window.SVGAnimatedString;\n}\n\nfunction convertToArray(value) {\n if (typeof value === 'string') {\n value = value.split(' ');\n }\n\n return value;\n}\n/**\n * Add classes to an element.\n * This method checks to ensure that the classes don't already exist before adding them.\n * It uses el.className rather than classList in order to be IE friendly.\n * @param {object} el - The element to add the classes to.\n * @param {classes} string - List of space separated classes to be added to the element.\n */\n\nfunction addClasses(el, classes) {\n var newClasses = convertToArray(classes);\n var classList;\n\n if (el.className instanceof SVGAnimatedString) {\n classList = convertToArray(el.className.baseVal);\n } else {\n classList = convertToArray(el.className);\n }\n\n newClasses.forEach(function (newClass) {\n if (classList.indexOf(newClass) === -1) {\n classList.push(newClass);\n }\n });\n\n if (el instanceof SVGElement) {\n el.setAttribute('class', classList.join(' '));\n } else {\n el.className = classList.join(' ');\n }\n}\n/**\n * Remove classes from an element.\n * It uses el.className rather than classList in order to be IE friendly.\n * @export\n * @param {any} el The element to remove the classes from.\n * @param {any} classes List of space separated classes to be removed from the element.\n */\n\nfunction removeClasses(el, classes) {\n var newClasses = convertToArray(classes);\n var classList;\n\n if (el.className instanceof SVGAnimatedString) {\n classList = convertToArray(el.className.baseVal);\n } else {\n classList = convertToArray(el.className);\n }\n\n newClasses.forEach(function (newClass) {\n var index = classList.indexOf(newClass);\n\n if (index !== -1) {\n classList.splice(index, 1);\n }\n });\n\n if (el instanceof SVGElement) {\n el.setAttribute('class', classList.join(' '));\n } else {\n el.className = classList.join(' ');\n }\n}\nvar supportsPassive = false;\n\nif (typeof window !== 'undefined') {\n supportsPassive = false;\n\n try {\n var opts = Object.defineProperty({}, 'passive', {\n get: function get() {\n supportsPassive = true;\n }\n });\n window.addEventListener('test', null, opts);\n } catch (e) {}\n}\n\n/**\n * Removes all key-value entries from the list cache.\n *\n * @private\n * @name clear\n * @memberOf ListCache\n */\nfunction listCacheClear() {\n this.__data__ = [];\n this.size = 0;\n}\n\nvar _listCacheClear = listCacheClear;\n\n/**\n * Performs a\n * [`SameValueZero`](http://ecma-international.org/ecma-262/7.0/#sec-samevaluezero)\n * comparison between two values to determine if they are equivalent.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to compare.\n * @param {*} other The other value to compare.\n * @returns {boolean} Returns `true` if the values are equivalent, else `false`.\n * @example\n *\n * var object = { 'a': 1 };\n * var other = { 'a': 1 };\n *\n * _.eq(object, object);\n * // => true\n *\n * _.eq(object, other);\n * // => false\n *\n * _.eq('a', 'a');\n * // => true\n *\n * _.eq('a', Object('a'));\n * // => false\n *\n * _.eq(NaN, NaN);\n * // => true\n */\nfunction eq(value, other) {\n return value === other || (value !== value && other !== other);\n}\n\nvar eq_1 = eq;\n\n/**\n * Gets the index at which the `key` is found in `array` of key-value pairs.\n *\n * @private\n * @param {Array} array The array to inspect.\n * @param {*} key The key to search for.\n * @returns {number} Returns the index of the matched value, else `-1`.\n */\nfunction assocIndexOf(array, key) {\n var length = array.length;\n while (length--) {\n if (eq_1(array[length][0], key)) {\n return length;\n }\n }\n return -1;\n}\n\nvar _assocIndexOf = assocIndexOf;\n\n/** Used for built-in method references. */\nvar arrayProto = Array.prototype;\n\n/** Built-in value references. */\nvar splice = arrayProto.splice;\n\n/**\n * Removes `key` and its value from the list cache.\n *\n * @private\n * @name delete\n * @memberOf ListCache\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction listCacheDelete(key) {\n var data = this.__data__,\n index = _assocIndexOf(data, key);\n\n if (index < 0) {\n return false;\n }\n var lastIndex = data.length - 1;\n if (index == lastIndex) {\n data.pop();\n } else {\n splice.call(data, index, 1);\n }\n --this.size;\n return true;\n}\n\nvar _listCacheDelete = listCacheDelete;\n\n/**\n * Gets the list cache value for `key`.\n *\n * @private\n * @name get\n * @memberOf ListCache\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction listCacheGet(key) {\n var data = this.__data__,\n index = _assocIndexOf(data, key);\n\n return index < 0 ? undefined : data[index][1];\n}\n\nvar _listCacheGet = listCacheGet;\n\n/**\n * Checks if a list cache value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf ListCache\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction listCacheHas(key) {\n return _assocIndexOf(this.__data__, key) > -1;\n}\n\nvar _listCacheHas = listCacheHas;\n\n/**\n * Sets the list cache `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf ListCache\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the list cache instance.\n */\nfunction listCacheSet(key, value) {\n var data = this.__data__,\n index = _assocIndexOf(data, key);\n\n if (index < 0) {\n ++this.size;\n data.push([key, value]);\n } else {\n data[index][1] = value;\n }\n return this;\n}\n\nvar _listCacheSet = listCacheSet;\n\n/**\n * Creates an list cache object.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction ListCache(entries) {\n var index = -1,\n length = entries == null ? 0 : entries.length;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n// Add methods to `ListCache`.\nListCache.prototype.clear = _listCacheClear;\nListCache.prototype['delete'] = _listCacheDelete;\nListCache.prototype.get = _listCacheGet;\nListCache.prototype.has = _listCacheHas;\nListCache.prototype.set = _listCacheSet;\n\nvar _ListCache = ListCache;\n\n/**\n * Removes all key-value entries from the stack.\n *\n * @private\n * @name clear\n * @memberOf Stack\n */\nfunction stackClear() {\n this.__data__ = new _ListCache;\n this.size = 0;\n}\n\nvar _stackClear = stackClear;\n\n/**\n * Removes `key` and its value from the stack.\n *\n * @private\n * @name delete\n * @memberOf Stack\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction stackDelete(key) {\n var data = this.__data__,\n result = data['delete'](key);\n\n this.size = data.size;\n return result;\n}\n\nvar _stackDelete = stackDelete;\n\n/**\n * Gets the stack value for `key`.\n *\n * @private\n * @name get\n * @memberOf Stack\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction stackGet(key) {\n return this.__data__.get(key);\n}\n\nvar _stackGet = stackGet;\n\n/**\n * Checks if a stack value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf Stack\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction stackHas(key) {\n return this.__data__.has(key);\n}\n\nvar _stackHas = stackHas;\n\nvar commonjsGlobal = typeof globalThis !== 'undefined' ? globalThis : typeof window !== 'undefined' ? window : typeof global !== 'undefined' ? global : typeof self !== 'undefined' ? self : {};\n\nfunction createCommonjsModule(fn, module) {\n\treturn module = { exports: {} }, fn(module, module.exports), module.exports;\n}\n\n/** Detect free variable `global` from Node.js. */\nvar freeGlobal = typeof commonjsGlobal == 'object' && commonjsGlobal && commonjsGlobal.Object === Object && commonjsGlobal;\n\nvar _freeGlobal = freeGlobal;\n\n/** Detect free variable `self`. */\nvar freeSelf = typeof self == 'object' && self && self.Object === Object && self;\n\n/** Used as a reference to the global object. */\nvar root = _freeGlobal || freeSelf || Function('return this')();\n\nvar _root = root;\n\n/** Built-in value references. */\nvar Symbol$1 = _root.Symbol;\n\nvar _Symbol = Symbol$1;\n\n/** Used for built-in method references. */\nvar objectProto = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty = objectProto.hasOwnProperty;\n\n/**\n * Used to resolve the\n * [`toStringTag`](http://ecma-international.org/ecma-262/7.0/#sec-object.prototype.tostring)\n * of values.\n */\nvar nativeObjectToString = objectProto.toString;\n\n/** Built-in value references. */\nvar symToStringTag = _Symbol ? _Symbol.toStringTag : undefined;\n\n/**\n * A specialized version of `baseGetTag` which ignores `Symbol.toStringTag` values.\n *\n * @private\n * @param {*} value The value to query.\n * @returns {string} Returns the raw `toStringTag`.\n */\nfunction getRawTag(value) {\n var isOwn = hasOwnProperty.call(value, symToStringTag),\n tag = value[symToStringTag];\n\n try {\n value[symToStringTag] = undefined;\n var unmasked = true;\n } catch (e) {}\n\n var result = nativeObjectToString.call(value);\n if (unmasked) {\n if (isOwn) {\n value[symToStringTag] = tag;\n } else {\n delete value[symToStringTag];\n }\n }\n return result;\n}\n\nvar _getRawTag = getRawTag;\n\n/** Used for built-in method references. */\nvar objectProto$1 = Object.prototype;\n\n/**\n * Used to resolve the\n * [`toStringTag`](http://ecma-international.org/ecma-262/7.0/#sec-object.prototype.tostring)\n * of values.\n */\nvar nativeObjectToString$1 = objectProto$1.toString;\n\n/**\n * Converts `value` to a string using `Object.prototype.toString`.\n *\n * @private\n * @param {*} value The value to convert.\n * @returns {string} Returns the converted string.\n */\nfunction objectToString(value) {\n return nativeObjectToString$1.call(value);\n}\n\nvar _objectToString = objectToString;\n\n/** `Object#toString` result references. */\nvar nullTag = '[object Null]',\n undefinedTag = '[object Undefined]';\n\n/** Built-in value references. */\nvar symToStringTag$1 = _Symbol ? _Symbol.toStringTag : undefined;\n\n/**\n * The base implementation of `getTag` without fallbacks for buggy environments.\n *\n * @private\n * @param {*} value The value to query.\n * @returns {string} Returns the `toStringTag`.\n */\nfunction baseGetTag(value) {\n if (value == null) {\n return value === undefined ? undefinedTag : nullTag;\n }\n return (symToStringTag$1 && symToStringTag$1 in Object(value))\n ? _getRawTag(value)\n : _objectToString(value);\n}\n\nvar _baseGetTag = baseGetTag;\n\n/**\n * Checks if `value` is the\n * [language type](http://www.ecma-international.org/ecma-262/7.0/#sec-ecmascript-language-types)\n * of `Object`. (e.g. arrays, functions, objects, regexes, `new Number(0)`, and `new String('')`)\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an object, else `false`.\n * @example\n *\n * _.isObject({});\n * // => true\n *\n * _.isObject([1, 2, 3]);\n * // => true\n *\n * _.isObject(_.noop);\n * // => true\n *\n * _.isObject(null);\n * // => false\n */\nfunction isObject(value) {\n var type = typeof value;\n return value != null && (type == 'object' || type == 'function');\n}\n\nvar isObject_1 = isObject;\n\n/** `Object#toString` result references. */\nvar asyncTag = '[object AsyncFunction]',\n funcTag = '[object Function]',\n genTag = '[object GeneratorFunction]',\n proxyTag = '[object Proxy]';\n\n/**\n * Checks if `value` is classified as a `Function` object.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a function, else `false`.\n * @example\n *\n * _.isFunction(_);\n * // => true\n *\n * _.isFunction(/abc/);\n * // => false\n */\nfunction isFunction(value) {\n if (!isObject_1(value)) {\n return false;\n }\n // The use of `Object#toString` avoids issues with the `typeof` operator\n // in Safari 9 which returns 'object' for typed arrays and other constructors.\n var tag = _baseGetTag(value);\n return tag == funcTag || tag == genTag || tag == asyncTag || tag == proxyTag;\n}\n\nvar isFunction_1 = isFunction;\n\n/** Used to detect overreaching core-js shims. */\nvar coreJsData = _root['__core-js_shared__'];\n\nvar _coreJsData = coreJsData;\n\n/** Used to detect methods masquerading as native. */\nvar maskSrcKey = (function() {\n var uid = /[^.]+$/.exec(_coreJsData && _coreJsData.keys && _coreJsData.keys.IE_PROTO || '');\n return uid ? ('Symbol(src)_1.' + uid) : '';\n}());\n\n/**\n * Checks if `func` has its source masked.\n *\n * @private\n * @param {Function} func The function to check.\n * @returns {boolean} Returns `true` if `func` is masked, else `false`.\n */\nfunction isMasked(func) {\n return !!maskSrcKey && (maskSrcKey in func);\n}\n\nvar _isMasked = isMasked;\n\n/** Used for built-in method references. */\nvar funcProto = Function.prototype;\n\n/** Used to resolve the decompiled source of functions. */\nvar funcToString = funcProto.toString;\n\n/**\n * Converts `func` to its source code.\n *\n * @private\n * @param {Function} func The function to convert.\n * @returns {string} Returns the source code.\n */\nfunction toSource(func) {\n if (func != null) {\n try {\n return funcToString.call(func);\n } catch (e) {}\n try {\n return (func + '');\n } catch (e) {}\n }\n return '';\n}\n\nvar _toSource = toSource;\n\n/**\n * Used to match `RegExp`\n * [syntax characters](http://ecma-international.org/ecma-262/7.0/#sec-patterns).\n */\nvar reRegExpChar = /[\\\\^$.*+?()[\\]{}|]/g;\n\n/** Used to detect host constructors (Safari). */\nvar reIsHostCtor = /^\\[object .+?Constructor\\]$/;\n\n/** Used for built-in method references. */\nvar funcProto$1 = Function.prototype,\n objectProto$2 = Object.prototype;\n\n/** Used to resolve the decompiled source of functions. */\nvar funcToString$1 = funcProto$1.toString;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$1 = objectProto$2.hasOwnProperty;\n\n/** Used to detect if a method is native. */\nvar reIsNative = RegExp('^' +\n funcToString$1.call(hasOwnProperty$1).replace(reRegExpChar, '\\\\$&')\n .replace(/hasOwnProperty|(function).*?(?=\\\\\\()| for .+?(?=\\\\\\])/g, '$1.*?') + '$'\n);\n\n/**\n * The base implementation of `_.isNative` without bad shim checks.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a native function,\n * else `false`.\n */\nfunction baseIsNative(value) {\n if (!isObject_1(value) || _isMasked(value)) {\n return false;\n }\n var pattern = isFunction_1(value) ? reIsNative : reIsHostCtor;\n return pattern.test(_toSource(value));\n}\n\nvar _baseIsNative = baseIsNative;\n\n/**\n * Gets the value at `key` of `object`.\n *\n * @private\n * @param {Object} [object] The object to query.\n * @param {string} key The key of the property to get.\n * @returns {*} Returns the property value.\n */\nfunction getValue(object, key) {\n return object == null ? undefined : object[key];\n}\n\nvar _getValue = getValue;\n\n/**\n * Gets the native function at `key` of `object`.\n *\n * @private\n * @param {Object} object The object to query.\n * @param {string} key The key of the method to get.\n * @returns {*} Returns the function if it's native, else `undefined`.\n */\nfunction getNative(object, key) {\n var value = _getValue(object, key);\n return _baseIsNative(value) ? value : undefined;\n}\n\nvar _getNative = getNative;\n\n/* Built-in method references that are verified to be native. */\nvar Map = _getNative(_root, 'Map');\n\nvar _Map = Map;\n\n/* Built-in method references that are verified to be native. */\nvar nativeCreate = _getNative(Object, 'create');\n\nvar _nativeCreate = nativeCreate;\n\n/**\n * Removes all key-value entries from the hash.\n *\n * @private\n * @name clear\n * @memberOf Hash\n */\nfunction hashClear() {\n this.__data__ = _nativeCreate ? _nativeCreate(null) : {};\n this.size = 0;\n}\n\nvar _hashClear = hashClear;\n\n/**\n * Removes `key` and its value from the hash.\n *\n * @private\n * @name delete\n * @memberOf Hash\n * @param {Object} hash The hash to modify.\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction hashDelete(key) {\n var result = this.has(key) && delete this.__data__[key];\n this.size -= result ? 1 : 0;\n return result;\n}\n\nvar _hashDelete = hashDelete;\n\n/** Used to stand-in for `undefined` hash values. */\nvar HASH_UNDEFINED = '__lodash_hash_undefined__';\n\n/** Used for built-in method references. */\nvar objectProto$3 = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$2 = objectProto$3.hasOwnProperty;\n\n/**\n * Gets the hash value for `key`.\n *\n * @private\n * @name get\n * @memberOf Hash\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction hashGet(key) {\n var data = this.__data__;\n if (_nativeCreate) {\n var result = data[key];\n return result === HASH_UNDEFINED ? undefined : result;\n }\n return hasOwnProperty$2.call(data, key) ? data[key] : undefined;\n}\n\nvar _hashGet = hashGet;\n\n/** Used for built-in method references. */\nvar objectProto$4 = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$3 = objectProto$4.hasOwnProperty;\n\n/**\n * Checks if a hash value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf Hash\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction hashHas(key) {\n var data = this.__data__;\n return _nativeCreate ? (data[key] !== undefined) : hasOwnProperty$3.call(data, key);\n}\n\nvar _hashHas = hashHas;\n\n/** Used to stand-in for `undefined` hash values. */\nvar HASH_UNDEFINED$1 = '__lodash_hash_undefined__';\n\n/**\n * Sets the hash `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf Hash\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the hash instance.\n */\nfunction hashSet(key, value) {\n var data = this.__data__;\n this.size += this.has(key) ? 0 : 1;\n data[key] = (_nativeCreate && value === undefined) ? HASH_UNDEFINED$1 : value;\n return this;\n}\n\nvar _hashSet = hashSet;\n\n/**\n * Creates a hash object.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction Hash(entries) {\n var index = -1,\n length = entries == null ? 0 : entries.length;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n// Add methods to `Hash`.\nHash.prototype.clear = _hashClear;\nHash.prototype['delete'] = _hashDelete;\nHash.prototype.get = _hashGet;\nHash.prototype.has = _hashHas;\nHash.prototype.set = _hashSet;\n\nvar _Hash = Hash;\n\n/**\n * Removes all key-value entries from the map.\n *\n * @private\n * @name clear\n * @memberOf MapCache\n */\nfunction mapCacheClear() {\n this.size = 0;\n this.__data__ = {\n 'hash': new _Hash,\n 'map': new (_Map || _ListCache),\n 'string': new _Hash\n };\n}\n\nvar _mapCacheClear = mapCacheClear;\n\n/**\n * Checks if `value` is suitable for use as unique object key.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is suitable, else `false`.\n */\nfunction isKeyable(value) {\n var type = typeof value;\n return (type == 'string' || type == 'number' || type == 'symbol' || type == 'boolean')\n ? (value !== '__proto__')\n : (value === null);\n}\n\nvar _isKeyable = isKeyable;\n\n/**\n * Gets the data for `map`.\n *\n * @private\n * @param {Object} map The map to query.\n * @param {string} key The reference key.\n * @returns {*} Returns the map data.\n */\nfunction getMapData(map, key) {\n var data = map.__data__;\n return _isKeyable(key)\n ? data[typeof key == 'string' ? 'string' : 'hash']\n : data.map;\n}\n\nvar _getMapData = getMapData;\n\n/**\n * Removes `key` and its value from the map.\n *\n * @private\n * @name delete\n * @memberOf MapCache\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction mapCacheDelete(key) {\n var result = _getMapData(this, key)['delete'](key);\n this.size -= result ? 1 : 0;\n return result;\n}\n\nvar _mapCacheDelete = mapCacheDelete;\n\n/**\n * Gets the map value for `key`.\n *\n * @private\n * @name get\n * @memberOf MapCache\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction mapCacheGet(key) {\n return _getMapData(this, key).get(key);\n}\n\nvar _mapCacheGet = mapCacheGet;\n\n/**\n * Checks if a map value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf MapCache\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction mapCacheHas(key) {\n return _getMapData(this, key).has(key);\n}\n\nvar _mapCacheHas = mapCacheHas;\n\n/**\n * Sets the map `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf MapCache\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the map cache instance.\n */\nfunction mapCacheSet(key, value) {\n var data = _getMapData(this, key),\n size = data.size;\n\n data.set(key, value);\n this.size += data.size == size ? 0 : 1;\n return this;\n}\n\nvar _mapCacheSet = mapCacheSet;\n\n/**\n * Creates a map cache object to store key-value pairs.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction MapCache(entries) {\n var index = -1,\n length = entries == null ? 0 : entries.length;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n// Add methods to `MapCache`.\nMapCache.prototype.clear = _mapCacheClear;\nMapCache.prototype['delete'] = _mapCacheDelete;\nMapCache.prototype.get = _mapCacheGet;\nMapCache.prototype.has = _mapCacheHas;\nMapCache.prototype.set = _mapCacheSet;\n\nvar _MapCache = MapCache;\n\n/** Used as the size to enable large array optimizations. */\nvar LARGE_ARRAY_SIZE = 200;\n\n/**\n * Sets the stack `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf Stack\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the stack cache instance.\n */\nfunction stackSet(key, value) {\n var data = this.__data__;\n if (data instanceof _ListCache) {\n var pairs = data.__data__;\n if (!_Map || (pairs.length < LARGE_ARRAY_SIZE - 1)) {\n pairs.push([key, value]);\n this.size = ++data.size;\n return this;\n }\n data = this.__data__ = new _MapCache(pairs);\n }\n data.set(key, value);\n this.size = data.size;\n return this;\n}\n\nvar _stackSet = stackSet;\n\n/**\n * Creates a stack cache object to store key-value pairs.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction Stack(entries) {\n var data = this.__data__ = new _ListCache(entries);\n this.size = data.size;\n}\n\n// Add methods to `Stack`.\nStack.prototype.clear = _stackClear;\nStack.prototype['delete'] = _stackDelete;\nStack.prototype.get = _stackGet;\nStack.prototype.has = _stackHas;\nStack.prototype.set = _stackSet;\n\nvar _Stack = Stack;\n\n/** Used to stand-in for `undefined` hash values. */\nvar HASH_UNDEFINED$2 = '__lodash_hash_undefined__';\n\n/**\n * Adds `value` to the array cache.\n *\n * @private\n * @name add\n * @memberOf SetCache\n * @alias push\n * @param {*} value The value to cache.\n * @returns {Object} Returns the cache instance.\n */\nfunction setCacheAdd(value) {\n this.__data__.set(value, HASH_UNDEFINED$2);\n return this;\n}\n\nvar _setCacheAdd = setCacheAdd;\n\n/**\n * Checks if `value` is in the array cache.\n *\n * @private\n * @name has\n * @memberOf SetCache\n * @param {*} value The value to search for.\n * @returns {number} Returns `true` if `value` is found, else `false`.\n */\nfunction setCacheHas(value) {\n return this.__data__.has(value);\n}\n\nvar _setCacheHas = setCacheHas;\n\n/**\n *\n * Creates an array cache object to store unique values.\n *\n * @private\n * @constructor\n * @param {Array} [values] The values to cache.\n */\nfunction SetCache(values) {\n var index = -1,\n length = values == null ? 0 : values.length;\n\n this.__data__ = new _MapCache;\n while (++index < length) {\n this.add(values[index]);\n }\n}\n\n// Add methods to `SetCache`.\nSetCache.prototype.add = SetCache.prototype.push = _setCacheAdd;\nSetCache.prototype.has = _setCacheHas;\n\nvar _SetCache = SetCache;\n\n/**\n * A specialized version of `_.some` for arrays without support for iteratee\n * shorthands.\n *\n * @private\n * @param {Array} [array] The array to iterate over.\n * @param {Function} predicate The function invoked per iteration.\n * @returns {boolean} Returns `true` if any element passes the predicate check,\n * else `false`.\n */\nfunction arraySome(array, predicate) {\n var index = -1,\n length = array == null ? 0 : array.length;\n\n while (++index < length) {\n if (predicate(array[index], index, array)) {\n return true;\n }\n }\n return false;\n}\n\nvar _arraySome = arraySome;\n\n/**\n * Checks if a `cache` value for `key` exists.\n *\n * @private\n * @param {Object} cache The cache to query.\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction cacheHas(cache, key) {\n return cache.has(key);\n}\n\nvar _cacheHas = cacheHas;\n\n/** Used to compose bitmasks for value comparisons. */\nvar COMPARE_PARTIAL_FLAG = 1,\n COMPARE_UNORDERED_FLAG = 2;\n\n/**\n * A specialized version of `baseIsEqualDeep` for arrays with support for\n * partial deep comparisons.\n *\n * @private\n * @param {Array} array The array to compare.\n * @param {Array} other The other array to compare.\n * @param {number} bitmask The bitmask flags. See `baseIsEqual` for more details.\n * @param {Function} customizer The function to customize comparisons.\n * @param {Function} equalFunc The function to determine equivalents of values.\n * @param {Object} stack Tracks traversed `array` and `other` objects.\n * @returns {boolean} Returns `true` if the arrays are equivalent, else `false`.\n */\nfunction equalArrays(array, other, bitmask, customizer, equalFunc, stack) {\n var isPartial = bitmask & COMPARE_PARTIAL_FLAG,\n arrLength = array.length,\n othLength = other.length;\n\n if (arrLength != othLength && !(isPartial && othLength > arrLength)) {\n return false;\n }\n // Assume cyclic values are equal.\n var stacked = stack.get(array);\n if (stacked && stack.get(other)) {\n return stacked == other;\n }\n var index = -1,\n result = true,\n seen = (bitmask & COMPARE_UNORDERED_FLAG) ? new _SetCache : undefined;\n\n stack.set(array, other);\n stack.set(other, array);\n\n // Ignore non-index properties.\n while (++index < arrLength) {\n var arrValue = array[index],\n othValue = other[index];\n\n if (customizer) {\n var compared = isPartial\n ? customizer(othValue, arrValue, index, other, array, stack)\n : customizer(arrValue, othValue, index, array, other, stack);\n }\n if (compared !== undefined) {\n if (compared) {\n continue;\n }\n result = false;\n break;\n }\n // Recursively compare arrays (susceptible to call stack limits).\n if (seen) {\n if (!_arraySome(other, function(othValue, othIndex) {\n if (!_cacheHas(seen, othIndex) &&\n (arrValue === othValue || equalFunc(arrValue, othValue, bitmask, customizer, stack))) {\n return seen.push(othIndex);\n }\n })) {\n result = false;\n break;\n }\n } else if (!(\n arrValue === othValue ||\n equalFunc(arrValue, othValue, bitmask, customizer, stack)\n )) {\n result = false;\n break;\n }\n }\n stack['delete'](array);\n stack['delete'](other);\n return result;\n}\n\nvar _equalArrays = equalArrays;\n\n/** Built-in value references. */\nvar Uint8Array = _root.Uint8Array;\n\nvar _Uint8Array = Uint8Array;\n\n/**\n * Converts `map` to its key-value pairs.\n *\n * @private\n * @param {Object} map The map to convert.\n * @returns {Array} Returns the key-value pairs.\n */\nfunction mapToArray(map) {\n var index = -1,\n result = Array(map.size);\n\n map.forEach(function(value, key) {\n result[++index] = [key, value];\n });\n return result;\n}\n\nvar _mapToArray = mapToArray;\n\n/**\n * Converts `set` to an array of its values.\n *\n * @private\n * @param {Object} set The set to convert.\n * @returns {Array} Returns the values.\n */\nfunction setToArray(set) {\n var index = -1,\n result = Array(set.size);\n\n set.forEach(function(value) {\n result[++index] = value;\n });\n return result;\n}\n\nvar _setToArray = setToArray;\n\n/** Used to compose bitmasks for value comparisons. */\nvar COMPARE_PARTIAL_FLAG$1 = 1,\n COMPARE_UNORDERED_FLAG$1 = 2;\n\n/** `Object#toString` result references. */\nvar boolTag = '[object Boolean]',\n dateTag = '[object Date]',\n errorTag = '[object Error]',\n mapTag = '[object Map]',\n numberTag = '[object Number]',\n regexpTag = '[object RegExp]',\n setTag = '[object Set]',\n stringTag = '[object String]',\n symbolTag = '[object Symbol]';\n\nvar arrayBufferTag = '[object ArrayBuffer]',\n dataViewTag = '[object DataView]';\n\n/** Used to convert symbols to primitives and strings. */\nvar symbolProto = _Symbol ? _Symbol.prototype : undefined,\n symbolValueOf = symbolProto ? symbolProto.valueOf : undefined;\n\n/**\n * A specialized version of `baseIsEqualDeep` for comparing objects of\n * the same `toStringTag`.\n *\n * **Note:** This function only supports comparing values with tags of\n * `Boolean`, `Date`, `Error`, `Number`, `RegExp`, or `String`.\n *\n * @private\n * @param {Object} object The object to compare.\n * @param {Object} other The other object to compare.\n * @param {string} tag The `toStringTag` of the objects to compare.\n * @param {number} bitmask The bitmask flags. See `baseIsEqual` for more details.\n * @param {Function} customizer The function to customize comparisons.\n * @param {Function} equalFunc The function to determine equivalents of values.\n * @param {Object} stack Tracks traversed `object` and `other` objects.\n * @returns {boolean} Returns `true` if the objects are equivalent, else `false`.\n */\nfunction equalByTag(object, other, tag, bitmask, customizer, equalFunc, stack) {\n switch (tag) {\n case dataViewTag:\n if ((object.byteLength != other.byteLength) ||\n (object.byteOffset != other.byteOffset)) {\n return false;\n }\n object = object.buffer;\n other = other.buffer;\n\n case arrayBufferTag:\n if ((object.byteLength != other.byteLength) ||\n !equalFunc(new _Uint8Array(object), new _Uint8Array(other))) {\n return false;\n }\n return true;\n\n case boolTag:\n case dateTag:\n case numberTag:\n // Coerce booleans to `1` or `0` and dates to milliseconds.\n // Invalid dates are coerced to `NaN`.\n return eq_1(+object, +other);\n\n case errorTag:\n return object.name == other.name && object.message == other.message;\n\n case regexpTag:\n case stringTag:\n // Coerce regexes to strings and treat strings, primitives and objects,\n // as equal. See http://www.ecma-international.org/ecma-262/7.0/#sec-regexp.prototype.tostring\n // for more details.\n return object == (other + '');\n\n case mapTag:\n var convert = _mapToArray;\n\n case setTag:\n var isPartial = bitmask & COMPARE_PARTIAL_FLAG$1;\n convert || (convert = _setToArray);\n\n if (object.size != other.size && !isPartial) {\n return false;\n }\n // Assume cyclic values are equal.\n var stacked = stack.get(object);\n if (stacked) {\n return stacked == other;\n }\n bitmask |= COMPARE_UNORDERED_FLAG$1;\n\n // Recursively compare objects (susceptible to call stack limits).\n stack.set(object, other);\n var result = _equalArrays(convert(object), convert(other), bitmask, customizer, equalFunc, stack);\n stack['delete'](object);\n return result;\n\n case symbolTag:\n if (symbolValueOf) {\n return symbolValueOf.call(object) == symbolValueOf.call(other);\n }\n }\n return false;\n}\n\nvar _equalByTag = equalByTag;\n\n/**\n * Appends the elements of `values` to `array`.\n *\n * @private\n * @param {Array} array The array to modify.\n * @param {Array} values The values to append.\n * @returns {Array} Returns `array`.\n */\nfunction arrayPush(array, values) {\n var index = -1,\n length = values.length,\n offset = array.length;\n\n while (++index < length) {\n array[offset + index] = values[index];\n }\n return array;\n}\n\nvar _arrayPush = arrayPush;\n\n/**\n * Checks if `value` is classified as an `Array` object.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an array, else `false`.\n * @example\n *\n * _.isArray([1, 2, 3]);\n * // => true\n *\n * _.isArray(document.body.children);\n * // => false\n *\n * _.isArray('abc');\n * // => false\n *\n * _.isArray(_.noop);\n * // => false\n */\nvar isArray = Array.isArray;\n\nvar isArray_1 = isArray;\n\n/**\n * The base implementation of `getAllKeys` and `getAllKeysIn` which uses\n * `keysFunc` and `symbolsFunc` to get the enumerable property names and\n * symbols of `object`.\n *\n * @private\n * @param {Object} object The object to query.\n * @param {Function} keysFunc The function to get the keys of `object`.\n * @param {Function} symbolsFunc The function to get the symbols of `object`.\n * @returns {Array} Returns the array of property names and symbols.\n */\nfunction baseGetAllKeys(object, keysFunc, symbolsFunc) {\n var result = keysFunc(object);\n return isArray_1(object) ? result : _arrayPush(result, symbolsFunc(object));\n}\n\nvar _baseGetAllKeys = baseGetAllKeys;\n\n/**\n * A specialized version of `_.filter` for arrays without support for\n * iteratee shorthands.\n *\n * @private\n * @param {Array} [array] The array to iterate over.\n * @param {Function} predicate The function invoked per iteration.\n * @returns {Array} Returns the new filtered array.\n */\nfunction arrayFilter(array, predicate) {\n var index = -1,\n length = array == null ? 0 : array.length,\n resIndex = 0,\n result = [];\n\n while (++index < length) {\n var value = array[index];\n if (predicate(value, index, array)) {\n result[resIndex++] = value;\n }\n }\n return result;\n}\n\nvar _arrayFilter = arrayFilter;\n\n/**\n * This method returns a new empty array.\n *\n * @static\n * @memberOf _\n * @since 4.13.0\n * @category Util\n * @returns {Array} Returns the new empty array.\n * @example\n *\n * var arrays = _.times(2, _.stubArray);\n *\n * console.log(arrays);\n * // => [[], []]\n *\n * console.log(arrays[0] === arrays[1]);\n * // => false\n */\nfunction stubArray() {\n return [];\n}\n\nvar stubArray_1 = stubArray;\n\n/** Used for built-in method references. */\nvar objectProto$5 = Object.prototype;\n\n/** Built-in value references. */\nvar propertyIsEnumerable = objectProto$5.propertyIsEnumerable;\n\n/* Built-in method references for those with the same name as other `lodash` methods. */\nvar nativeGetSymbols = Object.getOwnPropertySymbols;\n\n/**\n * Creates an array of the own enumerable symbols of `object`.\n *\n * @private\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of symbols.\n */\nvar getSymbols = !nativeGetSymbols ? stubArray_1 : function(object) {\n if (object == null) {\n return [];\n }\n object = Object(object);\n return _arrayFilter(nativeGetSymbols(object), function(symbol) {\n return propertyIsEnumerable.call(object, symbol);\n });\n};\n\nvar _getSymbols = getSymbols;\n\n/**\n * The base implementation of `_.times` without support for iteratee shorthands\n * or max array length checks.\n *\n * @private\n * @param {number} n The number of times to invoke `iteratee`.\n * @param {Function} iteratee The function invoked per iteration.\n * @returns {Array} Returns the array of results.\n */\nfunction baseTimes(n, iteratee) {\n var index = -1,\n result = Array(n);\n\n while (++index < n) {\n result[index] = iteratee(index);\n }\n return result;\n}\n\nvar _baseTimes = baseTimes;\n\n/**\n * Checks if `value` is object-like. A value is object-like if it's not `null`\n * and has a `typeof` result of \"object\".\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is object-like, else `false`.\n * @example\n *\n * _.isObjectLike({});\n * // => true\n *\n * _.isObjectLike([1, 2, 3]);\n * // => true\n *\n * _.isObjectLike(_.noop);\n * // => false\n *\n * _.isObjectLike(null);\n * // => false\n */\nfunction isObjectLike(value) {\n return value != null && typeof value == 'object';\n}\n\nvar isObjectLike_1 = isObjectLike;\n\n/** `Object#toString` result references. */\nvar argsTag = '[object Arguments]';\n\n/**\n * The base implementation of `_.isArguments`.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an `arguments` object,\n */\nfunction baseIsArguments(value) {\n return isObjectLike_1(value) && _baseGetTag(value) == argsTag;\n}\n\nvar _baseIsArguments = baseIsArguments;\n\n/** Used for built-in method references. */\nvar objectProto$6 = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$4 = objectProto$6.hasOwnProperty;\n\n/** Built-in value references. */\nvar propertyIsEnumerable$1 = objectProto$6.propertyIsEnumerable;\n\n/**\n * Checks if `value` is likely an `arguments` object.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an `arguments` object,\n * else `false`.\n * @example\n *\n * _.isArguments(function() { return arguments; }());\n * // => true\n *\n * _.isArguments([1, 2, 3]);\n * // => false\n */\nvar isArguments = _baseIsArguments(function() { return arguments; }()) ? _baseIsArguments : function(value) {\n return isObjectLike_1(value) && hasOwnProperty$4.call(value, 'callee') &&\n !propertyIsEnumerable$1.call(value, 'callee');\n};\n\nvar isArguments_1 = isArguments;\n\n/**\n * This method returns `false`.\n *\n * @static\n * @memberOf _\n * @since 4.13.0\n * @category Util\n * @returns {boolean} Returns `false`.\n * @example\n *\n * _.times(2, _.stubFalse);\n * // => [false, false]\n */\nfunction stubFalse() {\n return false;\n}\n\nvar stubFalse_1 = stubFalse;\n\nvar isBuffer_1 = createCommonjsModule(function (module, exports) {\n/** Detect free variable `exports`. */\nvar freeExports = exports && !exports.nodeType && exports;\n\n/** Detect free variable `module`. */\nvar freeModule = freeExports && 'object' == 'object' && module && !module.nodeType && module;\n\n/** Detect the popular CommonJS extension `module.exports`. */\nvar moduleExports = freeModule && freeModule.exports === freeExports;\n\n/** Built-in value references. */\nvar Buffer = moduleExports ? _root.Buffer : undefined;\n\n/* Built-in method references for those with the same name as other `lodash` methods. */\nvar nativeIsBuffer = Buffer ? Buffer.isBuffer : undefined;\n\n/**\n * Checks if `value` is a buffer.\n *\n * @static\n * @memberOf _\n * @since 4.3.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a buffer, else `false`.\n * @example\n *\n * _.isBuffer(new Buffer(2));\n * // => true\n *\n * _.isBuffer(new Uint8Array(2));\n * // => false\n */\nvar isBuffer = nativeIsBuffer || stubFalse_1;\n\nmodule.exports = isBuffer;\n});\n\n/** Used as references for various `Number` constants. */\nvar MAX_SAFE_INTEGER = 9007199254740991;\n\n/** Used to detect unsigned integer values. */\nvar reIsUint = /^(?:0|[1-9]\\d*)$/;\n\n/**\n * Checks if `value` is a valid array-like index.\n *\n * @private\n * @param {*} value The value to check.\n * @param {number} [length=MAX_SAFE_INTEGER] The upper bounds of a valid index.\n * @returns {boolean} Returns `true` if `value` is a valid index, else `false`.\n */\nfunction isIndex(value, length) {\n var type = typeof value;\n length = length == null ? MAX_SAFE_INTEGER : length;\n\n return !!length &&\n (type == 'number' ||\n (type != 'symbol' && reIsUint.test(value))) &&\n (value > -1 && value % 1 == 0 && value < length);\n}\n\nvar _isIndex = isIndex;\n\n/** Used as references for various `Number` constants. */\nvar MAX_SAFE_INTEGER$1 = 9007199254740991;\n\n/**\n * Checks if `value` is a valid array-like length.\n *\n * **Note:** This method is loosely based on\n * [`ToLength`](http://ecma-international.org/ecma-262/7.0/#sec-tolength).\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a valid length, else `false`.\n * @example\n *\n * _.isLength(3);\n * // => true\n *\n * _.isLength(Number.MIN_VALUE);\n * // => false\n *\n * _.isLength(Infinity);\n * // => false\n *\n * _.isLength('3');\n * // => false\n */\nfunction isLength(value) {\n return typeof value == 'number' &&\n value > -1 && value % 1 == 0 && value <= MAX_SAFE_INTEGER$1;\n}\n\nvar isLength_1 = isLength;\n\n/** `Object#toString` result references. */\nvar argsTag$1 = '[object Arguments]',\n arrayTag = '[object Array]',\n boolTag$1 = '[object Boolean]',\n dateTag$1 = '[object Date]',\n errorTag$1 = '[object Error]',\n funcTag$1 = '[object Function]',\n mapTag$1 = '[object Map]',\n numberTag$1 = '[object Number]',\n objectTag = '[object Object]',\n regexpTag$1 = '[object RegExp]',\n setTag$1 = '[object Set]',\n stringTag$1 = '[object String]',\n weakMapTag = '[object WeakMap]';\n\nvar arrayBufferTag$1 = '[object ArrayBuffer]',\n dataViewTag$1 = '[object DataView]',\n float32Tag = '[object Float32Array]',\n float64Tag = '[object Float64Array]',\n int8Tag = '[object Int8Array]',\n int16Tag = '[object Int16Array]',\n int32Tag = '[object Int32Array]',\n uint8Tag = '[object Uint8Array]',\n uint8ClampedTag = '[object Uint8ClampedArray]',\n uint16Tag = '[object Uint16Array]',\n uint32Tag = '[object Uint32Array]';\n\n/** Used to identify `toStringTag` values of typed arrays. */\nvar typedArrayTags = {};\ntypedArrayTags[float32Tag] = typedArrayTags[float64Tag] =\ntypedArrayTags[int8Tag] = typedArrayTags[int16Tag] =\ntypedArrayTags[int32Tag] = typedArrayTags[uint8Tag] =\ntypedArrayTags[uint8ClampedTag] = typedArrayTags[uint16Tag] =\ntypedArrayTags[uint32Tag] = true;\ntypedArrayTags[argsTag$1] = typedArrayTags[arrayTag] =\ntypedArrayTags[arrayBufferTag$1] = typedArrayTags[boolTag$1] =\ntypedArrayTags[dataViewTag$1] = typedArrayTags[dateTag$1] =\ntypedArrayTags[errorTag$1] = typedArrayTags[funcTag$1] =\ntypedArrayTags[mapTag$1] = typedArrayTags[numberTag$1] =\ntypedArrayTags[objectTag] = typedArrayTags[regexpTag$1] =\ntypedArrayTags[setTag$1] = typedArrayTags[stringTag$1] =\ntypedArrayTags[weakMapTag] = false;\n\n/**\n * The base implementation of `_.isTypedArray` without Node.js optimizations.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a typed array, else `false`.\n */\nfunction baseIsTypedArray(value) {\n return isObjectLike_1(value) &&\n isLength_1(value.length) && !!typedArrayTags[_baseGetTag(value)];\n}\n\nvar _baseIsTypedArray = baseIsTypedArray;\n\n/**\n * The base implementation of `_.unary` without support for storing metadata.\n *\n * @private\n * @param {Function} func The function to cap arguments for.\n * @returns {Function} Returns the new capped function.\n */\nfunction baseUnary(func) {\n return function(value) {\n return func(value);\n };\n}\n\nvar _baseUnary = baseUnary;\n\nvar _nodeUtil = createCommonjsModule(function (module, exports) {\n/** Detect free variable `exports`. */\nvar freeExports = exports && !exports.nodeType && exports;\n\n/** Detect free variable `module`. */\nvar freeModule = freeExports && 'object' == 'object' && module && !module.nodeType && module;\n\n/** Detect the popular CommonJS extension `module.exports`. */\nvar moduleExports = freeModule && freeModule.exports === freeExports;\n\n/** Detect free variable `process` from Node.js. */\nvar freeProcess = moduleExports && _freeGlobal.process;\n\n/** Used to access faster Node.js helpers. */\nvar nodeUtil = (function() {\n try {\n // Use `util.types` for Node.js 10+.\n var types = freeModule && freeModule.require && freeModule.require('util').types;\n\n if (types) {\n return types;\n }\n\n // Legacy `process.binding('util')` for Node.js < 10.\n return freeProcess && freeProcess.binding && freeProcess.binding('util');\n } catch (e) {}\n}());\n\nmodule.exports = nodeUtil;\n});\n\n/* Node.js helper references. */\nvar nodeIsTypedArray = _nodeUtil && _nodeUtil.isTypedArray;\n\n/**\n * Checks if `value` is classified as a typed array.\n *\n * @static\n * @memberOf _\n * @since 3.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a typed array, else `false`.\n * @example\n *\n * _.isTypedArray(new Uint8Array);\n * // => true\n *\n * _.isTypedArray([]);\n * // => false\n */\nvar isTypedArray = nodeIsTypedArray ? _baseUnary(nodeIsTypedArray) : _baseIsTypedArray;\n\nvar isTypedArray_1 = isTypedArray;\n\n/** Used for built-in method references. */\nvar objectProto$7 = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$5 = objectProto$7.hasOwnProperty;\n\n/**\n * Creates an array of the enumerable property names of the array-like `value`.\n *\n * @private\n * @param {*} value The value to query.\n * @param {boolean} inherited Specify returning inherited property names.\n * @returns {Array} Returns the array of property names.\n */\nfunction arrayLikeKeys(value, inherited) {\n var isArr = isArray_1(value),\n isArg = !isArr && isArguments_1(value),\n isBuff = !isArr && !isArg && isBuffer_1(value),\n isType = !isArr && !isArg && !isBuff && isTypedArray_1(value),\n skipIndexes = isArr || isArg || isBuff || isType,\n result = skipIndexes ? _baseTimes(value.length, String) : [],\n length = result.length;\n\n for (var key in value) {\n if ((inherited || hasOwnProperty$5.call(value, key)) &&\n !(skipIndexes && (\n // Safari 9 has enumerable `arguments.length` in strict mode.\n key == 'length' ||\n // Node.js 0.10 has enumerable non-index properties on buffers.\n (isBuff && (key == 'offset' || key == 'parent')) ||\n // PhantomJS 2 has enumerable non-index properties on typed arrays.\n (isType && (key == 'buffer' || key == 'byteLength' || key == 'byteOffset')) ||\n // Skip index properties.\n _isIndex(key, length)\n ))) {\n result.push(key);\n }\n }\n return result;\n}\n\nvar _arrayLikeKeys = arrayLikeKeys;\n\n/** Used for built-in method references. */\nvar objectProto$8 = Object.prototype;\n\n/**\n * Checks if `value` is likely a prototype object.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a prototype, else `false`.\n */\nfunction isPrototype(value) {\n var Ctor = value && value.constructor,\n proto = (typeof Ctor == 'function' && Ctor.prototype) || objectProto$8;\n\n return value === proto;\n}\n\nvar _isPrototype = isPrototype;\n\n/**\n * Creates a unary function that invokes `func` with its argument transformed.\n *\n * @private\n * @param {Function} func The function to wrap.\n * @param {Function} transform The argument transform.\n * @returns {Function} Returns the new function.\n */\nfunction overArg(func, transform) {\n return function(arg) {\n return func(transform(arg));\n };\n}\n\nvar _overArg = overArg;\n\n/* Built-in method references for those with the same name as other `lodash` methods. */\nvar nativeKeys = _overArg(Object.keys, Object);\n\nvar _nativeKeys = nativeKeys;\n\n/** Used for built-in method references. */\nvar objectProto$9 = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$6 = objectProto$9.hasOwnProperty;\n\n/**\n * The base implementation of `_.keys` which doesn't treat sparse arrays as dense.\n *\n * @private\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names.\n */\nfunction baseKeys(object) {\n if (!_isPrototype(object)) {\n return _nativeKeys(object);\n }\n var result = [];\n for (var key in Object(object)) {\n if (hasOwnProperty$6.call(object, key) && key != 'constructor') {\n result.push(key);\n }\n }\n return result;\n}\n\nvar _baseKeys = baseKeys;\n\n/**\n * Checks if `value` is array-like. A value is considered array-like if it's\n * not a function and has a `value.length` that's an integer greater than or\n * equal to `0` and less than or equal to `Number.MAX_SAFE_INTEGER`.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is array-like, else `false`.\n * @example\n *\n * _.isArrayLike([1, 2, 3]);\n * // => true\n *\n * _.isArrayLike(document.body.children);\n * // => true\n *\n * _.isArrayLike('abc');\n * // => true\n *\n * _.isArrayLike(_.noop);\n * // => false\n */\nfunction isArrayLike(value) {\n return value != null && isLength_1(value.length) && !isFunction_1(value);\n}\n\nvar isArrayLike_1 = isArrayLike;\n\n/**\n * Creates an array of the own enumerable property names of `object`.\n *\n * **Note:** Non-object values are coerced to objects. See the\n * [ES spec](http://ecma-international.org/ecma-262/7.0/#sec-object.keys)\n * for more details.\n *\n * @static\n * @since 0.1.0\n * @memberOf _\n * @category Object\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names.\n * @example\n *\n * function Foo() {\n * this.a = 1;\n * this.b = 2;\n * }\n *\n * Foo.prototype.c = 3;\n *\n * _.keys(new Foo);\n * // => ['a', 'b'] (iteration order is not guaranteed)\n *\n * _.keys('hi');\n * // => ['0', '1']\n */\nfunction keys(object) {\n return isArrayLike_1(object) ? _arrayLikeKeys(object) : _baseKeys(object);\n}\n\nvar keys_1 = keys;\n\n/**\n * Creates an array of own enumerable property names and symbols of `object`.\n *\n * @private\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names and symbols.\n */\nfunction getAllKeys(object) {\n return _baseGetAllKeys(object, keys_1, _getSymbols);\n}\n\nvar _getAllKeys = getAllKeys;\n\n/** Used to compose bitmasks for value comparisons. */\nvar COMPARE_PARTIAL_FLAG$2 = 1;\n\n/** Used for built-in method references. */\nvar objectProto$a = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$7 = objectProto$a.hasOwnProperty;\n\n/**\n * A specialized version of `baseIsEqualDeep` for objects with support for\n * partial deep comparisons.\n *\n * @private\n * @param {Object} object The object to compare.\n * @param {Object} other The other object to compare.\n * @param {number} bitmask The bitmask flags. See `baseIsEqual` for more details.\n * @param {Function} customizer The function to customize comparisons.\n * @param {Function} equalFunc The function to determine equivalents of values.\n * @param {Object} stack Tracks traversed `object` and `other` objects.\n * @returns {boolean} Returns `true` if the objects are equivalent, else `false`.\n */\nfunction equalObjects(object, other, bitmask, customizer, equalFunc, stack) {\n var isPartial = bitmask & COMPARE_PARTIAL_FLAG$2,\n objProps = _getAllKeys(object),\n objLength = objProps.length,\n othProps = _getAllKeys(other),\n othLength = othProps.length;\n\n if (objLength != othLength && !isPartial) {\n return false;\n }\n var index = objLength;\n while (index--) {\n var key = objProps[index];\n if (!(isPartial ? key in other : hasOwnProperty$7.call(other, key))) {\n return false;\n }\n }\n // Assume cyclic values are equal.\n var stacked = stack.get(object);\n if (stacked && stack.get(other)) {\n return stacked == other;\n }\n var result = true;\n stack.set(object, other);\n stack.set(other, object);\n\n var skipCtor = isPartial;\n while (++index < objLength) {\n key = objProps[index];\n var objValue = object[key],\n othValue = other[key];\n\n if (customizer) {\n var compared = isPartial\n ? customizer(othValue, objValue, key, other, object, stack)\n : customizer(objValue, othValue, key, object, other, stack);\n }\n // Recursively compare objects (susceptible to call stack limits).\n if (!(compared === undefined\n ? (objValue === othValue || equalFunc(objValue, othValue, bitmask, customizer, stack))\n : compared\n )) {\n result = false;\n break;\n }\n skipCtor || (skipCtor = key == 'constructor');\n }\n if (result && !skipCtor) {\n var objCtor = object.constructor,\n othCtor = other.constructor;\n\n // Non `Object` object instances with different constructors are not equal.\n if (objCtor != othCtor &&\n ('constructor' in object && 'constructor' in other) &&\n !(typeof objCtor == 'function' && objCtor instanceof objCtor &&\n typeof othCtor == 'function' && othCtor instanceof othCtor)) {\n result = false;\n }\n }\n stack['delete'](object);\n stack['delete'](other);\n return result;\n}\n\nvar _equalObjects = equalObjects;\n\n/* Built-in method references that are verified to be native. */\nvar DataView = _getNative(_root, 'DataView');\n\nvar _DataView = DataView;\n\n/* Built-in method references that are verified to be native. */\nvar Promise$1 = _getNative(_root, 'Promise');\n\nvar _Promise = Promise$1;\n\n/* Built-in method references that are verified to be native. */\nvar Set = _getNative(_root, 'Set');\n\nvar _Set = Set;\n\n/* Built-in method references that are verified to be native. */\nvar WeakMap = _getNative(_root, 'WeakMap');\n\nvar _WeakMap = WeakMap;\n\n/** `Object#toString` result references. */\nvar mapTag$2 = '[object Map]',\n objectTag$1 = '[object Object]',\n promiseTag = '[object Promise]',\n setTag$2 = '[object Set]',\n weakMapTag$1 = '[object WeakMap]';\n\nvar dataViewTag$2 = '[object DataView]';\n\n/** Used to detect maps, sets, and weakmaps. */\nvar dataViewCtorString = _toSource(_DataView),\n mapCtorString = _toSource(_Map),\n promiseCtorString = _toSource(_Promise),\n setCtorString = _toSource(_Set),\n weakMapCtorString = _toSource(_WeakMap);\n\n/**\n * Gets the `toStringTag` of `value`.\n *\n * @private\n * @param {*} value The value to query.\n * @returns {string} Returns the `toStringTag`.\n */\nvar getTag = _baseGetTag;\n\n// Fallback for data views, maps, sets, and weak maps in IE 11 and promises in Node.js < 6.\nif ((_DataView && getTag(new _DataView(new ArrayBuffer(1))) != dataViewTag$2) ||\n (_Map && getTag(new _Map) != mapTag$2) ||\n (_Promise && getTag(_Promise.resolve()) != promiseTag) ||\n (_Set && getTag(new _Set) != setTag$2) ||\n (_WeakMap && getTag(new _WeakMap) != weakMapTag$1)) {\n getTag = function(value) {\n var result = _baseGetTag(value),\n Ctor = result == objectTag$1 ? value.constructor : undefined,\n ctorString = Ctor ? _toSource(Ctor) : '';\n\n if (ctorString) {\n switch (ctorString) {\n case dataViewCtorString: return dataViewTag$2;\n case mapCtorString: return mapTag$2;\n case promiseCtorString: return promiseTag;\n case setCtorString: return setTag$2;\n case weakMapCtorString: return weakMapTag$1;\n }\n }\n return result;\n };\n}\n\nvar _getTag = getTag;\n\n/** Used to compose bitmasks for value comparisons. */\nvar COMPARE_PARTIAL_FLAG$3 = 1;\n\n/** `Object#toString` result references. */\nvar argsTag$2 = '[object Arguments]',\n arrayTag$1 = '[object Array]',\n objectTag$2 = '[object Object]';\n\n/** Used for built-in method references. */\nvar objectProto$b = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$8 = objectProto$b.hasOwnProperty;\n\n/**\n * A specialized version of `baseIsEqual` for arrays and objects which performs\n * deep comparisons and tracks traversed objects enabling objects with circular\n * references to be compared.\n *\n * @private\n * @param {Object} object The object to compare.\n * @param {Object} other The other object to compare.\n * @param {number} bitmask The bitmask flags. See `baseIsEqual` for more details.\n * @param {Function} customizer The function to customize comparisons.\n * @param {Function} equalFunc The function to determine equivalents of values.\n * @param {Object} [stack] Tracks traversed `object` and `other` objects.\n * @returns {boolean} Returns `true` if the objects are equivalent, else `false`.\n */\nfunction baseIsEqualDeep(object, other, bitmask, customizer, equalFunc, stack) {\n var objIsArr = isArray_1(object),\n othIsArr = isArray_1(other),\n objTag = objIsArr ? arrayTag$1 : _getTag(object),\n othTag = othIsArr ? arrayTag$1 : _getTag(other);\n\n objTag = objTag == argsTag$2 ? objectTag$2 : objTag;\n othTag = othTag == argsTag$2 ? objectTag$2 : othTag;\n\n var objIsObj = objTag == objectTag$2,\n othIsObj = othTag == objectTag$2,\n isSameTag = objTag == othTag;\n\n if (isSameTag && isBuffer_1(object)) {\n if (!isBuffer_1(other)) {\n return false;\n }\n objIsArr = true;\n objIsObj = false;\n }\n if (isSameTag && !objIsObj) {\n stack || (stack = new _Stack);\n return (objIsArr || isTypedArray_1(object))\n ? _equalArrays(object, other, bitmask, customizer, equalFunc, stack)\n : _equalByTag(object, other, objTag, bitmask, customizer, equalFunc, stack);\n }\n if (!(bitmask & COMPARE_PARTIAL_FLAG$3)) {\n var objIsWrapped = objIsObj && hasOwnProperty$8.call(object, '__wrapped__'),\n othIsWrapped = othIsObj && hasOwnProperty$8.call(other, '__wrapped__');\n\n if (objIsWrapped || othIsWrapped) {\n var objUnwrapped = objIsWrapped ? object.value() : object,\n othUnwrapped = othIsWrapped ? other.value() : other;\n\n stack || (stack = new _Stack);\n return equalFunc(objUnwrapped, othUnwrapped, bitmask, customizer, stack);\n }\n }\n if (!isSameTag) {\n return false;\n }\n stack || (stack = new _Stack);\n return _equalObjects(object, other, bitmask, customizer, equalFunc, stack);\n}\n\nvar _baseIsEqualDeep = baseIsEqualDeep;\n\n/**\n * The base implementation of `_.isEqual` which supports partial comparisons\n * and tracks traversed objects.\n *\n * @private\n * @param {*} value The value to compare.\n * @param {*} other The other value to compare.\n * @param {boolean} bitmask The bitmask flags.\n * 1 - Unordered comparison\n * 2 - Partial comparison\n * @param {Function} [customizer] The function to customize comparisons.\n * @param {Object} [stack] Tracks traversed `value` and `other` objects.\n * @returns {boolean} Returns `true` if the values are equivalent, else `false`.\n */\nfunction baseIsEqual(value, other, bitmask, customizer, stack) {\n if (value === other) {\n return true;\n }\n if (value == null || other == null || (!isObjectLike_1(value) && !isObjectLike_1(other))) {\n return value !== value && other !== other;\n }\n return _baseIsEqualDeep(value, other, bitmask, customizer, baseIsEqual, stack);\n}\n\nvar _baseIsEqual = baseIsEqual;\n\n/**\n * Performs a deep comparison between two values to determine if they are\n * equivalent.\n *\n * **Note:** This method supports comparing arrays, array buffers, booleans,\n * date objects, error objects, maps, numbers, `Object` objects, regexes,\n * sets, strings, symbols, and typed arrays. `Object` objects are compared\n * by their own, not inherited, enumerable properties. Functions and DOM\n * nodes are compared by strict equality, i.e. `===`.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to compare.\n * @param {*} other The other value to compare.\n * @returns {boolean} Returns `true` if the values are equivalent, else `false`.\n * @example\n *\n * var object = { 'a': 1 };\n * var other = { 'a': 1 };\n *\n * _.isEqual(object, other);\n * // => true\n *\n * object === other;\n * // => false\n */\nfunction isEqual(value, other) {\n return _baseIsEqual(value, other);\n}\n\nvar isEqual_1 = isEqual;\n\nvar DEFAULT_OPTIONS = {\n container: false,\n delay: 0,\n html: false,\n placement: 'top',\n title: '',\n template: '<div class=\"tooltip\" role=\"tooltip\"><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>',\n trigger: 'hover focus',\n offset: 0\n};\nvar openTooltips = [];\n\nvar Tooltip =\n/*#__PURE__*/\nfunction () {\n /**\n * Create a new Tooltip.js instance\n * @class Tooltip\n * @param {HTMLElement} reference - The DOM node used as reference of the tooltip (it can be a jQuery element).\n * @param {Object} options\n * @param {String} options.placement=bottom\n * Placement of the popper accepted values: `top(-start, -end), right(-start, -end), bottom(-start, -end),\n * left(-start, -end)`\n * @param {HTMLElement|String|false} options.container=false - Append the tooltip to a specific element.\n * @param {Number|Object} options.delay=0\n * Delay showing and hiding the tooltip (ms) - does not apply to manual trigger type.\n * If a number is supplied, delay is applied to both hide/show.\n * Object structure is: `{ show: 500, hide: 100 }`\n * @param {Boolean} options.html=false - Insert HTML into the tooltip. If false, the content will inserted with `innerText`.\n * @param {String|PlacementFunction} options.placement='top' - One of the allowed placements, or a function returning one of them.\n * @param {String} [options.template='<div class=\"tooltip\" role=\"tooltip\"><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>']\n * Base HTML to used when creating the tooltip.\n * The tooltip's `title` will be injected into the `.tooltip-inner` or `.tooltip__inner`.\n * `.tooltip-arrow` or `.tooltip__arrow` will become the tooltip's arrow.\n * The outermost wrapper element should have the `.tooltip` class.\n * @param {String|HTMLElement|TitleFunction} options.title='' - Default title value if `title` attribute isn't present.\n * @param {String} [options.trigger='hover focus']\n * How tooltip is triggered - click, hover, focus, manual.\n * You may pass multiple triggers; separate them with a space. `manual` cannot be combined with any other trigger.\n * @param {HTMLElement} options.boundariesElement\n * The element used as boundaries for the tooltip. For more information refer to Popper.js'\n * [boundariesElement docs](https://popper.js.org/popper-documentation.html)\n * @param {Number|String} options.offset=0 - Offset of the tooltip relative to its reference. For more information refer to Popper.js'\n * [offset docs](https://popper.js.org/popper-documentation.html)\n * @param {Object} options.popperOptions={} - Popper options, will be passed directly to popper instance. For more information refer to Popper.js'\n * [options docs](https://popper.js.org/popper-documentation.html)\n * @return {Object} instance - The generated tooltip instance\n */\n function Tooltip(_reference, _options) {\n var _this = this;\n\n _classCallCheck(this, Tooltip);\n\n _defineProperty(this, \"_events\", []);\n\n _defineProperty(this, \"_setTooltipNodeEvent\", function (evt, reference, delay, options) {\n var relatedreference = evt.relatedreference || evt.toElement || evt.relatedTarget;\n\n var callback = function callback(evt2) {\n var relatedreference2 = evt2.relatedreference || evt2.toElement || evt2.relatedTarget; // Remove event listener after call\n\n _this._tooltipNode.removeEventListener(evt.type, callback); // If the new reference is not the reference element\n\n\n if (!reference.contains(relatedreference2)) {\n // Schedule to hide tooltip\n _this._scheduleHide(reference, options.delay, options, evt2);\n }\n };\n\n if (_this._tooltipNode.contains(relatedreference)) {\n // listen to mouseleave on the tooltip element to be able to hide the tooltip\n _this._tooltipNode.addEventListener(evt.type, callback);\n\n return true;\n }\n\n return false;\n });\n\n // apply user options over default ones\n _options = _objectSpread2({}, DEFAULT_OPTIONS, {}, _options);\n _reference.jquery && (_reference = _reference[0]);\n this.show = this.show.bind(this);\n this.hide = this.hide.bind(this); // cache reference and options\n\n this.reference = _reference;\n this.options = _options; // set initial state\n\n this._isOpen = false;\n\n this._init();\n } //\n // Public methods\n //\n\n /**\n * Reveals an element's tooltip. This is considered a \"manual\" triggering of the tooltip.\n * Tooltips with zero-length titles are never displayed.\n * @method Tooltip#show\n * @memberof Tooltip\n */\n\n\n _createClass(Tooltip, [{\n key: \"show\",\n value: function show() {\n this._show(this.reference, this.options);\n }\n /**\n * Hides an element’s tooltip. This is considered a “manual” triggering of the tooltip.\n * @method Tooltip#hide\n * @memberof Tooltip\n */\n\n }, {\n key: \"hide\",\n value: function hide() {\n this._hide();\n }\n /**\n * Hides and destroys an element’s tooltip.\n * @method Tooltip#dispose\n * @memberof Tooltip\n */\n\n }, {\n key: \"dispose\",\n value: function dispose() {\n this._dispose();\n }\n /**\n * Toggles an element’s tooltip. This is considered a “manual” triggering of the tooltip.\n * @method Tooltip#toggle\n * @memberof Tooltip\n */\n\n }, {\n key: \"toggle\",\n value: function toggle() {\n if (this._isOpen) {\n return this.hide();\n } else {\n return this.show();\n }\n }\n }, {\n key: \"setClasses\",\n value: function setClasses(classes) {\n this._classes = classes;\n }\n }, {\n key: \"setContent\",\n value: function setContent(content) {\n this.options.title = content;\n\n if (this._tooltipNode) {\n this._setContent(content, this.options);\n }\n }\n }, {\n key: \"setOptions\",\n value: function setOptions(options) {\n var classesUpdated = false;\n var classes = options && options.classes || directive.options.defaultClass;\n\n if (!isEqual_1(this._classes, classes)) {\n this.setClasses(classes);\n classesUpdated = true;\n }\n\n options = getOptions(options);\n var needPopperUpdate = false;\n var needRestart = false;\n\n if (this.options.offset !== options.offset || this.options.placement !== options.placement) {\n needPopperUpdate = true;\n }\n\n if (this.options.template !== options.template || this.options.trigger !== options.trigger || this.options.container !== options.container || classesUpdated) {\n needRestart = true;\n }\n\n for (var key in options) {\n this.options[key] = options[key];\n }\n\n if (this._tooltipNode) {\n if (needRestart) {\n var isOpen = this._isOpen;\n this.dispose();\n\n this._init();\n\n if (isOpen) {\n this.show();\n }\n } else if (needPopperUpdate) {\n this.popperInstance.update();\n }\n }\n } //\n // Private methods\n //\n\n }, {\n key: \"_init\",\n value: function _init() {\n // get events list\n var events = typeof this.options.trigger === 'string' ? this.options.trigger.split(' ') : [];\n this._isDisposed = false;\n this._enableDocumentTouch = events.indexOf('manual') === -1;\n events = events.filter(function (trigger) {\n return ['click', 'hover', 'focus'].indexOf(trigger) !== -1;\n }); // set event listeners\n\n this._setEventListeners(this.reference, events, this.options); // title attribute\n\n\n this.$_originalTitle = this.reference.getAttribute('title');\n this.reference.removeAttribute('title');\n this.reference.setAttribute('data-original-title', this.$_originalTitle);\n }\n /**\n * Creates a new tooltip node\n * @memberof Tooltip\n * @private\n * @param {HTMLElement} reference\n * @param {String} template\n * @param {String|HTMLElement|TitleFunction} title\n * @param {Boolean} allowHtml\n * @return {HTMLelement} tooltipNode\n */\n\n }, {\n key: \"_create\",\n value: function _create(reference, template) {\n // create tooltip element\n var tooltipGenerator = window.document.createElement('div');\n tooltipGenerator.innerHTML = template.trim();\n var tooltipNode = tooltipGenerator.childNodes[0]; // add unique ID to our tooltip (needed for accessibility reasons)\n\n tooltipNode.id = \"tooltip_\".concat(Math.random().toString(36).substr(2, 10)); // Initially hide the tooltip\n // The attribute will be switched in a next frame so\n // CSS transitions can play\n\n tooltipNode.setAttribute('aria-hidden', 'true');\n\n if (this.options.autoHide && this.options.trigger.indexOf('hover') !== -1) {\n tooltipNode.addEventListener('mouseenter', this.hide);\n tooltipNode.addEventListener('click', this.hide);\n } // return the generated tooltip node\n\n\n return tooltipNode;\n }\n }, {\n key: \"_setContent\",\n value: function _setContent(content, options) {\n var _this2 = this;\n\n this.asyncContent = false;\n\n this._applyContent(content, options).then(function () {\n _this2.popperInstance.update();\n });\n }\n }, {\n key: \"_applyContent\",\n value: function _applyContent(title, options) {\n var _this3 = this;\n\n return new Promise(function (resolve, reject) {\n var allowHtml = options.html;\n var rootNode = _this3._tooltipNode;\n if (!rootNode) return;\n var titleNode = rootNode.querySelector(_this3.options.innerSelector);\n\n if (title.nodeType === 1) {\n // if title is a node, append it only if allowHtml is true\n if (allowHtml) {\n while (titleNode.firstChild) {\n titleNode.removeChild(titleNode.firstChild);\n }\n\n titleNode.appendChild(title);\n }\n } else if (typeof title === 'function') {\n // if title is a function, call it and set innerText or innerHtml depending by `allowHtml` value\n var result = title();\n\n if (result && typeof result.then === 'function') {\n _this3.asyncContent = true;\n options.loadingClass && addClasses(rootNode, options.loadingClass);\n\n if (options.loadingContent) {\n _this3._applyContent(options.loadingContent, options);\n }\n\n result.then(function (asyncResult) {\n options.loadingClass && removeClasses(rootNode, options.loadingClass);\n return _this3._applyContent(asyncResult, options);\n }).then(resolve).catch(reject);\n } else {\n _this3._applyContent(result, options).then(resolve).catch(reject);\n }\n\n return;\n } else {\n // if it's just a simple text, set innerText or innerHtml depending by `allowHtml` value\n allowHtml ? titleNode.innerHTML = title : titleNode.innerText = title;\n }\n\n resolve();\n });\n }\n }, {\n key: \"_show\",\n value: function _show(reference, options) {\n if (options && typeof options.container === 'string') {\n var container = document.querySelector(options.container);\n if (!container) return;\n }\n\n clearTimeout(this._disposeTimer);\n options = Object.assign({}, options);\n delete options.offset;\n var updateClasses = true;\n\n if (this._tooltipNode) {\n addClasses(this._tooltipNode, this._classes);\n updateClasses = false;\n }\n\n var result = this._ensureShown(reference, options);\n\n if (updateClasses && this._tooltipNode) {\n addClasses(this._tooltipNode, this._classes);\n }\n\n addClasses(reference, ['v-tooltip-open']);\n return result;\n }\n }, {\n key: \"_ensureShown\",\n value: function _ensureShown(reference, options) {\n var _this4 = this;\n\n // don't show if it's already visible\n if (this._isOpen) {\n return this;\n }\n\n this._isOpen = true;\n openTooltips.push(this); // if the tooltipNode already exists, just show it\n\n if (this._tooltipNode) {\n this._tooltipNode.style.display = '';\n\n this._tooltipNode.setAttribute('aria-hidden', 'false');\n\n this.popperInstance.enableEventListeners();\n this.popperInstance.update();\n\n if (this.asyncContent) {\n this._setContent(options.title, options);\n }\n\n return this;\n } // get title\n\n\n var title = reference.getAttribute('title') || options.title; // don't show tooltip if no title is defined\n\n if (!title) {\n return this;\n } // create tooltip node\n\n\n var tooltipNode = this._create(reference, options.template);\n\n this._tooltipNode = tooltipNode; // Add `aria-describedby` to our reference element for accessibility reasons\n\n reference.setAttribute('aria-describedby', tooltipNode.id); // append tooltip to container\n\n var container = this._findContainer(options.container, reference);\n\n this._append(tooltipNode, container);\n\n var popperOptions = _objectSpread2({}, options.popperOptions, {\n placement: options.placement\n });\n\n popperOptions.modifiers = _objectSpread2({}, popperOptions.modifiers, {\n arrow: {\n element: this.options.arrowSelector\n }\n });\n\n if (options.boundariesElement) {\n popperOptions.modifiers.preventOverflow = {\n boundariesElement: options.boundariesElement\n };\n }\n\n this.popperInstance = new Popper(reference, tooltipNode, popperOptions);\n\n this._setContent(title, options); // Fix position\n\n\n requestAnimationFrame(function () {\n if (!_this4._isDisposed && _this4.popperInstance) {\n _this4.popperInstance.update(); // Show the tooltip\n\n\n requestAnimationFrame(function () {\n if (!_this4._isDisposed) {\n _this4._isOpen && tooltipNode.setAttribute('aria-hidden', 'false');\n } else {\n _this4.dispose();\n }\n });\n } else {\n _this4.dispose();\n }\n });\n return this;\n }\n }, {\n key: \"_noLongerOpen\",\n value: function _noLongerOpen() {\n var index = openTooltips.indexOf(this);\n\n if (index !== -1) {\n openTooltips.splice(index, 1);\n }\n }\n }, {\n key: \"_hide\",\n value: function _hide()\n /* reference, options */\n {\n var _this5 = this;\n\n // don't hide if it's already hidden\n if (!this._isOpen) {\n return this;\n }\n\n this._isOpen = false;\n\n this._noLongerOpen(); // hide tooltipNode\n\n\n this._tooltipNode.style.display = 'none';\n\n this._tooltipNode.setAttribute('aria-hidden', 'true');\n\n this.popperInstance.disableEventListeners();\n clearTimeout(this._disposeTimer);\n var disposeTime = directive.options.disposeTimeout;\n\n if (disposeTime !== null) {\n this._disposeTimer = setTimeout(function () {\n if (_this5._tooltipNode) {\n _this5._tooltipNode.removeEventListener('mouseenter', _this5.hide);\n\n _this5._tooltipNode.removeEventListener('click', _this5.hide); // Don't remove popper instance, just the HTML element\n\n\n _this5._removeTooltipNode();\n }\n }, disposeTime);\n }\n\n removeClasses(this.reference, ['v-tooltip-open']);\n return this;\n }\n }, {\n key: \"_removeTooltipNode\",\n value: function _removeTooltipNode() {\n if (!this._tooltipNode) return;\n var parentNode = this._tooltipNode.parentNode;\n\n if (parentNode) {\n parentNode.removeChild(this._tooltipNode);\n this.reference.removeAttribute('aria-describedby');\n }\n\n this._tooltipNode = null;\n }\n }, {\n key: \"_dispose\",\n value: function _dispose() {\n var _this6 = this;\n\n this._isDisposed = true;\n this.reference.removeAttribute('data-original-title');\n\n if (this.$_originalTitle) {\n this.reference.setAttribute('title', this.$_originalTitle);\n } // remove event listeners first to prevent any unexpected behaviour\n\n\n this._events.forEach(function (_ref) {\n var func = _ref.func,\n event = _ref.event;\n\n _this6.reference.removeEventListener(event, func);\n });\n\n this._events = [];\n\n if (this._tooltipNode) {\n this._hide();\n\n this._tooltipNode.removeEventListener('mouseenter', this.hide);\n\n this._tooltipNode.removeEventListener('click', this.hide); // destroy instance\n\n\n this.popperInstance.destroy(); // destroy tooltipNode if removeOnDestroy is not set, as popperInstance.destroy() already removes the element\n\n if (!this.popperInstance.options.removeOnDestroy) {\n this._removeTooltipNode();\n }\n } else {\n this._noLongerOpen();\n }\n\n return this;\n }\n }, {\n key: \"_findContainer\",\n value: function _findContainer(container, reference) {\n // if container is a query, get the relative element\n if (typeof container === 'string') {\n container = window.document.querySelector(container);\n } else if (container === false) {\n // if container is `false`, set it to reference parent\n container = reference.parentNode;\n }\n\n return container;\n }\n /**\n * Append tooltip to container\n * @memberof Tooltip\n * @private\n * @param {HTMLElement} tooltip\n * @param {HTMLElement|String|false} container\n */\n\n }, {\n key: \"_append\",\n value: function _append(tooltipNode, container) {\n container.appendChild(tooltipNode);\n }\n }, {\n key: \"_setEventListeners\",\n value: function _setEventListeners(reference, events, options) {\n var _this7 = this;\n\n var directEvents = [];\n var oppositeEvents = [];\n events.forEach(function (event) {\n switch (event) {\n case 'hover':\n directEvents.push('mouseenter');\n oppositeEvents.push('mouseleave');\n if (_this7.options.hideOnTargetClick) oppositeEvents.push('click');\n break;\n\n case 'focus':\n directEvents.push('focus');\n oppositeEvents.push('blur');\n if (_this7.options.hideOnTargetClick) oppositeEvents.push('click');\n break;\n\n case 'click':\n directEvents.push('click');\n oppositeEvents.push('click');\n break;\n }\n }); // schedule show tooltip\n\n directEvents.forEach(function (event) {\n var func = function func(evt) {\n if (_this7._isOpen === true) {\n return;\n }\n\n evt.usedByTooltip = true;\n\n _this7._scheduleShow(reference, options.delay, options, evt);\n };\n\n _this7._events.push({\n event: event,\n func: func\n });\n\n reference.addEventListener(event, func);\n }); // schedule hide tooltip\n\n oppositeEvents.forEach(function (event) {\n var func = function func(evt) {\n if (evt.usedByTooltip === true) {\n return;\n }\n\n _this7._scheduleHide(reference, options.delay, options, evt);\n };\n\n _this7._events.push({\n event: event,\n func: func\n });\n\n reference.addEventListener(event, func);\n });\n }\n }, {\n key: \"_onDocumentTouch\",\n value: function _onDocumentTouch(event) {\n if (this._enableDocumentTouch) {\n this._scheduleHide(this.reference, this.options.delay, this.options, event);\n }\n }\n }, {\n key: \"_scheduleShow\",\n value: function _scheduleShow(reference, delay, options\n /*, evt */\n ) {\n var _this8 = this;\n\n // defaults to 0\n var computedDelay = delay && delay.show || delay || 0;\n clearTimeout(this._scheduleTimer);\n this._scheduleTimer = window.setTimeout(function () {\n return _this8._show(reference, options);\n }, computedDelay);\n }\n }, {\n key: \"_scheduleHide\",\n value: function _scheduleHide(reference, delay, options, evt) {\n var _this9 = this;\n\n // defaults to 0\n var computedDelay = delay && delay.hide || delay || 0;\n clearTimeout(this._scheduleTimer);\n this._scheduleTimer = window.setTimeout(function () {\n if (_this9._isOpen === false) {\n return;\n }\n\n if (!_this9._tooltipNode.ownerDocument.body.contains(_this9._tooltipNode)) {\n return;\n } // if we are hiding because of a mouseleave, we must check that the new\n // reference isn't the tooltip, because in this case we don't want to hide it\n\n\n if (evt.type === 'mouseleave') {\n var isSet = _this9._setTooltipNodeEvent(evt, reference, delay, options); // if we set the new event, don't hide the tooltip yet\n // the new event will take care to hide it if necessary\n\n\n if (isSet) {\n return;\n }\n }\n\n _this9._hide(reference, options);\n }, computedDelay);\n }\n }]);\n\n return Tooltip;\n}(); // Hide tooltips on touch devices\n\nif (typeof document !== 'undefined') {\n document.addEventListener('touchstart', function (event) {\n for (var i = 0; i < openTooltips.length; i++) {\n openTooltips[i]._onDocumentTouch(event);\n }\n }, supportsPassive ? {\n passive: true,\n capture: true\n } : true);\n}\n/**\n * Placement function, its context is the Tooltip instance.\n * @memberof Tooltip\n * @callback PlacementFunction\n * @param {HTMLElement} tooltip - tooltip DOM node.\n * @param {HTMLElement} reference - reference DOM node.\n * @return {String} placement - One of the allowed placement options.\n */\n\n/**\n * Title function, its context is the Tooltip instance.\n * @memberof Tooltip\n * @callback TitleFunction\n * @return {String} placement - The desired title.\n */\n\nvar state = {\n enabled: true\n};\nvar positions = ['top', 'top-start', 'top-end', 'right', 'right-start', 'right-end', 'bottom', 'bottom-start', 'bottom-end', 'left', 'left-start', 'left-end'];\nvar defaultOptions = {\n // Default tooltip placement relative to target element\n defaultPlacement: 'top',\n // Default CSS classes applied to the tooltip element\n defaultClass: 'vue-tooltip-theme',\n // Default CSS classes applied to the target element of the tooltip\n defaultTargetClass: 'has-tooltip',\n // Is the content HTML by default?\n defaultHtml: true,\n // Default HTML template of the tooltip element\n // It must include `tooltip-arrow` & `tooltip-inner` CSS classes (can be configured, see below)\n // Change if the classes conflict with other libraries (for example bootstrap)\n defaultTemplate: '<div class=\"tooltip\" role=\"tooltip\"><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>',\n // Selector used to get the arrow element in the tooltip template\n defaultArrowSelector: '.tooltip-arrow, .tooltip__arrow',\n // Selector used to get the inner content element in the tooltip template\n defaultInnerSelector: '.tooltip-inner, .tooltip__inner',\n // Delay (ms)\n defaultDelay: 0,\n // Default events that trigger the tooltip\n defaultTrigger: 'hover focus',\n // Default position offset (px)\n defaultOffset: 0,\n // Default container where the tooltip will be appended\n defaultContainer: 'body',\n defaultBoundariesElement: undefined,\n defaultPopperOptions: {},\n // Class added when content is loading\n defaultLoadingClass: 'tooltip-loading',\n // Displayed when tooltip content is loading\n defaultLoadingContent: '...',\n // Hide on mouseover tooltip\n autoHide: true,\n // Close tooltip on click on tooltip target?\n defaultHideOnTargetClick: true,\n // Auto destroy tooltip DOM nodes (ms)\n disposeTimeout: 5000,\n // Options for popover\n popover: {\n defaultPlacement: 'bottom',\n // Use the `popoverClass` prop for theming\n defaultClass: 'vue-popover-theme',\n // Base class (change if conflicts with other libraries)\n defaultBaseClass: 'tooltip popover',\n // Wrapper class (contains arrow and inner)\n defaultWrapperClass: 'wrapper',\n // Inner content class\n defaultInnerClass: 'tooltip-inner popover-inner',\n // Arrow class\n defaultArrowClass: 'tooltip-arrow popover-arrow',\n // Class added when popover is open\n defaultOpenClass: 'open',\n defaultDelay: 0,\n defaultTrigger: 'click',\n defaultOffset: 0,\n defaultContainer: 'body',\n defaultBoundariesElement: undefined,\n defaultPopperOptions: {},\n // Hides if clicked outside of popover\n defaultAutoHide: true,\n // Update popper on content resize\n defaultHandleResize: true\n }\n};\nfunction getOptions(options) {\n var result = {\n placement: typeof options.placement !== 'undefined' ? options.placement : directive.options.defaultPlacement,\n delay: typeof options.delay !== 'undefined' ? options.delay : directive.options.defaultDelay,\n html: typeof options.html !== 'undefined' ? options.html : directive.options.defaultHtml,\n template: typeof options.template !== 'undefined' ? options.template : directive.options.defaultTemplate,\n arrowSelector: typeof options.arrowSelector !== 'undefined' ? options.arrowSelector : directive.options.defaultArrowSelector,\n innerSelector: typeof options.innerSelector !== 'undefined' ? options.innerSelector : directive.options.defaultInnerSelector,\n trigger: typeof options.trigger !== 'undefined' ? options.trigger : directive.options.defaultTrigger,\n offset: typeof options.offset !== 'undefined' ? options.offset : directive.options.defaultOffset,\n container: typeof options.container !== 'undefined' ? options.container : directive.options.defaultContainer,\n boundariesElement: typeof options.boundariesElement !== 'undefined' ? options.boundariesElement : directive.options.defaultBoundariesElement,\n autoHide: typeof options.autoHide !== 'undefined' ? options.autoHide : directive.options.autoHide,\n hideOnTargetClick: typeof options.hideOnTargetClick !== 'undefined' ? options.hideOnTargetClick : directive.options.defaultHideOnTargetClick,\n loadingClass: typeof options.loadingClass !== 'undefined' ? options.loadingClass : directive.options.defaultLoadingClass,\n loadingContent: typeof options.loadingContent !== 'undefined' ? options.loadingContent : directive.options.defaultLoadingContent,\n popperOptions: _objectSpread2({}, typeof options.popperOptions !== 'undefined' ? options.popperOptions : directive.options.defaultPopperOptions)\n };\n\n if (result.offset) {\n var typeofOffset = _typeof(result.offset);\n\n var offset = result.offset; // One value -> switch\n\n if (typeofOffset === 'number' || typeofOffset === 'string' && offset.indexOf(',') === -1) {\n offset = \"0, \".concat(offset);\n }\n\n if (!result.popperOptions.modifiers) {\n result.popperOptions.modifiers = {};\n }\n\n result.popperOptions.modifiers.offset = {\n offset: offset\n };\n }\n\n if (result.trigger && result.trigger.indexOf('click') !== -1) {\n result.hideOnTargetClick = false;\n }\n\n return result;\n}\nfunction getPlacement(value, modifiers) {\n var placement = value.placement;\n\n for (var i = 0; i < positions.length; i++) {\n var pos = positions[i];\n\n if (modifiers[pos]) {\n placement = pos;\n }\n }\n\n return placement;\n}\nfunction getContent(value) {\n var type = _typeof(value);\n\n if (type === 'string') {\n return value;\n } else if (value && type === 'object') {\n return value.content;\n } else {\n return false;\n }\n}\nfunction createTooltip(el, value) {\n var modifiers = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n var content = getContent(value);\n var classes = typeof value.classes !== 'undefined' ? value.classes : directive.options.defaultClass;\n\n var opts = _objectSpread2({\n title: content\n }, getOptions(_objectSpread2({}, value, {\n placement: getPlacement(value, modifiers)\n })));\n\n var tooltip = el._tooltip = new Tooltip(el, opts);\n tooltip.setClasses(classes);\n tooltip._vueEl = el; // Class on target\n\n var targetClasses = typeof value.targetClasses !== 'undefined' ? value.targetClasses : directive.options.defaultTargetClass;\n el._tooltipTargetClasses = targetClasses;\n addClasses(el, targetClasses);\n return tooltip;\n}\nfunction destroyTooltip(el) {\n if (el._tooltip) {\n el._tooltip.dispose();\n\n delete el._tooltip;\n delete el._tooltipOldShow;\n }\n\n if (el._tooltipTargetClasses) {\n removeClasses(el, el._tooltipTargetClasses);\n delete el._tooltipTargetClasses;\n }\n}\nfunction bind(el, _ref) {\n var value = _ref.value,\n oldValue = _ref.oldValue,\n modifiers = _ref.modifiers;\n var content = getContent(value);\n\n if (!content || !state.enabled) {\n destroyTooltip(el);\n } else {\n var tooltip;\n\n if (el._tooltip) {\n tooltip = el._tooltip; // Content\n\n tooltip.setContent(content); // Options\n\n tooltip.setOptions(_objectSpread2({}, value, {\n placement: getPlacement(value, modifiers)\n }));\n } else {\n tooltip = createTooltip(el, value, modifiers);\n } // Manual show\n\n\n if (typeof value.show !== 'undefined' && value.show !== el._tooltipOldShow) {\n el._tooltipOldShow = value.show;\n value.show ? tooltip.show() : tooltip.hide();\n }\n }\n}\nvar directive = {\n options: defaultOptions,\n bind: bind,\n update: bind,\n unbind: function unbind(el) {\n destroyTooltip(el);\n }\n};\n\nfunction addListeners(el) {\n el.addEventListener('click', onClick);\n el.addEventListener('touchstart', onTouchStart, supportsPassive ? {\n passive: true\n } : false);\n}\n\nfunction removeListeners(el) {\n el.removeEventListener('click', onClick);\n el.removeEventListener('touchstart', onTouchStart);\n el.removeEventListener('touchend', onTouchEnd);\n el.removeEventListener('touchcancel', onTouchCancel);\n}\n\nfunction onClick(event) {\n var el = event.currentTarget;\n event.closePopover = !el.$_vclosepopover_touch;\n event.closeAllPopover = el.$_closePopoverModifiers && !!el.$_closePopoverModifiers.all;\n}\n\nfunction onTouchStart(event) {\n if (event.changedTouches.length === 1) {\n var el = event.currentTarget;\n el.$_vclosepopover_touch = true;\n var touch = event.changedTouches[0];\n el.$_vclosepopover_touchPoint = touch;\n el.addEventListener('touchend', onTouchEnd);\n el.addEventListener('touchcancel', onTouchCancel);\n }\n}\n\nfunction onTouchEnd(event) {\n var el = event.currentTarget;\n el.$_vclosepopover_touch = false;\n\n if (event.changedTouches.length === 1) {\n var touch = event.changedTouches[0];\n var firstTouch = el.$_vclosepopover_touchPoint;\n event.closePopover = Math.abs(touch.screenY - firstTouch.screenY) < 20 && Math.abs(touch.screenX - firstTouch.screenX) < 20;\n event.closeAllPopover = el.$_closePopoverModifiers && !!el.$_closePopoverModifiers.all;\n }\n}\n\nfunction onTouchCancel(event) {\n var el = event.currentTarget;\n el.$_vclosepopover_touch = false;\n}\n\nvar vclosepopover = {\n bind: function bind(el, _ref) {\n var value = _ref.value,\n modifiers = _ref.modifiers;\n el.$_closePopoverModifiers = modifiers;\n\n if (typeof value === 'undefined' || value) {\n addListeners(el);\n }\n },\n update: function update(el, _ref2) {\n var value = _ref2.value,\n oldValue = _ref2.oldValue,\n modifiers = _ref2.modifiers;\n el.$_closePopoverModifiers = modifiers;\n\n if (value !== oldValue) {\n if (typeof value === 'undefined' || value) {\n addListeners(el);\n } else {\n removeListeners(el);\n }\n }\n },\n unbind: function unbind(el) {\n removeListeners(el);\n }\n};\n\nfunction getDefault(key) {\n var value = directive.options.popover[key];\n\n if (typeof value === 'undefined') {\n return directive.options[key];\n }\n\n return value;\n}\n\nvar isIOS = false;\n\nif (typeof window !== 'undefined' && typeof navigator !== 'undefined') {\n isIOS = /iPad|iPhone|iPod/.test(navigator.userAgent) && !window.MSStream;\n}\n\nvar openPopovers = [];\n\nvar Element = function Element() {};\n\nif (typeof window !== 'undefined') {\n Element = window.Element;\n}\n\nvar script = {\n name: 'VPopover',\n components: {\n ResizeObserver: ResizeObserver\n },\n props: {\n open: {\n type: Boolean,\n default: false\n },\n disabled: {\n type: Boolean,\n default: false\n },\n placement: {\n type: String,\n default: function _default() {\n return getDefault('defaultPlacement');\n }\n },\n delay: {\n type: [String, Number, Object],\n default: function _default() {\n return getDefault('defaultDelay');\n }\n },\n offset: {\n type: [String, Number],\n default: function _default() {\n return getDefault('defaultOffset');\n }\n },\n trigger: {\n type: String,\n default: function _default() {\n return getDefault('defaultTrigger');\n }\n },\n container: {\n type: [String, Object, Element, Boolean],\n default: function _default() {\n return getDefault('defaultContainer');\n }\n },\n boundariesElement: {\n type: [String, Element],\n default: function _default() {\n return getDefault('defaultBoundariesElement');\n }\n },\n popperOptions: {\n type: Object,\n default: function _default() {\n return getDefault('defaultPopperOptions');\n }\n },\n popoverClass: {\n type: [String, Array],\n default: function _default() {\n return getDefault('defaultClass');\n }\n },\n popoverBaseClass: {\n type: [String, Array],\n default: function _default() {\n return directive.options.popover.defaultBaseClass;\n }\n },\n popoverInnerClass: {\n type: [String, Array],\n default: function _default() {\n return directive.options.popover.defaultInnerClass;\n }\n },\n popoverWrapperClass: {\n type: [String, Array],\n default: function _default() {\n return directive.options.popover.defaultWrapperClass;\n }\n },\n popoverArrowClass: {\n type: [String, Array],\n default: function _default() {\n return directive.options.popover.defaultArrowClass;\n }\n },\n autoHide: {\n type: Boolean,\n default: function _default() {\n return directive.options.popover.defaultAutoHide;\n }\n },\n handleResize: {\n type: Boolean,\n default: function _default() {\n return directive.options.popover.defaultHandleResize;\n }\n },\n openGroup: {\n type: String,\n default: null\n },\n openClass: {\n type: [String, Array],\n default: function _default() {\n return directive.options.popover.defaultOpenClass;\n }\n }\n },\n data: function data() {\n return {\n isOpen: false,\n id: Math.random().toString(36).substr(2, 10)\n };\n },\n computed: {\n cssClass: function cssClass() {\n return _defineProperty({}, this.openClass, this.isOpen);\n },\n popoverId: function popoverId() {\n return \"popover_\".concat(this.id);\n }\n },\n watch: {\n open: function open(val) {\n if (val) {\n this.show();\n } else {\n this.hide();\n }\n },\n disabled: function disabled(val, oldVal) {\n if (val !== oldVal) {\n if (val) {\n this.hide();\n } else if (this.open) {\n this.show();\n }\n }\n },\n container: function container(val) {\n if (this.isOpen && this.popperInstance) {\n var popoverNode = this.$refs.popover;\n var reference = this.$refs.trigger;\n var container = this.$_findContainer(this.container, reference);\n\n if (!container) {\n console.warn('No container for popover', this);\n return;\n }\n\n container.appendChild(popoverNode);\n this.popperInstance.scheduleUpdate();\n }\n },\n trigger: function trigger(val) {\n this.$_removeEventListeners();\n this.$_addEventListeners();\n },\n placement: function placement(val) {\n var _this = this;\n\n this.$_updatePopper(function () {\n _this.popperInstance.options.placement = val;\n });\n },\n offset: '$_restartPopper',\n boundariesElement: '$_restartPopper',\n popperOptions: {\n handler: '$_restartPopper',\n deep: true\n }\n },\n created: function created() {\n this.$_isDisposed = false;\n this.$_mounted = false;\n this.$_events = [];\n this.$_preventOpen = false;\n },\n mounted: function mounted() {\n var popoverNode = this.$refs.popover;\n popoverNode.parentNode && popoverNode.parentNode.removeChild(popoverNode);\n this.$_init();\n\n if (this.open) {\n this.show();\n }\n },\n deactivated: function deactivated() {\n this.hide();\n },\n beforeDestroy: function beforeDestroy() {\n this.dispose();\n },\n methods: {\n show: function show() {\n var _this2 = this;\n\n var _ref2 = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {},\n event = _ref2.event,\n _ref2$skipDelay = _ref2.skipDelay,\n _ref2$force = _ref2.force,\n force = _ref2$force === void 0 ? false : _ref2$force;\n\n if (force || !this.disabled) {\n this.$_scheduleShow(event);\n this.$emit('show');\n }\n\n this.$emit('update:open', true);\n this.$_beingShowed = true;\n requestAnimationFrame(function () {\n _this2.$_beingShowed = false;\n });\n },\n hide: function hide() {\n var _ref3 = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {},\n event = _ref3.event,\n _ref3$skipDelay = _ref3.skipDelay;\n\n this.$_scheduleHide(event);\n this.$emit('hide');\n this.$emit('update:open', false);\n },\n dispose: function dispose() {\n this.$_isDisposed = true;\n this.$_removeEventListeners();\n this.hide({\n skipDelay: true\n });\n\n if (this.popperInstance) {\n this.popperInstance.destroy(); // destroy tooltipNode if removeOnDestroy is not set, as popperInstance.destroy() already removes the element\n\n if (!this.popperInstance.options.removeOnDestroy) {\n var popoverNode = this.$refs.popover;\n popoverNode.parentNode && popoverNode.parentNode.removeChild(popoverNode);\n }\n }\n\n this.$_mounted = false;\n this.popperInstance = null;\n this.isOpen = false;\n this.$emit('dispose');\n },\n $_init: function $_init() {\n if (this.trigger.indexOf('manual') === -1) {\n this.$_addEventListeners();\n }\n },\n $_show: function $_show() {\n var _this3 = this;\n\n var reference = this.$refs.trigger;\n var popoverNode = this.$refs.popover;\n clearTimeout(this.$_disposeTimer); // Already open\n\n if (this.isOpen) {\n return;\n } // Popper is already initialized\n\n\n if (this.popperInstance) {\n this.isOpen = true;\n this.popperInstance.enableEventListeners();\n this.popperInstance.scheduleUpdate();\n }\n\n if (!this.$_mounted) {\n var container = this.$_findContainer(this.container, reference);\n\n if (!container) {\n console.warn('No container for popover', this);\n return;\n }\n\n container.appendChild(popoverNode);\n this.$_mounted = true;\n }\n\n if (!this.popperInstance) {\n var popperOptions = _objectSpread2({}, this.popperOptions, {\n placement: this.placement\n });\n\n popperOptions.modifiers = _objectSpread2({}, popperOptions.modifiers, {\n arrow: _objectSpread2({}, popperOptions.modifiers && popperOptions.modifiers.arrow, {\n element: this.$refs.arrow\n })\n });\n\n if (this.offset) {\n var offset = this.$_getOffset();\n popperOptions.modifiers.offset = _objectSpread2({}, popperOptions.modifiers && popperOptions.modifiers.offset, {\n offset: offset\n });\n }\n\n if (this.boundariesElement) {\n popperOptions.modifiers.preventOverflow = _objectSpread2({}, popperOptions.modifiers && popperOptions.modifiers.preventOverflow, {\n boundariesElement: this.boundariesElement\n });\n }\n\n this.popperInstance = new Popper(reference, popoverNode, popperOptions); // Fix position\n\n requestAnimationFrame(function () {\n if (_this3.hidden) {\n _this3.hidden = false;\n\n _this3.$_hide();\n\n return;\n }\n\n if (!_this3.$_isDisposed && _this3.popperInstance) {\n _this3.popperInstance.scheduleUpdate(); // Show the tooltip\n\n\n requestAnimationFrame(function () {\n if (_this3.hidden) {\n _this3.hidden = false;\n\n _this3.$_hide();\n\n return;\n }\n\n if (!_this3.$_isDisposed) {\n _this3.isOpen = true;\n } else {\n _this3.dispose();\n }\n });\n } else {\n _this3.dispose();\n }\n });\n }\n\n var openGroup = this.openGroup;\n\n if (openGroup) {\n var popover;\n\n for (var i = 0; i < openPopovers.length; i++) {\n popover = openPopovers[i];\n\n if (popover.openGroup !== openGroup) {\n popover.hide();\n popover.$emit('close-group');\n }\n }\n }\n\n openPopovers.push(this);\n this.$emit('apply-show');\n },\n $_hide: function $_hide() {\n var _this4 = this;\n\n // Already hidden\n if (!this.isOpen) {\n return;\n }\n\n var index = openPopovers.indexOf(this);\n\n if (index !== -1) {\n openPopovers.splice(index, 1);\n }\n\n this.isOpen = false;\n\n if (this.popperInstance) {\n this.popperInstance.disableEventListeners();\n }\n\n clearTimeout(this.$_disposeTimer);\n var disposeTime = directive.options.popover.disposeTimeout || directive.options.disposeTimeout;\n\n if (disposeTime !== null) {\n this.$_disposeTimer = setTimeout(function () {\n var popoverNode = _this4.$refs.popover;\n\n if (popoverNode) {\n // Don't remove popper instance, just the HTML element\n popoverNode.parentNode && popoverNode.parentNode.removeChild(popoverNode);\n _this4.$_mounted = false;\n }\n }, disposeTime);\n }\n\n this.$emit('apply-hide');\n },\n $_findContainer: function $_findContainer(container, reference) {\n // if container is a query, get the relative element\n if (typeof container === 'string') {\n container = window.document.querySelector(container);\n } else if (container === false) {\n // if container is `false`, set it to reference parent\n container = reference.parentNode;\n }\n\n return container;\n },\n $_getOffset: function $_getOffset() {\n var typeofOffset = _typeof(this.offset);\n\n var offset = this.offset; // One value -> switch\n\n if (typeofOffset === 'number' || typeofOffset === 'string' && offset.indexOf(',') === -1) {\n offset = \"0, \".concat(offset);\n }\n\n return offset;\n },\n $_addEventListeners: function $_addEventListeners() {\n var _this5 = this;\n\n var reference = this.$refs.trigger;\n var directEvents = [];\n var oppositeEvents = [];\n var events = typeof this.trigger === 'string' ? this.trigger.split(' ').filter(function (trigger) {\n return ['click', 'hover', 'focus'].indexOf(trigger) !== -1;\n }) : [];\n events.forEach(function (event) {\n switch (event) {\n case 'hover':\n directEvents.push('mouseenter');\n oppositeEvents.push('mouseleave');\n break;\n\n case 'focus':\n directEvents.push('focus');\n oppositeEvents.push('blur');\n break;\n\n case 'click':\n directEvents.push('click');\n oppositeEvents.push('click');\n break;\n }\n }); // schedule show tooltip\n\n directEvents.forEach(function (event) {\n var func = function func(event) {\n if (_this5.isOpen) {\n return;\n }\n\n event.usedByTooltip = true;\n !_this5.$_preventOpen && _this5.show({\n event: event\n });\n _this5.hidden = false;\n };\n\n _this5.$_events.push({\n event: event,\n func: func\n });\n\n reference.addEventListener(event, func);\n }); // schedule hide tooltip\n\n oppositeEvents.forEach(function (event) {\n var func = function func(event) {\n if (event.usedByTooltip) {\n return;\n }\n\n _this5.hide({\n event: event\n });\n\n _this5.hidden = true;\n };\n\n _this5.$_events.push({\n event: event,\n func: func\n });\n\n reference.addEventListener(event, func);\n });\n },\n $_scheduleShow: function $_scheduleShow() {\n var skipDelay = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n clearTimeout(this.$_scheduleTimer);\n\n if (skipDelay) {\n this.$_show();\n } else {\n // defaults to 0\n var computedDelay = parseInt(this.delay && this.delay.show || this.delay || 0);\n this.$_scheduleTimer = setTimeout(this.$_show.bind(this), computedDelay);\n }\n },\n $_scheduleHide: function $_scheduleHide() {\n var _this6 = this;\n\n var event = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n var skipDelay = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n clearTimeout(this.$_scheduleTimer);\n\n if (skipDelay) {\n this.$_hide();\n } else {\n // defaults to 0\n var computedDelay = parseInt(this.delay && this.delay.hide || this.delay || 0);\n this.$_scheduleTimer = setTimeout(function () {\n if (!_this6.isOpen) {\n return;\n } // if we are hiding because of a mouseleave, we must check that the new\n // reference isn't the tooltip, because in this case we don't want to hide it\n\n\n if (event && event.type === 'mouseleave') {\n var isSet = _this6.$_setTooltipNodeEvent(event); // if we set the new event, don't hide the tooltip yet\n // the new event will take care to hide it if necessary\n\n\n if (isSet) {\n return;\n }\n }\n\n _this6.$_hide();\n }, computedDelay);\n }\n },\n $_setTooltipNodeEvent: function $_setTooltipNodeEvent(event) {\n var _this7 = this;\n\n var reference = this.$refs.trigger;\n var popoverNode = this.$refs.popover;\n var relatedreference = event.relatedreference || event.toElement || event.relatedTarget;\n\n var callback = function callback(event2) {\n var relatedreference2 = event2.relatedreference || event2.toElement || event2.relatedTarget; // Remove event listener after call\n\n popoverNode.removeEventListener(event.type, callback); // If the new reference is not the reference element\n\n if (!reference.contains(relatedreference2)) {\n // Schedule to hide tooltip\n _this7.hide({\n event: event2\n });\n }\n };\n\n if (popoverNode.contains(relatedreference)) {\n // listen to mouseleave on the tooltip element to be able to hide the tooltip\n popoverNode.addEventListener(event.type, callback);\n return true;\n }\n\n return false;\n },\n $_removeEventListeners: function $_removeEventListeners() {\n var reference = this.$refs.trigger;\n this.$_events.forEach(function (_ref4) {\n var func = _ref4.func,\n event = _ref4.event;\n reference.removeEventListener(event, func);\n });\n this.$_events = [];\n },\n $_updatePopper: function $_updatePopper(cb) {\n if (this.popperInstance) {\n cb();\n if (this.isOpen) this.popperInstance.scheduleUpdate();\n }\n },\n $_restartPopper: function $_restartPopper() {\n if (this.popperInstance) {\n var isOpen = this.isOpen;\n this.dispose();\n this.$_isDisposed = false;\n this.$_init();\n\n if (isOpen) {\n this.show({\n skipDelay: true,\n force: true\n });\n }\n }\n },\n $_handleGlobalClose: function $_handleGlobalClose(event) {\n var _this8 = this;\n\n var touch = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n if (this.$_beingShowed) return;\n this.hide({\n event: event\n });\n\n if (event.closePopover) {\n this.$emit('close-directive');\n } else {\n this.$emit('auto-hide');\n }\n\n if (touch) {\n this.$_preventOpen = true;\n setTimeout(function () {\n _this8.$_preventOpen = false;\n }, 300);\n }\n },\n $_handleResize: function $_handleResize() {\n if (this.isOpen && this.popperInstance) {\n this.popperInstance.scheduleUpdate();\n this.$emit('resize');\n }\n }\n }\n};\n\nif (typeof document !== 'undefined' && typeof window !== 'undefined') {\n if (isIOS) {\n document.addEventListener('touchend', handleGlobalTouchend, supportsPassive ? {\n passive: true,\n capture: true\n } : true);\n } else {\n window.addEventListener('click', handleGlobalClick, true);\n }\n}\n\nfunction handleGlobalClick(event) {\n handleGlobalClose(event);\n}\n\nfunction handleGlobalTouchend(event) {\n handleGlobalClose(event, true);\n}\n\nfunction handleGlobalClose(event) {\n var touch = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n\n var _loop = function _loop(i) {\n var popover = openPopovers[i];\n\n if (popover.$refs.popover) {\n var contains = popover.$refs.popover.contains(event.target);\n requestAnimationFrame(function () {\n if (event.closeAllPopover || event.closePopover && contains || popover.autoHide && !contains) {\n popover.$_handleGlobalClose(event, touch);\n }\n });\n }\n };\n\n // Delay so that close directive has time to set values\n for (var i = 0; i < openPopovers.length; i++) {\n _loop(i);\n }\n}\n\nfunction normalizeComponent(template, style, script, scopeId, isFunctionalTemplate, moduleIdentifier /* server only */, shadowMode, createInjector, createInjectorSSR, createInjectorShadow) {\r\n if (typeof shadowMode !== 'boolean') {\r\n createInjectorSSR = createInjector;\r\n createInjector = shadowMode;\r\n shadowMode = false;\r\n }\r\n // Vue.extend constructor export interop.\r\n const options = typeof script === 'function' ? script.options : script;\r\n // render functions\r\n if (template && template.render) {\r\n options.render = template.render;\r\n options.staticRenderFns = template.staticRenderFns;\r\n options._compiled = true;\r\n // functional template\r\n if (isFunctionalTemplate) {\r\n options.functional = true;\r\n }\r\n }\r\n // scopedId\r\n if (scopeId) {\r\n options._scopeId = scopeId;\r\n }\r\n let hook;\r\n if (moduleIdentifier) {\r\n // server build\r\n hook = function (context) {\r\n // 2.3 injection\r\n context =\r\n context || // cached call\r\n (this.$vnode && this.$vnode.ssrContext) || // stateful\r\n (this.parent && this.parent.$vnode && this.parent.$vnode.ssrContext); // functional\r\n // 2.2 with runInNewContext: true\r\n if (!context && typeof __VUE_SSR_CONTEXT__ !== 'undefined') {\r\n context = __VUE_SSR_CONTEXT__;\r\n }\r\n // inject component styles\r\n if (style) {\r\n style.call(this, createInjectorSSR(context));\r\n }\r\n // register component module identifier for async chunk inference\r\n if (context && context._registeredComponents) {\r\n context._registeredComponents.add(moduleIdentifier);\r\n }\r\n };\r\n // used by ssr in case component is cached and beforeCreate\r\n // never gets called\r\n options._ssrRegister = hook;\r\n }\r\n else if (style) {\r\n hook = shadowMode\r\n ? function (context) {\r\n style.call(this, createInjectorShadow(context, this.$root.$options.shadowRoot));\r\n }\r\n : function (context) {\r\n style.call(this, createInjector(context));\r\n };\r\n }\r\n if (hook) {\r\n if (options.functional) {\r\n // register for functional component in vue file\r\n const originalRender = options.render;\r\n options.render = function renderWithStyleInjection(h, context) {\r\n hook.call(context);\r\n return originalRender(h, context);\r\n };\r\n }\r\n else {\r\n // inject component registration as beforeCreate hook\r\n const existing = options.beforeCreate;\r\n options.beforeCreate = existing ? [].concat(existing, hook) : [hook];\r\n }\r\n }\r\n return script;\r\n}\n\n/* script */\nvar __vue_script__ = script;\n/* template */\n\nvar __vue_render__ = function __vue_render__() {\n var _vm = this;\n\n var _h = _vm.$createElement;\n\n var _c = _vm._self._c || _h;\n\n return _c(\"div\", {\n staticClass: \"v-popover\",\n class: _vm.cssClass\n }, [_c(\"div\", {\n ref: \"trigger\",\n staticClass: \"trigger\",\n staticStyle: {\n display: \"inline-block\"\n },\n attrs: {\n \"aria-describedby\": _vm.popoverId,\n tabindex: _vm.trigger.indexOf(\"focus\") !== -1 ? 0 : undefined\n }\n }, [_vm._t(\"default\")], 2), _vm._v(\" \"), _c(\"div\", {\n ref: \"popover\",\n class: [_vm.popoverBaseClass, _vm.popoverClass, _vm.cssClass],\n style: {\n visibility: _vm.isOpen ? \"visible\" : \"hidden\"\n },\n attrs: {\n id: _vm.popoverId,\n \"aria-hidden\": _vm.isOpen ? \"false\" : \"true\",\n tabindex: _vm.autoHide ? 0 : undefined\n },\n on: {\n keyup: function keyup($event) {\n if (!$event.type.indexOf(\"key\") && _vm._k($event.keyCode, \"esc\", 27, $event.key, [\"Esc\", \"Escape\"])) {\n return null;\n }\n\n _vm.autoHide && _vm.hide();\n }\n }\n }, [_c(\"div\", {\n class: _vm.popoverWrapperClass\n }, [_c(\"div\", {\n ref: \"inner\",\n class: _vm.popoverInnerClass,\n staticStyle: {\n position: \"relative\"\n }\n }, [_c(\"div\", [_vm._t(\"popover\")], 2), _vm._v(\" \"), _vm.handleResize ? _c(\"ResizeObserver\", {\n on: {\n notify: _vm.$_handleResize\n }\n }) : _vm._e()], 1), _vm._v(\" \"), _c(\"div\", {\n ref: \"arrow\",\n class: _vm.popoverArrowClass\n })])])]);\n};\n\nvar __vue_staticRenderFns__ = [];\n__vue_render__._withStripped = true;\n/* style */\n\nvar __vue_inject_styles__ = undefined;\n/* scoped */\n\nvar __vue_scope_id__ = undefined;\n/* module identifier */\n\nvar __vue_module_identifier__ = undefined;\n/* functional template */\n\nvar __vue_is_functional_template__ = false;\n/* style inject */\n\n/* style inject SSR */\n\n/* style inject shadow dom */\n\nvar __vue_component__ = normalizeComponent({\n render: __vue_render__,\n staticRenderFns: __vue_staticRenderFns__\n}, __vue_inject_styles__, __vue_script__, __vue_scope_id__, __vue_is_functional_template__, __vue_module_identifier__, false, undefined, undefined, undefined);\n\nvar defineProperty = (function() {\n try {\n var func = _getNative(Object, 'defineProperty');\n func({}, '', {});\n return func;\n } catch (e) {}\n}());\n\nvar _defineProperty$1 = defineProperty;\n\n/**\n * The base implementation of `assignValue` and `assignMergeValue` without\n * value checks.\n *\n * @private\n * @param {Object} object The object to modify.\n * @param {string} key The key of the property to assign.\n * @param {*} value The value to assign.\n */\nfunction baseAssignValue(object, key, value) {\n if (key == '__proto__' && _defineProperty$1) {\n _defineProperty$1(object, key, {\n 'configurable': true,\n 'enumerable': true,\n 'value': value,\n 'writable': true\n });\n } else {\n object[key] = value;\n }\n}\n\nvar _baseAssignValue = baseAssignValue;\n\n/**\n * This function is like `assignValue` except that it doesn't assign\n * `undefined` values.\n *\n * @private\n * @param {Object} object The object to modify.\n * @param {string} key The key of the property to assign.\n * @param {*} value The value to assign.\n */\nfunction assignMergeValue(object, key, value) {\n if ((value !== undefined && !eq_1(object[key], value)) ||\n (value === undefined && !(key in object))) {\n _baseAssignValue(object, key, value);\n }\n}\n\nvar _assignMergeValue = assignMergeValue;\n\n/**\n * Creates a base function for methods like `_.forIn` and `_.forOwn`.\n *\n * @private\n * @param {boolean} [fromRight] Specify iterating from right to left.\n * @returns {Function} Returns the new base function.\n */\nfunction createBaseFor(fromRight) {\n return function(object, iteratee, keysFunc) {\n var index = -1,\n iterable = Object(object),\n props = keysFunc(object),\n length = props.length;\n\n while (length--) {\n var key = props[fromRight ? length : ++index];\n if (iteratee(iterable[key], key, iterable) === false) {\n break;\n }\n }\n return object;\n };\n}\n\nvar _createBaseFor = createBaseFor;\n\n/**\n * The base implementation of `baseForOwn` which iterates over `object`\n * properties returned by `keysFunc` and invokes `iteratee` for each property.\n * Iteratee functions may exit iteration early by explicitly returning `false`.\n *\n * @private\n * @param {Object} object The object to iterate over.\n * @param {Function} iteratee The function invoked per iteration.\n * @param {Function} keysFunc The function to get the keys of `object`.\n * @returns {Object} Returns `object`.\n */\nvar baseFor = _createBaseFor();\n\nvar _baseFor = baseFor;\n\nvar _cloneBuffer = createCommonjsModule(function (module, exports) {\n/** Detect free variable `exports`. */\nvar freeExports = exports && !exports.nodeType && exports;\n\n/** Detect free variable `module`. */\nvar freeModule = freeExports && 'object' == 'object' && module && !module.nodeType && module;\n\n/** Detect the popular CommonJS extension `module.exports`. */\nvar moduleExports = freeModule && freeModule.exports === freeExports;\n\n/** Built-in value references. */\nvar Buffer = moduleExports ? _root.Buffer : undefined,\n allocUnsafe = Buffer ? Buffer.allocUnsafe : undefined;\n\n/**\n * Creates a clone of `buffer`.\n *\n * @private\n * @param {Buffer} buffer The buffer to clone.\n * @param {boolean} [isDeep] Specify a deep clone.\n * @returns {Buffer} Returns the cloned buffer.\n */\nfunction cloneBuffer(buffer, isDeep) {\n if (isDeep) {\n return buffer.slice();\n }\n var length = buffer.length,\n result = allocUnsafe ? allocUnsafe(length) : new buffer.constructor(length);\n\n buffer.copy(result);\n return result;\n}\n\nmodule.exports = cloneBuffer;\n});\n\n/**\n * Creates a clone of `arrayBuffer`.\n *\n * @private\n * @param {ArrayBuffer} arrayBuffer The array buffer to clone.\n * @returns {ArrayBuffer} Returns the cloned array buffer.\n */\nfunction cloneArrayBuffer(arrayBuffer) {\n var result = new arrayBuffer.constructor(arrayBuffer.byteLength);\n new _Uint8Array(result).set(new _Uint8Array(arrayBuffer));\n return result;\n}\n\nvar _cloneArrayBuffer = cloneArrayBuffer;\n\n/**\n * Creates a clone of `typedArray`.\n *\n * @private\n * @param {Object} typedArray The typed array to clone.\n * @param {boolean} [isDeep] Specify a deep clone.\n * @returns {Object} Returns the cloned typed array.\n */\nfunction cloneTypedArray(typedArray, isDeep) {\n var buffer = isDeep ? _cloneArrayBuffer(typedArray.buffer) : typedArray.buffer;\n return new typedArray.constructor(buffer, typedArray.byteOffset, typedArray.length);\n}\n\nvar _cloneTypedArray = cloneTypedArray;\n\n/**\n * Copies the values of `source` to `array`.\n *\n * @private\n * @param {Array} source The array to copy values from.\n * @param {Array} [array=[]] The array to copy values to.\n * @returns {Array} Returns `array`.\n */\nfunction copyArray(source, array) {\n var index = -1,\n length = source.length;\n\n array || (array = Array(length));\n while (++index < length) {\n array[index] = source[index];\n }\n return array;\n}\n\nvar _copyArray = copyArray;\n\n/** Built-in value references. */\nvar objectCreate = Object.create;\n\n/**\n * The base implementation of `_.create` without support for assigning\n * properties to the created object.\n *\n * @private\n * @param {Object} proto The object to inherit from.\n * @returns {Object} Returns the new object.\n */\nvar baseCreate = (function() {\n function object() {}\n return function(proto) {\n if (!isObject_1(proto)) {\n return {};\n }\n if (objectCreate) {\n return objectCreate(proto);\n }\n object.prototype = proto;\n var result = new object;\n object.prototype = undefined;\n return result;\n };\n}());\n\nvar _baseCreate = baseCreate;\n\n/** Built-in value references. */\nvar getPrototype = _overArg(Object.getPrototypeOf, Object);\n\nvar _getPrototype = getPrototype;\n\n/**\n * Initializes an object clone.\n *\n * @private\n * @param {Object} object The object to clone.\n * @returns {Object} Returns the initialized clone.\n */\nfunction initCloneObject(object) {\n return (typeof object.constructor == 'function' && !_isPrototype(object))\n ? _baseCreate(_getPrototype(object))\n : {};\n}\n\nvar _initCloneObject = initCloneObject;\n\n/**\n * This method is like `_.isArrayLike` except that it also checks if `value`\n * is an object.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an array-like object,\n * else `false`.\n * @example\n *\n * _.isArrayLikeObject([1, 2, 3]);\n * // => true\n *\n * _.isArrayLikeObject(document.body.children);\n * // => true\n *\n * _.isArrayLikeObject('abc');\n * // => false\n *\n * _.isArrayLikeObject(_.noop);\n * // => false\n */\nfunction isArrayLikeObject(value) {\n return isObjectLike_1(value) && isArrayLike_1(value);\n}\n\nvar isArrayLikeObject_1 = isArrayLikeObject;\n\n/** `Object#toString` result references. */\nvar objectTag$3 = '[object Object]';\n\n/** Used for built-in method references. */\nvar funcProto$2 = Function.prototype,\n objectProto$c = Object.prototype;\n\n/** Used to resolve the decompiled source of functions. */\nvar funcToString$2 = funcProto$2.toString;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$9 = objectProto$c.hasOwnProperty;\n\n/** Used to infer the `Object` constructor. */\nvar objectCtorString = funcToString$2.call(Object);\n\n/**\n * Checks if `value` is a plain object, that is, an object created by the\n * `Object` constructor or one with a `[[Prototype]]` of `null`.\n *\n * @static\n * @memberOf _\n * @since 0.8.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a plain object, else `false`.\n * @example\n *\n * function Foo() {\n * this.a = 1;\n * }\n *\n * _.isPlainObject(new Foo);\n * // => false\n *\n * _.isPlainObject([1, 2, 3]);\n * // => false\n *\n * _.isPlainObject({ 'x': 0, 'y': 0 });\n * // => true\n *\n * _.isPlainObject(Object.create(null));\n * // => true\n */\nfunction isPlainObject(value) {\n if (!isObjectLike_1(value) || _baseGetTag(value) != objectTag$3) {\n return false;\n }\n var proto = _getPrototype(value);\n if (proto === null) {\n return true;\n }\n var Ctor = hasOwnProperty$9.call(proto, 'constructor') && proto.constructor;\n return typeof Ctor == 'function' && Ctor instanceof Ctor &&\n funcToString$2.call(Ctor) == objectCtorString;\n}\n\nvar isPlainObject_1 = isPlainObject;\n\n/**\n * Gets the value at `key`, unless `key` is \"__proto__\" or \"constructor\".\n *\n * @private\n * @param {Object} object The object to query.\n * @param {string} key The key of the property to get.\n * @returns {*} Returns the property value.\n */\nfunction safeGet(object, key) {\n if (key === 'constructor' && typeof object[key] === 'function') {\n return;\n }\n\n if (key == '__proto__') {\n return;\n }\n\n return object[key];\n}\n\nvar _safeGet = safeGet;\n\n/** Used for built-in method references. */\nvar objectProto$d = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$a = objectProto$d.hasOwnProperty;\n\n/**\n * Assigns `value` to `key` of `object` if the existing value is not equivalent\n * using [`SameValueZero`](http://ecma-international.org/ecma-262/7.0/#sec-samevaluezero)\n * for equality comparisons.\n *\n * @private\n * @param {Object} object The object to modify.\n * @param {string} key The key of the property to assign.\n * @param {*} value The value to assign.\n */\nfunction assignValue(object, key, value) {\n var objValue = object[key];\n if (!(hasOwnProperty$a.call(object, key) && eq_1(objValue, value)) ||\n (value === undefined && !(key in object))) {\n _baseAssignValue(object, key, value);\n }\n}\n\nvar _assignValue = assignValue;\n\n/**\n * Copies properties of `source` to `object`.\n *\n * @private\n * @param {Object} source The object to copy properties from.\n * @param {Array} props The property identifiers to copy.\n * @param {Object} [object={}] The object to copy properties to.\n * @param {Function} [customizer] The function to customize copied values.\n * @returns {Object} Returns `object`.\n */\nfunction copyObject(source, props, object, customizer) {\n var isNew = !object;\n object || (object = {});\n\n var index = -1,\n length = props.length;\n\n while (++index < length) {\n var key = props[index];\n\n var newValue = customizer\n ? customizer(object[key], source[key], key, object, source)\n : undefined;\n\n if (newValue === undefined) {\n newValue = source[key];\n }\n if (isNew) {\n _baseAssignValue(object, key, newValue);\n } else {\n _assignValue(object, key, newValue);\n }\n }\n return object;\n}\n\nvar _copyObject = copyObject;\n\n/**\n * This function is like\n * [`Object.keys`](http://ecma-international.org/ecma-262/7.0/#sec-object.keys)\n * except that it includes inherited enumerable properties.\n *\n * @private\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names.\n */\nfunction nativeKeysIn(object) {\n var result = [];\n if (object != null) {\n for (var key in Object(object)) {\n result.push(key);\n }\n }\n return result;\n}\n\nvar _nativeKeysIn = nativeKeysIn;\n\n/** Used for built-in method references. */\nvar objectProto$e = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$b = objectProto$e.hasOwnProperty;\n\n/**\n * The base implementation of `_.keysIn` which doesn't treat sparse arrays as dense.\n *\n * @private\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names.\n */\nfunction baseKeysIn(object) {\n if (!isObject_1(object)) {\n return _nativeKeysIn(object);\n }\n var isProto = _isPrototype(object),\n result = [];\n\n for (var key in object) {\n if (!(key == 'constructor' && (isProto || !hasOwnProperty$b.call(object, key)))) {\n result.push(key);\n }\n }\n return result;\n}\n\nvar _baseKeysIn = baseKeysIn;\n\n/**\n * Creates an array of the own and inherited enumerable property names of `object`.\n *\n * **Note:** Non-object values are coerced to objects.\n *\n * @static\n * @memberOf _\n * @since 3.0.0\n * @category Object\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names.\n * @example\n *\n * function Foo() {\n * this.a = 1;\n * this.b = 2;\n * }\n *\n * Foo.prototype.c = 3;\n *\n * _.keysIn(new Foo);\n * // => ['a', 'b', 'c'] (iteration order is not guaranteed)\n */\nfunction keysIn(object) {\n return isArrayLike_1(object) ? _arrayLikeKeys(object, true) : _baseKeysIn(object);\n}\n\nvar keysIn_1 = keysIn;\n\n/**\n * Converts `value` to a plain object flattening inherited enumerable string\n * keyed properties of `value` to own properties of the plain object.\n *\n * @static\n * @memberOf _\n * @since 3.0.0\n * @category Lang\n * @param {*} value The value to convert.\n * @returns {Object} Returns the converted plain object.\n * @example\n *\n * function Foo() {\n * this.b = 2;\n * }\n *\n * Foo.prototype.c = 3;\n *\n * _.assign({ 'a': 1 }, new Foo);\n * // => { 'a': 1, 'b': 2 }\n *\n * _.assign({ 'a': 1 }, _.toPlainObject(new Foo));\n * // => { 'a': 1, 'b': 2, 'c': 3 }\n */\nfunction toPlainObject(value) {\n return _copyObject(value, keysIn_1(value));\n}\n\nvar toPlainObject_1 = toPlainObject;\n\n/**\n * A specialized version of `baseMerge` for arrays and objects which performs\n * deep merges and tracks traversed objects enabling objects with circular\n * references to be merged.\n *\n * @private\n * @param {Object} object The destination object.\n * @param {Object} source The source object.\n * @param {string} key The key of the value to merge.\n * @param {number} srcIndex The index of `source`.\n * @param {Function} mergeFunc The function to merge values.\n * @param {Function} [customizer] The function to customize assigned values.\n * @param {Object} [stack] Tracks traversed source values and their merged\n * counterparts.\n */\nfunction baseMergeDeep(object, source, key, srcIndex, mergeFunc, customizer, stack) {\n var objValue = _safeGet(object, key),\n srcValue = _safeGet(source, key),\n stacked = stack.get(srcValue);\n\n if (stacked) {\n _assignMergeValue(object, key, stacked);\n return;\n }\n var newValue = customizer\n ? customizer(objValue, srcValue, (key + ''), object, source, stack)\n : undefined;\n\n var isCommon = newValue === undefined;\n\n if (isCommon) {\n var isArr = isArray_1(srcValue),\n isBuff = !isArr && isBuffer_1(srcValue),\n isTyped = !isArr && !isBuff && isTypedArray_1(srcValue);\n\n newValue = srcValue;\n if (isArr || isBuff || isTyped) {\n if (isArray_1(objValue)) {\n newValue = objValue;\n }\n else if (isArrayLikeObject_1(objValue)) {\n newValue = _copyArray(objValue);\n }\n else if (isBuff) {\n isCommon = false;\n newValue = _cloneBuffer(srcValue, true);\n }\n else if (isTyped) {\n isCommon = false;\n newValue = _cloneTypedArray(srcValue, true);\n }\n else {\n newValue = [];\n }\n }\n else if (isPlainObject_1(srcValue) || isArguments_1(srcValue)) {\n newValue = objValue;\n if (isArguments_1(objValue)) {\n newValue = toPlainObject_1(objValue);\n }\n else if (!isObject_1(objValue) || isFunction_1(objValue)) {\n newValue = _initCloneObject(srcValue);\n }\n }\n else {\n isCommon = false;\n }\n }\n if (isCommon) {\n // Recursively merge objects and arrays (susceptible to call stack limits).\n stack.set(srcValue, newValue);\n mergeFunc(newValue, srcValue, srcIndex, customizer, stack);\n stack['delete'](srcValue);\n }\n _assignMergeValue(object, key, newValue);\n}\n\nvar _baseMergeDeep = baseMergeDeep;\n\n/**\n * The base implementation of `_.merge` without support for multiple sources.\n *\n * @private\n * @param {Object} object The destination object.\n * @param {Object} source The source object.\n * @param {number} srcIndex The index of `source`.\n * @param {Function} [customizer] The function to customize merged values.\n * @param {Object} [stack] Tracks traversed source values and their merged\n * counterparts.\n */\nfunction baseMerge(object, source, srcIndex, customizer, stack) {\n if (object === source) {\n return;\n }\n _baseFor(source, function(srcValue, key) {\n stack || (stack = new _Stack);\n if (isObject_1(srcValue)) {\n _baseMergeDeep(object, source, key, srcIndex, baseMerge, customizer, stack);\n }\n else {\n var newValue = customizer\n ? customizer(_safeGet(object, key), srcValue, (key + ''), object, source, stack)\n : undefined;\n\n if (newValue === undefined) {\n newValue = srcValue;\n }\n _assignMergeValue(object, key, newValue);\n }\n }, keysIn_1);\n}\n\nvar _baseMerge = baseMerge;\n\n/**\n * This method returns the first argument it receives.\n *\n * @static\n * @since 0.1.0\n * @memberOf _\n * @category Util\n * @param {*} value Any value.\n * @returns {*} Returns `value`.\n * @example\n *\n * var object = { 'a': 1 };\n *\n * console.log(_.identity(object) === object);\n * // => true\n */\nfunction identity(value) {\n return value;\n}\n\nvar identity_1 = identity;\n\n/**\n * A faster alternative to `Function#apply`, this function invokes `func`\n * with the `this` binding of `thisArg` and the arguments of `args`.\n *\n * @private\n * @param {Function} func The function to invoke.\n * @param {*} thisArg The `this` binding of `func`.\n * @param {Array} args The arguments to invoke `func` with.\n * @returns {*} Returns the result of `func`.\n */\nfunction apply(func, thisArg, args) {\n switch (args.length) {\n case 0: return func.call(thisArg);\n case 1: return func.call(thisArg, args[0]);\n case 2: return func.call(thisArg, args[0], args[1]);\n case 3: return func.call(thisArg, args[0], args[1], args[2]);\n }\n return func.apply(thisArg, args);\n}\n\nvar _apply = apply;\n\n/* Built-in method references for those with the same name as other `lodash` methods. */\nvar nativeMax = Math.max;\n\n/**\n * A specialized version of `baseRest` which transforms the rest array.\n *\n * @private\n * @param {Function} func The function to apply a rest parameter to.\n * @param {number} [start=func.length-1] The start position of the rest parameter.\n * @param {Function} transform The rest array transform.\n * @returns {Function} Returns the new function.\n */\nfunction overRest(func, start, transform) {\n start = nativeMax(start === undefined ? (func.length - 1) : start, 0);\n return function() {\n var args = arguments,\n index = -1,\n length = nativeMax(args.length - start, 0),\n array = Array(length);\n\n while (++index < length) {\n array[index] = args[start + index];\n }\n index = -1;\n var otherArgs = Array(start + 1);\n while (++index < start) {\n otherArgs[index] = args[index];\n }\n otherArgs[start] = transform(array);\n return _apply(func, this, otherArgs);\n };\n}\n\nvar _overRest = overRest;\n\n/**\n * Creates a function that returns `value`.\n *\n * @static\n * @memberOf _\n * @since 2.4.0\n * @category Util\n * @param {*} value The value to return from the new function.\n * @returns {Function} Returns the new constant function.\n * @example\n *\n * var objects = _.times(2, _.constant({ 'a': 1 }));\n *\n * console.log(objects);\n * // => [{ 'a': 1 }, { 'a': 1 }]\n *\n * console.log(objects[0] === objects[1]);\n * // => true\n */\nfunction constant(value) {\n return function() {\n return value;\n };\n}\n\nvar constant_1 = constant;\n\n/**\n * The base implementation of `setToString` without support for hot loop shorting.\n *\n * @private\n * @param {Function} func The function to modify.\n * @param {Function} string The `toString` result.\n * @returns {Function} Returns `func`.\n */\nvar baseSetToString = !_defineProperty$1 ? identity_1 : function(func, string) {\n return _defineProperty$1(func, 'toString', {\n 'configurable': true,\n 'enumerable': false,\n 'value': constant_1(string),\n 'writable': true\n });\n};\n\nvar _baseSetToString = baseSetToString;\n\n/** Used to detect hot functions by number of calls within a span of milliseconds. */\nvar HOT_COUNT = 800,\n HOT_SPAN = 16;\n\n/* Built-in method references for those with the same name as other `lodash` methods. */\nvar nativeNow = Date.now;\n\n/**\n * Creates a function that'll short out and invoke `identity` instead\n * of `func` when it's called `HOT_COUNT` or more times in `HOT_SPAN`\n * milliseconds.\n *\n * @private\n * @param {Function} func The function to restrict.\n * @returns {Function} Returns the new shortable function.\n */\nfunction shortOut(func) {\n var count = 0,\n lastCalled = 0;\n\n return function() {\n var stamp = nativeNow(),\n remaining = HOT_SPAN - (stamp - lastCalled);\n\n lastCalled = stamp;\n if (remaining > 0) {\n if (++count >= HOT_COUNT) {\n return arguments[0];\n }\n } else {\n count = 0;\n }\n return func.apply(undefined, arguments);\n };\n}\n\nvar _shortOut = shortOut;\n\n/**\n * Sets the `toString` method of `func` to return `string`.\n *\n * @private\n * @param {Function} func The function to modify.\n * @param {Function} string The `toString` result.\n * @returns {Function} Returns `func`.\n */\nvar setToString = _shortOut(_baseSetToString);\n\nvar _setToString = setToString;\n\n/**\n * The base implementation of `_.rest` which doesn't validate or coerce arguments.\n *\n * @private\n * @param {Function} func The function to apply a rest parameter to.\n * @param {number} [start=func.length-1] The start position of the rest parameter.\n * @returns {Function} Returns the new function.\n */\nfunction baseRest(func, start) {\n return _setToString(_overRest(func, start, identity_1), func + '');\n}\n\nvar _baseRest = baseRest;\n\n/**\n * Checks if the given arguments are from an iteratee call.\n *\n * @private\n * @param {*} value The potential iteratee value argument.\n * @param {*} index The potential iteratee index or key argument.\n * @param {*} object The potential iteratee object argument.\n * @returns {boolean} Returns `true` if the arguments are from an iteratee call,\n * else `false`.\n */\nfunction isIterateeCall(value, index, object) {\n if (!isObject_1(object)) {\n return false;\n }\n var type = typeof index;\n if (type == 'number'\n ? (isArrayLike_1(object) && _isIndex(index, object.length))\n : (type == 'string' && index in object)\n ) {\n return eq_1(object[index], value);\n }\n return false;\n}\n\nvar _isIterateeCall = isIterateeCall;\n\n/**\n * Creates a function like `_.assign`.\n *\n * @private\n * @param {Function} assigner The function to assign values.\n * @returns {Function} Returns the new assigner function.\n */\nfunction createAssigner(assigner) {\n return _baseRest(function(object, sources) {\n var index = -1,\n length = sources.length,\n customizer = length > 1 ? sources[length - 1] : undefined,\n guard = length > 2 ? sources[2] : undefined;\n\n customizer = (assigner.length > 3 && typeof customizer == 'function')\n ? (length--, customizer)\n : undefined;\n\n if (guard && _isIterateeCall(sources[0], sources[1], guard)) {\n customizer = length < 3 ? undefined : customizer;\n length = 1;\n }\n object = Object(object);\n while (++index < length) {\n var source = sources[index];\n if (source) {\n assigner(object, source, index, customizer);\n }\n }\n return object;\n });\n}\n\nvar _createAssigner = createAssigner;\n\n/**\n * This method is like `_.assign` except that it recursively merges own and\n * inherited enumerable string keyed properties of source objects into the\n * destination object. Source properties that resolve to `undefined` are\n * skipped if a destination value exists. Array and plain object properties\n * are merged recursively. Other objects and value types are overridden by\n * assignment. Source objects are applied from left to right. Subsequent\n * sources overwrite property assignments of previous sources.\n *\n * **Note:** This method mutates `object`.\n *\n * @static\n * @memberOf _\n * @since 0.5.0\n * @category Object\n * @param {Object} object The destination object.\n * @param {...Object} [sources] The source objects.\n * @returns {Object} Returns `object`.\n * @example\n *\n * var object = {\n * 'a': [{ 'b': 2 }, { 'd': 4 }]\n * };\n *\n * var other = {\n * 'a': [{ 'c': 3 }, { 'e': 5 }]\n * };\n *\n * _.merge(object, other);\n * // => { 'a': [{ 'b': 2, 'c': 3 }, { 'd': 4, 'e': 5 }] }\n */\nvar merge = _createAssigner(function(object, source, srcIndex) {\n _baseMerge(object, source, srcIndex);\n});\n\nvar merge_1 = merge;\n\nfunction styleInject(css, ref) {\n if ( ref === void 0 ) ref = {};\n var insertAt = ref.insertAt;\n\n if (!css || typeof document === 'undefined') { return; }\n\n var head = document.head || document.getElementsByTagName('head')[0];\n var style = document.createElement('style');\n style.type = 'text/css';\n\n if (insertAt === 'top') {\n if (head.firstChild) {\n head.insertBefore(style, head.firstChild);\n } else {\n head.appendChild(style);\n }\n } else {\n head.appendChild(style);\n }\n\n if (style.styleSheet) {\n style.styleSheet.cssText = css;\n } else {\n style.appendChild(document.createTextNode(css));\n }\n}\n\nvar css = \".resize-observer[data-v-b329ee4c]{position:absolute;top:0;left:0;z-index:-1;width:100%;height:100%;border:none;background-color:transparent;pointer-events:none;display:block;overflow:hidden;opacity:0}.resize-observer[data-v-b329ee4c] object{display:block;position:absolute;top:0;left:0;height:100%;width:100%;overflow:hidden;pointer-events:none;z-index:-1}\";\nstyleInject(css);\n\nfunction install(Vue) {\n var options = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n if (install.installed) return;\n install.installed = true;\n var finalOptions = {};\n merge_1(finalOptions, defaultOptions, options);\n plugin.options = finalOptions;\n directive.options = finalOptions;\n Vue.directive('tooltip', directive);\n Vue.directive('close-popover', vclosepopover);\n Vue.component('v-popover', __vue_component__);\n}\nvar VTooltip = directive;\nvar VClosePopover = vclosepopover;\nvar VPopover = __vue_component__;\nvar plugin = {\n install: install,\n\n get enabled() {\n return state.enabled;\n },\n\n set enabled(value) {\n state.enabled = value;\n }\n\n}; // Auto-install\n\nvar GlobalVue = null;\n\nif (typeof window !== 'undefined') {\n GlobalVue = window.Vue;\n} else if (typeof global !== 'undefined') {\n GlobalVue = global.Vue;\n}\n\nif (GlobalVue) {\n GlobalVue.use(plugin);\n}\n\nexport default plugin;\nexport { VClosePopover, VPopover, VTooltip, createTooltip, destroyTooltip, install };\n","'use strict';\n\nvar get = require('lodash.get');\nvar plurals = require('./plurals');\n\nmodule.exports = Gettext;\n\n/**\n * Creates and returns a new Gettext instance.\n *\n * @constructor\n * @param {Object} [options] A set of options\n * @param {String} options.sourceLocale The locale that the source code and its\n * texts are written in. Translations for\n * this locale is not necessary.\n * @param {Boolean} options.debug Whether to output debug info into the\n * console.\n * @return {Object} A Gettext instance\n */\nfunction Gettext(options) {\n options = options || {};\n\n this.catalogs = {};\n this.locale = '';\n this.domain = 'messages';\n\n this.listeners = [];\n\n // Set source locale\n this.sourceLocale = '';\n if (options.sourceLocale) {\n if (typeof options.sourceLocale === 'string') {\n this.sourceLocale = options.sourceLocale;\n }\n else {\n this.warn('The `sourceLocale` option should be a string');\n }\n }\n\n // Set debug flag\n this.debug = 'debug' in options && options.debug === true;\n}\n\n/**\n * Adds an event listener.\n *\n * @param {String} eventName An event name\n * @param {Function} callback An event handler function\n */\nGettext.prototype.on = function(eventName, callback) {\n this.listeners.push({\n eventName: eventName,\n callback: callback\n });\n};\n\n/**\n * Removes an event listener.\n *\n * @param {String} eventName An event name\n * @param {Function} callback A previously registered event handler function\n */\nGettext.prototype.off = function(eventName, callback) {\n this.listeners = this.listeners.filter(function(listener) {\n return (\n listener.eventName === eventName &&\n listener.callback === callback\n ) === false;\n });\n};\n\n/**\n * Emits an event to all registered event listener.\n *\n * @private\n * @param {String} eventName An event name\n * @param {any} eventData Data to pass to event listeners\n */\nGettext.prototype.emit = function(eventName, eventData) {\n for (var i = 0; i < this.listeners.length; i++) {\n var listener = this.listeners[i];\n if (listener.eventName === eventName) {\n listener.callback(eventData);\n }\n }\n};\n\n/**\n * Logs a warning to the console if debug mode is enabled.\n *\n * @ignore\n * @param {String} message A warning message\n */\nGettext.prototype.warn = function(message) {\n if (this.debug) {\n console.warn(message);\n }\n\n this.emit('error', new Error(message));\n};\n\n/**\n * Stores a set of translations in the set of gettext\n * catalogs.\n *\n * @example\n * gt.addTranslations('sv-SE', 'messages', translationsObject)\n *\n * @param {String} locale A locale string\n * @param {String} domain A domain name\n * @param {Object} translations An object of gettext-parser JSON shape\n */\nGettext.prototype.addTranslations = function(locale, domain, translations) {\n if (!this.catalogs[locale]) {\n this.catalogs[locale] = {};\n }\n\n this.catalogs[locale][domain] = translations;\n};\n\n/**\n * Sets the locale to get translated messages for.\n *\n * @example\n * gt.setLocale('sv-SE')\n *\n * @param {String} locale A locale\n */\nGettext.prototype.setLocale = function(locale) {\n if (typeof locale !== 'string') {\n this.warn(\n 'You called setLocale() with an argument of type ' + (typeof locale) + '. ' +\n 'The locale must be a string.'\n );\n return;\n }\n\n if (locale.trim() === '') {\n this.warn('You called setLocale() with an empty value, which makes little sense.');\n }\n\n if (locale !== this.sourceLocale && !this.catalogs[locale]) {\n this.warn('You called setLocale() with \"' + locale + '\", but no translations for that locale has been added.');\n }\n\n this.locale = locale;\n};\n\n/**\n * Sets the default gettext domain.\n *\n * @example\n * gt.setTextDomain('domainname')\n *\n * @param {String} domain A gettext domain name\n */\nGettext.prototype.setTextDomain = function(domain) {\n if (typeof domain !== 'string') {\n this.warn(\n 'You called setTextDomain() with an argument of type ' + (typeof domain) + '. ' +\n 'The domain must be a string.'\n );\n return;\n }\n\n if (domain.trim() === '') {\n this.warn('You called setTextDomain() with an empty `domain` value.');\n }\n\n this.domain = domain;\n};\n\n/**\n * Translates a string using the default textdomain\n *\n * @example\n * gt.gettext('Some text')\n *\n * @param {String} msgid String to be translated\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.gettext = function(msgid) {\n return this.dnpgettext(this.domain, '', msgid);\n};\n\n/**\n * Translates a string using a specific domain\n *\n * @example\n * gt.dgettext('domainname', 'Some text')\n *\n * @param {String} domain A gettext domain name\n * @param {String} msgid String to be translated\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.dgettext = function(domain, msgid) {\n return this.dnpgettext(domain, '', msgid);\n};\n\n/**\n * Translates a plural string using the default textdomain\n *\n * @example\n * gt.ngettext('One thing', 'Many things', numberOfThings)\n *\n * @param {String} msgid String to be translated when count is not plural\n * @param {String} msgidPlural String to be translated when count is plural\n * @param {Number} count Number count for the plural\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.ngettext = function(msgid, msgidPlural, count) {\n return this.dnpgettext(this.domain, '', msgid, msgidPlural, count);\n};\n\n/**\n * Translates a plural string using a specific textdomain\n *\n * @example\n * gt.dngettext('domainname', 'One thing', 'Many things', numberOfThings)\n *\n * @param {String} domain A gettext domain name\n * @param {String} msgid String to be translated when count is not plural\n * @param {String} msgidPlural String to be translated when count is plural\n * @param {Number} count Number count for the plural\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.dngettext = function(domain, msgid, msgidPlural, count) {\n return this.dnpgettext(domain, '', msgid, msgidPlural, count);\n};\n\n/**\n * Translates a string from a specific context using the default textdomain\n *\n * @example\n * gt.pgettext('sports', 'Back')\n *\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.pgettext = function(msgctxt, msgid) {\n return this.dnpgettext(this.domain, msgctxt, msgid);\n};\n\n/**\n * Translates a string from a specific context using s specific textdomain\n *\n * @example\n * gt.dpgettext('domainname', 'sports', 'Back')\n *\n * @param {String} domain A gettext domain name\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.dpgettext = function(domain, msgctxt, msgid) {\n return this.dnpgettext(domain, msgctxt, msgid);\n};\n\n/**\n * Translates a plural string from a specific context using the default textdomain\n *\n * @example\n * gt.npgettext('sports', 'Back', '%d backs', numberOfBacks)\n *\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated when count is not plural\n * @param {String} msgidPlural String to be translated when count is plural\n * @param {Number} count Number count for the plural\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.npgettext = function(msgctxt, msgid, msgidPlural, count) {\n return this.dnpgettext(this.domain, msgctxt, msgid, msgidPlural, count);\n};\n\n/**\n * Translates a plural string from a specifi context using a specific textdomain\n *\n * @example\n * gt.dnpgettext('domainname', 'sports', 'Back', '%d backs', numberOfBacks)\n *\n * @param {String} domain A gettext domain name\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated\n * @param {String} msgidPlural If no translation was found, return this on count!=1\n * @param {Number} count Number count for the plural\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.dnpgettext = function(domain, msgctxt, msgid, msgidPlural, count) {\n var defaultTranslation = msgid;\n var translation;\n var index;\n\n msgctxt = msgctxt || '';\n\n if (!isNaN(count) && count !== 1) {\n defaultTranslation = msgidPlural || msgid;\n }\n\n translation = this._getTranslation(domain, msgctxt, msgid);\n\n if (translation) {\n if (typeof count === 'number') {\n var pluralsFunc = plurals[Gettext.getLanguageCode(this.locale)].pluralsFunc;\n index = pluralsFunc(count);\n if (typeof index === 'boolean') {\n index = index ? 1 : 0;\n }\n } else {\n index = 0;\n }\n\n return translation.msgstr[index] || defaultTranslation;\n }\n else if (!this.sourceLocale || this.locale !== this.sourceLocale) {\n this.warn('No translation was found for msgid \"' + msgid + '\" in msgctxt \"' + msgctxt + '\" and domain \"' + domain + '\"');\n }\n\n return defaultTranslation;\n};\n\n/**\n * Retrieves comments object for a translation. The comments object\n * has the shape `{ translator, extracted, reference, flag, previous }`.\n *\n * @example\n * const comment = gt.getComment('domainname', 'sports', 'Backs')\n *\n * @private\n * @param {String} domain A gettext domain name\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated\n * @return {Object} Comments object or false if not found\n */\nGettext.prototype.getComment = function(domain, msgctxt, msgid) {\n var translation;\n\n translation = this._getTranslation(domain, msgctxt, msgid);\n if (translation) {\n return translation.comments || {};\n }\n\n return {};\n};\n\n/**\n * Retrieves translation object from the domain and context\n *\n * @private\n * @param {String} domain A gettext domain name\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated\n * @return {Object} Translation object or false if not found\n */\nGettext.prototype._getTranslation = function(domain, msgctxt, msgid) {\n msgctxt = msgctxt || '';\n\n return get(this.catalogs, [this.locale, domain, 'translations', msgctxt, msgid]);\n};\n\n/**\n * Returns the language code part of a locale\n *\n * @example\n * Gettext.getLanguageCode('sv-SE')\n * // -> \"sv\"\n *\n * @private\n * @param {String} locale A case-insensitive locale string\n * @returns {String} A language code\n */\nGettext.getLanguageCode = function(locale) {\n return locale.split(/[\\-_]/)[0].toLowerCase();\n};\n\n/* C-style aliases */\n\n/**\n * C-style alias for [setTextDomain](#gettextsettextdomaindomain)\n *\n * @see Gettext#setTextDomain\n */\nGettext.prototype.textdomain = function(domain) {\n if (this.debug) {\n console.warn('textdomain(domain) was used to set locales in node-gettext v1. ' +\n 'Make sure you are using it for domains, and switch to setLocale(locale) if you are not.\\n\\n ' +\n 'To read more about the migration from node-gettext v1 to v2, ' +\n 'see https://github.com/alexanderwallin/node-gettext/#migrating-from-1x-to-2x\\n\\n' +\n 'This warning will be removed in the final 2.0.0');\n }\n\n this.setTextDomain(domain);\n};\n\n/**\n * C-style alias for [setLocale](#gettextsetlocalelocale)\n *\n * @see Gettext#setLocale\n */\nGettext.prototype.setlocale = function(locale) {\n this.setLocale(locale);\n};\n\n/* Deprecated functions */\n\n/**\n * This function will be removed in the final 2.0.0 release.\n *\n * @deprecated\n */\nGettext.prototype.addTextdomain = function() {\n console.error('addTextdomain() is deprecated.\\n\\n' +\n '* To add translations, use addTranslations()\\n' +\n '* To set the default domain, use setTextDomain() (or its alias textdomain())\\n' +\n '\\n' +\n 'To read more about the migration from node-gettext v1 to v2, ' +\n 'see https://github.com/alexanderwallin/node-gettext/#migrating-from-1x-to-2x');\n};\n","/**\n * lodash (Custom Build) <https://lodash.com/>\n * Build: `lodash modularize exports=\"npm\" -o ./`\n * Copyright jQuery Foundation and other contributors <https://jquery.org/>\n * Released under MIT license <https://lodash.com/license>\n * Based on Underscore.js 1.8.3 <http://underscorejs.org/LICENSE>\n * Copyright Jeremy Ashkenas, DocumentCloud and Investigative Reporters & Editors\n */\n\n/** Used as the `TypeError` message for \"Functions\" methods. */\nvar FUNC_ERROR_TEXT = 'Expected a function';\n\n/** Used to stand-in for `undefined` hash values. */\nvar HASH_UNDEFINED = '__lodash_hash_undefined__';\n\n/** Used as references for various `Number` constants. */\nvar INFINITY = 1 / 0;\n\n/** `Object#toString` result references. */\nvar funcTag = '[object Function]',\n genTag = '[object GeneratorFunction]',\n symbolTag = '[object Symbol]';\n\n/** Used to match property names within property paths. */\nvar reIsDeepProp = /\\.|\\[(?:[^[\\]]*|([\"'])(?:(?!\\1)[^\\\\]|\\\\.)*?\\1)\\]/,\n reIsPlainProp = /^\\w*$/,\n reLeadingDot = /^\\./,\n rePropName = /[^.[\\]]+|\\[(?:(-?\\d+(?:\\.\\d+)?)|([\"'])((?:(?!\\2)[^\\\\]|\\\\.)*?)\\2)\\]|(?=(?:\\.|\\[\\])(?:\\.|\\[\\]|$))/g;\n\n/**\n * Used to match `RegExp`\n * [syntax characters](http://ecma-international.org/ecma-262/7.0/#sec-patterns).\n */\nvar reRegExpChar = /[\\\\^$.*+?()[\\]{}|]/g;\n\n/** Used to match backslashes in property paths. */\nvar reEscapeChar = /\\\\(\\\\)?/g;\n\n/** Used to detect host constructors (Safari). */\nvar reIsHostCtor = /^\\[object .+?Constructor\\]$/;\n\n/** Detect free variable `global` from Node.js. */\nvar freeGlobal = typeof global == 'object' && global && global.Object === Object && global;\n\n/** Detect free variable `self`. */\nvar freeSelf = typeof self == 'object' && self && self.Object === Object && self;\n\n/** Used as a reference to the global object. */\nvar root = freeGlobal || freeSelf || Function('return this')();\n\n/**\n * Gets the value at `key` of `object`.\n *\n * @private\n * @param {Object} [object] The object to query.\n * @param {string} key The key of the property to get.\n * @returns {*} Returns the property value.\n */\nfunction getValue(object, key) {\n return object == null ? undefined : object[key];\n}\n\n/**\n * Checks if `value` is a host object in IE < 9.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a host object, else `false`.\n */\nfunction isHostObject(value) {\n // Many host objects are `Object` objects that can coerce to strings\n // despite having improperly defined `toString` methods.\n var result = false;\n if (value != null && typeof value.toString != 'function') {\n try {\n result = !!(value + '');\n } catch (e) {}\n }\n return result;\n}\n\n/** Used for built-in method references. */\nvar arrayProto = Array.prototype,\n funcProto = Function.prototype,\n objectProto = Object.prototype;\n\n/** Used to detect overreaching core-js shims. */\nvar coreJsData = root['__core-js_shared__'];\n\n/** Used to detect methods masquerading as native. */\nvar maskSrcKey = (function() {\n var uid = /[^.]+$/.exec(coreJsData && coreJsData.keys && coreJsData.keys.IE_PROTO || '');\n return uid ? ('Symbol(src)_1.' + uid) : '';\n}());\n\n/** Used to resolve the decompiled source of functions. */\nvar funcToString = funcProto.toString;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty = objectProto.hasOwnProperty;\n\n/**\n * Used to resolve the\n * [`toStringTag`](http://ecma-international.org/ecma-262/7.0/#sec-object.prototype.tostring)\n * of values.\n */\nvar objectToString = objectProto.toString;\n\n/** Used to detect if a method is native. */\nvar reIsNative = RegExp('^' +\n funcToString.call(hasOwnProperty).replace(reRegExpChar, '\\\\$&')\n .replace(/hasOwnProperty|(function).*?(?=\\\\\\()| for .+?(?=\\\\\\])/g, '$1.*?') + '$'\n);\n\n/** Built-in value references. */\nvar Symbol = root.Symbol,\n splice = arrayProto.splice;\n\n/* Built-in method references that are verified to be native. */\nvar Map = getNative(root, 'Map'),\n nativeCreate = getNative(Object, 'create');\n\n/** Used to convert symbols to primitives and strings. */\nvar symbolProto = Symbol ? Symbol.prototype : undefined,\n symbolToString = symbolProto ? symbolProto.toString : undefined;\n\n/**\n * Creates a hash object.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction Hash(entries) {\n var index = -1,\n length = entries ? entries.length : 0;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n/**\n * Removes all key-value entries from the hash.\n *\n * @private\n * @name clear\n * @memberOf Hash\n */\nfunction hashClear() {\n this.__data__ = nativeCreate ? nativeCreate(null) : {};\n}\n\n/**\n * Removes `key` and its value from the hash.\n *\n * @private\n * @name delete\n * @memberOf Hash\n * @param {Object} hash The hash to modify.\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction hashDelete(key) {\n return this.has(key) && delete this.__data__[key];\n}\n\n/**\n * Gets the hash value for `key`.\n *\n * @private\n * @name get\n * @memberOf Hash\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction hashGet(key) {\n var data = this.__data__;\n if (nativeCreate) {\n var result = data[key];\n return result === HASH_UNDEFINED ? undefined : result;\n }\n return hasOwnProperty.call(data, key) ? data[key] : undefined;\n}\n\n/**\n * Checks if a hash value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf Hash\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction hashHas(key) {\n var data = this.__data__;\n return nativeCreate ? data[key] !== undefined : hasOwnProperty.call(data, key);\n}\n\n/**\n * Sets the hash `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf Hash\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the hash instance.\n */\nfunction hashSet(key, value) {\n var data = this.__data__;\n data[key] = (nativeCreate && value === undefined) ? HASH_UNDEFINED : value;\n return this;\n}\n\n// Add methods to `Hash`.\nHash.prototype.clear = hashClear;\nHash.prototype['delete'] = hashDelete;\nHash.prototype.get = hashGet;\nHash.prototype.has = hashHas;\nHash.prototype.set = hashSet;\n\n/**\n * Creates an list cache object.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction ListCache(entries) {\n var index = -1,\n length = entries ? entries.length : 0;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n/**\n * Removes all key-value entries from the list cache.\n *\n * @private\n * @name clear\n * @memberOf ListCache\n */\nfunction listCacheClear() {\n this.__data__ = [];\n}\n\n/**\n * Removes `key` and its value from the list cache.\n *\n * @private\n * @name delete\n * @memberOf ListCache\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction listCacheDelete(key) {\n var data = this.__data__,\n index = assocIndexOf(data, key);\n\n if (index < 0) {\n return false;\n }\n var lastIndex = data.length - 1;\n if (index == lastIndex) {\n data.pop();\n } else {\n splice.call(data, index, 1);\n }\n return true;\n}\n\n/**\n * Gets the list cache value for `key`.\n *\n * @private\n * @name get\n * @memberOf ListCache\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction listCacheGet(key) {\n var data = this.__data__,\n index = assocIndexOf(data, key);\n\n return index < 0 ? undefined : data[index][1];\n}\n\n/**\n * Checks if a list cache value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf ListCache\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction listCacheHas(key) {\n return assocIndexOf(this.__data__, key) > -1;\n}\n\n/**\n * Sets the list cache `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf ListCache\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the list cache instance.\n */\nfunction listCacheSet(key, value) {\n var data = this.__data__,\n index = assocIndexOf(data, key);\n\n if (index < 0) {\n data.push([key, value]);\n } else {\n data[index][1] = value;\n }\n return this;\n}\n\n// Add methods to `ListCache`.\nListCache.prototype.clear = listCacheClear;\nListCache.prototype['delete'] = listCacheDelete;\nListCache.prototype.get = listCacheGet;\nListCache.prototype.has = listCacheHas;\nListCache.prototype.set = listCacheSet;\n\n/**\n * Creates a map cache object to store key-value pairs.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction MapCache(entries) {\n var index = -1,\n length = entries ? entries.length : 0;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n/**\n * Removes all key-value entries from the map.\n *\n * @private\n * @name clear\n * @memberOf MapCache\n */\nfunction mapCacheClear() {\n this.__data__ = {\n 'hash': new Hash,\n 'map': new (Map || ListCache),\n 'string': new Hash\n };\n}\n\n/**\n * Removes `key` and its value from the map.\n *\n * @private\n * @name delete\n * @memberOf MapCache\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction mapCacheDelete(key) {\n return getMapData(this, key)['delete'](key);\n}\n\n/**\n * Gets the map value for `key`.\n *\n * @private\n * @name get\n * @memberOf MapCache\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction mapCacheGet(key) {\n return getMapData(this, key).get(key);\n}\n\n/**\n * Checks if a map value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf MapCache\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction mapCacheHas(key) {\n return getMapData(this, key).has(key);\n}\n\n/**\n * Sets the map `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf MapCache\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the map cache instance.\n */\nfunction mapCacheSet(key, value) {\n getMapData(this, key).set(key, value);\n return this;\n}\n\n// Add methods to `MapCache`.\nMapCache.prototype.clear = mapCacheClear;\nMapCache.prototype['delete'] = mapCacheDelete;\nMapCache.prototype.get = mapCacheGet;\nMapCache.prototype.has = mapCacheHas;\nMapCache.prototype.set = mapCacheSet;\n\n/**\n * Gets the index at which the `key` is found in `array` of key-value pairs.\n *\n * @private\n * @param {Array} array The array to inspect.\n * @param {*} key The key to search for.\n * @returns {number} Returns the index of the matched value, else `-1`.\n */\nfunction assocIndexOf(array, key) {\n var length = array.length;\n while (length--) {\n if (eq(array[length][0], key)) {\n return length;\n }\n }\n return -1;\n}\n\n/**\n * The base implementation of `_.get` without support for default values.\n *\n * @private\n * @param {Object} object The object to query.\n * @param {Array|string} path The path of the property to get.\n * @returns {*} Returns the resolved value.\n */\nfunction baseGet(object, path) {\n path = isKey(path, object) ? [path] : castPath(path);\n\n var index = 0,\n length = path.length;\n\n while (object != null && index < length) {\n object = object[toKey(path[index++])];\n }\n return (index && index == length) ? object : undefined;\n}\n\n/**\n * The base implementation of `_.isNative` without bad shim checks.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a native function,\n * else `false`.\n */\nfunction baseIsNative(value) {\n if (!isObject(value) || isMasked(value)) {\n return false;\n }\n var pattern = (isFunction(value) || isHostObject(value)) ? reIsNative : reIsHostCtor;\n return pattern.test(toSource(value));\n}\n\n/**\n * The base implementation of `_.toString` which doesn't convert nullish\n * values to empty strings.\n *\n * @private\n * @param {*} value The value to process.\n * @returns {string} Returns the string.\n */\nfunction baseToString(value) {\n // Exit early for strings to avoid a performance hit in some environments.\n if (typeof value == 'string') {\n return value;\n }\n if (isSymbol(value)) {\n return symbolToString ? symbolToString.call(value) : '';\n }\n var result = (value + '');\n return (result == '0' && (1 / value) == -INFINITY) ? '-0' : result;\n}\n\n/**\n * Casts `value` to a path array if it's not one.\n *\n * @private\n * @param {*} value The value to inspect.\n * @returns {Array} Returns the cast property path array.\n */\nfunction castPath(value) {\n return isArray(value) ? value : stringToPath(value);\n}\n\n/**\n * Gets the data for `map`.\n *\n * @private\n * @param {Object} map The map to query.\n * @param {string} key The reference key.\n * @returns {*} Returns the map data.\n */\nfunction getMapData(map, key) {\n var data = map.__data__;\n return isKeyable(key)\n ? data[typeof key == 'string' ? 'string' : 'hash']\n : data.map;\n}\n\n/**\n * Gets the native function at `key` of `object`.\n *\n * @private\n * @param {Object} object The object to query.\n * @param {string} key The key of the method to get.\n * @returns {*} Returns the function if it's native, else `undefined`.\n */\nfunction getNative(object, key) {\n var value = getValue(object, key);\n return baseIsNative(value) ? value : undefined;\n}\n\n/**\n * Checks if `value` is a property name and not a property path.\n *\n * @private\n * @param {*} value The value to check.\n * @param {Object} [object] The object to query keys on.\n * @returns {boolean} Returns `true` if `value` is a property name, else `false`.\n */\nfunction isKey(value, object) {\n if (isArray(value)) {\n return false;\n }\n var type = typeof value;\n if (type == 'number' || type == 'symbol' || type == 'boolean' ||\n value == null || isSymbol(value)) {\n return true;\n }\n return reIsPlainProp.test(value) || !reIsDeepProp.test(value) ||\n (object != null && value in Object(object));\n}\n\n/**\n * Checks if `value` is suitable for use as unique object key.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is suitable, else `false`.\n */\nfunction isKeyable(value) {\n var type = typeof value;\n return (type == 'string' || type == 'number' || type == 'symbol' || type == 'boolean')\n ? (value !== '__proto__')\n : (value === null);\n}\n\n/**\n * Checks if `func` has its source masked.\n *\n * @private\n * @param {Function} func The function to check.\n * @returns {boolean} Returns `true` if `func` is masked, else `false`.\n */\nfunction isMasked(func) {\n return !!maskSrcKey && (maskSrcKey in func);\n}\n\n/**\n * Converts `string` to a property path array.\n *\n * @private\n * @param {string} string The string to convert.\n * @returns {Array} Returns the property path array.\n */\nvar stringToPath = memoize(function(string) {\n string = toString(string);\n\n var result = [];\n if (reLeadingDot.test(string)) {\n result.push('');\n }\n string.replace(rePropName, function(match, number, quote, string) {\n result.push(quote ? string.replace(reEscapeChar, '$1') : (number || match));\n });\n return result;\n});\n\n/**\n * Converts `value` to a string key if it's not a string or symbol.\n *\n * @private\n * @param {*} value The value to inspect.\n * @returns {string|symbol} Returns the key.\n */\nfunction toKey(value) {\n if (typeof value == 'string' || isSymbol(value)) {\n return value;\n }\n var result = (value + '');\n return (result == '0' && (1 / value) == -INFINITY) ? '-0' : result;\n}\n\n/**\n * Converts `func` to its source code.\n *\n * @private\n * @param {Function} func The function to process.\n * @returns {string} Returns the source code.\n */\nfunction toSource(func) {\n if (func != null) {\n try {\n return funcToString.call(func);\n } catch (e) {}\n try {\n return (func + '');\n } catch (e) {}\n }\n return '';\n}\n\n/**\n * Creates a function that memoizes the result of `func`. If `resolver` is\n * provided, it determines the cache key for storing the result based on the\n * arguments provided to the memoized function. By default, the first argument\n * provided to the memoized function is used as the map cache key. The `func`\n * is invoked with the `this` binding of the memoized function.\n *\n * **Note:** The cache is exposed as the `cache` property on the memoized\n * function. Its creation may be customized by replacing the `_.memoize.Cache`\n * constructor with one whose instances implement the\n * [`Map`](http://ecma-international.org/ecma-262/7.0/#sec-properties-of-the-map-prototype-object)\n * method interface of `delete`, `get`, `has`, and `set`.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Function\n * @param {Function} func The function to have its output memoized.\n * @param {Function} [resolver] The function to resolve the cache key.\n * @returns {Function} Returns the new memoized function.\n * @example\n *\n * var object = { 'a': 1, 'b': 2 };\n * var other = { 'c': 3, 'd': 4 };\n *\n * var values = _.memoize(_.values);\n * values(object);\n * // => [1, 2]\n *\n * values(other);\n * // => [3, 4]\n *\n * object.a = 2;\n * values(object);\n * // => [1, 2]\n *\n * // Modify the result cache.\n * values.cache.set(object, ['a', 'b']);\n * values(object);\n * // => ['a', 'b']\n *\n * // Replace `_.memoize.Cache`.\n * _.memoize.Cache = WeakMap;\n */\nfunction memoize(func, resolver) {\n if (typeof func != 'function' || (resolver && typeof resolver != 'function')) {\n throw new TypeError(FUNC_ERROR_TEXT);\n }\n var memoized = function() {\n var args = arguments,\n key = resolver ? resolver.apply(this, args) : args[0],\n cache = memoized.cache;\n\n if (cache.has(key)) {\n return cache.get(key);\n }\n var result = func.apply(this, args);\n memoized.cache = cache.set(key, result);\n return result;\n };\n memoized.cache = new (memoize.Cache || MapCache);\n return memoized;\n}\n\n// Assign cache to `_.memoize`.\nmemoize.Cache = MapCache;\n\n/**\n * Performs a\n * [`SameValueZero`](http://ecma-international.org/ecma-262/7.0/#sec-samevaluezero)\n * comparison between two values to determine if they are equivalent.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to compare.\n * @param {*} other The other value to compare.\n * @returns {boolean} Returns `true` if the values are equivalent, else `false`.\n * @example\n *\n * var object = { 'a': 1 };\n * var other = { 'a': 1 };\n *\n * _.eq(object, object);\n * // => true\n *\n * _.eq(object, other);\n * // => false\n *\n * _.eq('a', 'a');\n * // => true\n *\n * _.eq('a', Object('a'));\n * // => false\n *\n * _.eq(NaN, NaN);\n * // => true\n */\nfunction eq(value, other) {\n return value === other || (value !== value && other !== other);\n}\n\n/**\n * Checks if `value` is classified as an `Array` object.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an array, else `false`.\n * @example\n *\n * _.isArray([1, 2, 3]);\n * // => true\n *\n * _.isArray(document.body.children);\n * // => false\n *\n * _.isArray('abc');\n * // => false\n *\n * _.isArray(_.noop);\n * // => false\n */\nvar isArray = Array.isArray;\n\n/**\n * Checks if `value` is classified as a `Function` object.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a function, else `false`.\n * @example\n *\n * _.isFunction(_);\n * // => true\n *\n * _.isFunction(/abc/);\n * // => false\n */\nfunction isFunction(value) {\n // The use of `Object#toString` avoids issues with the `typeof` operator\n // in Safari 8-9 which returns 'object' for typed array and other constructors.\n var tag = isObject(value) ? objectToString.call(value) : '';\n return tag == funcTag || tag == genTag;\n}\n\n/**\n * Checks if `value` is the\n * [language type](http://www.ecma-international.org/ecma-262/7.0/#sec-ecmascript-language-types)\n * of `Object`. (e.g. arrays, functions, objects, regexes, `new Number(0)`, and `new String('')`)\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an object, else `false`.\n * @example\n *\n * _.isObject({});\n * // => true\n *\n * _.isObject([1, 2, 3]);\n * // => true\n *\n * _.isObject(_.noop);\n * // => true\n *\n * _.isObject(null);\n * // => false\n */\nfunction isObject(value) {\n var type = typeof value;\n return !!value && (type == 'object' || type == 'function');\n}\n\n/**\n * Checks if `value` is object-like. A value is object-like if it's not `null`\n * and has a `typeof` result of \"object\".\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is object-like, else `false`.\n * @example\n *\n * _.isObjectLike({});\n * // => true\n *\n * _.isObjectLike([1, 2, 3]);\n * // => true\n *\n * _.isObjectLike(_.noop);\n * // => false\n *\n * _.isObjectLike(null);\n * // => false\n */\nfunction isObjectLike(value) {\n return !!value && typeof value == 'object';\n}\n\n/**\n * Checks if `value` is classified as a `Symbol` primitive or object.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a symbol, else `false`.\n * @example\n *\n * _.isSymbol(Symbol.iterator);\n * // => true\n *\n * _.isSymbol('abc');\n * // => false\n */\nfunction isSymbol(value) {\n return typeof value == 'symbol' ||\n (isObjectLike(value) && objectToString.call(value) == symbolTag);\n}\n\n/**\n * Converts `value` to a string. An empty string is returned for `null`\n * and `undefined` values. The sign of `-0` is preserved.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to process.\n * @returns {string} Returns the string.\n * @example\n *\n * _.toString(null);\n * // => ''\n *\n * _.toString(-0);\n * // => '-0'\n *\n * _.toString([1, 2, 3]);\n * // => '1,2,3'\n */\nfunction toString(value) {\n return value == null ? '' : baseToString(value);\n}\n\n/**\n * Gets the value at `path` of `object`. If the resolved value is\n * `undefined`, the `defaultValue` is returned in its place.\n *\n * @static\n * @memberOf _\n * @since 3.7.0\n * @category Object\n * @param {Object} object The object to query.\n * @param {Array|string} path The path of the property to get.\n * @param {*} [defaultValue] The value returned for `undefined` resolved values.\n * @returns {*} Returns the resolved value.\n * @example\n *\n * var object = { 'a': [{ 'b': { 'c': 3 } }] };\n *\n * _.get(object, 'a[0].b.c');\n * // => 3\n *\n * _.get(object, ['a', '0', 'b', 'c']);\n * // => 3\n *\n * _.get(object, 'a.b.c', 'default');\n * // => 'default'\n */\nfunction get(object, path, defaultValue) {\n var result = object == null ? undefined : baseGet(object, path);\n return result === undefined ? defaultValue : result;\n}\n\nmodule.exports = get;\n","'use strict';\n\nmodule.exports = {\n ach: {\n name: 'Acholi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n af: {\n name: 'Afrikaans',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ak: {\n name: 'Akan',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n am: {\n name: 'Amharic',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n an: {\n name: 'Aragonese',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ar: {\n name: 'Arabic',\n examples: [{\n plural: 0,\n sample: 0\n }, {\n plural: 1,\n sample: 1\n }, {\n plural: 2,\n sample: 2\n }, {\n plural: 3,\n sample: 3\n }, {\n plural: 4,\n sample: 11\n }, {\n plural: 5,\n sample: 100\n }],\n nplurals: 6,\n pluralsText: 'nplurals = 6; plural = (n === 0 ? 0 : n === 1 ? 1 : n === 2 ? 2 : n % 100 >= 3 && n % 100 <= 10 ? 3 : n % 100 >= 11 ? 4 : 5)',\n pluralsFunc: function(n) {\n return (n === 0 ? 0 : n === 1 ? 1 : n === 2 ? 2 : n % 100 >= 3 && n % 100 <= 10 ? 3 : n % 100 >= 11 ? 4 : 5);\n }\n },\n arn: {\n name: 'Mapudungun',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n ast: {\n name: 'Asturian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ay: {\n name: 'Aymará',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n az: {\n name: 'Azerbaijani',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n be: {\n name: 'Belarusian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n bg: {\n name: 'Bulgarian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n bn: {\n name: 'Bengali',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n bo: {\n name: 'Tibetan',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n br: {\n name: 'Breton',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n brx: {\n name: 'Bodo',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n bs: {\n name: 'Bosnian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n ca: {\n name: 'Catalan',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n cgg: {\n name: 'Chiga',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n cs: {\n name: 'Czech',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2);\n }\n },\n csb: {\n name: 'Kashubian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n cy: {\n name: 'Welsh',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 3\n }, {\n plural: 3,\n sample: 8\n }],\n nplurals: 4,\n pluralsText: 'nplurals = 4; plural = (n === 1 ? 0 : n === 2 ? 1 : (n !== 8 && n !== 11) ? 2 : 3)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n === 2 ? 1 : (n !== 8 && n !== 11) ? 2 : 3);\n }\n },\n da: {\n name: 'Danish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n de: {\n name: 'German',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n doi: {\n name: 'Dogri',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n dz: {\n name: 'Dzongkha',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n el: {\n name: 'Greek',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n en: {\n name: 'English',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n eo: {\n name: 'Esperanto',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n es: {\n name: 'Spanish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n et: {\n name: 'Estonian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n eu: {\n name: 'Basque',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n fa: {\n name: 'Persian',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n ff: {\n name: 'Fulah',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n fi: {\n name: 'Finnish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n fil: {\n name: 'Filipino',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n fo: {\n name: 'Faroese',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n fr: {\n name: 'French',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n fur: {\n name: 'Friulian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n fy: {\n name: 'Frisian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ga: {\n name: 'Irish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 3\n }, {\n plural: 3,\n sample: 7\n }, {\n plural: 4,\n sample: 11\n }],\n nplurals: 5,\n pluralsText: 'nplurals = 5; plural = (n === 1 ? 0 : n === 2 ? 1 : n < 7 ? 2 : n < 11 ? 3 : 4)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n === 2 ? 1 : n < 7 ? 2 : n < 11 ? 3 : 4);\n }\n },\n gd: {\n name: 'Scottish Gaelic',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 3\n }, {\n plural: 3,\n sample: 20\n }],\n nplurals: 4,\n pluralsText: 'nplurals = 4; plural = ((n === 1 || n === 11) ? 0 : (n === 2 || n === 12) ? 1 : (n > 2 && n < 20) ? 2 : 3)',\n pluralsFunc: function(n) {\n return ((n === 1 || n === 11) ? 0 : (n === 2 || n === 12) ? 1 : (n > 2 && n < 20) ? 2 : 3);\n }\n },\n gl: {\n name: 'Galician',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n gu: {\n name: 'Gujarati',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n gun: {\n name: 'Gun',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n ha: {\n name: 'Hausa',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n he: {\n name: 'Hebrew',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n hi: {\n name: 'Hindi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n hne: {\n name: 'Chhattisgarhi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n hr: {\n name: 'Croatian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n hu: {\n name: 'Hungarian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n hy: {\n name: 'Armenian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n id: {\n name: 'Indonesian',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n is: {\n name: 'Icelandic',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n % 10 !== 1 || n % 100 === 11)',\n pluralsFunc: function(n) {\n return (n % 10 !== 1 || n % 100 === 11);\n }\n },\n it: {\n name: 'Italian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ja: {\n name: 'Japanese',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n jbo: {\n name: 'Lojban',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n jv: {\n name: 'Javanese',\n examples: [{\n plural: 0,\n sample: 0\n }, {\n plural: 1,\n sample: 1\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 0)',\n pluralsFunc: function(n) {\n return (n !== 0);\n }\n },\n ka: {\n name: 'Georgian',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n kk: {\n name: 'Kazakh',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n km: {\n name: 'Khmer',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n kn: {\n name: 'Kannada',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ko: {\n name: 'Korean',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n ku: {\n name: 'Kurdish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n kw: {\n name: 'Cornish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 3\n }, {\n plural: 3,\n sample: 4\n }],\n nplurals: 4,\n pluralsText: 'nplurals = 4; plural = (n === 1 ? 0 : n === 2 ? 1 : n === 3 ? 2 : 3)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n === 2 ? 1 : n === 3 ? 2 : 3);\n }\n },\n ky: {\n name: 'Kyrgyz',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n lb: {\n name: 'Letzeburgesch',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ln: {\n name: 'Lingala',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n lo: {\n name: 'Lao',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n lt: {\n name: 'Lithuanian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 10\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n lv: {\n name: 'Latvian',\n examples: [{\n plural: 2,\n sample: 0\n }, {\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n !== 0 ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n !== 0 ? 1 : 2);\n }\n },\n mai: {\n name: 'Maithili',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n mfe: {\n name: 'Mauritian Creole',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n mg: {\n name: 'Malagasy',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n mi: {\n name: 'Maori',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n mk: {\n name: 'Macedonian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n === 1 || n % 10 === 1 ? 0 : 1)',\n pluralsFunc: function(n) {\n return (n === 1 || n % 10 === 1 ? 0 : 1);\n }\n },\n ml: {\n name: 'Malayalam',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n mn: {\n name: 'Mongolian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n mni: {\n name: 'Manipuri',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n mnk: {\n name: 'Mandinka',\n examples: [{\n plural: 0,\n sample: 0\n }, {\n plural: 1,\n sample: 1\n }, {\n plural: 2,\n sample: 2\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 0 ? 0 : n === 1 ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 0 ? 0 : n === 1 ? 1 : 2);\n }\n },\n mr: {\n name: 'Marathi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ms: {\n name: 'Malay',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n mt: {\n name: 'Maltese',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 11\n }, {\n plural: 3,\n sample: 20\n }],\n nplurals: 4,\n pluralsText: 'nplurals = 4; plural = (n === 1 ? 0 : n === 0 || ( n % 100 > 1 && n % 100 < 11) ? 1 : (n % 100 > 10 && n % 100 < 20 ) ? 2 : 3)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n === 0 || (n % 100 > 1 && n % 100 < 11) ? 1 : (n % 100 > 10 && n % 100 < 20) ? 2 : 3);\n }\n },\n my: {\n name: 'Burmese',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n nah: {\n name: 'Nahuatl',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n nap: {\n name: 'Neapolitan',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n nb: {\n name: 'Norwegian Bokmal',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ne: {\n name: 'Nepali',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n nl: {\n name: 'Dutch',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n nn: {\n name: 'Norwegian Nynorsk',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n no: {\n name: 'Norwegian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n nso: {\n name: 'Northern Sotho',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n oc: {\n name: 'Occitan',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n or: {\n name: 'Oriya',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n pa: {\n name: 'Punjabi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n pap: {\n name: 'Papiamento',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n pl: {\n name: 'Polish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n pms: {\n name: 'Piemontese',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ps: {\n name: 'Pashto',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n pt: {\n name: 'Portuguese',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n rm: {\n name: 'Romansh',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ro: {\n name: 'Romanian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 20\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 1 ? 0 : (n === 0 || (n % 100 > 0 && n % 100 < 20)) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : (n === 0 || (n % 100 > 0 && n % 100 < 20)) ? 1 : 2);\n }\n },\n ru: {\n name: 'Russian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n rw: {\n name: 'Kinyarwanda',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sah: {\n name: 'Yakut',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n sat: {\n name: 'Santali',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sco: {\n name: 'Scots',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sd: {\n name: 'Sindhi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n se: {\n name: 'Northern Sami',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n si: {\n name: 'Sinhala',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sk: {\n name: 'Slovak',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2);\n }\n },\n sl: {\n name: 'Slovenian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 3\n }, {\n plural: 3,\n sample: 5\n }],\n nplurals: 4,\n pluralsText: 'nplurals = 4; plural = (n % 100 === 1 ? 0 : n % 100 === 2 ? 1 : n % 100 === 3 || n % 100 === 4 ? 2 : 3)',\n pluralsFunc: function(n) {\n return (n % 100 === 1 ? 0 : n % 100 === 2 ? 1 : n % 100 === 3 || n % 100 === 4 ? 2 : 3);\n }\n },\n so: {\n name: 'Somali',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n son: {\n name: 'Songhay',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sq: {\n name: 'Albanian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sr: {\n name: 'Serbian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n su: {\n name: 'Sundanese',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n sv: {\n name: 'Swedish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sw: {\n name: 'Swahili',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ta: {\n name: 'Tamil',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n te: {\n name: 'Telugu',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n tg: {\n name: 'Tajik',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n th: {\n name: 'Thai',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n ti: {\n name: 'Tigrinya',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n tk: {\n name: 'Turkmen',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n tr: {\n name: 'Turkish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n tt: {\n name: 'Tatar',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n ug: {\n name: 'Uyghur',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n uk: {\n name: 'Ukrainian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n ur: {\n name: 'Urdu',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n uz: {\n name: 'Uzbek',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n vi: {\n name: 'Vietnamese',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n wa: {\n name: 'Walloon',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n wo: {\n name: 'Wolof',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n yo: {\n name: 'Yoruba',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n zh: {\n name: 'Chinese',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n }\n};\n","function getInternetExplorerVersion() {\n\tvar ua = window.navigator.userAgent;\n\n\tvar msie = ua.indexOf('MSIE ');\n\tif (msie > 0) {\n\t\t// IE 10 or older => return version number\n\t\treturn parseInt(ua.substring(msie + 5, ua.indexOf('.', msie)), 10);\n\t}\n\n\tvar trident = ua.indexOf('Trident/');\n\tif (trident > 0) {\n\t\t// IE 11 => return version number\n\t\tvar rv = ua.indexOf('rv:');\n\t\treturn parseInt(ua.substring(rv + 3, ua.indexOf('.', rv)), 10);\n\t}\n\n\tvar edge = ua.indexOf('Edge/');\n\tif (edge > 0) {\n\t\t// Edge (IE 12+) => return version number\n\t\treturn parseInt(ua.substring(edge + 5, ua.indexOf('.', edge)), 10);\n\t}\n\n\t// other browser\n\treturn -1;\n}\n\nvar isIE = void 0;\n\nfunction initCompat() {\n\tif (!initCompat.init) {\n\t\tinitCompat.init = true;\n\t\tisIE = getInternetExplorerVersion() !== -1;\n\t}\n}\n\nvar ResizeObserver = { render: function render() {\n\t\tvar _vm = this;var _h = _vm.$createElement;var _c = _vm._self._c || _h;return _c('div', { staticClass: \"resize-observer\", attrs: { \"tabindex\": \"-1\" } });\n\t}, staticRenderFns: [], _scopeId: 'data-v-b329ee4c',\n\tname: 'resize-observer',\n\n\tmethods: {\n\t\tcompareAndNotify: function compareAndNotify() {\n\t\t\tif (this._w !== this.$el.offsetWidth || this._h !== this.$el.offsetHeight) {\n\t\t\t\tthis._w = this.$el.offsetWidth;\n\t\t\t\tthis._h = this.$el.offsetHeight;\n\t\t\t\tthis.$emit('notify');\n\t\t\t}\n\t\t},\n\t\taddResizeHandlers: function addResizeHandlers() {\n\t\t\tthis._resizeObject.contentDocument.defaultView.addEventListener('resize', this.compareAndNotify);\n\t\t\tthis.compareAndNotify();\n\t\t},\n\t\tremoveResizeHandlers: function removeResizeHandlers() {\n\t\t\tif (this._resizeObject && this._resizeObject.onload) {\n\t\t\t\tif (!isIE && this._resizeObject.contentDocument) {\n\t\t\t\t\tthis._resizeObject.contentDocument.defaultView.removeEventListener('resize', this.compareAndNotify);\n\t\t\t\t}\n\t\t\t\tdelete this._resizeObject.onload;\n\t\t\t}\n\t\t}\n\t},\n\n\tmounted: function mounted() {\n\t\tvar _this = this;\n\n\t\tinitCompat();\n\t\tthis.$nextTick(function () {\n\t\t\t_this._w = _this.$el.offsetWidth;\n\t\t\t_this._h = _this.$el.offsetHeight;\n\t\t});\n\t\tvar object = document.createElement('object');\n\t\tthis._resizeObject = object;\n\t\tobject.setAttribute('aria-hidden', 'true');\n\t\tobject.setAttribute('tabindex', -1);\n\t\tobject.onload = this.addResizeHandlers;\n\t\tobject.type = 'text/html';\n\t\tif (isIE) {\n\t\t\tthis.$el.appendChild(object);\n\t\t}\n\t\tobject.data = 'about:blank';\n\t\tif (!isIE) {\n\t\t\tthis.$el.appendChild(object);\n\t\t}\n\t},\n\tbeforeDestroy: function beforeDestroy() {\n\t\tthis.removeResizeHandlers();\n\t}\n};\n\n// Install the components\nfunction install(Vue) {\n\tVue.component('resize-observer', ResizeObserver);\n\tVue.component('ResizeObserver', ResizeObserver);\n}\n\n// Plugin\nvar plugin = {\n\t// eslint-disable-next-line no-undef\n\tversion: \"0.4.5\",\n\tinstall: install\n};\n\n// Auto-install\nvar GlobalVue = null;\nif (typeof window !== 'undefined') {\n\tGlobalVue = window.Vue;\n} else if (typeof global !== 'undefined') {\n\tGlobalVue = global.Vue;\n}\nif (GlobalVue) {\n\tGlobalVue.use(plugin);\n}\n\nexport { install, ResizeObserver };\nexport default plugin;\n","/**!\n * @fileOverview Kickass library to create and place poppers near their reference elements.\n * @version 1.16.1\n * @license\n * Copyright (c) 2016 Federico Zivolo and contributors\n *\n * Permission is hereby granted, free of charge, to any person obtaining a copy\n * of this software and associated documentation files (the \"Software\"), to deal\n * in the Software without restriction, including without limitation the rights\n * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell\n * copies of the Software, and to permit persons to whom the Software is\n * furnished to do so, subject to the following conditions:\n *\n * The above copyright notice and this permission notice shall be included in all\n * copies or substantial portions of the Software.\n *\n * THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\n * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,\n * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE\n * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER\n * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,\n * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE\n * SOFTWARE.\n */\nvar isBrowser = typeof window !== 'undefined' && typeof document !== 'undefined' && typeof navigator !== 'undefined';\n\nvar timeoutDuration = function () {\n var longerTimeoutBrowsers = ['Edge', 'Trident', 'Firefox'];\n for (var i = 0; i < longerTimeoutBrowsers.length; i += 1) {\n if (isBrowser && navigator.userAgent.indexOf(longerTimeoutBrowsers[i]) >= 0) {\n return 1;\n }\n }\n return 0;\n}();\n\nfunction microtaskDebounce(fn) {\n var called = false;\n return function () {\n if (called) {\n return;\n }\n called = true;\n window.Promise.resolve().then(function () {\n called = false;\n fn();\n });\n };\n}\n\nfunction taskDebounce(fn) {\n var scheduled = false;\n return function () {\n if (!scheduled) {\n scheduled = true;\n setTimeout(function () {\n scheduled = false;\n fn();\n }, timeoutDuration);\n }\n };\n}\n\nvar supportsMicroTasks = isBrowser && window.Promise;\n\n/**\n* Create a debounced version of a method, that's asynchronously deferred\n* but called in the minimum time possible.\n*\n* @method\n* @memberof Popper.Utils\n* @argument {Function} fn\n* @returns {Function}\n*/\nvar debounce = supportsMicroTasks ? microtaskDebounce : taskDebounce;\n\n/**\n * Check if the given variable is a function\n * @method\n * @memberof Popper.Utils\n * @argument {Any} functionToCheck - variable to check\n * @returns {Boolean} answer to: is a function?\n */\nfunction isFunction(functionToCheck) {\n var getType = {};\n return functionToCheck && getType.toString.call(functionToCheck) === '[object Function]';\n}\n\n/**\n * Get CSS computed property of the given element\n * @method\n * @memberof Popper.Utils\n * @argument {Eement} element\n * @argument {String} property\n */\nfunction getStyleComputedProperty(element, property) {\n if (element.nodeType !== 1) {\n return [];\n }\n // NOTE: 1 DOM access here\n var window = element.ownerDocument.defaultView;\n var css = window.getComputedStyle(element, null);\n return property ? css[property] : css;\n}\n\n/**\n * Returns the parentNode or the host of the element\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @returns {Element} parent\n */\nfunction getParentNode(element) {\n if (element.nodeName === 'HTML') {\n return element;\n }\n return element.parentNode || element.host;\n}\n\n/**\n * Returns the scrolling parent of the given element\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @returns {Element} scroll parent\n */\nfunction getScrollParent(element) {\n // Return body, `getScroll` will take care to get the correct `scrollTop` from it\n if (!element) {\n return document.body;\n }\n\n switch (element.nodeName) {\n case 'HTML':\n case 'BODY':\n return element.ownerDocument.body;\n case '#document':\n return element.body;\n }\n\n // Firefox want us to check `-x` and `-y` variations as well\n\n var _getStyleComputedProp = getStyleComputedProperty(element),\n overflow = _getStyleComputedProp.overflow,\n overflowX = _getStyleComputedProp.overflowX,\n overflowY = _getStyleComputedProp.overflowY;\n\n if (/(auto|scroll|overlay)/.test(overflow + overflowY + overflowX)) {\n return element;\n }\n\n return getScrollParent(getParentNode(element));\n}\n\n/**\n * Returns the reference node of the reference object, or the reference object itself.\n * @method\n * @memberof Popper.Utils\n * @param {Element|Object} reference - the reference element (the popper will be relative to this)\n * @returns {Element} parent\n */\nfunction getReferenceNode(reference) {\n return reference && reference.referenceNode ? reference.referenceNode : reference;\n}\n\nvar isIE11 = isBrowser && !!(window.MSInputMethodContext && document.documentMode);\nvar isIE10 = isBrowser && /MSIE 10/.test(navigator.userAgent);\n\n/**\n * Determines if the browser is Internet Explorer\n * @method\n * @memberof Popper.Utils\n * @param {Number} version to check\n * @returns {Boolean} isIE\n */\nfunction isIE(version) {\n if (version === 11) {\n return isIE11;\n }\n if (version === 10) {\n return isIE10;\n }\n return isIE11 || isIE10;\n}\n\n/**\n * Returns the offset parent of the given element\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @returns {Element} offset parent\n */\nfunction getOffsetParent(element) {\n if (!element) {\n return document.documentElement;\n }\n\n var noOffsetParent = isIE(10) ? document.body : null;\n\n // NOTE: 1 DOM access here\n var offsetParent = element.offsetParent || null;\n // Skip hidden elements which don't have an offsetParent\n while (offsetParent === noOffsetParent && element.nextElementSibling) {\n offsetParent = (element = element.nextElementSibling).offsetParent;\n }\n\n var nodeName = offsetParent && offsetParent.nodeName;\n\n if (!nodeName || nodeName === 'BODY' || nodeName === 'HTML') {\n return element ? element.ownerDocument.documentElement : document.documentElement;\n }\n\n // .offsetParent will return the closest TH, TD or TABLE in case\n // no offsetParent is present, I hate this job...\n if (['TH', 'TD', 'TABLE'].indexOf(offsetParent.nodeName) !== -1 && getStyleComputedProperty(offsetParent, 'position') === 'static') {\n return getOffsetParent(offsetParent);\n }\n\n return offsetParent;\n}\n\nfunction isOffsetContainer(element) {\n var nodeName = element.nodeName;\n\n if (nodeName === 'BODY') {\n return false;\n }\n return nodeName === 'HTML' || getOffsetParent(element.firstElementChild) === element;\n}\n\n/**\n * Finds the root node (document, shadowDOM root) of the given element\n * @method\n * @memberof Popper.Utils\n * @argument {Element} node\n * @returns {Element} root node\n */\nfunction getRoot(node) {\n if (node.parentNode !== null) {\n return getRoot(node.parentNode);\n }\n\n return node;\n}\n\n/**\n * Finds the offset parent common to the two provided nodes\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element1\n * @argument {Element} element2\n * @returns {Element} common offset parent\n */\nfunction findCommonOffsetParent(element1, element2) {\n // This check is needed to avoid errors in case one of the elements isn't defined for any reason\n if (!element1 || !element1.nodeType || !element2 || !element2.nodeType) {\n return document.documentElement;\n }\n\n // Here we make sure to give as \"start\" the element that comes first in the DOM\n var order = element1.compareDocumentPosition(element2) & Node.DOCUMENT_POSITION_FOLLOWING;\n var start = order ? element1 : element2;\n var end = order ? element2 : element1;\n\n // Get common ancestor container\n var range = document.createRange();\n range.setStart(start, 0);\n range.setEnd(end, 0);\n var commonAncestorContainer = range.commonAncestorContainer;\n\n // Both nodes are inside #document\n\n if (element1 !== commonAncestorContainer && element2 !== commonAncestorContainer || start.contains(end)) {\n if (isOffsetContainer(commonAncestorContainer)) {\n return commonAncestorContainer;\n }\n\n return getOffsetParent(commonAncestorContainer);\n }\n\n // one of the nodes is inside shadowDOM, find which one\n var element1root = getRoot(element1);\n if (element1root.host) {\n return findCommonOffsetParent(element1root.host, element2);\n } else {\n return findCommonOffsetParent(element1, getRoot(element2).host);\n }\n}\n\n/**\n * Gets the scroll value of the given element in the given side (top and left)\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @argument {String} side `top` or `left`\n * @returns {number} amount of scrolled pixels\n */\nfunction getScroll(element) {\n var side = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 'top';\n\n var upperSide = side === 'top' ? 'scrollTop' : 'scrollLeft';\n var nodeName = element.nodeName;\n\n if (nodeName === 'BODY' || nodeName === 'HTML') {\n var html = element.ownerDocument.documentElement;\n var scrollingElement = element.ownerDocument.scrollingElement || html;\n return scrollingElement[upperSide];\n }\n\n return element[upperSide];\n}\n\n/*\n * Sum or subtract the element scroll values (left and top) from a given rect object\n * @method\n * @memberof Popper.Utils\n * @param {Object} rect - Rect object you want to change\n * @param {HTMLElement} element - The element from the function reads the scroll values\n * @param {Boolean} subtract - set to true if you want to subtract the scroll values\n * @return {Object} rect - The modifier rect object\n */\nfunction includeScroll(rect, element) {\n var subtract = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : false;\n\n var scrollTop = getScroll(element, 'top');\n var scrollLeft = getScroll(element, 'left');\n var modifier = subtract ? -1 : 1;\n rect.top += scrollTop * modifier;\n rect.bottom += scrollTop * modifier;\n rect.left += scrollLeft * modifier;\n rect.right += scrollLeft * modifier;\n return rect;\n}\n\n/*\n * Helper to detect borders of a given element\n * @method\n * @memberof Popper.Utils\n * @param {CSSStyleDeclaration} styles\n * Result of `getStyleComputedProperty` on the given element\n * @param {String} axis - `x` or `y`\n * @return {number} borders - The borders size of the given axis\n */\n\nfunction getBordersSize(styles, axis) {\n var sideA = axis === 'x' ? 'Left' : 'Top';\n var sideB = sideA === 'Left' ? 'Right' : 'Bottom';\n\n return parseFloat(styles['border' + sideA + 'Width']) + parseFloat(styles['border' + sideB + 'Width']);\n}\n\nfunction getSize(axis, body, html, computedStyle) {\n return Math.max(body['offset' + axis], body['scroll' + axis], html['client' + axis], html['offset' + axis], html['scroll' + axis], isIE(10) ? parseInt(html['offset' + axis]) + parseInt(computedStyle['margin' + (axis === 'Height' ? 'Top' : 'Left')]) + parseInt(computedStyle['margin' + (axis === 'Height' ? 'Bottom' : 'Right')]) : 0);\n}\n\nfunction getWindowSizes(document) {\n var body = document.body;\n var html = document.documentElement;\n var computedStyle = isIE(10) && getComputedStyle(html);\n\n return {\n height: getSize('Height', body, html, computedStyle),\n width: getSize('Width', body, html, computedStyle)\n };\n}\n\nvar classCallCheck = function (instance, Constructor) {\n if (!(instance instanceof Constructor)) {\n throw new TypeError(\"Cannot call a class as a function\");\n }\n};\n\nvar createClass = function () {\n function defineProperties(target, props) {\n for (var i = 0; i < props.length; i++) {\n var descriptor = props[i];\n descriptor.enumerable = descriptor.enumerable || false;\n descriptor.configurable = true;\n if (\"value\" in descriptor) descriptor.writable = true;\n Object.defineProperty(target, descriptor.key, descriptor);\n }\n }\n\n return function (Constructor, protoProps, staticProps) {\n if (protoProps) defineProperties(Constructor.prototype, protoProps);\n if (staticProps) defineProperties(Constructor, staticProps);\n return Constructor;\n };\n}();\n\n\n\n\n\nvar defineProperty = function (obj, key, value) {\n if (key in obj) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n } else {\n obj[key] = value;\n }\n\n return obj;\n};\n\nvar _extends = Object.assign || function (target) {\n for (var i = 1; i < arguments.length; i++) {\n var source = arguments[i];\n\n for (var key in source) {\n if (Object.prototype.hasOwnProperty.call(source, key)) {\n target[key] = source[key];\n }\n }\n }\n\n return target;\n};\n\n/**\n * Given element offsets, generate an output similar to getBoundingClientRect\n * @method\n * @memberof Popper.Utils\n * @argument {Object} offsets\n * @returns {Object} ClientRect like output\n */\nfunction getClientRect(offsets) {\n return _extends({}, offsets, {\n right: offsets.left + offsets.width,\n bottom: offsets.top + offsets.height\n });\n}\n\n/**\n * Get bounding client rect of given element\n * @method\n * @memberof Popper.Utils\n * @param {HTMLElement} element\n * @return {Object} client rect\n */\nfunction getBoundingClientRect(element) {\n var rect = {};\n\n // IE10 10 FIX: Please, don't ask, the element isn't\n // considered in DOM in some circumstances...\n // This isn't reproducible in IE10 compatibility mode of IE11\n try {\n if (isIE(10)) {\n rect = element.getBoundingClientRect();\n var scrollTop = getScroll(element, 'top');\n var scrollLeft = getScroll(element, 'left');\n rect.top += scrollTop;\n rect.left += scrollLeft;\n rect.bottom += scrollTop;\n rect.right += scrollLeft;\n } else {\n rect = element.getBoundingClientRect();\n }\n } catch (e) {}\n\n var result = {\n left: rect.left,\n top: rect.top,\n width: rect.right - rect.left,\n height: rect.bottom - rect.top\n };\n\n // subtract scrollbar size from sizes\n var sizes = element.nodeName === 'HTML' ? getWindowSizes(element.ownerDocument) : {};\n var width = sizes.width || element.clientWidth || result.width;\n var height = sizes.height || element.clientHeight || result.height;\n\n var horizScrollbar = element.offsetWidth - width;\n var vertScrollbar = element.offsetHeight - height;\n\n // if an hypothetical scrollbar is detected, we must be sure it's not a `border`\n // we make this check conditional for performance reasons\n if (horizScrollbar || vertScrollbar) {\n var styles = getStyleComputedProperty(element);\n horizScrollbar -= getBordersSize(styles, 'x');\n vertScrollbar -= getBordersSize(styles, 'y');\n\n result.width -= horizScrollbar;\n result.height -= vertScrollbar;\n }\n\n return getClientRect(result);\n}\n\nfunction getOffsetRectRelativeToArbitraryNode(children, parent) {\n var fixedPosition = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : false;\n\n var isIE10 = isIE(10);\n var isHTML = parent.nodeName === 'HTML';\n var childrenRect = getBoundingClientRect(children);\n var parentRect = getBoundingClientRect(parent);\n var scrollParent = getScrollParent(children);\n\n var styles = getStyleComputedProperty(parent);\n var borderTopWidth = parseFloat(styles.borderTopWidth);\n var borderLeftWidth = parseFloat(styles.borderLeftWidth);\n\n // In cases where the parent is fixed, we must ignore negative scroll in offset calc\n if (fixedPosition && isHTML) {\n parentRect.top = Math.max(parentRect.top, 0);\n parentRect.left = Math.max(parentRect.left, 0);\n }\n var offsets = getClientRect({\n top: childrenRect.top - parentRect.top - borderTopWidth,\n left: childrenRect.left - parentRect.left - borderLeftWidth,\n width: childrenRect.width,\n height: childrenRect.height\n });\n offsets.marginTop = 0;\n offsets.marginLeft = 0;\n\n // Subtract margins of documentElement in case it's being used as parent\n // we do this only on HTML because it's the only element that behaves\n // differently when margins are applied to it. The margins are included in\n // the box of the documentElement, in the other cases not.\n if (!isIE10 && isHTML) {\n var marginTop = parseFloat(styles.marginTop);\n var marginLeft = parseFloat(styles.marginLeft);\n\n offsets.top -= borderTopWidth - marginTop;\n offsets.bottom -= borderTopWidth - marginTop;\n offsets.left -= borderLeftWidth - marginLeft;\n offsets.right -= borderLeftWidth - marginLeft;\n\n // Attach marginTop and marginLeft because in some circumstances we may need them\n offsets.marginTop = marginTop;\n offsets.marginLeft = marginLeft;\n }\n\n if (isIE10 && !fixedPosition ? parent.contains(scrollParent) : parent === scrollParent && scrollParent.nodeName !== 'BODY') {\n offsets = includeScroll(offsets, parent);\n }\n\n return offsets;\n}\n\nfunction getViewportOffsetRectRelativeToArtbitraryNode(element) {\n var excludeScroll = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n\n var html = element.ownerDocument.documentElement;\n var relativeOffset = getOffsetRectRelativeToArbitraryNode(element, html);\n var width = Math.max(html.clientWidth, window.innerWidth || 0);\n var height = Math.max(html.clientHeight, window.innerHeight || 0);\n\n var scrollTop = !excludeScroll ? getScroll(html) : 0;\n var scrollLeft = !excludeScroll ? getScroll(html, 'left') : 0;\n\n var offset = {\n top: scrollTop - relativeOffset.top + relativeOffset.marginTop,\n left: scrollLeft - relativeOffset.left + relativeOffset.marginLeft,\n width: width,\n height: height\n };\n\n return getClientRect(offset);\n}\n\n/**\n * Check if the given element is fixed or is inside a fixed parent\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @argument {Element} customContainer\n * @returns {Boolean} answer to \"isFixed?\"\n */\nfunction isFixed(element) {\n var nodeName = element.nodeName;\n if (nodeName === 'BODY' || nodeName === 'HTML') {\n return false;\n }\n if (getStyleComputedProperty(element, 'position') === 'fixed') {\n return true;\n }\n var parentNode = getParentNode(element);\n if (!parentNode) {\n return false;\n }\n return isFixed(parentNode);\n}\n\n/**\n * Finds the first parent of an element that has a transformed property defined\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @returns {Element} first transformed parent or documentElement\n */\n\nfunction getFixedPositionOffsetParent(element) {\n // This check is needed to avoid errors in case one of the elements isn't defined for any reason\n if (!element || !element.parentElement || isIE()) {\n return document.documentElement;\n }\n var el = element.parentElement;\n while (el && getStyleComputedProperty(el, 'transform') === 'none') {\n el = el.parentElement;\n }\n return el || document.documentElement;\n}\n\n/**\n * Computed the boundaries limits and return them\n * @method\n * @memberof Popper.Utils\n * @param {HTMLElement} popper\n * @param {HTMLElement} reference\n * @param {number} padding\n * @param {HTMLElement} boundariesElement - Element used to define the boundaries\n * @param {Boolean} fixedPosition - Is in fixed position mode\n * @returns {Object} Coordinates of the boundaries\n */\nfunction getBoundaries(popper, reference, padding, boundariesElement) {\n var fixedPosition = arguments.length > 4 && arguments[4] !== undefined ? arguments[4] : false;\n\n // NOTE: 1 DOM access here\n\n var boundaries = { top: 0, left: 0 };\n var offsetParent = fixedPosition ? getFixedPositionOffsetParent(popper) : findCommonOffsetParent(popper, getReferenceNode(reference));\n\n // Handle viewport case\n if (boundariesElement === 'viewport') {\n boundaries = getViewportOffsetRectRelativeToArtbitraryNode(offsetParent, fixedPosition);\n } else {\n // Handle other cases based on DOM element used as boundaries\n var boundariesNode = void 0;\n if (boundariesElement === 'scrollParent') {\n boundariesNode = getScrollParent(getParentNode(reference));\n if (boundariesNode.nodeName === 'BODY') {\n boundariesNode = popper.ownerDocument.documentElement;\n }\n } else if (boundariesElement === 'window') {\n boundariesNode = popper.ownerDocument.documentElement;\n } else {\n boundariesNode = boundariesElement;\n }\n\n var offsets = getOffsetRectRelativeToArbitraryNode(boundariesNode, offsetParent, fixedPosition);\n\n // In case of HTML, we need a different computation\n if (boundariesNode.nodeName === 'HTML' && !isFixed(offsetParent)) {\n var _getWindowSizes = getWindowSizes(popper.ownerDocument),\n height = _getWindowSizes.height,\n width = _getWindowSizes.width;\n\n boundaries.top += offsets.top - offsets.marginTop;\n boundaries.bottom = height + offsets.top;\n boundaries.left += offsets.left - offsets.marginLeft;\n boundaries.right = width + offsets.left;\n } else {\n // for all the other DOM elements, this one is good\n boundaries = offsets;\n }\n }\n\n // Add paddings\n padding = padding || 0;\n var isPaddingNumber = typeof padding === 'number';\n boundaries.left += isPaddingNumber ? padding : padding.left || 0;\n boundaries.top += isPaddingNumber ? padding : padding.top || 0;\n boundaries.right -= isPaddingNumber ? padding : padding.right || 0;\n boundaries.bottom -= isPaddingNumber ? padding : padding.bottom || 0;\n\n return boundaries;\n}\n\nfunction getArea(_ref) {\n var width = _ref.width,\n height = _ref.height;\n\n return width * height;\n}\n\n/**\n * Utility used to transform the `auto` placement to the placement with more\n * available space.\n * @method\n * @memberof Popper.Utils\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction computeAutoPlacement(placement, refRect, popper, reference, boundariesElement) {\n var padding = arguments.length > 5 && arguments[5] !== undefined ? arguments[5] : 0;\n\n if (placement.indexOf('auto') === -1) {\n return placement;\n }\n\n var boundaries = getBoundaries(popper, reference, padding, boundariesElement);\n\n var rects = {\n top: {\n width: boundaries.width,\n height: refRect.top - boundaries.top\n },\n right: {\n width: boundaries.right - refRect.right,\n height: boundaries.height\n },\n bottom: {\n width: boundaries.width,\n height: boundaries.bottom - refRect.bottom\n },\n left: {\n width: refRect.left - boundaries.left,\n height: boundaries.height\n }\n };\n\n var sortedAreas = Object.keys(rects).map(function (key) {\n return _extends({\n key: key\n }, rects[key], {\n area: getArea(rects[key])\n });\n }).sort(function (a, b) {\n return b.area - a.area;\n });\n\n var filteredAreas = sortedAreas.filter(function (_ref2) {\n var width = _ref2.width,\n height = _ref2.height;\n return width >= popper.clientWidth && height >= popper.clientHeight;\n });\n\n var computedPlacement = filteredAreas.length > 0 ? filteredAreas[0].key : sortedAreas[0].key;\n\n var variation = placement.split('-')[1];\n\n return computedPlacement + (variation ? '-' + variation : '');\n}\n\n/**\n * Get offsets to the reference element\n * @method\n * @memberof Popper.Utils\n * @param {Object} state\n * @param {Element} popper - the popper element\n * @param {Element} reference - the reference element (the popper will be relative to this)\n * @param {Element} fixedPosition - is in fixed position mode\n * @returns {Object} An object containing the offsets which will be applied to the popper\n */\nfunction getReferenceOffsets(state, popper, reference) {\n var fixedPosition = arguments.length > 3 && arguments[3] !== undefined ? arguments[3] : null;\n\n var commonOffsetParent = fixedPosition ? getFixedPositionOffsetParent(popper) : findCommonOffsetParent(popper, getReferenceNode(reference));\n return getOffsetRectRelativeToArbitraryNode(reference, commonOffsetParent, fixedPosition);\n}\n\n/**\n * Get the outer sizes of the given element (offset size + margins)\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @returns {Object} object containing width and height properties\n */\nfunction getOuterSizes(element) {\n var window = element.ownerDocument.defaultView;\n var styles = window.getComputedStyle(element);\n var x = parseFloat(styles.marginTop || 0) + parseFloat(styles.marginBottom || 0);\n var y = parseFloat(styles.marginLeft || 0) + parseFloat(styles.marginRight || 0);\n var result = {\n width: element.offsetWidth + y,\n height: element.offsetHeight + x\n };\n return result;\n}\n\n/**\n * Get the opposite placement of the given one\n * @method\n * @memberof Popper.Utils\n * @argument {String} placement\n * @returns {String} flipped placement\n */\nfunction getOppositePlacement(placement) {\n var hash = { left: 'right', right: 'left', bottom: 'top', top: 'bottom' };\n return placement.replace(/left|right|bottom|top/g, function (matched) {\n return hash[matched];\n });\n}\n\n/**\n * Get offsets to the popper\n * @method\n * @memberof Popper.Utils\n * @param {Object} position - CSS position the Popper will get applied\n * @param {HTMLElement} popper - the popper element\n * @param {Object} referenceOffsets - the reference offsets (the popper will be relative to this)\n * @param {String} placement - one of the valid placement options\n * @returns {Object} popperOffsets - An object containing the offsets which will be applied to the popper\n */\nfunction getPopperOffsets(popper, referenceOffsets, placement) {\n placement = placement.split('-')[0];\n\n // Get popper node sizes\n var popperRect = getOuterSizes(popper);\n\n // Add position, width and height to our offsets object\n var popperOffsets = {\n width: popperRect.width,\n height: popperRect.height\n };\n\n // depending by the popper placement we have to compute its offsets slightly differently\n var isHoriz = ['right', 'left'].indexOf(placement) !== -1;\n var mainSide = isHoriz ? 'top' : 'left';\n var secondarySide = isHoriz ? 'left' : 'top';\n var measurement = isHoriz ? 'height' : 'width';\n var secondaryMeasurement = !isHoriz ? 'height' : 'width';\n\n popperOffsets[mainSide] = referenceOffsets[mainSide] + referenceOffsets[measurement] / 2 - popperRect[measurement] / 2;\n if (placement === secondarySide) {\n popperOffsets[secondarySide] = referenceOffsets[secondarySide] - popperRect[secondaryMeasurement];\n } else {\n popperOffsets[secondarySide] = referenceOffsets[getOppositePlacement(secondarySide)];\n }\n\n return popperOffsets;\n}\n\n/**\n * Mimics the `find` method of Array\n * @method\n * @memberof Popper.Utils\n * @argument {Array} arr\n * @argument prop\n * @argument value\n * @returns index or -1\n */\nfunction find(arr, check) {\n // use native find if supported\n if (Array.prototype.find) {\n return arr.find(check);\n }\n\n // use `filter` to obtain the same behavior of `find`\n return arr.filter(check)[0];\n}\n\n/**\n * Return the index of the matching object\n * @method\n * @memberof Popper.Utils\n * @argument {Array} arr\n * @argument prop\n * @argument value\n * @returns index or -1\n */\nfunction findIndex(arr, prop, value) {\n // use native findIndex if supported\n if (Array.prototype.findIndex) {\n return arr.findIndex(function (cur) {\n return cur[prop] === value;\n });\n }\n\n // use `find` + `indexOf` if `findIndex` isn't supported\n var match = find(arr, function (obj) {\n return obj[prop] === value;\n });\n return arr.indexOf(match);\n}\n\n/**\n * Loop trough the list of modifiers and run them in order,\n * each of them will then edit the data object.\n * @method\n * @memberof Popper.Utils\n * @param {dataObject} data\n * @param {Array} modifiers\n * @param {String} ends - Optional modifier name used as stopper\n * @returns {dataObject}\n */\nfunction runModifiers(modifiers, data, ends) {\n var modifiersToRun = ends === undefined ? modifiers : modifiers.slice(0, findIndex(modifiers, 'name', ends));\n\n modifiersToRun.forEach(function (modifier) {\n if (modifier['function']) {\n // eslint-disable-line dot-notation\n console.warn('`modifier.function` is deprecated, use `modifier.fn`!');\n }\n var fn = modifier['function'] || modifier.fn; // eslint-disable-line dot-notation\n if (modifier.enabled && isFunction(fn)) {\n // Add properties to offsets to make them a complete clientRect object\n // we do this before each modifier to make sure the previous one doesn't\n // mess with these values\n data.offsets.popper = getClientRect(data.offsets.popper);\n data.offsets.reference = getClientRect(data.offsets.reference);\n\n data = fn(data, modifier);\n }\n });\n\n return data;\n}\n\n/**\n * Updates the position of the popper, computing the new offsets and applying\n * the new style.<br />\n * Prefer `scheduleUpdate` over `update` because of performance reasons.\n * @method\n * @memberof Popper\n */\nfunction update() {\n // if popper is destroyed, don't perform any further update\n if (this.state.isDestroyed) {\n return;\n }\n\n var data = {\n instance: this,\n styles: {},\n arrowStyles: {},\n attributes: {},\n flipped: false,\n offsets: {}\n };\n\n // compute reference element offsets\n data.offsets.reference = getReferenceOffsets(this.state, this.popper, this.reference, this.options.positionFixed);\n\n // compute auto placement, store placement inside the data object,\n // modifiers will be able to edit `placement` if needed\n // and refer to originalPlacement to know the original value\n data.placement = computeAutoPlacement(this.options.placement, data.offsets.reference, this.popper, this.reference, this.options.modifiers.flip.boundariesElement, this.options.modifiers.flip.padding);\n\n // store the computed placement inside `originalPlacement`\n data.originalPlacement = data.placement;\n\n data.positionFixed = this.options.positionFixed;\n\n // compute the popper offsets\n data.offsets.popper = getPopperOffsets(this.popper, data.offsets.reference, data.placement);\n\n data.offsets.popper.position = this.options.positionFixed ? 'fixed' : 'absolute';\n\n // run the modifiers\n data = runModifiers(this.modifiers, data);\n\n // the first `update` will call `onCreate` callback\n // the other ones will call `onUpdate` callback\n if (!this.state.isCreated) {\n this.state.isCreated = true;\n this.options.onCreate(data);\n } else {\n this.options.onUpdate(data);\n }\n}\n\n/**\n * Helper used to know if the given modifier is enabled.\n * @method\n * @memberof Popper.Utils\n * @returns {Boolean}\n */\nfunction isModifierEnabled(modifiers, modifierName) {\n return modifiers.some(function (_ref) {\n var name = _ref.name,\n enabled = _ref.enabled;\n return enabled && name === modifierName;\n });\n}\n\n/**\n * Get the prefixed supported property name\n * @method\n * @memberof Popper.Utils\n * @argument {String} property (camelCase)\n * @returns {String} prefixed property (camelCase or PascalCase, depending on the vendor prefix)\n */\nfunction getSupportedPropertyName(property) {\n var prefixes = [false, 'ms', 'Webkit', 'Moz', 'O'];\n var upperProp = property.charAt(0).toUpperCase() + property.slice(1);\n\n for (var i = 0; i < prefixes.length; i++) {\n var prefix = prefixes[i];\n var toCheck = prefix ? '' + prefix + upperProp : property;\n if (typeof document.body.style[toCheck] !== 'undefined') {\n return toCheck;\n }\n }\n return null;\n}\n\n/**\n * Destroys the popper.\n * @method\n * @memberof Popper\n */\nfunction destroy() {\n this.state.isDestroyed = true;\n\n // touch DOM only if `applyStyle` modifier is enabled\n if (isModifierEnabled(this.modifiers, 'applyStyle')) {\n this.popper.removeAttribute('x-placement');\n this.popper.style.position = '';\n this.popper.style.top = '';\n this.popper.style.left = '';\n this.popper.style.right = '';\n this.popper.style.bottom = '';\n this.popper.style.willChange = '';\n this.popper.style[getSupportedPropertyName('transform')] = '';\n }\n\n this.disableEventListeners();\n\n // remove the popper if user explicitly asked for the deletion on destroy\n // do not use `remove` because IE11 doesn't support it\n if (this.options.removeOnDestroy) {\n this.popper.parentNode.removeChild(this.popper);\n }\n return this;\n}\n\n/**\n * Get the window associated with the element\n * @argument {Element} element\n * @returns {Window}\n */\nfunction getWindow(element) {\n var ownerDocument = element.ownerDocument;\n return ownerDocument ? ownerDocument.defaultView : window;\n}\n\nfunction attachToScrollParents(scrollParent, event, callback, scrollParents) {\n var isBody = scrollParent.nodeName === 'BODY';\n var target = isBody ? scrollParent.ownerDocument.defaultView : scrollParent;\n target.addEventListener(event, callback, { passive: true });\n\n if (!isBody) {\n attachToScrollParents(getScrollParent(target.parentNode), event, callback, scrollParents);\n }\n scrollParents.push(target);\n}\n\n/**\n * Setup needed event listeners used to update the popper position\n * @method\n * @memberof Popper.Utils\n * @private\n */\nfunction setupEventListeners(reference, options, state, updateBound) {\n // Resize event listener on window\n state.updateBound = updateBound;\n getWindow(reference).addEventListener('resize', state.updateBound, { passive: true });\n\n // Scroll event listener on scroll parents\n var scrollElement = getScrollParent(reference);\n attachToScrollParents(scrollElement, 'scroll', state.updateBound, state.scrollParents);\n state.scrollElement = scrollElement;\n state.eventsEnabled = true;\n\n return state;\n}\n\n/**\n * It will add resize/scroll events and start recalculating\n * position of the popper element when they are triggered.\n * @method\n * @memberof Popper\n */\nfunction enableEventListeners() {\n if (!this.state.eventsEnabled) {\n this.state = setupEventListeners(this.reference, this.options, this.state, this.scheduleUpdate);\n }\n}\n\n/**\n * Remove event listeners used to update the popper position\n * @method\n * @memberof Popper.Utils\n * @private\n */\nfunction removeEventListeners(reference, state) {\n // Remove resize event listener on window\n getWindow(reference).removeEventListener('resize', state.updateBound);\n\n // Remove scroll event listener on scroll parents\n state.scrollParents.forEach(function (target) {\n target.removeEventListener('scroll', state.updateBound);\n });\n\n // Reset state\n state.updateBound = null;\n state.scrollParents = [];\n state.scrollElement = null;\n state.eventsEnabled = false;\n return state;\n}\n\n/**\n * It will remove resize/scroll events and won't recalculate popper position\n * when they are triggered. It also won't trigger `onUpdate` callback anymore,\n * unless you call `update` method manually.\n * @method\n * @memberof Popper\n */\nfunction disableEventListeners() {\n if (this.state.eventsEnabled) {\n cancelAnimationFrame(this.scheduleUpdate);\n this.state = removeEventListeners(this.reference, this.state);\n }\n}\n\n/**\n * Tells if a given input is a number\n * @method\n * @memberof Popper.Utils\n * @param {*} input to check\n * @return {Boolean}\n */\nfunction isNumeric(n) {\n return n !== '' && !isNaN(parseFloat(n)) && isFinite(n);\n}\n\n/**\n * Set the style to the given popper\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element - Element to apply the style to\n * @argument {Object} styles\n * Object with a list of properties and values which will be applied to the element\n */\nfunction setStyles(element, styles) {\n Object.keys(styles).forEach(function (prop) {\n var unit = '';\n // add unit if the value is numeric and is one of the following\n if (['width', 'height', 'top', 'right', 'bottom', 'left'].indexOf(prop) !== -1 && isNumeric(styles[prop])) {\n unit = 'px';\n }\n element.style[prop] = styles[prop] + unit;\n });\n}\n\n/**\n * Set the attributes to the given popper\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element - Element to apply the attributes to\n * @argument {Object} styles\n * Object with a list of properties and values which will be applied to the element\n */\nfunction setAttributes(element, attributes) {\n Object.keys(attributes).forEach(function (prop) {\n var value = attributes[prop];\n if (value !== false) {\n element.setAttribute(prop, attributes[prop]);\n } else {\n element.removeAttribute(prop);\n }\n });\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Object} data.styles - List of style properties - values to apply to popper element\n * @argument {Object} data.attributes - List of attribute properties - values to apply to popper element\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The same data object\n */\nfunction applyStyle(data) {\n // any property present in `data.styles` will be applied to the popper,\n // in this way we can make the 3rd party modifiers add custom styles to it\n // Be aware, modifiers could override the properties defined in the previous\n // lines of this modifier!\n setStyles(data.instance.popper, data.styles);\n\n // any property present in `data.attributes` will be applied to the popper,\n // they will be set as HTML attributes of the element\n setAttributes(data.instance.popper, data.attributes);\n\n // if arrowElement is defined and arrowStyles has some properties\n if (data.arrowElement && Object.keys(data.arrowStyles).length) {\n setStyles(data.arrowElement, data.arrowStyles);\n }\n\n return data;\n}\n\n/**\n * Set the x-placement attribute before everything else because it could be used\n * to add margins to the popper margins needs to be calculated to get the\n * correct popper offsets.\n * @method\n * @memberof Popper.modifiers\n * @param {HTMLElement} reference - The reference element used to position the popper\n * @param {HTMLElement} popper - The HTML element used as popper\n * @param {Object} options - Popper.js options\n */\nfunction applyStyleOnLoad(reference, popper, options, modifierOptions, state) {\n // compute reference element offsets\n var referenceOffsets = getReferenceOffsets(state, popper, reference, options.positionFixed);\n\n // compute auto placement, store placement inside the data object,\n // modifiers will be able to edit `placement` if needed\n // and refer to originalPlacement to know the original value\n var placement = computeAutoPlacement(options.placement, referenceOffsets, popper, reference, options.modifiers.flip.boundariesElement, options.modifiers.flip.padding);\n\n popper.setAttribute('x-placement', placement);\n\n // Apply `position` to popper before anything else because\n // without the position applied we can't guarantee correct computations\n setStyles(popper, { position: options.positionFixed ? 'fixed' : 'absolute' });\n\n return options;\n}\n\n/**\n * @function\n * @memberof Popper.Utils\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Boolean} shouldRound - If the offsets should be rounded at all\n * @returns {Object} The popper's position offsets rounded\n *\n * The tale of pixel-perfect positioning. It's still not 100% perfect, but as\n * good as it can be within reason.\n * Discussion here: https://github.com/FezVrasta/popper.js/pull/715\n *\n * Low DPI screens cause a popper to be blurry if not using full pixels (Safari\n * as well on High DPI screens).\n *\n * Firefox prefers no rounding for positioning and does not have blurriness on\n * high DPI screens.\n *\n * Only horizontal placement and left/right values need to be considered.\n */\nfunction getRoundedOffsets(data, shouldRound) {\n var _data$offsets = data.offsets,\n popper = _data$offsets.popper,\n reference = _data$offsets.reference;\n var round = Math.round,\n floor = Math.floor;\n\n var noRound = function noRound(v) {\n return v;\n };\n\n var referenceWidth = round(reference.width);\n var popperWidth = round(popper.width);\n\n var isVertical = ['left', 'right'].indexOf(data.placement) !== -1;\n var isVariation = data.placement.indexOf('-') !== -1;\n var sameWidthParity = referenceWidth % 2 === popperWidth % 2;\n var bothOddWidth = referenceWidth % 2 === 1 && popperWidth % 2 === 1;\n\n var horizontalToInteger = !shouldRound ? noRound : isVertical || isVariation || sameWidthParity ? round : floor;\n var verticalToInteger = !shouldRound ? noRound : round;\n\n return {\n left: horizontalToInteger(bothOddWidth && !isVariation && shouldRound ? popper.left - 1 : popper.left),\n top: verticalToInteger(popper.top),\n bottom: verticalToInteger(popper.bottom),\n right: horizontalToInteger(popper.right)\n };\n}\n\nvar isFirefox = isBrowser && /Firefox/i.test(navigator.userAgent);\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction computeStyle(data, options) {\n var x = options.x,\n y = options.y;\n var popper = data.offsets.popper;\n\n // Remove this legacy support in Popper.js v2\n\n var legacyGpuAccelerationOption = find(data.instance.modifiers, function (modifier) {\n return modifier.name === 'applyStyle';\n }).gpuAcceleration;\n if (legacyGpuAccelerationOption !== undefined) {\n console.warn('WARNING: `gpuAcceleration` option moved to `computeStyle` modifier and will not be supported in future versions of Popper.js!');\n }\n var gpuAcceleration = legacyGpuAccelerationOption !== undefined ? legacyGpuAccelerationOption : options.gpuAcceleration;\n\n var offsetParent = getOffsetParent(data.instance.popper);\n var offsetParentRect = getBoundingClientRect(offsetParent);\n\n // Styles\n var styles = {\n position: popper.position\n };\n\n var offsets = getRoundedOffsets(data, window.devicePixelRatio < 2 || !isFirefox);\n\n var sideA = x === 'bottom' ? 'top' : 'bottom';\n var sideB = y === 'right' ? 'left' : 'right';\n\n // if gpuAcceleration is set to `true` and transform is supported,\n // we use `translate3d` to apply the position to the popper we\n // automatically use the supported prefixed version if needed\n var prefixedProperty = getSupportedPropertyName('transform');\n\n // now, let's make a step back and look at this code closely (wtf?)\n // If the content of the popper grows once it's been positioned, it\n // may happen that the popper gets misplaced because of the new content\n // overflowing its reference element\n // To avoid this problem, we provide two options (x and y), which allow\n // the consumer to define the offset origin.\n // If we position a popper on top of a reference element, we can set\n // `x` to `top` to make the popper grow towards its top instead of\n // its bottom.\n var left = void 0,\n top = void 0;\n if (sideA === 'bottom') {\n // when offsetParent is <html> the positioning is relative to the bottom of the screen (excluding the scrollbar)\n // and not the bottom of the html element\n if (offsetParent.nodeName === 'HTML') {\n top = -offsetParent.clientHeight + offsets.bottom;\n } else {\n top = -offsetParentRect.height + offsets.bottom;\n }\n } else {\n top = offsets.top;\n }\n if (sideB === 'right') {\n if (offsetParent.nodeName === 'HTML') {\n left = -offsetParent.clientWidth + offsets.right;\n } else {\n left = -offsetParentRect.width + offsets.right;\n }\n } else {\n left = offsets.left;\n }\n if (gpuAcceleration && prefixedProperty) {\n styles[prefixedProperty] = 'translate3d(' + left + 'px, ' + top + 'px, 0)';\n styles[sideA] = 0;\n styles[sideB] = 0;\n styles.willChange = 'transform';\n } else {\n // othwerise, we use the standard `top`, `left`, `bottom` and `right` properties\n var invertTop = sideA === 'bottom' ? -1 : 1;\n var invertLeft = sideB === 'right' ? -1 : 1;\n styles[sideA] = top * invertTop;\n styles[sideB] = left * invertLeft;\n styles.willChange = sideA + ', ' + sideB;\n }\n\n // Attributes\n var attributes = {\n 'x-placement': data.placement\n };\n\n // Update `data` attributes, styles and arrowStyles\n data.attributes = _extends({}, attributes, data.attributes);\n data.styles = _extends({}, styles, data.styles);\n data.arrowStyles = _extends({}, data.offsets.arrow, data.arrowStyles);\n\n return data;\n}\n\n/**\n * Helper used to know if the given modifier depends from another one.<br />\n * It checks if the needed modifier is listed and enabled.\n * @method\n * @memberof Popper.Utils\n * @param {Array} modifiers - list of modifiers\n * @param {String} requestingName - name of requesting modifier\n * @param {String} requestedName - name of requested modifier\n * @returns {Boolean}\n */\nfunction isModifierRequired(modifiers, requestingName, requestedName) {\n var requesting = find(modifiers, function (_ref) {\n var name = _ref.name;\n return name === requestingName;\n });\n\n var isRequired = !!requesting && modifiers.some(function (modifier) {\n return modifier.name === requestedName && modifier.enabled && modifier.order < requesting.order;\n });\n\n if (!isRequired) {\n var _requesting = '`' + requestingName + '`';\n var requested = '`' + requestedName + '`';\n console.warn(requested + ' modifier is required by ' + _requesting + ' modifier in order to work, be sure to include it before ' + _requesting + '!');\n }\n return isRequired;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction arrow(data, options) {\n var _data$offsets$arrow;\n\n // arrow depends on keepTogether in order to work\n if (!isModifierRequired(data.instance.modifiers, 'arrow', 'keepTogether')) {\n return data;\n }\n\n var arrowElement = options.element;\n\n // if arrowElement is a string, suppose it's a CSS selector\n if (typeof arrowElement === 'string') {\n arrowElement = data.instance.popper.querySelector(arrowElement);\n\n // if arrowElement is not found, don't run the modifier\n if (!arrowElement) {\n return data;\n }\n } else {\n // if the arrowElement isn't a query selector we must check that the\n // provided DOM node is child of its popper node\n if (!data.instance.popper.contains(arrowElement)) {\n console.warn('WARNING: `arrow.element` must be child of its popper element!');\n return data;\n }\n }\n\n var placement = data.placement.split('-')[0];\n var _data$offsets = data.offsets,\n popper = _data$offsets.popper,\n reference = _data$offsets.reference;\n\n var isVertical = ['left', 'right'].indexOf(placement) !== -1;\n\n var len = isVertical ? 'height' : 'width';\n var sideCapitalized = isVertical ? 'Top' : 'Left';\n var side = sideCapitalized.toLowerCase();\n var altSide = isVertical ? 'left' : 'top';\n var opSide = isVertical ? 'bottom' : 'right';\n var arrowElementSize = getOuterSizes(arrowElement)[len];\n\n //\n // extends keepTogether behavior making sure the popper and its\n // reference have enough pixels in conjunction\n //\n\n // top/left side\n if (reference[opSide] - arrowElementSize < popper[side]) {\n data.offsets.popper[side] -= popper[side] - (reference[opSide] - arrowElementSize);\n }\n // bottom/right side\n if (reference[side] + arrowElementSize > popper[opSide]) {\n data.offsets.popper[side] += reference[side] + arrowElementSize - popper[opSide];\n }\n data.offsets.popper = getClientRect(data.offsets.popper);\n\n // compute center of the popper\n var center = reference[side] + reference[len] / 2 - arrowElementSize / 2;\n\n // Compute the sideValue using the updated popper offsets\n // take popper margin in account because we don't have this info available\n var css = getStyleComputedProperty(data.instance.popper);\n var popperMarginSide = parseFloat(css['margin' + sideCapitalized]);\n var popperBorderSide = parseFloat(css['border' + sideCapitalized + 'Width']);\n var sideValue = center - data.offsets.popper[side] - popperMarginSide - popperBorderSide;\n\n // prevent arrowElement from being placed not contiguously to its popper\n sideValue = Math.max(Math.min(popper[len] - arrowElementSize, sideValue), 0);\n\n data.arrowElement = arrowElement;\n data.offsets.arrow = (_data$offsets$arrow = {}, defineProperty(_data$offsets$arrow, side, Math.round(sideValue)), defineProperty(_data$offsets$arrow, altSide, ''), _data$offsets$arrow);\n\n return data;\n}\n\n/**\n * Get the opposite placement variation of the given one\n * @method\n * @memberof Popper.Utils\n * @argument {String} placement variation\n * @returns {String} flipped placement variation\n */\nfunction getOppositeVariation(variation) {\n if (variation === 'end') {\n return 'start';\n } else if (variation === 'start') {\n return 'end';\n }\n return variation;\n}\n\n/**\n * List of accepted placements to use as values of the `placement` option.<br />\n * Valid placements are:\n * - `auto`\n * - `top`\n * - `right`\n * - `bottom`\n * - `left`\n *\n * Each placement can have a variation from this list:\n * - `-start`\n * - `-end`\n *\n * Variations are interpreted easily if you think of them as the left to right\n * written languages. Horizontally (`top` and `bottom`), `start` is left and `end`\n * is right.<br />\n * Vertically (`left` and `right`), `start` is top and `end` is bottom.\n *\n * Some valid examples are:\n * - `top-end` (on top of reference, right aligned)\n * - `right-start` (on right of reference, top aligned)\n * - `bottom` (on bottom, centered)\n * - `auto-end` (on the side with more space available, alignment depends by placement)\n *\n * @static\n * @type {Array}\n * @enum {String}\n * @readonly\n * @method placements\n * @memberof Popper\n */\nvar placements = ['auto-start', 'auto', 'auto-end', 'top-start', 'top', 'top-end', 'right-start', 'right', 'right-end', 'bottom-end', 'bottom', 'bottom-start', 'left-end', 'left', 'left-start'];\n\n// Get rid of `auto` `auto-start` and `auto-end`\nvar validPlacements = placements.slice(3);\n\n/**\n * Given an initial placement, returns all the subsequent placements\n * clockwise (or counter-clockwise).\n *\n * @method\n * @memberof Popper.Utils\n * @argument {String} placement - A valid placement (it accepts variations)\n * @argument {Boolean} counter - Set to true to walk the placements counterclockwise\n * @returns {Array} placements including their variations\n */\nfunction clockwise(placement) {\n var counter = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n\n var index = validPlacements.indexOf(placement);\n var arr = validPlacements.slice(index + 1).concat(validPlacements.slice(0, index));\n return counter ? arr.reverse() : arr;\n}\n\nvar BEHAVIORS = {\n FLIP: 'flip',\n CLOCKWISE: 'clockwise',\n COUNTERCLOCKWISE: 'counterclockwise'\n};\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction flip(data, options) {\n // if `inner` modifier is enabled, we can't use the `flip` modifier\n if (isModifierEnabled(data.instance.modifiers, 'inner')) {\n return data;\n }\n\n if (data.flipped && data.placement === data.originalPlacement) {\n // seems like flip is trying to loop, probably there's not enough space on any of the flippable sides\n return data;\n }\n\n var boundaries = getBoundaries(data.instance.popper, data.instance.reference, options.padding, options.boundariesElement, data.positionFixed);\n\n var placement = data.placement.split('-')[0];\n var placementOpposite = getOppositePlacement(placement);\n var variation = data.placement.split('-')[1] || '';\n\n var flipOrder = [];\n\n switch (options.behavior) {\n case BEHAVIORS.FLIP:\n flipOrder = [placement, placementOpposite];\n break;\n case BEHAVIORS.CLOCKWISE:\n flipOrder = clockwise(placement);\n break;\n case BEHAVIORS.COUNTERCLOCKWISE:\n flipOrder = clockwise(placement, true);\n break;\n default:\n flipOrder = options.behavior;\n }\n\n flipOrder.forEach(function (step, index) {\n if (placement !== step || flipOrder.length === index + 1) {\n return data;\n }\n\n placement = data.placement.split('-')[0];\n placementOpposite = getOppositePlacement(placement);\n\n var popperOffsets = data.offsets.popper;\n var refOffsets = data.offsets.reference;\n\n // using floor because the reference offsets may contain decimals we are not going to consider here\n var floor = Math.floor;\n var overlapsRef = placement === 'left' && floor(popperOffsets.right) > floor(refOffsets.left) || placement === 'right' && floor(popperOffsets.left) < floor(refOffsets.right) || placement === 'top' && floor(popperOffsets.bottom) > floor(refOffsets.top) || placement === 'bottom' && floor(popperOffsets.top) < floor(refOffsets.bottom);\n\n var overflowsLeft = floor(popperOffsets.left) < floor(boundaries.left);\n var overflowsRight = floor(popperOffsets.right) > floor(boundaries.right);\n var overflowsTop = floor(popperOffsets.top) < floor(boundaries.top);\n var overflowsBottom = floor(popperOffsets.bottom) > floor(boundaries.bottom);\n\n var overflowsBoundaries = placement === 'left' && overflowsLeft || placement === 'right' && overflowsRight || placement === 'top' && overflowsTop || placement === 'bottom' && overflowsBottom;\n\n // flip the variation if required\n var isVertical = ['top', 'bottom'].indexOf(placement) !== -1;\n\n // flips variation if reference element overflows boundaries\n var flippedVariationByRef = !!options.flipVariations && (isVertical && variation === 'start' && overflowsLeft || isVertical && variation === 'end' && overflowsRight || !isVertical && variation === 'start' && overflowsTop || !isVertical && variation === 'end' && overflowsBottom);\n\n // flips variation if popper content overflows boundaries\n var flippedVariationByContent = !!options.flipVariationsByContent && (isVertical && variation === 'start' && overflowsRight || isVertical && variation === 'end' && overflowsLeft || !isVertical && variation === 'start' && overflowsBottom || !isVertical && variation === 'end' && overflowsTop);\n\n var flippedVariation = flippedVariationByRef || flippedVariationByContent;\n\n if (overlapsRef || overflowsBoundaries || flippedVariation) {\n // this boolean to detect any flip loop\n data.flipped = true;\n\n if (overlapsRef || overflowsBoundaries) {\n placement = flipOrder[index + 1];\n }\n\n if (flippedVariation) {\n variation = getOppositeVariation(variation);\n }\n\n data.placement = placement + (variation ? '-' + variation : '');\n\n // this object contains `position`, we want to preserve it along with\n // any additional property we may add in the future\n data.offsets.popper = _extends({}, data.offsets.popper, getPopperOffsets(data.instance.popper, data.offsets.reference, data.placement));\n\n data = runModifiers(data.instance.modifiers, data, 'flip');\n }\n });\n return data;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction keepTogether(data) {\n var _data$offsets = data.offsets,\n popper = _data$offsets.popper,\n reference = _data$offsets.reference;\n\n var placement = data.placement.split('-')[0];\n var floor = Math.floor;\n var isVertical = ['top', 'bottom'].indexOf(placement) !== -1;\n var side = isVertical ? 'right' : 'bottom';\n var opSide = isVertical ? 'left' : 'top';\n var measurement = isVertical ? 'width' : 'height';\n\n if (popper[side] < floor(reference[opSide])) {\n data.offsets.popper[opSide] = floor(reference[opSide]) - popper[measurement];\n }\n if (popper[opSide] > floor(reference[side])) {\n data.offsets.popper[opSide] = floor(reference[side]);\n }\n\n return data;\n}\n\n/**\n * Converts a string containing value + unit into a px value number\n * @function\n * @memberof {modifiers~offset}\n * @private\n * @argument {String} str - Value + unit string\n * @argument {String} measurement - `height` or `width`\n * @argument {Object} popperOffsets\n * @argument {Object} referenceOffsets\n * @returns {Number|String}\n * Value in pixels, or original string if no values were extracted\n */\nfunction toValue(str, measurement, popperOffsets, referenceOffsets) {\n // separate value from unit\n var split = str.match(/((?:\\-|\\+)?\\d*\\.?\\d*)(.*)/);\n var value = +split[1];\n var unit = split[2];\n\n // If it's not a number it's an operator, I guess\n if (!value) {\n return str;\n }\n\n if (unit.indexOf('%') === 0) {\n var element = void 0;\n switch (unit) {\n case '%p':\n element = popperOffsets;\n break;\n case '%':\n case '%r':\n default:\n element = referenceOffsets;\n }\n\n var rect = getClientRect(element);\n return rect[measurement] / 100 * value;\n } else if (unit === 'vh' || unit === 'vw') {\n // if is a vh or vw, we calculate the size based on the viewport\n var size = void 0;\n if (unit === 'vh') {\n size = Math.max(document.documentElement.clientHeight, window.innerHeight || 0);\n } else {\n size = Math.max(document.documentElement.clientWidth, window.innerWidth || 0);\n }\n return size / 100 * value;\n } else {\n // if is an explicit pixel unit, we get rid of the unit and keep the value\n // if is an implicit unit, it's px, and we return just the value\n return value;\n }\n}\n\n/**\n * Parse an `offset` string to extrapolate `x` and `y` numeric offsets.\n * @function\n * @memberof {modifiers~offset}\n * @private\n * @argument {String} offset\n * @argument {Object} popperOffsets\n * @argument {Object} referenceOffsets\n * @argument {String} basePlacement\n * @returns {Array} a two cells array with x and y offsets in numbers\n */\nfunction parseOffset(offset, popperOffsets, referenceOffsets, basePlacement) {\n var offsets = [0, 0];\n\n // Use height if placement is left or right and index is 0 otherwise use width\n // in this way the first offset will use an axis and the second one\n // will use the other one\n var useHeight = ['right', 'left'].indexOf(basePlacement) !== -1;\n\n // Split the offset string to obtain a list of values and operands\n // The regex addresses values with the plus or minus sign in front (+10, -20, etc)\n var fragments = offset.split(/(\\+|\\-)/).map(function (frag) {\n return frag.trim();\n });\n\n // Detect if the offset string contains a pair of values or a single one\n // they could be separated by comma or space\n var divider = fragments.indexOf(find(fragments, function (frag) {\n return frag.search(/,|\\s/) !== -1;\n }));\n\n if (fragments[divider] && fragments[divider].indexOf(',') === -1) {\n console.warn('Offsets separated by white space(s) are deprecated, use a comma (,) instead.');\n }\n\n // If divider is found, we divide the list of values and operands to divide\n // them by ofset X and Y.\n var splitRegex = /\\s*,\\s*|\\s+/;\n var ops = divider !== -1 ? [fragments.slice(0, divider).concat([fragments[divider].split(splitRegex)[0]]), [fragments[divider].split(splitRegex)[1]].concat(fragments.slice(divider + 1))] : [fragments];\n\n // Convert the values with units to absolute pixels to allow our computations\n ops = ops.map(function (op, index) {\n // Most of the units rely on the orientation of the popper\n var measurement = (index === 1 ? !useHeight : useHeight) ? 'height' : 'width';\n var mergeWithPrevious = false;\n return op\n // This aggregates any `+` or `-` sign that aren't considered operators\n // e.g.: 10 + +5 => [10, +, +5]\n .reduce(function (a, b) {\n if (a[a.length - 1] === '' && ['+', '-'].indexOf(b) !== -1) {\n a[a.length - 1] = b;\n mergeWithPrevious = true;\n return a;\n } else if (mergeWithPrevious) {\n a[a.length - 1] += b;\n mergeWithPrevious = false;\n return a;\n } else {\n return a.concat(b);\n }\n }, [])\n // Here we convert the string values into number values (in px)\n .map(function (str) {\n return toValue(str, measurement, popperOffsets, referenceOffsets);\n });\n });\n\n // Loop trough the offsets arrays and execute the operations\n ops.forEach(function (op, index) {\n op.forEach(function (frag, index2) {\n if (isNumeric(frag)) {\n offsets[index] += frag * (op[index2 - 1] === '-' ? -1 : 1);\n }\n });\n });\n return offsets;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @argument {Number|String} options.offset=0\n * The offset value as described in the modifier description\n * @returns {Object} The data object, properly modified\n */\nfunction offset(data, _ref) {\n var offset = _ref.offset;\n var placement = data.placement,\n _data$offsets = data.offsets,\n popper = _data$offsets.popper,\n reference = _data$offsets.reference;\n\n var basePlacement = placement.split('-')[0];\n\n var offsets = void 0;\n if (isNumeric(+offset)) {\n offsets = [+offset, 0];\n } else {\n offsets = parseOffset(offset, popper, reference, basePlacement);\n }\n\n if (basePlacement === 'left') {\n popper.top += offsets[0];\n popper.left -= offsets[1];\n } else if (basePlacement === 'right') {\n popper.top += offsets[0];\n popper.left += offsets[1];\n } else if (basePlacement === 'top') {\n popper.left += offsets[0];\n popper.top -= offsets[1];\n } else if (basePlacement === 'bottom') {\n popper.left += offsets[0];\n popper.top += offsets[1];\n }\n\n data.popper = popper;\n return data;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction preventOverflow(data, options) {\n var boundariesElement = options.boundariesElement || getOffsetParent(data.instance.popper);\n\n // If offsetParent is the reference element, we really want to\n // go one step up and use the next offsetParent as reference to\n // avoid to make this modifier completely useless and look like broken\n if (data.instance.reference === boundariesElement) {\n boundariesElement = getOffsetParent(boundariesElement);\n }\n\n // NOTE: DOM access here\n // resets the popper's position so that the document size can be calculated excluding\n // the size of the popper element itself\n var transformProp = getSupportedPropertyName('transform');\n var popperStyles = data.instance.popper.style; // assignment to help minification\n var top = popperStyles.top,\n left = popperStyles.left,\n transform = popperStyles[transformProp];\n\n popperStyles.top = '';\n popperStyles.left = '';\n popperStyles[transformProp] = '';\n\n var boundaries = getBoundaries(data.instance.popper, data.instance.reference, options.padding, boundariesElement, data.positionFixed);\n\n // NOTE: DOM access here\n // restores the original style properties after the offsets have been computed\n popperStyles.top = top;\n popperStyles.left = left;\n popperStyles[transformProp] = transform;\n\n options.boundaries = boundaries;\n\n var order = options.priority;\n var popper = data.offsets.popper;\n\n var check = {\n primary: function primary(placement) {\n var value = popper[placement];\n if (popper[placement] < boundaries[placement] && !options.escapeWithReference) {\n value = Math.max(popper[placement], boundaries[placement]);\n }\n return defineProperty({}, placement, value);\n },\n secondary: function secondary(placement) {\n var mainSide = placement === 'right' ? 'left' : 'top';\n var value = popper[mainSide];\n if (popper[placement] > boundaries[placement] && !options.escapeWithReference) {\n value = Math.min(popper[mainSide], boundaries[placement] - (placement === 'right' ? popper.width : popper.height));\n }\n return defineProperty({}, mainSide, value);\n }\n };\n\n order.forEach(function (placement) {\n var side = ['left', 'top'].indexOf(placement) !== -1 ? 'primary' : 'secondary';\n popper = _extends({}, popper, check[side](placement));\n });\n\n data.offsets.popper = popper;\n\n return data;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction shift(data) {\n var placement = data.placement;\n var basePlacement = placement.split('-')[0];\n var shiftvariation = placement.split('-')[1];\n\n // if shift shiftvariation is specified, run the modifier\n if (shiftvariation) {\n var _data$offsets = data.offsets,\n reference = _data$offsets.reference,\n popper = _data$offsets.popper;\n\n var isVertical = ['bottom', 'top'].indexOf(basePlacement) !== -1;\n var side = isVertical ? 'left' : 'top';\n var measurement = isVertical ? 'width' : 'height';\n\n var shiftOffsets = {\n start: defineProperty({}, side, reference[side]),\n end: defineProperty({}, side, reference[side] + reference[measurement] - popper[measurement])\n };\n\n data.offsets.popper = _extends({}, popper, shiftOffsets[shiftvariation]);\n }\n\n return data;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction hide(data) {\n if (!isModifierRequired(data.instance.modifiers, 'hide', 'preventOverflow')) {\n return data;\n }\n\n var refRect = data.offsets.reference;\n var bound = find(data.instance.modifiers, function (modifier) {\n return modifier.name === 'preventOverflow';\n }).boundaries;\n\n if (refRect.bottom < bound.top || refRect.left > bound.right || refRect.top > bound.bottom || refRect.right < bound.left) {\n // Avoid unnecessary DOM access if visibility hasn't changed\n if (data.hide === true) {\n return data;\n }\n\n data.hide = true;\n data.attributes['x-out-of-boundaries'] = '';\n } else {\n // Avoid unnecessary DOM access if visibility hasn't changed\n if (data.hide === false) {\n return data;\n }\n\n data.hide = false;\n data.attributes['x-out-of-boundaries'] = false;\n }\n\n return data;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction inner(data) {\n var placement = data.placement;\n var basePlacement = placement.split('-')[0];\n var _data$offsets = data.offsets,\n popper = _data$offsets.popper,\n reference = _data$offsets.reference;\n\n var isHoriz = ['left', 'right'].indexOf(basePlacement) !== -1;\n\n var subtractLength = ['top', 'left'].indexOf(basePlacement) === -1;\n\n popper[isHoriz ? 'left' : 'top'] = reference[basePlacement] - (subtractLength ? popper[isHoriz ? 'width' : 'height'] : 0);\n\n data.placement = getOppositePlacement(placement);\n data.offsets.popper = getClientRect(popper);\n\n return data;\n}\n\n/**\n * Modifier function, each modifier can have a function of this type assigned\n * to its `fn` property.<br />\n * These functions will be called on each update, this means that you must\n * make sure they are performant enough to avoid performance bottlenecks.\n *\n * @function ModifierFn\n * @argument {dataObject} data - The data object generated by `update` method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {dataObject} The data object, properly modified\n */\n\n/**\n * Modifiers are plugins used to alter the behavior of your poppers.<br />\n * Popper.js uses a set of 9 modifiers to provide all the basic functionalities\n * needed by the library.\n *\n * Usually you don't want to override the `order`, `fn` and `onLoad` props.\n * All the other properties are configurations that could be tweaked.\n * @namespace modifiers\n */\nvar modifiers = {\n /**\n * Modifier used to shift the popper on the start or end of its reference\n * element.<br />\n * It will read the variation of the `placement` property.<br />\n * It can be one either `-end` or `-start`.\n * @memberof modifiers\n * @inner\n */\n shift: {\n /** @prop {number} order=100 - Index used to define the order of execution */\n order: 100,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: shift\n },\n\n /**\n * The `offset` modifier can shift your popper on both its axis.\n *\n * It accepts the following units:\n * - `px` or unit-less, interpreted as pixels\n * - `%` or `%r`, percentage relative to the length of the reference element\n * - `%p`, percentage relative to the length of the popper element\n * - `vw`, CSS viewport width unit\n * - `vh`, CSS viewport height unit\n *\n * For length is intended the main axis relative to the placement of the popper.<br />\n * This means that if the placement is `top` or `bottom`, the length will be the\n * `width`. In case of `left` or `right`, it will be the `height`.\n *\n * You can provide a single value (as `Number` or `String`), or a pair of values\n * as `String` divided by a comma or one (or more) white spaces.<br />\n * The latter is a deprecated method because it leads to confusion and will be\n * removed in v2.<br />\n * Additionally, it accepts additions and subtractions between different units.\n * Note that multiplications and divisions aren't supported.\n *\n * Valid examples are:\n * ```\n * 10\n * '10%'\n * '10, 10'\n * '10%, 10'\n * '10 + 10%'\n * '10 - 5vh + 3%'\n * '-10px + 5vh, 5px - 6%'\n * ```\n * > **NB**: If you desire to apply offsets to your poppers in a way that may make them overlap\n * > with their reference element, unfortunately, you will have to disable the `flip` modifier.\n * > You can read more on this at this [issue](https://github.com/FezVrasta/popper.js/issues/373).\n *\n * @memberof modifiers\n * @inner\n */\n offset: {\n /** @prop {number} order=200 - Index used to define the order of execution */\n order: 200,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: offset,\n /** @prop {Number|String} offset=0\n * The offset value as described in the modifier description\n */\n offset: 0\n },\n\n /**\n * Modifier used to prevent the popper from being positioned outside the boundary.\n *\n * A scenario exists where the reference itself is not within the boundaries.<br />\n * We can say it has \"escaped the boundaries\" — or just \"escaped\".<br />\n * In this case we need to decide whether the popper should either:\n *\n * - detach from the reference and remain \"trapped\" in the boundaries, or\n * - if it should ignore the boundary and \"escape with its reference\"\n *\n * When `escapeWithReference` is set to`true` and reference is completely\n * outside its boundaries, the popper will overflow (or completely leave)\n * the boundaries in order to remain attached to the edge of the reference.\n *\n * @memberof modifiers\n * @inner\n */\n preventOverflow: {\n /** @prop {number} order=300 - Index used to define the order of execution */\n order: 300,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: preventOverflow,\n /**\n * @prop {Array} [priority=['left','right','top','bottom']]\n * Popper will try to prevent overflow following these priorities by default,\n * then, it could overflow on the left and on top of the `boundariesElement`\n */\n priority: ['left', 'right', 'top', 'bottom'],\n /**\n * @prop {number} padding=5\n * Amount of pixel used to define a minimum distance between the boundaries\n * and the popper. This makes sure the popper always has a little padding\n * between the edges of its container\n */\n padding: 5,\n /**\n * @prop {String|HTMLElement} boundariesElement='scrollParent'\n * Boundaries used by the modifier. Can be `scrollParent`, `window`,\n * `viewport` or any DOM element.\n */\n boundariesElement: 'scrollParent'\n },\n\n /**\n * Modifier used to make sure the reference and its popper stay near each other\n * without leaving any gap between the two. Especially useful when the arrow is\n * enabled and you want to ensure that it points to its reference element.\n * It cares only about the first axis. You can still have poppers with margin\n * between the popper and its reference element.\n * @memberof modifiers\n * @inner\n */\n keepTogether: {\n /** @prop {number} order=400 - Index used to define the order of execution */\n order: 400,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: keepTogether\n },\n\n /**\n * This modifier is used to move the `arrowElement` of the popper to make\n * sure it is positioned between the reference element and its popper element.\n * It will read the outer size of the `arrowElement` node to detect how many\n * pixels of conjunction are needed.\n *\n * It has no effect if no `arrowElement` is provided.\n * @memberof modifiers\n * @inner\n */\n arrow: {\n /** @prop {number} order=500 - Index used to define the order of execution */\n order: 500,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: arrow,\n /** @prop {String|HTMLElement} element='[x-arrow]' - Selector or node used as arrow */\n element: '[x-arrow]'\n },\n\n /**\n * Modifier used to flip the popper's placement when it starts to overlap its\n * reference element.\n *\n * Requires the `preventOverflow` modifier before it in order to work.\n *\n * **NOTE:** this modifier will interrupt the current update cycle and will\n * restart it if it detects the need to flip the placement.\n * @memberof modifiers\n * @inner\n */\n flip: {\n /** @prop {number} order=600 - Index used to define the order of execution */\n order: 600,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: flip,\n /**\n * @prop {String|Array} behavior='flip'\n * The behavior used to change the popper's placement. It can be one of\n * `flip`, `clockwise`, `counterclockwise` or an array with a list of valid\n * placements (with optional variations)\n */\n behavior: 'flip',\n /**\n * @prop {number} padding=5\n * The popper will flip if it hits the edges of the `boundariesElement`\n */\n padding: 5,\n /**\n * @prop {String|HTMLElement} boundariesElement='viewport'\n * The element which will define the boundaries of the popper position.\n * The popper will never be placed outside of the defined boundaries\n * (except if `keepTogether` is enabled)\n */\n boundariesElement: 'viewport',\n /**\n * @prop {Boolean} flipVariations=false\n * The popper will switch placement variation between `-start` and `-end` when\n * the reference element overlaps its boundaries.\n *\n * The original placement should have a set variation.\n */\n flipVariations: false,\n /**\n * @prop {Boolean} flipVariationsByContent=false\n * The popper will switch placement variation between `-start` and `-end` when\n * the popper element overlaps its reference boundaries.\n *\n * The original placement should have a set variation.\n */\n flipVariationsByContent: false\n },\n\n /**\n * Modifier used to make the popper flow toward the inner of the reference element.\n * By default, when this modifier is disabled, the popper will be placed outside\n * the reference element.\n * @memberof modifiers\n * @inner\n */\n inner: {\n /** @prop {number} order=700 - Index used to define the order of execution */\n order: 700,\n /** @prop {Boolean} enabled=false - Whether the modifier is enabled or not */\n enabled: false,\n /** @prop {ModifierFn} */\n fn: inner\n },\n\n /**\n * Modifier used to hide the popper when its reference element is outside of the\n * popper boundaries. It will set a `x-out-of-boundaries` attribute which can\n * be used to hide with a CSS selector the popper when its reference is\n * out of boundaries.\n *\n * Requires the `preventOverflow` modifier before it in order to work.\n * @memberof modifiers\n * @inner\n */\n hide: {\n /** @prop {number} order=800 - Index used to define the order of execution */\n order: 800,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: hide\n },\n\n /**\n * Computes the style that will be applied to the popper element to gets\n * properly positioned.\n *\n * Note that this modifier will not touch the DOM, it just prepares the styles\n * so that `applyStyle` modifier can apply it. This separation is useful\n * in case you need to replace `applyStyle` with a custom implementation.\n *\n * This modifier has `850` as `order` value to maintain backward compatibility\n * with previous versions of Popper.js. Expect the modifiers ordering method\n * to change in future major versions of the library.\n *\n * @memberof modifiers\n * @inner\n */\n computeStyle: {\n /** @prop {number} order=850 - Index used to define the order of execution */\n order: 850,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: computeStyle,\n /**\n * @prop {Boolean} gpuAcceleration=true\n * If true, it uses the CSS 3D transformation to position the popper.\n * Otherwise, it will use the `top` and `left` properties\n */\n gpuAcceleration: true,\n /**\n * @prop {string} [x='bottom']\n * Where to anchor the X axis (`bottom` or `top`). AKA X offset origin.\n * Change this if your popper should grow in a direction different from `bottom`\n */\n x: 'bottom',\n /**\n * @prop {string} [x='left']\n * Where to anchor the Y axis (`left` or `right`). AKA Y offset origin.\n * Change this if your popper should grow in a direction different from `right`\n */\n y: 'right'\n },\n\n /**\n * Applies the computed styles to the popper element.\n *\n * All the DOM manipulations are limited to this modifier. This is useful in case\n * you want to integrate Popper.js inside a framework or view library and you\n * want to delegate all the DOM manipulations to it.\n *\n * Note that if you disable this modifier, you must make sure the popper element\n * has its position set to `absolute` before Popper.js can do its work!\n *\n * Just disable this modifier and define your own to achieve the desired effect.\n *\n * @memberof modifiers\n * @inner\n */\n applyStyle: {\n /** @prop {number} order=900 - Index used to define the order of execution */\n order: 900,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: applyStyle,\n /** @prop {Function} */\n onLoad: applyStyleOnLoad,\n /**\n * @deprecated since version 1.10.0, the property moved to `computeStyle` modifier\n * @prop {Boolean} gpuAcceleration=true\n * If true, it uses the CSS 3D transformation to position the popper.\n * Otherwise, it will use the `top` and `left` properties\n */\n gpuAcceleration: undefined\n }\n};\n\n/**\n * The `dataObject` is an object containing all the information used by Popper.js.\n * This object is passed to modifiers and to the `onCreate` and `onUpdate` callbacks.\n * @name dataObject\n * @property {Object} data.instance The Popper.js instance\n * @property {String} data.placement Placement applied to popper\n * @property {String} data.originalPlacement Placement originally defined on init\n * @property {Boolean} data.flipped True if popper has been flipped by flip modifier\n * @property {Boolean} data.hide True if the reference element is out of boundaries, useful to know when to hide the popper\n * @property {HTMLElement} data.arrowElement Node used as arrow by arrow modifier\n * @property {Object} data.styles Any CSS property defined here will be applied to the popper. It expects the JavaScript nomenclature (eg. `marginBottom`)\n * @property {Object} data.arrowStyles Any CSS property defined here will be applied to the popper arrow. It expects the JavaScript nomenclature (eg. `marginBottom`)\n * @property {Object} data.boundaries Offsets of the popper boundaries\n * @property {Object} data.offsets The measurements of popper, reference and arrow elements\n * @property {Object} data.offsets.popper `top`, `left`, `width`, `height` values\n * @property {Object} data.offsets.reference `top`, `left`, `width`, `height` values\n * @property {Object} data.offsets.arrow] `top` and `left` offsets, only one of them will be different from 0\n */\n\n/**\n * Default options provided to Popper.js constructor.<br />\n * These can be overridden using the `options` argument of Popper.js.<br />\n * To override an option, simply pass an object with the same\n * structure of the `options` object, as the 3rd argument. For example:\n * ```\n * new Popper(ref, pop, {\n * modifiers: {\n * preventOverflow: { enabled: false }\n * }\n * })\n * ```\n * @type {Object}\n * @static\n * @memberof Popper\n */\nvar Defaults = {\n /**\n * Popper's placement.\n * @prop {Popper.placements} placement='bottom'\n */\n placement: 'bottom',\n\n /**\n * Set this to true if you want popper to position it self in 'fixed' mode\n * @prop {Boolean} positionFixed=false\n */\n positionFixed: false,\n\n /**\n * Whether events (resize, scroll) are initially enabled.\n * @prop {Boolean} eventsEnabled=true\n */\n eventsEnabled: true,\n\n /**\n * Set to true if you want to automatically remove the popper when\n * you call the `destroy` method.\n * @prop {Boolean} removeOnDestroy=false\n */\n removeOnDestroy: false,\n\n /**\n * Callback called when the popper is created.<br />\n * By default, it is set to no-op.<br />\n * Access Popper.js instance with `data.instance`.\n * @prop {onCreate}\n */\n onCreate: function onCreate() {},\n\n /**\n * Callback called when the popper is updated. This callback is not called\n * on the initialization/creation of the popper, but only on subsequent\n * updates.<br />\n * By default, it is set to no-op.<br />\n * Access Popper.js instance with `data.instance`.\n * @prop {onUpdate}\n */\n onUpdate: function onUpdate() {},\n\n /**\n * List of modifiers used to modify the offsets before they are applied to the popper.\n * They provide most of the functionalities of Popper.js.\n * @prop {modifiers}\n */\n modifiers: modifiers\n};\n\n/**\n * @callback onCreate\n * @param {dataObject} data\n */\n\n/**\n * @callback onUpdate\n * @param {dataObject} data\n */\n\n// Utils\n// Methods\nvar Popper = function () {\n /**\n * Creates a new Popper.js instance.\n * @class Popper\n * @param {Element|referenceObject} reference - The reference element used to position the popper\n * @param {Element} popper - The HTML / XML element used as the popper\n * @param {Object} options - Your custom options to override the ones defined in [Defaults](#defaults)\n * @return {Object} instance - The generated Popper.js instance\n */\n function Popper(reference, popper) {\n var _this = this;\n\n var options = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n classCallCheck(this, Popper);\n\n this.scheduleUpdate = function () {\n return requestAnimationFrame(_this.update);\n };\n\n // make update() debounced, so that it only runs at most once-per-tick\n this.update = debounce(this.update.bind(this));\n\n // with {} we create a new object with the options inside it\n this.options = _extends({}, Popper.Defaults, options);\n\n // init state\n this.state = {\n isDestroyed: false,\n isCreated: false,\n scrollParents: []\n };\n\n // get reference and popper elements (allow jQuery wrappers)\n this.reference = reference && reference.jquery ? reference[0] : reference;\n this.popper = popper && popper.jquery ? popper[0] : popper;\n\n // Deep merge modifiers options\n this.options.modifiers = {};\n Object.keys(_extends({}, Popper.Defaults.modifiers, options.modifiers)).forEach(function (name) {\n _this.options.modifiers[name] = _extends({}, Popper.Defaults.modifiers[name] || {}, options.modifiers ? options.modifiers[name] : {});\n });\n\n // Refactoring modifiers' list (Object => Array)\n this.modifiers = Object.keys(this.options.modifiers).map(function (name) {\n return _extends({\n name: name\n }, _this.options.modifiers[name]);\n })\n // sort the modifiers by order\n .sort(function (a, b) {\n return a.order - b.order;\n });\n\n // modifiers have the ability to execute arbitrary code when Popper.js get inited\n // such code is executed in the same order of its modifier\n // they could add new properties to their options configuration\n // BE AWARE: don't add options to `options.modifiers.name` but to `modifierOptions`!\n this.modifiers.forEach(function (modifierOptions) {\n if (modifierOptions.enabled && isFunction(modifierOptions.onLoad)) {\n modifierOptions.onLoad(_this.reference, _this.popper, _this.options, modifierOptions, _this.state);\n }\n });\n\n // fire the first update to position the popper in the right place\n this.update();\n\n var eventsEnabled = this.options.eventsEnabled;\n if (eventsEnabled) {\n // setup event listeners, they will take care of update the position in specific situations\n this.enableEventListeners();\n }\n\n this.state.eventsEnabled = eventsEnabled;\n }\n\n // We can't use class properties because they don't get listed in the\n // class prototype and break stuff like Sinon stubs\n\n\n createClass(Popper, [{\n key: 'update',\n value: function update$$1() {\n return update.call(this);\n }\n }, {\n key: 'destroy',\n value: function destroy$$1() {\n return destroy.call(this);\n }\n }, {\n key: 'enableEventListeners',\n value: function enableEventListeners$$1() {\n return enableEventListeners.call(this);\n }\n }, {\n key: 'disableEventListeners',\n value: function disableEventListeners$$1() {\n return disableEventListeners.call(this);\n }\n\n /**\n * Schedules an update. It will run on the next UI update available.\n * @method scheduleUpdate\n * @memberof Popper\n */\n\n\n /**\n * Collection of utilities useful when writing custom modifiers.\n * Starting from version 1.7, this method is available only if you\n * include `popper-utils.js` before `popper.js`.\n *\n * **DEPRECATION**: This way to access PopperUtils is deprecated\n * and will be removed in v2! Use the PopperUtils module directly instead.\n * Due to the high instability of the methods contained in Utils, we can't\n * guarantee them to follow semver. Use them at your own risk!\n * @static\n * @private\n * @type {Object}\n * @deprecated since version 1.8\n * @member Utils\n * @memberof Popper\n */\n\n }]);\n return Popper;\n}();\n\n/**\n * The `referenceObject` is an object that provides an interface compatible with Popper.js\n * and lets you use it as replacement of a real DOM node.<br />\n * You can use this method to position a popper relatively to a set of coordinates\n * in case you don't have a DOM node to use as reference.\n *\n * ```\n * new Popper(referenceObject, popperNode);\n * ```\n *\n * NB: This feature isn't supported in Internet Explorer 10.\n * @name referenceObject\n * @property {Function} data.getBoundingClientRect\n * A function that returns a set of coordinates compatible with the native `getBoundingClientRect` method.\n * @property {number} data.clientWidth\n * An ES6 getter that will return the width of the virtual reference element.\n * @property {number} data.clientHeight\n * An ES6 getter that will return the height of the virtual reference element.\n */\n\n\nPopper.Utils = (typeof window !== 'undefined' ? window : global).PopperUtils;\nPopper.placements = placements;\nPopper.Defaults = Defaults;\n\nexport default Popper;\n//# sourceMappingURL=popper.js.map\n"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/@nextcloud/l10n/dist/index.js","webpack:///./node_modules/v-tooltip/dist/v-tooltip.esm.js","webpack:///./node_modules/node-gettext/lib/gettext.js","webpack:///./node_modules/lodash.get/index.js","webpack:///./node_modules/node-gettext/lib/plurals.js","webpack:///./node_modules/vue-resize/dist/vue-resize.esm.js","webpack:///./node_modules/popper.js/dist/esm/popper.js"],"names":["getLocale","OC","console","warn","Object","defineProperty","exports","value","getCanonicalLocale","replace","getLanguage","translate","app","text","vars","count","options","L10N","translatePlural","textSingular","textPlural","getFirstDay","window","firstDay","getDayNames","dayNames","getDayNamesShort","dayNamesShort","getDayNamesMin","dayNamesMin","getMonthNames","monthNames","getMonthNamesShort","monthNamesShort","_typeof","obj","Symbol","iterator","constructor","prototype","_defineProperties","target","props","i","length","descriptor","enumerable","configurable","writable","key","_defineProperty","ownKeys","object","enumerableOnly","keys","getOwnPropertySymbols","symbols","filter","sym","getOwnPropertyDescriptor","push","apply","_objectSpread2","arguments","source","forEach","getOwnPropertyDescriptors","defineProperties","SVGAnimatedString","convertToArray","split","addClasses","el","classes","classList","newClasses","className","baseVal","newClass","indexOf","SVGElement","setAttribute","join","removeClasses","index","splice","supportsPassive","opts","get","addEventListener","e","_listCacheClear","this","__data__","size","eq_1","other","_assocIndexOf","array","Array","_listCacheDelete","data","pop","call","_listCacheGet","undefined","_listCacheHas","_listCacheSet","ListCache","entries","clear","entry","set","has","_ListCache","_stackClear","_stackDelete","result","_stackGet","_stackHas","commonjsGlobal","globalThis","global","self","createCommonjsModule","fn","module","_freeGlobal","freeSelf","_root","Function","_Symbol","objectProto","hasOwnProperty","nativeObjectToString","toString","symToStringTag","toStringTag","_getRawTag","isOwn","tag","unmasked","nativeObjectToString$1","_objectToString","symToStringTag$1","_baseGetTag","isObject_1","type","uid","isFunction_1","_coreJsData","maskSrcKey","exec","IE_PROTO","_isMasked","func","funcToString","_toSource","reIsHostCtor","funcProto$1","objectProto$2","funcToString$1","hasOwnProperty$1","reIsNative","RegExp","_baseIsNative","test","_getValue","_getNative","_Map","_nativeCreate","_hashClear","_hashDelete","hasOwnProperty$2","_hashGet","hasOwnProperty$3","_hashHas","_hashSet","Hash","_Hash","_mapCacheClear","_isKeyable","_getMapData","map","_mapCacheDelete","_mapCacheGet","_mapCacheHas","_mapCacheSet","MapCache","_MapCache","_stackSet","pairs","LARGE_ARRAY_SIZE","Stack","_Stack","_setCacheAdd","_setCacheHas","SetCache","values","add","_SetCache","_arraySome","predicate","_cacheHas","cache","_equalArrays","bitmask","customizer","equalFunc","stack","isPartial","arrLength","othLength","stacked","seen","arrValue","othValue","compared","othIndex","_Uint8Array","Uint8Array","_mapToArray","_setToArray","symbolProto","symbolValueOf","valueOf","_equalByTag","byteLength","byteOffset","buffer","name","message","convert","_arrayPush","offset","isArray_1","isArray","_baseGetAllKeys","keysFunc","symbolsFunc","_arrayFilter","resIndex","stubArray_1","propertyIsEnumerable","nativeGetSymbols","_getSymbols","symbol","_baseTimes","n","iteratee","isObjectLike_1","_baseIsArguments","objectProto$6","hasOwnProperty$4","propertyIsEnumerable$1","isArguments_1","stubFalse_1","isBuffer_1","freeExports","nodeType","freeModule","Buffer","isBuffer","reIsUint","_isIndex","isLength_1","typedArrayTags","_baseIsTypedArray","_baseUnary","_nodeUtil","freeProcess","process","nodeUtil","types","require","binding","nodeIsTypedArray","isTypedArray","isTypedArray_1","hasOwnProperty$5","_arrayLikeKeys","inherited","isArr","isArg","isBuff","isType","skipIndexes","String","objectProto$8","_isPrototype","Ctor","_overArg","transform","arg","_nativeKeys","hasOwnProperty$6","_baseKeys","isArrayLike_1","keys_1","_getAllKeys","hasOwnProperty$7","_equalObjects","objProps","objLength","skipCtor","objValue","objCtor","othCtor","_DataView","_Promise","_Set","_WeakMap","dataViewCtorString","mapCtorString","promiseCtorString","setCtorString","weakMapCtorString","getTag","ArrayBuffer","resolve","ctorString","_getTag","hasOwnProperty$8","_baseIsEqualDeep","objIsArr","othIsArr","objTag","othTag","objIsObj","othIsObj","isSameTag","objIsWrapped","othIsWrapped","objUnwrapped","othUnwrapped","_baseIsEqual","baseIsEqual","isEqual_1","DEFAULT_OPTIONS","container","delay","html","placement","title","template","trigger","openTooltips","Tooltip","_reference","_options","_this","instance","Constructor","TypeError","_classCallCheck","evt","reference","relatedreference","toElement","relatedTarget","_tooltipNode","contains","callback","evt2","relatedreference2","removeEventListener","_scheduleHide","jquery","show","bind","hide","_isOpen","_init","protoProps","staticProps","_show","_hide","_dispose","_classes","content","_setContent","classesUpdated","directive","defaultClass","setClasses","getOptions","needPopperUpdate","needRestart","isOpen","dispose","popperInstance","update","events","_isDisposed","_enableDocumentTouch","_setEventListeners","$_originalTitle","getAttribute","removeAttribute","tooltipGenerator","document","createElement","innerHTML","trim","tooltipNode","childNodes","id","concat","Math","random","substr","autoHide","_this2","asyncContent","_applyContent","then","_this3","Promise","reject","allowHtml","rootNode","titleNode","querySelector","innerSelector","firstChild","removeChild","appendChild","loadingClass","loadingContent","asyncResult","catch","innerText","clearTimeout","_disposeTimer","assign","updateClasses","_ensureShown","_this4","style","display","enableEventListeners","_create","_findContainer","_append","popperOptions","modifiers","arrow","element","arrowSelector","boundariesElement","preventOverflow","requestAnimationFrame","_this5","_noLongerOpen","disableEventListeners","disposeTime","disposeTimeout","setTimeout","_removeTooltipNode","parentNode","_this6","_events","_ref","event","destroy","removeOnDestroy","_this7","directEvents","oppositeEvents","hideOnTargetClick","usedByTooltip","_scheduleShow","_this8","computedDelay","_scheduleTimer","_this9","ownerDocument","body","_setTooltipNodeEvent","_onDocumentTouch","passive","capture","state","enabled","positions","defaultOptions","defaultPlacement","defaultTargetClass","defaultHtml","defaultTemplate","defaultArrowSelector","defaultInnerSelector","defaultDelay","defaultTrigger","defaultOffset","defaultContainer","defaultBoundariesElement","defaultPopperOptions","defaultLoadingClass","defaultLoadingContent","defaultHideOnTargetClick","popover","defaultBaseClass","defaultWrapperClass","defaultInnerClass","defaultArrowClass","defaultOpenClass","defaultAutoHide","defaultHandleResize","typeofOffset","getPlacement","pos","getContent","createTooltip","tooltip","_tooltip","_vueEl","targetClasses","_tooltipTargetClasses","destroyTooltip","_tooltipOldShow","oldValue","setContent","setOptions","unbind","addListeners","onClick","onTouchStart","removeListeners","onTouchEnd","onTouchCancel","currentTarget","closePopover","$_vclosepopover_touch","closeAllPopover","$_closePopoverModifiers","all","changedTouches","touch","$_vclosepopover_touchPoint","firstTouch","abs","screenY","screenX","vclosepopover","_ref2","getDefault","isIOS","navigator","userAgent","MSStream","openPopovers","Element","script","components","ResizeObserver","open","Boolean","default","disabled","Number","popoverClass","popoverBaseClass","popoverInnerClass","popoverWrapperClass","popoverArrowClass","handleResize","openGroup","openClass","computed","cssClass","popoverId","watch","val","oldVal","popoverNode","$refs","$_findContainer","scheduleUpdate","$_removeEventListeners","$_addEventListeners","$_updatePopper","handler","deep","created","$_isDisposed","$_mounted","$_events","$_preventOpen","mounted","$_init","deactivated","beforeDestroy","methods","_ref2$force","skipDelay","force","$_scheduleShow","$emit","$_beingShowed","_ref3","$_scheduleHide","$_show","$_disposeTimer","$_getOffset","hidden","$_hide","$_scheduleTimer","parseInt","$_setTooltipNodeEvent","event2","_ref4","cb","$_restartPopper","$_handleGlobalClose","$_handleResize","handleGlobalClose","_loop","__vue_script__","__vue_render__","_vm","_h","$createElement","_c","_self","staticClass","class","ref","staticStyle","attrs","tabindex","_t","_v","visibility","on","keyup","$event","_k","keyCode","position","notify","_e","_withStripped","__vue_component__","scopeId","isFunctionalTemplate","moduleIdentifier","shadowMode","createInjector","createInjectorSSR","createInjectorShadow","hook","render","staticRenderFns","_compiled","functional","_scopeId","context","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","_ssrRegister","$root","$options","shadowRoot","originalRender","h","existing","beforeCreate","normalizeComponent","_defineProperty$1","_baseAssignValue","_assignMergeValue","_baseFor","fromRight","iterable","_createBaseFor","_cloneBuffer","allocUnsafe","isDeep","slice","copy","_cloneArrayBuffer","arrayBuffer","_cloneTypedArray","typedArray","_copyArray","objectCreate","create","_baseCreate","proto","_getPrototype","getPrototypeOf","_initCloneObject","isArrayLikeObject_1","funcProto$2","objectProto$c","funcToString$2","hasOwnProperty$9","objectCtorString","isPlainObject_1","_safeGet","hasOwnProperty$a","_assignValue","_copyObject","isNew","newValue","_nativeKeysIn","hasOwnProperty$b","_baseKeysIn","isProto","keysIn_1","toPlainObject_1","_baseMergeDeep","srcIndex","mergeFunc","srcValue","isCommon","isTyped","_baseMerge","baseMerge","identity_1","_apply","thisArg","args","nativeMax","max","_overRest","start","otherArgs","constant_1","_baseSetToString","string","nativeNow","Date","now","_setToString","lastCalled","stamp","remaining","_shortOut","_baseRest","_isIterateeCall","merge_1","assigner","sources","guard","_createAssigner","install","Vue","installed","finalOptions","plugin","component","css","insertAt","head","getElementsByTagName","insertBefore","styleSheet","cssText","createTextNode","styleInject","VTooltip","VClosePopover","VPopover","GlobalVue","use","plurals","Gettext","catalogs","locale","domain","listeners","sourceLocale","debug","eventName","off","listener","emit","eventData","Error","addTranslations","translations","setLocale","setTextDomain","gettext","msgid","dnpgettext","dgettext","ngettext","msgidPlural","dngettext","pgettext","msgctxt","dpgettext","npgettext","translation","defaultTranslation","isNaN","_getTranslation","pluralsFunc","getLanguageCode","msgstr","getComment","comments","toLowerCase","textdomain","setlocale","addTextdomain","error","reIsDeepProp","reIsPlainProp","reLeadingDot","rePropName","reEscapeChar","freeGlobal","root","arrayProto","funcProto","coreJsData","objectToString","Map","getNative","nativeCreate","symbolToString","assocIndexOf","baseGet","path","isSymbol","isKey","stringToPath","toKey","baseIsNative","isObject","isFunction","isHostObject","toSource","getMapData","getValue","memoize","baseToString","match","number","quote","resolver","memoized","Cache","isObjectLike","defaultValue","ach","examples","plural","sample","nplurals","pluralsText","af","ak","am","an","ar","arn","ast","ay","az","be","bg","bn","bo","br","brx","bs","ca","cgg","cs","csb","cy","da","de","doi","dz","en","eo","es","et","eu","fa","ff","fi","fil","fo","fr","fur","fy","ga","gd","gl","gu","gun","ha","he","hi","hne","hr","hu","hy","is","it","ja","jbo","jv","ka","kk","km","kn","ko","ku","kw","ky","lb","ln","lo","lt","lv","mai","mfe","mg","mi","mk","ml","mn","mni","mnk","mr","ms","mt","my","nah","nap","nb","ne","nl","nn","no","nso","oc","or","pa","pap","pl","pms","ps","pt","rm","ro","ru","rw","sah","sat","sco","sd","se","si","sk","sl","so","son","sq","sr","su","sv","sw","ta","te","tg","th","ti","tk","tr","tt","ug","uk","ur","uz","vi","wa","wo","yo","zh","isIE","initCompat","init","ua","msie","substring","rv","edge","getInternetExplorerVersion","compareAndNotify","_w","$el","offsetWidth","offsetHeight","addResizeHandlers","_resizeObject","contentDocument","defaultView","removeResizeHandlers","onload","$nextTick","version","isBrowser","timeoutDuration","longerTimeoutBrowsers","debounce","called","scheduled","functionToCheck","getStyleComputedProperty","property","getComputedStyle","getParentNode","nodeName","host","getScrollParent","_getStyleComputedProp","overflow","overflowX","overflowY","getReferenceNode","referenceNode","isIE11","MSInputMethodContext","documentMode","isIE10","getOffsetParent","documentElement","noOffsetParent","offsetParent","nextElementSibling","getRoot","node","findCommonOffsetParent","element1","element2","order","compareDocumentPosition","Node","DOCUMENT_POSITION_FOLLOWING","end","range","createRange","setStart","setEnd","commonAncestorContainer","firstElementChild","element1root","getScroll","side","upperSide","scrollingElement","includeScroll","rect","subtract","scrollTop","scrollLeft","modifier","top","bottom","left","right","getBordersSize","styles","axis","sideA","sideB","parseFloat","getSize","computedStyle","getWindowSizes","height","width","classCallCheck","createClass","_extends","getClientRect","offsets","getBoundingClientRect","sizes","clientWidth","clientHeight","horizScrollbar","vertScrollbar","getOffsetRectRelativeToArbitraryNode","children","fixedPosition","isHTML","childrenRect","parentRect","scrollParent","borderTopWidth","borderLeftWidth","marginTop","marginLeft","getViewportOffsetRectRelativeToArtbitraryNode","excludeScroll","relativeOffset","innerWidth","innerHeight","isFixed","getFixedPositionOffsetParent","parentElement","getBoundaries","popper","padding","boundaries","boundariesNode","_getWindowSizes","isPaddingNumber","getArea","computeAutoPlacement","refRect","rects","sortedAreas","area","sort","a","b","filteredAreas","computedPlacement","variation","getReferenceOffsets","commonOffsetParent","getOuterSizes","x","marginBottom","y","marginRight","getOppositePlacement","hash","matched","getPopperOffsets","referenceOffsets","popperRect","popperOffsets","isHoriz","mainSide","secondarySide","measurement","secondaryMeasurement","find","arr","check","runModifiers","ends","prop","findIndex","cur","isDestroyed","arrowStyles","attributes","flipped","positionFixed","flip","originalPlacement","isCreated","onUpdate","onCreate","isModifierEnabled","modifierName","some","getSupportedPropertyName","prefixes","upperProp","charAt","toUpperCase","prefix","toCheck","willChange","getWindow","setupEventListeners","updateBound","scrollElement","attachToScrollParents","scrollParents","isBody","eventsEnabled","cancelAnimationFrame","isNumeric","isFinite","setStyles","unit","isFirefox","isModifierRequired","requestingName","requestedName","requesting","isRequired","_requesting","requested","placements","validPlacements","clockwise","counter","reverse","BEHAVIORS","parseOffset","basePlacement","useHeight","fragments","frag","divider","search","splitRegex","ops","op","mergeWithPrevious","reduce","str","toValue","index2","Defaults","shift","shiftvariation","_data$offsets","isVertical","shiftOffsets","transformProp","popperStyles","priority","primary","escapeWithReference","secondary","min","keepTogether","floor","opSide","_data$offsets$arrow","arrowElement","len","sideCapitalized","altSide","arrowElementSize","center","popperMarginSide","popperBorderSide","sideValue","round","placementOpposite","flipOrder","behavior","step","refOffsets","overlapsRef","overflowsLeft","overflowsRight","overflowsTop","overflowsBottom","overflowsBoundaries","flippedVariationByRef","flipVariations","flippedVariationByContent","flipVariationsByContent","flippedVariation","getOppositeVariation","inner","subtractLength","bound","computeStyle","legacyGpuAccelerationOption","gpuAcceleration","offsetParentRect","shouldRound","noRound","v","referenceWidth","popperWidth","isVariation","horizontalToInteger","verticalToInteger","getRoundedOffsets","devicePixelRatio","prefixedProperty","invertTop","invertLeft","applyStyle","onLoad","modifierOptions","Popper","Utils","PopperUtils"],"mappings":"oGA0BA,SAASA,IACP,MAAkB,oBAAPC,IACTC,QAAQC,KAAK,eACN,MAGFF,GAAGD,YA9BZ,EAAQ,IAER,EAAQ,KAERI,OAAOC,eAAeC,EAAS,aAAc,CAC3CC,OAAO,IAETD,EAAQN,UAAYA,EACpBM,EAAQE,mBAyBR,WACE,OAAOR,IAAYS,QAAQ,KAAM,MAzBnCH,EAAQI,YAgCR,WACE,GAAkB,oBAAPT,GAET,OADAC,QAAQC,KAAK,eACN,KAGT,OAAOF,GAAGS,eArCZJ,EAAQK,UAkDR,SAAmBC,EAAKC,EAAMC,EAAMC,EAAOC,GACzC,GAAkB,oBAAPf,GAET,OADAC,QAAQC,KAAK,eACNU,EAGT,OAAOZ,GAAGgB,KAAKN,UAAUC,EAAKC,EAAMC,EAAMC,EAAOC,IAvDnDV,EAAQY,gBAsER,SAAyBN,EAAKO,EAAcC,EAAYL,EAAOD,EAAME,GACnE,GAAkB,oBAAPf,GAET,OADAC,QAAQC,KAAK,eACNgB,EAGT,OAAOlB,GAAGgB,KAAKC,gBAAgBN,EAAKO,EAAcC,EAAYL,EAAOD,EAAME,IA3E7EV,EAAQe,YAoFR,WACE,QAA+B,IAApBC,OAAOC,SAEhB,OADArB,QAAQC,KAAK,qBACN,EAGT,OAAOmB,OAAOC,UAzFhBjB,EAAQkB,YAkGR,WACE,QAA+B,IAApBF,OAAOG,SAEhB,OADAvB,QAAQC,KAAK,qBACN,CAAC,SAAU,SAAU,UAAW,YAAa,WAAY,SAAU,YAG5E,OAAOmB,OAAOG,UAvGhBnB,EAAQoB,iBAgHR,WACE,QAAoC,IAAzBJ,OAAOK,cAEhB,OADAzB,QAAQC,KAAK,0BACN,CAAC,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,QAG1D,OAAOmB,OAAOK,eArHhBrB,EAAQsB,eA8HR,WACE,QAAkC,IAAvBN,OAAOO,YAEhB,OADA3B,QAAQC,KAAK,wBACN,CAAC,KAAM,KAAM,KAAM,KAAM,KAAM,KAAM,MAG9C,OAAOmB,OAAOO,aAnIhBvB,EAAQwB,cA4IR,WACE,QAAiC,IAAtBR,OAAOS,WAEhB,OADA7B,QAAQC,KAAK,uBACN,CAAC,UAAW,WAAY,QAAS,QAAS,MAAO,OAAQ,OAAQ,SAAU,YAAa,UAAW,WAAY,YAGxH,OAAOmB,OAAOS,YAjJhBzB,EAAQ0B,mBA0JR,WACE,QAAsC,IAA3BV,OAAOW,gBAEhB,OADA/B,QAAQC,KAAK,4BACN,CAAC,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,OAAQ,QAGlG,OAAOmB,OAAOW,kB,iCCnLhB,oTAGA,SAASC,EAAQC,GAWf,OATED,EADoB,mBAAXE,QAAoD,iBAApBA,OAAOC,SACtC,SAAUF,GAClB,cAAcA,GAGN,SAAUA,GAClB,OAAOA,GAAyB,mBAAXC,QAAyBD,EAAIG,cAAgBF,QAAUD,IAAQC,OAAOG,UAAY,gBAAkBJ,IAI9GA,GASjB,SAASK,EAAkBC,EAAQC,GACjC,IAAK,IAAIC,EAAI,EAAGA,EAAID,EAAME,OAAQD,IAAK,CACrC,IAAIE,EAAaH,EAAMC,GACvBE,EAAWC,WAAaD,EAAWC,aAAc,EACjDD,EAAWE,cAAe,EACtB,UAAWF,IAAYA,EAAWG,UAAW,GACjD5C,OAAOC,eAAeoC,EAAQI,EAAWI,IAAKJ,IAUlD,SAASK,EAAgBf,EAAKc,EAAK1C,GAYjC,OAXI0C,KAAOd,EACT/B,OAAOC,eAAe8B,EAAKc,EAAK,CAC9B1C,MAAOA,EACPuC,YAAY,EACZC,cAAc,EACdC,UAAU,IAGZb,EAAIc,GAAO1C,EAGN4B,EAGT,SAASgB,EAAQC,EAAQC,GACvB,IAAIC,EAAOlD,OAAOkD,KAAKF,GAEvB,GAAIhD,OAAOmD,sBAAuB,CAChC,IAAIC,EAAUpD,OAAOmD,sBAAsBH,GACvCC,IAAgBG,EAAUA,EAAQC,QAAO,SAAUC,GACrD,OAAOtD,OAAOuD,yBAAyBP,EAAQM,GAAKZ,eAEtDQ,EAAKM,KAAKC,MAAMP,EAAME,GAGxB,OAAOF,EAGT,SAASQ,EAAerB,GACtB,IAAK,IAAIE,EAAI,EAAGA,EAAIoB,UAAUnB,OAAQD,IAAK,CACzC,IAAIqB,EAAyB,MAAhBD,UAAUpB,GAAaoB,UAAUpB,GAAK,GAE/CA,EAAI,EACNQ,EAAQ/C,OAAO4D,IAAS,GAAMC,SAAQ,SAAUhB,GAC9CC,EAAgBT,EAAQQ,EAAKe,EAAOf,OAE7B7C,OAAO8D,0BAChB9D,OAAO+D,iBAAiB1B,EAAQrC,OAAO8D,0BAA0BF,IAEjEb,EAAQ/C,OAAO4D,IAASC,SAAQ,SAAUhB,GACxC7C,OAAOC,eAAeoC,EAAQQ,EAAK7C,OAAOuD,yBAAyBK,EAAQf,OAKjF,OAAOR,EAGT,IAAI2B,EAAoB,aAMxB,SAASC,EAAe9D,GAKtB,MAJqB,iBAAVA,IACTA,EAAQA,EAAM+D,MAAM,MAGf/D,EAUT,SAASgE,EAAWC,EAAIC,GACtB,IACIC,EADAC,EAAaN,EAAeI,GAI9BC,EADEF,EAAGI,qBAAqBR,EACdC,EAAeG,EAAGI,UAAUC,SAE5BR,EAAeG,EAAGI,WAGhCD,EAAWV,SAAQ,SAAUa,IACU,IAAjCJ,EAAUK,QAAQD,IACpBJ,EAAUd,KAAKkB,MAIfN,aAAcQ,WAChBR,EAAGS,aAAa,QAASP,EAAUQ,KAAK,MAExCV,EAAGI,UAAYF,EAAUQ,KAAK,KAWlC,SAASC,EAAcX,EAAIC,GACzB,IACIC,EADAC,EAAaN,EAAeI,GAI9BC,EADEF,EAAGI,qBAAqBR,EACdC,EAAeG,EAAGI,UAAUC,SAE5BR,EAAeG,EAAGI,WAGhCD,EAAWV,SAAQ,SAAUa,GAC3B,IAAIM,EAAQV,EAAUK,QAAQD,IAEf,IAAXM,GACFV,EAAUW,OAAOD,EAAO,MAIxBZ,aAAcQ,WAChBR,EAAGS,aAAa,QAASP,EAAUQ,KAAK,MAExCV,EAAGI,UAAYF,EAAUQ,KAAK,KAtEZ,oBAAX5D,SACT8C,EAAoB9C,OAAO8C,mBAwE7B,IAAIkB,GAAkB,EAEtB,GAAsB,oBAAXhE,OAAwB,CACjCgE,GAAkB,EAElB,IACE,IAAIC,EAAOnF,OAAOC,eAAe,GAAI,UAAW,CAC9CmF,IAAK,WACHF,GAAkB,KAGtBhE,OAAOmE,iBAAiB,OAAQ,KAAMF,GACtC,MAAOG,KAeX,IAAIC,EALJ,WACEC,KAAKC,SAAW,GAChBD,KAAKE,KAAO,GAyCd,IAAIC,EAJJ,SAAYxF,EAAOyF,GACjB,OAAOzF,IAAUyF,GAAUzF,GAAUA,GAASyF,GAAUA,GAuB1D,IAAIC,EAVJ,SAAsBC,EAAOjD,GAE3B,IADA,IAAIL,EAASsD,EAAMtD,OACZA,KACL,GAAImD,EAAKG,EAAMtD,GAAQ,GAAIK,GACzB,OAAOL,EAGX,OAAQ,GASNyC,EAHac,MAAM5D,UAGC8C,OA4BxB,IAAIe,EAjBJ,SAAyBnD,GACvB,IAAIoD,EAAOT,KAAKC,SACZT,EAAQa,EAAcI,EAAMpD,GAEhC,QAAImC,EAAQ,KAIRA,GADYiB,EAAKzD,OAAS,EAE5ByD,EAAKC,MAELjB,EAAOkB,KAAKF,EAAMjB,EAAO,KAEzBQ,KAAKE,MACA,IAqBT,IAAIU,EAPJ,SAAsBvD,GACpB,IAAIoD,EAAOT,KAAKC,SACZT,EAAQa,EAAcI,EAAMpD,GAEhC,OAAOmC,EAAQ,OAAIqB,EAAYJ,EAAKjB,GAAO,IAkB7C,IAAIsB,EAJJ,SAAsBzD,GACpB,OAAOgD,EAAcL,KAAKC,SAAU5C,IAAQ,GA4B9C,IAAI0D,EAbJ,SAAsB1D,EAAK1C,GACzB,IAAI8F,EAAOT,KAAKC,SACZT,EAAQa,EAAcI,EAAMpD,GAQhC,OANImC,EAAQ,KACRQ,KAAKE,KACPO,EAAKzC,KAAK,CAACX,EAAK1C,KAEhB8F,EAAKjB,GAAO,GAAK7E,EAEZqF,MAYT,SAASgB,EAAUC,GACjB,IAAIzB,GAAS,EACTxC,EAAoB,MAAXiE,EAAkB,EAAIA,EAAQjE,OAG3C,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KAK7BH,EAAUrE,UAAUuE,MAAQnB,EAC5BiB,EAAUrE,UAAkB,OAAI6D,EAChCQ,EAAUrE,UAAUiD,IAAMgB,EAC1BI,EAAUrE,UAAU0E,IAAMP,EAC1BE,EAAUrE,UAAUyE,IAAML,EAE1B,IAAIO,EAAaN,EAcjB,IAAIO,EALJ,WACEvB,KAAKC,SAAW,IAAIqB,EACpBtB,KAAKE,KAAO,GAsBd,IAAIsB,EARJ,SAAqBnE,GACnB,IAAIoD,EAAOT,KAAKC,SACZwB,EAAShB,EAAa,OAAEpD,GAG5B,OADA2C,KAAKE,KAAOO,EAAKP,KACVuB,GAkBT,IAAIC,EAJJ,SAAkBrE,GAChB,OAAO2C,KAAKC,SAASL,IAAIvC,IAkB3B,IAAIsE,EAJJ,SAAkBtE,GAChB,OAAO2C,KAAKC,SAASoB,IAAIhE,IAKvBuE,EAAuC,oBAAfC,WAA6BA,WAA+B,oBAAXnG,OAAyBA,YAA2B,IAAXoG,EAAyBA,EAAyB,oBAATC,KAAuBA,KAAO,GAE7L,SAASC,EAAqBC,EAAIC,GACjC,OAAiCD,EAA1BC,EAAS,CAAExH,QAAS,IAAiBwH,EAAOxH,SAAUwH,EAAOxH,QAIrE,IAEIyH,EAFsC,iBAAlBP,GAA8BA,GAAkBA,EAAepH,SAAWA,QAAUoH,EAKxGQ,EAA0B,iBAARL,MAAoBA,MAAQA,KAAKvH,SAAWA,QAAUuH,KAKxEM,EAFOF,GAAeC,GAAYE,SAAS,cAATA,GAOlCC,EAFWF,EAAM7F,OAKjBgG,EAAchI,OAAOmC,UAGrB8F,EAAiBD,EAAYC,eAO7BC,EAAuBF,EAAYG,SAGnCC,EAAiBL,EAAUA,EAAQM,iBAAchC,EA6BrD,IAAIiC,EApBJ,SAAmBnI,GACjB,IAAIoI,EAAQN,EAAe9B,KAAKhG,EAAOiI,GACnCI,EAAMrI,EAAMiI,GAEhB,IACEjI,EAAMiI,QAAkB/B,EACxB,IAAIoC,GAAW,EACf,MAAOnD,IAET,IAAI2B,EAASiB,EAAqB/B,KAAKhG,GAQvC,OAPIsI,IACEF,EACFpI,EAAMiI,GAAkBI,SAEjBrI,EAAMiI,IAGVnB,GAaLyB,EAPgB1I,OAAOmC,UAOgBgG,SAa3C,IAAIQ,EAJJ,SAAwBxI,GACtB,OAAOuI,EAAuBvC,KAAKhG,IAUjCyI,EAAmBb,EAAUA,EAAQM,iBAAchC,EAkBvD,IAAIwC,EATJ,SAAoB1I,GAClB,OAAa,MAATA,OACekG,IAAVlG,EAdQ,qBADL,gBAiBJyI,GAAoBA,KAAoB5I,OAAOG,GACnDmI,EAAWnI,GACXwI,EAAgBxI,IAmCtB,IAAI2I,EALJ,SAAkB3I,GAChB,IAAI4I,SAAc5I,EAClB,OAAgB,MAATA,IAA0B,UAAR4I,GAA4B,YAARA,IAsC/C,IASMC,EATFC,EAVJ,SAAoB9I,GAClB,IAAK2I,EAAW3I,GACd,OAAO,EAIT,IAAIqI,EAAMK,EAAY1I,GACtB,MA5BY,qBA4BLqI,GA3BI,8BA2BcA,GA7BZ,0BA6B6BA,GA1B7B,kBA0BgDA,GAQ3DU,EAFarB,EAAM,sBAKnBsB,GACEH,EAAM,SAASI,KAAKF,GAAeA,EAAYhG,MAAQgG,EAAYhG,KAAKmG,UAAY,KAC1E,iBAAmBL,EAAO,GAc1C,IAAIM,EAJJ,SAAkBC,GAChB,QAASJ,GAAeA,KAAcI,GASpCC,EAHY1B,SAAS3F,UAGIgG,SAqB7B,IAAIsB,EAZJ,SAAkBF,GAChB,GAAY,MAARA,EAAc,CAChB,IACE,OAAOC,EAAarD,KAAKoD,GACzB,MAAOjE,IACT,IACE,OAAQiE,EAAO,GACf,MAAOjE,KAEX,MAAO,IAYLoE,EAAe,8BAGfC,GAAc7B,SAAS3F,UACvByH,GAAgB5J,OAAOmC,UAGvB0H,GAAiBF,GAAYxB,SAG7B2B,GAAmBF,GAAc3B,eAGjC8B,GAAaC,OAAO,IACtBH,GAAe1D,KAAK2D,IAAkBzJ,QAjBrB,sBAiB2C,QAC3DA,QAAQ,yDAA0D,SAAW,KAmBhF,IAAI4J,GARJ,SAAsB9J,GACpB,SAAK2I,EAAW3I,IAAUmJ,EAAUnJ,MAGtB8I,EAAa9I,GAAS4J,GAAaL,GAClCQ,KAAKT,EAAUtJ,KAiBhC,IAAIgK,GAJJ,SAAkBnH,EAAQH,GACxB,OAAiB,MAAVG,OAAiBqD,EAAYrD,EAAOH,IAkB7C,IAAIuH,GALJ,SAAmBpH,EAAQH,GACzB,IAAI1C,EAAQgK,GAAUnH,EAAQH,GAC9B,OAAOoH,GAAc9J,GAASA,OAAQkG,GAQpCgE,GAFMD,GAAWvC,EAAO,OAOxByC,GAFeF,GAAWpK,OAAQ,UAgBtC,IAAIuK,GALJ,WACE/E,KAAKC,SAAW6E,GAAgBA,GAAc,MAAQ,GACtD9E,KAAKE,KAAO,GAqBd,IAAI8E,GANJ,SAAoB3H,GAClB,IAAIoE,EAASzB,KAAKqB,IAAIhE,WAAe2C,KAAKC,SAAS5C,GAEnD,OADA2C,KAAKE,MAAQuB,EAAS,EAAI,EACnBA,GAYLwD,GAHgBzK,OAAOmC,UAGU8F,eAoBrC,IAAIyC,GATJ,SAAiB7H,GACf,IAAIoD,EAAOT,KAAKC,SAChB,GAAI6E,GAAe,CACjB,IAAIrD,EAAShB,EAAKpD,GAClB,MArBiB,8BAqBVoE,OAA4BZ,EAAYY,EAEjD,OAAOwD,GAAiBtE,KAAKF,EAAMpD,GAAOoD,EAAKpD,QAAOwD,GASpDsE,GAHgB3K,OAAOmC,UAGU8F,eAgBrC,IAAI2C,GALJ,SAAiB/H,GACf,IAAIoD,EAAOT,KAAKC,SAChB,OAAO6E,QAA+BjE,IAAdJ,EAAKpD,GAAsB8H,GAAiBxE,KAAKF,EAAMpD,IAyBjF,IAAIgI,GAPJ,SAAiBhI,EAAK1C,GACpB,IAAI8F,EAAOT,KAAKC,SAGhB,OAFAD,KAAKE,MAAQF,KAAKqB,IAAIhE,GAAO,EAAI,EACjCoD,EAAKpD,GAAQyH,SAA2BjE,IAAVlG,EAfT,4BAemDA,EACjEqF,MAYT,SAASsF,GAAKrE,GACZ,IAAIzB,GAAS,EACTxC,EAAoB,MAAXiE,EAAkB,EAAIA,EAAQjE,OAG3C,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KAK7BmE,GAAK3I,UAAUuE,MAAQ6D,GACvBO,GAAK3I,UAAkB,OAAIqI,GAC3BM,GAAK3I,UAAUiD,IAAMsF,GACrBI,GAAK3I,UAAU0E,IAAM+D,GACrBE,GAAK3I,UAAUyE,IAAMiE,GAErB,IAAIE,GAAQD,GAkBZ,IAAIE,GATJ,WACExF,KAAKE,KAAO,EACZF,KAAKC,SAAW,CACd,KAAQ,IAAIsF,GACZ,IAAO,IAAKV,IAAQvD,GACpB,OAAU,IAAIiE,KAoBlB,IAAIE,GAPJ,SAAmB9K,GACjB,IAAI4I,SAAc5I,EAClB,MAAgB,UAAR4I,GAA4B,UAARA,GAA4B,UAARA,GAA4B,WAARA,EACrD,cAAV5I,EACU,OAAVA,GAoBP,IAAI+K,GAPJ,SAAoBC,EAAKtI,GACvB,IAAIoD,EAAOkF,EAAI1F,SACf,OAAOwF,GAAWpI,GACdoD,EAAmB,iBAAPpD,EAAkB,SAAW,QACzCoD,EAAKkF,KAoBX,IAAIC,GANJ,SAAwBvI,GACtB,IAAIoE,EAASiE,GAAY1F,KAAM3C,GAAa,OAAEA,GAE9C,OADA2C,KAAKE,MAAQuB,EAAS,EAAI,EACnBA,GAkBT,IAAIoE,GAJJ,SAAqBxI,GACnB,OAAOqI,GAAY1F,KAAM3C,GAAKuC,IAAIvC,IAkBpC,IAAIyI,GAJJ,SAAqBzI,GACnB,OAAOqI,GAAY1F,KAAM3C,GAAKgE,IAAIhE,IAwBpC,IAAI0I,GATJ,SAAqB1I,EAAK1C,GACxB,IAAI8F,EAAOiF,GAAY1F,KAAM3C,GACzB6C,EAAOO,EAAKP,KAIhB,OAFAO,EAAKW,IAAI/D,EAAK1C,GACdqF,KAAKE,MAAQO,EAAKP,MAAQA,EAAO,EAAI,EAC9BF,MAYT,SAASgG,GAAS/E,GAChB,IAAIzB,GAAS,EACTxC,EAAoB,MAAXiE,EAAkB,EAAIA,EAAQjE,OAG3C,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KAK7B6E,GAASrJ,UAAUuE,MAAQsE,GAC3BQ,GAASrJ,UAAkB,OAAIiJ,GAC/BI,GAASrJ,UAAUiD,IAAMiG,GACzBG,GAASrJ,UAAU0E,IAAMyE,GACzBE,GAASrJ,UAAUyE,IAAM2E,GAEzB,IAAIE,GAAYD,GA+BhB,IAAIE,GAhBJ,SAAkB7I,EAAK1C,GACrB,IAAI8F,EAAOT,KAAKC,SAChB,GAAIQ,aAAgBa,EAAY,CAC9B,IAAI6E,EAAQ1F,EAAKR,SACjB,IAAK4E,IAASsB,EAAMnJ,OAASoJ,IAG3B,OAFAD,EAAMnI,KAAK,CAACX,EAAK1C,IACjBqF,KAAKE,OAASO,EAAKP,KACZF,KAETS,EAAOT,KAAKC,SAAW,IAAIgG,GAAUE,GAIvC,OAFA1F,EAAKW,IAAI/D,EAAK1C,GACdqF,KAAKE,KAAOO,EAAKP,KACVF,MAYT,SAASqG,GAAMpF,GACb,IAAIR,EAAOT,KAAKC,SAAW,IAAIqB,EAAWL,GAC1CjB,KAAKE,KAAOO,EAAKP,KAInBmG,GAAM1J,UAAUuE,MAAQK,EACxB8E,GAAM1J,UAAkB,OAAI6E,EAC5B6E,GAAM1J,UAAUiD,IAAM8B,EACtB2E,GAAM1J,UAAU0E,IAAMM,EACtB0E,GAAM1J,UAAUyE,IAAM8E,GAEtB,IAAII,GAASD,GAoBb,IAAIE,GALJ,SAAqB5L,GAEnB,OADAqF,KAAKC,SAASmB,IAAIzG,EAbG,6BAcdqF,MAkBT,IAAIwG,GAJJ,SAAqB7L,GACnB,OAAOqF,KAAKC,SAASoB,IAAI1G,IAa3B,SAAS8L,GAASC,GAChB,IAAIlH,GAAS,EACTxC,EAAmB,MAAV0J,EAAiB,EAAIA,EAAO1J,OAGzC,IADAgD,KAAKC,SAAW,IAAIgG,KACXzG,EAAQxC,GACfgD,KAAK2G,IAAID,EAAOlH,IAKpBiH,GAAS9J,UAAUgK,IAAMF,GAAS9J,UAAUqB,KAAOuI,GACnDE,GAAS9J,UAAU0E,IAAMmF,GAEzB,IAAII,GAAYH,GAwBhB,IAAII,GAZJ,SAAmBvG,EAAOwG,GAIxB,IAHA,IAAItH,GAAS,EACTxC,EAAkB,MAATsD,EAAgB,EAAIA,EAAMtD,SAE9BwC,EAAQxC,GACf,GAAI8J,EAAUxG,EAAMd,GAAQA,EAAOc,GACjC,OAAO,EAGX,OAAO,GAiBT,IAAIyG,GAJJ,SAAkBC,EAAO3J,GACvB,OAAO2J,EAAM3F,IAAIhE,IAmFnB,IAAI4J,GA7DJ,SAAqB3G,EAAOF,EAAO8G,EAASC,EAAYC,EAAWC,GACjE,IAAIC,EAjBqB,EAiBTJ,EACZK,EAAYjH,EAAMtD,OAClBwK,EAAYpH,EAAMpD,OAEtB,GAAIuK,GAAaC,KAAeF,GAAaE,EAAYD,GACvD,OAAO,EAGT,IAAIE,EAAUJ,EAAMzH,IAAIU,GACxB,GAAImH,GAAWJ,EAAMzH,IAAIQ,GACvB,OAAOqH,GAAWrH,EAEpB,IAAIZ,GAAS,EACTiC,GAAS,EACTiG,EA9BuB,EA8BfR,EAAoC,IAAIN,QAAY/F,EAMhE,IAJAwG,EAAMjG,IAAId,EAAOF,GACjBiH,EAAMjG,IAAIhB,EAAOE,KAGRd,EAAQ+H,GAAW,CAC1B,IAAII,EAAWrH,EAAMd,GACjBoI,EAAWxH,EAAMZ,GAErB,GAAI2H,EACF,IAAIU,EAAWP,EACXH,EAAWS,EAAUD,EAAUnI,EAAOY,EAAOE,EAAO+G,GACpDF,EAAWQ,EAAUC,EAAUpI,EAAOc,EAAOF,EAAOiH,GAE1D,QAAiBxG,IAAbgH,EAAwB,CAC1B,GAAIA,EACF,SAEFpG,GAAS,EACT,MAGF,GAAIiG,GACF,IAAKb,GAAWzG,GAAO,SAASwH,EAAUE,GACpC,IAAKf,GAAUW,EAAMI,KAChBH,IAAaC,GAAYR,EAAUO,EAAUC,EAAUV,EAASC,EAAYE,IAC/E,OAAOK,EAAK1J,KAAK8J,MAEjB,CACNrG,GAAS,EACT,YAEG,GACDkG,IAAaC,IACXR,EAAUO,EAAUC,EAAUV,EAASC,EAAYE,GACpD,CACL5F,GAAS,EACT,OAKJ,OAFA4F,EAAc,OAAE/G,GAChB+G,EAAc,OAAEjH,GACTqB,GAQLsG,GAFa1F,EAAM2F,WAqBvB,IAAIC,GAVJ,SAAoBtC,GAClB,IAAInG,GAAS,EACTiC,EAASlB,MAAMoF,EAAIzF,MAKvB,OAHAyF,EAAItH,SAAQ,SAAS1D,EAAO0C,GAC1BoE,IAASjC,GAAS,CAACnC,EAAK1C,MAEnB8G,GAsBT,IAAIyG,GAVJ,SAAoB9G,GAClB,IAAI5B,GAAS,EACTiC,EAASlB,MAAMa,EAAIlB,MAKvB,OAHAkB,EAAI/C,SAAQ,SAAS1D,GACnB8G,IAASjC,GAAS7E,KAEb8G,GAwBL0G,GAAc5F,EAAUA,EAAQ5F,eAAYkE,EAC5CuH,GAAgBD,GAAcA,GAAYE,aAAUxH,EAoFxD,IAAIyH,GAjEJ,SAAoB9K,EAAQ4C,EAAO4C,EAAKkE,EAASC,EAAYC,EAAWC,GACtE,OAAQrE,GACN,IAzBc,oBA0BZ,GAAKxF,EAAO+K,YAAcnI,EAAMmI,YAC3B/K,EAAOgL,YAAcpI,EAAMoI,WAC9B,OAAO,EAEThL,EAASA,EAAOiL,OAChBrI,EAAQA,EAAMqI,OAEhB,IAlCiB,uBAmCf,QAAKjL,EAAO+K,YAAcnI,EAAMmI,aAC3BnB,EAAU,IAAIW,GAAYvK,GAAS,IAAIuK,GAAY3H,KAK1D,IAnDU,mBAoDV,IAnDU,gBAoDV,IAjDY,kBAoDV,OAAOD,GAAM3C,GAAS4C,GAExB,IAxDW,iBAyDT,OAAO5C,EAAOkL,MAAQtI,EAAMsI,MAAQlL,EAAOmL,SAAWvI,EAAMuI,QAE9D,IAxDY,kBAyDZ,IAvDY,kBA2DV,OAAOnL,GAAW4C,EAAQ,GAE5B,IAjES,eAkEP,IAAIwI,EAAUX,GAEhB,IAjES,eAkEP,IAAIX,EA5EmB,EA4EPJ,EAGhB,GAFA0B,IAAYA,EAAUV,IAElB1K,EAAO0C,MAAQE,EAAMF,OAASoH,EAChC,OAAO,EAGT,IAAIG,EAAUJ,EAAMzH,IAAIpC,GACxB,GAAIiK,EACF,OAAOA,GAAWrH,EAEpB8G,GAtFyB,EAyFzBG,EAAMjG,IAAI5D,EAAQ4C,GAClB,IAAIqB,EAASwF,GAAa2B,EAAQpL,GAASoL,EAAQxI,GAAQ8G,EAASC,EAAYC,EAAWC,GAE3F,OADAA,EAAc,OAAE7J,GACTiE,EAET,IAnFY,kBAoFV,GAAI2G,GACF,OAAOA,GAAczH,KAAKnD,IAAW4K,GAAczH,KAAKP,GAG9D,OAAO,GAwBT,IAAIyI,GAXJ,SAAmBvI,EAAOoG,GAKxB,IAJA,IAAIlH,GAAS,EACTxC,EAAS0J,EAAO1J,OAChB8L,EAASxI,EAAMtD,SAEVwC,EAAQxC,GACfsD,EAAMwI,EAAStJ,GAASkH,EAAOlH,GAEjC,OAAOc,GA8BLyI,GAFUxI,MAAMyI,QAoBpB,IAAIC,GALJ,SAAwBzL,EAAQ0L,EAAUC,GACxC,IAAI1H,EAASyH,EAAS1L,GACtB,OAAOuL,GAAUvL,GAAUiE,EAASoH,GAAWpH,EAAQ0H,EAAY3L,KA6BrE,IAAI4L,GAfJ,SAAqB9I,EAAOwG,GAM1B,IALA,IAAItH,GAAS,EACTxC,EAAkB,MAATsD,EAAgB,EAAIA,EAAMtD,OACnCqM,EAAW,EACX5H,EAAS,KAEJjC,EAAQxC,GAAQ,CACvB,IAAIrC,EAAQ2F,EAAMd,GACdsH,EAAUnM,EAAO6E,EAAOc,KAC1BmB,EAAO4H,KAAc1O,GAGzB,OAAO8G,GA2BT,IAAI6H,GAJJ,WACE,MAAO,IASLC,GAHgB/O,OAAOmC,UAGc4M,qBAGrCC,GAAmBhP,OAAOmD,sBAmB1B8L,GAVcD,GAAiC,SAAShM,GAC1D,OAAc,MAAVA,EACK,IAETA,EAAShD,OAAOgD,GACT4L,GAAaI,GAAiBhM,IAAS,SAASkM,GACrD,OAAOH,GAAqB5I,KAAKnD,EAAQkM,QANRJ,GA+BrC,IAAIK,GAVJ,SAAmBC,EAAGC,GAIpB,IAHA,IAAIrK,GAAS,EACTiC,EAASlB,MAAMqJ,KAEVpK,EAAQoK,GACfnI,EAAOjC,GAASqK,EAASrK,GAE3B,OAAOiC,GAiCT,IAAIqI,GAJJ,SAAsBnP,GACpB,OAAgB,MAATA,GAAiC,iBAATA,GAmBjC,IAAIoP,GAJJ,SAAyBpP,GACvB,OAAOmP,GAAenP,IAVV,sBAUoB0I,EAAY1I,IAM1CqP,GAAgBxP,OAAOmC,UAGvBsN,GAAmBD,GAAcvH,eAGjCyH,GAAyBF,GAAcT,qBAyBvCY,GALcJ,GAAiB,WAAa,OAAO5L,UAApB,IAAsC4L,GAAmB,SAASpP,GACnG,OAAOmP,GAAenP,IAAUsP,GAAiBtJ,KAAKhG,EAAO,YAC1DuP,GAAuBvJ,KAAKhG,EAAO,WAsBxC,IAAIyP,GAJJ,WACE,OAAO,GAKLC,GAAarI,GAAqB,SAAUE,EAAQxH,GAExD,IAAI4P,EAAe5P,IAAYA,EAAQ6P,UAAY7P,EAG/C8P,EAAaF,GAAuCpI,IAAWA,EAAOqI,UAAYrI,EAMlFuI,EAHgBD,GAAcA,EAAW9P,UAAY4P,EAG5BjI,EAAMoI,YAAS5J,EAsBxC6J,GAnBiBD,EAASA,EAAOC,cAAW7J,IAmBfuJ,GAEjClI,EAAOxH,QAAUgQ,KAObC,GAAW,mBAoBf,IAAIC,GAVJ,SAAiBjQ,EAAOqC,GACtB,IAAIuG,SAAc5I,EAGlB,SAFAqC,EAAmB,MAAVA,EAfY,iBAewBA,KAGlC,UAARuG,GACU,UAARA,GAAoBoH,GAASjG,KAAK/J,KAChCA,GAAS,GAAKA,EAAQ,GAAK,GAAKA,EAAQqC,GAuCjD,IAAI6N,GALJ,SAAkBlQ,GAChB,MAAuB,iBAATA,GACZA,GAAS,GAAKA,EAAQ,GAAK,GAAKA,GA9BX,kBA+DrBmQ,GAAiB,GACrBA,GAZiB,yBAYYA,GAXZ,yBAYjBA,GAXc,sBAWYA,GAVX,uBAWfA,GAVe,uBAUYA,GATZ,uBAUfA,GATsB,8BASYA,GARlB,wBAShBA,GARgB,yBAQY,EAC5BA,GAjCgB,sBAiCYA,GAhCb,kBAiCfA,GApBuB,wBAoBYA,GAhCnB,oBAiChBA,GApBoB,qBAoBYA,GAhChB,iBAiChBA,GAhCiB,kBAgCYA,GA/Bb,qBAgChBA,GA/Be,gBA+BYA,GA9BT,mBA+BlBA,GA9BgB,mBA8BYA,GA7BV,mBA8BlBA,GA7Be,gBA6BYA,GA5BT,mBA6BlBA,GA5BiB,qBA4BY,EAc7B,IAAIC,GALJ,SAA0BpQ,GACxB,OAAOmP,GAAenP,IACpBkQ,GAAWlQ,EAAMqC,WAAa8N,GAAezH,EAAY1I,KAkB7D,IAAIqQ,GANJ,SAAmBjH,GACjB,OAAO,SAASpJ,GACd,OAAOoJ,EAAKpJ,KAMZsQ,GAAYjJ,GAAqB,SAAUE,EAAQxH,GAEvD,IAAI4P,EAAe5P,IAAYA,EAAQ6P,UAAY7P,EAG/C8P,EAAaF,GAAuCpI,IAAWA,EAAOqI,UAAYrI,EAMlFgJ,EAHgBV,GAAcA,EAAW9P,UAAY4P,GAGtBnI,EAAYgJ,QAG3CC,EAAY,WACd,IAEE,IAAIC,EAAQb,GAAcA,EAAWc,SAAWd,EAAWc,QAAQ,QAAQD,MAE3E,OAAIA,GAKGH,GAAeA,EAAYK,SAAWL,EAAYK,QAAQ,QACjE,MAAOzL,KAXI,GAcfoC,EAAOxH,QAAU0Q,KAIbI,GAAmBP,IAAaA,GAAUQ,aAqB1CC,GAFeF,GAAmBR,GAAWQ,IAAoBT,GAQjEY,GAHgBnR,OAAOmC,UAGU8F,eAqCrC,IAAImJ,GA3BJ,SAAuBjR,EAAOkR,GAC5B,IAAIC,EAAQ/C,GAAUpO,GAClBoR,GAASD,GAAS3B,GAAcxP,GAChCqR,GAAUF,IAAUC,GAAS1B,GAAW1P,GACxCsR,GAAUH,IAAUC,IAAUC,GAAUN,GAAe/Q,GACvDuR,EAAcJ,GAASC,GAASC,GAAUC,EAC1CxK,EAASyK,EAAcvC,GAAWhP,EAAMqC,OAAQmP,QAAU,GAC1DnP,EAASyE,EAAOzE,OAEpB,IAAK,IAAIK,KAAO1C,GACTkR,IAAaF,GAAiBhL,KAAKhG,EAAO0C,IACzC6O,IAEQ,UAAP7O,GAEC2O,IAAkB,UAAP3O,GAA0B,UAAPA,IAE9B4O,IAAkB,UAAP5O,GAA0B,cAAPA,GAA8B,cAAPA,IAEtDuN,GAASvN,EAAKL,KAEnByE,EAAOzD,KAAKX,GAGhB,OAAOoE,GAML2K,GAAgB5R,OAAOmC,UAgB3B,IAAI0P,GAPJ,SAAqB1R,GACnB,IAAI2R,EAAO3R,GAASA,EAAM+B,YAG1B,OAAO/B,KAFqB,mBAAR2R,GAAsBA,EAAK3P,WAAcyP,KAqB/D,IAAIG,GANJ,SAAiBxI,EAAMyI,GACrB,OAAO,SAASC,GACd,OAAO1I,EAAKyI,EAAUC,MAStBC,GAFaH,GAAS/R,OAAOkD,KAAMlD,QAQnCmS,GAHgBnS,OAAOmC,UAGU8F,eAsBrC,IAAImK,GAbJ,SAAkBpP,GAChB,IAAK6O,GAAa7O,GAChB,OAAOkP,GAAYlP,GAErB,IAAIiE,EAAS,GACb,IAAK,IAAIpE,KAAO7C,OAAOgD,GACjBmP,GAAiBhM,KAAKnD,EAAQH,IAAe,eAAPA,GACxCoE,EAAOzD,KAAKX,GAGhB,OAAOoE,GAkCT,IAAIoL,GAJJ,SAAqBlS,GACnB,OAAgB,MAATA,GAAiBkQ,GAAWlQ,EAAMqC,UAAYyG,EAAa9I,IAqCpE,IAAImS,GAJJ,SAActP,GACZ,OAAOqP,GAAcrP,GAAUoO,GAAepO,GAAUoP,GAAUpP,IAgBpE,IAAIuP,GAJJ,SAAoBvP,GAClB,OAAOyL,GAAgBzL,EAAQsP,GAAQrD,KAYrCuD,GAHgBxS,OAAOmC,UAGU8F,eA+ErC,IAAIwK,GAhEJ,SAAsBzP,EAAQ4C,EAAO8G,EAASC,EAAYC,EAAWC,GACnE,IAAIC,EAtBuB,EAsBXJ,EACZgG,EAAWH,GAAYvP,GACvB2P,EAAYD,EAASlQ,OAIzB,GAAImQ,GAHWJ,GAAY3M,GACFpD,SAEMsK,EAC7B,OAAO,EAGT,IADA,IAAI9H,EAAQ2N,EACL3N,KAAS,CACd,IAAInC,EAAM6P,EAAS1N,GACnB,KAAM8H,EAAYjK,KAAO+C,EAAQ4M,GAAiBrM,KAAKP,EAAO/C,IAC5D,OAAO,EAIX,IAAIoK,EAAUJ,EAAMzH,IAAIpC,GACxB,GAAIiK,GAAWJ,EAAMzH,IAAIQ,GACvB,OAAOqH,GAAWrH,EAEpB,IAAIqB,GAAS,EACb4F,EAAMjG,IAAI5D,EAAQ4C,GAClBiH,EAAMjG,IAAIhB,EAAO5C,GAGjB,IADA,IAAI4P,EAAW9F,IACN9H,EAAQ2N,GAAW,CAE1B,IAAIE,EAAW7P,EADfH,EAAM6P,EAAS1N,IAEXoI,EAAWxH,EAAM/C,GAErB,GAAI8J,EACF,IAAIU,EAAWP,EACXH,EAAWS,EAAUyF,EAAUhQ,EAAK+C,EAAO5C,EAAQ6J,GACnDF,EAAWkG,EAAUzF,EAAUvK,EAAKG,EAAQ4C,EAAOiH,GAGzD,UAAmBxG,IAAbgH,EACGwF,IAAazF,GAAYR,EAAUiG,EAAUzF,EAAUV,EAASC,EAAYE,GAC7EQ,GACD,CACLpG,GAAS,EACT,MAEF2L,IAAaA,EAAkB,eAAP/P,GAE1B,GAAIoE,IAAW2L,EAAU,CACvB,IAAIE,EAAU9P,EAAOd,YACjB6Q,EAAUnN,EAAM1D,YAGhB4Q,GAAWC,KACV,gBAAiB/P,MAAU,gBAAiB4C,IACzB,mBAAXkN,GAAyBA,aAAmBA,GACjC,mBAAXC,GAAyBA,aAAmBA,IACvD9L,GAAS,GAKb,OAFA4F,EAAc,OAAE7J,GAChB6J,EAAc,OAAEjH,GACTqB,GAQL+L,GAFW5I,GAAWvC,EAAO,YAO7BoL,GAFY7I,GAAWvC,EAAO,WAO9BqL,GAFM9I,GAAWvC,EAAO,OAOxBsL,GAFU/I,GAAWvC,EAAO,WAc5BuL,GAAqB3J,EAAUuJ,IAC/BK,GAAgB5J,EAAUY,IAC1BiJ,GAAoB7J,EAAUwJ,IAC9BM,GAAgB9J,EAAUyJ,IAC1BM,GAAoB/J,EAAU0J,IAS9BM,GAAS5K,GAGRmK,IAnBe,qBAmBFS,GAAO,IAAIT,GAAU,IAAIU,YAAY,MAClDrJ,IA1BU,gBA0BFoJ,GAAO,IAAIpJ,KACnB4I,IAzBY,oBAyBAQ,GAAOR,GAASU,YAC5BT,IAzBU,gBAyBFO,GAAO,IAAIP,KACnBC,IAzBc,oBAyBFM,GAAO,IAAIN,OAC1BM,GAAS,SAAStT,GAChB,IAAI8G,EAAS4B,EAAY1I,GACrB2R,EA/BU,mBA+BH7K,EAAwB9G,EAAM+B,iBAAcmE,EACnDuN,EAAa9B,EAAOrI,EAAUqI,GAAQ,GAE1C,GAAI8B,EACF,OAAQA,GACN,KAAKR,GAAoB,MA/Bb,oBAgCZ,KAAKC,GAAe,MAtCb,eAuCP,KAAKC,GAAmB,MArCf,mBAsCT,KAAKC,GAAe,MArCb,eAsCP,KAAKC,GAAmB,MArCb,mBAwCf,OAAOvM,IAIX,IAAI4M,GAAUJ,GAcVK,GAHgB9T,OAAOmC,UAGU8F,eA6DrC,IAAI8L,GA7CJ,SAAyB/Q,EAAQ4C,EAAO8G,EAASC,EAAYC,EAAWC,GACtE,IAAImH,EAAWzF,GAAUvL,GACrBiR,EAAW1F,GAAU3I,GACrBsO,EAASF,EA1BE,iBA0BsBH,GAAQ7Q,GACzCmR,EAASF,EA3BE,iBA2BsBJ,GAAQjO,GAKzCwO,EA/BY,oBA4BhBF,EA9Bc,sBA8BLA,EA5BO,kBA4B6BA,GAIzCG,EAhCY,oBA6BhBF,EA/Bc,sBA+BLA,EA7BO,kBA6B6BA,GAIzCG,EAAYJ,GAAUC,EAE1B,GAAIG,GAAazE,GAAW7M,GAAS,CACnC,IAAK6M,GAAWjK,GACd,OAAO,EAEToO,GAAW,EACXI,GAAW,EAEb,GAAIE,IAAcF,EAEhB,OADAvH,IAAUA,EAAQ,IAAIf,IACdkI,GAAY9C,GAAelO,GAC/ByJ,GAAazJ,EAAQ4C,EAAO8G,EAASC,EAAYC,EAAWC,GAC5DiB,GAAY9K,EAAQ4C,EAAOsO,EAAQxH,EAASC,EAAYC,EAAWC,GAEzE,KArD2B,EAqDrBH,GAAmC,CACvC,IAAI6H,EAAeH,GAAYN,GAAiB3N,KAAKnD,EAAQ,eACzDwR,EAAeH,GAAYP,GAAiB3N,KAAKP,EAAO,eAE5D,GAAI2O,GAAgBC,EAAc,CAChC,IAAIC,EAAeF,EAAevR,EAAO7C,QAAU6C,EAC/C0R,EAAeF,EAAe5O,EAAMzF,QAAUyF,EAGlD,OADAiH,IAAUA,EAAQ,IAAIf,IACfc,EAAU6H,EAAcC,EAAchI,EAASC,EAAYE,IAGtE,QAAKyH,IAGLzH,IAAUA,EAAQ,IAAIf,IACf2G,GAAczP,EAAQ4C,EAAO8G,EAASC,EAAYC,EAAWC,KA6BtE,IAAI8H,GAVJ,SAASC,EAAYzU,EAAOyF,EAAO8G,EAASC,EAAYE,GACtD,OAAI1M,IAAUyF,IAGD,MAATzF,GAA0B,MAATyF,IAAmB0J,GAAenP,KAAWmP,GAAe1J,GACxEzF,GAAUA,GAASyF,GAAUA,EAE/BmO,GAAiB5T,EAAOyF,EAAO8G,EAASC,EAAYiI,EAAa/H,KAqC1E,IAAIgI,GAJJ,SAAiB1U,EAAOyF,GACtB,OAAO+O,GAAaxU,EAAOyF,IAKzBkP,GAAkB,CACpBC,WAAW,EACXC,MAAO,EACPC,MAAM,EACNC,UAAW,MACXC,MAAO,GACPC,SAAU,+GACVC,QAAS,cACT/G,OAAQ,GAENgH,GAAe,GAEfC,GAEJ,WAkCE,SAASA,EAAQC,EAAYC,GAC3B,IAAIC,EAAQlQ,MA32EhB,SAAyBmQ,EAAUC,GACjC,KAAMD,aAAoBC,GACxB,MAAM,IAAIC,UAAU,qCA22EpBC,CAAgBtQ,KAAM+P,GAEtBzS,EAAgB0C,KAAM,UAAW,IAEjC1C,EAAgB0C,KAAM,wBAAwB,SAAUuQ,EAAKC,EAAWhB,EAAOpU,GAC7E,IAAIqV,EAAmBF,EAAIE,kBAAoBF,EAAIG,WAAaH,EAAII,cAcpE,QAAIT,EAAMU,aAAaC,SAASJ,KAE9BP,EAAMU,aAAa/Q,iBAAiB0Q,EAAIhN,MAd3B,SAASuN,EAASC,GAC/B,IAAIC,EAAoBD,EAAKN,kBAAoBM,EAAKL,WAAaK,EAAKJ,cAExET,EAAMU,aAAaK,oBAAoBV,EAAIhN,KAAMuN,GAG5CN,EAAUK,SAASG,IAEtBd,EAAMgB,cAAcV,EAAWpV,EAAQoU,MAAOpU,EAAS2V,OAQlD,MAOXd,EAAW/R,EAAe,GAAIoR,GAAiB,GAAIW,GACnDD,EAAWmB,SAAWnB,EAAaA,EAAW,IAC9ChQ,KAAKoR,KAAOpR,KAAKoR,KAAKC,KAAKrR,MAC3BA,KAAKsR,KAAOtR,KAAKsR,KAAKD,KAAKrR,MAE3BA,KAAKwQ,UAAYR,EACjBhQ,KAAK5E,QAAU6U,EAEfjQ,KAAKuR,SAAU,EAEfvR,KAAKwR,QAr4ET,IAAsBpB,EAAaqB,EAAYC,EA+8F7C,OA/8FoBtB,EAk5EPL,GAl5EoB0B,EAk5EX,CAAC,CACrBpU,IAAK,OACL1C,MAAO,WACLqF,KAAK2R,MAAM3R,KAAKwQ,UAAWxQ,KAAK5E,WAQjC,CACDiC,IAAK,OACL1C,MAAO,WACLqF,KAAK4R,UAQN,CACDvU,IAAK,UACL1C,MAAO,WACLqF,KAAK6R,aAQN,CACDxU,IAAK,SACL1C,MAAO,WACL,OAAIqF,KAAKuR,QACAvR,KAAKsR,OAELtR,KAAKoR,SAGf,CACD/T,IAAK,aACL1C,MAAO,SAAoBkE,GACzBmB,KAAK8R,SAAWjT,IAEjB,CACDxB,IAAK,aACL1C,MAAO,SAAoBoX,GACzB/R,KAAK5E,QAAQuU,MAAQoC,EAEjB/R,KAAK4Q,cACP5Q,KAAKgS,YAAYD,EAAS/R,KAAK5E,WAGlC,CACDiC,IAAK,aACL1C,MAAO,SAAoBS,GACzB,IAAI6W,GAAiB,EACjBpT,EAAUzD,GAAWA,EAAQyD,SAAWqT,GAAU9W,QAAQ+W,aAEzD9C,GAAUrP,KAAK8R,SAAUjT,KAC5BmB,KAAKoS,WAAWvT,GAChBoT,GAAiB,GAGnB7W,EAAUiX,GAAWjX,GACrB,IAAIkX,GAAmB,EACnBC,GAAc,EAUlB,IAAK,IAAIlV,KARL2C,KAAK5E,QAAQ0N,SAAW1N,EAAQ0N,QAAU9I,KAAK5E,QAAQsU,YAActU,EAAQsU,YAC/E4C,GAAmB,IAGjBtS,KAAK5E,QAAQwU,WAAaxU,EAAQwU,UAAY5P,KAAK5E,QAAQyU,UAAYzU,EAAQyU,SAAW7P,KAAK5E,QAAQmU,YAAcnU,EAAQmU,WAAa0C,KAC5IM,GAAc,GAGAnX,EACd4E,KAAK5E,QAAQiC,GAAOjC,EAAQiC,GAG9B,GAAI2C,KAAK4Q,aACP,GAAI2B,EAAa,CACf,IAAIC,EAASxS,KAAKuR,QAClBvR,KAAKyS,UAELzS,KAAKwR,QAEDgB,GACFxS,KAAKoR,YAEEkB,GACTtS,KAAK0S,eAAeC,WAOzB,CACDtV,IAAK,QACL1C,MAAO,WAEL,IAAIiY,EAAyC,iBAAzB5S,KAAK5E,QAAQyU,QAAuB7P,KAAK5E,QAAQyU,QAAQnR,MAAM,KAAO,GAC1FsB,KAAK6S,aAAc,EACnB7S,KAAK8S,sBAAqD,IAA9BF,EAAOzT,QAAQ,UAC3CyT,EAASA,EAAO/U,QAAO,SAAUgS,GAC/B,OAAyD,IAAlD,CAAC,QAAS,QAAS,SAAS1Q,QAAQ0Q,MAG7C7P,KAAK+S,mBAAmB/S,KAAKwQ,UAAWoC,EAAQ5S,KAAK5E,SAGrD4E,KAAKgT,gBAAkBhT,KAAKwQ,UAAUyC,aAAa,SACnDjT,KAAKwQ,UAAU0C,gBAAgB,SAC/BlT,KAAKwQ,UAAUnR,aAAa,sBAAuBW,KAAKgT,mBAazD,CACD3V,IAAK,UACL1C,MAAO,SAAiB6V,EAAWZ,GAEjC,IAAIuD,EAAmBzX,OAAO0X,SAASC,cAAc,OACrDF,EAAiBG,UAAY1D,EAAS2D,OACtC,IAAIC,EAAcL,EAAiBM,WAAW,GAc9C,OAZAD,EAAYE,GAAK,WAAWC,OAAOC,KAAKC,SAASlR,SAAS,IAAImR,OAAO,EAAG,KAIxEN,EAAYnU,aAAa,cAAe,QAEpCW,KAAK5E,QAAQ2Y,WAAuD,IAA3C/T,KAAK5E,QAAQyU,QAAQ1Q,QAAQ,WACxDqU,EAAY3T,iBAAiB,aAAcG,KAAKsR,MAChDkC,EAAY3T,iBAAiB,QAASG,KAAKsR,OAItCkC,IAER,CACDnW,IAAK,cACL1C,MAAO,SAAqBoX,EAAS3W,GACnC,IAAI4Y,EAAShU,KAEbA,KAAKiU,cAAe,EAEpBjU,KAAKkU,cAAcnC,EAAS3W,GAAS+Y,MAAK,WACxCH,EAAOtB,eAAeC,cAGzB,CACDtV,IAAK,gBACL1C,MAAO,SAAuBgV,EAAOvU,GACnC,IAAIgZ,EAASpU,KAEb,OAAO,IAAIqU,SAAQ,SAAUlG,EAASmG,GACpC,IAAIC,EAAYnZ,EAAQqU,KACpB+E,EAAWJ,EAAOxD,aACtB,GAAK4D,EAAL,CACA,IAAIC,EAAYD,EAASE,cAAcN,EAAOhZ,QAAQuZ,eAEtD,GAAuB,IAAnBhF,EAAMpF,UAER,GAAIgK,EAAW,CACb,KAAOE,EAAUG,YACfH,EAAUI,YAAYJ,EAAUG,YAGlCH,EAAUK,YAAYnF,QAEnB,IAAqB,mBAAVA,EAAsB,CAEtC,IAAIlO,EAASkO,IAkBb,YAhBIlO,GAAiC,mBAAhBA,EAAO0S,MAC1BC,EAAOH,cAAe,EACtB7Y,EAAQ2Z,cAAgBpW,EAAW6V,EAAUpZ,EAAQ2Z,cAEjD3Z,EAAQ4Z,gBACVZ,EAAOF,cAAc9Y,EAAQ4Z,eAAgB5Z,GAG/CqG,EAAO0S,MAAK,SAAUc,GAEpB,OADA7Z,EAAQ2Z,cAAgBxV,EAAciV,EAAUpZ,EAAQ2Z,cACjDX,EAAOF,cAAce,EAAa7Z,MACxC+Y,KAAKhG,GAAS+G,MAAMZ,IAEvBF,EAAOF,cAAczS,EAAQrG,GAAS+Y,KAAKhG,GAAS+G,MAAMZ,IAM5DC,EAAYE,EAAUnB,UAAY3D,EAAQ8E,EAAUU,UAAYxF,EAGlExB,UAGH,CACD9Q,IAAK,QACL1C,MAAO,SAAe6V,EAAWpV,GAC/B,IAAIA,GAAwC,iBAAtBA,EAAQmU,WACZ6D,SAASsB,cAActZ,EAAQmU,WADjD,CAKA6F,aAAapV,KAAKqV,sBAClBja,EAAUZ,OAAO8a,OAAO,GAAIla,IACb0N,OACf,IAAIyM,GAAgB,EAEhBvV,KAAK4Q,eACPjS,EAAWqB,KAAK4Q,aAAc5Q,KAAK8R,UACnCyD,GAAgB,GAGlB,IAAI9T,EAASzB,KAAKwV,aAAahF,EAAWpV,GAO1C,OALIma,GAAiBvV,KAAK4Q,cACxBjS,EAAWqB,KAAK4Q,aAAc5Q,KAAK8R,UAGrCnT,EAAW6R,EAAW,CAAC,mBAChB/O,KAER,CACDpE,IAAK,eACL1C,MAAO,SAAsB6V,EAAWpV,GACtC,IAAIqa,EAASzV,KAGb,GAAIA,KAAKuR,QACP,OAAOvR,KAMT,GAHAA,KAAKuR,SAAU,EACfzB,GAAa9R,KAAKgC,MAEdA,KAAK4Q,aAYP,OAXA5Q,KAAK4Q,aAAa8E,MAAMC,QAAU,GAElC3V,KAAK4Q,aAAavR,aAAa,cAAe,SAE9CW,KAAK0S,eAAekD,uBACpB5V,KAAK0S,eAAeC,SAEhB3S,KAAKiU,cACPjU,KAAKgS,YAAY5W,EAAQuU,MAAOvU,GAG3B4E,KAIT,IAAI2P,EAAQa,EAAUyC,aAAa,UAAY7X,EAAQuU,MAEvD,IAAKA,EACH,OAAO3P,KAIT,IAAIwT,EAAcxT,KAAK6V,QAAQrF,EAAWpV,EAAQwU,UAElD5P,KAAK4Q,aAAe4C,EAEpBhD,EAAUnR,aAAa,mBAAoBmU,EAAYE,IAEvD,IAAInE,EAAYvP,KAAK8V,eAAe1a,EAAQmU,UAAWiB,GAEvDxQ,KAAK+V,QAAQvC,EAAajE,GAE1B,IAAIyG,EAAgB9X,EAAe,GAAI9C,EAAQ4a,cAAe,CAC5DtG,UAAWtU,EAAQsU,YAoCrB,OAjCAsG,EAAcC,UAAY/X,EAAe,GAAI8X,EAAcC,UAAW,CACpEC,MAAO,CACLC,QAASnW,KAAK5E,QAAQgb,iBAItBhb,EAAQib,oBACVL,EAAcC,UAAUK,gBAAkB,CACxCD,kBAAmBjb,EAAQib,oBAI/BrW,KAAK0S,eAAiB,IAAI,IAAOlC,EAAWgD,EAAawC,GAEzDhW,KAAKgS,YAAYrC,EAAOvU,GAGxBmb,uBAAsB,YACfd,EAAO5C,aAAe4C,EAAO/C,gBAChC+C,EAAO/C,eAAeC,SAGtB4D,uBAAsB,WACfd,EAAO5C,YAGV4C,EAAOhD,UAFPgD,EAAOlE,SAAWiC,EAAYnU,aAAa,cAAe,aAM9DoW,EAAOhD,aAGJzS,OAER,CACD3C,IAAK,gBACL1C,MAAO,WACL,IAAI6E,EAAQsQ,GAAa3Q,QAAQa,OAElB,IAAXR,GACFsQ,GAAarQ,OAAOD,EAAO,KAG9B,CACDnC,IAAK,QACL1C,MAAO,WAGL,IAAI6b,EAASxW,KAGb,IAAKA,KAAKuR,QACR,OAAOvR,KAGTA,KAAKuR,SAAU,EAEfvR,KAAKyW,gBAGLzW,KAAK4Q,aAAa8E,MAAMC,QAAU,OAElC3V,KAAK4Q,aAAavR,aAAa,cAAe,QAE9CW,KAAK0S,eAAegE,wBACpBtB,aAAapV,KAAKqV,eAClB,IAAIsB,EAAczE,GAAU9W,QAAQwb,eAgBpC,OAdoB,OAAhBD,IACF3W,KAAKqV,cAAgBwB,YAAW,WAC1BL,EAAO5F,eACT4F,EAAO5F,aAAaK,oBAAoB,aAAcuF,EAAOlF,MAE7DkF,EAAO5F,aAAaK,oBAAoB,QAASuF,EAAOlF,MAGxDkF,EAAOM,wBAERH,IAGLpX,EAAcS,KAAKwQ,UAAW,CAAC,mBACxBxQ,OAER,CACD3C,IAAK,qBACL1C,MAAO,WACL,GAAKqF,KAAK4Q,aAAV,CACA,IAAImG,EAAa/W,KAAK4Q,aAAamG,WAE/BA,IACFA,EAAWlC,YAAY7U,KAAK4Q,cAC5B5Q,KAAKwQ,UAAU0C,gBAAgB,qBAGjClT,KAAK4Q,aAAe,QAErB,CACDvT,IAAK,WACL1C,MAAO,WACL,IAAIqc,EAAShX,KAoCb,OAlCAA,KAAK6S,aAAc,EACnB7S,KAAKwQ,UAAU0C,gBAAgB,uBAE3BlT,KAAKgT,iBACPhT,KAAKwQ,UAAUnR,aAAa,QAASW,KAAKgT,iBAI5ChT,KAAKiX,QAAQ5Y,SAAQ,SAAU6Y,GAC7B,IAAInT,EAAOmT,EAAKnT,KACZoT,EAAQD,EAAKC,MAEjBH,EAAOxG,UAAUS,oBAAoBkG,EAAOpT,MAG9C/D,KAAKiX,QAAU,GAEXjX,KAAK4Q,cACP5Q,KAAK4R,QAEL5R,KAAK4Q,aAAaK,oBAAoB,aAAcjR,KAAKsR,MAEzDtR,KAAK4Q,aAAaK,oBAAoB,QAASjR,KAAKsR,MAGpDtR,KAAK0S,eAAe0E,UAEfpX,KAAK0S,eAAetX,QAAQic,iBAC/BrX,KAAK8W,sBAGP9W,KAAKyW,gBAGAzW,OAER,CACD3C,IAAK,iBACL1C,MAAO,SAAwB4U,EAAWiB,GASxC,MAPyB,iBAAdjB,EACTA,EAAY7T,OAAO0X,SAASsB,cAAcnF,IACnB,IAAdA,IAETA,EAAYiB,EAAUuG,YAGjBxH,IAUR,CACDlS,IAAK,UACL1C,MAAO,SAAiB6Y,EAAajE,GACnCA,EAAUuF,YAAYtB,KAEvB,CACDnW,IAAK,qBACL1C,MAAO,SAA4B6V,EAAWoC,EAAQxX,GACpD,IAAIkc,EAAStX,KAETuX,EAAe,GACfC,EAAiB,GACrB5E,EAAOvU,SAAQ,SAAU8Y,GACvB,OAAQA,GACN,IAAK,QACHI,EAAavZ,KAAK,cAClBwZ,EAAexZ,KAAK,cAChBsZ,EAAOlc,QAAQqc,mBAAmBD,EAAexZ,KAAK,SAC1D,MAEF,IAAK,QACHuZ,EAAavZ,KAAK,SAClBwZ,EAAexZ,KAAK,QAChBsZ,EAAOlc,QAAQqc,mBAAmBD,EAAexZ,KAAK,SAC1D,MAEF,IAAK,QACHuZ,EAAavZ,KAAK,SAClBwZ,EAAexZ,KAAK,aAK1BuZ,EAAalZ,SAAQ,SAAU8Y,GAC7B,IAAIpT,EAAO,SAAcwM,IACA,IAAnB+G,EAAO/F,UAIXhB,EAAImH,eAAgB,EAEpBJ,EAAOK,cAAcnH,EAAWpV,EAAQoU,MAAOpU,EAASmV,KAG1D+G,EAAOL,QAAQjZ,KAAK,CAClBmZ,MAAOA,EACPpT,KAAMA,IAGRyM,EAAU3Q,iBAAiBsX,EAAOpT,MAGpCyT,EAAenZ,SAAQ,SAAU8Y,GAC/B,IAAIpT,EAAO,SAAcwM,IACG,IAAtBA,EAAImH,eAIRJ,EAAOpG,cAAcV,EAAWpV,EAAQoU,MAAOpU,EAASmV,IAG1D+G,EAAOL,QAAQjZ,KAAK,CAClBmZ,MAAOA,EACPpT,KAAMA,IAGRyM,EAAU3Q,iBAAiBsX,EAAOpT,QAGrC,CACD1G,IAAK,mBACL1C,MAAO,SAA0Bwc,GAC3BnX,KAAK8S,sBACP9S,KAAKkR,cAAclR,KAAKwQ,UAAWxQ,KAAK5E,QAAQoU,MAAOxP,KAAK5E,QAAS+b,KAGxE,CACD9Z,IAAK,gBACL1C,MAAO,SAAuB6V,EAAWhB,EAAOpU,GAG9C,IAAIwc,EAAS5X,KAGT6X,EAAgBrI,GAASA,EAAM4B,MAAQ5B,GAAS,EACpD4F,aAAapV,KAAK8X,gBAClB9X,KAAK8X,eAAiBpc,OAAOmb,YAAW,WACtC,OAAOe,EAAOjG,MAAMnB,EAAWpV,KAC9Byc,KAEJ,CACDxa,IAAK,gBACL1C,MAAO,SAAuB6V,EAAWhB,EAAOpU,EAASmV,GACvD,IAAIwH,EAAS/X,KAGT6X,EAAgBrI,GAASA,EAAM8B,MAAQ9B,GAAS,EACpD4F,aAAapV,KAAK8X,gBAClB9X,KAAK8X,eAAiBpc,OAAOmb,YAAW,WACtC,IAAuB,IAAnBkB,EAAOxG,SAINwG,EAAOnH,aAAaoH,cAAcC,KAAKpH,SAASkH,EAAOnH,cAA5D,CAMA,GAAiB,eAAbL,EAAIhN,MACMwU,EAAOG,qBAAqB3H,EAAKC,EAAWhB,EAAOpU,GAK7D,OAIJ2c,EAAOnG,MAAMpB,EAAWpV,MACvByc,QA18FSjb,EAAkBwT,EAAYzT,UAAW8U,GACrDC,GAAa9U,EAAkBwT,EAAasB,GA68FzC3B,EAvpBT,GA0pBwB,oBAAbqD,UACTA,SAASvT,iBAAiB,cAAc,SAAUsX,GAChD,IAAK,IAAIpa,EAAI,EAAGA,EAAI+S,GAAa9S,OAAQD,IACvC+S,GAAa/S,GAAGob,iBAAiBhB,MAElCzX,GAAkB,CACnB0Y,SAAS,EACTC,SAAS,IAmBb,IAAIC,GAAQ,CACVC,SAAS,GAEPC,GAAY,CAAC,MAAO,YAAa,UAAW,QAAS,cAAe,YAAa,SAAU,eAAgB,aAAc,OAAQ,aAAc,YAC/IC,GAAiB,CAEnBC,iBAAkB,MAElBvG,aAAc,oBAEdwG,mBAAoB,cAEpBC,aAAa,EAIbC,gBAAiB,+GAEjBC,qBAAsB,kCAEtBC,qBAAsB,kCAEtBC,aAAc,EAEdC,eAAgB,cAEhBC,cAAe,EAEfC,iBAAkB,OAClBC,8BAA0BvY,EAC1BwY,qBAAsB,GAEtBC,oBAAqB,kBAErBC,sBAAuB,MAEvBxF,UAAU,EAEVyF,0BAA0B,EAE1B5C,eAAgB,IAEhB6C,QAAS,CACPf,iBAAkB,SAElBvG,aAAc,oBAEduH,iBAAkB,kBAElBC,oBAAqB,UAErBC,kBAAmB,8BAEnBC,kBAAmB,8BAEnBC,iBAAkB,OAClBd,aAAc,EACdC,eAAgB,QAChBC,cAAe,EACfC,iBAAkB,OAClBC,8BAA0BvY,EAC1BwY,qBAAsB,GAEtBU,iBAAiB,EAEjBC,qBAAqB,IAGzB,SAAS3H,GAAWjX,GAClB,IAAIqG,EAAS,CACXiO,eAAwC,IAAtBtU,EAAQsU,UAA4BtU,EAAQsU,UAAYwC,GAAU9W,QAAQsd,iBAC5FlJ,WAAgC,IAAlBpU,EAAQoU,MAAwBpU,EAAQoU,MAAQ0C,GAAU9W,QAAQ4d,aAChFvJ,UAA8B,IAAjBrU,EAAQqU,KAAuBrU,EAAQqU,KAAOyC,GAAU9W,QAAQwd,YAC7EhJ,cAAsC,IAArBxU,EAAQwU,SAA2BxU,EAAQwU,SAAWsC,GAAU9W,QAAQyd,gBACzFzC,mBAAgD,IAA1Bhb,EAAQgb,cAAgChb,EAAQgb,cAAgBlE,GAAU9W,QAAQ0d,qBACxGnE,mBAAgD,IAA1BvZ,EAAQuZ,cAAgCvZ,EAAQuZ,cAAgBzC,GAAU9W,QAAQ2d,qBACxGlJ,aAAoC,IAApBzU,EAAQyU,QAA0BzU,EAAQyU,QAAUqC,GAAU9W,QAAQ6d,eACtFnQ,YAAkC,IAAnB1N,EAAQ0N,OAAyB1N,EAAQ0N,OAASoJ,GAAU9W,QAAQ8d,cACnF3J,eAAwC,IAAtBnU,EAAQmU,UAA4BnU,EAAQmU,UAAY2C,GAAU9W,QAAQ+d,iBAC5F9C,uBAAwD,IAA9Bjb,EAAQib,kBAAoCjb,EAAQib,kBAAoBnE,GAAU9W,QAAQge,yBACpHrF,cAAsC,IAArB3Y,EAAQ2Y,SAA2B3Y,EAAQ2Y,SAAW7B,GAAU9W,QAAQ2Y,SACzF0D,uBAAwD,IAA9Brc,EAAQqc,kBAAoCrc,EAAQqc,kBAAoBvF,GAAU9W,QAAQoe,yBACpHzE,kBAA8C,IAAzB3Z,EAAQ2Z,aAA+B3Z,EAAQ2Z,aAAe7C,GAAU9W,QAAQke,oBACrGtE,oBAAkD,IAA3B5Z,EAAQ4Z,eAAiC5Z,EAAQ4Z,eAAiB9C,GAAU9W,QAAQme,sBAC3GvD,cAAe9X,EAAe,QAAqC,IAA1B9C,EAAQ4a,cAAgC5a,EAAQ4a,cAAgB9D,GAAU9W,QAAQie,uBAG7H,GAAI5X,EAAOqH,OAAQ,CACjB,IAAImR,EAAe3d,EAAQmF,EAAOqH,QAE9BA,EAASrH,EAAOqH,QAEC,WAAjBmR,GAA8C,WAAjBA,IAAsD,IAAzBnR,EAAO3J,QAAQ,QAC3E2J,EAAS,MAAM6K,OAAO7K,IAGnBrH,EAAOuU,cAAcC,YACxBxU,EAAOuU,cAAcC,UAAY,IAGnCxU,EAAOuU,cAAcC,UAAUnN,OAAS,CACtCA,OAAQA,GAQZ,OAJIrH,EAAOoO,UAAgD,IAArCpO,EAAOoO,QAAQ1Q,QAAQ,WAC3CsC,EAAOgW,mBAAoB,GAGtBhW,EAET,SAASyY,GAAavf,EAAOsb,GAG3B,IAFA,IAAIvG,EAAY/U,EAAM+U,UAEb3S,EAAI,EAAGA,EAAIyb,GAAUxb,OAAQD,IAAK,CACzC,IAAIod,EAAM3B,GAAUzb,GAEhBkZ,EAAUkE,KACZzK,EAAYyK,GAIhB,OAAOzK,EAET,SAAS0K,GAAWzf,GAClB,IAAI4I,EAAOjH,EAAQ3B,GAEnB,MAAa,WAAT4I,EACK5I,KACEA,GAAkB,WAAT4I,IACX5I,EAAMoX,QAKjB,SAASsI,GAAczb,EAAIjE,GACzB,IAAIsb,EAAY9X,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,GAChF4T,EAAUqI,GAAWzf,GACrBkE,OAAmC,IAAlBlE,EAAMkE,QAA0BlE,EAAMkE,QAAUqT,GAAU9W,QAAQ+W,aAEnFxS,EAAOzB,EAAe,CACxByR,MAAOoC,GACNM,GAAWnU,EAAe,GAAIvD,EAAO,CACtC+U,UAAWwK,GAAavf,EAAOsb,OAG7BqE,EAAU1b,EAAG2b,SAAW,IAAIxK,GAAQnR,EAAIe,GAC5C2a,EAAQlI,WAAWvT,GACnByb,EAAQE,OAAS5b,EAEjB,IAAI6b,OAA+C,IAAxB9f,EAAM8f,cAAgC9f,EAAM8f,cAAgBvI,GAAU9W,QAAQud,mBAGzG,OAFA/Z,EAAG8b,sBAAwBD,EAC3B9b,EAAWC,EAAI6b,GACRH,EAET,SAASK,GAAe/b,GAClBA,EAAG2b,WACL3b,EAAG2b,SAAS9H,iBAEL7T,EAAG2b,gBACH3b,EAAGgc,iBAGRhc,EAAG8b,wBACLnb,EAAcX,EAAIA,EAAG8b,8BACd9b,EAAG8b,uBAGd,SAASrJ,GAAKzS,EAAIsY,GAChB,IAQMoD,EARF3f,EAAQuc,EAAKvc,MAEbsb,GADWiB,EAAK2D,SACJ3D,EAAKjB,WACjBlE,EAAUqI,GAAWzf,GAEpBoX,GAAYuG,GAAMC,SAKjB3Z,EAAG2b,WACLD,EAAU1b,EAAG2b,UAELO,WAAW/I,GAEnBuI,EAAQS,WAAW7c,EAAe,GAAIvD,EAAO,CAC3C+U,UAAWwK,GAAavf,EAAOsb,OAGjCqE,EAAUD,GAAczb,EAAIjE,EAAOsb,QAIX,IAAftb,EAAMyW,MAAwBzW,EAAMyW,OAASxS,EAAGgc,kBACzDhc,EAAGgc,gBAAkBjgB,EAAMyW,KAC3BzW,EAAMyW,KAAOkJ,EAAQlJ,OAASkJ,EAAQhJ,SAnBxCqJ,GAAe/b,GAuBnB,IAAIsT,GAAY,CACd9W,QAASqd,GACTpH,KAAMA,GACNsB,OAAQtB,GACR2J,OAAQ,SAAgBpc,GACtB+b,GAAe/b,KAInB,SAASqc,GAAarc,GACpBA,EAAGiB,iBAAiB,QAASqb,IAC7Btc,EAAGiB,iBAAiB,aAAcsb,KAAczb,GAAkB,CAChE0Y,SAAS,IAIb,SAASgD,GAAgBxc,GACvBA,EAAGqS,oBAAoB,QAASiK,IAChCtc,EAAGqS,oBAAoB,aAAckK,IACrCvc,EAAGqS,oBAAoB,WAAYoK,IACnCzc,EAAGqS,oBAAoB,cAAeqK,IAGxC,SAASJ,GAAQ/D,GACf,IAAIvY,EAAKuY,EAAMoE,cACfpE,EAAMqE,cAAgB5c,EAAG6c,sBACzBtE,EAAMuE,gBAAkB9c,EAAG+c,2BAA6B/c,EAAG+c,wBAAwBC,IAGrF,SAAST,GAAahE,GACpB,GAAoC,IAAhCA,EAAM0E,eAAe7e,OAAc,CACrC,IAAI4B,EAAKuY,EAAMoE,cACf3c,EAAG6c,uBAAwB,EAC3B,IAAIK,EAAQ3E,EAAM0E,eAAe,GACjCjd,EAAGmd,2BAA6BD,EAChCld,EAAGiB,iBAAiB,WAAYwb,IAChCzc,EAAGiB,iBAAiB,cAAeyb,KAIvC,SAASD,GAAWlE,GAClB,IAAIvY,EAAKuY,EAAMoE,cAGf,GAFA3c,EAAG6c,uBAAwB,EAES,IAAhCtE,EAAM0E,eAAe7e,OAAc,CACrC,IAAI8e,EAAQ3E,EAAM0E,eAAe,GAC7BG,EAAapd,EAAGmd,2BACpB5E,EAAMqE,aAAe5H,KAAKqI,IAAIH,EAAMI,QAAUF,EAAWE,SAAW,IAAMtI,KAAKqI,IAAIH,EAAMK,QAAUH,EAAWG,SAAW,GACzHhF,EAAMuE,gBAAkB9c,EAAG+c,2BAA6B/c,EAAG+c,wBAAwBC,KAIvF,SAASN,GAAcnE,GACZA,EAAMoE,cACZE,uBAAwB,EAG7B,IAAIW,GAAgB,CAClB/K,KAAM,SAAczS,EAAIsY,GACtB,IAAIvc,EAAQuc,EAAKvc,MACbsb,EAAYiB,EAAKjB,UACrBrX,EAAG+c,wBAA0B1F,QAER,IAAVtb,GAAyBA,IAClCsgB,GAAarc,IAGjB+T,OAAQ,SAAgB/T,EAAIyd,GAC1B,IAAI1hB,EAAQ0hB,EAAM1hB,MACdkgB,EAAWwB,EAAMxB,SACjB5E,EAAYoG,EAAMpG,UACtBrX,EAAG+c,wBAA0B1F,EAEzBtb,IAAUkgB,SACS,IAAVlgB,GAAyBA,EAClCsgB,GAAarc,GAEbwc,GAAgBxc,KAItBoc,OAAQ,SAAgBpc,GACtBwc,GAAgBxc,KAIpB,SAAS0d,GAAWjf,GAClB,IAAI1C,EAAQuX,GAAU9W,QAAQqe,QAAQpc,GAEtC,YAAqB,IAAV1C,EACFuX,GAAU9W,QAAQiC,GAGpB1C,EAGT,IAAI4hB,IAAQ,EAEU,oBAAX7gB,QAA+C,oBAAd8gB,YAC1CD,GAAQ,mBAAmB7X,KAAK8X,UAAUC,aAAe/gB,OAAOghB,UAGlE,IAAIC,GAAe,GAEfC,GAAU,aAEQ,oBAAXlhB,SACTkhB,GAAUlhB,OAAOkhB,SAGnB,IAAIC,GAAS,CACXnU,KAAM,WACNoU,WAAY,CACVC,eAAgB,KAElBjgB,MAAO,CACLkgB,KAAM,CACJzZ,KAAM0Z,QACNC,SAAS,GAEXC,SAAU,CACR5Z,KAAM0Z,QACNC,SAAS,GAEXxN,UAAW,CACTnM,KAAM4I,OACN+Q,QAAS,WACP,OAAOZ,GAAW,sBAGtB9M,MAAO,CACLjM,KAAM,CAAC4I,OAAQiR,OAAQ5iB,QACvB0iB,QAAS,WACP,OAAOZ,GAAW,kBAGtBxT,OAAQ,CACNvF,KAAM,CAAC4I,OAAQiR,QACfF,QAAS,WACP,OAAOZ,GAAW,mBAGtBzM,QAAS,CACPtM,KAAM4I,OACN+Q,QAAS,WACP,OAAOZ,GAAW,oBAGtB/M,UAAW,CACThM,KAAM,CAAC4I,OAAQ3R,OAAQoiB,GAASK,SAChCC,QAAS,WACP,OAAOZ,GAAW,sBAGtBjG,kBAAmB,CACjB9S,KAAM,CAAC4I,OAAQyQ,IACfM,QAAS,WACP,OAAOZ,GAAW,8BAGtBtG,cAAe,CACbzS,KAAM/I,OACN0iB,QAAS,WACP,OAAOZ,GAAW,0BAGtBe,aAAc,CACZ9Z,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOZ,GAAW,kBAGtBgB,iBAAkB,CAChB/Z,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQC,mBAGrC6D,kBAAmB,CACjBha,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQG,oBAGrC4D,oBAAqB,CACnBja,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQE,sBAGrC8D,kBAAmB,CACjBla,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQI,oBAGrC9F,SAAU,CACRxQ,KAAM0Z,QACNC,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQM,kBAGrC2D,aAAc,CACZna,KAAM0Z,QACNC,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQO,sBAGrC2D,UAAW,CACTpa,KAAM4I,OACN+Q,QAAS,MAEXU,UAAW,CACTra,KAAM,CAAC4I,OAAQ5L,OACf2c,QAAS,WACP,OAAOhL,GAAU9W,QAAQqe,QAAQK,oBAIvCrZ,KAAM,WACJ,MAAO,CACL+R,QAAQ,EACRkB,GAAIE,KAAKC,SAASlR,SAAS,IAAImR,OAAO,EAAG,MAG7C+J,SAAU,CACRC,SAAU,WACR,OAAOxgB,EAAgB,GAAI0C,KAAK4d,UAAW5d,KAAKwS,SAElDuL,UAAW,WACT,MAAO,WAAWpK,OAAO3T,KAAK0T,MAGlCsK,MAAO,CACLhB,KAAM,SAAciB,GACdA,EACFje,KAAKoR,OAELpR,KAAKsR,QAGT6L,SAAU,SAAkBc,EAAKC,GAC3BD,IAAQC,IACND,EACFje,KAAKsR,OACItR,KAAKgd,MACdhd,KAAKoR,SAIX7B,UAAW,SAAmB0O,GAC5B,GAAIje,KAAKwS,QAAUxS,KAAK0S,eAAgB,CACtC,IAAIyL,EAAcne,KAAKoe,MAAM3E,QACzBjJ,EAAYxQ,KAAKoe,MAAMvO,QACvBN,EAAYvP,KAAKqe,gBAAgBre,KAAKuP,UAAWiB,GAErD,IAAKjB,EAEH,YADAjV,QAAQC,KAAK,2BAA4ByF,MAI3CuP,EAAUuF,YAAYqJ,GACtBne,KAAK0S,eAAe4L,mBAGxBzO,QAAS,SAAiBoO,GACxBje,KAAKue,yBACLve,KAAKwe,uBAEP9O,UAAW,SAAmBuO,GAC5B,IAAI/N,EAAQlQ,KAEZA,KAAKye,gBAAe,WAClBvO,EAAMwC,eAAetX,QAAQsU,UAAYuO,MAG7CnV,OAAQ,kBACRuN,kBAAmB,kBACnBL,cAAe,CACb0I,QAAS,kBACTC,MAAM,IAGVC,QAAS,WACP5e,KAAK6e,cAAe,EACpB7e,KAAK8e,WAAY,EACjB9e,KAAK+e,SAAW,GAChB/e,KAAKgf,eAAgB,GAEvBC,QAAS,WACP,IAAId,EAAcne,KAAKoe,MAAM3E,QAC7B0E,EAAYpH,YAAcoH,EAAYpH,WAAWlC,YAAYsJ,GAC7Dne,KAAKkf,SAEDlf,KAAKgd,MACPhd,KAAKoR,QAGT+N,YAAa,WACXnf,KAAKsR,QAEP8N,cAAe,WACbpf,KAAKyS,WAEP4M,QAAS,CACPjO,KAAM,WACJ,IAAI4C,EAAShU,KAETqc,EAAQle,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,GAC5EgZ,EAAQkF,EAAMlF,MAEdmI,GADkBjD,EAAMkD,UACVlD,EAAMmD,OACpBA,OAAwB,IAAhBF,GAAiCA,GAEzCE,GAAUxf,KAAKmd,WACjBnd,KAAKyf,eAAetI,GACpBnX,KAAK0f,MAAM,SAGb1f,KAAK0f,MAAM,eAAe,GAC1B1f,KAAK2f,eAAgB,EACrBpJ,uBAAsB,WACpBvC,EAAO2L,eAAgB,MAG3BrO,KAAM,WACJ,IAAIsO,EAAQzhB,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,GAC5EgZ,EAAQyI,EAAMzI,MACIyI,EAAML,UAE5Bvf,KAAK6f,eAAe1I,GACpBnX,KAAK0f,MAAM,QACX1f,KAAK0f,MAAM,eAAe,IAE5BjN,QAAS,WAOP,GANAzS,KAAK6e,cAAe,EACpB7e,KAAKue,yBACLve,KAAKsR,KAAK,CACRiO,WAAW,IAGTvf,KAAK0S,iBACP1S,KAAK0S,eAAe0E,WAEfpX,KAAK0S,eAAetX,QAAQic,iBAAiB,CAChD,IAAI8G,EAAcne,KAAKoe,MAAM3E,QAC7B0E,EAAYpH,YAAcoH,EAAYpH,WAAWlC,YAAYsJ,GAIjEne,KAAK8e,WAAY,EACjB9e,KAAK0S,eAAiB,KACtB1S,KAAKwS,QAAS,EACdxS,KAAK0f,MAAM,YAEbR,OAAQ,YACkC,IAApClf,KAAK6P,QAAQ1Q,QAAQ,WACvBa,KAAKwe,uBAGTsB,OAAQ,WACN,IAAI1L,EAASpU,KAETwQ,EAAYxQ,KAAKoe,MAAMvO,QACvBsO,EAAcne,KAAKoe,MAAM3E,QAG7B,GAFArE,aAAapV,KAAK+f,iBAEd/f,KAAKwS,OAAT,CAWA,GANIxS,KAAK0S,iBACP1S,KAAKwS,QAAS,EACdxS,KAAK0S,eAAekD,uBACpB5V,KAAK0S,eAAe4L,mBAGjBte,KAAK8e,UAAW,CACnB,IAAIvP,EAAYvP,KAAKqe,gBAAgBre,KAAKuP,UAAWiB,GAErD,IAAKjB,EAEH,YADAjV,QAAQC,KAAK,2BAA4ByF,MAI3CuP,EAAUuF,YAAYqJ,GACtBne,KAAK8e,WAAY,EAGnB,IAAK9e,KAAK0S,eAAgB,CACxB,IAAIsD,EAAgB9X,EAAe,GAAI8B,KAAKgW,cAAe,CACzDtG,UAAW1P,KAAK0P,YASlB,GANAsG,EAAcC,UAAY/X,EAAe,GAAI8X,EAAcC,UAAW,CACpEC,MAAOhY,EAAe,GAAI8X,EAAcC,WAAaD,EAAcC,UAAUC,MAAO,CAClFC,QAASnW,KAAKoe,MAAMlI,UAIpBlW,KAAK8I,OAAQ,CACf,IAAIA,EAAS9I,KAAKggB,cAClBhK,EAAcC,UAAUnN,OAAS5K,EAAe,GAAI8X,EAAcC,WAAaD,EAAcC,UAAUnN,OAAQ,CAC7GA,OAAQA,IAIR9I,KAAKqW,oBACPL,EAAcC,UAAUK,gBAAkBpY,EAAe,GAAI8X,EAAcC,WAAaD,EAAcC,UAAUK,gBAAiB,CAC/HD,kBAAmBrW,KAAKqW,qBAI5BrW,KAAK0S,eAAiB,IAAI,IAAOlC,EAAW2N,EAAanI,GAEzDO,uBAAsB,WACpB,GAAInC,EAAO6L,OAKT,OAJA7L,EAAO6L,QAAS,OAEhB7L,EAAO8L,UAKJ9L,EAAOyK,cAAgBzK,EAAO1B,gBACjC0B,EAAO1B,eAAe4L,iBAGtB/H,uBAAsB,WACpB,GAAInC,EAAO6L,OAKT,OAJA7L,EAAO6L,QAAS,OAEhB7L,EAAO8L,SAKJ9L,EAAOyK,aAGVzK,EAAO3B,UAFP2B,EAAO5B,QAAS,MAMpB4B,EAAO3B,aAKb,IAAIkL,EAAY3d,KAAK2d,UAErB,GAAIA,EAGF,IAFA,IAAIlE,EAEK1c,EAAI,EAAGA,EAAI4f,GAAa3f,OAAQD,KACvC0c,EAAUkD,GAAa5f,IAEX4gB,YAAcA,IACxBlE,EAAQnI,OACRmI,EAAQiG,MAAM,gBAKpB/C,GAAa3e,KAAKgC,MAClBA,KAAK0f,MAAM,gBAEbQ,OAAQ,WACN,IAAIzK,EAASzV,KAGb,GAAKA,KAAKwS,OAAV,CAIA,IAAIhT,EAAQmd,GAAaxd,QAAQa,OAElB,IAAXR,GACFmd,GAAald,OAAOD,EAAO,GAG7BQ,KAAKwS,QAAS,EAEVxS,KAAK0S,gBACP1S,KAAK0S,eAAegE,wBAGtBtB,aAAapV,KAAK+f,gBAClB,IAAIpJ,EAAczE,GAAU9W,QAAQqe,QAAQ7C,gBAAkB1E,GAAU9W,QAAQwb,eAE5D,OAAhBD,IACF3W,KAAK+f,eAAiBlJ,YAAW,WAC/B,IAAIsH,EAAc1I,EAAO2I,MAAM3E,QAE3B0E,IAEFA,EAAYpH,YAAcoH,EAAYpH,WAAWlC,YAAYsJ,GAC7D1I,EAAOqJ,WAAY,KAEpBnI,IAGL3W,KAAK0f,MAAM,gBAEbrB,gBAAiB,SAAyB9O,EAAWiB,GASnD,MAPyB,iBAAdjB,EACTA,EAAY7T,OAAO0X,SAASsB,cAAcnF,IACnB,IAAdA,IAETA,EAAYiB,EAAUuG,YAGjBxH,GAETyQ,YAAa,WACX,IAAI/F,EAAe3d,EAAQ0D,KAAK8I,QAE5BA,EAAS9I,KAAK8I,OAMlB,OAJqB,WAAjBmR,GAA8C,WAAjBA,IAAsD,IAAzBnR,EAAO3J,QAAQ,QAC3E2J,EAAS,MAAM6K,OAAO7K,IAGjBA,GAET0V,oBAAqB,WACnB,IAAIhI,EAASxW,KAETwQ,EAAYxQ,KAAKoe,MAAMvO,QACvB0H,EAAe,GACfC,EAAiB,IACgB,iBAAjBxX,KAAK6P,QAAuB7P,KAAK6P,QAAQnR,MAAM,KAAKb,QAAO,SAAUgS,GACvF,OAAyD,IAAlD,CAAC,QAAS,QAAS,SAAS1Q,QAAQ0Q,MACxC,IACExR,SAAQ,SAAU8Y,GACvB,OAAQA,GACN,IAAK,QACHI,EAAavZ,KAAK,cAClBwZ,EAAexZ,KAAK,cACpB,MAEF,IAAK,QACHuZ,EAAavZ,KAAK,SAClBwZ,EAAexZ,KAAK,QACpB,MAEF,IAAK,QACHuZ,EAAavZ,KAAK,SAClBwZ,EAAexZ,KAAK,aAK1BuZ,EAAalZ,SAAQ,SAAU8Y,GAC7B,IAAIpT,EAAO,SAAcoT,GACnBX,EAAOhE,SAIX2E,EAAMO,eAAgB,GACrBlB,EAAOwI,eAAiBxI,EAAOpF,KAAK,CACnC+F,MAAOA,IAETX,EAAOyJ,QAAS,IAGlBzJ,EAAOuI,SAAS/gB,KAAK,CACnBmZ,MAAOA,EACPpT,KAAMA,IAGRyM,EAAU3Q,iBAAiBsX,EAAOpT,MAGpCyT,EAAenZ,SAAQ,SAAU8Y,GAC/B,IAAIpT,EAAO,SAAcoT,GACnBA,EAAMO,gBAIVlB,EAAOlF,KAAK,CACV6F,MAAOA,IAGTX,EAAOyJ,QAAS,IAGlBzJ,EAAOuI,SAAS/gB,KAAK,CACnBmZ,MAAOA,EACPpT,KAAMA,IAGRyM,EAAU3Q,iBAAiBsX,EAAOpT,OAGtC0b,eAAgB,WACd,IAAIF,EAAYphB,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAG/E,GAFAiX,aAAapV,KAAKmgB,iBAEdZ,EACFvf,KAAK8f,aACA,CAEL,IAAIjI,EAAgBuI,SAASpgB,KAAKwP,OAASxP,KAAKwP,MAAM4B,MAAQpR,KAAKwP,OAAS,GAC5ExP,KAAKmgB,gBAAkBtJ,WAAW7W,KAAK8f,OAAOzO,KAAKrR,MAAO6X,KAG9DgI,eAAgB,WACd,IAAI7I,EAAShX,KAETmX,EAAQhZ,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,KAC5EohB,EAAYphB,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAG/E,GAFAiX,aAAapV,KAAKmgB,iBAEdZ,EACFvf,KAAKkgB,aACA,CAEL,IAAIrI,EAAgBuI,SAASpgB,KAAKwP,OAASxP,KAAKwP,MAAM8B,MAAQtR,KAAKwP,OAAS,GAC5ExP,KAAKmgB,gBAAkBtJ,YAAW,WAChC,GAAKG,EAAOxE,OAAZ,CAMA,GAAI2E,GAAwB,eAAfA,EAAM5T,KAKjB,GAJYyT,EAAOqJ,sBAAsBlJ,GAKvC,OAIJH,EAAOkJ,YACNrI,KAGPwI,sBAAuB,SAA+BlJ,GACpD,IAAIG,EAAStX,KAETwQ,EAAYxQ,KAAKoe,MAAMvO,QACvBsO,EAAcne,KAAKoe,MAAM3E,QACzBhJ,EAAmB0G,EAAM1G,kBAAoB0G,EAAMzG,WAAayG,EAAMxG,cAe1E,QAAIwN,EAAYtN,SAASJ,KAEvB0N,EAAYte,iBAAiBsX,EAAM5T,MAftB,SAASuN,EAASwP,GAC/B,IAAItP,EAAoBsP,EAAO7P,kBAAoB6P,EAAO5P,WAAa4P,EAAO3P,cAE9EwN,EAAYlN,oBAAoBkG,EAAM5T,KAAMuN,GAEvCN,EAAUK,SAASG,IAEtBsG,EAAOhG,KAAK,CACV6F,MAAOmJ,QAQJ,IAKX/B,uBAAwB,WACtB,IAAI/N,EAAYxQ,KAAKoe,MAAMvO,QAC3B7P,KAAK+e,SAAS1gB,SAAQ,SAAUkiB,GAC9B,IAAIxc,EAAOwc,EAAMxc,KACboT,EAAQoJ,EAAMpJ,MAClB3G,EAAUS,oBAAoBkG,EAAOpT,MAEvC/D,KAAK+e,SAAW,IAElBN,eAAgB,SAAwB+B,GAClCxgB,KAAK0S,iBACP8N,IACIxgB,KAAKwS,QAAQxS,KAAK0S,eAAe4L,mBAGzCmC,gBAAiB,WACf,GAAIzgB,KAAK0S,eAAgB,CACvB,IAAIF,EAASxS,KAAKwS,OAClBxS,KAAKyS,UACLzS,KAAK6e,cAAe,EACpB7e,KAAKkf,SAED1M,GACFxS,KAAKoR,KAAK,CACRmO,WAAW,EACXC,OAAO,MAKfkB,oBAAqB,SAA6BvJ,GAChD,IAAIS,EAAS5X,KAET8b,EAAQ3d,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GACvE6B,KAAK2f,gBACT3f,KAAKsR,KAAK,CACR6F,MAAOA,IAGLA,EAAMqE,aACRxb,KAAK0f,MAAM,mBAEX1f,KAAK0f,MAAM,aAGT5D,IACF9b,KAAKgf,eAAgB,EACrBnI,YAAW,WACTe,EAAOoH,eAAgB,IACtB,QAGP2B,eAAgB,WACV3gB,KAAKwS,QAAUxS,KAAK0S,iBACtB1S,KAAK0S,eAAe4L,iBACpBte,KAAK0f,MAAM,cAyBnB,SAASkB,GAAkBzJ,GAiBzB,IAhBA,IAAI2E,EAAQ3d,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAEvE0iB,EAAQ,SAAe9jB,GACzB,IAAI0c,EAAUkD,GAAa5f,GAE3B,GAAI0c,EAAQ2E,MAAM3E,QAAS,CACzB,IAAI5I,EAAW4I,EAAQ2E,MAAM3E,QAAQ5I,SAASsG,EAAMta,QACpD0Z,uBAAsB,YAChBY,EAAMuE,iBAAmBvE,EAAMqE,cAAgB3K,GAAY4I,EAAQ1F,WAAalD,IAClF4I,EAAQiH,oBAAoBvJ,EAAO2E,QAOlC/e,EAAI,EAAGA,EAAI4f,GAAa3f,OAAQD,IACvC8jB,EAAM9jB,GArCc,oBAAbqW,UAA8C,oBAAX1X,SACxC6gB,GACFnJ,SAASvT,iBAAiB,YAa9B,SAA8BsX,GAC5ByJ,GAAkBzJ,GAAO,MAdqCzX,GAAkB,CAC5E0Y,SAAS,EACTC,SAAS,IAGX3c,OAAOmE,iBAAiB,SAI5B,SAA2BsX,GACzByJ,GAAkBzJ,MALoC,IA8GxD,IAAI2J,GAAiBjE,GAGjBkE,GAAiB,WACnB,IAAIC,EAAMhhB,KAENihB,EAAKD,EAAIE,eAETC,EAAKH,EAAII,MAAMD,IAAMF,EAEzB,OAAOE,EAAG,MAAO,CACfE,YAAa,YACbC,MAAON,EAAIlD,UACV,CAACqD,EAAG,MAAO,CACZI,IAAK,UACLF,YAAa,UACbG,YAAa,CACX7L,QAAS,gBAEX8L,MAAO,CACL,mBAAoBT,EAAIjD,UACxB2D,UAA4C,IAAlCV,EAAInR,QAAQ1Q,QAAQ,SAAkB,OAAI0B,IAErD,CAACmgB,EAAIW,GAAG,YAAa,GAAIX,EAAIY,GAAG,KAAMT,EAAG,MAAO,CACjDI,IAAK,UACLD,MAAO,CAACN,EAAI1D,iBAAkB0D,EAAI3D,aAAc2D,EAAIlD,UACpDpI,MAAO,CACLmM,WAAYb,EAAIxO,OAAS,UAAY,UAEvCiP,MAAO,CACL/N,GAAIsN,EAAIjD,UACR,cAAeiD,EAAIxO,OAAS,QAAU,OACtCkP,SAAUV,EAAIjN,SAAW,OAAIlT,GAE/BihB,GAAI,CACFC,MAAO,SAAeC,GACpB,IAAKA,EAAOze,KAAKpE,QAAQ,QAAU6hB,EAAIiB,GAAGD,EAAOE,QAAS,MAAO,GAAIF,EAAO3kB,IAAK,CAAC,MAAO,WACvF,OAAO,KAGT2jB,EAAIjN,UAAYiN,EAAI1P,UAGvB,CAAC6P,EAAG,MAAO,CACZG,MAAON,EAAIxD,qBACV,CAAC2D,EAAG,MAAO,CACZI,IAAK,QACLD,MAAON,EAAIzD,kBACXiE,YAAa,CACXW,SAAU,aAEX,CAAChB,EAAG,MAAO,CAACH,EAAIW,GAAG,YAAa,GAAIX,EAAIY,GAAG,KAAMZ,EAAItD,aAAeyD,EAAG,iBAAkB,CAC1FW,GAAI,CACFM,OAAQpB,EAAIL,kBAEXK,EAAIqB,MAAO,GAAIrB,EAAIY,GAAG,KAAMT,EAAG,MAAO,CACzCI,IAAK,QACLD,MAAON,EAAIvD,2BAKfsD,GAAeuB,eAAgB,EAG/B,IAgBIC,GA7JJ,SAA4B3S,EAAU8F,EAAOmH,EAAQ2F,EAASC,EAAsBC,EAAoCC,EAAYC,EAAgBC,EAAmBC,GACzI,kBAAfH,IACPE,EAAoBD,EACpBA,EAAiBD,EACjBA,GAAa,GAGjB,MAAMvnB,EAA4B,mBAAXyhB,EAAwBA,EAAOzhB,QAAUyhB,EAehE,IAAIkG,EAmCJ,GAhDInT,GAAYA,EAASoT,SACrB5nB,EAAQ4nB,OAASpT,EAASoT,OAC1B5nB,EAAQ6nB,gBAAkBrT,EAASqT,gBACnC7nB,EAAQ8nB,WAAY,EAEhBT,IACArnB,EAAQ+nB,YAAa,IAIzBX,IACApnB,EAAQgoB,SAAWZ,GAGnBE,GAEAK,EAAO,SAAUM,IAEbA,EACIA,GACKrjB,KAAKsjB,QAAUtjB,KAAKsjB,OAAOC,YAC3BvjB,KAAKwjB,QAAUxjB,KAAKwjB,OAAOF,QAAUtjB,KAAKwjB,OAAOF,OAAOC,aAElB,oBAAxBE,sBACnBJ,EAAUI,qBAGV/N,GACAA,EAAM/U,KAAKX,KAAM6iB,EAAkBQ,IAGnCA,GAAWA,EAAQK,uBACnBL,EAAQK,sBAAsB/c,IAAI+b,IAK1CtnB,EAAQuoB,aAAeZ,GAElBrN,IACLqN,EAAOJ,EACD,SAAUU,GACR3N,EAAM/U,KAAKX,KAAM8iB,EAAqBO,EAASrjB,KAAK4jB,MAAMC,SAASC,cAErE,SAAUT,GACR3N,EAAM/U,KAAKX,KAAM4iB,EAAeS,MAGxCN,EACA,GAAI3nB,EAAQ+nB,WAAY,CAEpB,MAAMY,EAAiB3oB,EAAQ4nB,OAC/B5nB,EAAQ4nB,OAAS,SAAkCgB,EAAGX,GAElD,OADAN,EAAKpiB,KAAK0iB,GACHU,EAAeC,EAAGX,QAG5B,CAED,MAAMY,EAAW7oB,EAAQ8oB,aACzB9oB,EAAQ8oB,aAAeD,EAAW,GAAGtQ,OAAOsQ,EAAUlB,GAAQ,CAACA,GAGvE,OAAOlG,EAqFasH,CAAmB,CACzCnB,OAAQjC,GACRkC,gBAtB4B,SAIFpiB,EAmBFigB,QAhBHjgB,GAMc,OAHLA,GAauF,OAAOA,OAAWA,OAAWA,GAUhJujB,GARkB,WACpB,IACE,IAAIrgB,EAAOa,GAAWpK,OAAQ,kBAE9B,OADAuJ,EAAK,GAAI,GAAI,IACNA,EACP,MAAOjE,KALU,GAgCrB,IAAIukB,GAbJ,SAAyB7mB,EAAQH,EAAK1C,GACzB,aAAP0C,GAAsB+mB,GACxBA,GAAkB5mB,EAAQH,EAAK,CAC7B,cAAgB,EAChB,YAAc,EACd,MAAS1C,EACT,UAAY,IAGd6C,EAAOH,GAAO1C,GAsBlB,IAAI2pB,GAPJ,SAA0B9mB,EAAQH,EAAK1C,SACtBkG,IAAVlG,IAAwBwF,EAAK3C,EAAOH,GAAM1C,SAChCkG,IAAVlG,KAAyB0C,KAAOG,KACnC6mB,GAAiB7mB,EAAQH,EAAK1C,IA8BlC,IAeI4pB,GAhCJ,SAAuBC,GACrB,OAAO,SAAShnB,EAAQqM,EAAUX,GAMhC,IALA,IAAI1J,GAAS,EACTilB,EAAWjqB,OAAOgD,GAClBV,EAAQoM,EAAS1L,GACjBR,EAASF,EAAME,OAEZA,KAAU,CACf,IAAIK,EAAMP,EAAM0nB,EAAYxnB,IAAWwC,GACvC,IAA+C,IAA3CqK,EAAS4a,EAASpnB,GAAMA,EAAKonB,GAC/B,MAGJ,OAAOjnB,GAiBGknB,GAIVC,GAAe3iB,GAAqB,SAAUE,EAAQxH,GAE1D,IAAI4P,EAAe5P,IAAYA,EAAQ6P,UAAY7P,EAG/C8P,EAAaF,GAAuCpI,IAAWA,EAAOqI,UAAYrI,EAMlFuI,EAHgBD,GAAcA,EAAW9P,UAAY4P,EAG5BjI,EAAMoI,YAAS5J,EACxC+jB,EAAcna,EAASA,EAAOma,iBAAc/jB,EAqBhDqB,EAAOxH,QAXP,SAAqB+N,EAAQoc,GAC3B,GAAIA,EACF,OAAOpc,EAAOqc,QAEhB,IAAI9nB,EAASyL,EAAOzL,OAChByE,EAASmjB,EAAcA,EAAY5nB,GAAU,IAAIyL,EAAO/L,YAAYM,GAGxE,OADAyL,EAAOsc,KAAKtjB,GACLA,MAmBT,IAAIujB,GANJ,SAA0BC,GACxB,IAAIxjB,EAAS,IAAIwjB,EAAYvoB,YAAYuoB,EAAY1c,YAErD,OADA,IAAIR,GAAYtG,GAAQL,IAAI,IAAI2G,GAAYkd,IACrCxjB,GAkBT,IAAIyjB,GALJ,SAAyBC,EAAYN,GACnC,IAAIpc,EAASoc,EAASG,GAAkBG,EAAW1c,QAAU0c,EAAW1c,OACxE,OAAO,IAAI0c,EAAWzoB,YAAY+L,EAAQ0c,EAAW3c,WAAY2c,EAAWnoB,SAwB9E,IAAIooB,GAXJ,SAAmBhnB,EAAQkC,GACzB,IAAId,GAAS,EACTxC,EAASoB,EAAOpB,OAGpB,IADAsD,IAAUA,EAAQC,MAAMvD,MACfwC,EAAQxC,GACfsD,EAAMd,GAASpB,EAAOoB,GAExB,OAAOc,GAML+kB,GAAe7qB,OAAO8qB,OA0BtBC,GAhBc,WAChB,SAAS/nB,KACT,OAAO,SAASgoB,GACd,IAAKliB,EAAWkiB,GACd,MAAO,GAET,GAAIH,GACF,OAAOA,GAAaG,GAEtBhoB,EAAOb,UAAY6oB,EACnB,IAAI/jB,EAAS,IAAIjE,EAEjB,OADAA,EAAOb,eAAYkE,EACZY,GAZM,GAqBbgkB,GAFelZ,GAAS/R,OAAOkrB,eAAgBlrB,QAiBnD,IAAImrB,GANJ,SAAyBnoB,GACvB,MAAqC,mBAAtBA,EAAOd,aAA8B2P,GAAa7O,GAE7D,GADA+nB,GAAYE,GAAcjoB,KAmChC,IAAIooB,GAJJ,SAA2BjrB,GACzB,OAAOmP,GAAenP,IAAUkS,GAAclS,IAS5CkrB,GAAcvjB,SAAS3F,UACvBmpB,GAAgBtrB,OAAOmC,UAGvBopB,GAAiBF,GAAYljB,SAG7BqjB,GAAmBF,GAAcrjB,eAGjCwjB,GAAmBF,GAAeplB,KAAKnG,QA2C3C,IAAI0rB,GAbJ,SAAuBvrB,GACrB,IAAKmP,GAAenP,IA5CJ,mBA4Cc0I,EAAY1I,GACxC,OAAO,EAET,IAAI6qB,EAAQC,GAAc9qB,GAC1B,GAAc,OAAV6qB,EACF,OAAO,EAET,IAAIlZ,EAAO0Z,GAAiBrlB,KAAK6kB,EAAO,gBAAkBA,EAAM9oB,YAChE,MAAsB,mBAAR4P,GAAsBA,aAAgBA,GAClDyZ,GAAeplB,KAAK2L,IAAS2Z,IAyBjC,IAAIE,GAZJ,SAAiB3oB,EAAQH,GACvB,IAAY,gBAARA,GAAgD,mBAAhBG,EAAOH,KAIhC,aAAPA,EAIJ,OAAOG,EAAOH,IASZ+oB,GAHgB5rB,OAAOmC,UAGU8F,eAoBrC,IAAI4jB,GARJ,SAAqB7oB,EAAQH,EAAK1C,GAChC,IAAI0S,EAAW7P,EAAOH,GAChB+oB,GAAiBzlB,KAAKnD,EAAQH,IAAQ8C,EAAKkN,EAAU1S,UAC5CkG,IAAVlG,GAAyB0C,KAAOG,IACnC6mB,GAAiB7mB,EAAQH,EAAK1C,IA0ClC,IAAI2rB,GA1BJ,SAAoBloB,EAAQtB,EAAOU,EAAQ2J,GACzC,IAAIof,GAAS/oB,EACbA,IAAWA,EAAS,IAKpB,IAHA,IAAIgC,GAAS,EACTxC,EAASF,EAAME,SAEVwC,EAAQxC,GAAQ,CACvB,IAAIK,EAAMP,EAAM0C,GAEZgnB,EAAWrf,EACXA,EAAW3J,EAAOH,GAAMe,EAAOf,GAAMA,EAAKG,EAAQY,QAClDyC,OAEaA,IAAb2lB,IACFA,EAAWpoB,EAAOf,IAEhBkpB,EACFlC,GAAiB7mB,EAAQH,EAAKmpB,GAE9BH,GAAa7oB,EAAQH,EAAKmpB,GAG9B,OAAOhpB,GAwBT,IAAIipB,GAVJ,SAAsBjpB,GACpB,IAAIiE,EAAS,GACb,GAAc,MAAVjE,EACF,IAAK,IAAIH,KAAO7C,OAAOgD,GACrBiE,EAAOzD,KAAKX,GAGhB,OAAOoE,GASLilB,GAHgBlsB,OAAOmC,UAGU8F,eAwBrC,IAAIkkB,GAfJ,SAAoBnpB,GAClB,IAAK8F,EAAW9F,GACd,OAAOipB,GAAcjpB,GAEvB,IAAIopB,EAAUva,GAAa7O,GACvBiE,EAAS,GAEb,IAAK,IAAIpE,KAAOG,GACD,eAAPH,IAAyBupB,GAAYF,GAAiB/lB,KAAKnD,EAAQH,KACvEoE,EAAOzD,KAAKX,GAGhB,OAAOoE,GAgCT,IAAIolB,GAJJ,SAAgBrpB,GACd,OAAOqP,GAAcrP,GAAUoO,GAAepO,GAAQ,GAAQmpB,GAAYnpB,IAiC5E,IAAIspB,GAJJ,SAAuBnsB,GACrB,OAAO2rB,GAAY3rB,EAAOksB,GAASlsB,KAkFrC,IAAIosB,GA9DJ,SAAuBvpB,EAAQY,EAAQf,EAAK2pB,EAAUC,EAAW9f,EAAYE,GAC3E,IAAIgG,EAAW8Y,GAAS3oB,EAAQH,GAC5B6pB,EAAWf,GAAS/nB,EAAQf,GAC5BoK,EAAUJ,EAAMzH,IAAIsnB,GAExB,GAAIzf,EACF6c,GAAkB9mB,EAAQH,EAAKoK,OADjC,CAIA,IAAI+e,EAAWrf,EACXA,EAAWkG,EAAU6Z,EAAW7pB,EAAM,GAAKG,EAAQY,EAAQiJ,QAC3DxG,EAEAsmB,OAAwBtmB,IAAb2lB,EAEf,GAAIW,EAAU,CACZ,IAAIrb,EAAQ/C,GAAUme,GAClBlb,GAAUF,GAASzB,GAAW6c,GAC9BE,GAAWtb,IAAUE,GAAUN,GAAewb,GAElDV,EAAWU,EACPpb,GAASE,GAAUob,EACjBre,GAAUsE,GACZmZ,EAAWnZ,EAEJuY,GAAoBvY,GAC3BmZ,EAAWpB,GAAW/X,GAEfrB,GACPmb,GAAW,EACXX,EAAW7B,GAAauC,GAAU,IAE3BE,GACPD,GAAW,EACXX,EAAWtB,GAAiBgC,GAAU,IAGtCV,EAAW,GAGNN,GAAgBgB,IAAa/c,GAAc+c,IAClDV,EAAWnZ,EACPlD,GAAckD,GAChBmZ,EAAWM,GAAgBzZ,GAEnB/J,EAAW+J,KAAa5J,EAAa4J,KAC7CmZ,EAAWb,GAAiBuB,KAI9BC,GAAW,EAGXA,IAEF9f,EAAMjG,IAAI8lB,EAAUV,GACpBS,EAAUT,EAAUU,EAAUF,EAAU7f,EAAYE,GACpDA,EAAc,OAAE6f,IAElB5C,GAAkB9mB,EAAQH,EAAKmpB,KAsCjC,IAAIa,GAtBJ,SAASC,EAAU9pB,EAAQY,EAAQ4oB,EAAU7f,EAAYE,GACnD7J,IAAWY,GAGfmmB,GAASnmB,GAAQ,SAAS8oB,EAAU7pB,GAElC,GADAgK,IAAUA,EAAQ,IAAIf,IAClBhD,EAAW4jB,GACbH,GAAevpB,EAAQY,EAAQf,EAAK2pB,EAAUM,EAAWngB,EAAYE,OAElE,CACH,IAAImf,EAAWrf,EACXA,EAAWgf,GAAS3oB,EAAQH,GAAM6pB,EAAW7pB,EAAM,GAAKG,EAAQY,EAAQiJ,QACxExG,OAEaA,IAAb2lB,IACFA,EAAWU,GAEb5C,GAAkB9mB,EAAQH,EAAKmpB,MAEhCK,KAyBL,IAAIU,GAJJ,SAAkB5sB,GAChB,OAAOA,GAyBT,IAAI6sB,GAVJ,SAAezjB,EAAM0jB,EAASC,GAC5B,OAAQA,EAAK1qB,QACX,KAAK,EAAG,OAAO+G,EAAKpD,KAAK8mB,GACzB,KAAK,EAAG,OAAO1jB,EAAKpD,KAAK8mB,EAASC,EAAK,IACvC,KAAK,EAAG,OAAO3jB,EAAKpD,KAAK8mB,EAASC,EAAK,GAAIA,EAAK,IAChD,KAAK,EAAG,OAAO3jB,EAAKpD,KAAK8mB,EAASC,EAAK,GAAIA,EAAK,GAAIA,EAAK,IAE3D,OAAO3jB,EAAK9F,MAAMwpB,EAASC,IAMzBC,GAAY/T,KAAKgU,IAgCrB,IAAIC,GArBJ,SAAkB9jB,EAAM+jB,EAAOtb,GAE7B,OADAsb,EAAQH,QAAoB9mB,IAAVinB,EAAuB/jB,EAAK/G,OAAS,EAAK8qB,EAAO,GAC5D,WAML,IALA,IAAIJ,EAAOvpB,UACPqB,GAAS,EACTxC,EAAS2qB,GAAUD,EAAK1qB,OAAS8qB,EAAO,GACxCxnB,EAAQC,MAAMvD,KAETwC,EAAQxC,GACfsD,EAAMd,GAASkoB,EAAKI,EAAQtoB,GAE9BA,GAAS,EAET,IADA,IAAIuoB,EAAYxnB,MAAMunB,EAAQ,KACrBtoB,EAAQsoB,GACfC,EAAUvoB,GAASkoB,EAAKloB,GAG1B,OADAuoB,EAAUD,GAAStb,EAAUlM,GACtBknB,GAAOzjB,EAAM/D,KAAM+nB,KA+B9B,IAAIC,GANJ,SAAkBrtB,GAChB,OAAO,WACL,OAAOA,IAuBPstB,GATmB7D,GAAiC,SAASrgB,EAAMmkB,GACrE,OAAO9D,GAAkBrgB,EAAM,WAAY,CACzC,cAAgB,EAChB,YAAc,EACd,MAASikB,GAAWE,GACpB,UAAY,KAL2BX,GAgBvCY,GAAYC,KAAKC,IA+BrB,IAYIC,GAhCJ,SAAkBvkB,GAChB,IAAI5I,EAAQ,EACRotB,EAAa,EAEjB,OAAO,WACL,IAAIC,EAAQL,KACRM,EApBO,IAoBiBD,EAAQD,GAGpC,GADAA,EAAaC,EACTC,EAAY,GACd,KAAMttB,GAzBI,IA0BR,OAAOgD,UAAU,QAGnBhD,EAAQ,EAEV,OAAO4I,EAAK9F,WAAM4C,EAAW1C,YAcfuqB,CAAUT,IAgB5B,IAAIU,GAJJ,SAAkB5kB,EAAM+jB,GACtB,OAAOQ,GAAaT,GAAU9jB,EAAM+jB,EAAOP,IAAaxjB,EAAO,KA6BjE,IAAI6kB,GAdJ,SAAwBjuB,EAAO6E,EAAOhC,GACpC,IAAK8F,EAAW9F,GACd,OAAO,EAET,IAAI+F,SAAc/D,EAClB,SAAY,UAAR+D,EACKsJ,GAAcrP,IAAWoN,GAASpL,EAAOhC,EAAOR,QACxC,UAARuG,GAAoB/D,KAAShC,IAE7B2C,EAAK3C,EAAOgC,GAAQ7E,IAwC/B,IAqCIkuB,GA/DJ,SAAwBC,GACtB,OAAOH,IAAU,SAASnrB,EAAQurB,GAChC,IAAIvpB,GAAS,EACTxC,EAAS+rB,EAAQ/rB,OACjBmK,EAAanK,EAAS,EAAI+rB,EAAQ/rB,EAAS,QAAK6D,EAChDmoB,EAAQhsB,EAAS,EAAI+rB,EAAQ,QAAKloB,EAWtC,IATAsG,EAAc2hB,EAAS9rB,OAAS,GAA0B,mBAAdmK,GACvCnK,IAAUmK,QACXtG,EAEAmoB,GAASJ,GAAgBG,EAAQ,GAAIA,EAAQ,GAAIC,KACnD7hB,EAAanK,EAAS,OAAI6D,EAAYsG,EACtCnK,EAAS,GAEXQ,EAAShD,OAAOgD,KACPgC,EAAQxC,GAAQ,CACvB,IAAIoB,EAAS2qB,EAAQvpB,GACjBpB,GACF0qB,EAAStrB,EAAQY,EAAQoB,EAAO2H,GAGpC,OAAO3J,KAqCCyrB,EAAgB,SAASzrB,EAAQY,EAAQ4oB,GACnDK,GAAW7pB,EAAQY,EAAQ4oB,MAmC7B,SAASkC,GAAQC,GACf,IAAI/tB,EAAU+C,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,GAClF,IAAI+qB,GAAQE,UAAZ,CACAF,GAAQE,WAAY,EACpB,IAAIC,EAAe,GACnBR,GAAQQ,EAAc5Q,GAAgBrd,GACtCkuB,GAAOluB,QAAUiuB,EACjBnX,GAAU9W,QAAUiuB,EACpBF,EAAIjX,UAAU,UAAWA,IACzBiX,EAAIjX,UAAU,gBAAiBkK,IAC/B+M,EAAII,UAAU,YAAahH,MAxC7B,SAAqBiH,EAAKjI,QACX,IAARA,IAAiBA,EAAM,IAC5B,IAAIkI,EAAWlI,EAAIkI,SAEnB,GAAKD,GAA2B,oBAAbpW,SAAnB,CAEA,IAAIsW,EAAOtW,SAASsW,MAAQtW,SAASuW,qBAAqB,QAAQ,GAC9DjU,EAAQtC,SAASC,cAAc,SACnCqC,EAAMnS,KAAO,WAEI,QAAbkmB,GACEC,EAAK9U,WACP8U,EAAKE,aAAalU,EAAOgU,EAAK9U,YAKhC8U,EAAK5U,YAAYY,GAGfA,EAAMmU,WACRnU,EAAMmU,WAAWC,QAAUN,EAE3B9T,EAAMZ,YAAY1B,SAAS2W,eAAeP,KAK9CQ,CADU,wWAeV,IAAIC,GAAW/X,GACXgY,GAAgB9N,GAChB+N,GAAW5H,GACX+G,GAAS,CACXJ,QAASA,GAET,cACE,OAAO5Q,GAAMC,SAGf,YAAY5d,GACV2d,GAAMC,QAAU5d,IAKhByvB,GAAY,KAEM,oBAAX1uB,OACT0uB,GAAY1uB,OAAOytB,SACQ,IAAXrnB,IAChBsoB,GAAYtoB,EAAOqnB,KAGjBiB,IACFA,GAAUC,IAAIf,IAGD,c,mDCjlKf,IAAI1pB,EAAM,EAAQ,KACd0qB,EAAU,EAAQ,KAgBtB,SAASC,EAAQnvB,GACbA,EAAUA,GAAW,GAErB4E,KAAKwqB,SAAW,GAChBxqB,KAAKyqB,OAAS,GACdzqB,KAAK0qB,OAAS,WAEd1qB,KAAK2qB,UAAY,GAGjB3qB,KAAK4qB,aAAe,GAChBxvB,EAAQwvB,eAC4B,iBAAzBxvB,EAAQwvB,aACf5qB,KAAK4qB,aAAexvB,EAAQwvB,aAG5B5qB,KAAKzF,KAAK,iDAKlByF,KAAK6qB,MAAQ,UAAWzvB,IAA6B,IAAlBA,EAAQyvB,MAnC/C3oB,EAAOxH,QAAU6vB,EA4CjBA,EAAQ5tB,UAAUmlB,GAAK,SAASgJ,EAAWha,GACvC9Q,KAAK2qB,UAAU3sB,KAAK,CAChB8sB,UAAWA,EACXha,SAAUA,KAUlByZ,EAAQ5tB,UAAUouB,IAAM,SAASD,EAAWha,GACxC9Q,KAAK2qB,UAAY3qB,KAAK2qB,UAAU9sB,QAAO,SAASmtB,GAC5C,OAGM,IAFFA,EAASF,YAAcA,GACvBE,EAASla,WAAaA,OAYlCyZ,EAAQ5tB,UAAUsuB,KAAO,SAASH,EAAWI,GACzC,IAAK,IAAInuB,EAAI,EAAGA,EAAIiD,KAAK2qB,UAAU3tB,OAAQD,IAAK,CAC5C,IAAIiuB,EAAWhrB,KAAK2qB,UAAU5tB,GAC1BiuB,EAASF,YAAcA,GACvBE,EAASla,SAASoa,KAW9BX,EAAQ5tB,UAAUpC,KAAO,SAASoO,GAC1B3I,KAAK6qB,OACLvwB,QAAQC,KAAKoO,GAGjB3I,KAAKirB,KAAK,QAAS,IAAIE,MAAMxiB,KAcjC4hB,EAAQ5tB,UAAUyuB,gBAAkB,SAASX,EAAQC,EAAQW,GACpDrrB,KAAKwqB,SAASC,KACfzqB,KAAKwqB,SAASC,GAAU,IAG5BzqB,KAAKwqB,SAASC,GAAQC,GAAUW,GAWpCd,EAAQ5tB,UAAU2uB,UAAY,SAASb,GACb,iBAAXA,GAQW,KAAlBA,EAAOlX,QACPvT,KAAKzF,KAAK,yEAGVkwB,IAAWzqB,KAAK4qB,cAAiB5qB,KAAKwqB,SAASC,IAC/CzqB,KAAKzF,KAAK,gCAAkCkwB,EAAS,0DAGzDzqB,KAAKyqB,OAASA,GAfVzqB,KAAKzF,KACD,0DAA6DkwB,EAA7D,mCAyBZF,EAAQ5tB,UAAU4uB,cAAgB,SAASb,GACjB,iBAAXA,GAQW,KAAlBA,EAAOnX,QACPvT,KAAKzF,KAAK,4DAGdyF,KAAK0qB,OAASA,GAXV1qB,KAAKzF,KACD,8DAAiEmwB,EAAjE,mCAsBZH,EAAQ5tB,UAAU6uB,QAAU,SAASC,GACjC,OAAOzrB,KAAK0rB,WAAW1rB,KAAK0qB,OAAQ,GAAIe,IAa5ClB,EAAQ5tB,UAAUgvB,SAAW,SAASjB,EAAQe,GAC1C,OAAOzrB,KAAK0rB,WAAWhB,EAAQ,GAAIe,IAcvClB,EAAQ5tB,UAAUivB,SAAW,SAASH,EAAOI,EAAa1wB,GACtD,OAAO6E,KAAK0rB,WAAW1rB,KAAK0qB,OAAQ,GAAIe,EAAOI,EAAa1wB,IAehEovB,EAAQ5tB,UAAUmvB,UAAY,SAASpB,EAAQe,EAAOI,EAAa1wB,GAC/D,OAAO6E,KAAK0rB,WAAWhB,EAAQ,GAAIe,EAAOI,EAAa1wB,IAa3DovB,EAAQ5tB,UAAUovB,SAAW,SAASC,EAASP,GAC3C,OAAOzrB,KAAK0rB,WAAW1rB,KAAK0qB,OAAQsB,EAASP,IAcjDlB,EAAQ5tB,UAAUsvB,UAAY,SAASvB,EAAQsB,EAASP,GACpD,OAAOzrB,KAAK0rB,WAAWhB,EAAQsB,EAASP,IAe5ClB,EAAQ5tB,UAAUuvB,UAAY,SAASF,EAASP,EAAOI,EAAa1wB,GAChE,OAAO6E,KAAK0rB,WAAW1rB,KAAK0qB,OAAQsB,EAASP,EAAOI,EAAa1wB,IAgBrEovB,EAAQ5tB,UAAU+uB,WAAa,SAAShB,EAAQsB,EAASP,EAAOI,EAAa1wB,GACzE,IACIgxB,EACA3sB,EAFA4sB,EAAqBX,EAYzB,GARAO,EAAUA,GAAW,GAEhBK,MAAMlxB,IAAoB,IAAVA,IACjBixB,EAAqBP,GAAeJ,GAGxCU,EAAcnsB,KAAKssB,gBAAgB5B,EAAQsB,EAASP,GAEnC,CACb,GAAqB,iBAAVtwB,EAGc,kBADrBqE,GAAQ+sB,EADUjC,EAAQC,EAAQiC,gBAAgBxsB,KAAKyqB,SAAS8B,aAC5CpxB,MAEhBqE,EAAQA,EAAQ,EAAI,QAGxBA,EAAQ,EAGZ,OAAO2sB,EAAYM,OAAOjtB,IAAU4sB,EAMxC,OAJUpsB,KAAK4qB,cAAgB5qB,KAAKyqB,SAAWzqB,KAAK4qB,cAChD5qB,KAAKzF,KAAK,uCAAyCkxB,EAAQ,iBAAmBO,EAAU,iBAAmBtB,EAAS,KAGjH0B,GAgBX7B,EAAQ5tB,UAAU+vB,WAAa,SAAShC,EAAQsB,EAASP,GACrD,IAAIU,EAGJ,OADAA,EAAcnsB,KAAKssB,gBAAgB5B,EAAQsB,EAASP,KAEzCU,EAAYQ,UAGhB,IAYXpC,EAAQ5tB,UAAU2vB,gBAAkB,SAAS5B,EAAQsB,EAASP,GAG1D,OAFAO,EAAUA,GAAW,GAEdpsB,EAAII,KAAKwqB,SAAU,CAACxqB,KAAKyqB,OAAQC,EAAQ,eAAgBsB,EAASP,KAc7ElB,EAAQiC,gBAAkB,SAAS/B,GAC/B,OAAOA,EAAO/rB,MAAM,SAAS,GAAGkuB,eAUpCrC,EAAQ5tB,UAAUkwB,WAAa,SAASnC,GAChC1qB,KAAK6qB,OACLvwB,QAAQC,KAAK,2VAOjByF,KAAKurB,cAAcb,IAQvBH,EAAQ5tB,UAAUmwB,UAAY,SAASrC,GACnCzqB,KAAKsrB,UAAUb,IAUnBF,EAAQ5tB,UAAUowB,cAAgB,WAC9BzyB,QAAQ0yB,MAAM,+S,qBC3ZlB,YAUA,IAcIC,EAAe,mDACfC,EAAgB,QAChBC,EAAe,MACfC,EAAa,mGASbC,EAAe,WAGfnpB,EAAe,8BAGfopB,EAA8B,iBAAVxrB,GAAsBA,GAAUA,EAAOtH,SAAWA,QAAUsH,EAGhFM,EAA0B,iBAARL,MAAoBA,MAAQA,KAAKvH,SAAWA,QAAUuH,KAGxEwrB,EAAOD,GAAclrB,GAAYE,SAAS,cAATA,GAkCrC,IASMkB,EATFgqB,EAAajtB,MAAM5D,UACnB8wB,EAAYnrB,SAAS3F,UACrB6F,EAAchI,OAAOmC,UAGrB+wB,EAAaH,EAAK,sBAGlB5pB,GACEH,EAAM,SAASI,KAAK8pB,GAAcA,EAAWhwB,MAAQgwB,EAAWhwB,KAAKmG,UAAY,KACvE,iBAAmBL,EAAO,GAItCQ,EAAeypB,EAAU9qB,SAGzBF,EAAiBD,EAAYC,eAO7BkrB,EAAiBnrB,EAAYG,SAG7B4B,EAAaC,OAAO,IACtBR,EAAarD,KAAK8B,GAAgB5H,QA7EjB,sBA6EuC,QACvDA,QAAQ,yDAA0D,SAAW,KAI5E2B,EAAS+wB,EAAK/wB,OACdiD,EAAS+tB,EAAW/tB,OAGpBmuB,EAAMC,EAAUN,EAAM,OACtBO,EAAeD,EAAUrzB,OAAQ,UAGjC2N,EAAc3L,EAASA,EAAOG,eAAYkE,EAC1CktB,EAAiB5lB,EAAcA,EAAYxF,cAAW9B,EAS1D,SAASyE,EAAKrE,GACZ,IAAIzB,GAAS,EACTxC,EAASiE,EAAUA,EAAQjE,OAAS,EAGxC,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KA2F7B,SAASH,EAAUC,GACjB,IAAIzB,GAAS,EACTxC,EAASiE,EAAUA,EAAQjE,OAAS,EAGxC,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KAyG7B,SAAS6E,EAAS/E,GAChB,IAAIzB,GAAS,EACTxC,EAASiE,EAAUA,EAAQjE,OAAS,EAGxC,IADAgD,KAAKkB,UACI1B,EAAQxC,GAAQ,CACvB,IAAImE,EAAQF,EAAQzB,GACpBQ,KAAKoB,IAAID,EAAM,GAAIA,EAAM,KAwF7B,SAAS6sB,EAAa1tB,EAAOjD,GAE3B,IADA,IA+SU1C,EAAOyF,EA/SbpD,EAASsD,EAAMtD,OACZA,KACL,IA6SQrC,EA7SD2F,EAAMtD,GAAQ,OA6SNoD,EA7SU/C,IA8SA1C,GAAUA,GAASyF,GAAUA,EA7SpD,OAAOpD,EAGX,OAAQ,EAWV,SAASixB,EAAQzwB,EAAQ0wB,GAMvB,IAiDF,IAAkBvzB,EApDZ6E,EAAQ,EACRxC,GAHJkxB,EA8FF,SAAevzB,EAAO6C,GACpB,GAAIwL,EAAQrO,GACV,OAAO,EAET,IAAI4I,SAAc5I,EAClB,GAAY,UAAR4I,GAA4B,UAARA,GAA4B,WAARA,GAC/B,MAAT5I,GAAiBwzB,EAASxzB,GAC5B,OAAO,EAET,OAAOuyB,EAAcxoB,KAAK/J,KAAWsyB,EAAavoB,KAAK/J,IAC1C,MAAV6C,GAAkB7C,KAASH,OAAOgD,GAxG9B4wB,CAAMF,EAAM1wB,GAAU,CAAC0wB,GAuDvBllB,EADSrO,EAtD+BuzB,GAuDvBvzB,EAAQ0zB,EAAa1zB,IApD3BqC,OAED,MAAVQ,GAAkBgC,EAAQxC,GAC/BQ,EAASA,EAAO8wB,EAAMJ,EAAK1uB,OAE7B,OAAQA,GAASA,GAASxC,EAAUQ,OAASqD,EAW/C,SAAS0tB,EAAa5zB,GACpB,SAAK6zB,EAAS7zB,KA4GEoJ,EA5GiBpJ,EA6GxBgJ,GAAeA,KAAcI,MA0MxC,SAAoBpJ,GAGlB,IAAIqI,EAAMwrB,EAAS7zB,GAASgzB,EAAehtB,KAAKhG,GAAS,GACzD,MArwBY,qBAqwBLqI,GApwBI,8BAowBcA,EAxTVyrB,CAAW9zB,IA3Z5B,SAAsBA,GAGpB,IAAI8G,GAAS,EACb,GAAa,MAAT9G,GAA0C,mBAAlBA,EAAMgI,SAChC,IACElB,KAAY9G,EAAQ,IACpB,MAAOmF,IAEX,OAAO2B,EAkZ6BitB,CAAa/zB,GAAU4J,EAAaL,GACzDQ,KAsJjB,SAAkBX,GAChB,GAAY,MAARA,EAAc,CAChB,IACE,OAAOC,EAAarD,KAAKoD,GACzB,MAAOjE,IACT,IACE,OAAQiE,EAAO,GACf,MAAOjE,KAEX,MAAO,GA/Ja6uB,CAASh0B,IAwG/B,IAAkBoJ,EA9DlB,SAAS6qB,EAAWjpB,EAAKtI,GACvB,IA+CiB1C,EACb4I,EAhDA9C,EAAOkF,EAAI1F,SACf,OAgDgB,WADZsD,SADa5I,EA9CA0C,KAgDmB,UAARkG,GAA4B,UAARA,GAA4B,WAARA,EACrD,cAAV5I,EACU,OAAVA,GAjDD8F,EAAmB,iBAAPpD,EAAkB,SAAW,QACzCoD,EAAKkF,IAWX,SAASkoB,EAAUrwB,EAAQH,GACzB,IAAI1C,EAjeN,SAAkB6C,EAAQH,GACxB,OAAiB,MAAVG,OAAiBqD,EAAYrD,EAAOH,GAge/BwxB,CAASrxB,EAAQH,GAC7B,OAAOkxB,EAAa5zB,GAASA,OAAQkG,EAlUvCyE,EAAK3I,UAAUuE,MAnEf,WACElB,KAAKC,SAAW6tB,EAAeA,EAAa,MAAQ,IAmEtDxoB,EAAK3I,UAAkB,OAtDvB,SAAoBU,GAClB,OAAO2C,KAAKqB,IAAIhE,WAAe2C,KAAKC,SAAS5C,IAsD/CiI,EAAK3I,UAAUiD,IA1Cf,SAAiBvC,GACf,IAAIoD,EAAOT,KAAKC,SAChB,GAAI6tB,EAAc,CAChB,IAAIrsB,EAAShB,EAAKpD,GAClB,MAzKiB,8BAyKVoE,OAA4BZ,EAAYY,EAEjD,OAAOgB,EAAe9B,KAAKF,EAAMpD,GAAOoD,EAAKpD,QAAOwD,GAqCtDyE,EAAK3I,UAAU0E,IAzBf,SAAiBhE,GACf,IAAIoD,EAAOT,KAAKC,SAChB,OAAO6tB,OAA6BjtB,IAAdJ,EAAKpD,GAAqBoF,EAAe9B,KAAKF,EAAMpD,IAwB5EiI,EAAK3I,UAAUyE,IAXf,SAAiB/D,EAAK1C,GAGpB,OAFWqF,KAAKC,SACX5C,GAAQywB,QAA0BjtB,IAAVlG,EAxMV,4BAwMkDA,EAC9DqF,MAoHTgB,EAAUrE,UAAUuE,MAjFpB,WACElB,KAAKC,SAAW,IAiFlBe,EAAUrE,UAAkB,OArE5B,SAAyBU,GACvB,IAAIoD,EAAOT,KAAKC,SACZT,EAAQwuB,EAAavtB,EAAMpD,GAE/B,QAAImC,EAAQ,KAIRA,GADYiB,EAAKzD,OAAS,EAE5ByD,EAAKC,MAELjB,EAAOkB,KAAKF,EAAMjB,EAAO,IAEpB,IAyDTwB,EAAUrE,UAAUiD,IA7CpB,SAAsBvC,GACpB,IAAIoD,EAAOT,KAAKC,SACZT,EAAQwuB,EAAavtB,EAAMpD,GAE/B,OAAOmC,EAAQ,OAAIqB,EAAYJ,EAAKjB,GAAO,IA0C7CwB,EAAUrE,UAAU0E,IA9BpB,SAAsBhE,GACpB,OAAO2wB,EAAahuB,KAAKC,SAAU5C,IAAQ,GA8B7C2D,EAAUrE,UAAUyE,IAjBpB,SAAsB/D,EAAK1C,GACzB,IAAI8F,EAAOT,KAAKC,SACZT,EAAQwuB,EAAavtB,EAAMpD,GAO/B,OALImC,EAAQ,EACViB,EAAKzC,KAAK,CAACX,EAAK1C,IAEhB8F,EAAKjB,GAAO,GAAK7E,EAEZqF,MAkGTgG,EAASrJ,UAAUuE,MA/DnB,WACElB,KAAKC,SAAW,CACd,KAAQ,IAAIqF,EACZ,IAAO,IAAKsoB,GAAO5sB,GACnB,OAAU,IAAIsE,IA4DlBU,EAASrJ,UAAkB,OA/C3B,SAAwBU,GACtB,OAAOuxB,EAAW5uB,KAAM3C,GAAa,OAAEA,IA+CzC2I,EAASrJ,UAAUiD,IAnCnB,SAAqBvC,GACnB,OAAOuxB,EAAW5uB,KAAM3C,GAAKuC,IAAIvC,IAmCnC2I,EAASrJ,UAAU0E,IAvBnB,SAAqBhE,GACnB,OAAOuxB,EAAW5uB,KAAM3C,GAAKgE,IAAIhE,IAuBnC2I,EAASrJ,UAAUyE,IAVnB,SAAqB/D,EAAK1C,GAExB,OADAi0B,EAAW5uB,KAAM3C,GAAK+D,IAAI/D,EAAK1C,GACxBqF,MAgLT,IAAIquB,EAAeS,GAAQ,SAAS5G,GA4SpC,IAAkBvtB,EA3ShButB,EA4SgB,OADAvtB,EA3SEutB,GA4SK,GArZzB,SAAsBvtB,GAEpB,GAAoB,iBAATA,EACT,OAAOA,EAET,GAAIwzB,EAASxzB,GACX,OAAOozB,EAAiBA,EAAeptB,KAAKhG,GAAS,GAEvD,IAAI8G,EAAU9G,EAAQ,GACtB,MAAkB,KAAV8G,GAAkB,EAAI9G,IAAU,IAAa,KAAO8G,EA4YhCstB,CAAap0B,GA1SzC,IAAI8G,EAAS,GAOb,OANI0rB,EAAazoB,KAAKwjB,IACpBzmB,EAAOzD,KAAK,IAEdkqB,EAAOrtB,QAAQuyB,GAAY,SAAS4B,EAAOC,EAAQC,EAAOhH,GACxDzmB,EAAOzD,KAAKkxB,EAAQhH,EAAOrtB,QAAQwyB,EAAc,MAAS4B,GAAUD,MAE/DvtB,KAUT,SAAS6sB,EAAM3zB,GACb,GAAoB,iBAATA,GAAqBwzB,EAASxzB,GACvC,OAAOA,EAET,IAAI8G,EAAU9G,EAAQ,GACtB,MAAkB,KAAV8G,GAAkB,EAAI9G,IA7lBjB,IA6lBwC,KAAO8G,EAkE9D,SAASqtB,EAAQ/qB,EAAMorB,GACrB,GAAmB,mBAARprB,GAAuBorB,GAA+B,mBAAZA,EACnD,MAAM,IAAI9e,UAvqBQ,uBAyqBpB,IAAI+e,EAAW,WACb,IAAI1H,EAAOvpB,UACPd,EAAM8xB,EAAWA,EAASlxB,MAAM+B,KAAM0nB,GAAQA,EAAK,GACnD1gB,EAAQooB,EAASpoB,MAErB,GAAIA,EAAM3F,IAAIhE,GACZ,OAAO2J,EAAMpH,IAAIvC,GAEnB,IAAIoE,EAASsC,EAAK9F,MAAM+B,KAAM0nB,GAE9B,OADA0H,EAASpoB,MAAQA,EAAM5F,IAAI/D,EAAKoE,GACzBA,GAGT,OADA2tB,EAASpoB,MAAQ,IAAK8nB,EAAQO,OAASrpB,GAChCopB,EAITN,EAAQO,MAAQrpB,EA6DhB,IAAIgD,EAAUzI,MAAMyI,QAmDpB,SAASwlB,EAAS7zB,GAChB,IAAI4I,SAAc5I,EAClB,QAASA,IAAkB,UAAR4I,GAA4B,YAARA,GAgDzC,SAAS4qB,EAASxzB,GAChB,MAAuB,iBAATA,GAtBhB,SAAsBA,GACpB,QAASA,GAAyB,iBAATA,EAsBtB20B,CAAa30B,IAn1BF,mBAm1BYgzB,EAAehtB,KAAKhG,GA0DhDuH,EAAOxH,QALP,SAAa8C,EAAQ0wB,EAAMqB,GACzB,IAAI9tB,EAAmB,MAAVjE,OAAiBqD,EAAYotB,EAAQzwB,EAAQ0wB,GAC1D,YAAkBrtB,IAAXY,EAAuB8tB,EAAe9tB,K,mDC75B/CS,EAAOxH,QAAU,CACb80B,IAAK,CACD9mB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBkmB,GAAI,CACApnB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBmmB,GAAI,CACArnB,KAAM,OACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBomB,GAAI,CACAtnB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBqmB,GAAI,CACAvnB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBsmB,GAAI,CACAxnB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IACT,CACCD,OAAQ,EACRC,OAAQ,MAEZC,SAAU,EACVC,YAAa,+HACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,EAAU,EAAU,IAANA,EAAU,EAAIA,EAAI,KAAO,GAAKA,EAAI,KAAO,GAAK,EAAIA,EAAI,KAAO,GAAK,EAAI,IAGlHumB,IAAK,CACDznB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBwmB,IAAK,CACD1nB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBymB,GAAI,CACA3nB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf+D,GAAI,CACA5nB,KAAM,cACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB2mB,GAAI,CACA7nB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzH4mB,GAAI,CACA9nB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6mB,GAAI,CACA/nB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8mB,GAAI,CACAhoB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfoE,GAAI,CACAjoB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBgnB,IAAK,CACDloB,KAAM,OACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBinB,GAAI,CACAnoB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzHknB,GAAI,CACApoB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBmnB,IAAK,CACDroB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfyE,GAAI,CACAtoB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,oEACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAKA,GAAK,GAAKA,GAAK,EAAK,EAAI,IAGvDqnB,IAAK,CACDvoB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,+GACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGlGsnB,GAAI,CACAxoB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,qFACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,EAAU,EAAW,IAANA,GAAiB,KAANA,EAAY,EAAI,IAGxEunB,GAAI,CACAzoB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBwnB,GAAI,CACA1oB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBynB,IAAK,CACD3oB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB0nB,GAAI,CACA5oB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf3tB,GAAI,CACA8J,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB2nB,GAAI,CACA7oB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB4nB,GAAI,CACA9oB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6nB,GAAI,CACA/oB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8nB,GAAI,CACAhpB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB+nB,GAAI,CACAjpB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBgoB,GAAI,CACAlpB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfsF,GAAI,CACAnpB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBkoB,GAAI,CACAppB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBmoB,IAAK,CACDrpB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBooB,GAAI,CACAtpB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBqoB,GAAI,CACAvpB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBsoB,IAAK,CACDxpB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBuoB,GAAI,CACAzpB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBwoB,GAAI,CACA1pB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,KAEZC,SAAU,EACVC,YAAa,kFACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,EAAU,EAAIA,EAAI,EAAI,EAAIA,EAAI,GAAK,EAAI,IAGrEyoB,GAAI,CACA3pB,KAAM,kBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,KAEZC,SAAU,EACVC,YAAa,6GACbtD,YAAa,SAAS3iB,GAClB,OAAe,IAANA,GAAiB,KAANA,EAAY,EAAW,IAANA,GAAiB,KAANA,EAAY,EAAKA,EAAI,GAAKA,EAAI,GAAM,EAAI,IAGhG0oB,GAAI,CACA5pB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB2oB,GAAI,CACA7pB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB4oB,IAAK,CACD9pB,KAAM,MACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpB6oB,GAAI,CACA/pB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8oB,GAAI,CACAhqB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB+oB,GAAI,CACAjqB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBgpB,IAAK,CACDlqB,KAAM,gBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBipB,GAAI,CACAnqB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzHkpB,GAAI,CACApqB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBmpB,GAAI,CACArqB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8J,GAAI,CACAhL,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfyG,GAAI,CACAtqB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,0DACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,KAG5CqpB,GAAI,CACAvqB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBspB,GAAI,CACAxqB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf4G,IAAK,CACDzqB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf6G,GAAI,CACA1qB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBypB,GAAI,CACA3qB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf+G,GAAI,CACA5qB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfgH,GAAI,CACA7qB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfiH,GAAI,CACA9qB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6pB,GAAI,CACA/qB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfmH,GAAI,CACAhrB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB+pB,GAAI,CACAjrB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,uEACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,EAAU,EAAU,IAANA,EAAU,EAAI,IAG1DgqB,GAAI,CACAlrB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfsH,GAAI,CACAnrB,KAAM,gBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBkqB,GAAI,CACAprB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBmqB,GAAI,CACArrB,KAAM,MACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfyH,GAAI,CACAtrB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,KAEZC,SAAU,EACVC,YAAa,uHACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAG1GqqB,GAAI,CACAvrB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,gFACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAU,IAANA,EAAU,EAAI,IAGnEsqB,IAAK,CACDxrB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBuqB,IAAK,CACDzrB,KAAM,mBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBwqB,GAAI,CACA1rB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpByqB,GAAI,CACA3rB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpB0qB,GAAI,CACA5rB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2DACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,GAAWA,EAAI,IAAO,EAAI,EAAI,IAG9C2qB,GAAI,CACA7rB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB4qB,GAAI,CACA9rB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6qB,IAAK,CACD/rB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8qB,IAAK,CACDhsB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,yDACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,EAAU,EAAI,IAG5C+qB,GAAI,CACAjsB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBgrB,GAAI,CACAlsB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfsI,GAAI,CACAnsB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IACT,CACCD,OAAQ,EACRC,OAAQ,KAEZC,SAAU,EACVC,YAAa,iIACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAU,IAANA,GAAYA,EAAI,IAAM,GAAKA,EAAI,IAAM,GAAM,EAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,GAAM,EAAI,IAGlHkrB,GAAI,CACApsB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfwI,IAAK,CACDrsB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBorB,IAAK,CACDtsB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBqrB,GAAI,CACAvsB,KAAM,mBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBsrB,GAAI,CACAxsB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBurB,GAAI,CACAzsB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBwrB,GAAI,CACA1sB,KAAM,oBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhByrB,GAAI,CACA3sB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB0rB,IAAK,CACD5sB,KAAM,iBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB2rB,GAAI,CACA7sB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpB4rB,GAAI,CACA9sB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6rB,GAAI,CACA/sB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB8rB,IAAK,CACDhtB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB+rB,GAAI,CACAjtB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,+GACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGlGgsB,IAAK,CACDltB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBisB,GAAI,CACAntB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBksB,GAAI,CACAptB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBmsB,GAAI,CACArtB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBosB,GAAI,CACAttB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,KAEZC,SAAU,EACVC,YAAa,4FACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAW,IAANA,GAAYA,EAAI,IAAM,GAAKA,EAAI,IAAM,GAAO,EAAI,IAG/EqsB,GAAI,CACAvtB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzHssB,GAAI,CACAxtB,KAAM,cACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBusB,IAAK,CACDztB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf6J,IAAK,CACD1tB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBysB,IAAK,CACD3tB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB0sB,GAAI,CACA5tB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB2sB,GAAI,CACA7tB,KAAM,gBACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB4sB,GAAI,CACA9tB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB6sB,GAAI,CACA/tB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,oEACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,EAAU,EAAKA,GAAK,GAAKA,GAAK,EAAK,EAAI,IAGvD8sB,GAAI,CACAhuB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,0GACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,KAAQ,EAAI,EAAIA,EAAI,KAAQ,EAAI,EAAIA,EAAI,KAAQ,GAAKA,EAAI,KAAQ,EAAI,EAAI,IAG7F+sB,GAAI,CACAjuB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBgtB,IAAK,CACDluB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBitB,GAAI,CACAnuB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBktB,GAAI,CACApuB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzHmtB,GAAI,CACAruB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfyK,GAAI,CACAtuB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBqtB,GAAI,CACAvuB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBstB,GAAI,CACAxuB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhButB,GAAI,CACAzuB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBwtB,GAAI,CACA1uB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBytB,GAAI,CACA3uB,KAAM,OACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf+K,GAAI,CACA5uB,KAAM,WACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpB2tB,GAAI,CACA7uB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhB4tB,GAAI,CACA9uB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpB6tB,GAAI,CACA/uB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfmL,GAAI,CACAhvB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfoL,GAAI,CACAjvB,KAAM,YACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,sIACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAAO,GAAKA,EAAI,KAAQ,GAAK,EAAIA,EAAI,IAAM,GAAKA,EAAI,IAAM,IAAMA,EAAI,IAAM,IAAMA,EAAI,KAAO,IAAM,EAAI,IAGzHguB,GAAI,CACAlvB,KAAM,OACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBiuB,GAAI,CACAnvB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBkuB,GAAI,CACApvB,KAAM,aACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGfwL,GAAI,CACArvB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,iCACbtD,YAAa,SAAS3iB,GAClB,OAAQA,EAAI,IAGpBouB,GAAI,CACAtvB,KAAM,QACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,IAGf0L,GAAI,CACAvvB,KAAM,SACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,GACT,CACCD,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,mCACbtD,YAAa,SAAS3iB,GAClB,OAAc,IAANA,IAGhBsuB,GAAI,CACAxvB,KAAM,UACN+mB,SAAU,CAAC,CACPC,OAAQ,EACRC,OAAQ,IAEZC,SAAU,EACVC,YAAa,2BACbtD,YAAa,WACT,OAAO,M,kCC7gEnB,8CA0BA,IAAI4L,OAAO,EAEX,SAASC,IACHA,EAAWC,OACfD,EAAWC,MAAO,EAClBF,GAAyC,IA/B3C,WACC,IAAIG,EAAK58B,OAAO8gB,UAAUC,UAEtB8b,EAAOD,EAAGn5B,QAAQ,SACtB,GAAIo5B,EAAO,EAEV,OAAOnY,SAASkY,EAAGE,UAAUD,EAAO,EAAGD,EAAGn5B,QAAQ,IAAKo5B,IAAQ,IAIhE,GADcD,EAAGn5B,QAAQ,YACX,EAAG,CAEhB,IAAIs5B,EAAKH,EAAGn5B,QAAQ,OACpB,OAAOihB,SAASkY,EAAGE,UAAUC,EAAK,EAAGH,EAAGn5B,QAAQ,IAAKs5B,IAAM,IAG5D,IAAIC,EAAOJ,EAAGn5B,QAAQ,SACtB,OAAIu5B,EAAO,EAEHtY,SAASkY,EAAGE,UAAUE,EAAO,EAAGJ,EAAGn5B,QAAQ,IAAKu5B,IAAQ,KAIxD,EAQAC,IAIT,IAAI5b,EAAiB,CAAEiG,OAAQ,WAC7B,IAAmB/B,EAATjhB,KAAkBkhB,eAA2C,OAA7DlhB,KAA8CohB,MAAMD,IAAMF,GAAa,MAAO,CAAEI,YAAa,kBAAmBI,MAAO,CAAE,SAAY,SAC7IwB,gBAAiB,GAAIG,SAAU,kBAClC1a,KAAM,kBAEN2W,QAAS,CACRuZ,iBAAkB,WACb54B,KAAK64B,KAAO74B,KAAK84B,IAAIC,aAAe/4B,KAAKihB,KAAOjhB,KAAK84B,IAAIE,eAC5Dh5B,KAAK64B,GAAK74B,KAAK84B,IAAIC,YACnB/4B,KAAKihB,GAAKjhB,KAAK84B,IAAIE,aACnBh5B,KAAK0f,MAAM,YAGbuZ,kBAAmB,WAClBj5B,KAAKk5B,cAAcC,gBAAgBC,YAAYv5B,iBAAiB,SAAUG,KAAK44B,kBAC/E54B,KAAK44B,oBAENS,qBAAsB,WACjBr5B,KAAKk5B,eAAiBl5B,KAAKk5B,cAAcI,UACvCnB,GAAQn4B,KAAKk5B,cAAcC,iBAC/Bn5B,KAAKk5B,cAAcC,gBAAgBC,YAAYnoB,oBAAoB,SAAUjR,KAAK44B,yBAE5E54B,KAAKk5B,cAAcI,UAK7Bra,QAAS,WACR,IAAI/O,EAAQlQ,KAEZo4B,IACAp4B,KAAKu5B,WAAU,WACdrpB,EAAM2oB,GAAK3oB,EAAM4oB,IAAIC,YACrB7oB,EAAM+Q,GAAK/Q,EAAM4oB,IAAIE,gBAEtB,IAAIx7B,EAAS4V,SAASC,cAAc,UACpCrT,KAAKk5B,cAAgB17B,EACrBA,EAAO6B,aAAa,cAAe,QACnC7B,EAAO6B,aAAa,YAAa,GACjC7B,EAAO87B,OAASt5B,KAAKi5B,kBACrBz7B,EAAO+F,KAAO,YACV40B,GACHn4B,KAAK84B,IAAIhkB,YAAYtX,GAEtBA,EAAOiD,KAAO,cACT03B,GACJn4B,KAAK84B,IAAIhkB,YAAYtX,IAGvB4hB,cAAe,WACdpf,KAAKq5B,yBAWP,IAAI/P,EAAS,CAEZkQ,QAAS,QACTtQ,QATD,SAAiBC,GAChBA,EAAII,UAAU,kBAAmBxM,GACjCoM,EAAII,UAAU,iBAAkBxM,KAW7BqN,EAAY,KACM,oBAAX1uB,OACV0uB,EAAY1uB,OAAOytB,SACS,IAAXrnB,IACjBsoB,EAAYtoB,EAAOqnB,KAEhBiB,GACHA,EAAUC,IAAIf,K,oDC9Gf;;;;;;;;;;;;;;;;;;;;;;;;;AAwBA,IAAImQ,EAA8B,oBAAX/9B,QAA8C,oBAAb0X,UAAiD,oBAAdoJ,UAEvFkd,EAAkB,WAEpB,IADA,IAAIC,EAAwB,CAAC,OAAQ,UAAW,WACvC58B,EAAI,EAAGA,EAAI48B,EAAsB38B,OAAQD,GAAK,EACrD,GAAI08B,GAAajd,UAAUC,UAAUtd,QAAQw6B,EAAsB58B,KAAO,EACxE,OAAO,EAGX,OAAO,EAPa,GAqCtB,IAWI68B,EAXqBH,GAAa/9B,OAAO2Y,QA3B7C,SAA2BpS,GACzB,IAAI43B,GAAS,EACb,OAAO,WACDA,IAGJA,GAAS,EACTn+B,OAAO2Y,QAAQlG,UAAUgG,MAAK,WAC5B0lB,GAAS,EACT53B,UAKN,SAAsBA,GACpB,IAAI63B,GAAY,EAChB,OAAO,WACAA,IACHA,GAAY,EACZjjB,YAAW,WACTijB,GAAY,EACZ73B,MACCy3B,MAyBT,SAASjL,EAAWsL,GAElB,OAAOA,GAA8D,sBADvD,GACoBp3B,SAAShC,KAAKo5B,GAUlD,SAASC,EAAyB7jB,EAAS8jB,GACzC,GAAyB,IAArB9jB,EAAQ5L,SACV,MAAO,GAGT,IACIif,EADSrT,EAAQ6B,cAAcohB,YAClBc,iBAAiB/jB,EAAS,MAC3C,OAAO8jB,EAAWzQ,EAAIyQ,GAAYzQ,EAUpC,SAAS2Q,EAAchkB,GACrB,MAAyB,SAArBA,EAAQikB,SACHjkB,EAEFA,EAAQY,YAAcZ,EAAQkkB,KAUvC,SAASC,EAAgBnkB,GAEvB,IAAKA,EACH,OAAO/C,SAAS6E,KAGlB,OAAQ9B,EAAQikB,UACd,IAAK,OACL,IAAK,OACH,OAAOjkB,EAAQ6B,cAAcC,KAC/B,IAAK,YACH,OAAO9B,EAAQ8B,KAKnB,IAAIsiB,EAAwBP,EAAyB7jB,GACjDqkB,EAAWD,EAAsBC,SACjCC,EAAYF,EAAsBE,UAClCC,EAAYH,EAAsBG,UAEtC,MAAI,wBAAwBh2B,KAAK81B,EAAWE,EAAYD,GAC/CtkB,EAGFmkB,EAAgBH,EAAchkB,IAUvC,SAASwkB,EAAiBnqB,GACxB,OAAOA,GAAaA,EAAUoqB,cAAgBpqB,EAAUoqB,cAAgBpqB,EAG1E,IAAIqqB,EAASpB,MAAgB/9B,OAAOo/B,uBAAwB1nB,SAAS2nB,cACjEC,EAASvB,GAAa,UAAU/0B,KAAK8X,UAAUC,WASnD,SAAS0b,EAAKqB,GACZ,OAAgB,KAAZA,EACKqB,EAEO,KAAZrB,EACKwB,EAEFH,GAAUG,EAUnB,SAASC,EAAgB9kB,GACvB,IAAKA,EACH,OAAO/C,SAAS8nB,gBAQlB,IALA,IAAIC,EAAiBhD,EAAK,IAAM/kB,SAAS6E,KAAO,KAG5CmjB,EAAejlB,EAAQilB,cAAgB,KAEpCA,IAAiBD,GAAkBhlB,EAAQklB,oBAChDD,GAAgBjlB,EAAUA,EAAQklB,oBAAoBD,aAGxD,IAAIhB,EAAWgB,GAAgBA,EAAahB,SAE5C,OAAKA,GAAyB,SAAbA,GAAoC,SAAbA,GAMsB,IAA1D,CAAC,KAAM,KAAM,SAASj7B,QAAQi8B,EAAahB,WAA2E,WAAvDJ,EAAyBoB,EAAc,YACjGH,EAAgBG,GAGlBA,EATEjlB,EAAUA,EAAQ6B,cAAckjB,gBAAkB9nB,SAAS8nB,gBA4BtE,SAASI,EAAQC,GACf,OAAwB,OAApBA,EAAKxkB,WACAukB,EAAQC,EAAKxkB,YAGfwkB,EAWT,SAASC,EAAuBC,EAAUC,GAExC,KAAKD,GAAaA,EAASlxB,UAAamxB,GAAaA,EAASnxB,UAC5D,OAAO6I,SAAS8nB,gBAIlB,IAAIS,EAAQF,EAASG,wBAAwBF,GAAYG,KAAKC,4BAC1DhU,EAAQ6T,EAAQF,EAAWC,EAC3BK,EAAMJ,EAAQD,EAAWD,EAGzBO,EAAQ5oB,SAAS6oB,cACrBD,EAAME,SAASpU,EAAO,GACtBkU,EAAMG,OAAOJ,EAAK,GAClB,IA/CyB5lB,EACrBikB,EA8CAgC,EAA0BJ,EAAMI,wBAIpC,GAAIX,IAAaW,GAA2BV,IAAaU,GAA2BtU,EAAMjX,SAASkrB,GACjG,MAjDe,UAFb3B,GADqBjkB,EAoDDimB,GAnDDhC,WAKH,SAAbA,GAAuBa,EAAgB9kB,EAAQkmB,qBAAuBlmB,EAkDpE8kB,EAAgBmB,GAHdA,EAOX,IAAIE,EAAehB,EAAQG,GAC3B,OAAIa,EAAajC,KACRmB,EAAuBc,EAAajC,KAAMqB,GAE1CF,EAAuBC,EAAUH,EAAQI,GAAUrB,MAY9D,SAASkC,EAAUpmB,GACjB,IAAIqmB,EAAOr+B,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,MAE3Es+B,EAAqB,QAATD,EAAiB,YAAc,aAC3CpC,EAAWjkB,EAAQikB,SAEvB,GAAiB,SAAbA,GAAoC,SAAbA,EAAqB,CAC9C,IAAI3qB,EAAO0G,EAAQ6B,cAAckjB,gBAC7BwB,EAAmBvmB,EAAQ6B,cAAc0kB,kBAAoBjtB,EACjE,OAAOitB,EAAiBD,GAG1B,OAAOtmB,EAAQsmB,GAYjB,SAASE,EAAcC,EAAMzmB,GAC3B,IAAI0mB,EAAW1+B,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAE1E2+B,EAAYP,EAAUpmB,EAAS,OAC/B4mB,EAAaR,EAAUpmB,EAAS,QAChC6mB,EAAWH,GAAY,EAAI,EAK/B,OAJAD,EAAKK,KAAOH,EAAYE,EACxBJ,EAAKM,QAAUJ,EAAYE,EAC3BJ,EAAKO,MAAQJ,EAAaC,EAC1BJ,EAAKQ,OAASL,EAAaC,EACpBJ,EAaT,SAASS,EAAeC,EAAQC,GAC9B,IAAIC,EAAiB,MAATD,EAAe,OAAS,MAChCE,EAAkB,SAAVD,EAAmB,QAAU,SAEzC,OAAOE,WAAWJ,EAAO,SAAWE,EAAQ,UAAYE,WAAWJ,EAAO,SAAWG,EAAQ,UAG/F,SAASE,EAAQJ,EAAMtlB,EAAMxI,EAAMmuB,GACjC,OAAOhqB,KAAKgU,IAAI3P,EAAK,SAAWslB,GAAOtlB,EAAK,SAAWslB,GAAO9tB,EAAK,SAAW8tB,GAAO9tB,EAAK,SAAW8tB,GAAO9tB,EAAK,SAAW8tB,GAAOpF,EAAK,IAAM/X,SAAS3Q,EAAK,SAAW8tB,IAASnd,SAASwd,EAAc,UAAqB,WAATL,EAAoB,MAAQ,UAAYnd,SAASwd,EAAc,UAAqB,WAATL,EAAoB,SAAW,WAAa,GAG5U,SAASM,EAAezqB,GACtB,IAAI6E,EAAO7E,EAAS6E,KAChBxI,EAAO2D,EAAS8nB,gBAChB0C,EAAgBzF,EAAK,KAAO+B,iBAAiBzqB,GAEjD,MAAO,CACLquB,OAAQH,EAAQ,SAAU1lB,EAAMxI,EAAMmuB,GACtCG,MAAOJ,EAAQ,QAAS1lB,EAAMxI,EAAMmuB,IAIxC,IAAII,EAAiB,SAAU7tB,EAAUC,GACvC,KAAMD,aAAoBC,GACxB,MAAM,IAAIC,UAAU,sCAIpB4tB,EAAc,WAChB,SAAS1/B,EAAiB1B,EAAQC,GAChC,IAAK,IAAIC,EAAI,EAAGA,EAAID,EAAME,OAAQD,IAAK,CACrC,IAAIE,EAAaH,EAAMC,GACvBE,EAAWC,WAAaD,EAAWC,aAAc,EACjDD,EAAWE,cAAe,EACtB,UAAWF,IAAYA,EAAWG,UAAW,GACjD5C,OAAOC,eAAeoC,EAAQI,EAAWI,IAAKJ,IAIlD,OAAO,SAAUmT,EAAaqB,EAAYC,GAGxC,OAFID,GAAYlT,EAAiB6R,EAAYzT,UAAW8U,GACpDC,GAAanT,EAAiB6R,EAAasB,GACxCtB,GAdO,GAsBd3V,EAAiB,SAAU8B,EAAKc,EAAK1C,GAYvC,OAXI0C,KAAOd,EACT/B,OAAOC,eAAe8B,EAAKc,EAAK,CAC9B1C,MAAOA,EACPuC,YAAY,EACZC,cAAc,EACdC,UAAU,IAGZb,EAAIc,GAAO1C,EAGN4B,GAGL2hC,EAAW1jC,OAAO8a,QAAU,SAAUzY,GACxC,IAAK,IAAIE,EAAI,EAAGA,EAAIoB,UAAUnB,OAAQD,IAAK,CACzC,IAAIqB,EAASD,UAAUpB,GAEvB,IAAK,IAAIM,KAAOe,EACV5D,OAAOmC,UAAU8F,eAAe9B,KAAKvC,EAAQf,KAC/CR,EAAOQ,GAAOe,EAAOf,IAK3B,OAAOR,GAUT,SAASshC,EAAcC,GACrB,OAAOF,EAAS,GAAIE,EAAS,CAC3BhB,MAAOgB,EAAQjB,KAAOiB,EAAQL,MAC9Bb,OAAQkB,EAAQnB,IAAMmB,EAAQN,SAWlC,SAASO,EAAsBloB,GAC7B,IAAIymB,EAAO,GAKX,IACE,GAAIzE,EAAK,IAAK,CACZyE,EAAOzmB,EAAQkoB,wBACf,IAAIvB,EAAYP,EAAUpmB,EAAS,OAC/B4mB,EAAaR,EAAUpmB,EAAS,QACpCymB,EAAKK,KAAOH,EACZF,EAAKO,MAAQJ,EACbH,EAAKM,QAAUJ,EACfF,EAAKQ,OAASL,OAEdH,EAAOzmB,EAAQkoB,wBAEjB,MAAOv+B,IAET,IAAI2B,EAAS,CACX07B,KAAMP,EAAKO,KACXF,IAAKL,EAAKK,IACVc,MAAOnB,EAAKQ,MAAQR,EAAKO,KACzBW,OAAQlB,EAAKM,OAASN,EAAKK,KAIzBqB,EAA6B,SAArBnoB,EAAQikB,SAAsByD,EAAe1nB,EAAQ6B,eAAiB,GAC9E+lB,EAAQO,EAAMP,OAAS5nB,EAAQooB,aAAe98B,EAAOs8B,MACrDD,EAASQ,EAAMR,QAAU3nB,EAAQqoB,cAAgB/8B,EAAOq8B,OAExDW,EAAiBtoB,EAAQ4iB,YAAcgF,EACvCW,EAAgBvoB,EAAQ6iB,aAAe8E,EAI3C,GAAIW,GAAkBC,EAAe,CACnC,IAAIpB,EAAStD,EAAyB7jB,GACtCsoB,GAAkBpB,EAAeC,EAAQ,KACzCoB,GAAiBrB,EAAeC,EAAQ,KAExC77B,EAAOs8B,OAASU,EAChBh9B,EAAOq8B,QAAUY,EAGnB,OAAOP,EAAc18B,GAGvB,SAASk9B,EAAqCC,EAAUpb,GACtD,IAAIqb,EAAgB1gC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAE/E68B,EAAS7C,EAAK,IACd2G,EAA6B,SAApBtb,EAAO4W,SAChB2E,EAAeV,EAAsBO,GACrCI,EAAaX,EAAsB7a,GACnCyb,EAAe3E,EAAgBsE,GAE/BtB,EAAStD,EAAyBxW,GAClC0b,EAAiBxB,WAAWJ,EAAO4B,gBACnCC,EAAkBzB,WAAWJ,EAAO6B,iBAGpCN,GAAiBC,IACnBE,EAAW/B,IAAMrpB,KAAKgU,IAAIoX,EAAW/B,IAAK,GAC1C+B,EAAW7B,KAAOvpB,KAAKgU,IAAIoX,EAAW7B,KAAM,IAE9C,IAAIiB,EAAUD,EAAc,CAC1BlB,IAAK8B,EAAa9B,IAAM+B,EAAW/B,IAAMiC,EACzC/B,KAAM4B,EAAa5B,KAAO6B,EAAW7B,KAAOgC,EAC5CpB,MAAOgB,EAAahB,MACpBD,OAAQiB,EAAajB,SASvB,GAPAM,EAAQgB,UAAY,EACpBhB,EAAQiB,WAAa,GAMhBrE,GAAU8D,EAAQ,CACrB,IAAIM,EAAY1B,WAAWJ,EAAO8B,WAC9BC,EAAa3B,WAAWJ,EAAO+B,YAEnCjB,EAAQnB,KAAOiC,EAAiBE,EAChChB,EAAQlB,QAAUgC,EAAiBE,EACnChB,EAAQjB,MAAQgC,EAAkBE,EAClCjB,EAAQhB,OAAS+B,EAAkBE,EAGnCjB,EAAQgB,UAAYA,EACpBhB,EAAQiB,WAAaA,EAOvB,OAJIrE,IAAW6D,EAAgBrb,EAAO3S,SAASouB,GAAgBzb,IAAWyb,GAA0C,SAA1BA,EAAa7E,YACrGgE,EAAUzB,EAAcyB,EAAS5a,IAG5B4a,EAGT,SAASkB,EAA8CnpB,GACrD,IAAIopB,EAAgBphC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAE/EsR,EAAO0G,EAAQ6B,cAAckjB,gBAC7BsE,EAAiBb,EAAqCxoB,EAAS1G,GAC/DsuB,EAAQnqB,KAAKgU,IAAInY,EAAK8uB,YAAa7iC,OAAO+jC,YAAc,GACxD3B,EAASlqB,KAAKgU,IAAInY,EAAK+uB,aAAc9iC,OAAOgkC,aAAe,GAE3D5C,EAAayC,EAAkC,EAAlBhD,EAAU9sB,GACvCstB,EAAcwC,EAA0C,EAA1BhD,EAAU9sB,EAAM,QAE9C3G,EAAS,CACXm0B,IAAKH,EAAY0C,EAAevC,IAAMuC,EAAeJ,UACrDjC,KAAMJ,EAAayC,EAAerC,KAAOqC,EAAeH,WACxDtB,MAAOA,EACPD,OAAQA,GAGV,OAAOK,EAAcr1B,GAWvB,SAAS62B,EAAQxpB,GACf,IAAIikB,EAAWjkB,EAAQikB,SACvB,GAAiB,SAAbA,GAAoC,SAAbA,EACzB,OAAO,EAET,GAAsD,UAAlDJ,EAAyB7jB,EAAS,YACpC,OAAO,EAET,IAAIY,EAAaojB,EAAchkB,GAC/B,QAAKY,GAGE4oB,EAAQ5oB,GAWjB,SAAS6oB,EAA6BzpB,GAEpC,IAAKA,IAAYA,EAAQ0pB,eAAiB1H,IACxC,OAAO/kB,SAAS8nB,gBAGlB,IADA,IAAIt8B,EAAKuX,EAAQ0pB,cACVjhC,GAAoD,SAA9Co7B,EAAyBp7B,EAAI,cACxCA,EAAKA,EAAGihC,cAEV,OAAOjhC,GAAMwU,SAAS8nB,gBAcxB,SAAS4E,EAAcC,EAAQvvB,EAAWwvB,EAAS3pB,GACjD,IAAIwoB,EAAgB1gC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAI/E8hC,EAAa,CAAEhD,IAAK,EAAGE,KAAM,GAC7B/B,EAAeyD,EAAgBe,EAA6BG,GAAUvE,EAAuBuE,EAAQpF,EAAiBnqB,IAG1H,GAA0B,aAAtB6F,EACF4pB,EAAaX,EAA8ClE,EAAcyD,OACpE,CAEL,IAAIqB,OAAiB,EACK,iBAAtB7pB,EAE8B,UADhC6pB,EAAiB5F,EAAgBH,EAAc3pB,KAC5B4pB,WACjB8F,EAAiBH,EAAO/nB,cAAckjB,iBAGxCgF,EAD+B,WAAtB7pB,EACQ0pB,EAAO/nB,cAAckjB,gBAErB7kB,EAGnB,IAAI+nB,EAAUO,EAAqCuB,EAAgB9E,EAAcyD,GAGjF,GAAgC,SAA5BqB,EAAe9F,UAAwBuF,EAAQvE,GAWjD6E,EAAa7B,MAXmD,CAChE,IAAI+B,EAAkBtC,EAAekC,EAAO/nB,eACxC8lB,EAASqC,EAAgBrC,OACzBC,EAAQoC,EAAgBpC,MAE5BkC,EAAWhD,KAAOmB,EAAQnB,IAAMmB,EAAQgB,UACxCa,EAAW/C,OAASY,EAASM,EAAQnB,IACrCgD,EAAW9C,MAAQiB,EAAQjB,KAAOiB,EAAQiB,WAC1CY,EAAW7C,MAAQW,EAAQK,EAAQjB,MASvC,IAAIiD,EAAqC,iBADzCJ,EAAUA,GAAW,GAOrB,OALAC,EAAW9C,MAAQiD,EAAkBJ,EAAUA,EAAQ7C,MAAQ,EAC/D8C,EAAWhD,KAAOmD,EAAkBJ,EAAUA,EAAQ/C,KAAO,EAC7DgD,EAAW7C,OAASgD,EAAkBJ,EAAUA,EAAQ5C,OAAS,EACjE6C,EAAW/C,QAAUkD,EAAkBJ,EAAUA,EAAQ9C,QAAU,EAE5D+C,EAGT,SAASI,EAAQnpB,GAIf,OAHYA,EAAK6mB,MACJ7mB,EAAK4mB,OAcpB,SAASwC,EAAqB5wB,EAAW6wB,EAASR,EAAQvvB,EAAW6F,GACnE,IAAI2pB,EAAU7hC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,EAElF,IAAmC,IAA/BuR,EAAUvQ,QAAQ,QACpB,OAAOuQ,EAGT,IAAIuwB,EAAaH,EAAcC,EAAQvvB,EAAWwvB,EAAS3pB,GAEvDmqB,EAAQ,CACVvD,IAAK,CACHc,MAAOkC,EAAWlC,MAClBD,OAAQyC,EAAQtD,IAAMgD,EAAWhD,KAEnCG,MAAO,CACLW,MAAOkC,EAAW7C,MAAQmD,EAAQnD,MAClCU,OAAQmC,EAAWnC,QAErBZ,OAAQ,CACNa,MAAOkC,EAAWlC,MAClBD,OAAQmC,EAAW/C,OAASqD,EAAQrD,QAEtCC,KAAM,CACJY,MAAOwC,EAAQpD,KAAO8C,EAAW9C,KACjCW,OAAQmC,EAAWnC,SAInB2C,EAAcjmC,OAAOkD,KAAK8iC,GAAO76B,KAAI,SAAUtI,GACjD,OAAO6gC,EAAS,CACd7gC,IAAKA,GACJmjC,EAAMnjC,GAAM,CACbqjC,KAAML,EAAQG,EAAMnjC,SAErBsjC,MAAK,SAAUC,EAAGC,GACnB,OAAOA,EAAEH,KAAOE,EAAEF,QAGhBI,EAAgBL,EAAY5iC,QAAO,SAAUwe,GAC/C,IAAI0hB,EAAQ1hB,EAAM0hB,MACdD,EAASzhB,EAAMyhB,OACnB,OAAOC,GAASgC,EAAOxB,aAAeT,GAAUiC,EAAOvB,gBAGrDuC,EAAoBD,EAAc9jC,OAAS,EAAI8jC,EAAc,GAAGzjC,IAAMojC,EAAY,GAAGpjC,IAErF2jC,EAAYtxB,EAAUhR,MAAM,KAAK,GAErC,OAAOqiC,GAAqBC,EAAY,IAAMA,EAAY,IAa5D,SAASC,EAAoB3oB,EAAOynB,EAAQvvB,GAC1C,IAAIquB,EAAgB1gC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,KAEpF+iC,EAAqBrC,EAAgBe,EAA6BG,GAAUvE,EAAuBuE,EAAQpF,EAAiBnqB,IAChI,OAAOmuB,EAAqCnuB,EAAW0wB,EAAoBrC,GAU7E,SAASsC,EAAchrB,GACrB,IACImnB,EADSnnB,EAAQ6B,cAAcohB,YACfc,iBAAiB/jB,GACjCirB,EAAI1D,WAAWJ,EAAO8B,WAAa,GAAK1B,WAAWJ,EAAO+D,cAAgB,GAC1EC,EAAI5D,WAAWJ,EAAO+B,YAAc,GAAK3B,WAAWJ,EAAOiE,aAAe,GAK9E,MAJa,CACXxD,MAAO5nB,EAAQ4iB,YAAcuI,EAC7BxD,OAAQ3nB,EAAQ6iB,aAAeoI,GAYnC,SAASI,EAAqB9xB,GAC5B,IAAI+xB,EAAO,CAAEtE,KAAM,QAASC,MAAO,OAAQF,OAAQ,MAAOD,IAAK,UAC/D,OAAOvtB,EAAU7U,QAAQ,0BAA0B,SAAU6mC,GAC3D,OAAOD,EAAKC,MAchB,SAASC,EAAiB5B,EAAQ6B,EAAkBlyB,GAClDA,EAAYA,EAAUhR,MAAM,KAAK,GAGjC,IAAImjC,EAAaV,EAAcpB,GAG3B+B,EAAgB,CAClB/D,MAAO8D,EAAW9D,MAClBD,OAAQ+D,EAAW/D,QAIjBiE,GAAoD,IAA1C,CAAC,QAAS,QAAQ5iC,QAAQuQ,GACpCsyB,EAAWD,EAAU,MAAQ,OAC7BE,EAAgBF,EAAU,OAAS,MACnCG,EAAcH,EAAU,SAAW,QACnCI,EAAwBJ,EAAqB,QAAX,SAStC,OAPAD,EAAcE,GAAYJ,EAAiBI,GAAYJ,EAAiBM,GAAe,EAAIL,EAAWK,GAAe,EAEnHJ,EAAcG,GADZvyB,IAAcuyB,EACeL,EAAiBK,GAAiBJ,EAAWM,GAE7CP,EAAiBJ,EAAqBS,IAGhEH,EAYT,SAASM,EAAKC,EAAKC,GAEjB,OAAI/hC,MAAM5D,UAAUylC,KACXC,EAAID,KAAKE,GAIXD,EAAIxkC,OAAOykC,GAAO,GAqC3B,SAASC,EAAatsB,EAAWxV,EAAM+hC,GAoBrC,YAnB8B3hC,IAAT2hC,EAAqBvsB,EAAYA,EAAU6O,MAAM,EA1BxE,SAAmBud,EAAKI,EAAM9nC,GAE5B,GAAI4F,MAAM5D,UAAU+lC,UAClB,OAAOL,EAAIK,WAAU,SAAUC,GAC7B,OAAOA,EAAIF,KAAU9nC,KAKzB,IAAIq0B,EAAQoT,EAAKC,GAAK,SAAU9lC,GAC9B,OAAOA,EAAIkmC,KAAU9nC,KAEvB,OAAO0nC,EAAIljC,QAAQ6vB,GAcsD0T,CAAUzsB,EAAW,OAAQusB,KAEvFnkC,SAAQ,SAAU2+B,GAC3BA,EAAmB,UAErB1iC,QAAQC,KAAK,yDAEf,IAAI0H,EAAK+6B,EAAmB,UAAKA,EAAS/6B,GACtC+6B,EAASzkB,SAAWkW,EAAWxsB,KAIjCxB,EAAK29B,QAAQ2B,OAAS5B,EAAc19B,EAAK29B,QAAQ2B,QACjDt/B,EAAK29B,QAAQ5tB,UAAY2tB,EAAc19B,EAAK29B,QAAQ5tB,WAEpD/P,EAAOwB,EAAGxB,EAAMu8B,OAIbv8B,EAUT,SAASkS,IAEP,IAAI3S,KAAKsY,MAAMsqB,YAAf,CAIA,IAAIniC,EAAO,CACT0P,SAAUnQ,KACVs9B,OAAQ,GACRuF,YAAa,GACbC,WAAY,GACZC,SAAS,EACT3E,QAAS,IAIX39B,EAAK29B,QAAQ5tB,UAAYywB,EAAoBjhC,KAAKsY,MAAOtY,KAAK+/B,OAAQ//B,KAAKwQ,UAAWxQ,KAAK5E,QAAQ4nC,eAKnGviC,EAAKiP,UAAY4wB,EAAqBtgC,KAAK5E,QAAQsU,UAAWjP,EAAK29B,QAAQ5tB,UAAWxQ,KAAK+/B,OAAQ//B,KAAKwQ,UAAWxQ,KAAK5E,QAAQ6a,UAAUgtB,KAAK5sB,kBAAmBrW,KAAK5E,QAAQ6a,UAAUgtB,KAAKjD,SAG9Lv/B,EAAKyiC,kBAAoBziC,EAAKiP,UAE9BjP,EAAKuiC,cAAgBhjC,KAAK5E,QAAQ4nC,cAGlCviC,EAAK29B,QAAQ2B,OAAS4B,EAAiB3hC,KAAK+/B,OAAQt/B,EAAK29B,QAAQ5tB,UAAW/P,EAAKiP,WAEjFjP,EAAK29B,QAAQ2B,OAAO5d,SAAWniB,KAAK5E,QAAQ4nC,cAAgB,QAAU,WAGtEviC,EAAO8hC,EAAaviC,KAAKiW,UAAWxV,GAI/BT,KAAKsY,MAAM6qB,UAIdnjC,KAAK5E,QAAQgoC,SAAS3iC,IAHtBT,KAAKsY,MAAM6qB,WAAY,EACvBnjC,KAAK5E,QAAQioC,SAAS5iC,KAY1B,SAAS6iC,EAAkBrtB,EAAWstB,GACpC,OAAOttB,EAAUutB,MAAK,SAAUtsB,GAC9B,IAAIxO,EAAOwO,EAAKxO,KAEhB,OADcwO,EAAKqB,SACD7P,IAAS66B,KAW/B,SAASE,EAAyBxJ,GAIhC,IAHA,IAAIyJ,EAAW,EAAC,EAAO,KAAM,SAAU,MAAO,KAC1CC,EAAY1J,EAAS2J,OAAO,GAAGC,cAAgB5J,EAASnV,MAAM,GAEzD/nB,EAAI,EAAGA,EAAI2mC,EAAS1mC,OAAQD,IAAK,CACxC,IAAI+mC,EAASJ,EAAS3mC,GAClBgnC,EAAUD,EAAS,GAAKA,EAASH,EAAY1J,EACjD,QAA4C,IAAjC7mB,SAAS6E,KAAKvC,MAAMquB,GAC7B,OAAOA,EAGX,OAAO,KAQT,SAAS3sB,IAsBP,OArBApX,KAAKsY,MAAMsqB,aAAc,EAGrBU,EAAkBtjC,KAAKiW,UAAW,gBACpCjW,KAAK+/B,OAAO7sB,gBAAgB,eAC5BlT,KAAK+/B,OAAOrqB,MAAMyM,SAAW,GAC7BniB,KAAK+/B,OAAOrqB,MAAMunB,IAAM,GACxBj9B,KAAK+/B,OAAOrqB,MAAMynB,KAAO,GACzBn9B,KAAK+/B,OAAOrqB,MAAM0nB,MAAQ,GAC1Bp9B,KAAK+/B,OAAOrqB,MAAMwnB,OAAS,GAC3Bl9B,KAAK+/B,OAAOrqB,MAAMsuB,WAAa,GAC/BhkC,KAAK+/B,OAAOrqB,MAAM+tB,EAAyB,cAAgB,IAG7DzjC,KAAK0W,wBAID1W,KAAK5E,QAAQic,iBACfrX,KAAK+/B,OAAOhpB,WAAWlC,YAAY7U,KAAK+/B,QAEnC//B,KAQT,SAASikC,EAAU9tB,GACjB,IAAI6B,EAAgB7B,EAAQ6B,cAC5B,OAAOA,EAAgBA,EAAcohB,YAAc19B,OAoBrD,SAASwoC,EAAoB1zB,EAAWpV,EAASkd,EAAO6rB,GAEtD7rB,EAAM6rB,YAAcA,EACpBF,EAAUzzB,GAAW3Q,iBAAiB,SAAUyY,EAAM6rB,YAAa,CAAE/rB,SAAS,IAG9E,IAAIgsB,EAAgB9J,EAAgB9pB,GAKpC,OA5BF,SAAS6zB,EAAsBpF,EAAc9nB,EAAOrG,EAAUwzB,GAC5D,IAAIC,EAAmC,SAA1BtF,EAAa7E,SACtBv9B,EAAS0nC,EAAStF,EAAajnB,cAAcohB,YAAc6F,EAC/DpiC,EAAOgD,iBAAiBsX,EAAOrG,EAAU,CAAEsH,SAAS,IAE/CmsB,GACHF,EAAsB/J,EAAgBz9B,EAAOka,YAAaI,EAAOrG,EAAUwzB,GAE7EA,EAActmC,KAAKnB,GAgBnBwnC,CAAsBD,EAAe,SAAU9rB,EAAM6rB,YAAa7rB,EAAMgsB,eACxEhsB,EAAM8rB,cAAgBA,EACtB9rB,EAAMksB,eAAgB,EAEflsB,EAST,SAAS1C,IACF5V,KAAKsY,MAAMksB,gBACdxkC,KAAKsY,MAAQ4rB,EAAoBlkC,KAAKwQ,UAAWxQ,KAAK5E,QAAS4E,KAAKsY,MAAOtY,KAAKse,iBAkCpF,SAAS5H,IAxBT,IAA8BlG,EAAW8H,EAyBnCtY,KAAKsY,MAAMksB,gBACbC,qBAAqBzkC,KAAKse,gBAC1Bte,KAAKsY,OA3BqB9H,EA2BQxQ,KAAKwQ,UA3BF8H,EA2BatY,KAAKsY,MAzBzD2rB,EAAUzzB,GAAWS,oBAAoB,SAAUqH,EAAM6rB,aAGzD7rB,EAAMgsB,cAAcjmC,SAAQ,SAAUxB,GACpCA,EAAOoU,oBAAoB,SAAUqH,EAAM6rB,gBAI7C7rB,EAAM6rB,YAAc,KACpB7rB,EAAMgsB,cAAgB,GACtBhsB,EAAM8rB,cAAgB,KACtB9rB,EAAMksB,eAAgB,EACflsB,IAwBT,SAASosB,EAAU96B,GACjB,MAAa,KAANA,IAAayiB,MAAMqR,WAAW9zB,KAAO+6B,SAAS/6B,GAWvD,SAASg7B,EAAUzuB,EAASmnB,GAC1B9iC,OAAOkD,KAAK4/B,GAAQj/B,SAAQ,SAAUokC,GACpC,IAAIoC,EAAO,IAEkE,IAAzE,CAAC,QAAS,SAAU,MAAO,QAAS,SAAU,QAAQ1lC,QAAQsjC,IAAgBiC,EAAUpH,EAAOmF,MACjGoC,EAAO,MAET1uB,EAAQT,MAAM+sB,GAAQnF,EAAOmF,GAAQoC,KAgIzC,IAAIC,EAAYrL,GAAa,WAAW/0B,KAAK8X,UAAUC,WA8GvD,SAASsoB,EAAmB9uB,EAAW+uB,EAAgBC,GACrD,IAAIC,EAAa9C,EAAKnsB,GAAW,SAAUiB,GAEzC,OADWA,EAAKxO,OACAs8B,KAGdG,IAAeD,GAAcjvB,EAAUutB,MAAK,SAAUxG,GACxD,OAAOA,EAASt0B,OAASu8B,GAAiBjI,EAASzkB,SAAWykB,EAASrB,MAAQuJ,EAAWvJ,SAG5F,IAAKwJ,EAAY,CACf,IAAIC,EAAc,IAAMJ,EAAiB,IACrCK,EAAY,IAAMJ,EAAgB,IACtC3qC,QAAQC,KAAK8qC,EAAY,4BAA8BD,EAAc,4DAA8DA,EAAc,KAEnJ,OAAOD,EAoIT,IAAIG,EAAa,CAAC,aAAc,OAAQ,WAAY,YAAa,MAAO,UAAW,cAAe,QAAS,YAAa,aAAc,SAAU,eAAgB,WAAY,OAAQ,cAGhLC,EAAkBD,EAAWxgB,MAAM,GAYvC,SAAS0gB,GAAU91B,GACjB,IAAI+1B,EAAUtnC,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,IAAmBA,UAAU,GAEzEqB,EAAQ+lC,EAAgBpmC,QAAQuQ,GAChC2yB,EAAMkD,EAAgBzgB,MAAMtlB,EAAQ,GAAGmU,OAAO4xB,EAAgBzgB,MAAM,EAAGtlB,IAC3E,OAAOimC,EAAUpD,EAAIqD,UAAYrD,EAGnC,IAAIsD,GACI,OADJA,GAES,YAFTA,GAGgB,mBAiMpB,SAASC,GAAY98B,EAAQg5B,EAAeF,EAAkBiE,GAC5D,IAAIzH,EAAU,CAAC,EAAG,GAKd0H,GAA0D,IAA9C,CAAC,QAAS,QAAQ3mC,QAAQ0mC,GAItCE,EAAYj9B,EAAOpK,MAAM,WAAWiH,KAAI,SAAUqgC,GACpD,OAAOA,EAAKzyB,UAKV0yB,EAAUF,EAAU5mC,QAAQijC,EAAK2D,GAAW,SAAUC,GACxD,OAAgC,IAAzBA,EAAKE,OAAO,YAGjBH,EAAUE,KAAiD,IAArCF,EAAUE,GAAS9mC,QAAQ,MACnD7E,QAAQC,KAAK,gFAKf,IAAI4rC,EAAa,cACbC,GAAmB,IAAbH,EAAiB,CAACF,EAAUjhB,MAAM,EAAGmhB,GAAStyB,OAAO,CAACoyB,EAAUE,GAASvnC,MAAMynC,GAAY,KAAM,CAACJ,EAAUE,GAASvnC,MAAMynC,GAAY,IAAIxyB,OAAOoyB,EAAUjhB,MAAMmhB,EAAU,KAAO,CAACF,GAqC9L,OAlCAK,EAAMA,EAAIzgC,KAAI,SAAU0gC,EAAI7mC,GAE1B,IAAI0iC,GAAyB,IAAV1iC,GAAesmC,EAAYA,GAAa,SAAW,QAClEQ,GAAoB,EACxB,OAAOD,EAGNE,QAAO,SAAU3F,EAAGC,GACnB,MAAwB,KAApBD,EAAEA,EAAE5jC,OAAS,KAAwC,IAA3B,CAAC,IAAK,KAAKmC,QAAQ0hC,IAC/CD,EAAEA,EAAE5jC,OAAS,GAAK6jC,EAClByF,GAAoB,EACb1F,GACE0F,GACT1F,EAAEA,EAAE5jC,OAAS,IAAM6jC,EACnByF,GAAoB,EACb1F,GAEAA,EAAEjtB,OAAOktB,KAEjB,IAEFl7B,KAAI,SAAU6gC,GACb,OAxGN,SAAiBA,EAAKtE,EAAaJ,EAAeF,GAEhD,IAAIljC,EAAQ8nC,EAAIxX,MAAM,6BAClBr0B,GAAS+D,EAAM,GACfmmC,EAAOnmC,EAAM,GAGjB,IAAK/D,EACH,OAAO6rC,EAGT,GAA0B,IAAtB3B,EAAK1lC,QAAQ,KAAY,CAC3B,IAAIgX,OAAU,EACd,OAAQ0uB,GACN,IAAK,KACH1uB,EAAU2rB,EACV,MACF,IAAK,IACL,IAAK,KACL,QACE3rB,EAAUyrB,EAId,OADWzD,EAAchoB,GACb+rB,GAAe,IAAMvnC,EAC5B,GAAa,OAATkqC,GAA0B,OAATA,EAAe,CAQzC,OALa,OAATA,EACKjxB,KAAKgU,IAAIxU,SAAS8nB,gBAAgBsD,aAAc9iC,OAAOgkC,aAAe,GAEtE9rB,KAAKgU,IAAIxU,SAAS8nB,gBAAgBqD,YAAa7iC,OAAO+jC,YAAc,IAE/D,IAAM9kC,EAIpB,OAAOA,EAmEE8rC,CAAQD,EAAKtE,EAAaJ,EAAeF,UAKhDvjC,SAAQ,SAAUgoC,EAAI7mC,GACxB6mC,EAAGhoC,SAAQ,SAAU2nC,EAAMU,GACrBhC,EAAUsB,KACZ5H,EAAQ5+B,IAAUwmC,GAA2B,MAAnBK,EAAGK,EAAS,IAAc,EAAI,UAIvDtI,EA2OT,IAkWIuI,GAAW,CAKbj3B,UAAW,SAMXszB,eAAe,EAMfwB,eAAe,EAOfntB,iBAAiB,EAQjBgsB,SAAU,aAUVD,SAAU,aAOVntB,UAnZc,CASd2wB,MAAO,CAELjL,MAAO,IAEPpjB,SAAS,EAETtW,GA9HJ,SAAexB,GACb,IAAIiP,EAAYjP,EAAKiP,UACjBm2B,EAAgBn2B,EAAUhR,MAAM,KAAK,GACrCmoC,EAAiBn3B,EAAUhR,MAAM,KAAK,GAG1C,GAAImoC,EAAgB,CAClB,IAAIC,EAAgBrmC,EAAK29B,QACrB5tB,EAAYs2B,EAAct2B,UAC1BuvB,EAAS+G,EAAc/G,OAEvBgH,GAA2D,IAA9C,CAAC,SAAU,OAAO5nC,QAAQ0mC,GACvCrJ,EAAOuK,EAAa,OAAS,MAC7B7E,EAAc6E,EAAa,QAAU,SAErCC,EAAe,CACjBlf,MAAOrtB,EAAe,GAAI+hC,EAAMhsB,EAAUgsB,IAC1CT,IAAKthC,EAAe,GAAI+hC,EAAMhsB,EAAUgsB,GAAQhsB,EAAU0xB,GAAenC,EAAOmC,KAGlFzhC,EAAK29B,QAAQ2B,OAAS7B,EAAS,GAAI6B,EAAQiH,EAAaH,IAG1D,OAAOpmC,IAgJPqI,OAAQ,CAEN6yB,MAAO,IAEPpjB,SAAS,EAETtW,GA7RJ,SAAgBxB,EAAMyW,GACpB,IAAIpO,EAASoO,EAAKpO,OACd4G,EAAYjP,EAAKiP,UACjBo3B,EAAgBrmC,EAAK29B,QACrB2B,EAAS+G,EAAc/G,OACvBvvB,EAAYs2B,EAAct2B,UAE1Bq1B,EAAgBn2B,EAAUhR,MAAM,KAAK,GAErC0/B,OAAU,EAsBd,OApBEA,EADEsG,GAAW57B,GACH,EAAEA,EAAQ,GAEV88B,GAAY98B,EAAQi3B,EAAQvvB,EAAWq1B,GAG7B,SAAlBA,GACF9F,EAAO9C,KAAOmB,EAAQ,GACtB2B,EAAO5C,MAAQiB,EAAQ,IACI,UAAlByH,GACT9F,EAAO9C,KAAOmB,EAAQ,GACtB2B,EAAO5C,MAAQiB,EAAQ,IACI,QAAlByH,GACT9F,EAAO5C,MAAQiB,EAAQ,GACvB2B,EAAO9C,KAAOmB,EAAQ,IACK,WAAlByH,IACT9F,EAAO5C,MAAQiB,EAAQ,GACvB2B,EAAO9C,KAAOmB,EAAQ,IAGxB39B,EAAKs/B,OAASA,EACPt/B,GAkQLqI,OAAQ,GAoBVwN,gBAAiB,CAEfqlB,MAAO,IAEPpjB,SAAS,EAETtW,GAlRJ,SAAyBxB,EAAMrF,GAC7B,IAAIib,EAAoBjb,EAAQib,mBAAqB4kB,EAAgBx6B,EAAK0P,SAAS4vB,QAK/Et/B,EAAK0P,SAASK,YAAc6F,IAC9BA,EAAoB4kB,EAAgB5kB,IAMtC,IAAI4wB,EAAgBxD,EAAyB,aACzCyD,EAAezmC,EAAK0P,SAAS4vB,OAAOrqB,MACpCunB,EAAMiK,EAAajK,IACnBE,EAAO+J,EAAa/J,KACpB3wB,EAAY06B,EAAaD,GAE7BC,EAAajK,IAAM,GACnBiK,EAAa/J,KAAO,GACpB+J,EAAaD,GAAiB,GAE9B,IAAIhH,EAAaH,EAAcr/B,EAAK0P,SAAS4vB,OAAQt/B,EAAK0P,SAASK,UAAWpV,EAAQ4kC,QAAS3pB,EAAmB5V,EAAKuiC,eAIvHkE,EAAajK,IAAMA,EACnBiK,EAAa/J,KAAOA,EACpB+J,EAAaD,GAAiBz6B,EAE9BpR,EAAQ6kC,WAAaA,EAErB,IAAItE,EAAQvgC,EAAQ+rC,SAChBpH,EAASt/B,EAAK29B,QAAQ2B,OAEtBuC,EAAQ,CACV8E,QAAS,SAAiB13B,GACxB,IAAI/U,EAAQolC,EAAOrwB,GAInB,OAHIqwB,EAAOrwB,GAAauwB,EAAWvwB,KAAetU,EAAQisC,sBACxD1sC,EAAQiZ,KAAKgU,IAAImY,EAAOrwB,GAAYuwB,EAAWvwB,KAE1CjV,EAAe,GAAIiV,EAAW/U,IAEvC2sC,UAAW,SAAmB53B,GAC5B,IAAIsyB,EAAyB,UAAdtyB,EAAwB,OAAS,MAC5C/U,EAAQolC,EAAOiC,GAInB,OAHIjC,EAAOrwB,GAAauwB,EAAWvwB,KAAetU,EAAQisC,sBACxD1sC,EAAQiZ,KAAK2zB,IAAIxH,EAAOiC,GAAW/B,EAAWvwB,IAA4B,UAAdA,EAAwBqwB,EAAOhC,MAAQgC,EAAOjC,UAErGrjC,EAAe,GAAIunC,EAAUrnC,KAWxC,OAPAghC,EAAMt9B,SAAQ,SAAUqR,GACtB,IAAI8sB,GAA+C,IAAxC,CAAC,OAAQ,OAAOr9B,QAAQuQ,GAAoB,UAAY,YACnEqwB,EAAS7B,EAAS,GAAI6B,EAAQuC,EAAM9F,GAAM9sB,OAG5CjP,EAAK29B,QAAQ2B,OAASA,EAEft/B,GA2NL0mC,SAAU,CAAC,OAAQ,QAAS,MAAO,UAOnCnH,QAAS,EAMT3pB,kBAAmB,gBAYrBmxB,aAAc,CAEZ7L,MAAO,IAEPpjB,SAAS,EAETtW,GAlgBJ,SAAsBxB,GACpB,IAAIqmC,EAAgBrmC,EAAK29B,QACrB2B,EAAS+G,EAAc/G,OACvBvvB,EAAYs2B,EAAct2B,UAE1Bd,EAAYjP,EAAKiP,UAAUhR,MAAM,KAAK,GACtC+oC,EAAQ7zB,KAAK6zB,MACbV,GAAuD,IAA1C,CAAC,MAAO,UAAU5nC,QAAQuQ,GACvC8sB,EAAOuK,EAAa,QAAU,SAC9BW,EAASX,EAAa,OAAS,MAC/B7E,EAAc6E,EAAa,QAAU,SASzC,OAPIhH,EAAOvD,GAAQiL,EAAMj3B,EAAUk3B,MACjCjnC,EAAK29B,QAAQ2B,OAAO2H,GAAUD,EAAMj3B,EAAUk3B,IAAW3H,EAAOmC,IAE9DnC,EAAO2H,GAAUD,EAAMj3B,EAAUgsB,MACnC/7B,EAAK29B,QAAQ2B,OAAO2H,GAAUD,EAAMj3B,EAAUgsB,KAGzC/7B,IA4fPyV,MAAO,CAELylB,MAAO,IAEPpjB,SAAS,EAETtW,GApxBJ,SAAexB,EAAMrF,GACnB,IAAIusC,EAGJ,IAAK5C,EAAmBtkC,EAAK0P,SAAS8F,UAAW,QAAS,gBACxD,OAAOxV,EAGT,IAAImnC,EAAexsC,EAAQ+a,QAG3B,GAA4B,iBAAjByxB,GAIT,KAHAA,EAAennC,EAAK0P,SAAS4vB,OAAOrrB,cAAckzB,IAIhD,OAAOnnC,OAKT,IAAKA,EAAK0P,SAAS4vB,OAAOlvB,SAAS+2B,GAEjC,OADAttC,QAAQC,KAAK,iEACNkG,EAIX,IAAIiP,EAAYjP,EAAKiP,UAAUhR,MAAM,KAAK,GACtCooC,EAAgBrmC,EAAK29B,QACrB2B,EAAS+G,EAAc/G,OACvBvvB,EAAYs2B,EAAct2B,UAE1Bu2B,GAAuD,IAA1C,CAAC,OAAQ,SAAS5nC,QAAQuQ,GAEvCm4B,EAAMd,EAAa,SAAW,QAC9Be,EAAkBf,EAAa,MAAQ,OACvCvK,EAAOsL,EAAgBlb,cACvBmb,EAAUhB,EAAa,OAAS,MAChCW,EAASX,EAAa,SAAW,QACjCiB,EAAmB7G,EAAcyG,GAAcC,GAQ/Cr3B,EAAUk3B,GAAUM,EAAmBjI,EAAOvD,KAChD/7B,EAAK29B,QAAQ2B,OAAOvD,IAASuD,EAAOvD,IAAShsB,EAAUk3B,GAAUM,IAG/Dx3B,EAAUgsB,GAAQwL,EAAmBjI,EAAO2H,KAC9CjnC,EAAK29B,QAAQ2B,OAAOvD,IAAShsB,EAAUgsB,GAAQwL,EAAmBjI,EAAO2H,IAE3EjnC,EAAK29B,QAAQ2B,OAAS5B,EAAc19B,EAAK29B,QAAQ2B,QAGjD,IAAIkI,EAASz3B,EAAUgsB,GAAQhsB,EAAUq3B,GAAO,EAAIG,EAAmB,EAInExe,EAAMwQ,EAAyBv5B,EAAK0P,SAAS4vB,QAC7CmI,EAAmBxK,WAAWlU,EAAI,SAAWse,IAC7CK,EAAmBzK,WAAWlU,EAAI,SAAWse,EAAkB,UAC/DM,EAAYH,EAASxnC,EAAK29B,QAAQ2B,OAAOvD,GAAQ0L,EAAmBC,EAQxE,OALAC,EAAYx0B,KAAKgU,IAAIhU,KAAK2zB,IAAIxH,EAAO8H,GAAOG,EAAkBI,GAAY,GAE1E3nC,EAAKmnC,aAAeA,EACpBnnC,EAAK29B,QAAQloB,OAAmCzb,EAA1BktC,EAAsB,GAAwCnL,EAAM5oB,KAAKy0B,MAAMD,IAAa3tC,EAAektC,EAAqBI,EAAS,IAAKJ,GAE7JlnC,GA8sBL0V,QAAS,aAcX8sB,KAAM,CAEJtH,MAAO,IAEPpjB,SAAS,EAETtW,GA5oBJ,SAAcxB,EAAMrF,GAElB,GAAIkoC,EAAkB7iC,EAAK0P,SAAS8F,UAAW,SAC7C,OAAOxV,EAGT,GAAIA,EAAKsiC,SAAWtiC,EAAKiP,YAAcjP,EAAKyiC,kBAE1C,OAAOziC,EAGT,IAAIw/B,EAAaH,EAAcr/B,EAAK0P,SAAS4vB,OAAQt/B,EAAK0P,SAASK,UAAWpV,EAAQ4kC,QAAS5kC,EAAQib,kBAAmB5V,EAAKuiC,eAE3HtzB,EAAYjP,EAAKiP,UAAUhR,MAAM,KAAK,GACtC4pC,EAAoB9G,EAAqB9xB,GACzCsxB,EAAYvgC,EAAKiP,UAAUhR,MAAM,KAAK,IAAM,GAE5C6pC,EAAY,GAEhB,OAAQntC,EAAQotC,UACd,KAAK7C,GACH4C,EAAY,CAAC74B,EAAW44B,GACxB,MACF,KAAK3C,GACH4C,EAAY/C,GAAU91B,GACtB,MACF,KAAKi2B,GACH4C,EAAY/C,GAAU91B,GAAW,GACjC,MACF,QACE64B,EAAYntC,EAAQotC,SAyDxB,OAtDAD,EAAUlqC,SAAQ,SAAUoqC,EAAMjpC,GAChC,GAAIkQ,IAAc+4B,GAAQF,EAAUvrC,SAAWwC,EAAQ,EACrD,OAAOiB,EAGTiP,EAAYjP,EAAKiP,UAAUhR,MAAM,KAAK,GACtC4pC,EAAoB9G,EAAqB9xB,GAEzC,IAAIoyB,EAAgBrhC,EAAK29B,QAAQ2B,OAC7B2I,EAAajoC,EAAK29B,QAAQ5tB,UAG1Bi3B,EAAQ7zB,KAAK6zB,MACbkB,EAA4B,SAAdj5B,GAAwB+3B,EAAM3F,EAAc1E,OAASqK,EAAMiB,EAAWvL,OAAuB,UAAdztB,GAAyB+3B,EAAM3F,EAAc3E,MAAQsK,EAAMiB,EAAWtL,QAAwB,QAAd1tB,GAAuB+3B,EAAM3F,EAAc5E,QAAUuK,EAAMiB,EAAWzL,MAAsB,WAAdvtB,GAA0B+3B,EAAM3F,EAAc7E,KAAOwK,EAAMiB,EAAWxL,QAEjU0L,EAAgBnB,EAAM3F,EAAc3E,MAAQsK,EAAMxH,EAAW9C,MAC7D0L,EAAiBpB,EAAM3F,EAAc1E,OAASqK,EAAMxH,EAAW7C,OAC/D0L,EAAerB,EAAM3F,EAAc7E,KAAOwK,EAAMxH,EAAWhD,KAC3D8L,EAAkBtB,EAAM3F,EAAc5E,QAAUuK,EAAMxH,EAAW/C,QAEjE8L,EAAoC,SAAdt5B,GAAwBk5B,GAA+B,UAAdl5B,GAAyBm5B,GAAgC,QAAdn5B,GAAuBo5B,GAA8B,WAAdp5B,GAA0Bq5B,EAG3KhC,GAAuD,IAA1C,CAAC,MAAO,UAAU5nC,QAAQuQ,GAGvCu5B,IAA0B7tC,EAAQ8tC,iBAAmBnC,GAA4B,UAAd/F,GAAyB4H,GAAiB7B,GAA4B,QAAd/F,GAAuB6H,IAAmB9B,GAA4B,UAAd/F,GAAyB8H,IAAiB/B,GAA4B,QAAd/F,GAAuB+H,GAGlQI,IAA8B/tC,EAAQguC,0BAA4BrC,GAA4B,UAAd/F,GAAyB6H,GAAkB9B,GAA4B,QAAd/F,GAAuB4H,IAAkB7B,GAA4B,UAAd/F,GAAyB+H,IAAoBhC,GAA4B,QAAd/F,GAAuB8H,GAElRO,EAAmBJ,GAAyBE,GAE5CR,GAAeK,GAAuBK,KAExC5oC,EAAKsiC,SAAU,GAEX4F,GAAeK,KACjBt5B,EAAY64B,EAAU/oC,EAAQ,IAG5B6pC,IACFrI,EAvJR,SAA8BA,GAC5B,MAAkB,QAAdA,EACK,QACgB,UAAdA,EACF,MAEFA,EAiJWsI,CAAqBtI,IAGnCvgC,EAAKiP,UAAYA,GAAasxB,EAAY,IAAMA,EAAY,IAI5DvgC,EAAK29B,QAAQ2B,OAAS7B,EAAS,GAAIz9B,EAAK29B,QAAQ2B,OAAQ4B,EAAiBlhC,EAAK0P,SAAS4vB,OAAQt/B,EAAK29B,QAAQ5tB,UAAW/P,EAAKiP,YAE5HjP,EAAO8hC,EAAa9hC,EAAK0P,SAAS8F,UAAWxV,EAAM,YAGhDA,GA4jBL+nC,SAAU,OAKVxI,QAAS,EAOT3pB,kBAAmB,WAQnB6yB,gBAAgB,EAQhBE,yBAAyB,GAU3BG,MAAO,CAEL5N,MAAO,IAEPpjB,SAAS,EAETtW,GArQJ,SAAexB,GACb,IAAIiP,EAAYjP,EAAKiP,UACjBm2B,EAAgBn2B,EAAUhR,MAAM,KAAK,GACrCooC,EAAgBrmC,EAAK29B,QACrB2B,EAAS+G,EAAc/G,OACvBvvB,EAAYs2B,EAAct2B,UAE1BuxB,GAAwD,IAA9C,CAAC,OAAQ,SAAS5iC,QAAQ0mC,GAEpC2D,GAA6D,IAA5C,CAAC,MAAO,QAAQrqC,QAAQ0mC,GAO7C,OALA9F,EAAOgC,EAAU,OAAS,OAASvxB,EAAUq1B,IAAkB2D,EAAiBzJ,EAAOgC,EAAU,QAAU,UAAY,GAEvHthC,EAAKiP,UAAY8xB,EAAqB9xB,GACtCjP,EAAK29B,QAAQ2B,OAAS5B,EAAc4B,GAE7Bt/B,IAkQP6Q,KAAM,CAEJqqB,MAAO,IAEPpjB,SAAS,EAETtW,GA9TJ,SAAcxB,GACZ,IAAKskC,EAAmBtkC,EAAK0P,SAAS8F,UAAW,OAAQ,mBACvD,OAAOxV,EAGT,IAAI8/B,EAAU9/B,EAAK29B,QAAQ5tB,UACvBi5B,EAAQrH,EAAK3hC,EAAK0P,SAAS8F,WAAW,SAAU+mB,GAClD,MAAyB,oBAAlBA,EAASt0B,QACfu3B,WAEH,GAAIM,EAAQrD,OAASuM,EAAMxM,KAAOsD,EAAQpD,KAAOsM,EAAMrM,OAASmD,EAAQtD,IAAMwM,EAAMvM,QAAUqD,EAAQnD,MAAQqM,EAAMtM,KAAM,CAExH,IAAkB,IAAd18B,EAAK6Q,KACP,OAAO7Q,EAGTA,EAAK6Q,MAAO,EACZ7Q,EAAKqiC,WAAW,uBAAyB,OACpC,CAEL,IAAkB,IAAdriC,EAAK6Q,KACP,OAAO7Q,EAGTA,EAAK6Q,MAAO,EACZ7Q,EAAKqiC,WAAW,wBAAyB,EAG3C,OAAOriC,IAoTPipC,aAAc,CAEZ/N,MAAO,IAEPpjB,SAAS,EAETtW,GAtgCJ,SAAsBxB,EAAMrF,GAC1B,IAAIgmC,EAAIhmC,EAAQgmC,EACZE,EAAIlmC,EAAQkmC,EACZvB,EAASt/B,EAAK29B,QAAQ2B,OAItB4J,EAA8BvH,EAAK3hC,EAAK0P,SAAS8F,WAAW,SAAU+mB,GACxE,MAAyB,eAAlBA,EAASt0B,QACfkhC,qBACiC/oC,IAAhC8oC,GACFrvC,QAAQC,KAAK,iIAEf,IAAIqvC,OAAkD/oC,IAAhC8oC,EAA4CA,EAA8BvuC,EAAQwuC,gBAEpGxO,EAAeH,EAAgBx6B,EAAK0P,SAAS4vB,QAC7C8J,EAAmBxL,EAAsBjD,GAGzCkC,EAAS,CACXnb,SAAU4d,EAAO5d,UAGfic,EA9DN,SAA2B39B,EAAMqpC,GAC/B,IAAIhD,EAAgBrmC,EAAK29B,QACrB2B,EAAS+G,EAAc/G,OACvBvvB,EAAYs2B,EAAct2B,UAC1B63B,EAAQz0B,KAAKy0B,MACbZ,EAAQ7zB,KAAK6zB,MAEbsC,EAAU,SAAiBC,GAC7B,OAAOA,GAGLC,EAAiB5B,EAAM73B,EAAUutB,OACjCmM,EAAc7B,EAAMtI,EAAOhC,OAE3BgJ,GAA4D,IAA/C,CAAC,OAAQ,SAAS5nC,QAAQsB,EAAKiP,WAC5Cy6B,GAA+C,IAAjC1pC,EAAKiP,UAAUvQ,QAAQ,KAIrCirC,EAAuBN,EAAwB/C,GAAcoD,GAH3CF,EAAiB,GAAMC,EAAc,EAGuC7B,EAAQZ,EAAjEsC,EACrCM,EAAqBP,EAAwBzB,EAAV0B,EAEvC,MAAO,CACL5M,KAAMiN,EANWH,EAAiB,GAAM,GAAKC,EAAc,GAAM,IAMtBC,GAAeL,EAAc/J,EAAO5C,KAAO,EAAI4C,EAAO5C,MACjGF,IAAKoN,EAAkBtK,EAAO9C,KAC9BC,OAAQmN,EAAkBtK,EAAO7C,QACjCE,MAAOgN,EAAoBrK,EAAO3C,QAoCtBkN,CAAkB7pC,EAAM/E,OAAO6uC,iBAAmB,IAAMzF,GAElEtH,EAAc,WAAN4D,EAAiB,MAAQ,SACjC3D,EAAc,UAAN6D,EAAgB,OAAS,QAKjCkJ,EAAmB/G,EAAyB,aAW5CtG,OAAO,EACPF,OAAM,EAqBV,GAhBIA,EAJU,WAAVO,EAG4B,SAA1BpC,EAAahB,UACRgB,EAAaoD,aAAeJ,EAAQlB,QAEpC2M,EAAiB/L,OAASM,EAAQlB,OAGrCkB,EAAQnB,IAIZE,EAFU,UAAVM,EAC4B,SAA1BrC,EAAahB,UACPgB,EAAamD,YAAcH,EAAQhB,OAEnCyM,EAAiB9L,MAAQK,EAAQhB,MAGpCgB,EAAQjB,KAEbyM,GAAmBY,EACrBlN,EAAOkN,GAAoB,eAAiBrN,EAAO,OAASF,EAAM,SAClEK,EAAOE,GAAS,EAChBF,EAAOG,GAAS,EAChBH,EAAO0G,WAAa,gBACf,CAEL,IAAIyG,EAAsB,WAAVjN,GAAsB,EAAI,EACtCkN,EAAuB,UAAVjN,GAAqB,EAAI,EAC1CH,EAAOE,GAASP,EAAMwN,EACtBnN,EAAOG,GAASN,EAAOuN,EACvBpN,EAAO0G,WAAaxG,EAAQ,KAAOC,EAIrC,IAAIqF,EAAa,CACf,cAAeriC,EAAKiP,WAQtB,OAJAjP,EAAKqiC,WAAa5E,EAAS,GAAI4E,EAAYriC,EAAKqiC,YAChDriC,EAAK68B,OAASY,EAAS,GAAIZ,EAAQ78B,EAAK68B,QACxC78B,EAAKoiC,YAAc3E,EAAS,GAAIz9B,EAAK29B,QAAQloB,MAAOzV,EAAKoiC,aAElDpiC,GAo7BLmpC,iBAAiB,EAMjBxI,EAAG,SAMHE,EAAG,SAkBLqJ,WAAY,CAEVhP,MAAO,IAEPpjB,SAAS,EAETtW,GAzpCJ,SAAoBxB,GApBpB,IAAuB0V,EAAS2sB,EAoC9B,OAXA8B,EAAUnkC,EAAK0P,SAAS4vB,OAAQt/B,EAAK68B,QAzBhBnnB,EA6BP1V,EAAK0P,SAAS4vB,OA7BE+C,EA6BMriC,EAAKqiC,WA5BzCtoC,OAAOkD,KAAKolC,GAAYzkC,SAAQ,SAAUokC,IAE1B,IADFK,EAAWL,GAErBtsB,EAAQ9W,aAAaojC,EAAMK,EAAWL,IAEtCtsB,EAAQjD,gBAAgBuvB,MA0BxBhiC,EAAKmnC,cAAgBptC,OAAOkD,KAAK+C,EAAKoiC,aAAa7lC,QACrD4nC,EAAUnkC,EAAKmnC,aAAcnnC,EAAKoiC,aAG7BpiC,GA2oCLmqC,OA9nCJ,SAA0Bp6B,EAAWuvB,EAAQ3kC,EAASyvC,EAAiBvyB,GAErE,IAAIspB,EAAmBX,EAAoB3oB,EAAOynB,EAAQvvB,EAAWpV,EAAQ4nC,eAKzEtzB,EAAY4wB,EAAqBllC,EAAQsU,UAAWkyB,EAAkB7B,EAAQvvB,EAAWpV,EAAQ6a,UAAUgtB,KAAK5sB,kBAAmBjb,EAAQ6a,UAAUgtB,KAAKjD,SAQ9J,OANAD,EAAO1gC,aAAa,cAAeqQ,GAInCk1B,EAAU7E,EAAQ,CAAE5d,SAAU/mB,EAAQ4nC,cAAgB,QAAU,aAEzD5nC,GAsnCLwuC,qBAAiB/oC,KAuGjBiqC,GAAS,WASX,SAASA,EAAOt6B,EAAWuvB,GACzB,IAAI7vB,EAAQlQ,KAER5E,EAAU+C,UAAUnB,OAAS,QAAsB6D,IAAjB1C,UAAU,GAAmBA,UAAU,GAAK,GAClF6/B,EAAeh+B,KAAM8qC,GAErB9qC,KAAKse,eAAiB,WACpB,OAAO/H,sBAAsBrG,EAAMyC,SAIrC3S,KAAK2S,OAASinB,EAAS55B,KAAK2S,OAAOtB,KAAKrR,OAGxCA,KAAK5E,QAAU8iC,EAAS,GAAI4M,EAAOnE,SAAUvrC,GAG7C4E,KAAKsY,MAAQ,CACXsqB,aAAa,EACbO,WAAW,EACXmB,cAAe,IAIjBtkC,KAAKwQ,UAAYA,GAAaA,EAAUW,OAASX,EAAU,GAAKA,EAChExQ,KAAK+/B,OAASA,GAAUA,EAAO5uB,OAAS4uB,EAAO,GAAKA,EAGpD//B,KAAK5E,QAAQ6a,UAAY,GACzBzb,OAAOkD,KAAKwgC,EAAS,GAAI4M,EAAOnE,SAAS1wB,UAAW7a,EAAQ6a,YAAY5X,SAAQ,SAAUqK,GACxFwH,EAAM9U,QAAQ6a,UAAUvN,GAAQw1B,EAAS,GAAI4M,EAAOnE,SAAS1wB,UAAUvN,IAAS,GAAItN,EAAQ6a,UAAY7a,EAAQ6a,UAAUvN,GAAQ,OAIpI1I,KAAKiW,UAAYzb,OAAOkD,KAAKsC,KAAK5E,QAAQ6a,WAAWtQ,KAAI,SAAU+C,GACjE,OAAOw1B,EAAS,CACdx1B,KAAMA,GACLwH,EAAM9U,QAAQ6a,UAAUvN,OAG5Bi4B,MAAK,SAAUC,EAAGC,GACjB,OAAOD,EAAEjF,MAAQkF,EAAElF,SAOrB37B,KAAKiW,UAAU5X,SAAQ,SAAUwsC,GAC3BA,EAAgBtyB,SAAWkW,EAAWoc,EAAgBD,SACxDC,EAAgBD,OAAO16B,EAAMM,UAAWN,EAAM6vB,OAAQ7vB,EAAM9U,QAASyvC,EAAiB36B,EAAMoI,UAKhGtY,KAAK2S,SAEL,IAAI6xB,EAAgBxkC,KAAK5E,QAAQopC,cAC7BA,GAEFxkC,KAAK4V,uBAGP5V,KAAKsY,MAAMksB,cAAgBA,EAqD7B,OA9CAvG,EAAY6M,EAAQ,CAAC,CACnBztC,IAAK,SACL1C,MAAO,WACL,OAAOgY,EAAOhS,KAAKX,QAEpB,CACD3C,IAAK,UACL1C,MAAO,WACL,OAAOyc,EAAQzW,KAAKX,QAErB,CACD3C,IAAK,uBACL1C,MAAO,WACL,OAAOib,EAAqBjV,KAAKX,QAElC,CACD3C,IAAK,wBACL1C,MAAO,WACL,OAAO+b,EAAsB/V,KAAKX,UA4B/B8qC,EA7HI,GAqJbA,GAAOC,OAA2B,oBAAXrvC,OAAyBA,OAASoG,GAAQkpC,YACjEF,GAAOxF,WAAaA,EACpBwF,GAAOnE,SAAWA,GAEH,S","file":"vendors~editor~files-modal.js?v=941e6f21e484ae4d0350","sourcesContent":["\"use strict\";\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getLocale = getLocale;\nexports.getCanonicalLocale = getCanonicalLocale;\nexports.getLanguage = getLanguage;\nexports.translate = translate;\nexports.translatePlural = translatePlural;\nexports.getFirstDay = getFirstDay;\nexports.getDayNames = getDayNames;\nexports.getDayNamesShort = getDayNamesShort;\nexports.getDayNamesMin = getDayNamesMin;\nexports.getMonthNames = getMonthNames;\nexports.getMonthNamesShort = getMonthNamesShort;\n\n/// <reference types=\"@nextcloud/typings\" />\n\n/**\n * Returns the user's locale\n */\nfunction getLocale() {\n if (typeof OC === 'undefined') {\n console.warn('No OC found');\n return 'en';\n }\n\n return OC.getLocale();\n}\n\nfunction getCanonicalLocale() {\n return getLocale().replace(/_/g, '-');\n}\n/**\n * Returns the user's language\n */\n\n\nfunction getLanguage() {\n if (typeof OC === 'undefined') {\n console.warn('No OC found');\n return 'en';\n }\n\n return OC.getLanguage();\n}\n\n/**\n * Translate a string\n *\n * @param {string} app the id of the app for which to translate the string\n * @param {string} text the string to translate\n * @param {object} vars map of placeholder key to value\n * @param {number} number to replace %n with\n * @param {object} [options] options object\n * @return {string}\n */\nfunction translate(app, text, vars, count, options) {\n if (typeof OC === 'undefined') {\n console.warn('No OC found');\n return text;\n }\n\n return OC.L10N.translate(app, text, vars, count, options);\n}\n/**\n * Translate a plural string\n *\n * @param {string} app the id of the app for which to translate the string\n * @param {string} textSingular the string to translate for exactly one object\n * @param {string} textPlural the string to translate for n objects\n * @param {number} count number to determine whether to use singular or plural\n * @param {Object} vars of placeholder key to value\n * @param {object} options options object\n * @return {string}\n */\n\n\nfunction translatePlural(app, textSingular, textPlural, count, vars, options) {\n if (typeof OC === 'undefined') {\n console.warn('No OC found');\n return textSingular;\n }\n\n return OC.L10N.translatePlural(app, textSingular, textPlural, count, vars, options);\n}\n/**\n * Get the first day of the week\n *\n * @return {number}\n */\n\n\nfunction getFirstDay() {\n if (typeof window.firstDay === 'undefined') {\n console.warn('No firstDay found');\n return 1;\n }\n\n return window.firstDay;\n}\n/**\n * Get a list of day names (full names)\n *\n * @return {string[]}\n */\n\n\nfunction getDayNames() {\n if (typeof window.dayNames === 'undefined') {\n console.warn('No dayNames found');\n return ['Sunday', 'Monday', 'Tuesday', 'Wednesday', 'Thursday', 'Friday', 'Saturday'];\n }\n\n return window.dayNames;\n}\n/**\n * Get a list of day names (short names)\n *\n * @return {string[]}\n */\n\n\nfunction getDayNamesShort() {\n if (typeof window.dayNamesShort === 'undefined') {\n console.warn('No dayNamesShort found');\n return ['Sun.', 'Mon.', 'Tue.', 'Wed.', 'Thu.', 'Fri.', 'Sat.'];\n }\n\n return window.dayNamesShort;\n}\n/**\n * Get a list of day names (minified names)\n *\n * @return {string[]}\n */\n\n\nfunction getDayNamesMin() {\n if (typeof window.dayNamesMin === 'undefined') {\n console.warn('No dayNamesMin found');\n return ['Su', 'Mo', 'Tu', 'We', 'Th', 'Fr', 'Sa'];\n }\n\n return window.dayNamesMin;\n}\n/**\n * Get a list of month names (full names)\n *\n * @return {string[]}\n */\n\n\nfunction getMonthNames() {\n if (typeof window.monthNames === 'undefined') {\n console.warn('No monthNames found');\n return ['January', 'February', 'March', 'April', 'May', 'June', 'July', 'August', 'September', 'October', 'November', 'December'];\n }\n\n return window.monthNames;\n}\n/**\n * Get a list of month names (short names)\n *\n * @return {string[]}\n */\n\n\nfunction getMonthNamesShort() {\n if (typeof window.monthNamesShort === 'undefined') {\n console.warn('No monthNamesShort found');\n return ['Jan.', 'Feb.', 'Mar.', 'Apr.', 'May.', 'Jun.', 'Jul.', 'Aug.', 'Sep.', 'Oct.', 'Nov.', 'Dec.'];\n }\n\n return window.monthNamesShort;\n}\n//# sourceMappingURL=index.js.map","import Popper from 'popper.js';\nimport { ResizeObserver } from 'vue-resize';\n\nfunction _typeof(obj) {\n if (typeof Symbol === \"function\" && typeof Symbol.iterator === \"symbol\") {\n _typeof = function (obj) {\n return typeof obj;\n };\n } else {\n _typeof = function (obj) {\n return obj && typeof Symbol === \"function\" && obj.constructor === Symbol && obj !== Symbol.prototype ? \"symbol\" : typeof obj;\n };\n }\n\n return _typeof(obj);\n}\n\nfunction _classCallCheck(instance, Constructor) {\n if (!(instance instanceof Constructor)) {\n throw new TypeError(\"Cannot call a class as a function\");\n }\n}\n\nfunction _defineProperties(target, props) {\n for (var i = 0; i < props.length; i++) {\n var descriptor = props[i];\n descriptor.enumerable = descriptor.enumerable || false;\n descriptor.configurable = true;\n if (\"value\" in descriptor) descriptor.writable = true;\n Object.defineProperty(target, descriptor.key, descriptor);\n }\n}\n\nfunction _createClass(Constructor, protoProps, staticProps) {\n if (protoProps) _defineProperties(Constructor.prototype, protoProps);\n if (staticProps) _defineProperties(Constructor, staticProps);\n return Constructor;\n}\n\nfunction _defineProperty(obj, key, value) {\n if (key in obj) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n } else {\n obj[key] = value;\n }\n\n return obj;\n}\n\nfunction ownKeys(object, enumerableOnly) {\n var keys = Object.keys(object);\n\n if (Object.getOwnPropertySymbols) {\n var symbols = Object.getOwnPropertySymbols(object);\n if (enumerableOnly) symbols = symbols.filter(function (sym) {\n return Object.getOwnPropertyDescriptor(object, sym).enumerable;\n });\n keys.push.apply(keys, symbols);\n }\n\n return keys;\n}\n\nfunction _objectSpread2(target) {\n for (var i = 1; i < arguments.length; i++) {\n var source = arguments[i] != null ? arguments[i] : {};\n\n if (i % 2) {\n ownKeys(Object(source), true).forEach(function (key) {\n _defineProperty(target, key, source[key]);\n });\n } else if (Object.getOwnPropertyDescriptors) {\n Object.defineProperties(target, Object.getOwnPropertyDescriptors(source));\n } else {\n ownKeys(Object(source)).forEach(function (key) {\n Object.defineProperty(target, key, Object.getOwnPropertyDescriptor(source, key));\n });\n }\n }\n\n return target;\n}\n\nvar SVGAnimatedString = function SVGAnimatedString() {};\n\nif (typeof window !== 'undefined') {\n SVGAnimatedString = window.SVGAnimatedString;\n}\n\nfunction convertToArray(value) {\n if (typeof value === 'string') {\n value = value.split(' ');\n }\n\n return value;\n}\n/**\n * Add classes to an element.\n * This method checks to ensure that the classes don't already exist before adding them.\n * It uses el.className rather than classList in order to be IE friendly.\n * @param {object} el - The element to add the classes to.\n * @param {classes} string - List of space separated classes to be added to the element.\n */\n\nfunction addClasses(el, classes) {\n var newClasses = convertToArray(classes);\n var classList;\n\n if (el.className instanceof SVGAnimatedString) {\n classList = convertToArray(el.className.baseVal);\n } else {\n classList = convertToArray(el.className);\n }\n\n newClasses.forEach(function (newClass) {\n if (classList.indexOf(newClass) === -1) {\n classList.push(newClass);\n }\n });\n\n if (el instanceof SVGElement) {\n el.setAttribute('class', classList.join(' '));\n } else {\n el.className = classList.join(' ');\n }\n}\n/**\n * Remove classes from an element.\n * It uses el.className rather than classList in order to be IE friendly.\n * @export\n * @param {any} el The element to remove the classes from.\n * @param {any} classes List of space separated classes to be removed from the element.\n */\n\nfunction removeClasses(el, classes) {\n var newClasses = convertToArray(classes);\n var classList;\n\n if (el.className instanceof SVGAnimatedString) {\n classList = convertToArray(el.className.baseVal);\n } else {\n classList = convertToArray(el.className);\n }\n\n newClasses.forEach(function (newClass) {\n var index = classList.indexOf(newClass);\n\n if (index !== -1) {\n classList.splice(index, 1);\n }\n });\n\n if (el instanceof SVGElement) {\n el.setAttribute('class', classList.join(' '));\n } else {\n el.className = classList.join(' ');\n }\n}\nvar supportsPassive = false;\n\nif (typeof window !== 'undefined') {\n supportsPassive = false;\n\n try {\n var opts = Object.defineProperty({}, 'passive', {\n get: function get() {\n supportsPassive = true;\n }\n });\n window.addEventListener('test', null, opts);\n } catch (e) {}\n}\n\n/**\n * Removes all key-value entries from the list cache.\n *\n * @private\n * @name clear\n * @memberOf ListCache\n */\nfunction listCacheClear() {\n this.__data__ = [];\n this.size = 0;\n}\n\nvar _listCacheClear = listCacheClear;\n\n/**\n * Performs a\n * [`SameValueZero`](http://ecma-international.org/ecma-262/7.0/#sec-samevaluezero)\n * comparison between two values to determine if they are equivalent.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to compare.\n * @param {*} other The other value to compare.\n * @returns {boolean} Returns `true` if the values are equivalent, else `false`.\n * @example\n *\n * var object = { 'a': 1 };\n * var other = { 'a': 1 };\n *\n * _.eq(object, object);\n * // => true\n *\n * _.eq(object, other);\n * // => false\n *\n * _.eq('a', 'a');\n * // => true\n *\n * _.eq('a', Object('a'));\n * // => false\n *\n * _.eq(NaN, NaN);\n * // => true\n */\nfunction eq(value, other) {\n return value === other || (value !== value && other !== other);\n}\n\nvar eq_1 = eq;\n\n/**\n * Gets the index at which the `key` is found in `array` of key-value pairs.\n *\n * @private\n * @param {Array} array The array to inspect.\n * @param {*} key The key to search for.\n * @returns {number} Returns the index of the matched value, else `-1`.\n */\nfunction assocIndexOf(array, key) {\n var length = array.length;\n while (length--) {\n if (eq_1(array[length][0], key)) {\n return length;\n }\n }\n return -1;\n}\n\nvar _assocIndexOf = assocIndexOf;\n\n/** Used for built-in method references. */\nvar arrayProto = Array.prototype;\n\n/** Built-in value references. */\nvar splice = arrayProto.splice;\n\n/**\n * Removes `key` and its value from the list cache.\n *\n * @private\n * @name delete\n * @memberOf ListCache\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction listCacheDelete(key) {\n var data = this.__data__,\n index = _assocIndexOf(data, key);\n\n if (index < 0) {\n return false;\n }\n var lastIndex = data.length - 1;\n if (index == lastIndex) {\n data.pop();\n } else {\n splice.call(data, index, 1);\n }\n --this.size;\n return true;\n}\n\nvar _listCacheDelete = listCacheDelete;\n\n/**\n * Gets the list cache value for `key`.\n *\n * @private\n * @name get\n * @memberOf ListCache\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction listCacheGet(key) {\n var data = this.__data__,\n index = _assocIndexOf(data, key);\n\n return index < 0 ? undefined : data[index][1];\n}\n\nvar _listCacheGet = listCacheGet;\n\n/**\n * Checks if a list cache value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf ListCache\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction listCacheHas(key) {\n return _assocIndexOf(this.__data__, key) > -1;\n}\n\nvar _listCacheHas = listCacheHas;\n\n/**\n * Sets the list cache `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf ListCache\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the list cache instance.\n */\nfunction listCacheSet(key, value) {\n var data = this.__data__,\n index = _assocIndexOf(data, key);\n\n if (index < 0) {\n ++this.size;\n data.push([key, value]);\n } else {\n data[index][1] = value;\n }\n return this;\n}\n\nvar _listCacheSet = listCacheSet;\n\n/**\n * Creates an list cache object.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction ListCache(entries) {\n var index = -1,\n length = entries == null ? 0 : entries.length;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n// Add methods to `ListCache`.\nListCache.prototype.clear = _listCacheClear;\nListCache.prototype['delete'] = _listCacheDelete;\nListCache.prototype.get = _listCacheGet;\nListCache.prototype.has = _listCacheHas;\nListCache.prototype.set = _listCacheSet;\n\nvar _ListCache = ListCache;\n\n/**\n * Removes all key-value entries from the stack.\n *\n * @private\n * @name clear\n * @memberOf Stack\n */\nfunction stackClear() {\n this.__data__ = new _ListCache;\n this.size = 0;\n}\n\nvar _stackClear = stackClear;\n\n/**\n * Removes `key` and its value from the stack.\n *\n * @private\n * @name delete\n * @memberOf Stack\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction stackDelete(key) {\n var data = this.__data__,\n result = data['delete'](key);\n\n this.size = data.size;\n return result;\n}\n\nvar _stackDelete = stackDelete;\n\n/**\n * Gets the stack value for `key`.\n *\n * @private\n * @name get\n * @memberOf Stack\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction stackGet(key) {\n return this.__data__.get(key);\n}\n\nvar _stackGet = stackGet;\n\n/**\n * Checks if a stack value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf Stack\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction stackHas(key) {\n return this.__data__.has(key);\n}\n\nvar _stackHas = stackHas;\n\nvar commonjsGlobal = typeof globalThis !== 'undefined' ? globalThis : typeof window !== 'undefined' ? window : typeof global !== 'undefined' ? global : typeof self !== 'undefined' ? self : {};\n\nfunction createCommonjsModule(fn, module) {\n\treturn module = { exports: {} }, fn(module, module.exports), module.exports;\n}\n\n/** Detect free variable `global` from Node.js. */\nvar freeGlobal = typeof commonjsGlobal == 'object' && commonjsGlobal && commonjsGlobal.Object === Object && commonjsGlobal;\n\nvar _freeGlobal = freeGlobal;\n\n/** Detect free variable `self`. */\nvar freeSelf = typeof self == 'object' && self && self.Object === Object && self;\n\n/** Used as a reference to the global object. */\nvar root = _freeGlobal || freeSelf || Function('return this')();\n\nvar _root = root;\n\n/** Built-in value references. */\nvar Symbol$1 = _root.Symbol;\n\nvar _Symbol = Symbol$1;\n\n/** Used for built-in method references. */\nvar objectProto = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty = objectProto.hasOwnProperty;\n\n/**\n * Used to resolve the\n * [`toStringTag`](http://ecma-international.org/ecma-262/7.0/#sec-object.prototype.tostring)\n * of values.\n */\nvar nativeObjectToString = objectProto.toString;\n\n/** Built-in value references. */\nvar symToStringTag = _Symbol ? _Symbol.toStringTag : undefined;\n\n/**\n * A specialized version of `baseGetTag` which ignores `Symbol.toStringTag` values.\n *\n * @private\n * @param {*} value The value to query.\n * @returns {string} Returns the raw `toStringTag`.\n */\nfunction getRawTag(value) {\n var isOwn = hasOwnProperty.call(value, symToStringTag),\n tag = value[symToStringTag];\n\n try {\n value[symToStringTag] = undefined;\n var unmasked = true;\n } catch (e) {}\n\n var result = nativeObjectToString.call(value);\n if (unmasked) {\n if (isOwn) {\n value[symToStringTag] = tag;\n } else {\n delete value[symToStringTag];\n }\n }\n return result;\n}\n\nvar _getRawTag = getRawTag;\n\n/** Used for built-in method references. */\nvar objectProto$1 = Object.prototype;\n\n/**\n * Used to resolve the\n * [`toStringTag`](http://ecma-international.org/ecma-262/7.0/#sec-object.prototype.tostring)\n * of values.\n */\nvar nativeObjectToString$1 = objectProto$1.toString;\n\n/**\n * Converts `value` to a string using `Object.prototype.toString`.\n *\n * @private\n * @param {*} value The value to convert.\n * @returns {string} Returns the converted string.\n */\nfunction objectToString(value) {\n return nativeObjectToString$1.call(value);\n}\n\nvar _objectToString = objectToString;\n\n/** `Object#toString` result references. */\nvar nullTag = '[object Null]',\n undefinedTag = '[object Undefined]';\n\n/** Built-in value references. */\nvar symToStringTag$1 = _Symbol ? _Symbol.toStringTag : undefined;\n\n/**\n * The base implementation of `getTag` without fallbacks for buggy environments.\n *\n * @private\n * @param {*} value The value to query.\n * @returns {string} Returns the `toStringTag`.\n */\nfunction baseGetTag(value) {\n if (value == null) {\n return value === undefined ? undefinedTag : nullTag;\n }\n return (symToStringTag$1 && symToStringTag$1 in Object(value))\n ? _getRawTag(value)\n : _objectToString(value);\n}\n\nvar _baseGetTag = baseGetTag;\n\n/**\n * Checks if `value` is the\n * [language type](http://www.ecma-international.org/ecma-262/7.0/#sec-ecmascript-language-types)\n * of `Object`. (e.g. arrays, functions, objects, regexes, `new Number(0)`, and `new String('')`)\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an object, else `false`.\n * @example\n *\n * _.isObject({});\n * // => true\n *\n * _.isObject([1, 2, 3]);\n * // => true\n *\n * _.isObject(_.noop);\n * // => true\n *\n * _.isObject(null);\n * // => false\n */\nfunction isObject(value) {\n var type = typeof value;\n return value != null && (type == 'object' || type == 'function');\n}\n\nvar isObject_1 = isObject;\n\n/** `Object#toString` result references. */\nvar asyncTag = '[object AsyncFunction]',\n funcTag = '[object Function]',\n genTag = '[object GeneratorFunction]',\n proxyTag = '[object Proxy]';\n\n/**\n * Checks if `value` is classified as a `Function` object.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a function, else `false`.\n * @example\n *\n * _.isFunction(_);\n * // => true\n *\n * _.isFunction(/abc/);\n * // => false\n */\nfunction isFunction(value) {\n if (!isObject_1(value)) {\n return false;\n }\n // The use of `Object#toString` avoids issues with the `typeof` operator\n // in Safari 9 which returns 'object' for typed arrays and other constructors.\n var tag = _baseGetTag(value);\n return tag == funcTag || tag == genTag || tag == asyncTag || tag == proxyTag;\n}\n\nvar isFunction_1 = isFunction;\n\n/** Used to detect overreaching core-js shims. */\nvar coreJsData = _root['__core-js_shared__'];\n\nvar _coreJsData = coreJsData;\n\n/** Used to detect methods masquerading as native. */\nvar maskSrcKey = (function() {\n var uid = /[^.]+$/.exec(_coreJsData && _coreJsData.keys && _coreJsData.keys.IE_PROTO || '');\n return uid ? ('Symbol(src)_1.' + uid) : '';\n}());\n\n/**\n * Checks if `func` has its source masked.\n *\n * @private\n * @param {Function} func The function to check.\n * @returns {boolean} Returns `true` if `func` is masked, else `false`.\n */\nfunction isMasked(func) {\n return !!maskSrcKey && (maskSrcKey in func);\n}\n\nvar _isMasked = isMasked;\n\n/** Used for built-in method references. */\nvar funcProto = Function.prototype;\n\n/** Used to resolve the decompiled source of functions. */\nvar funcToString = funcProto.toString;\n\n/**\n * Converts `func` to its source code.\n *\n * @private\n * @param {Function} func The function to convert.\n * @returns {string} Returns the source code.\n */\nfunction toSource(func) {\n if (func != null) {\n try {\n return funcToString.call(func);\n } catch (e) {}\n try {\n return (func + '');\n } catch (e) {}\n }\n return '';\n}\n\nvar _toSource = toSource;\n\n/**\n * Used to match `RegExp`\n * [syntax characters](http://ecma-international.org/ecma-262/7.0/#sec-patterns).\n */\nvar reRegExpChar = /[\\\\^$.*+?()[\\]{}|]/g;\n\n/** Used to detect host constructors (Safari). */\nvar reIsHostCtor = /^\\[object .+?Constructor\\]$/;\n\n/** Used for built-in method references. */\nvar funcProto$1 = Function.prototype,\n objectProto$2 = Object.prototype;\n\n/** Used to resolve the decompiled source of functions. */\nvar funcToString$1 = funcProto$1.toString;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$1 = objectProto$2.hasOwnProperty;\n\n/** Used to detect if a method is native. */\nvar reIsNative = RegExp('^' +\n funcToString$1.call(hasOwnProperty$1).replace(reRegExpChar, '\\\\$&')\n .replace(/hasOwnProperty|(function).*?(?=\\\\\\()| for .+?(?=\\\\\\])/g, '$1.*?') + '$'\n);\n\n/**\n * The base implementation of `_.isNative` without bad shim checks.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a native function,\n * else `false`.\n */\nfunction baseIsNative(value) {\n if (!isObject_1(value) || _isMasked(value)) {\n return false;\n }\n var pattern = isFunction_1(value) ? reIsNative : reIsHostCtor;\n return pattern.test(_toSource(value));\n}\n\nvar _baseIsNative = baseIsNative;\n\n/**\n * Gets the value at `key` of `object`.\n *\n * @private\n * @param {Object} [object] The object to query.\n * @param {string} key The key of the property to get.\n * @returns {*} Returns the property value.\n */\nfunction getValue(object, key) {\n return object == null ? undefined : object[key];\n}\n\nvar _getValue = getValue;\n\n/**\n * Gets the native function at `key` of `object`.\n *\n * @private\n * @param {Object} object The object to query.\n * @param {string} key The key of the method to get.\n * @returns {*} Returns the function if it's native, else `undefined`.\n */\nfunction getNative(object, key) {\n var value = _getValue(object, key);\n return _baseIsNative(value) ? value : undefined;\n}\n\nvar _getNative = getNative;\n\n/* Built-in method references that are verified to be native. */\nvar Map = _getNative(_root, 'Map');\n\nvar _Map = Map;\n\n/* Built-in method references that are verified to be native. */\nvar nativeCreate = _getNative(Object, 'create');\n\nvar _nativeCreate = nativeCreate;\n\n/**\n * Removes all key-value entries from the hash.\n *\n * @private\n * @name clear\n * @memberOf Hash\n */\nfunction hashClear() {\n this.__data__ = _nativeCreate ? _nativeCreate(null) : {};\n this.size = 0;\n}\n\nvar _hashClear = hashClear;\n\n/**\n * Removes `key` and its value from the hash.\n *\n * @private\n * @name delete\n * @memberOf Hash\n * @param {Object} hash The hash to modify.\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction hashDelete(key) {\n var result = this.has(key) && delete this.__data__[key];\n this.size -= result ? 1 : 0;\n return result;\n}\n\nvar _hashDelete = hashDelete;\n\n/** Used to stand-in for `undefined` hash values. */\nvar HASH_UNDEFINED = '__lodash_hash_undefined__';\n\n/** Used for built-in method references. */\nvar objectProto$3 = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$2 = objectProto$3.hasOwnProperty;\n\n/**\n * Gets the hash value for `key`.\n *\n * @private\n * @name get\n * @memberOf Hash\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction hashGet(key) {\n var data = this.__data__;\n if (_nativeCreate) {\n var result = data[key];\n return result === HASH_UNDEFINED ? undefined : result;\n }\n return hasOwnProperty$2.call(data, key) ? data[key] : undefined;\n}\n\nvar _hashGet = hashGet;\n\n/** Used for built-in method references. */\nvar objectProto$4 = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$3 = objectProto$4.hasOwnProperty;\n\n/**\n * Checks if a hash value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf Hash\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction hashHas(key) {\n var data = this.__data__;\n return _nativeCreate ? (data[key] !== undefined) : hasOwnProperty$3.call(data, key);\n}\n\nvar _hashHas = hashHas;\n\n/** Used to stand-in for `undefined` hash values. */\nvar HASH_UNDEFINED$1 = '__lodash_hash_undefined__';\n\n/**\n * Sets the hash `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf Hash\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the hash instance.\n */\nfunction hashSet(key, value) {\n var data = this.__data__;\n this.size += this.has(key) ? 0 : 1;\n data[key] = (_nativeCreate && value === undefined) ? HASH_UNDEFINED$1 : value;\n return this;\n}\n\nvar _hashSet = hashSet;\n\n/**\n * Creates a hash object.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction Hash(entries) {\n var index = -1,\n length = entries == null ? 0 : entries.length;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n// Add methods to `Hash`.\nHash.prototype.clear = _hashClear;\nHash.prototype['delete'] = _hashDelete;\nHash.prototype.get = _hashGet;\nHash.prototype.has = _hashHas;\nHash.prototype.set = _hashSet;\n\nvar _Hash = Hash;\n\n/**\n * Removes all key-value entries from the map.\n *\n * @private\n * @name clear\n * @memberOf MapCache\n */\nfunction mapCacheClear() {\n this.size = 0;\n this.__data__ = {\n 'hash': new _Hash,\n 'map': new (_Map || _ListCache),\n 'string': new _Hash\n };\n}\n\nvar _mapCacheClear = mapCacheClear;\n\n/**\n * Checks if `value` is suitable for use as unique object key.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is suitable, else `false`.\n */\nfunction isKeyable(value) {\n var type = typeof value;\n return (type == 'string' || type == 'number' || type == 'symbol' || type == 'boolean')\n ? (value !== '__proto__')\n : (value === null);\n}\n\nvar _isKeyable = isKeyable;\n\n/**\n * Gets the data for `map`.\n *\n * @private\n * @param {Object} map The map to query.\n * @param {string} key The reference key.\n * @returns {*} Returns the map data.\n */\nfunction getMapData(map, key) {\n var data = map.__data__;\n return _isKeyable(key)\n ? data[typeof key == 'string' ? 'string' : 'hash']\n : data.map;\n}\n\nvar _getMapData = getMapData;\n\n/**\n * Removes `key` and its value from the map.\n *\n * @private\n * @name delete\n * @memberOf MapCache\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction mapCacheDelete(key) {\n var result = _getMapData(this, key)['delete'](key);\n this.size -= result ? 1 : 0;\n return result;\n}\n\nvar _mapCacheDelete = mapCacheDelete;\n\n/**\n * Gets the map value for `key`.\n *\n * @private\n * @name get\n * @memberOf MapCache\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction mapCacheGet(key) {\n return _getMapData(this, key).get(key);\n}\n\nvar _mapCacheGet = mapCacheGet;\n\n/**\n * Checks if a map value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf MapCache\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction mapCacheHas(key) {\n return _getMapData(this, key).has(key);\n}\n\nvar _mapCacheHas = mapCacheHas;\n\n/**\n * Sets the map `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf MapCache\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the map cache instance.\n */\nfunction mapCacheSet(key, value) {\n var data = _getMapData(this, key),\n size = data.size;\n\n data.set(key, value);\n this.size += data.size == size ? 0 : 1;\n return this;\n}\n\nvar _mapCacheSet = mapCacheSet;\n\n/**\n * Creates a map cache object to store key-value pairs.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction MapCache(entries) {\n var index = -1,\n length = entries == null ? 0 : entries.length;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n// Add methods to `MapCache`.\nMapCache.prototype.clear = _mapCacheClear;\nMapCache.prototype['delete'] = _mapCacheDelete;\nMapCache.prototype.get = _mapCacheGet;\nMapCache.prototype.has = _mapCacheHas;\nMapCache.prototype.set = _mapCacheSet;\n\nvar _MapCache = MapCache;\n\n/** Used as the size to enable large array optimizations. */\nvar LARGE_ARRAY_SIZE = 200;\n\n/**\n * Sets the stack `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf Stack\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the stack cache instance.\n */\nfunction stackSet(key, value) {\n var data = this.__data__;\n if (data instanceof _ListCache) {\n var pairs = data.__data__;\n if (!_Map || (pairs.length < LARGE_ARRAY_SIZE - 1)) {\n pairs.push([key, value]);\n this.size = ++data.size;\n return this;\n }\n data = this.__data__ = new _MapCache(pairs);\n }\n data.set(key, value);\n this.size = data.size;\n return this;\n}\n\nvar _stackSet = stackSet;\n\n/**\n * Creates a stack cache object to store key-value pairs.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction Stack(entries) {\n var data = this.__data__ = new _ListCache(entries);\n this.size = data.size;\n}\n\n// Add methods to `Stack`.\nStack.prototype.clear = _stackClear;\nStack.prototype['delete'] = _stackDelete;\nStack.prototype.get = _stackGet;\nStack.prototype.has = _stackHas;\nStack.prototype.set = _stackSet;\n\nvar _Stack = Stack;\n\n/** Used to stand-in for `undefined` hash values. */\nvar HASH_UNDEFINED$2 = '__lodash_hash_undefined__';\n\n/**\n * Adds `value` to the array cache.\n *\n * @private\n * @name add\n * @memberOf SetCache\n * @alias push\n * @param {*} value The value to cache.\n * @returns {Object} Returns the cache instance.\n */\nfunction setCacheAdd(value) {\n this.__data__.set(value, HASH_UNDEFINED$2);\n return this;\n}\n\nvar _setCacheAdd = setCacheAdd;\n\n/**\n * Checks if `value` is in the array cache.\n *\n * @private\n * @name has\n * @memberOf SetCache\n * @param {*} value The value to search for.\n * @returns {number} Returns `true` if `value` is found, else `false`.\n */\nfunction setCacheHas(value) {\n return this.__data__.has(value);\n}\n\nvar _setCacheHas = setCacheHas;\n\n/**\n *\n * Creates an array cache object to store unique values.\n *\n * @private\n * @constructor\n * @param {Array} [values] The values to cache.\n */\nfunction SetCache(values) {\n var index = -1,\n length = values == null ? 0 : values.length;\n\n this.__data__ = new _MapCache;\n while (++index < length) {\n this.add(values[index]);\n }\n}\n\n// Add methods to `SetCache`.\nSetCache.prototype.add = SetCache.prototype.push = _setCacheAdd;\nSetCache.prototype.has = _setCacheHas;\n\nvar _SetCache = SetCache;\n\n/**\n * A specialized version of `_.some` for arrays without support for iteratee\n * shorthands.\n *\n * @private\n * @param {Array} [array] The array to iterate over.\n * @param {Function} predicate The function invoked per iteration.\n * @returns {boolean} Returns `true` if any element passes the predicate check,\n * else `false`.\n */\nfunction arraySome(array, predicate) {\n var index = -1,\n length = array == null ? 0 : array.length;\n\n while (++index < length) {\n if (predicate(array[index], index, array)) {\n return true;\n }\n }\n return false;\n}\n\nvar _arraySome = arraySome;\n\n/**\n * Checks if a `cache` value for `key` exists.\n *\n * @private\n * @param {Object} cache The cache to query.\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction cacheHas(cache, key) {\n return cache.has(key);\n}\n\nvar _cacheHas = cacheHas;\n\n/** Used to compose bitmasks for value comparisons. */\nvar COMPARE_PARTIAL_FLAG = 1,\n COMPARE_UNORDERED_FLAG = 2;\n\n/**\n * A specialized version of `baseIsEqualDeep` for arrays with support for\n * partial deep comparisons.\n *\n * @private\n * @param {Array} array The array to compare.\n * @param {Array} other The other array to compare.\n * @param {number} bitmask The bitmask flags. See `baseIsEqual` for more details.\n * @param {Function} customizer The function to customize comparisons.\n * @param {Function} equalFunc The function to determine equivalents of values.\n * @param {Object} stack Tracks traversed `array` and `other` objects.\n * @returns {boolean} Returns `true` if the arrays are equivalent, else `false`.\n */\nfunction equalArrays(array, other, bitmask, customizer, equalFunc, stack) {\n var isPartial = bitmask & COMPARE_PARTIAL_FLAG,\n arrLength = array.length,\n othLength = other.length;\n\n if (arrLength != othLength && !(isPartial && othLength > arrLength)) {\n return false;\n }\n // Assume cyclic values are equal.\n var stacked = stack.get(array);\n if (stacked && stack.get(other)) {\n return stacked == other;\n }\n var index = -1,\n result = true,\n seen = (bitmask & COMPARE_UNORDERED_FLAG) ? new _SetCache : undefined;\n\n stack.set(array, other);\n stack.set(other, array);\n\n // Ignore non-index properties.\n while (++index < arrLength) {\n var arrValue = array[index],\n othValue = other[index];\n\n if (customizer) {\n var compared = isPartial\n ? customizer(othValue, arrValue, index, other, array, stack)\n : customizer(arrValue, othValue, index, array, other, stack);\n }\n if (compared !== undefined) {\n if (compared) {\n continue;\n }\n result = false;\n break;\n }\n // Recursively compare arrays (susceptible to call stack limits).\n if (seen) {\n if (!_arraySome(other, function(othValue, othIndex) {\n if (!_cacheHas(seen, othIndex) &&\n (arrValue === othValue || equalFunc(arrValue, othValue, bitmask, customizer, stack))) {\n return seen.push(othIndex);\n }\n })) {\n result = false;\n break;\n }\n } else if (!(\n arrValue === othValue ||\n equalFunc(arrValue, othValue, bitmask, customizer, stack)\n )) {\n result = false;\n break;\n }\n }\n stack['delete'](array);\n stack['delete'](other);\n return result;\n}\n\nvar _equalArrays = equalArrays;\n\n/** Built-in value references. */\nvar Uint8Array = _root.Uint8Array;\n\nvar _Uint8Array = Uint8Array;\n\n/**\n * Converts `map` to its key-value pairs.\n *\n * @private\n * @param {Object} map The map to convert.\n * @returns {Array} Returns the key-value pairs.\n */\nfunction mapToArray(map) {\n var index = -1,\n result = Array(map.size);\n\n map.forEach(function(value, key) {\n result[++index] = [key, value];\n });\n return result;\n}\n\nvar _mapToArray = mapToArray;\n\n/**\n * Converts `set` to an array of its values.\n *\n * @private\n * @param {Object} set The set to convert.\n * @returns {Array} Returns the values.\n */\nfunction setToArray(set) {\n var index = -1,\n result = Array(set.size);\n\n set.forEach(function(value) {\n result[++index] = value;\n });\n return result;\n}\n\nvar _setToArray = setToArray;\n\n/** Used to compose bitmasks for value comparisons. */\nvar COMPARE_PARTIAL_FLAG$1 = 1,\n COMPARE_UNORDERED_FLAG$1 = 2;\n\n/** `Object#toString` result references. */\nvar boolTag = '[object Boolean]',\n dateTag = '[object Date]',\n errorTag = '[object Error]',\n mapTag = '[object Map]',\n numberTag = '[object Number]',\n regexpTag = '[object RegExp]',\n setTag = '[object Set]',\n stringTag = '[object String]',\n symbolTag = '[object Symbol]';\n\nvar arrayBufferTag = '[object ArrayBuffer]',\n dataViewTag = '[object DataView]';\n\n/** Used to convert symbols to primitives and strings. */\nvar symbolProto = _Symbol ? _Symbol.prototype : undefined,\n symbolValueOf = symbolProto ? symbolProto.valueOf : undefined;\n\n/**\n * A specialized version of `baseIsEqualDeep` for comparing objects of\n * the same `toStringTag`.\n *\n * **Note:** This function only supports comparing values with tags of\n * `Boolean`, `Date`, `Error`, `Number`, `RegExp`, or `String`.\n *\n * @private\n * @param {Object} object The object to compare.\n * @param {Object} other The other object to compare.\n * @param {string} tag The `toStringTag` of the objects to compare.\n * @param {number} bitmask The bitmask flags. See `baseIsEqual` for more details.\n * @param {Function} customizer The function to customize comparisons.\n * @param {Function} equalFunc The function to determine equivalents of values.\n * @param {Object} stack Tracks traversed `object` and `other` objects.\n * @returns {boolean} Returns `true` if the objects are equivalent, else `false`.\n */\nfunction equalByTag(object, other, tag, bitmask, customizer, equalFunc, stack) {\n switch (tag) {\n case dataViewTag:\n if ((object.byteLength != other.byteLength) ||\n (object.byteOffset != other.byteOffset)) {\n return false;\n }\n object = object.buffer;\n other = other.buffer;\n\n case arrayBufferTag:\n if ((object.byteLength != other.byteLength) ||\n !equalFunc(new _Uint8Array(object), new _Uint8Array(other))) {\n return false;\n }\n return true;\n\n case boolTag:\n case dateTag:\n case numberTag:\n // Coerce booleans to `1` or `0` and dates to milliseconds.\n // Invalid dates are coerced to `NaN`.\n return eq_1(+object, +other);\n\n case errorTag:\n return object.name == other.name && object.message == other.message;\n\n case regexpTag:\n case stringTag:\n // Coerce regexes to strings and treat strings, primitives and objects,\n // as equal. See http://www.ecma-international.org/ecma-262/7.0/#sec-regexp.prototype.tostring\n // for more details.\n return object == (other + '');\n\n case mapTag:\n var convert = _mapToArray;\n\n case setTag:\n var isPartial = bitmask & COMPARE_PARTIAL_FLAG$1;\n convert || (convert = _setToArray);\n\n if (object.size != other.size && !isPartial) {\n return false;\n }\n // Assume cyclic values are equal.\n var stacked = stack.get(object);\n if (stacked) {\n return stacked == other;\n }\n bitmask |= COMPARE_UNORDERED_FLAG$1;\n\n // Recursively compare objects (susceptible to call stack limits).\n stack.set(object, other);\n var result = _equalArrays(convert(object), convert(other), bitmask, customizer, equalFunc, stack);\n stack['delete'](object);\n return result;\n\n case symbolTag:\n if (symbolValueOf) {\n return symbolValueOf.call(object) == symbolValueOf.call(other);\n }\n }\n return false;\n}\n\nvar _equalByTag = equalByTag;\n\n/**\n * Appends the elements of `values` to `array`.\n *\n * @private\n * @param {Array} array The array to modify.\n * @param {Array} values The values to append.\n * @returns {Array} Returns `array`.\n */\nfunction arrayPush(array, values) {\n var index = -1,\n length = values.length,\n offset = array.length;\n\n while (++index < length) {\n array[offset + index] = values[index];\n }\n return array;\n}\n\nvar _arrayPush = arrayPush;\n\n/**\n * Checks if `value` is classified as an `Array` object.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an array, else `false`.\n * @example\n *\n * _.isArray([1, 2, 3]);\n * // => true\n *\n * _.isArray(document.body.children);\n * // => false\n *\n * _.isArray('abc');\n * // => false\n *\n * _.isArray(_.noop);\n * // => false\n */\nvar isArray = Array.isArray;\n\nvar isArray_1 = isArray;\n\n/**\n * The base implementation of `getAllKeys` and `getAllKeysIn` which uses\n * `keysFunc` and `symbolsFunc` to get the enumerable property names and\n * symbols of `object`.\n *\n * @private\n * @param {Object} object The object to query.\n * @param {Function} keysFunc The function to get the keys of `object`.\n * @param {Function} symbolsFunc The function to get the symbols of `object`.\n * @returns {Array} Returns the array of property names and symbols.\n */\nfunction baseGetAllKeys(object, keysFunc, symbolsFunc) {\n var result = keysFunc(object);\n return isArray_1(object) ? result : _arrayPush(result, symbolsFunc(object));\n}\n\nvar _baseGetAllKeys = baseGetAllKeys;\n\n/**\n * A specialized version of `_.filter` for arrays without support for\n * iteratee shorthands.\n *\n * @private\n * @param {Array} [array] The array to iterate over.\n * @param {Function} predicate The function invoked per iteration.\n * @returns {Array} Returns the new filtered array.\n */\nfunction arrayFilter(array, predicate) {\n var index = -1,\n length = array == null ? 0 : array.length,\n resIndex = 0,\n result = [];\n\n while (++index < length) {\n var value = array[index];\n if (predicate(value, index, array)) {\n result[resIndex++] = value;\n }\n }\n return result;\n}\n\nvar _arrayFilter = arrayFilter;\n\n/**\n * This method returns a new empty array.\n *\n * @static\n * @memberOf _\n * @since 4.13.0\n * @category Util\n * @returns {Array} Returns the new empty array.\n * @example\n *\n * var arrays = _.times(2, _.stubArray);\n *\n * console.log(arrays);\n * // => [[], []]\n *\n * console.log(arrays[0] === arrays[1]);\n * // => false\n */\nfunction stubArray() {\n return [];\n}\n\nvar stubArray_1 = stubArray;\n\n/** Used for built-in method references. */\nvar objectProto$5 = Object.prototype;\n\n/** Built-in value references. */\nvar propertyIsEnumerable = objectProto$5.propertyIsEnumerable;\n\n/* Built-in method references for those with the same name as other `lodash` methods. */\nvar nativeGetSymbols = Object.getOwnPropertySymbols;\n\n/**\n * Creates an array of the own enumerable symbols of `object`.\n *\n * @private\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of symbols.\n */\nvar getSymbols = !nativeGetSymbols ? stubArray_1 : function(object) {\n if (object == null) {\n return [];\n }\n object = Object(object);\n return _arrayFilter(nativeGetSymbols(object), function(symbol) {\n return propertyIsEnumerable.call(object, symbol);\n });\n};\n\nvar _getSymbols = getSymbols;\n\n/**\n * The base implementation of `_.times` without support for iteratee shorthands\n * or max array length checks.\n *\n * @private\n * @param {number} n The number of times to invoke `iteratee`.\n * @param {Function} iteratee The function invoked per iteration.\n * @returns {Array} Returns the array of results.\n */\nfunction baseTimes(n, iteratee) {\n var index = -1,\n result = Array(n);\n\n while (++index < n) {\n result[index] = iteratee(index);\n }\n return result;\n}\n\nvar _baseTimes = baseTimes;\n\n/**\n * Checks if `value` is object-like. A value is object-like if it's not `null`\n * and has a `typeof` result of \"object\".\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is object-like, else `false`.\n * @example\n *\n * _.isObjectLike({});\n * // => true\n *\n * _.isObjectLike([1, 2, 3]);\n * // => true\n *\n * _.isObjectLike(_.noop);\n * // => false\n *\n * _.isObjectLike(null);\n * // => false\n */\nfunction isObjectLike(value) {\n return value != null && typeof value == 'object';\n}\n\nvar isObjectLike_1 = isObjectLike;\n\n/** `Object#toString` result references. */\nvar argsTag = '[object Arguments]';\n\n/**\n * The base implementation of `_.isArguments`.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an `arguments` object,\n */\nfunction baseIsArguments(value) {\n return isObjectLike_1(value) && _baseGetTag(value) == argsTag;\n}\n\nvar _baseIsArguments = baseIsArguments;\n\n/** Used for built-in method references. */\nvar objectProto$6 = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$4 = objectProto$6.hasOwnProperty;\n\n/** Built-in value references. */\nvar propertyIsEnumerable$1 = objectProto$6.propertyIsEnumerable;\n\n/**\n * Checks if `value` is likely an `arguments` object.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an `arguments` object,\n * else `false`.\n * @example\n *\n * _.isArguments(function() { return arguments; }());\n * // => true\n *\n * _.isArguments([1, 2, 3]);\n * // => false\n */\nvar isArguments = _baseIsArguments(function() { return arguments; }()) ? _baseIsArguments : function(value) {\n return isObjectLike_1(value) && hasOwnProperty$4.call(value, 'callee') &&\n !propertyIsEnumerable$1.call(value, 'callee');\n};\n\nvar isArguments_1 = isArguments;\n\n/**\n * This method returns `false`.\n *\n * @static\n * @memberOf _\n * @since 4.13.0\n * @category Util\n * @returns {boolean} Returns `false`.\n * @example\n *\n * _.times(2, _.stubFalse);\n * // => [false, false]\n */\nfunction stubFalse() {\n return false;\n}\n\nvar stubFalse_1 = stubFalse;\n\nvar isBuffer_1 = createCommonjsModule(function (module, exports) {\n/** Detect free variable `exports`. */\nvar freeExports = exports && !exports.nodeType && exports;\n\n/** Detect free variable `module`. */\nvar freeModule = freeExports && 'object' == 'object' && module && !module.nodeType && module;\n\n/** Detect the popular CommonJS extension `module.exports`. */\nvar moduleExports = freeModule && freeModule.exports === freeExports;\n\n/** Built-in value references. */\nvar Buffer = moduleExports ? _root.Buffer : undefined;\n\n/* Built-in method references for those with the same name as other `lodash` methods. */\nvar nativeIsBuffer = Buffer ? Buffer.isBuffer : undefined;\n\n/**\n * Checks if `value` is a buffer.\n *\n * @static\n * @memberOf _\n * @since 4.3.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a buffer, else `false`.\n * @example\n *\n * _.isBuffer(new Buffer(2));\n * // => true\n *\n * _.isBuffer(new Uint8Array(2));\n * // => false\n */\nvar isBuffer = nativeIsBuffer || stubFalse_1;\n\nmodule.exports = isBuffer;\n});\n\n/** Used as references for various `Number` constants. */\nvar MAX_SAFE_INTEGER = 9007199254740991;\n\n/** Used to detect unsigned integer values. */\nvar reIsUint = /^(?:0|[1-9]\\d*)$/;\n\n/**\n * Checks if `value` is a valid array-like index.\n *\n * @private\n * @param {*} value The value to check.\n * @param {number} [length=MAX_SAFE_INTEGER] The upper bounds of a valid index.\n * @returns {boolean} Returns `true` if `value` is a valid index, else `false`.\n */\nfunction isIndex(value, length) {\n var type = typeof value;\n length = length == null ? MAX_SAFE_INTEGER : length;\n\n return !!length &&\n (type == 'number' ||\n (type != 'symbol' && reIsUint.test(value))) &&\n (value > -1 && value % 1 == 0 && value < length);\n}\n\nvar _isIndex = isIndex;\n\n/** Used as references for various `Number` constants. */\nvar MAX_SAFE_INTEGER$1 = 9007199254740991;\n\n/**\n * Checks if `value` is a valid array-like length.\n *\n * **Note:** This method is loosely based on\n * [`ToLength`](http://ecma-international.org/ecma-262/7.0/#sec-tolength).\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a valid length, else `false`.\n * @example\n *\n * _.isLength(3);\n * // => true\n *\n * _.isLength(Number.MIN_VALUE);\n * // => false\n *\n * _.isLength(Infinity);\n * // => false\n *\n * _.isLength('3');\n * // => false\n */\nfunction isLength(value) {\n return typeof value == 'number' &&\n value > -1 && value % 1 == 0 && value <= MAX_SAFE_INTEGER$1;\n}\n\nvar isLength_1 = isLength;\n\n/** `Object#toString` result references. */\nvar argsTag$1 = '[object Arguments]',\n arrayTag = '[object Array]',\n boolTag$1 = '[object Boolean]',\n dateTag$1 = '[object Date]',\n errorTag$1 = '[object Error]',\n funcTag$1 = '[object Function]',\n mapTag$1 = '[object Map]',\n numberTag$1 = '[object Number]',\n objectTag = '[object Object]',\n regexpTag$1 = '[object RegExp]',\n setTag$1 = '[object Set]',\n stringTag$1 = '[object String]',\n weakMapTag = '[object WeakMap]';\n\nvar arrayBufferTag$1 = '[object ArrayBuffer]',\n dataViewTag$1 = '[object DataView]',\n float32Tag = '[object Float32Array]',\n float64Tag = '[object Float64Array]',\n int8Tag = '[object Int8Array]',\n int16Tag = '[object Int16Array]',\n int32Tag = '[object Int32Array]',\n uint8Tag = '[object Uint8Array]',\n uint8ClampedTag = '[object Uint8ClampedArray]',\n uint16Tag = '[object Uint16Array]',\n uint32Tag = '[object Uint32Array]';\n\n/** Used to identify `toStringTag` values of typed arrays. */\nvar typedArrayTags = {};\ntypedArrayTags[float32Tag] = typedArrayTags[float64Tag] =\ntypedArrayTags[int8Tag] = typedArrayTags[int16Tag] =\ntypedArrayTags[int32Tag] = typedArrayTags[uint8Tag] =\ntypedArrayTags[uint8ClampedTag] = typedArrayTags[uint16Tag] =\ntypedArrayTags[uint32Tag] = true;\ntypedArrayTags[argsTag$1] = typedArrayTags[arrayTag] =\ntypedArrayTags[arrayBufferTag$1] = typedArrayTags[boolTag$1] =\ntypedArrayTags[dataViewTag$1] = typedArrayTags[dateTag$1] =\ntypedArrayTags[errorTag$1] = typedArrayTags[funcTag$1] =\ntypedArrayTags[mapTag$1] = typedArrayTags[numberTag$1] =\ntypedArrayTags[objectTag] = typedArrayTags[regexpTag$1] =\ntypedArrayTags[setTag$1] = typedArrayTags[stringTag$1] =\ntypedArrayTags[weakMapTag] = false;\n\n/**\n * The base implementation of `_.isTypedArray` without Node.js optimizations.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a typed array, else `false`.\n */\nfunction baseIsTypedArray(value) {\n return isObjectLike_1(value) &&\n isLength_1(value.length) && !!typedArrayTags[_baseGetTag(value)];\n}\n\nvar _baseIsTypedArray = baseIsTypedArray;\n\n/**\n * The base implementation of `_.unary` without support for storing metadata.\n *\n * @private\n * @param {Function} func The function to cap arguments for.\n * @returns {Function} Returns the new capped function.\n */\nfunction baseUnary(func) {\n return function(value) {\n return func(value);\n };\n}\n\nvar _baseUnary = baseUnary;\n\nvar _nodeUtil = createCommonjsModule(function (module, exports) {\n/** Detect free variable `exports`. */\nvar freeExports = exports && !exports.nodeType && exports;\n\n/** Detect free variable `module`. */\nvar freeModule = freeExports && 'object' == 'object' && module && !module.nodeType && module;\n\n/** Detect the popular CommonJS extension `module.exports`. */\nvar moduleExports = freeModule && freeModule.exports === freeExports;\n\n/** Detect free variable `process` from Node.js. */\nvar freeProcess = moduleExports && _freeGlobal.process;\n\n/** Used to access faster Node.js helpers. */\nvar nodeUtil = (function() {\n try {\n // Use `util.types` for Node.js 10+.\n var types = freeModule && freeModule.require && freeModule.require('util').types;\n\n if (types) {\n return types;\n }\n\n // Legacy `process.binding('util')` for Node.js < 10.\n return freeProcess && freeProcess.binding && freeProcess.binding('util');\n } catch (e) {}\n}());\n\nmodule.exports = nodeUtil;\n});\n\n/* Node.js helper references. */\nvar nodeIsTypedArray = _nodeUtil && _nodeUtil.isTypedArray;\n\n/**\n * Checks if `value` is classified as a typed array.\n *\n * @static\n * @memberOf _\n * @since 3.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a typed array, else `false`.\n * @example\n *\n * _.isTypedArray(new Uint8Array);\n * // => true\n *\n * _.isTypedArray([]);\n * // => false\n */\nvar isTypedArray = nodeIsTypedArray ? _baseUnary(nodeIsTypedArray) : _baseIsTypedArray;\n\nvar isTypedArray_1 = isTypedArray;\n\n/** Used for built-in method references. */\nvar objectProto$7 = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$5 = objectProto$7.hasOwnProperty;\n\n/**\n * Creates an array of the enumerable property names of the array-like `value`.\n *\n * @private\n * @param {*} value The value to query.\n * @param {boolean} inherited Specify returning inherited property names.\n * @returns {Array} Returns the array of property names.\n */\nfunction arrayLikeKeys(value, inherited) {\n var isArr = isArray_1(value),\n isArg = !isArr && isArguments_1(value),\n isBuff = !isArr && !isArg && isBuffer_1(value),\n isType = !isArr && !isArg && !isBuff && isTypedArray_1(value),\n skipIndexes = isArr || isArg || isBuff || isType,\n result = skipIndexes ? _baseTimes(value.length, String) : [],\n length = result.length;\n\n for (var key in value) {\n if ((inherited || hasOwnProperty$5.call(value, key)) &&\n !(skipIndexes && (\n // Safari 9 has enumerable `arguments.length` in strict mode.\n key == 'length' ||\n // Node.js 0.10 has enumerable non-index properties on buffers.\n (isBuff && (key == 'offset' || key == 'parent')) ||\n // PhantomJS 2 has enumerable non-index properties on typed arrays.\n (isType && (key == 'buffer' || key == 'byteLength' || key == 'byteOffset')) ||\n // Skip index properties.\n _isIndex(key, length)\n ))) {\n result.push(key);\n }\n }\n return result;\n}\n\nvar _arrayLikeKeys = arrayLikeKeys;\n\n/** Used for built-in method references. */\nvar objectProto$8 = Object.prototype;\n\n/**\n * Checks if `value` is likely a prototype object.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a prototype, else `false`.\n */\nfunction isPrototype(value) {\n var Ctor = value && value.constructor,\n proto = (typeof Ctor == 'function' && Ctor.prototype) || objectProto$8;\n\n return value === proto;\n}\n\nvar _isPrototype = isPrototype;\n\n/**\n * Creates a unary function that invokes `func` with its argument transformed.\n *\n * @private\n * @param {Function} func The function to wrap.\n * @param {Function} transform The argument transform.\n * @returns {Function} Returns the new function.\n */\nfunction overArg(func, transform) {\n return function(arg) {\n return func(transform(arg));\n };\n}\n\nvar _overArg = overArg;\n\n/* Built-in method references for those with the same name as other `lodash` methods. */\nvar nativeKeys = _overArg(Object.keys, Object);\n\nvar _nativeKeys = nativeKeys;\n\n/** Used for built-in method references. */\nvar objectProto$9 = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$6 = objectProto$9.hasOwnProperty;\n\n/**\n * The base implementation of `_.keys` which doesn't treat sparse arrays as dense.\n *\n * @private\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names.\n */\nfunction baseKeys(object) {\n if (!_isPrototype(object)) {\n return _nativeKeys(object);\n }\n var result = [];\n for (var key in Object(object)) {\n if (hasOwnProperty$6.call(object, key) && key != 'constructor') {\n result.push(key);\n }\n }\n return result;\n}\n\nvar _baseKeys = baseKeys;\n\n/**\n * Checks if `value` is array-like. A value is considered array-like if it's\n * not a function and has a `value.length` that's an integer greater than or\n * equal to `0` and less than or equal to `Number.MAX_SAFE_INTEGER`.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is array-like, else `false`.\n * @example\n *\n * _.isArrayLike([1, 2, 3]);\n * // => true\n *\n * _.isArrayLike(document.body.children);\n * // => true\n *\n * _.isArrayLike('abc');\n * // => true\n *\n * _.isArrayLike(_.noop);\n * // => false\n */\nfunction isArrayLike(value) {\n return value != null && isLength_1(value.length) && !isFunction_1(value);\n}\n\nvar isArrayLike_1 = isArrayLike;\n\n/**\n * Creates an array of the own enumerable property names of `object`.\n *\n * **Note:** Non-object values are coerced to objects. See the\n * [ES spec](http://ecma-international.org/ecma-262/7.0/#sec-object.keys)\n * for more details.\n *\n * @static\n * @since 0.1.0\n * @memberOf _\n * @category Object\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names.\n * @example\n *\n * function Foo() {\n * this.a = 1;\n * this.b = 2;\n * }\n *\n * Foo.prototype.c = 3;\n *\n * _.keys(new Foo);\n * // => ['a', 'b'] (iteration order is not guaranteed)\n *\n * _.keys('hi');\n * // => ['0', '1']\n */\nfunction keys(object) {\n return isArrayLike_1(object) ? _arrayLikeKeys(object) : _baseKeys(object);\n}\n\nvar keys_1 = keys;\n\n/**\n * Creates an array of own enumerable property names and symbols of `object`.\n *\n * @private\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names and symbols.\n */\nfunction getAllKeys(object) {\n return _baseGetAllKeys(object, keys_1, _getSymbols);\n}\n\nvar _getAllKeys = getAllKeys;\n\n/** Used to compose bitmasks for value comparisons. */\nvar COMPARE_PARTIAL_FLAG$2 = 1;\n\n/** Used for built-in method references. */\nvar objectProto$a = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$7 = objectProto$a.hasOwnProperty;\n\n/**\n * A specialized version of `baseIsEqualDeep` for objects with support for\n * partial deep comparisons.\n *\n * @private\n * @param {Object} object The object to compare.\n * @param {Object} other The other object to compare.\n * @param {number} bitmask The bitmask flags. See `baseIsEqual` for more details.\n * @param {Function} customizer The function to customize comparisons.\n * @param {Function} equalFunc The function to determine equivalents of values.\n * @param {Object} stack Tracks traversed `object` and `other` objects.\n * @returns {boolean} Returns `true` if the objects are equivalent, else `false`.\n */\nfunction equalObjects(object, other, bitmask, customizer, equalFunc, stack) {\n var isPartial = bitmask & COMPARE_PARTIAL_FLAG$2,\n objProps = _getAllKeys(object),\n objLength = objProps.length,\n othProps = _getAllKeys(other),\n othLength = othProps.length;\n\n if (objLength != othLength && !isPartial) {\n return false;\n }\n var index = objLength;\n while (index--) {\n var key = objProps[index];\n if (!(isPartial ? key in other : hasOwnProperty$7.call(other, key))) {\n return false;\n }\n }\n // Assume cyclic values are equal.\n var stacked = stack.get(object);\n if (stacked && stack.get(other)) {\n return stacked == other;\n }\n var result = true;\n stack.set(object, other);\n stack.set(other, object);\n\n var skipCtor = isPartial;\n while (++index < objLength) {\n key = objProps[index];\n var objValue = object[key],\n othValue = other[key];\n\n if (customizer) {\n var compared = isPartial\n ? customizer(othValue, objValue, key, other, object, stack)\n : customizer(objValue, othValue, key, object, other, stack);\n }\n // Recursively compare objects (susceptible to call stack limits).\n if (!(compared === undefined\n ? (objValue === othValue || equalFunc(objValue, othValue, bitmask, customizer, stack))\n : compared\n )) {\n result = false;\n break;\n }\n skipCtor || (skipCtor = key == 'constructor');\n }\n if (result && !skipCtor) {\n var objCtor = object.constructor,\n othCtor = other.constructor;\n\n // Non `Object` object instances with different constructors are not equal.\n if (objCtor != othCtor &&\n ('constructor' in object && 'constructor' in other) &&\n !(typeof objCtor == 'function' && objCtor instanceof objCtor &&\n typeof othCtor == 'function' && othCtor instanceof othCtor)) {\n result = false;\n }\n }\n stack['delete'](object);\n stack['delete'](other);\n return result;\n}\n\nvar _equalObjects = equalObjects;\n\n/* Built-in method references that are verified to be native. */\nvar DataView = _getNative(_root, 'DataView');\n\nvar _DataView = DataView;\n\n/* Built-in method references that are verified to be native. */\nvar Promise$1 = _getNative(_root, 'Promise');\n\nvar _Promise = Promise$1;\n\n/* Built-in method references that are verified to be native. */\nvar Set = _getNative(_root, 'Set');\n\nvar _Set = Set;\n\n/* Built-in method references that are verified to be native. */\nvar WeakMap = _getNative(_root, 'WeakMap');\n\nvar _WeakMap = WeakMap;\n\n/** `Object#toString` result references. */\nvar mapTag$2 = '[object Map]',\n objectTag$1 = '[object Object]',\n promiseTag = '[object Promise]',\n setTag$2 = '[object Set]',\n weakMapTag$1 = '[object WeakMap]';\n\nvar dataViewTag$2 = '[object DataView]';\n\n/** Used to detect maps, sets, and weakmaps. */\nvar dataViewCtorString = _toSource(_DataView),\n mapCtorString = _toSource(_Map),\n promiseCtorString = _toSource(_Promise),\n setCtorString = _toSource(_Set),\n weakMapCtorString = _toSource(_WeakMap);\n\n/**\n * Gets the `toStringTag` of `value`.\n *\n * @private\n * @param {*} value The value to query.\n * @returns {string} Returns the `toStringTag`.\n */\nvar getTag = _baseGetTag;\n\n// Fallback for data views, maps, sets, and weak maps in IE 11 and promises in Node.js < 6.\nif ((_DataView && getTag(new _DataView(new ArrayBuffer(1))) != dataViewTag$2) ||\n (_Map && getTag(new _Map) != mapTag$2) ||\n (_Promise && getTag(_Promise.resolve()) != promiseTag) ||\n (_Set && getTag(new _Set) != setTag$2) ||\n (_WeakMap && getTag(new _WeakMap) != weakMapTag$1)) {\n getTag = function(value) {\n var result = _baseGetTag(value),\n Ctor = result == objectTag$1 ? value.constructor : undefined,\n ctorString = Ctor ? _toSource(Ctor) : '';\n\n if (ctorString) {\n switch (ctorString) {\n case dataViewCtorString: return dataViewTag$2;\n case mapCtorString: return mapTag$2;\n case promiseCtorString: return promiseTag;\n case setCtorString: return setTag$2;\n case weakMapCtorString: return weakMapTag$1;\n }\n }\n return result;\n };\n}\n\nvar _getTag = getTag;\n\n/** Used to compose bitmasks for value comparisons. */\nvar COMPARE_PARTIAL_FLAG$3 = 1;\n\n/** `Object#toString` result references. */\nvar argsTag$2 = '[object Arguments]',\n arrayTag$1 = '[object Array]',\n objectTag$2 = '[object Object]';\n\n/** Used for built-in method references. */\nvar objectProto$b = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$8 = objectProto$b.hasOwnProperty;\n\n/**\n * A specialized version of `baseIsEqual` for arrays and objects which performs\n * deep comparisons and tracks traversed objects enabling objects with circular\n * references to be compared.\n *\n * @private\n * @param {Object} object The object to compare.\n * @param {Object} other The other object to compare.\n * @param {number} bitmask The bitmask flags. See `baseIsEqual` for more details.\n * @param {Function} customizer The function to customize comparisons.\n * @param {Function} equalFunc The function to determine equivalents of values.\n * @param {Object} [stack] Tracks traversed `object` and `other` objects.\n * @returns {boolean} Returns `true` if the objects are equivalent, else `false`.\n */\nfunction baseIsEqualDeep(object, other, bitmask, customizer, equalFunc, stack) {\n var objIsArr = isArray_1(object),\n othIsArr = isArray_1(other),\n objTag = objIsArr ? arrayTag$1 : _getTag(object),\n othTag = othIsArr ? arrayTag$1 : _getTag(other);\n\n objTag = objTag == argsTag$2 ? objectTag$2 : objTag;\n othTag = othTag == argsTag$2 ? objectTag$2 : othTag;\n\n var objIsObj = objTag == objectTag$2,\n othIsObj = othTag == objectTag$2,\n isSameTag = objTag == othTag;\n\n if (isSameTag && isBuffer_1(object)) {\n if (!isBuffer_1(other)) {\n return false;\n }\n objIsArr = true;\n objIsObj = false;\n }\n if (isSameTag && !objIsObj) {\n stack || (stack = new _Stack);\n return (objIsArr || isTypedArray_1(object))\n ? _equalArrays(object, other, bitmask, customizer, equalFunc, stack)\n : _equalByTag(object, other, objTag, bitmask, customizer, equalFunc, stack);\n }\n if (!(bitmask & COMPARE_PARTIAL_FLAG$3)) {\n var objIsWrapped = objIsObj && hasOwnProperty$8.call(object, '__wrapped__'),\n othIsWrapped = othIsObj && hasOwnProperty$8.call(other, '__wrapped__');\n\n if (objIsWrapped || othIsWrapped) {\n var objUnwrapped = objIsWrapped ? object.value() : object,\n othUnwrapped = othIsWrapped ? other.value() : other;\n\n stack || (stack = new _Stack);\n return equalFunc(objUnwrapped, othUnwrapped, bitmask, customizer, stack);\n }\n }\n if (!isSameTag) {\n return false;\n }\n stack || (stack = new _Stack);\n return _equalObjects(object, other, bitmask, customizer, equalFunc, stack);\n}\n\nvar _baseIsEqualDeep = baseIsEqualDeep;\n\n/**\n * The base implementation of `_.isEqual` which supports partial comparisons\n * and tracks traversed objects.\n *\n * @private\n * @param {*} value The value to compare.\n * @param {*} other The other value to compare.\n * @param {boolean} bitmask The bitmask flags.\n * 1 - Unordered comparison\n * 2 - Partial comparison\n * @param {Function} [customizer] The function to customize comparisons.\n * @param {Object} [stack] Tracks traversed `value` and `other` objects.\n * @returns {boolean} Returns `true` if the values are equivalent, else `false`.\n */\nfunction baseIsEqual(value, other, bitmask, customizer, stack) {\n if (value === other) {\n return true;\n }\n if (value == null || other == null || (!isObjectLike_1(value) && !isObjectLike_1(other))) {\n return value !== value && other !== other;\n }\n return _baseIsEqualDeep(value, other, bitmask, customizer, baseIsEqual, stack);\n}\n\nvar _baseIsEqual = baseIsEqual;\n\n/**\n * Performs a deep comparison between two values to determine if they are\n * equivalent.\n *\n * **Note:** This method supports comparing arrays, array buffers, booleans,\n * date objects, error objects, maps, numbers, `Object` objects, regexes,\n * sets, strings, symbols, and typed arrays. `Object` objects are compared\n * by their own, not inherited, enumerable properties. Functions and DOM\n * nodes are compared by strict equality, i.e. `===`.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to compare.\n * @param {*} other The other value to compare.\n * @returns {boolean} Returns `true` if the values are equivalent, else `false`.\n * @example\n *\n * var object = { 'a': 1 };\n * var other = { 'a': 1 };\n *\n * _.isEqual(object, other);\n * // => true\n *\n * object === other;\n * // => false\n */\nfunction isEqual(value, other) {\n return _baseIsEqual(value, other);\n}\n\nvar isEqual_1 = isEqual;\n\nvar DEFAULT_OPTIONS = {\n container: false,\n delay: 0,\n html: false,\n placement: 'top',\n title: '',\n template: '<div class=\"tooltip\" role=\"tooltip\"><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>',\n trigger: 'hover focus',\n offset: 0\n};\nvar openTooltips = [];\n\nvar Tooltip =\n/*#__PURE__*/\nfunction () {\n /**\n * Create a new Tooltip.js instance\n * @class Tooltip\n * @param {HTMLElement} reference - The DOM node used as reference of the tooltip (it can be a jQuery element).\n * @param {Object} options\n * @param {String} options.placement=bottom\n * Placement of the popper accepted values: `top(-start, -end), right(-start, -end), bottom(-start, -end),\n * left(-start, -end)`\n * @param {HTMLElement|String|false} options.container=false - Append the tooltip to a specific element.\n * @param {Number|Object} options.delay=0\n * Delay showing and hiding the tooltip (ms) - does not apply to manual trigger type.\n * If a number is supplied, delay is applied to both hide/show.\n * Object structure is: `{ show: 500, hide: 100 }`\n * @param {Boolean} options.html=false - Insert HTML into the tooltip. If false, the content will inserted with `innerText`.\n * @param {String|PlacementFunction} options.placement='top' - One of the allowed placements, or a function returning one of them.\n * @param {String} [options.template='<div class=\"tooltip\" role=\"tooltip\"><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>']\n * Base HTML to used when creating the tooltip.\n * The tooltip's `title` will be injected into the `.tooltip-inner` or `.tooltip__inner`.\n * `.tooltip-arrow` or `.tooltip__arrow` will become the tooltip's arrow.\n * The outermost wrapper element should have the `.tooltip` class.\n * @param {String|HTMLElement|TitleFunction} options.title='' - Default title value if `title` attribute isn't present.\n * @param {String} [options.trigger='hover focus']\n * How tooltip is triggered - click, hover, focus, manual.\n * You may pass multiple triggers; separate them with a space. `manual` cannot be combined with any other trigger.\n * @param {HTMLElement} options.boundariesElement\n * The element used as boundaries for the tooltip. For more information refer to Popper.js'\n * [boundariesElement docs](https://popper.js.org/popper-documentation.html)\n * @param {Number|String} options.offset=0 - Offset of the tooltip relative to its reference. For more information refer to Popper.js'\n * [offset docs](https://popper.js.org/popper-documentation.html)\n * @param {Object} options.popperOptions={} - Popper options, will be passed directly to popper instance. For more information refer to Popper.js'\n * [options docs](https://popper.js.org/popper-documentation.html)\n * @return {Object} instance - The generated tooltip instance\n */\n function Tooltip(_reference, _options) {\n var _this = this;\n\n _classCallCheck(this, Tooltip);\n\n _defineProperty(this, \"_events\", []);\n\n _defineProperty(this, \"_setTooltipNodeEvent\", function (evt, reference, delay, options) {\n var relatedreference = evt.relatedreference || evt.toElement || evt.relatedTarget;\n\n var callback = function callback(evt2) {\n var relatedreference2 = evt2.relatedreference || evt2.toElement || evt2.relatedTarget; // Remove event listener after call\n\n _this._tooltipNode.removeEventListener(evt.type, callback); // If the new reference is not the reference element\n\n\n if (!reference.contains(relatedreference2)) {\n // Schedule to hide tooltip\n _this._scheduleHide(reference, options.delay, options, evt2);\n }\n };\n\n if (_this._tooltipNode.contains(relatedreference)) {\n // listen to mouseleave on the tooltip element to be able to hide the tooltip\n _this._tooltipNode.addEventListener(evt.type, callback);\n\n return true;\n }\n\n return false;\n });\n\n // apply user options over default ones\n _options = _objectSpread2({}, DEFAULT_OPTIONS, {}, _options);\n _reference.jquery && (_reference = _reference[0]);\n this.show = this.show.bind(this);\n this.hide = this.hide.bind(this); // cache reference and options\n\n this.reference = _reference;\n this.options = _options; // set initial state\n\n this._isOpen = false;\n\n this._init();\n } //\n // Public methods\n //\n\n /**\n * Reveals an element's tooltip. This is considered a \"manual\" triggering of the tooltip.\n * Tooltips with zero-length titles are never displayed.\n * @method Tooltip#show\n * @memberof Tooltip\n */\n\n\n _createClass(Tooltip, [{\n key: \"show\",\n value: function show() {\n this._show(this.reference, this.options);\n }\n /**\n * Hides an element’s tooltip. This is considered a “manual” triggering of the tooltip.\n * @method Tooltip#hide\n * @memberof Tooltip\n */\n\n }, {\n key: \"hide\",\n value: function hide() {\n this._hide();\n }\n /**\n * Hides and destroys an element’s tooltip.\n * @method Tooltip#dispose\n * @memberof Tooltip\n */\n\n }, {\n key: \"dispose\",\n value: function dispose() {\n this._dispose();\n }\n /**\n * Toggles an element’s tooltip. This is considered a “manual” triggering of the tooltip.\n * @method Tooltip#toggle\n * @memberof Tooltip\n */\n\n }, {\n key: \"toggle\",\n value: function toggle() {\n if (this._isOpen) {\n return this.hide();\n } else {\n return this.show();\n }\n }\n }, {\n key: \"setClasses\",\n value: function setClasses(classes) {\n this._classes = classes;\n }\n }, {\n key: \"setContent\",\n value: function setContent(content) {\n this.options.title = content;\n\n if (this._tooltipNode) {\n this._setContent(content, this.options);\n }\n }\n }, {\n key: \"setOptions\",\n value: function setOptions(options) {\n var classesUpdated = false;\n var classes = options && options.classes || directive.options.defaultClass;\n\n if (!isEqual_1(this._classes, classes)) {\n this.setClasses(classes);\n classesUpdated = true;\n }\n\n options = getOptions(options);\n var needPopperUpdate = false;\n var needRestart = false;\n\n if (this.options.offset !== options.offset || this.options.placement !== options.placement) {\n needPopperUpdate = true;\n }\n\n if (this.options.template !== options.template || this.options.trigger !== options.trigger || this.options.container !== options.container || classesUpdated) {\n needRestart = true;\n }\n\n for (var key in options) {\n this.options[key] = options[key];\n }\n\n if (this._tooltipNode) {\n if (needRestart) {\n var isOpen = this._isOpen;\n this.dispose();\n\n this._init();\n\n if (isOpen) {\n this.show();\n }\n } else if (needPopperUpdate) {\n this.popperInstance.update();\n }\n }\n } //\n // Private methods\n //\n\n }, {\n key: \"_init\",\n value: function _init() {\n // get events list\n var events = typeof this.options.trigger === 'string' ? this.options.trigger.split(' ') : [];\n this._isDisposed = false;\n this._enableDocumentTouch = events.indexOf('manual') === -1;\n events = events.filter(function (trigger) {\n return ['click', 'hover', 'focus'].indexOf(trigger) !== -1;\n }); // set event listeners\n\n this._setEventListeners(this.reference, events, this.options); // title attribute\n\n\n this.$_originalTitle = this.reference.getAttribute('title');\n this.reference.removeAttribute('title');\n this.reference.setAttribute('data-original-title', this.$_originalTitle);\n }\n /**\n * Creates a new tooltip node\n * @memberof Tooltip\n * @private\n * @param {HTMLElement} reference\n * @param {String} template\n * @param {String|HTMLElement|TitleFunction} title\n * @param {Boolean} allowHtml\n * @return {HTMLelement} tooltipNode\n */\n\n }, {\n key: \"_create\",\n value: function _create(reference, template) {\n // create tooltip element\n var tooltipGenerator = window.document.createElement('div');\n tooltipGenerator.innerHTML = template.trim();\n var tooltipNode = tooltipGenerator.childNodes[0]; // add unique ID to our tooltip (needed for accessibility reasons)\n\n tooltipNode.id = \"tooltip_\".concat(Math.random().toString(36).substr(2, 10)); // Initially hide the tooltip\n // The attribute will be switched in a next frame so\n // CSS transitions can play\n\n tooltipNode.setAttribute('aria-hidden', 'true');\n\n if (this.options.autoHide && this.options.trigger.indexOf('hover') !== -1) {\n tooltipNode.addEventListener('mouseenter', this.hide);\n tooltipNode.addEventListener('click', this.hide);\n } // return the generated tooltip node\n\n\n return tooltipNode;\n }\n }, {\n key: \"_setContent\",\n value: function _setContent(content, options) {\n var _this2 = this;\n\n this.asyncContent = false;\n\n this._applyContent(content, options).then(function () {\n _this2.popperInstance.update();\n });\n }\n }, {\n key: \"_applyContent\",\n value: function _applyContent(title, options) {\n var _this3 = this;\n\n return new Promise(function (resolve, reject) {\n var allowHtml = options.html;\n var rootNode = _this3._tooltipNode;\n if (!rootNode) return;\n var titleNode = rootNode.querySelector(_this3.options.innerSelector);\n\n if (title.nodeType === 1) {\n // if title is a node, append it only if allowHtml is true\n if (allowHtml) {\n while (titleNode.firstChild) {\n titleNode.removeChild(titleNode.firstChild);\n }\n\n titleNode.appendChild(title);\n }\n } else if (typeof title === 'function') {\n // if title is a function, call it and set innerText or innerHtml depending by `allowHtml` value\n var result = title();\n\n if (result && typeof result.then === 'function') {\n _this3.asyncContent = true;\n options.loadingClass && addClasses(rootNode, options.loadingClass);\n\n if (options.loadingContent) {\n _this3._applyContent(options.loadingContent, options);\n }\n\n result.then(function (asyncResult) {\n options.loadingClass && removeClasses(rootNode, options.loadingClass);\n return _this3._applyContent(asyncResult, options);\n }).then(resolve).catch(reject);\n } else {\n _this3._applyContent(result, options).then(resolve).catch(reject);\n }\n\n return;\n } else {\n // if it's just a simple text, set innerText or innerHtml depending by `allowHtml` value\n allowHtml ? titleNode.innerHTML = title : titleNode.innerText = title;\n }\n\n resolve();\n });\n }\n }, {\n key: \"_show\",\n value: function _show(reference, options) {\n if (options && typeof options.container === 'string') {\n var container = document.querySelector(options.container);\n if (!container) return;\n }\n\n clearTimeout(this._disposeTimer);\n options = Object.assign({}, options);\n delete options.offset;\n var updateClasses = true;\n\n if (this._tooltipNode) {\n addClasses(this._tooltipNode, this._classes);\n updateClasses = false;\n }\n\n var result = this._ensureShown(reference, options);\n\n if (updateClasses && this._tooltipNode) {\n addClasses(this._tooltipNode, this._classes);\n }\n\n addClasses(reference, ['v-tooltip-open']);\n return result;\n }\n }, {\n key: \"_ensureShown\",\n value: function _ensureShown(reference, options) {\n var _this4 = this;\n\n // don't show if it's already visible\n if (this._isOpen) {\n return this;\n }\n\n this._isOpen = true;\n openTooltips.push(this); // if the tooltipNode already exists, just show it\n\n if (this._tooltipNode) {\n this._tooltipNode.style.display = '';\n\n this._tooltipNode.setAttribute('aria-hidden', 'false');\n\n this.popperInstance.enableEventListeners();\n this.popperInstance.update();\n\n if (this.asyncContent) {\n this._setContent(options.title, options);\n }\n\n return this;\n } // get title\n\n\n var title = reference.getAttribute('title') || options.title; // don't show tooltip if no title is defined\n\n if (!title) {\n return this;\n } // create tooltip node\n\n\n var tooltipNode = this._create(reference, options.template);\n\n this._tooltipNode = tooltipNode; // Add `aria-describedby` to our reference element for accessibility reasons\n\n reference.setAttribute('aria-describedby', tooltipNode.id); // append tooltip to container\n\n var container = this._findContainer(options.container, reference);\n\n this._append(tooltipNode, container);\n\n var popperOptions = _objectSpread2({}, options.popperOptions, {\n placement: options.placement\n });\n\n popperOptions.modifiers = _objectSpread2({}, popperOptions.modifiers, {\n arrow: {\n element: this.options.arrowSelector\n }\n });\n\n if (options.boundariesElement) {\n popperOptions.modifiers.preventOverflow = {\n boundariesElement: options.boundariesElement\n };\n }\n\n this.popperInstance = new Popper(reference, tooltipNode, popperOptions);\n\n this._setContent(title, options); // Fix position\n\n\n requestAnimationFrame(function () {\n if (!_this4._isDisposed && _this4.popperInstance) {\n _this4.popperInstance.update(); // Show the tooltip\n\n\n requestAnimationFrame(function () {\n if (!_this4._isDisposed) {\n _this4._isOpen && tooltipNode.setAttribute('aria-hidden', 'false');\n } else {\n _this4.dispose();\n }\n });\n } else {\n _this4.dispose();\n }\n });\n return this;\n }\n }, {\n key: \"_noLongerOpen\",\n value: function _noLongerOpen() {\n var index = openTooltips.indexOf(this);\n\n if (index !== -1) {\n openTooltips.splice(index, 1);\n }\n }\n }, {\n key: \"_hide\",\n value: function _hide()\n /* reference, options */\n {\n var _this5 = this;\n\n // don't hide if it's already hidden\n if (!this._isOpen) {\n return this;\n }\n\n this._isOpen = false;\n\n this._noLongerOpen(); // hide tooltipNode\n\n\n this._tooltipNode.style.display = 'none';\n\n this._tooltipNode.setAttribute('aria-hidden', 'true');\n\n this.popperInstance.disableEventListeners();\n clearTimeout(this._disposeTimer);\n var disposeTime = directive.options.disposeTimeout;\n\n if (disposeTime !== null) {\n this._disposeTimer = setTimeout(function () {\n if (_this5._tooltipNode) {\n _this5._tooltipNode.removeEventListener('mouseenter', _this5.hide);\n\n _this5._tooltipNode.removeEventListener('click', _this5.hide); // Don't remove popper instance, just the HTML element\n\n\n _this5._removeTooltipNode();\n }\n }, disposeTime);\n }\n\n removeClasses(this.reference, ['v-tooltip-open']);\n return this;\n }\n }, {\n key: \"_removeTooltipNode\",\n value: function _removeTooltipNode() {\n if (!this._tooltipNode) return;\n var parentNode = this._tooltipNode.parentNode;\n\n if (parentNode) {\n parentNode.removeChild(this._tooltipNode);\n this.reference.removeAttribute('aria-describedby');\n }\n\n this._tooltipNode = null;\n }\n }, {\n key: \"_dispose\",\n value: function _dispose() {\n var _this6 = this;\n\n this._isDisposed = true;\n this.reference.removeAttribute('data-original-title');\n\n if (this.$_originalTitle) {\n this.reference.setAttribute('title', this.$_originalTitle);\n } // remove event listeners first to prevent any unexpected behaviour\n\n\n this._events.forEach(function (_ref) {\n var func = _ref.func,\n event = _ref.event;\n\n _this6.reference.removeEventListener(event, func);\n });\n\n this._events = [];\n\n if (this._tooltipNode) {\n this._hide();\n\n this._tooltipNode.removeEventListener('mouseenter', this.hide);\n\n this._tooltipNode.removeEventListener('click', this.hide); // destroy instance\n\n\n this.popperInstance.destroy(); // destroy tooltipNode if removeOnDestroy is not set, as popperInstance.destroy() already removes the element\n\n if (!this.popperInstance.options.removeOnDestroy) {\n this._removeTooltipNode();\n }\n } else {\n this._noLongerOpen();\n }\n\n return this;\n }\n }, {\n key: \"_findContainer\",\n value: function _findContainer(container, reference) {\n // if container is a query, get the relative element\n if (typeof container === 'string') {\n container = window.document.querySelector(container);\n } else if (container === false) {\n // if container is `false`, set it to reference parent\n container = reference.parentNode;\n }\n\n return container;\n }\n /**\n * Append tooltip to container\n * @memberof Tooltip\n * @private\n * @param {HTMLElement} tooltip\n * @param {HTMLElement|String|false} container\n */\n\n }, {\n key: \"_append\",\n value: function _append(tooltipNode, container) {\n container.appendChild(tooltipNode);\n }\n }, {\n key: \"_setEventListeners\",\n value: function _setEventListeners(reference, events, options) {\n var _this7 = this;\n\n var directEvents = [];\n var oppositeEvents = [];\n events.forEach(function (event) {\n switch (event) {\n case 'hover':\n directEvents.push('mouseenter');\n oppositeEvents.push('mouseleave');\n if (_this7.options.hideOnTargetClick) oppositeEvents.push('click');\n break;\n\n case 'focus':\n directEvents.push('focus');\n oppositeEvents.push('blur');\n if (_this7.options.hideOnTargetClick) oppositeEvents.push('click');\n break;\n\n case 'click':\n directEvents.push('click');\n oppositeEvents.push('click');\n break;\n }\n }); // schedule show tooltip\n\n directEvents.forEach(function (event) {\n var func = function func(evt) {\n if (_this7._isOpen === true) {\n return;\n }\n\n evt.usedByTooltip = true;\n\n _this7._scheduleShow(reference, options.delay, options, evt);\n };\n\n _this7._events.push({\n event: event,\n func: func\n });\n\n reference.addEventListener(event, func);\n }); // schedule hide tooltip\n\n oppositeEvents.forEach(function (event) {\n var func = function func(evt) {\n if (evt.usedByTooltip === true) {\n return;\n }\n\n _this7._scheduleHide(reference, options.delay, options, evt);\n };\n\n _this7._events.push({\n event: event,\n func: func\n });\n\n reference.addEventListener(event, func);\n });\n }\n }, {\n key: \"_onDocumentTouch\",\n value: function _onDocumentTouch(event) {\n if (this._enableDocumentTouch) {\n this._scheduleHide(this.reference, this.options.delay, this.options, event);\n }\n }\n }, {\n key: \"_scheduleShow\",\n value: function _scheduleShow(reference, delay, options\n /*, evt */\n ) {\n var _this8 = this;\n\n // defaults to 0\n var computedDelay = delay && delay.show || delay || 0;\n clearTimeout(this._scheduleTimer);\n this._scheduleTimer = window.setTimeout(function () {\n return _this8._show(reference, options);\n }, computedDelay);\n }\n }, {\n key: \"_scheduleHide\",\n value: function _scheduleHide(reference, delay, options, evt) {\n var _this9 = this;\n\n // defaults to 0\n var computedDelay = delay && delay.hide || delay || 0;\n clearTimeout(this._scheduleTimer);\n this._scheduleTimer = window.setTimeout(function () {\n if (_this9._isOpen === false) {\n return;\n }\n\n if (!_this9._tooltipNode.ownerDocument.body.contains(_this9._tooltipNode)) {\n return;\n } // if we are hiding because of a mouseleave, we must check that the new\n // reference isn't the tooltip, because in this case we don't want to hide it\n\n\n if (evt.type === 'mouseleave') {\n var isSet = _this9._setTooltipNodeEvent(evt, reference, delay, options); // if we set the new event, don't hide the tooltip yet\n // the new event will take care to hide it if necessary\n\n\n if (isSet) {\n return;\n }\n }\n\n _this9._hide(reference, options);\n }, computedDelay);\n }\n }]);\n\n return Tooltip;\n}(); // Hide tooltips on touch devices\n\nif (typeof document !== 'undefined') {\n document.addEventListener('touchstart', function (event) {\n for (var i = 0; i < openTooltips.length; i++) {\n openTooltips[i]._onDocumentTouch(event);\n }\n }, supportsPassive ? {\n passive: true,\n capture: true\n } : true);\n}\n/**\n * Placement function, its context is the Tooltip instance.\n * @memberof Tooltip\n * @callback PlacementFunction\n * @param {HTMLElement} tooltip - tooltip DOM node.\n * @param {HTMLElement} reference - reference DOM node.\n * @return {String} placement - One of the allowed placement options.\n */\n\n/**\n * Title function, its context is the Tooltip instance.\n * @memberof Tooltip\n * @callback TitleFunction\n * @return {String} placement - The desired title.\n */\n\nvar state = {\n enabled: true\n};\nvar positions = ['top', 'top-start', 'top-end', 'right', 'right-start', 'right-end', 'bottom', 'bottom-start', 'bottom-end', 'left', 'left-start', 'left-end'];\nvar defaultOptions = {\n // Default tooltip placement relative to target element\n defaultPlacement: 'top',\n // Default CSS classes applied to the tooltip element\n defaultClass: 'vue-tooltip-theme',\n // Default CSS classes applied to the target element of the tooltip\n defaultTargetClass: 'has-tooltip',\n // Is the content HTML by default?\n defaultHtml: true,\n // Default HTML template of the tooltip element\n // It must include `tooltip-arrow` & `tooltip-inner` CSS classes (can be configured, see below)\n // Change if the classes conflict with other libraries (for example bootstrap)\n defaultTemplate: '<div class=\"tooltip\" role=\"tooltip\"><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>',\n // Selector used to get the arrow element in the tooltip template\n defaultArrowSelector: '.tooltip-arrow, .tooltip__arrow',\n // Selector used to get the inner content element in the tooltip template\n defaultInnerSelector: '.tooltip-inner, .tooltip__inner',\n // Delay (ms)\n defaultDelay: 0,\n // Default events that trigger the tooltip\n defaultTrigger: 'hover focus',\n // Default position offset (px)\n defaultOffset: 0,\n // Default container where the tooltip will be appended\n defaultContainer: 'body',\n defaultBoundariesElement: undefined,\n defaultPopperOptions: {},\n // Class added when content is loading\n defaultLoadingClass: 'tooltip-loading',\n // Displayed when tooltip content is loading\n defaultLoadingContent: '...',\n // Hide on mouseover tooltip\n autoHide: true,\n // Close tooltip on click on tooltip target?\n defaultHideOnTargetClick: true,\n // Auto destroy tooltip DOM nodes (ms)\n disposeTimeout: 5000,\n // Options for popover\n popover: {\n defaultPlacement: 'bottom',\n // Use the `popoverClass` prop for theming\n defaultClass: 'vue-popover-theme',\n // Base class (change if conflicts with other libraries)\n defaultBaseClass: 'tooltip popover',\n // Wrapper class (contains arrow and inner)\n defaultWrapperClass: 'wrapper',\n // Inner content class\n defaultInnerClass: 'tooltip-inner popover-inner',\n // Arrow class\n defaultArrowClass: 'tooltip-arrow popover-arrow',\n // Class added when popover is open\n defaultOpenClass: 'open',\n defaultDelay: 0,\n defaultTrigger: 'click',\n defaultOffset: 0,\n defaultContainer: 'body',\n defaultBoundariesElement: undefined,\n defaultPopperOptions: {},\n // Hides if clicked outside of popover\n defaultAutoHide: true,\n // Update popper on content resize\n defaultHandleResize: true\n }\n};\nfunction getOptions(options) {\n var result = {\n placement: typeof options.placement !== 'undefined' ? options.placement : directive.options.defaultPlacement,\n delay: typeof options.delay !== 'undefined' ? options.delay : directive.options.defaultDelay,\n html: typeof options.html !== 'undefined' ? options.html : directive.options.defaultHtml,\n template: typeof options.template !== 'undefined' ? options.template : directive.options.defaultTemplate,\n arrowSelector: typeof options.arrowSelector !== 'undefined' ? options.arrowSelector : directive.options.defaultArrowSelector,\n innerSelector: typeof options.innerSelector !== 'undefined' ? options.innerSelector : directive.options.defaultInnerSelector,\n trigger: typeof options.trigger !== 'undefined' ? options.trigger : directive.options.defaultTrigger,\n offset: typeof options.offset !== 'undefined' ? options.offset : directive.options.defaultOffset,\n container: typeof options.container !== 'undefined' ? options.container : directive.options.defaultContainer,\n boundariesElement: typeof options.boundariesElement !== 'undefined' ? options.boundariesElement : directive.options.defaultBoundariesElement,\n autoHide: typeof options.autoHide !== 'undefined' ? options.autoHide : directive.options.autoHide,\n hideOnTargetClick: typeof options.hideOnTargetClick !== 'undefined' ? options.hideOnTargetClick : directive.options.defaultHideOnTargetClick,\n loadingClass: typeof options.loadingClass !== 'undefined' ? options.loadingClass : directive.options.defaultLoadingClass,\n loadingContent: typeof options.loadingContent !== 'undefined' ? options.loadingContent : directive.options.defaultLoadingContent,\n popperOptions: _objectSpread2({}, typeof options.popperOptions !== 'undefined' ? options.popperOptions : directive.options.defaultPopperOptions)\n };\n\n if (result.offset) {\n var typeofOffset = _typeof(result.offset);\n\n var offset = result.offset; // One value -> switch\n\n if (typeofOffset === 'number' || typeofOffset === 'string' && offset.indexOf(',') === -1) {\n offset = \"0, \".concat(offset);\n }\n\n if (!result.popperOptions.modifiers) {\n result.popperOptions.modifiers = {};\n }\n\n result.popperOptions.modifiers.offset = {\n offset: offset\n };\n }\n\n if (result.trigger && result.trigger.indexOf('click') !== -1) {\n result.hideOnTargetClick = false;\n }\n\n return result;\n}\nfunction getPlacement(value, modifiers) {\n var placement = value.placement;\n\n for (var i = 0; i < positions.length; i++) {\n var pos = positions[i];\n\n if (modifiers[pos]) {\n placement = pos;\n }\n }\n\n return placement;\n}\nfunction getContent(value) {\n var type = _typeof(value);\n\n if (type === 'string') {\n return value;\n } else if (value && type === 'object') {\n return value.content;\n } else {\n return false;\n }\n}\nfunction createTooltip(el, value) {\n var modifiers = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n var content = getContent(value);\n var classes = typeof value.classes !== 'undefined' ? value.classes : directive.options.defaultClass;\n\n var opts = _objectSpread2({\n title: content\n }, getOptions(_objectSpread2({}, value, {\n placement: getPlacement(value, modifiers)\n })));\n\n var tooltip = el._tooltip = new Tooltip(el, opts);\n tooltip.setClasses(classes);\n tooltip._vueEl = el; // Class on target\n\n var targetClasses = typeof value.targetClasses !== 'undefined' ? value.targetClasses : directive.options.defaultTargetClass;\n el._tooltipTargetClasses = targetClasses;\n addClasses(el, targetClasses);\n return tooltip;\n}\nfunction destroyTooltip(el) {\n if (el._tooltip) {\n el._tooltip.dispose();\n\n delete el._tooltip;\n delete el._tooltipOldShow;\n }\n\n if (el._tooltipTargetClasses) {\n removeClasses(el, el._tooltipTargetClasses);\n delete el._tooltipTargetClasses;\n }\n}\nfunction bind(el, _ref) {\n var value = _ref.value,\n oldValue = _ref.oldValue,\n modifiers = _ref.modifiers;\n var content = getContent(value);\n\n if (!content || !state.enabled) {\n destroyTooltip(el);\n } else {\n var tooltip;\n\n if (el._tooltip) {\n tooltip = el._tooltip; // Content\n\n tooltip.setContent(content); // Options\n\n tooltip.setOptions(_objectSpread2({}, value, {\n placement: getPlacement(value, modifiers)\n }));\n } else {\n tooltip = createTooltip(el, value, modifiers);\n } // Manual show\n\n\n if (typeof value.show !== 'undefined' && value.show !== el._tooltipOldShow) {\n el._tooltipOldShow = value.show;\n value.show ? tooltip.show() : tooltip.hide();\n }\n }\n}\nvar directive = {\n options: defaultOptions,\n bind: bind,\n update: bind,\n unbind: function unbind(el) {\n destroyTooltip(el);\n }\n};\n\nfunction addListeners(el) {\n el.addEventListener('click', onClick);\n el.addEventListener('touchstart', onTouchStart, supportsPassive ? {\n passive: true\n } : false);\n}\n\nfunction removeListeners(el) {\n el.removeEventListener('click', onClick);\n el.removeEventListener('touchstart', onTouchStart);\n el.removeEventListener('touchend', onTouchEnd);\n el.removeEventListener('touchcancel', onTouchCancel);\n}\n\nfunction onClick(event) {\n var el = event.currentTarget;\n event.closePopover = !el.$_vclosepopover_touch;\n event.closeAllPopover = el.$_closePopoverModifiers && !!el.$_closePopoverModifiers.all;\n}\n\nfunction onTouchStart(event) {\n if (event.changedTouches.length === 1) {\n var el = event.currentTarget;\n el.$_vclosepopover_touch = true;\n var touch = event.changedTouches[0];\n el.$_vclosepopover_touchPoint = touch;\n el.addEventListener('touchend', onTouchEnd);\n el.addEventListener('touchcancel', onTouchCancel);\n }\n}\n\nfunction onTouchEnd(event) {\n var el = event.currentTarget;\n el.$_vclosepopover_touch = false;\n\n if (event.changedTouches.length === 1) {\n var touch = event.changedTouches[0];\n var firstTouch = el.$_vclosepopover_touchPoint;\n event.closePopover = Math.abs(touch.screenY - firstTouch.screenY) < 20 && Math.abs(touch.screenX - firstTouch.screenX) < 20;\n event.closeAllPopover = el.$_closePopoverModifiers && !!el.$_closePopoverModifiers.all;\n }\n}\n\nfunction onTouchCancel(event) {\n var el = event.currentTarget;\n el.$_vclosepopover_touch = false;\n}\n\nvar vclosepopover = {\n bind: function bind(el, _ref) {\n var value = _ref.value,\n modifiers = _ref.modifiers;\n el.$_closePopoverModifiers = modifiers;\n\n if (typeof value === 'undefined' || value) {\n addListeners(el);\n }\n },\n update: function update(el, _ref2) {\n var value = _ref2.value,\n oldValue = _ref2.oldValue,\n modifiers = _ref2.modifiers;\n el.$_closePopoverModifiers = modifiers;\n\n if (value !== oldValue) {\n if (typeof value === 'undefined' || value) {\n addListeners(el);\n } else {\n removeListeners(el);\n }\n }\n },\n unbind: function unbind(el) {\n removeListeners(el);\n }\n};\n\nfunction getDefault(key) {\n var value = directive.options.popover[key];\n\n if (typeof value === 'undefined') {\n return directive.options[key];\n }\n\n return value;\n}\n\nvar isIOS = false;\n\nif (typeof window !== 'undefined' && typeof navigator !== 'undefined') {\n isIOS = /iPad|iPhone|iPod/.test(navigator.userAgent) && !window.MSStream;\n}\n\nvar openPopovers = [];\n\nvar Element = function Element() {};\n\nif (typeof window !== 'undefined') {\n Element = window.Element;\n}\n\nvar script = {\n name: 'VPopover',\n components: {\n ResizeObserver: ResizeObserver\n },\n props: {\n open: {\n type: Boolean,\n default: false\n },\n disabled: {\n type: Boolean,\n default: false\n },\n placement: {\n type: String,\n default: function _default() {\n return getDefault('defaultPlacement');\n }\n },\n delay: {\n type: [String, Number, Object],\n default: function _default() {\n return getDefault('defaultDelay');\n }\n },\n offset: {\n type: [String, Number],\n default: function _default() {\n return getDefault('defaultOffset');\n }\n },\n trigger: {\n type: String,\n default: function _default() {\n return getDefault('defaultTrigger');\n }\n },\n container: {\n type: [String, Object, Element, Boolean],\n default: function _default() {\n return getDefault('defaultContainer');\n }\n },\n boundariesElement: {\n type: [String, Element],\n default: function _default() {\n return getDefault('defaultBoundariesElement');\n }\n },\n popperOptions: {\n type: Object,\n default: function _default() {\n return getDefault('defaultPopperOptions');\n }\n },\n popoverClass: {\n type: [String, Array],\n default: function _default() {\n return getDefault('defaultClass');\n }\n },\n popoverBaseClass: {\n type: [String, Array],\n default: function _default() {\n return directive.options.popover.defaultBaseClass;\n }\n },\n popoverInnerClass: {\n type: [String, Array],\n default: function _default() {\n return directive.options.popover.defaultInnerClass;\n }\n },\n popoverWrapperClass: {\n type: [String, Array],\n default: function _default() {\n return directive.options.popover.defaultWrapperClass;\n }\n },\n popoverArrowClass: {\n type: [String, Array],\n default: function _default() {\n return directive.options.popover.defaultArrowClass;\n }\n },\n autoHide: {\n type: Boolean,\n default: function _default() {\n return directive.options.popover.defaultAutoHide;\n }\n },\n handleResize: {\n type: Boolean,\n default: function _default() {\n return directive.options.popover.defaultHandleResize;\n }\n },\n openGroup: {\n type: String,\n default: null\n },\n openClass: {\n type: [String, Array],\n default: function _default() {\n return directive.options.popover.defaultOpenClass;\n }\n }\n },\n data: function data() {\n return {\n isOpen: false,\n id: Math.random().toString(36).substr(2, 10)\n };\n },\n computed: {\n cssClass: function cssClass() {\n return _defineProperty({}, this.openClass, this.isOpen);\n },\n popoverId: function popoverId() {\n return \"popover_\".concat(this.id);\n }\n },\n watch: {\n open: function open(val) {\n if (val) {\n this.show();\n } else {\n this.hide();\n }\n },\n disabled: function disabled(val, oldVal) {\n if (val !== oldVal) {\n if (val) {\n this.hide();\n } else if (this.open) {\n this.show();\n }\n }\n },\n container: function container(val) {\n if (this.isOpen && this.popperInstance) {\n var popoverNode = this.$refs.popover;\n var reference = this.$refs.trigger;\n var container = this.$_findContainer(this.container, reference);\n\n if (!container) {\n console.warn('No container for popover', this);\n return;\n }\n\n container.appendChild(popoverNode);\n this.popperInstance.scheduleUpdate();\n }\n },\n trigger: function trigger(val) {\n this.$_removeEventListeners();\n this.$_addEventListeners();\n },\n placement: function placement(val) {\n var _this = this;\n\n this.$_updatePopper(function () {\n _this.popperInstance.options.placement = val;\n });\n },\n offset: '$_restartPopper',\n boundariesElement: '$_restartPopper',\n popperOptions: {\n handler: '$_restartPopper',\n deep: true\n }\n },\n created: function created() {\n this.$_isDisposed = false;\n this.$_mounted = false;\n this.$_events = [];\n this.$_preventOpen = false;\n },\n mounted: function mounted() {\n var popoverNode = this.$refs.popover;\n popoverNode.parentNode && popoverNode.parentNode.removeChild(popoverNode);\n this.$_init();\n\n if (this.open) {\n this.show();\n }\n },\n deactivated: function deactivated() {\n this.hide();\n },\n beforeDestroy: function beforeDestroy() {\n this.dispose();\n },\n methods: {\n show: function show() {\n var _this2 = this;\n\n var _ref2 = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {},\n event = _ref2.event,\n _ref2$skipDelay = _ref2.skipDelay,\n _ref2$force = _ref2.force,\n force = _ref2$force === void 0 ? false : _ref2$force;\n\n if (force || !this.disabled) {\n this.$_scheduleShow(event);\n this.$emit('show');\n }\n\n this.$emit('update:open', true);\n this.$_beingShowed = true;\n requestAnimationFrame(function () {\n _this2.$_beingShowed = false;\n });\n },\n hide: function hide() {\n var _ref3 = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : {},\n event = _ref3.event,\n _ref3$skipDelay = _ref3.skipDelay;\n\n this.$_scheduleHide(event);\n this.$emit('hide');\n this.$emit('update:open', false);\n },\n dispose: function dispose() {\n this.$_isDisposed = true;\n this.$_removeEventListeners();\n this.hide({\n skipDelay: true\n });\n\n if (this.popperInstance) {\n this.popperInstance.destroy(); // destroy tooltipNode if removeOnDestroy is not set, as popperInstance.destroy() already removes the element\n\n if (!this.popperInstance.options.removeOnDestroy) {\n var popoverNode = this.$refs.popover;\n popoverNode.parentNode && popoverNode.parentNode.removeChild(popoverNode);\n }\n }\n\n this.$_mounted = false;\n this.popperInstance = null;\n this.isOpen = false;\n this.$emit('dispose');\n },\n $_init: function $_init() {\n if (this.trigger.indexOf('manual') === -1) {\n this.$_addEventListeners();\n }\n },\n $_show: function $_show() {\n var _this3 = this;\n\n var reference = this.$refs.trigger;\n var popoverNode = this.$refs.popover;\n clearTimeout(this.$_disposeTimer); // Already open\n\n if (this.isOpen) {\n return;\n } // Popper is already initialized\n\n\n if (this.popperInstance) {\n this.isOpen = true;\n this.popperInstance.enableEventListeners();\n this.popperInstance.scheduleUpdate();\n }\n\n if (!this.$_mounted) {\n var container = this.$_findContainer(this.container, reference);\n\n if (!container) {\n console.warn('No container for popover', this);\n return;\n }\n\n container.appendChild(popoverNode);\n this.$_mounted = true;\n }\n\n if (!this.popperInstance) {\n var popperOptions = _objectSpread2({}, this.popperOptions, {\n placement: this.placement\n });\n\n popperOptions.modifiers = _objectSpread2({}, popperOptions.modifiers, {\n arrow: _objectSpread2({}, popperOptions.modifiers && popperOptions.modifiers.arrow, {\n element: this.$refs.arrow\n })\n });\n\n if (this.offset) {\n var offset = this.$_getOffset();\n popperOptions.modifiers.offset = _objectSpread2({}, popperOptions.modifiers && popperOptions.modifiers.offset, {\n offset: offset\n });\n }\n\n if (this.boundariesElement) {\n popperOptions.modifiers.preventOverflow = _objectSpread2({}, popperOptions.modifiers && popperOptions.modifiers.preventOverflow, {\n boundariesElement: this.boundariesElement\n });\n }\n\n this.popperInstance = new Popper(reference, popoverNode, popperOptions); // Fix position\n\n requestAnimationFrame(function () {\n if (_this3.hidden) {\n _this3.hidden = false;\n\n _this3.$_hide();\n\n return;\n }\n\n if (!_this3.$_isDisposed && _this3.popperInstance) {\n _this3.popperInstance.scheduleUpdate(); // Show the tooltip\n\n\n requestAnimationFrame(function () {\n if (_this3.hidden) {\n _this3.hidden = false;\n\n _this3.$_hide();\n\n return;\n }\n\n if (!_this3.$_isDisposed) {\n _this3.isOpen = true;\n } else {\n _this3.dispose();\n }\n });\n } else {\n _this3.dispose();\n }\n });\n }\n\n var openGroup = this.openGroup;\n\n if (openGroup) {\n var popover;\n\n for (var i = 0; i < openPopovers.length; i++) {\n popover = openPopovers[i];\n\n if (popover.openGroup !== openGroup) {\n popover.hide();\n popover.$emit('close-group');\n }\n }\n }\n\n openPopovers.push(this);\n this.$emit('apply-show');\n },\n $_hide: function $_hide() {\n var _this4 = this;\n\n // Already hidden\n if (!this.isOpen) {\n return;\n }\n\n var index = openPopovers.indexOf(this);\n\n if (index !== -1) {\n openPopovers.splice(index, 1);\n }\n\n this.isOpen = false;\n\n if (this.popperInstance) {\n this.popperInstance.disableEventListeners();\n }\n\n clearTimeout(this.$_disposeTimer);\n var disposeTime = directive.options.popover.disposeTimeout || directive.options.disposeTimeout;\n\n if (disposeTime !== null) {\n this.$_disposeTimer = setTimeout(function () {\n var popoverNode = _this4.$refs.popover;\n\n if (popoverNode) {\n // Don't remove popper instance, just the HTML element\n popoverNode.parentNode && popoverNode.parentNode.removeChild(popoverNode);\n _this4.$_mounted = false;\n }\n }, disposeTime);\n }\n\n this.$emit('apply-hide');\n },\n $_findContainer: function $_findContainer(container, reference) {\n // if container is a query, get the relative element\n if (typeof container === 'string') {\n container = window.document.querySelector(container);\n } else if (container === false) {\n // if container is `false`, set it to reference parent\n container = reference.parentNode;\n }\n\n return container;\n },\n $_getOffset: function $_getOffset() {\n var typeofOffset = _typeof(this.offset);\n\n var offset = this.offset; // One value -> switch\n\n if (typeofOffset === 'number' || typeofOffset === 'string' && offset.indexOf(',') === -1) {\n offset = \"0, \".concat(offset);\n }\n\n return offset;\n },\n $_addEventListeners: function $_addEventListeners() {\n var _this5 = this;\n\n var reference = this.$refs.trigger;\n var directEvents = [];\n var oppositeEvents = [];\n var events = typeof this.trigger === 'string' ? this.trigger.split(' ').filter(function (trigger) {\n return ['click', 'hover', 'focus'].indexOf(trigger) !== -1;\n }) : [];\n events.forEach(function (event) {\n switch (event) {\n case 'hover':\n directEvents.push('mouseenter');\n oppositeEvents.push('mouseleave');\n break;\n\n case 'focus':\n directEvents.push('focus');\n oppositeEvents.push('blur');\n break;\n\n case 'click':\n directEvents.push('click');\n oppositeEvents.push('click');\n break;\n }\n }); // schedule show tooltip\n\n directEvents.forEach(function (event) {\n var func = function func(event) {\n if (_this5.isOpen) {\n return;\n }\n\n event.usedByTooltip = true;\n !_this5.$_preventOpen && _this5.show({\n event: event\n });\n _this5.hidden = false;\n };\n\n _this5.$_events.push({\n event: event,\n func: func\n });\n\n reference.addEventListener(event, func);\n }); // schedule hide tooltip\n\n oppositeEvents.forEach(function (event) {\n var func = function func(event) {\n if (event.usedByTooltip) {\n return;\n }\n\n _this5.hide({\n event: event\n });\n\n _this5.hidden = true;\n };\n\n _this5.$_events.push({\n event: event,\n func: func\n });\n\n reference.addEventListener(event, func);\n });\n },\n $_scheduleShow: function $_scheduleShow() {\n var skipDelay = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n clearTimeout(this.$_scheduleTimer);\n\n if (skipDelay) {\n this.$_show();\n } else {\n // defaults to 0\n var computedDelay = parseInt(this.delay && this.delay.show || this.delay || 0);\n this.$_scheduleTimer = setTimeout(this.$_show.bind(this), computedDelay);\n }\n },\n $_scheduleHide: function $_scheduleHide() {\n var _this6 = this;\n\n var event = arguments.length > 0 && arguments[0] !== undefined ? arguments[0] : null;\n var skipDelay = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n clearTimeout(this.$_scheduleTimer);\n\n if (skipDelay) {\n this.$_hide();\n } else {\n // defaults to 0\n var computedDelay = parseInt(this.delay && this.delay.hide || this.delay || 0);\n this.$_scheduleTimer = setTimeout(function () {\n if (!_this6.isOpen) {\n return;\n } // if we are hiding because of a mouseleave, we must check that the new\n // reference isn't the tooltip, because in this case we don't want to hide it\n\n\n if (event && event.type === 'mouseleave') {\n var isSet = _this6.$_setTooltipNodeEvent(event); // if we set the new event, don't hide the tooltip yet\n // the new event will take care to hide it if necessary\n\n\n if (isSet) {\n return;\n }\n }\n\n _this6.$_hide();\n }, computedDelay);\n }\n },\n $_setTooltipNodeEvent: function $_setTooltipNodeEvent(event) {\n var _this7 = this;\n\n var reference = this.$refs.trigger;\n var popoverNode = this.$refs.popover;\n var relatedreference = event.relatedreference || event.toElement || event.relatedTarget;\n\n var callback = function callback(event2) {\n var relatedreference2 = event2.relatedreference || event2.toElement || event2.relatedTarget; // Remove event listener after call\n\n popoverNode.removeEventListener(event.type, callback); // If the new reference is not the reference element\n\n if (!reference.contains(relatedreference2)) {\n // Schedule to hide tooltip\n _this7.hide({\n event: event2\n });\n }\n };\n\n if (popoverNode.contains(relatedreference)) {\n // listen to mouseleave on the tooltip element to be able to hide the tooltip\n popoverNode.addEventListener(event.type, callback);\n return true;\n }\n\n return false;\n },\n $_removeEventListeners: function $_removeEventListeners() {\n var reference = this.$refs.trigger;\n this.$_events.forEach(function (_ref4) {\n var func = _ref4.func,\n event = _ref4.event;\n reference.removeEventListener(event, func);\n });\n this.$_events = [];\n },\n $_updatePopper: function $_updatePopper(cb) {\n if (this.popperInstance) {\n cb();\n if (this.isOpen) this.popperInstance.scheduleUpdate();\n }\n },\n $_restartPopper: function $_restartPopper() {\n if (this.popperInstance) {\n var isOpen = this.isOpen;\n this.dispose();\n this.$_isDisposed = false;\n this.$_init();\n\n if (isOpen) {\n this.show({\n skipDelay: true,\n force: true\n });\n }\n }\n },\n $_handleGlobalClose: function $_handleGlobalClose(event) {\n var _this8 = this;\n\n var touch = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n if (this.$_beingShowed) return;\n this.hide({\n event: event\n });\n\n if (event.closePopover) {\n this.$emit('close-directive');\n } else {\n this.$emit('auto-hide');\n }\n\n if (touch) {\n this.$_preventOpen = true;\n setTimeout(function () {\n _this8.$_preventOpen = false;\n }, 300);\n }\n },\n $_handleResize: function $_handleResize() {\n if (this.isOpen && this.popperInstance) {\n this.popperInstance.scheduleUpdate();\n this.$emit('resize');\n }\n }\n }\n};\n\nif (typeof document !== 'undefined' && typeof window !== 'undefined') {\n if (isIOS) {\n document.addEventListener('touchend', handleGlobalTouchend, supportsPassive ? {\n passive: true,\n capture: true\n } : true);\n } else {\n window.addEventListener('click', handleGlobalClick, true);\n }\n}\n\nfunction handleGlobalClick(event) {\n handleGlobalClose(event);\n}\n\nfunction handleGlobalTouchend(event) {\n handleGlobalClose(event, true);\n}\n\nfunction handleGlobalClose(event) {\n var touch = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n\n var _loop = function _loop(i) {\n var popover = openPopovers[i];\n\n if (popover.$refs.popover) {\n var contains = popover.$refs.popover.contains(event.target);\n requestAnimationFrame(function () {\n if (event.closeAllPopover || event.closePopover && contains || popover.autoHide && !contains) {\n popover.$_handleGlobalClose(event, touch);\n }\n });\n }\n };\n\n // Delay so that close directive has time to set values\n for (var i = 0; i < openPopovers.length; i++) {\n _loop(i);\n }\n}\n\nfunction normalizeComponent(template, style, script, scopeId, isFunctionalTemplate, moduleIdentifier /* server only */, shadowMode, createInjector, createInjectorSSR, createInjectorShadow) {\r\n if (typeof shadowMode !== 'boolean') {\r\n createInjectorSSR = createInjector;\r\n createInjector = shadowMode;\r\n shadowMode = false;\r\n }\r\n // Vue.extend constructor export interop.\r\n const options = typeof script === 'function' ? script.options : script;\r\n // render functions\r\n if (template && template.render) {\r\n options.render = template.render;\r\n options.staticRenderFns = template.staticRenderFns;\r\n options._compiled = true;\r\n // functional template\r\n if (isFunctionalTemplate) {\r\n options.functional = true;\r\n }\r\n }\r\n // scopedId\r\n if (scopeId) {\r\n options._scopeId = scopeId;\r\n }\r\n let hook;\r\n if (moduleIdentifier) {\r\n // server build\r\n hook = function (context) {\r\n // 2.3 injection\r\n context =\r\n context || // cached call\r\n (this.$vnode && this.$vnode.ssrContext) || // stateful\r\n (this.parent && this.parent.$vnode && this.parent.$vnode.ssrContext); // functional\r\n // 2.2 with runInNewContext: true\r\n if (!context && typeof __VUE_SSR_CONTEXT__ !== 'undefined') {\r\n context = __VUE_SSR_CONTEXT__;\r\n }\r\n // inject component styles\r\n if (style) {\r\n style.call(this, createInjectorSSR(context));\r\n }\r\n // register component module identifier for async chunk inference\r\n if (context && context._registeredComponents) {\r\n context._registeredComponents.add(moduleIdentifier);\r\n }\r\n };\r\n // used by ssr in case component is cached and beforeCreate\r\n // never gets called\r\n options._ssrRegister = hook;\r\n }\r\n else if (style) {\r\n hook = shadowMode\r\n ? function (context) {\r\n style.call(this, createInjectorShadow(context, this.$root.$options.shadowRoot));\r\n }\r\n : function (context) {\r\n style.call(this, createInjector(context));\r\n };\r\n }\r\n if (hook) {\r\n if (options.functional) {\r\n // register for functional component in vue file\r\n const originalRender = options.render;\r\n options.render = function renderWithStyleInjection(h, context) {\r\n hook.call(context);\r\n return originalRender(h, context);\r\n };\r\n }\r\n else {\r\n // inject component registration as beforeCreate hook\r\n const existing = options.beforeCreate;\r\n options.beforeCreate = existing ? [].concat(existing, hook) : [hook];\r\n }\r\n }\r\n return script;\r\n}\n\n/* script */\nvar __vue_script__ = script;\n/* template */\n\nvar __vue_render__ = function __vue_render__() {\n var _vm = this;\n\n var _h = _vm.$createElement;\n\n var _c = _vm._self._c || _h;\n\n return _c(\"div\", {\n staticClass: \"v-popover\",\n class: _vm.cssClass\n }, [_c(\"div\", {\n ref: \"trigger\",\n staticClass: \"trigger\",\n staticStyle: {\n display: \"inline-block\"\n },\n attrs: {\n \"aria-describedby\": _vm.popoverId,\n tabindex: _vm.trigger.indexOf(\"focus\") !== -1 ? 0 : undefined\n }\n }, [_vm._t(\"default\")], 2), _vm._v(\" \"), _c(\"div\", {\n ref: \"popover\",\n class: [_vm.popoverBaseClass, _vm.popoverClass, _vm.cssClass],\n style: {\n visibility: _vm.isOpen ? \"visible\" : \"hidden\"\n },\n attrs: {\n id: _vm.popoverId,\n \"aria-hidden\": _vm.isOpen ? \"false\" : \"true\",\n tabindex: _vm.autoHide ? 0 : undefined\n },\n on: {\n keyup: function keyup($event) {\n if (!$event.type.indexOf(\"key\") && _vm._k($event.keyCode, \"esc\", 27, $event.key, [\"Esc\", \"Escape\"])) {\n return null;\n }\n\n _vm.autoHide && _vm.hide();\n }\n }\n }, [_c(\"div\", {\n class: _vm.popoverWrapperClass\n }, [_c(\"div\", {\n ref: \"inner\",\n class: _vm.popoverInnerClass,\n staticStyle: {\n position: \"relative\"\n }\n }, [_c(\"div\", [_vm._t(\"popover\")], 2), _vm._v(\" \"), _vm.handleResize ? _c(\"ResizeObserver\", {\n on: {\n notify: _vm.$_handleResize\n }\n }) : _vm._e()], 1), _vm._v(\" \"), _c(\"div\", {\n ref: \"arrow\",\n class: _vm.popoverArrowClass\n })])])]);\n};\n\nvar __vue_staticRenderFns__ = [];\n__vue_render__._withStripped = true;\n/* style */\n\nvar __vue_inject_styles__ = undefined;\n/* scoped */\n\nvar __vue_scope_id__ = undefined;\n/* module identifier */\n\nvar __vue_module_identifier__ = undefined;\n/* functional template */\n\nvar __vue_is_functional_template__ = false;\n/* style inject */\n\n/* style inject SSR */\n\n/* style inject shadow dom */\n\nvar __vue_component__ = normalizeComponent({\n render: __vue_render__,\n staticRenderFns: __vue_staticRenderFns__\n}, __vue_inject_styles__, __vue_script__, __vue_scope_id__, __vue_is_functional_template__, __vue_module_identifier__, false, undefined, undefined, undefined);\n\nvar defineProperty = (function() {\n try {\n var func = _getNative(Object, 'defineProperty');\n func({}, '', {});\n return func;\n } catch (e) {}\n}());\n\nvar _defineProperty$1 = defineProperty;\n\n/**\n * The base implementation of `assignValue` and `assignMergeValue` without\n * value checks.\n *\n * @private\n * @param {Object} object The object to modify.\n * @param {string} key The key of the property to assign.\n * @param {*} value The value to assign.\n */\nfunction baseAssignValue(object, key, value) {\n if (key == '__proto__' && _defineProperty$1) {\n _defineProperty$1(object, key, {\n 'configurable': true,\n 'enumerable': true,\n 'value': value,\n 'writable': true\n });\n } else {\n object[key] = value;\n }\n}\n\nvar _baseAssignValue = baseAssignValue;\n\n/**\n * This function is like `assignValue` except that it doesn't assign\n * `undefined` values.\n *\n * @private\n * @param {Object} object The object to modify.\n * @param {string} key The key of the property to assign.\n * @param {*} value The value to assign.\n */\nfunction assignMergeValue(object, key, value) {\n if ((value !== undefined && !eq_1(object[key], value)) ||\n (value === undefined && !(key in object))) {\n _baseAssignValue(object, key, value);\n }\n}\n\nvar _assignMergeValue = assignMergeValue;\n\n/**\n * Creates a base function for methods like `_.forIn` and `_.forOwn`.\n *\n * @private\n * @param {boolean} [fromRight] Specify iterating from right to left.\n * @returns {Function} Returns the new base function.\n */\nfunction createBaseFor(fromRight) {\n return function(object, iteratee, keysFunc) {\n var index = -1,\n iterable = Object(object),\n props = keysFunc(object),\n length = props.length;\n\n while (length--) {\n var key = props[fromRight ? length : ++index];\n if (iteratee(iterable[key], key, iterable) === false) {\n break;\n }\n }\n return object;\n };\n}\n\nvar _createBaseFor = createBaseFor;\n\n/**\n * The base implementation of `baseForOwn` which iterates over `object`\n * properties returned by `keysFunc` and invokes `iteratee` for each property.\n * Iteratee functions may exit iteration early by explicitly returning `false`.\n *\n * @private\n * @param {Object} object The object to iterate over.\n * @param {Function} iteratee The function invoked per iteration.\n * @param {Function} keysFunc The function to get the keys of `object`.\n * @returns {Object} Returns `object`.\n */\nvar baseFor = _createBaseFor();\n\nvar _baseFor = baseFor;\n\nvar _cloneBuffer = createCommonjsModule(function (module, exports) {\n/** Detect free variable `exports`. */\nvar freeExports = exports && !exports.nodeType && exports;\n\n/** Detect free variable `module`. */\nvar freeModule = freeExports && 'object' == 'object' && module && !module.nodeType && module;\n\n/** Detect the popular CommonJS extension `module.exports`. */\nvar moduleExports = freeModule && freeModule.exports === freeExports;\n\n/** Built-in value references. */\nvar Buffer = moduleExports ? _root.Buffer : undefined,\n allocUnsafe = Buffer ? Buffer.allocUnsafe : undefined;\n\n/**\n * Creates a clone of `buffer`.\n *\n * @private\n * @param {Buffer} buffer The buffer to clone.\n * @param {boolean} [isDeep] Specify a deep clone.\n * @returns {Buffer} Returns the cloned buffer.\n */\nfunction cloneBuffer(buffer, isDeep) {\n if (isDeep) {\n return buffer.slice();\n }\n var length = buffer.length,\n result = allocUnsafe ? allocUnsafe(length) : new buffer.constructor(length);\n\n buffer.copy(result);\n return result;\n}\n\nmodule.exports = cloneBuffer;\n});\n\n/**\n * Creates a clone of `arrayBuffer`.\n *\n * @private\n * @param {ArrayBuffer} arrayBuffer The array buffer to clone.\n * @returns {ArrayBuffer} Returns the cloned array buffer.\n */\nfunction cloneArrayBuffer(arrayBuffer) {\n var result = new arrayBuffer.constructor(arrayBuffer.byteLength);\n new _Uint8Array(result).set(new _Uint8Array(arrayBuffer));\n return result;\n}\n\nvar _cloneArrayBuffer = cloneArrayBuffer;\n\n/**\n * Creates a clone of `typedArray`.\n *\n * @private\n * @param {Object} typedArray The typed array to clone.\n * @param {boolean} [isDeep] Specify a deep clone.\n * @returns {Object} Returns the cloned typed array.\n */\nfunction cloneTypedArray(typedArray, isDeep) {\n var buffer = isDeep ? _cloneArrayBuffer(typedArray.buffer) : typedArray.buffer;\n return new typedArray.constructor(buffer, typedArray.byteOffset, typedArray.length);\n}\n\nvar _cloneTypedArray = cloneTypedArray;\n\n/**\n * Copies the values of `source` to `array`.\n *\n * @private\n * @param {Array} source The array to copy values from.\n * @param {Array} [array=[]] The array to copy values to.\n * @returns {Array} Returns `array`.\n */\nfunction copyArray(source, array) {\n var index = -1,\n length = source.length;\n\n array || (array = Array(length));\n while (++index < length) {\n array[index] = source[index];\n }\n return array;\n}\n\nvar _copyArray = copyArray;\n\n/** Built-in value references. */\nvar objectCreate = Object.create;\n\n/**\n * The base implementation of `_.create` without support for assigning\n * properties to the created object.\n *\n * @private\n * @param {Object} proto The object to inherit from.\n * @returns {Object} Returns the new object.\n */\nvar baseCreate = (function() {\n function object() {}\n return function(proto) {\n if (!isObject_1(proto)) {\n return {};\n }\n if (objectCreate) {\n return objectCreate(proto);\n }\n object.prototype = proto;\n var result = new object;\n object.prototype = undefined;\n return result;\n };\n}());\n\nvar _baseCreate = baseCreate;\n\n/** Built-in value references. */\nvar getPrototype = _overArg(Object.getPrototypeOf, Object);\n\nvar _getPrototype = getPrototype;\n\n/**\n * Initializes an object clone.\n *\n * @private\n * @param {Object} object The object to clone.\n * @returns {Object} Returns the initialized clone.\n */\nfunction initCloneObject(object) {\n return (typeof object.constructor == 'function' && !_isPrototype(object))\n ? _baseCreate(_getPrototype(object))\n : {};\n}\n\nvar _initCloneObject = initCloneObject;\n\n/**\n * This method is like `_.isArrayLike` except that it also checks if `value`\n * is an object.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an array-like object,\n * else `false`.\n * @example\n *\n * _.isArrayLikeObject([1, 2, 3]);\n * // => true\n *\n * _.isArrayLikeObject(document.body.children);\n * // => true\n *\n * _.isArrayLikeObject('abc');\n * // => false\n *\n * _.isArrayLikeObject(_.noop);\n * // => false\n */\nfunction isArrayLikeObject(value) {\n return isObjectLike_1(value) && isArrayLike_1(value);\n}\n\nvar isArrayLikeObject_1 = isArrayLikeObject;\n\n/** `Object#toString` result references. */\nvar objectTag$3 = '[object Object]';\n\n/** Used for built-in method references. */\nvar funcProto$2 = Function.prototype,\n objectProto$c = Object.prototype;\n\n/** Used to resolve the decompiled source of functions. */\nvar funcToString$2 = funcProto$2.toString;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$9 = objectProto$c.hasOwnProperty;\n\n/** Used to infer the `Object` constructor. */\nvar objectCtorString = funcToString$2.call(Object);\n\n/**\n * Checks if `value` is a plain object, that is, an object created by the\n * `Object` constructor or one with a `[[Prototype]]` of `null`.\n *\n * @static\n * @memberOf _\n * @since 0.8.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a plain object, else `false`.\n * @example\n *\n * function Foo() {\n * this.a = 1;\n * }\n *\n * _.isPlainObject(new Foo);\n * // => false\n *\n * _.isPlainObject([1, 2, 3]);\n * // => false\n *\n * _.isPlainObject({ 'x': 0, 'y': 0 });\n * // => true\n *\n * _.isPlainObject(Object.create(null));\n * // => true\n */\nfunction isPlainObject(value) {\n if (!isObjectLike_1(value) || _baseGetTag(value) != objectTag$3) {\n return false;\n }\n var proto = _getPrototype(value);\n if (proto === null) {\n return true;\n }\n var Ctor = hasOwnProperty$9.call(proto, 'constructor') && proto.constructor;\n return typeof Ctor == 'function' && Ctor instanceof Ctor &&\n funcToString$2.call(Ctor) == objectCtorString;\n}\n\nvar isPlainObject_1 = isPlainObject;\n\n/**\n * Gets the value at `key`, unless `key` is \"__proto__\" or \"constructor\".\n *\n * @private\n * @param {Object} object The object to query.\n * @param {string} key The key of the property to get.\n * @returns {*} Returns the property value.\n */\nfunction safeGet(object, key) {\n if (key === 'constructor' && typeof object[key] === 'function') {\n return;\n }\n\n if (key == '__proto__') {\n return;\n }\n\n return object[key];\n}\n\nvar _safeGet = safeGet;\n\n/** Used for built-in method references. */\nvar objectProto$d = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$a = objectProto$d.hasOwnProperty;\n\n/**\n * Assigns `value` to `key` of `object` if the existing value is not equivalent\n * using [`SameValueZero`](http://ecma-international.org/ecma-262/7.0/#sec-samevaluezero)\n * for equality comparisons.\n *\n * @private\n * @param {Object} object The object to modify.\n * @param {string} key The key of the property to assign.\n * @param {*} value The value to assign.\n */\nfunction assignValue(object, key, value) {\n var objValue = object[key];\n if (!(hasOwnProperty$a.call(object, key) && eq_1(objValue, value)) ||\n (value === undefined && !(key in object))) {\n _baseAssignValue(object, key, value);\n }\n}\n\nvar _assignValue = assignValue;\n\n/**\n * Copies properties of `source` to `object`.\n *\n * @private\n * @param {Object} source The object to copy properties from.\n * @param {Array} props The property identifiers to copy.\n * @param {Object} [object={}] The object to copy properties to.\n * @param {Function} [customizer] The function to customize copied values.\n * @returns {Object} Returns `object`.\n */\nfunction copyObject(source, props, object, customizer) {\n var isNew = !object;\n object || (object = {});\n\n var index = -1,\n length = props.length;\n\n while (++index < length) {\n var key = props[index];\n\n var newValue = customizer\n ? customizer(object[key], source[key], key, object, source)\n : undefined;\n\n if (newValue === undefined) {\n newValue = source[key];\n }\n if (isNew) {\n _baseAssignValue(object, key, newValue);\n } else {\n _assignValue(object, key, newValue);\n }\n }\n return object;\n}\n\nvar _copyObject = copyObject;\n\n/**\n * This function is like\n * [`Object.keys`](http://ecma-international.org/ecma-262/7.0/#sec-object.keys)\n * except that it includes inherited enumerable properties.\n *\n * @private\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names.\n */\nfunction nativeKeysIn(object) {\n var result = [];\n if (object != null) {\n for (var key in Object(object)) {\n result.push(key);\n }\n }\n return result;\n}\n\nvar _nativeKeysIn = nativeKeysIn;\n\n/** Used for built-in method references. */\nvar objectProto$e = Object.prototype;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty$b = objectProto$e.hasOwnProperty;\n\n/**\n * The base implementation of `_.keysIn` which doesn't treat sparse arrays as dense.\n *\n * @private\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names.\n */\nfunction baseKeysIn(object) {\n if (!isObject_1(object)) {\n return _nativeKeysIn(object);\n }\n var isProto = _isPrototype(object),\n result = [];\n\n for (var key in object) {\n if (!(key == 'constructor' && (isProto || !hasOwnProperty$b.call(object, key)))) {\n result.push(key);\n }\n }\n return result;\n}\n\nvar _baseKeysIn = baseKeysIn;\n\n/**\n * Creates an array of the own and inherited enumerable property names of `object`.\n *\n * **Note:** Non-object values are coerced to objects.\n *\n * @static\n * @memberOf _\n * @since 3.0.0\n * @category Object\n * @param {Object} object The object to query.\n * @returns {Array} Returns the array of property names.\n * @example\n *\n * function Foo() {\n * this.a = 1;\n * this.b = 2;\n * }\n *\n * Foo.prototype.c = 3;\n *\n * _.keysIn(new Foo);\n * // => ['a', 'b', 'c'] (iteration order is not guaranteed)\n */\nfunction keysIn(object) {\n return isArrayLike_1(object) ? _arrayLikeKeys(object, true) : _baseKeysIn(object);\n}\n\nvar keysIn_1 = keysIn;\n\n/**\n * Converts `value` to a plain object flattening inherited enumerable string\n * keyed properties of `value` to own properties of the plain object.\n *\n * @static\n * @memberOf _\n * @since 3.0.0\n * @category Lang\n * @param {*} value The value to convert.\n * @returns {Object} Returns the converted plain object.\n * @example\n *\n * function Foo() {\n * this.b = 2;\n * }\n *\n * Foo.prototype.c = 3;\n *\n * _.assign({ 'a': 1 }, new Foo);\n * // => { 'a': 1, 'b': 2 }\n *\n * _.assign({ 'a': 1 }, _.toPlainObject(new Foo));\n * // => { 'a': 1, 'b': 2, 'c': 3 }\n */\nfunction toPlainObject(value) {\n return _copyObject(value, keysIn_1(value));\n}\n\nvar toPlainObject_1 = toPlainObject;\n\n/**\n * A specialized version of `baseMerge` for arrays and objects which performs\n * deep merges and tracks traversed objects enabling objects with circular\n * references to be merged.\n *\n * @private\n * @param {Object} object The destination object.\n * @param {Object} source The source object.\n * @param {string} key The key of the value to merge.\n * @param {number} srcIndex The index of `source`.\n * @param {Function} mergeFunc The function to merge values.\n * @param {Function} [customizer] The function to customize assigned values.\n * @param {Object} [stack] Tracks traversed source values and their merged\n * counterparts.\n */\nfunction baseMergeDeep(object, source, key, srcIndex, mergeFunc, customizer, stack) {\n var objValue = _safeGet(object, key),\n srcValue = _safeGet(source, key),\n stacked = stack.get(srcValue);\n\n if (stacked) {\n _assignMergeValue(object, key, stacked);\n return;\n }\n var newValue = customizer\n ? customizer(objValue, srcValue, (key + ''), object, source, stack)\n : undefined;\n\n var isCommon = newValue === undefined;\n\n if (isCommon) {\n var isArr = isArray_1(srcValue),\n isBuff = !isArr && isBuffer_1(srcValue),\n isTyped = !isArr && !isBuff && isTypedArray_1(srcValue);\n\n newValue = srcValue;\n if (isArr || isBuff || isTyped) {\n if (isArray_1(objValue)) {\n newValue = objValue;\n }\n else if (isArrayLikeObject_1(objValue)) {\n newValue = _copyArray(objValue);\n }\n else if (isBuff) {\n isCommon = false;\n newValue = _cloneBuffer(srcValue, true);\n }\n else if (isTyped) {\n isCommon = false;\n newValue = _cloneTypedArray(srcValue, true);\n }\n else {\n newValue = [];\n }\n }\n else if (isPlainObject_1(srcValue) || isArguments_1(srcValue)) {\n newValue = objValue;\n if (isArguments_1(objValue)) {\n newValue = toPlainObject_1(objValue);\n }\n else if (!isObject_1(objValue) || isFunction_1(objValue)) {\n newValue = _initCloneObject(srcValue);\n }\n }\n else {\n isCommon = false;\n }\n }\n if (isCommon) {\n // Recursively merge objects and arrays (susceptible to call stack limits).\n stack.set(srcValue, newValue);\n mergeFunc(newValue, srcValue, srcIndex, customizer, stack);\n stack['delete'](srcValue);\n }\n _assignMergeValue(object, key, newValue);\n}\n\nvar _baseMergeDeep = baseMergeDeep;\n\n/**\n * The base implementation of `_.merge` without support for multiple sources.\n *\n * @private\n * @param {Object} object The destination object.\n * @param {Object} source The source object.\n * @param {number} srcIndex The index of `source`.\n * @param {Function} [customizer] The function to customize merged values.\n * @param {Object} [stack] Tracks traversed source values and their merged\n * counterparts.\n */\nfunction baseMerge(object, source, srcIndex, customizer, stack) {\n if (object === source) {\n return;\n }\n _baseFor(source, function(srcValue, key) {\n stack || (stack = new _Stack);\n if (isObject_1(srcValue)) {\n _baseMergeDeep(object, source, key, srcIndex, baseMerge, customizer, stack);\n }\n else {\n var newValue = customizer\n ? customizer(_safeGet(object, key), srcValue, (key + ''), object, source, stack)\n : undefined;\n\n if (newValue === undefined) {\n newValue = srcValue;\n }\n _assignMergeValue(object, key, newValue);\n }\n }, keysIn_1);\n}\n\nvar _baseMerge = baseMerge;\n\n/**\n * This method returns the first argument it receives.\n *\n * @static\n * @since 0.1.0\n * @memberOf _\n * @category Util\n * @param {*} value Any value.\n * @returns {*} Returns `value`.\n * @example\n *\n * var object = { 'a': 1 };\n *\n * console.log(_.identity(object) === object);\n * // => true\n */\nfunction identity(value) {\n return value;\n}\n\nvar identity_1 = identity;\n\n/**\n * A faster alternative to `Function#apply`, this function invokes `func`\n * with the `this` binding of `thisArg` and the arguments of `args`.\n *\n * @private\n * @param {Function} func The function to invoke.\n * @param {*} thisArg The `this` binding of `func`.\n * @param {Array} args The arguments to invoke `func` with.\n * @returns {*} Returns the result of `func`.\n */\nfunction apply(func, thisArg, args) {\n switch (args.length) {\n case 0: return func.call(thisArg);\n case 1: return func.call(thisArg, args[0]);\n case 2: return func.call(thisArg, args[0], args[1]);\n case 3: return func.call(thisArg, args[0], args[1], args[2]);\n }\n return func.apply(thisArg, args);\n}\n\nvar _apply = apply;\n\n/* Built-in method references for those with the same name as other `lodash` methods. */\nvar nativeMax = Math.max;\n\n/**\n * A specialized version of `baseRest` which transforms the rest array.\n *\n * @private\n * @param {Function} func The function to apply a rest parameter to.\n * @param {number} [start=func.length-1] The start position of the rest parameter.\n * @param {Function} transform The rest array transform.\n * @returns {Function} Returns the new function.\n */\nfunction overRest(func, start, transform) {\n start = nativeMax(start === undefined ? (func.length - 1) : start, 0);\n return function() {\n var args = arguments,\n index = -1,\n length = nativeMax(args.length - start, 0),\n array = Array(length);\n\n while (++index < length) {\n array[index] = args[start + index];\n }\n index = -1;\n var otherArgs = Array(start + 1);\n while (++index < start) {\n otherArgs[index] = args[index];\n }\n otherArgs[start] = transform(array);\n return _apply(func, this, otherArgs);\n };\n}\n\nvar _overRest = overRest;\n\n/**\n * Creates a function that returns `value`.\n *\n * @static\n * @memberOf _\n * @since 2.4.0\n * @category Util\n * @param {*} value The value to return from the new function.\n * @returns {Function} Returns the new constant function.\n * @example\n *\n * var objects = _.times(2, _.constant({ 'a': 1 }));\n *\n * console.log(objects);\n * // => [{ 'a': 1 }, { 'a': 1 }]\n *\n * console.log(objects[0] === objects[1]);\n * // => true\n */\nfunction constant(value) {\n return function() {\n return value;\n };\n}\n\nvar constant_1 = constant;\n\n/**\n * The base implementation of `setToString` without support for hot loop shorting.\n *\n * @private\n * @param {Function} func The function to modify.\n * @param {Function} string The `toString` result.\n * @returns {Function} Returns `func`.\n */\nvar baseSetToString = !_defineProperty$1 ? identity_1 : function(func, string) {\n return _defineProperty$1(func, 'toString', {\n 'configurable': true,\n 'enumerable': false,\n 'value': constant_1(string),\n 'writable': true\n });\n};\n\nvar _baseSetToString = baseSetToString;\n\n/** Used to detect hot functions by number of calls within a span of milliseconds. */\nvar HOT_COUNT = 800,\n HOT_SPAN = 16;\n\n/* Built-in method references for those with the same name as other `lodash` methods. */\nvar nativeNow = Date.now;\n\n/**\n * Creates a function that'll short out and invoke `identity` instead\n * of `func` when it's called `HOT_COUNT` or more times in `HOT_SPAN`\n * milliseconds.\n *\n * @private\n * @param {Function} func The function to restrict.\n * @returns {Function} Returns the new shortable function.\n */\nfunction shortOut(func) {\n var count = 0,\n lastCalled = 0;\n\n return function() {\n var stamp = nativeNow(),\n remaining = HOT_SPAN - (stamp - lastCalled);\n\n lastCalled = stamp;\n if (remaining > 0) {\n if (++count >= HOT_COUNT) {\n return arguments[0];\n }\n } else {\n count = 0;\n }\n return func.apply(undefined, arguments);\n };\n}\n\nvar _shortOut = shortOut;\n\n/**\n * Sets the `toString` method of `func` to return `string`.\n *\n * @private\n * @param {Function} func The function to modify.\n * @param {Function} string The `toString` result.\n * @returns {Function} Returns `func`.\n */\nvar setToString = _shortOut(_baseSetToString);\n\nvar _setToString = setToString;\n\n/**\n * The base implementation of `_.rest` which doesn't validate or coerce arguments.\n *\n * @private\n * @param {Function} func The function to apply a rest parameter to.\n * @param {number} [start=func.length-1] The start position of the rest parameter.\n * @returns {Function} Returns the new function.\n */\nfunction baseRest(func, start) {\n return _setToString(_overRest(func, start, identity_1), func + '');\n}\n\nvar _baseRest = baseRest;\n\n/**\n * Checks if the given arguments are from an iteratee call.\n *\n * @private\n * @param {*} value The potential iteratee value argument.\n * @param {*} index The potential iteratee index or key argument.\n * @param {*} object The potential iteratee object argument.\n * @returns {boolean} Returns `true` if the arguments are from an iteratee call,\n * else `false`.\n */\nfunction isIterateeCall(value, index, object) {\n if (!isObject_1(object)) {\n return false;\n }\n var type = typeof index;\n if (type == 'number'\n ? (isArrayLike_1(object) && _isIndex(index, object.length))\n : (type == 'string' && index in object)\n ) {\n return eq_1(object[index], value);\n }\n return false;\n}\n\nvar _isIterateeCall = isIterateeCall;\n\n/**\n * Creates a function like `_.assign`.\n *\n * @private\n * @param {Function} assigner The function to assign values.\n * @returns {Function} Returns the new assigner function.\n */\nfunction createAssigner(assigner) {\n return _baseRest(function(object, sources) {\n var index = -1,\n length = sources.length,\n customizer = length > 1 ? sources[length - 1] : undefined,\n guard = length > 2 ? sources[2] : undefined;\n\n customizer = (assigner.length > 3 && typeof customizer == 'function')\n ? (length--, customizer)\n : undefined;\n\n if (guard && _isIterateeCall(sources[0], sources[1], guard)) {\n customizer = length < 3 ? undefined : customizer;\n length = 1;\n }\n object = Object(object);\n while (++index < length) {\n var source = sources[index];\n if (source) {\n assigner(object, source, index, customizer);\n }\n }\n return object;\n });\n}\n\nvar _createAssigner = createAssigner;\n\n/**\n * This method is like `_.assign` except that it recursively merges own and\n * inherited enumerable string keyed properties of source objects into the\n * destination object. Source properties that resolve to `undefined` are\n * skipped if a destination value exists. Array and plain object properties\n * are merged recursively. Other objects and value types are overridden by\n * assignment. Source objects are applied from left to right. Subsequent\n * sources overwrite property assignments of previous sources.\n *\n * **Note:** This method mutates `object`.\n *\n * @static\n * @memberOf _\n * @since 0.5.0\n * @category Object\n * @param {Object} object The destination object.\n * @param {...Object} [sources] The source objects.\n * @returns {Object} Returns `object`.\n * @example\n *\n * var object = {\n * 'a': [{ 'b': 2 }, { 'd': 4 }]\n * };\n *\n * var other = {\n * 'a': [{ 'c': 3 }, { 'e': 5 }]\n * };\n *\n * _.merge(object, other);\n * // => { 'a': [{ 'b': 2, 'c': 3 }, { 'd': 4, 'e': 5 }] }\n */\nvar merge = _createAssigner(function(object, source, srcIndex) {\n _baseMerge(object, source, srcIndex);\n});\n\nvar merge_1 = merge;\n\nfunction styleInject(css, ref) {\n if ( ref === void 0 ) ref = {};\n var insertAt = ref.insertAt;\n\n if (!css || typeof document === 'undefined') { return; }\n\n var head = document.head || document.getElementsByTagName('head')[0];\n var style = document.createElement('style');\n style.type = 'text/css';\n\n if (insertAt === 'top') {\n if (head.firstChild) {\n head.insertBefore(style, head.firstChild);\n } else {\n head.appendChild(style);\n }\n } else {\n head.appendChild(style);\n }\n\n if (style.styleSheet) {\n style.styleSheet.cssText = css;\n } else {\n style.appendChild(document.createTextNode(css));\n }\n}\n\nvar css = \".resize-observer[data-v-b329ee4c]{position:absolute;top:0;left:0;z-index:-1;width:100%;height:100%;border:none;background-color:transparent;pointer-events:none;display:block;overflow:hidden;opacity:0}.resize-observer[data-v-b329ee4c] object{display:block;position:absolute;top:0;left:0;height:100%;width:100%;overflow:hidden;pointer-events:none;z-index:-1}\";\nstyleInject(css);\n\nfunction install(Vue) {\n var options = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n if (install.installed) return;\n install.installed = true;\n var finalOptions = {};\n merge_1(finalOptions, defaultOptions, options);\n plugin.options = finalOptions;\n directive.options = finalOptions;\n Vue.directive('tooltip', directive);\n Vue.directive('close-popover', vclosepopover);\n Vue.component('v-popover', __vue_component__);\n}\nvar VTooltip = directive;\nvar VClosePopover = vclosepopover;\nvar VPopover = __vue_component__;\nvar plugin = {\n install: install,\n\n get enabled() {\n return state.enabled;\n },\n\n set enabled(value) {\n state.enabled = value;\n }\n\n}; // Auto-install\n\nvar GlobalVue = null;\n\nif (typeof window !== 'undefined') {\n GlobalVue = window.Vue;\n} else if (typeof global !== 'undefined') {\n GlobalVue = global.Vue;\n}\n\nif (GlobalVue) {\n GlobalVue.use(plugin);\n}\n\nexport default plugin;\nexport { VClosePopover, VPopover, VTooltip, createTooltip, destroyTooltip, install };\n","'use strict';\n\nvar get = require('lodash.get');\nvar plurals = require('./plurals');\n\nmodule.exports = Gettext;\n\n/**\n * Creates and returns a new Gettext instance.\n *\n * @constructor\n * @param {Object} [options] A set of options\n * @param {String} options.sourceLocale The locale that the source code and its\n * texts are written in. Translations for\n * this locale is not necessary.\n * @param {Boolean} options.debug Whether to output debug info into the\n * console.\n * @return {Object} A Gettext instance\n */\nfunction Gettext(options) {\n options = options || {};\n\n this.catalogs = {};\n this.locale = '';\n this.domain = 'messages';\n\n this.listeners = [];\n\n // Set source locale\n this.sourceLocale = '';\n if (options.sourceLocale) {\n if (typeof options.sourceLocale === 'string') {\n this.sourceLocale = options.sourceLocale;\n }\n else {\n this.warn('The `sourceLocale` option should be a string');\n }\n }\n\n // Set debug flag\n this.debug = 'debug' in options && options.debug === true;\n}\n\n/**\n * Adds an event listener.\n *\n * @param {String} eventName An event name\n * @param {Function} callback An event handler function\n */\nGettext.prototype.on = function(eventName, callback) {\n this.listeners.push({\n eventName: eventName,\n callback: callback\n });\n};\n\n/**\n * Removes an event listener.\n *\n * @param {String} eventName An event name\n * @param {Function} callback A previously registered event handler function\n */\nGettext.prototype.off = function(eventName, callback) {\n this.listeners = this.listeners.filter(function(listener) {\n return (\n listener.eventName === eventName &&\n listener.callback === callback\n ) === false;\n });\n};\n\n/**\n * Emits an event to all registered event listener.\n *\n * @private\n * @param {String} eventName An event name\n * @param {any} eventData Data to pass to event listeners\n */\nGettext.prototype.emit = function(eventName, eventData) {\n for (var i = 0; i < this.listeners.length; i++) {\n var listener = this.listeners[i];\n if (listener.eventName === eventName) {\n listener.callback(eventData);\n }\n }\n};\n\n/**\n * Logs a warning to the console if debug mode is enabled.\n *\n * @ignore\n * @param {String} message A warning message\n */\nGettext.prototype.warn = function(message) {\n if (this.debug) {\n console.warn(message);\n }\n\n this.emit('error', new Error(message));\n};\n\n/**\n * Stores a set of translations in the set of gettext\n * catalogs.\n *\n * @example\n * gt.addTranslations('sv-SE', 'messages', translationsObject)\n *\n * @param {String} locale A locale string\n * @param {String} domain A domain name\n * @param {Object} translations An object of gettext-parser JSON shape\n */\nGettext.prototype.addTranslations = function(locale, domain, translations) {\n if (!this.catalogs[locale]) {\n this.catalogs[locale] = {};\n }\n\n this.catalogs[locale][domain] = translations;\n};\n\n/**\n * Sets the locale to get translated messages for.\n *\n * @example\n * gt.setLocale('sv-SE')\n *\n * @param {String} locale A locale\n */\nGettext.prototype.setLocale = function(locale) {\n if (typeof locale !== 'string') {\n this.warn(\n 'You called setLocale() with an argument of type ' + (typeof locale) + '. ' +\n 'The locale must be a string.'\n );\n return;\n }\n\n if (locale.trim() === '') {\n this.warn('You called setLocale() with an empty value, which makes little sense.');\n }\n\n if (locale !== this.sourceLocale && !this.catalogs[locale]) {\n this.warn('You called setLocale() with \"' + locale + '\", but no translations for that locale has been added.');\n }\n\n this.locale = locale;\n};\n\n/**\n * Sets the default gettext domain.\n *\n * @example\n * gt.setTextDomain('domainname')\n *\n * @param {String} domain A gettext domain name\n */\nGettext.prototype.setTextDomain = function(domain) {\n if (typeof domain !== 'string') {\n this.warn(\n 'You called setTextDomain() with an argument of type ' + (typeof domain) + '. ' +\n 'The domain must be a string.'\n );\n return;\n }\n\n if (domain.trim() === '') {\n this.warn('You called setTextDomain() with an empty `domain` value.');\n }\n\n this.domain = domain;\n};\n\n/**\n * Translates a string using the default textdomain\n *\n * @example\n * gt.gettext('Some text')\n *\n * @param {String} msgid String to be translated\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.gettext = function(msgid) {\n return this.dnpgettext(this.domain, '', msgid);\n};\n\n/**\n * Translates a string using a specific domain\n *\n * @example\n * gt.dgettext('domainname', 'Some text')\n *\n * @param {String} domain A gettext domain name\n * @param {String} msgid String to be translated\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.dgettext = function(domain, msgid) {\n return this.dnpgettext(domain, '', msgid);\n};\n\n/**\n * Translates a plural string using the default textdomain\n *\n * @example\n * gt.ngettext('One thing', 'Many things', numberOfThings)\n *\n * @param {String} msgid String to be translated when count is not plural\n * @param {String} msgidPlural String to be translated when count is plural\n * @param {Number} count Number count for the plural\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.ngettext = function(msgid, msgidPlural, count) {\n return this.dnpgettext(this.domain, '', msgid, msgidPlural, count);\n};\n\n/**\n * Translates a plural string using a specific textdomain\n *\n * @example\n * gt.dngettext('domainname', 'One thing', 'Many things', numberOfThings)\n *\n * @param {String} domain A gettext domain name\n * @param {String} msgid String to be translated when count is not plural\n * @param {String} msgidPlural String to be translated when count is plural\n * @param {Number} count Number count for the plural\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.dngettext = function(domain, msgid, msgidPlural, count) {\n return this.dnpgettext(domain, '', msgid, msgidPlural, count);\n};\n\n/**\n * Translates a string from a specific context using the default textdomain\n *\n * @example\n * gt.pgettext('sports', 'Back')\n *\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.pgettext = function(msgctxt, msgid) {\n return this.dnpgettext(this.domain, msgctxt, msgid);\n};\n\n/**\n * Translates a string from a specific context using s specific textdomain\n *\n * @example\n * gt.dpgettext('domainname', 'sports', 'Back')\n *\n * @param {String} domain A gettext domain name\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.dpgettext = function(domain, msgctxt, msgid) {\n return this.dnpgettext(domain, msgctxt, msgid);\n};\n\n/**\n * Translates a plural string from a specific context using the default textdomain\n *\n * @example\n * gt.npgettext('sports', 'Back', '%d backs', numberOfBacks)\n *\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated when count is not plural\n * @param {String} msgidPlural String to be translated when count is plural\n * @param {Number} count Number count for the plural\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.npgettext = function(msgctxt, msgid, msgidPlural, count) {\n return this.dnpgettext(this.domain, msgctxt, msgid, msgidPlural, count);\n};\n\n/**\n * Translates a plural string from a specifi context using a specific textdomain\n *\n * @example\n * gt.dnpgettext('domainname', 'sports', 'Back', '%d backs', numberOfBacks)\n *\n * @param {String} domain A gettext domain name\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated\n * @param {String} msgidPlural If no translation was found, return this on count!=1\n * @param {Number} count Number count for the plural\n * @return {String} Translation or the original string if no translation was found\n */\nGettext.prototype.dnpgettext = function(domain, msgctxt, msgid, msgidPlural, count) {\n var defaultTranslation = msgid;\n var translation;\n var index;\n\n msgctxt = msgctxt || '';\n\n if (!isNaN(count) && count !== 1) {\n defaultTranslation = msgidPlural || msgid;\n }\n\n translation = this._getTranslation(domain, msgctxt, msgid);\n\n if (translation) {\n if (typeof count === 'number') {\n var pluralsFunc = plurals[Gettext.getLanguageCode(this.locale)].pluralsFunc;\n index = pluralsFunc(count);\n if (typeof index === 'boolean') {\n index = index ? 1 : 0;\n }\n } else {\n index = 0;\n }\n\n return translation.msgstr[index] || defaultTranslation;\n }\n else if (!this.sourceLocale || this.locale !== this.sourceLocale) {\n this.warn('No translation was found for msgid \"' + msgid + '\" in msgctxt \"' + msgctxt + '\" and domain \"' + domain + '\"');\n }\n\n return defaultTranslation;\n};\n\n/**\n * Retrieves comments object for a translation. The comments object\n * has the shape `{ translator, extracted, reference, flag, previous }`.\n *\n * @example\n * const comment = gt.getComment('domainname', 'sports', 'Backs')\n *\n * @private\n * @param {String} domain A gettext domain name\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated\n * @return {Object} Comments object or false if not found\n */\nGettext.prototype.getComment = function(domain, msgctxt, msgid) {\n var translation;\n\n translation = this._getTranslation(domain, msgctxt, msgid);\n if (translation) {\n return translation.comments || {};\n }\n\n return {};\n};\n\n/**\n * Retrieves translation object from the domain and context\n *\n * @private\n * @param {String} domain A gettext domain name\n * @param {String} msgctxt Translation context\n * @param {String} msgid String to be translated\n * @return {Object} Translation object or false if not found\n */\nGettext.prototype._getTranslation = function(domain, msgctxt, msgid) {\n msgctxt = msgctxt || '';\n\n return get(this.catalogs, [this.locale, domain, 'translations', msgctxt, msgid]);\n};\n\n/**\n * Returns the language code part of a locale\n *\n * @example\n * Gettext.getLanguageCode('sv-SE')\n * // -> \"sv\"\n *\n * @private\n * @param {String} locale A case-insensitive locale string\n * @returns {String} A language code\n */\nGettext.getLanguageCode = function(locale) {\n return locale.split(/[\\-_]/)[0].toLowerCase();\n};\n\n/* C-style aliases */\n\n/**\n * C-style alias for [setTextDomain](#gettextsettextdomaindomain)\n *\n * @see Gettext#setTextDomain\n */\nGettext.prototype.textdomain = function(domain) {\n if (this.debug) {\n console.warn('textdomain(domain) was used to set locales in node-gettext v1. ' +\n 'Make sure you are using it for domains, and switch to setLocale(locale) if you are not.\\n\\n ' +\n 'To read more about the migration from node-gettext v1 to v2, ' +\n 'see https://github.com/alexanderwallin/node-gettext/#migrating-from-1x-to-2x\\n\\n' +\n 'This warning will be removed in the final 2.0.0');\n }\n\n this.setTextDomain(domain);\n};\n\n/**\n * C-style alias for [setLocale](#gettextsetlocalelocale)\n *\n * @see Gettext#setLocale\n */\nGettext.prototype.setlocale = function(locale) {\n this.setLocale(locale);\n};\n\n/* Deprecated functions */\n\n/**\n * This function will be removed in the final 2.0.0 release.\n *\n * @deprecated\n */\nGettext.prototype.addTextdomain = function() {\n console.error('addTextdomain() is deprecated.\\n\\n' +\n '* To add translations, use addTranslations()\\n' +\n '* To set the default domain, use setTextDomain() (or its alias textdomain())\\n' +\n '\\n' +\n 'To read more about the migration from node-gettext v1 to v2, ' +\n 'see https://github.com/alexanderwallin/node-gettext/#migrating-from-1x-to-2x');\n};\n","/**\n * lodash (Custom Build) <https://lodash.com/>\n * Build: `lodash modularize exports=\"npm\" -o ./`\n * Copyright jQuery Foundation and other contributors <https://jquery.org/>\n * Released under MIT license <https://lodash.com/license>\n * Based on Underscore.js 1.8.3 <http://underscorejs.org/LICENSE>\n * Copyright Jeremy Ashkenas, DocumentCloud and Investigative Reporters & Editors\n */\n\n/** Used as the `TypeError` message for \"Functions\" methods. */\nvar FUNC_ERROR_TEXT = 'Expected a function';\n\n/** Used to stand-in for `undefined` hash values. */\nvar HASH_UNDEFINED = '__lodash_hash_undefined__';\n\n/** Used as references for various `Number` constants. */\nvar INFINITY = 1 / 0;\n\n/** `Object#toString` result references. */\nvar funcTag = '[object Function]',\n genTag = '[object GeneratorFunction]',\n symbolTag = '[object Symbol]';\n\n/** Used to match property names within property paths. */\nvar reIsDeepProp = /\\.|\\[(?:[^[\\]]*|([\"'])(?:(?!\\1)[^\\\\]|\\\\.)*?\\1)\\]/,\n reIsPlainProp = /^\\w*$/,\n reLeadingDot = /^\\./,\n rePropName = /[^.[\\]]+|\\[(?:(-?\\d+(?:\\.\\d+)?)|([\"'])((?:(?!\\2)[^\\\\]|\\\\.)*?)\\2)\\]|(?=(?:\\.|\\[\\])(?:\\.|\\[\\]|$))/g;\n\n/**\n * Used to match `RegExp`\n * [syntax characters](http://ecma-international.org/ecma-262/7.0/#sec-patterns).\n */\nvar reRegExpChar = /[\\\\^$.*+?()[\\]{}|]/g;\n\n/** Used to match backslashes in property paths. */\nvar reEscapeChar = /\\\\(\\\\)?/g;\n\n/** Used to detect host constructors (Safari). */\nvar reIsHostCtor = /^\\[object .+?Constructor\\]$/;\n\n/** Detect free variable `global` from Node.js. */\nvar freeGlobal = typeof global == 'object' && global && global.Object === Object && global;\n\n/** Detect free variable `self`. */\nvar freeSelf = typeof self == 'object' && self && self.Object === Object && self;\n\n/** Used as a reference to the global object. */\nvar root = freeGlobal || freeSelf || Function('return this')();\n\n/**\n * Gets the value at `key` of `object`.\n *\n * @private\n * @param {Object} [object] The object to query.\n * @param {string} key The key of the property to get.\n * @returns {*} Returns the property value.\n */\nfunction getValue(object, key) {\n return object == null ? undefined : object[key];\n}\n\n/**\n * Checks if `value` is a host object in IE < 9.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a host object, else `false`.\n */\nfunction isHostObject(value) {\n // Many host objects are `Object` objects that can coerce to strings\n // despite having improperly defined `toString` methods.\n var result = false;\n if (value != null && typeof value.toString != 'function') {\n try {\n result = !!(value + '');\n } catch (e) {}\n }\n return result;\n}\n\n/** Used for built-in method references. */\nvar arrayProto = Array.prototype,\n funcProto = Function.prototype,\n objectProto = Object.prototype;\n\n/** Used to detect overreaching core-js shims. */\nvar coreJsData = root['__core-js_shared__'];\n\n/** Used to detect methods masquerading as native. */\nvar maskSrcKey = (function() {\n var uid = /[^.]+$/.exec(coreJsData && coreJsData.keys && coreJsData.keys.IE_PROTO || '');\n return uid ? ('Symbol(src)_1.' + uid) : '';\n}());\n\n/** Used to resolve the decompiled source of functions. */\nvar funcToString = funcProto.toString;\n\n/** Used to check objects for own properties. */\nvar hasOwnProperty = objectProto.hasOwnProperty;\n\n/**\n * Used to resolve the\n * [`toStringTag`](http://ecma-international.org/ecma-262/7.0/#sec-object.prototype.tostring)\n * of values.\n */\nvar objectToString = objectProto.toString;\n\n/** Used to detect if a method is native. */\nvar reIsNative = RegExp('^' +\n funcToString.call(hasOwnProperty).replace(reRegExpChar, '\\\\$&')\n .replace(/hasOwnProperty|(function).*?(?=\\\\\\()| for .+?(?=\\\\\\])/g, '$1.*?') + '$'\n);\n\n/** Built-in value references. */\nvar Symbol = root.Symbol,\n splice = arrayProto.splice;\n\n/* Built-in method references that are verified to be native. */\nvar Map = getNative(root, 'Map'),\n nativeCreate = getNative(Object, 'create');\n\n/** Used to convert symbols to primitives and strings. */\nvar symbolProto = Symbol ? Symbol.prototype : undefined,\n symbolToString = symbolProto ? symbolProto.toString : undefined;\n\n/**\n * Creates a hash object.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction Hash(entries) {\n var index = -1,\n length = entries ? entries.length : 0;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n/**\n * Removes all key-value entries from the hash.\n *\n * @private\n * @name clear\n * @memberOf Hash\n */\nfunction hashClear() {\n this.__data__ = nativeCreate ? nativeCreate(null) : {};\n}\n\n/**\n * Removes `key` and its value from the hash.\n *\n * @private\n * @name delete\n * @memberOf Hash\n * @param {Object} hash The hash to modify.\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction hashDelete(key) {\n return this.has(key) && delete this.__data__[key];\n}\n\n/**\n * Gets the hash value for `key`.\n *\n * @private\n * @name get\n * @memberOf Hash\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction hashGet(key) {\n var data = this.__data__;\n if (nativeCreate) {\n var result = data[key];\n return result === HASH_UNDEFINED ? undefined : result;\n }\n return hasOwnProperty.call(data, key) ? data[key] : undefined;\n}\n\n/**\n * Checks if a hash value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf Hash\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction hashHas(key) {\n var data = this.__data__;\n return nativeCreate ? data[key] !== undefined : hasOwnProperty.call(data, key);\n}\n\n/**\n * Sets the hash `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf Hash\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the hash instance.\n */\nfunction hashSet(key, value) {\n var data = this.__data__;\n data[key] = (nativeCreate && value === undefined) ? HASH_UNDEFINED : value;\n return this;\n}\n\n// Add methods to `Hash`.\nHash.prototype.clear = hashClear;\nHash.prototype['delete'] = hashDelete;\nHash.prototype.get = hashGet;\nHash.prototype.has = hashHas;\nHash.prototype.set = hashSet;\n\n/**\n * Creates an list cache object.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction ListCache(entries) {\n var index = -1,\n length = entries ? entries.length : 0;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n/**\n * Removes all key-value entries from the list cache.\n *\n * @private\n * @name clear\n * @memberOf ListCache\n */\nfunction listCacheClear() {\n this.__data__ = [];\n}\n\n/**\n * Removes `key` and its value from the list cache.\n *\n * @private\n * @name delete\n * @memberOf ListCache\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction listCacheDelete(key) {\n var data = this.__data__,\n index = assocIndexOf(data, key);\n\n if (index < 0) {\n return false;\n }\n var lastIndex = data.length - 1;\n if (index == lastIndex) {\n data.pop();\n } else {\n splice.call(data, index, 1);\n }\n return true;\n}\n\n/**\n * Gets the list cache value for `key`.\n *\n * @private\n * @name get\n * @memberOf ListCache\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction listCacheGet(key) {\n var data = this.__data__,\n index = assocIndexOf(data, key);\n\n return index < 0 ? undefined : data[index][1];\n}\n\n/**\n * Checks if a list cache value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf ListCache\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction listCacheHas(key) {\n return assocIndexOf(this.__data__, key) > -1;\n}\n\n/**\n * Sets the list cache `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf ListCache\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the list cache instance.\n */\nfunction listCacheSet(key, value) {\n var data = this.__data__,\n index = assocIndexOf(data, key);\n\n if (index < 0) {\n data.push([key, value]);\n } else {\n data[index][1] = value;\n }\n return this;\n}\n\n// Add methods to `ListCache`.\nListCache.prototype.clear = listCacheClear;\nListCache.prototype['delete'] = listCacheDelete;\nListCache.prototype.get = listCacheGet;\nListCache.prototype.has = listCacheHas;\nListCache.prototype.set = listCacheSet;\n\n/**\n * Creates a map cache object to store key-value pairs.\n *\n * @private\n * @constructor\n * @param {Array} [entries] The key-value pairs to cache.\n */\nfunction MapCache(entries) {\n var index = -1,\n length = entries ? entries.length : 0;\n\n this.clear();\n while (++index < length) {\n var entry = entries[index];\n this.set(entry[0], entry[1]);\n }\n}\n\n/**\n * Removes all key-value entries from the map.\n *\n * @private\n * @name clear\n * @memberOf MapCache\n */\nfunction mapCacheClear() {\n this.__data__ = {\n 'hash': new Hash,\n 'map': new (Map || ListCache),\n 'string': new Hash\n };\n}\n\n/**\n * Removes `key` and its value from the map.\n *\n * @private\n * @name delete\n * @memberOf MapCache\n * @param {string} key The key of the value to remove.\n * @returns {boolean} Returns `true` if the entry was removed, else `false`.\n */\nfunction mapCacheDelete(key) {\n return getMapData(this, key)['delete'](key);\n}\n\n/**\n * Gets the map value for `key`.\n *\n * @private\n * @name get\n * @memberOf MapCache\n * @param {string} key The key of the value to get.\n * @returns {*} Returns the entry value.\n */\nfunction mapCacheGet(key) {\n return getMapData(this, key).get(key);\n}\n\n/**\n * Checks if a map value for `key` exists.\n *\n * @private\n * @name has\n * @memberOf MapCache\n * @param {string} key The key of the entry to check.\n * @returns {boolean} Returns `true` if an entry for `key` exists, else `false`.\n */\nfunction mapCacheHas(key) {\n return getMapData(this, key).has(key);\n}\n\n/**\n * Sets the map `key` to `value`.\n *\n * @private\n * @name set\n * @memberOf MapCache\n * @param {string} key The key of the value to set.\n * @param {*} value The value to set.\n * @returns {Object} Returns the map cache instance.\n */\nfunction mapCacheSet(key, value) {\n getMapData(this, key).set(key, value);\n return this;\n}\n\n// Add methods to `MapCache`.\nMapCache.prototype.clear = mapCacheClear;\nMapCache.prototype['delete'] = mapCacheDelete;\nMapCache.prototype.get = mapCacheGet;\nMapCache.prototype.has = mapCacheHas;\nMapCache.prototype.set = mapCacheSet;\n\n/**\n * Gets the index at which the `key` is found in `array` of key-value pairs.\n *\n * @private\n * @param {Array} array The array to inspect.\n * @param {*} key The key to search for.\n * @returns {number} Returns the index of the matched value, else `-1`.\n */\nfunction assocIndexOf(array, key) {\n var length = array.length;\n while (length--) {\n if (eq(array[length][0], key)) {\n return length;\n }\n }\n return -1;\n}\n\n/**\n * The base implementation of `_.get` without support for default values.\n *\n * @private\n * @param {Object} object The object to query.\n * @param {Array|string} path The path of the property to get.\n * @returns {*} Returns the resolved value.\n */\nfunction baseGet(object, path) {\n path = isKey(path, object) ? [path] : castPath(path);\n\n var index = 0,\n length = path.length;\n\n while (object != null && index < length) {\n object = object[toKey(path[index++])];\n }\n return (index && index == length) ? object : undefined;\n}\n\n/**\n * The base implementation of `_.isNative` without bad shim checks.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a native function,\n * else `false`.\n */\nfunction baseIsNative(value) {\n if (!isObject(value) || isMasked(value)) {\n return false;\n }\n var pattern = (isFunction(value) || isHostObject(value)) ? reIsNative : reIsHostCtor;\n return pattern.test(toSource(value));\n}\n\n/**\n * The base implementation of `_.toString` which doesn't convert nullish\n * values to empty strings.\n *\n * @private\n * @param {*} value The value to process.\n * @returns {string} Returns the string.\n */\nfunction baseToString(value) {\n // Exit early for strings to avoid a performance hit in some environments.\n if (typeof value == 'string') {\n return value;\n }\n if (isSymbol(value)) {\n return symbolToString ? symbolToString.call(value) : '';\n }\n var result = (value + '');\n return (result == '0' && (1 / value) == -INFINITY) ? '-0' : result;\n}\n\n/**\n * Casts `value` to a path array if it's not one.\n *\n * @private\n * @param {*} value The value to inspect.\n * @returns {Array} Returns the cast property path array.\n */\nfunction castPath(value) {\n return isArray(value) ? value : stringToPath(value);\n}\n\n/**\n * Gets the data for `map`.\n *\n * @private\n * @param {Object} map The map to query.\n * @param {string} key The reference key.\n * @returns {*} Returns the map data.\n */\nfunction getMapData(map, key) {\n var data = map.__data__;\n return isKeyable(key)\n ? data[typeof key == 'string' ? 'string' : 'hash']\n : data.map;\n}\n\n/**\n * Gets the native function at `key` of `object`.\n *\n * @private\n * @param {Object} object The object to query.\n * @param {string} key The key of the method to get.\n * @returns {*} Returns the function if it's native, else `undefined`.\n */\nfunction getNative(object, key) {\n var value = getValue(object, key);\n return baseIsNative(value) ? value : undefined;\n}\n\n/**\n * Checks if `value` is a property name and not a property path.\n *\n * @private\n * @param {*} value The value to check.\n * @param {Object} [object] The object to query keys on.\n * @returns {boolean} Returns `true` if `value` is a property name, else `false`.\n */\nfunction isKey(value, object) {\n if (isArray(value)) {\n return false;\n }\n var type = typeof value;\n if (type == 'number' || type == 'symbol' || type == 'boolean' ||\n value == null || isSymbol(value)) {\n return true;\n }\n return reIsPlainProp.test(value) || !reIsDeepProp.test(value) ||\n (object != null && value in Object(object));\n}\n\n/**\n * Checks if `value` is suitable for use as unique object key.\n *\n * @private\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is suitable, else `false`.\n */\nfunction isKeyable(value) {\n var type = typeof value;\n return (type == 'string' || type == 'number' || type == 'symbol' || type == 'boolean')\n ? (value !== '__proto__')\n : (value === null);\n}\n\n/**\n * Checks if `func` has its source masked.\n *\n * @private\n * @param {Function} func The function to check.\n * @returns {boolean} Returns `true` if `func` is masked, else `false`.\n */\nfunction isMasked(func) {\n return !!maskSrcKey && (maskSrcKey in func);\n}\n\n/**\n * Converts `string` to a property path array.\n *\n * @private\n * @param {string} string The string to convert.\n * @returns {Array} Returns the property path array.\n */\nvar stringToPath = memoize(function(string) {\n string = toString(string);\n\n var result = [];\n if (reLeadingDot.test(string)) {\n result.push('');\n }\n string.replace(rePropName, function(match, number, quote, string) {\n result.push(quote ? string.replace(reEscapeChar, '$1') : (number || match));\n });\n return result;\n});\n\n/**\n * Converts `value` to a string key if it's not a string or symbol.\n *\n * @private\n * @param {*} value The value to inspect.\n * @returns {string|symbol} Returns the key.\n */\nfunction toKey(value) {\n if (typeof value == 'string' || isSymbol(value)) {\n return value;\n }\n var result = (value + '');\n return (result == '0' && (1 / value) == -INFINITY) ? '-0' : result;\n}\n\n/**\n * Converts `func` to its source code.\n *\n * @private\n * @param {Function} func The function to process.\n * @returns {string} Returns the source code.\n */\nfunction toSource(func) {\n if (func != null) {\n try {\n return funcToString.call(func);\n } catch (e) {}\n try {\n return (func + '');\n } catch (e) {}\n }\n return '';\n}\n\n/**\n * Creates a function that memoizes the result of `func`. If `resolver` is\n * provided, it determines the cache key for storing the result based on the\n * arguments provided to the memoized function. By default, the first argument\n * provided to the memoized function is used as the map cache key. The `func`\n * is invoked with the `this` binding of the memoized function.\n *\n * **Note:** The cache is exposed as the `cache` property on the memoized\n * function. Its creation may be customized by replacing the `_.memoize.Cache`\n * constructor with one whose instances implement the\n * [`Map`](http://ecma-international.org/ecma-262/7.0/#sec-properties-of-the-map-prototype-object)\n * method interface of `delete`, `get`, `has`, and `set`.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Function\n * @param {Function} func The function to have its output memoized.\n * @param {Function} [resolver] The function to resolve the cache key.\n * @returns {Function} Returns the new memoized function.\n * @example\n *\n * var object = { 'a': 1, 'b': 2 };\n * var other = { 'c': 3, 'd': 4 };\n *\n * var values = _.memoize(_.values);\n * values(object);\n * // => [1, 2]\n *\n * values(other);\n * // => [3, 4]\n *\n * object.a = 2;\n * values(object);\n * // => [1, 2]\n *\n * // Modify the result cache.\n * values.cache.set(object, ['a', 'b']);\n * values(object);\n * // => ['a', 'b']\n *\n * // Replace `_.memoize.Cache`.\n * _.memoize.Cache = WeakMap;\n */\nfunction memoize(func, resolver) {\n if (typeof func != 'function' || (resolver && typeof resolver != 'function')) {\n throw new TypeError(FUNC_ERROR_TEXT);\n }\n var memoized = function() {\n var args = arguments,\n key = resolver ? resolver.apply(this, args) : args[0],\n cache = memoized.cache;\n\n if (cache.has(key)) {\n return cache.get(key);\n }\n var result = func.apply(this, args);\n memoized.cache = cache.set(key, result);\n return result;\n };\n memoized.cache = new (memoize.Cache || MapCache);\n return memoized;\n}\n\n// Assign cache to `_.memoize`.\nmemoize.Cache = MapCache;\n\n/**\n * Performs a\n * [`SameValueZero`](http://ecma-international.org/ecma-262/7.0/#sec-samevaluezero)\n * comparison between two values to determine if they are equivalent.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to compare.\n * @param {*} other The other value to compare.\n * @returns {boolean} Returns `true` if the values are equivalent, else `false`.\n * @example\n *\n * var object = { 'a': 1 };\n * var other = { 'a': 1 };\n *\n * _.eq(object, object);\n * // => true\n *\n * _.eq(object, other);\n * // => false\n *\n * _.eq('a', 'a');\n * // => true\n *\n * _.eq('a', Object('a'));\n * // => false\n *\n * _.eq(NaN, NaN);\n * // => true\n */\nfunction eq(value, other) {\n return value === other || (value !== value && other !== other);\n}\n\n/**\n * Checks if `value` is classified as an `Array` object.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an array, else `false`.\n * @example\n *\n * _.isArray([1, 2, 3]);\n * // => true\n *\n * _.isArray(document.body.children);\n * // => false\n *\n * _.isArray('abc');\n * // => false\n *\n * _.isArray(_.noop);\n * // => false\n */\nvar isArray = Array.isArray;\n\n/**\n * Checks if `value` is classified as a `Function` object.\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a function, else `false`.\n * @example\n *\n * _.isFunction(_);\n * // => true\n *\n * _.isFunction(/abc/);\n * // => false\n */\nfunction isFunction(value) {\n // The use of `Object#toString` avoids issues with the `typeof` operator\n // in Safari 8-9 which returns 'object' for typed array and other constructors.\n var tag = isObject(value) ? objectToString.call(value) : '';\n return tag == funcTag || tag == genTag;\n}\n\n/**\n * Checks if `value` is the\n * [language type](http://www.ecma-international.org/ecma-262/7.0/#sec-ecmascript-language-types)\n * of `Object`. (e.g. arrays, functions, objects, regexes, `new Number(0)`, and `new String('')`)\n *\n * @static\n * @memberOf _\n * @since 0.1.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is an object, else `false`.\n * @example\n *\n * _.isObject({});\n * // => true\n *\n * _.isObject([1, 2, 3]);\n * // => true\n *\n * _.isObject(_.noop);\n * // => true\n *\n * _.isObject(null);\n * // => false\n */\nfunction isObject(value) {\n var type = typeof value;\n return !!value && (type == 'object' || type == 'function');\n}\n\n/**\n * Checks if `value` is object-like. A value is object-like if it's not `null`\n * and has a `typeof` result of \"object\".\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is object-like, else `false`.\n * @example\n *\n * _.isObjectLike({});\n * // => true\n *\n * _.isObjectLike([1, 2, 3]);\n * // => true\n *\n * _.isObjectLike(_.noop);\n * // => false\n *\n * _.isObjectLike(null);\n * // => false\n */\nfunction isObjectLike(value) {\n return !!value && typeof value == 'object';\n}\n\n/**\n * Checks if `value` is classified as a `Symbol` primitive or object.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to check.\n * @returns {boolean} Returns `true` if `value` is a symbol, else `false`.\n * @example\n *\n * _.isSymbol(Symbol.iterator);\n * // => true\n *\n * _.isSymbol('abc');\n * // => false\n */\nfunction isSymbol(value) {\n return typeof value == 'symbol' ||\n (isObjectLike(value) && objectToString.call(value) == symbolTag);\n}\n\n/**\n * Converts `value` to a string. An empty string is returned for `null`\n * and `undefined` values. The sign of `-0` is preserved.\n *\n * @static\n * @memberOf _\n * @since 4.0.0\n * @category Lang\n * @param {*} value The value to process.\n * @returns {string} Returns the string.\n * @example\n *\n * _.toString(null);\n * // => ''\n *\n * _.toString(-0);\n * // => '-0'\n *\n * _.toString([1, 2, 3]);\n * // => '1,2,3'\n */\nfunction toString(value) {\n return value == null ? '' : baseToString(value);\n}\n\n/**\n * Gets the value at `path` of `object`. If the resolved value is\n * `undefined`, the `defaultValue` is returned in its place.\n *\n * @static\n * @memberOf _\n * @since 3.7.0\n * @category Object\n * @param {Object} object The object to query.\n * @param {Array|string} path The path of the property to get.\n * @param {*} [defaultValue] The value returned for `undefined` resolved values.\n * @returns {*} Returns the resolved value.\n * @example\n *\n * var object = { 'a': [{ 'b': { 'c': 3 } }] };\n *\n * _.get(object, 'a[0].b.c');\n * // => 3\n *\n * _.get(object, ['a', '0', 'b', 'c']);\n * // => 3\n *\n * _.get(object, 'a.b.c', 'default');\n * // => 'default'\n */\nfunction get(object, path, defaultValue) {\n var result = object == null ? undefined : baseGet(object, path);\n return result === undefined ? defaultValue : result;\n}\n\nmodule.exports = get;\n","'use strict';\n\nmodule.exports = {\n ach: {\n name: 'Acholi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n af: {\n name: 'Afrikaans',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ak: {\n name: 'Akan',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n am: {\n name: 'Amharic',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n an: {\n name: 'Aragonese',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ar: {\n name: 'Arabic',\n examples: [{\n plural: 0,\n sample: 0\n }, {\n plural: 1,\n sample: 1\n }, {\n plural: 2,\n sample: 2\n }, {\n plural: 3,\n sample: 3\n }, {\n plural: 4,\n sample: 11\n }, {\n plural: 5,\n sample: 100\n }],\n nplurals: 6,\n pluralsText: 'nplurals = 6; plural = (n === 0 ? 0 : n === 1 ? 1 : n === 2 ? 2 : n % 100 >= 3 && n % 100 <= 10 ? 3 : n % 100 >= 11 ? 4 : 5)',\n pluralsFunc: function(n) {\n return (n === 0 ? 0 : n === 1 ? 1 : n === 2 ? 2 : n % 100 >= 3 && n % 100 <= 10 ? 3 : n % 100 >= 11 ? 4 : 5);\n }\n },\n arn: {\n name: 'Mapudungun',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n ast: {\n name: 'Asturian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ay: {\n name: 'Aymará',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n az: {\n name: 'Azerbaijani',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n be: {\n name: 'Belarusian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n bg: {\n name: 'Bulgarian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n bn: {\n name: 'Bengali',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n bo: {\n name: 'Tibetan',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n br: {\n name: 'Breton',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n brx: {\n name: 'Bodo',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n bs: {\n name: 'Bosnian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n ca: {\n name: 'Catalan',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n cgg: {\n name: 'Chiga',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n cs: {\n name: 'Czech',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2);\n }\n },\n csb: {\n name: 'Kashubian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n cy: {\n name: 'Welsh',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 3\n }, {\n plural: 3,\n sample: 8\n }],\n nplurals: 4,\n pluralsText: 'nplurals = 4; plural = (n === 1 ? 0 : n === 2 ? 1 : (n !== 8 && n !== 11) ? 2 : 3)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n === 2 ? 1 : (n !== 8 && n !== 11) ? 2 : 3);\n }\n },\n da: {\n name: 'Danish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n de: {\n name: 'German',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n doi: {\n name: 'Dogri',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n dz: {\n name: 'Dzongkha',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n el: {\n name: 'Greek',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n en: {\n name: 'English',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n eo: {\n name: 'Esperanto',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n es: {\n name: 'Spanish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n et: {\n name: 'Estonian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n eu: {\n name: 'Basque',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n fa: {\n name: 'Persian',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n ff: {\n name: 'Fulah',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n fi: {\n name: 'Finnish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n fil: {\n name: 'Filipino',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n fo: {\n name: 'Faroese',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n fr: {\n name: 'French',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n fur: {\n name: 'Friulian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n fy: {\n name: 'Frisian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ga: {\n name: 'Irish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 3\n }, {\n plural: 3,\n sample: 7\n }, {\n plural: 4,\n sample: 11\n }],\n nplurals: 5,\n pluralsText: 'nplurals = 5; plural = (n === 1 ? 0 : n === 2 ? 1 : n < 7 ? 2 : n < 11 ? 3 : 4)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n === 2 ? 1 : n < 7 ? 2 : n < 11 ? 3 : 4);\n }\n },\n gd: {\n name: 'Scottish Gaelic',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 3\n }, {\n plural: 3,\n sample: 20\n }],\n nplurals: 4,\n pluralsText: 'nplurals = 4; plural = ((n === 1 || n === 11) ? 0 : (n === 2 || n === 12) ? 1 : (n > 2 && n < 20) ? 2 : 3)',\n pluralsFunc: function(n) {\n return ((n === 1 || n === 11) ? 0 : (n === 2 || n === 12) ? 1 : (n > 2 && n < 20) ? 2 : 3);\n }\n },\n gl: {\n name: 'Galician',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n gu: {\n name: 'Gujarati',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n gun: {\n name: 'Gun',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n ha: {\n name: 'Hausa',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n he: {\n name: 'Hebrew',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n hi: {\n name: 'Hindi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n hne: {\n name: 'Chhattisgarhi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n hr: {\n name: 'Croatian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n hu: {\n name: 'Hungarian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n hy: {\n name: 'Armenian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n id: {\n name: 'Indonesian',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n is: {\n name: 'Icelandic',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n % 10 !== 1 || n % 100 === 11)',\n pluralsFunc: function(n) {\n return (n % 10 !== 1 || n % 100 === 11);\n }\n },\n it: {\n name: 'Italian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ja: {\n name: 'Japanese',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n jbo: {\n name: 'Lojban',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n jv: {\n name: 'Javanese',\n examples: [{\n plural: 0,\n sample: 0\n }, {\n plural: 1,\n sample: 1\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 0)',\n pluralsFunc: function(n) {\n return (n !== 0);\n }\n },\n ka: {\n name: 'Georgian',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n kk: {\n name: 'Kazakh',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n km: {\n name: 'Khmer',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n kn: {\n name: 'Kannada',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ko: {\n name: 'Korean',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n ku: {\n name: 'Kurdish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n kw: {\n name: 'Cornish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 3\n }, {\n plural: 3,\n sample: 4\n }],\n nplurals: 4,\n pluralsText: 'nplurals = 4; plural = (n === 1 ? 0 : n === 2 ? 1 : n === 3 ? 2 : 3)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n === 2 ? 1 : n === 3 ? 2 : 3);\n }\n },\n ky: {\n name: 'Kyrgyz',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n lb: {\n name: 'Letzeburgesch',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ln: {\n name: 'Lingala',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n lo: {\n name: 'Lao',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n lt: {\n name: 'Lithuanian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 10\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n lv: {\n name: 'Latvian',\n examples: [{\n plural: 2,\n sample: 0\n }, {\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n !== 0 ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n !== 0 ? 1 : 2);\n }\n },\n mai: {\n name: 'Maithili',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n mfe: {\n name: 'Mauritian Creole',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n mg: {\n name: 'Malagasy',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n mi: {\n name: 'Maori',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n mk: {\n name: 'Macedonian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n === 1 || n % 10 === 1 ? 0 : 1)',\n pluralsFunc: function(n) {\n return (n === 1 || n % 10 === 1 ? 0 : 1);\n }\n },\n ml: {\n name: 'Malayalam',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n mn: {\n name: 'Mongolian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n mni: {\n name: 'Manipuri',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n mnk: {\n name: 'Mandinka',\n examples: [{\n plural: 0,\n sample: 0\n }, {\n plural: 1,\n sample: 1\n }, {\n plural: 2,\n sample: 2\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 0 ? 0 : n === 1 ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 0 ? 0 : n === 1 ? 1 : 2);\n }\n },\n mr: {\n name: 'Marathi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ms: {\n name: 'Malay',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n mt: {\n name: 'Maltese',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 11\n }, {\n plural: 3,\n sample: 20\n }],\n nplurals: 4,\n pluralsText: 'nplurals = 4; plural = (n === 1 ? 0 : n === 0 || ( n % 100 > 1 && n % 100 < 11) ? 1 : (n % 100 > 10 && n % 100 < 20 ) ? 2 : 3)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n === 0 || (n % 100 > 1 && n % 100 < 11) ? 1 : (n % 100 > 10 && n % 100 < 20) ? 2 : 3);\n }\n },\n my: {\n name: 'Burmese',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n nah: {\n name: 'Nahuatl',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n nap: {\n name: 'Neapolitan',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n nb: {\n name: 'Norwegian Bokmal',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ne: {\n name: 'Nepali',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n nl: {\n name: 'Dutch',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n nn: {\n name: 'Norwegian Nynorsk',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n no: {\n name: 'Norwegian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n nso: {\n name: 'Northern Sotho',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n oc: {\n name: 'Occitan',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n or: {\n name: 'Oriya',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n pa: {\n name: 'Punjabi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n pap: {\n name: 'Papiamento',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n pl: {\n name: 'Polish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n pms: {\n name: 'Piemontese',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ps: {\n name: 'Pashto',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n pt: {\n name: 'Portuguese',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n rm: {\n name: 'Romansh',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ro: {\n name: 'Romanian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 20\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 1 ? 0 : (n === 0 || (n % 100 > 0 && n % 100 < 20)) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : (n === 0 || (n % 100 > 0 && n % 100 < 20)) ? 1 : 2);\n }\n },\n ru: {\n name: 'Russian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n rw: {\n name: 'Kinyarwanda',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sah: {\n name: 'Yakut',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n sat: {\n name: 'Santali',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sco: {\n name: 'Scots',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sd: {\n name: 'Sindhi',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n se: {\n name: 'Northern Sami',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n si: {\n name: 'Sinhala',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sk: {\n name: 'Slovak',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n === 1 ? 0 : (n >= 2 && n <= 4) ? 1 : 2);\n }\n },\n sl: {\n name: 'Slovenian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 3\n }, {\n plural: 3,\n sample: 5\n }],\n nplurals: 4,\n pluralsText: 'nplurals = 4; plural = (n % 100 === 1 ? 0 : n % 100 === 2 ? 1 : n % 100 === 3 || n % 100 === 4 ? 2 : 3)',\n pluralsFunc: function(n) {\n return (n % 100 === 1 ? 0 : n % 100 === 2 ? 1 : n % 100 === 3 || n % 100 === 4 ? 2 : 3);\n }\n },\n so: {\n name: 'Somali',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n son: {\n name: 'Songhay',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sq: {\n name: 'Albanian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sr: {\n name: 'Serbian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n su: {\n name: 'Sundanese',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n sv: {\n name: 'Swedish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n sw: {\n name: 'Swahili',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n ta: {\n name: 'Tamil',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n te: {\n name: 'Telugu',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n tg: {\n name: 'Tajik',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n th: {\n name: 'Thai',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n ti: {\n name: 'Tigrinya',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n tk: {\n name: 'Turkmen',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n tr: {\n name: 'Turkish',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n tt: {\n name: 'Tatar',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n ug: {\n name: 'Uyghur',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n uk: {\n name: 'Ukrainian',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }, {\n plural: 2,\n sample: 5\n }],\n nplurals: 3,\n pluralsText: 'nplurals = 3; plural = (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2)',\n pluralsFunc: function(n) {\n return (n % 10 === 1 && n % 100 !== 11 ? 0 : n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 10 || n % 100 >= 20) ? 1 : 2);\n }\n },\n ur: {\n name: 'Urdu',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n uz: {\n name: 'Uzbek',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n vi: {\n name: 'Vietnamese',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n wa: {\n name: 'Walloon',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n > 1)',\n pluralsFunc: function(n) {\n return (n > 1);\n }\n },\n wo: {\n name: 'Wolof',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n },\n yo: {\n name: 'Yoruba',\n examples: [{\n plural: 0,\n sample: 1\n }, {\n plural: 1,\n sample: 2\n }],\n nplurals: 2,\n pluralsText: 'nplurals = 2; plural = (n !== 1)',\n pluralsFunc: function(n) {\n return (n !== 1);\n }\n },\n zh: {\n name: 'Chinese',\n examples: [{\n plural: 0,\n sample: 1\n }],\n nplurals: 1,\n pluralsText: 'nplurals = 1; plural = 0',\n pluralsFunc: function() {\n return 0;\n }\n }\n};\n","function getInternetExplorerVersion() {\n\tvar ua = window.navigator.userAgent;\n\n\tvar msie = ua.indexOf('MSIE ');\n\tif (msie > 0) {\n\t\t// IE 10 or older => return version number\n\t\treturn parseInt(ua.substring(msie + 5, ua.indexOf('.', msie)), 10);\n\t}\n\n\tvar trident = ua.indexOf('Trident/');\n\tif (trident > 0) {\n\t\t// IE 11 => return version number\n\t\tvar rv = ua.indexOf('rv:');\n\t\treturn parseInt(ua.substring(rv + 3, ua.indexOf('.', rv)), 10);\n\t}\n\n\tvar edge = ua.indexOf('Edge/');\n\tif (edge > 0) {\n\t\t// Edge (IE 12+) => return version number\n\t\treturn parseInt(ua.substring(edge + 5, ua.indexOf('.', edge)), 10);\n\t}\n\n\t// other browser\n\treturn -1;\n}\n\nvar isIE = void 0;\n\nfunction initCompat() {\n\tif (!initCompat.init) {\n\t\tinitCompat.init = true;\n\t\tisIE = getInternetExplorerVersion() !== -1;\n\t}\n}\n\nvar ResizeObserver = { render: function render() {\n\t\tvar _vm = this;var _h = _vm.$createElement;var _c = _vm._self._c || _h;return _c('div', { staticClass: \"resize-observer\", attrs: { \"tabindex\": \"-1\" } });\n\t}, staticRenderFns: [], _scopeId: 'data-v-b329ee4c',\n\tname: 'resize-observer',\n\n\tmethods: {\n\t\tcompareAndNotify: function compareAndNotify() {\n\t\t\tif (this._w !== this.$el.offsetWidth || this._h !== this.$el.offsetHeight) {\n\t\t\t\tthis._w = this.$el.offsetWidth;\n\t\t\t\tthis._h = this.$el.offsetHeight;\n\t\t\t\tthis.$emit('notify');\n\t\t\t}\n\t\t},\n\t\taddResizeHandlers: function addResizeHandlers() {\n\t\t\tthis._resizeObject.contentDocument.defaultView.addEventListener('resize', this.compareAndNotify);\n\t\t\tthis.compareAndNotify();\n\t\t},\n\t\tremoveResizeHandlers: function removeResizeHandlers() {\n\t\t\tif (this._resizeObject && this._resizeObject.onload) {\n\t\t\t\tif (!isIE && this._resizeObject.contentDocument) {\n\t\t\t\t\tthis._resizeObject.contentDocument.defaultView.removeEventListener('resize', this.compareAndNotify);\n\t\t\t\t}\n\t\t\t\tdelete this._resizeObject.onload;\n\t\t\t}\n\t\t}\n\t},\n\n\tmounted: function mounted() {\n\t\tvar _this = this;\n\n\t\tinitCompat();\n\t\tthis.$nextTick(function () {\n\t\t\t_this._w = _this.$el.offsetWidth;\n\t\t\t_this._h = _this.$el.offsetHeight;\n\t\t});\n\t\tvar object = document.createElement('object');\n\t\tthis._resizeObject = object;\n\t\tobject.setAttribute('aria-hidden', 'true');\n\t\tobject.setAttribute('tabindex', -1);\n\t\tobject.onload = this.addResizeHandlers;\n\t\tobject.type = 'text/html';\n\t\tif (isIE) {\n\t\t\tthis.$el.appendChild(object);\n\t\t}\n\t\tobject.data = 'about:blank';\n\t\tif (!isIE) {\n\t\t\tthis.$el.appendChild(object);\n\t\t}\n\t},\n\tbeforeDestroy: function beforeDestroy() {\n\t\tthis.removeResizeHandlers();\n\t}\n};\n\n// Install the components\nfunction install(Vue) {\n\tVue.component('resize-observer', ResizeObserver);\n\tVue.component('ResizeObserver', ResizeObserver);\n}\n\n// Plugin\nvar plugin = {\n\t// eslint-disable-next-line no-undef\n\tversion: \"0.4.5\",\n\tinstall: install\n};\n\n// Auto-install\nvar GlobalVue = null;\nif (typeof window !== 'undefined') {\n\tGlobalVue = window.Vue;\n} else if (typeof global !== 'undefined') {\n\tGlobalVue = global.Vue;\n}\nif (GlobalVue) {\n\tGlobalVue.use(plugin);\n}\n\nexport { install, ResizeObserver };\nexport default plugin;\n","/**!\n * @fileOverview Kickass library to create and place poppers near their reference elements.\n * @version 1.16.1\n * @license\n * Copyright (c) 2016 Federico Zivolo and contributors\n *\n * Permission is hereby granted, free of charge, to any person obtaining a copy\n * of this software and associated documentation files (the \"Software\"), to deal\n * in the Software without restriction, including without limitation the rights\n * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell\n * copies of the Software, and to permit persons to whom the Software is\n * furnished to do so, subject to the following conditions:\n *\n * The above copyright notice and this permission notice shall be included in all\n * copies or substantial portions of the Software.\n *\n * THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\n * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,\n * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE\n * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER\n * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,\n * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE\n * SOFTWARE.\n */\nvar isBrowser = typeof window !== 'undefined' && typeof document !== 'undefined' && typeof navigator !== 'undefined';\n\nvar timeoutDuration = function () {\n var longerTimeoutBrowsers = ['Edge', 'Trident', 'Firefox'];\n for (var i = 0; i < longerTimeoutBrowsers.length; i += 1) {\n if (isBrowser && navigator.userAgent.indexOf(longerTimeoutBrowsers[i]) >= 0) {\n return 1;\n }\n }\n return 0;\n}();\n\nfunction microtaskDebounce(fn) {\n var called = false;\n return function () {\n if (called) {\n return;\n }\n called = true;\n window.Promise.resolve().then(function () {\n called = false;\n fn();\n });\n };\n}\n\nfunction taskDebounce(fn) {\n var scheduled = false;\n return function () {\n if (!scheduled) {\n scheduled = true;\n setTimeout(function () {\n scheduled = false;\n fn();\n }, timeoutDuration);\n }\n };\n}\n\nvar supportsMicroTasks = isBrowser && window.Promise;\n\n/**\n* Create a debounced version of a method, that's asynchronously deferred\n* but called in the minimum time possible.\n*\n* @method\n* @memberof Popper.Utils\n* @argument {Function} fn\n* @returns {Function}\n*/\nvar debounce = supportsMicroTasks ? microtaskDebounce : taskDebounce;\n\n/**\n * Check if the given variable is a function\n * @method\n * @memberof Popper.Utils\n * @argument {Any} functionToCheck - variable to check\n * @returns {Boolean} answer to: is a function?\n */\nfunction isFunction(functionToCheck) {\n var getType = {};\n return functionToCheck && getType.toString.call(functionToCheck) === '[object Function]';\n}\n\n/**\n * Get CSS computed property of the given element\n * @method\n * @memberof Popper.Utils\n * @argument {Eement} element\n * @argument {String} property\n */\nfunction getStyleComputedProperty(element, property) {\n if (element.nodeType !== 1) {\n return [];\n }\n // NOTE: 1 DOM access here\n var window = element.ownerDocument.defaultView;\n var css = window.getComputedStyle(element, null);\n return property ? css[property] : css;\n}\n\n/**\n * Returns the parentNode or the host of the element\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @returns {Element} parent\n */\nfunction getParentNode(element) {\n if (element.nodeName === 'HTML') {\n return element;\n }\n return element.parentNode || element.host;\n}\n\n/**\n * Returns the scrolling parent of the given element\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @returns {Element} scroll parent\n */\nfunction getScrollParent(element) {\n // Return body, `getScroll` will take care to get the correct `scrollTop` from it\n if (!element) {\n return document.body;\n }\n\n switch (element.nodeName) {\n case 'HTML':\n case 'BODY':\n return element.ownerDocument.body;\n case '#document':\n return element.body;\n }\n\n // Firefox want us to check `-x` and `-y` variations as well\n\n var _getStyleComputedProp = getStyleComputedProperty(element),\n overflow = _getStyleComputedProp.overflow,\n overflowX = _getStyleComputedProp.overflowX,\n overflowY = _getStyleComputedProp.overflowY;\n\n if (/(auto|scroll|overlay)/.test(overflow + overflowY + overflowX)) {\n return element;\n }\n\n return getScrollParent(getParentNode(element));\n}\n\n/**\n * Returns the reference node of the reference object, or the reference object itself.\n * @method\n * @memberof Popper.Utils\n * @param {Element|Object} reference - the reference element (the popper will be relative to this)\n * @returns {Element} parent\n */\nfunction getReferenceNode(reference) {\n return reference && reference.referenceNode ? reference.referenceNode : reference;\n}\n\nvar isIE11 = isBrowser && !!(window.MSInputMethodContext && document.documentMode);\nvar isIE10 = isBrowser && /MSIE 10/.test(navigator.userAgent);\n\n/**\n * Determines if the browser is Internet Explorer\n * @method\n * @memberof Popper.Utils\n * @param {Number} version to check\n * @returns {Boolean} isIE\n */\nfunction isIE(version) {\n if (version === 11) {\n return isIE11;\n }\n if (version === 10) {\n return isIE10;\n }\n return isIE11 || isIE10;\n}\n\n/**\n * Returns the offset parent of the given element\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @returns {Element} offset parent\n */\nfunction getOffsetParent(element) {\n if (!element) {\n return document.documentElement;\n }\n\n var noOffsetParent = isIE(10) ? document.body : null;\n\n // NOTE: 1 DOM access here\n var offsetParent = element.offsetParent || null;\n // Skip hidden elements which don't have an offsetParent\n while (offsetParent === noOffsetParent && element.nextElementSibling) {\n offsetParent = (element = element.nextElementSibling).offsetParent;\n }\n\n var nodeName = offsetParent && offsetParent.nodeName;\n\n if (!nodeName || nodeName === 'BODY' || nodeName === 'HTML') {\n return element ? element.ownerDocument.documentElement : document.documentElement;\n }\n\n // .offsetParent will return the closest TH, TD or TABLE in case\n // no offsetParent is present, I hate this job...\n if (['TH', 'TD', 'TABLE'].indexOf(offsetParent.nodeName) !== -1 && getStyleComputedProperty(offsetParent, 'position') === 'static') {\n return getOffsetParent(offsetParent);\n }\n\n return offsetParent;\n}\n\nfunction isOffsetContainer(element) {\n var nodeName = element.nodeName;\n\n if (nodeName === 'BODY') {\n return false;\n }\n return nodeName === 'HTML' || getOffsetParent(element.firstElementChild) === element;\n}\n\n/**\n * Finds the root node (document, shadowDOM root) of the given element\n * @method\n * @memberof Popper.Utils\n * @argument {Element} node\n * @returns {Element} root node\n */\nfunction getRoot(node) {\n if (node.parentNode !== null) {\n return getRoot(node.parentNode);\n }\n\n return node;\n}\n\n/**\n * Finds the offset parent common to the two provided nodes\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element1\n * @argument {Element} element2\n * @returns {Element} common offset parent\n */\nfunction findCommonOffsetParent(element1, element2) {\n // This check is needed to avoid errors in case one of the elements isn't defined for any reason\n if (!element1 || !element1.nodeType || !element2 || !element2.nodeType) {\n return document.documentElement;\n }\n\n // Here we make sure to give as \"start\" the element that comes first in the DOM\n var order = element1.compareDocumentPosition(element2) & Node.DOCUMENT_POSITION_FOLLOWING;\n var start = order ? element1 : element2;\n var end = order ? element2 : element1;\n\n // Get common ancestor container\n var range = document.createRange();\n range.setStart(start, 0);\n range.setEnd(end, 0);\n var commonAncestorContainer = range.commonAncestorContainer;\n\n // Both nodes are inside #document\n\n if (element1 !== commonAncestorContainer && element2 !== commonAncestorContainer || start.contains(end)) {\n if (isOffsetContainer(commonAncestorContainer)) {\n return commonAncestorContainer;\n }\n\n return getOffsetParent(commonAncestorContainer);\n }\n\n // one of the nodes is inside shadowDOM, find which one\n var element1root = getRoot(element1);\n if (element1root.host) {\n return findCommonOffsetParent(element1root.host, element2);\n } else {\n return findCommonOffsetParent(element1, getRoot(element2).host);\n }\n}\n\n/**\n * Gets the scroll value of the given element in the given side (top and left)\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @argument {String} side `top` or `left`\n * @returns {number} amount of scrolled pixels\n */\nfunction getScroll(element) {\n var side = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : 'top';\n\n var upperSide = side === 'top' ? 'scrollTop' : 'scrollLeft';\n var nodeName = element.nodeName;\n\n if (nodeName === 'BODY' || nodeName === 'HTML') {\n var html = element.ownerDocument.documentElement;\n var scrollingElement = element.ownerDocument.scrollingElement || html;\n return scrollingElement[upperSide];\n }\n\n return element[upperSide];\n}\n\n/*\n * Sum or subtract the element scroll values (left and top) from a given rect object\n * @method\n * @memberof Popper.Utils\n * @param {Object} rect - Rect object you want to change\n * @param {HTMLElement} element - The element from the function reads the scroll values\n * @param {Boolean} subtract - set to true if you want to subtract the scroll values\n * @return {Object} rect - The modifier rect object\n */\nfunction includeScroll(rect, element) {\n var subtract = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : false;\n\n var scrollTop = getScroll(element, 'top');\n var scrollLeft = getScroll(element, 'left');\n var modifier = subtract ? -1 : 1;\n rect.top += scrollTop * modifier;\n rect.bottom += scrollTop * modifier;\n rect.left += scrollLeft * modifier;\n rect.right += scrollLeft * modifier;\n return rect;\n}\n\n/*\n * Helper to detect borders of a given element\n * @method\n * @memberof Popper.Utils\n * @param {CSSStyleDeclaration} styles\n * Result of `getStyleComputedProperty` on the given element\n * @param {String} axis - `x` or `y`\n * @return {number} borders - The borders size of the given axis\n */\n\nfunction getBordersSize(styles, axis) {\n var sideA = axis === 'x' ? 'Left' : 'Top';\n var sideB = sideA === 'Left' ? 'Right' : 'Bottom';\n\n return parseFloat(styles['border' + sideA + 'Width']) + parseFloat(styles['border' + sideB + 'Width']);\n}\n\nfunction getSize(axis, body, html, computedStyle) {\n return Math.max(body['offset' + axis], body['scroll' + axis], html['client' + axis], html['offset' + axis], html['scroll' + axis], isIE(10) ? parseInt(html['offset' + axis]) + parseInt(computedStyle['margin' + (axis === 'Height' ? 'Top' : 'Left')]) + parseInt(computedStyle['margin' + (axis === 'Height' ? 'Bottom' : 'Right')]) : 0);\n}\n\nfunction getWindowSizes(document) {\n var body = document.body;\n var html = document.documentElement;\n var computedStyle = isIE(10) && getComputedStyle(html);\n\n return {\n height: getSize('Height', body, html, computedStyle),\n width: getSize('Width', body, html, computedStyle)\n };\n}\n\nvar classCallCheck = function (instance, Constructor) {\n if (!(instance instanceof Constructor)) {\n throw new TypeError(\"Cannot call a class as a function\");\n }\n};\n\nvar createClass = function () {\n function defineProperties(target, props) {\n for (var i = 0; i < props.length; i++) {\n var descriptor = props[i];\n descriptor.enumerable = descriptor.enumerable || false;\n descriptor.configurable = true;\n if (\"value\" in descriptor) descriptor.writable = true;\n Object.defineProperty(target, descriptor.key, descriptor);\n }\n }\n\n return function (Constructor, protoProps, staticProps) {\n if (protoProps) defineProperties(Constructor.prototype, protoProps);\n if (staticProps) defineProperties(Constructor, staticProps);\n return Constructor;\n };\n}();\n\n\n\n\n\nvar defineProperty = function (obj, key, value) {\n if (key in obj) {\n Object.defineProperty(obj, key, {\n value: value,\n enumerable: true,\n configurable: true,\n writable: true\n });\n } else {\n obj[key] = value;\n }\n\n return obj;\n};\n\nvar _extends = Object.assign || function (target) {\n for (var i = 1; i < arguments.length; i++) {\n var source = arguments[i];\n\n for (var key in source) {\n if (Object.prototype.hasOwnProperty.call(source, key)) {\n target[key] = source[key];\n }\n }\n }\n\n return target;\n};\n\n/**\n * Given element offsets, generate an output similar to getBoundingClientRect\n * @method\n * @memberof Popper.Utils\n * @argument {Object} offsets\n * @returns {Object} ClientRect like output\n */\nfunction getClientRect(offsets) {\n return _extends({}, offsets, {\n right: offsets.left + offsets.width,\n bottom: offsets.top + offsets.height\n });\n}\n\n/**\n * Get bounding client rect of given element\n * @method\n * @memberof Popper.Utils\n * @param {HTMLElement} element\n * @return {Object} client rect\n */\nfunction getBoundingClientRect(element) {\n var rect = {};\n\n // IE10 10 FIX: Please, don't ask, the element isn't\n // considered in DOM in some circumstances...\n // This isn't reproducible in IE10 compatibility mode of IE11\n try {\n if (isIE(10)) {\n rect = element.getBoundingClientRect();\n var scrollTop = getScroll(element, 'top');\n var scrollLeft = getScroll(element, 'left');\n rect.top += scrollTop;\n rect.left += scrollLeft;\n rect.bottom += scrollTop;\n rect.right += scrollLeft;\n } else {\n rect = element.getBoundingClientRect();\n }\n } catch (e) {}\n\n var result = {\n left: rect.left,\n top: rect.top,\n width: rect.right - rect.left,\n height: rect.bottom - rect.top\n };\n\n // subtract scrollbar size from sizes\n var sizes = element.nodeName === 'HTML' ? getWindowSizes(element.ownerDocument) : {};\n var width = sizes.width || element.clientWidth || result.width;\n var height = sizes.height || element.clientHeight || result.height;\n\n var horizScrollbar = element.offsetWidth - width;\n var vertScrollbar = element.offsetHeight - height;\n\n // if an hypothetical scrollbar is detected, we must be sure it's not a `border`\n // we make this check conditional for performance reasons\n if (horizScrollbar || vertScrollbar) {\n var styles = getStyleComputedProperty(element);\n horizScrollbar -= getBordersSize(styles, 'x');\n vertScrollbar -= getBordersSize(styles, 'y');\n\n result.width -= horizScrollbar;\n result.height -= vertScrollbar;\n }\n\n return getClientRect(result);\n}\n\nfunction getOffsetRectRelativeToArbitraryNode(children, parent) {\n var fixedPosition = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : false;\n\n var isIE10 = isIE(10);\n var isHTML = parent.nodeName === 'HTML';\n var childrenRect = getBoundingClientRect(children);\n var parentRect = getBoundingClientRect(parent);\n var scrollParent = getScrollParent(children);\n\n var styles = getStyleComputedProperty(parent);\n var borderTopWidth = parseFloat(styles.borderTopWidth);\n var borderLeftWidth = parseFloat(styles.borderLeftWidth);\n\n // In cases where the parent is fixed, we must ignore negative scroll in offset calc\n if (fixedPosition && isHTML) {\n parentRect.top = Math.max(parentRect.top, 0);\n parentRect.left = Math.max(parentRect.left, 0);\n }\n var offsets = getClientRect({\n top: childrenRect.top - parentRect.top - borderTopWidth,\n left: childrenRect.left - parentRect.left - borderLeftWidth,\n width: childrenRect.width,\n height: childrenRect.height\n });\n offsets.marginTop = 0;\n offsets.marginLeft = 0;\n\n // Subtract margins of documentElement in case it's being used as parent\n // we do this only on HTML because it's the only element that behaves\n // differently when margins are applied to it. The margins are included in\n // the box of the documentElement, in the other cases not.\n if (!isIE10 && isHTML) {\n var marginTop = parseFloat(styles.marginTop);\n var marginLeft = parseFloat(styles.marginLeft);\n\n offsets.top -= borderTopWidth - marginTop;\n offsets.bottom -= borderTopWidth - marginTop;\n offsets.left -= borderLeftWidth - marginLeft;\n offsets.right -= borderLeftWidth - marginLeft;\n\n // Attach marginTop and marginLeft because in some circumstances we may need them\n offsets.marginTop = marginTop;\n offsets.marginLeft = marginLeft;\n }\n\n if (isIE10 && !fixedPosition ? parent.contains(scrollParent) : parent === scrollParent && scrollParent.nodeName !== 'BODY') {\n offsets = includeScroll(offsets, parent);\n }\n\n return offsets;\n}\n\nfunction getViewportOffsetRectRelativeToArtbitraryNode(element) {\n var excludeScroll = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n\n var html = element.ownerDocument.documentElement;\n var relativeOffset = getOffsetRectRelativeToArbitraryNode(element, html);\n var width = Math.max(html.clientWidth, window.innerWidth || 0);\n var height = Math.max(html.clientHeight, window.innerHeight || 0);\n\n var scrollTop = !excludeScroll ? getScroll(html) : 0;\n var scrollLeft = !excludeScroll ? getScroll(html, 'left') : 0;\n\n var offset = {\n top: scrollTop - relativeOffset.top + relativeOffset.marginTop,\n left: scrollLeft - relativeOffset.left + relativeOffset.marginLeft,\n width: width,\n height: height\n };\n\n return getClientRect(offset);\n}\n\n/**\n * Check if the given element is fixed or is inside a fixed parent\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @argument {Element} customContainer\n * @returns {Boolean} answer to \"isFixed?\"\n */\nfunction isFixed(element) {\n var nodeName = element.nodeName;\n if (nodeName === 'BODY' || nodeName === 'HTML') {\n return false;\n }\n if (getStyleComputedProperty(element, 'position') === 'fixed') {\n return true;\n }\n var parentNode = getParentNode(element);\n if (!parentNode) {\n return false;\n }\n return isFixed(parentNode);\n}\n\n/**\n * Finds the first parent of an element that has a transformed property defined\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @returns {Element} first transformed parent or documentElement\n */\n\nfunction getFixedPositionOffsetParent(element) {\n // This check is needed to avoid errors in case one of the elements isn't defined for any reason\n if (!element || !element.parentElement || isIE()) {\n return document.documentElement;\n }\n var el = element.parentElement;\n while (el && getStyleComputedProperty(el, 'transform') === 'none') {\n el = el.parentElement;\n }\n return el || document.documentElement;\n}\n\n/**\n * Computed the boundaries limits and return them\n * @method\n * @memberof Popper.Utils\n * @param {HTMLElement} popper\n * @param {HTMLElement} reference\n * @param {number} padding\n * @param {HTMLElement} boundariesElement - Element used to define the boundaries\n * @param {Boolean} fixedPosition - Is in fixed position mode\n * @returns {Object} Coordinates of the boundaries\n */\nfunction getBoundaries(popper, reference, padding, boundariesElement) {\n var fixedPosition = arguments.length > 4 && arguments[4] !== undefined ? arguments[4] : false;\n\n // NOTE: 1 DOM access here\n\n var boundaries = { top: 0, left: 0 };\n var offsetParent = fixedPosition ? getFixedPositionOffsetParent(popper) : findCommonOffsetParent(popper, getReferenceNode(reference));\n\n // Handle viewport case\n if (boundariesElement === 'viewport') {\n boundaries = getViewportOffsetRectRelativeToArtbitraryNode(offsetParent, fixedPosition);\n } else {\n // Handle other cases based on DOM element used as boundaries\n var boundariesNode = void 0;\n if (boundariesElement === 'scrollParent') {\n boundariesNode = getScrollParent(getParentNode(reference));\n if (boundariesNode.nodeName === 'BODY') {\n boundariesNode = popper.ownerDocument.documentElement;\n }\n } else if (boundariesElement === 'window') {\n boundariesNode = popper.ownerDocument.documentElement;\n } else {\n boundariesNode = boundariesElement;\n }\n\n var offsets = getOffsetRectRelativeToArbitraryNode(boundariesNode, offsetParent, fixedPosition);\n\n // In case of HTML, we need a different computation\n if (boundariesNode.nodeName === 'HTML' && !isFixed(offsetParent)) {\n var _getWindowSizes = getWindowSizes(popper.ownerDocument),\n height = _getWindowSizes.height,\n width = _getWindowSizes.width;\n\n boundaries.top += offsets.top - offsets.marginTop;\n boundaries.bottom = height + offsets.top;\n boundaries.left += offsets.left - offsets.marginLeft;\n boundaries.right = width + offsets.left;\n } else {\n // for all the other DOM elements, this one is good\n boundaries = offsets;\n }\n }\n\n // Add paddings\n padding = padding || 0;\n var isPaddingNumber = typeof padding === 'number';\n boundaries.left += isPaddingNumber ? padding : padding.left || 0;\n boundaries.top += isPaddingNumber ? padding : padding.top || 0;\n boundaries.right -= isPaddingNumber ? padding : padding.right || 0;\n boundaries.bottom -= isPaddingNumber ? padding : padding.bottom || 0;\n\n return boundaries;\n}\n\nfunction getArea(_ref) {\n var width = _ref.width,\n height = _ref.height;\n\n return width * height;\n}\n\n/**\n * Utility used to transform the `auto` placement to the placement with more\n * available space.\n * @method\n * @memberof Popper.Utils\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction computeAutoPlacement(placement, refRect, popper, reference, boundariesElement) {\n var padding = arguments.length > 5 && arguments[5] !== undefined ? arguments[5] : 0;\n\n if (placement.indexOf('auto') === -1) {\n return placement;\n }\n\n var boundaries = getBoundaries(popper, reference, padding, boundariesElement);\n\n var rects = {\n top: {\n width: boundaries.width,\n height: refRect.top - boundaries.top\n },\n right: {\n width: boundaries.right - refRect.right,\n height: boundaries.height\n },\n bottom: {\n width: boundaries.width,\n height: boundaries.bottom - refRect.bottom\n },\n left: {\n width: refRect.left - boundaries.left,\n height: boundaries.height\n }\n };\n\n var sortedAreas = Object.keys(rects).map(function (key) {\n return _extends({\n key: key\n }, rects[key], {\n area: getArea(rects[key])\n });\n }).sort(function (a, b) {\n return b.area - a.area;\n });\n\n var filteredAreas = sortedAreas.filter(function (_ref2) {\n var width = _ref2.width,\n height = _ref2.height;\n return width >= popper.clientWidth && height >= popper.clientHeight;\n });\n\n var computedPlacement = filteredAreas.length > 0 ? filteredAreas[0].key : sortedAreas[0].key;\n\n var variation = placement.split('-')[1];\n\n return computedPlacement + (variation ? '-' + variation : '');\n}\n\n/**\n * Get offsets to the reference element\n * @method\n * @memberof Popper.Utils\n * @param {Object} state\n * @param {Element} popper - the popper element\n * @param {Element} reference - the reference element (the popper will be relative to this)\n * @param {Element} fixedPosition - is in fixed position mode\n * @returns {Object} An object containing the offsets which will be applied to the popper\n */\nfunction getReferenceOffsets(state, popper, reference) {\n var fixedPosition = arguments.length > 3 && arguments[3] !== undefined ? arguments[3] : null;\n\n var commonOffsetParent = fixedPosition ? getFixedPositionOffsetParent(popper) : findCommonOffsetParent(popper, getReferenceNode(reference));\n return getOffsetRectRelativeToArbitraryNode(reference, commonOffsetParent, fixedPosition);\n}\n\n/**\n * Get the outer sizes of the given element (offset size + margins)\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element\n * @returns {Object} object containing width and height properties\n */\nfunction getOuterSizes(element) {\n var window = element.ownerDocument.defaultView;\n var styles = window.getComputedStyle(element);\n var x = parseFloat(styles.marginTop || 0) + parseFloat(styles.marginBottom || 0);\n var y = parseFloat(styles.marginLeft || 0) + parseFloat(styles.marginRight || 0);\n var result = {\n width: element.offsetWidth + y,\n height: element.offsetHeight + x\n };\n return result;\n}\n\n/**\n * Get the opposite placement of the given one\n * @method\n * @memberof Popper.Utils\n * @argument {String} placement\n * @returns {String} flipped placement\n */\nfunction getOppositePlacement(placement) {\n var hash = { left: 'right', right: 'left', bottom: 'top', top: 'bottom' };\n return placement.replace(/left|right|bottom|top/g, function (matched) {\n return hash[matched];\n });\n}\n\n/**\n * Get offsets to the popper\n * @method\n * @memberof Popper.Utils\n * @param {Object} position - CSS position the Popper will get applied\n * @param {HTMLElement} popper - the popper element\n * @param {Object} referenceOffsets - the reference offsets (the popper will be relative to this)\n * @param {String} placement - one of the valid placement options\n * @returns {Object} popperOffsets - An object containing the offsets which will be applied to the popper\n */\nfunction getPopperOffsets(popper, referenceOffsets, placement) {\n placement = placement.split('-')[0];\n\n // Get popper node sizes\n var popperRect = getOuterSizes(popper);\n\n // Add position, width and height to our offsets object\n var popperOffsets = {\n width: popperRect.width,\n height: popperRect.height\n };\n\n // depending by the popper placement we have to compute its offsets slightly differently\n var isHoriz = ['right', 'left'].indexOf(placement) !== -1;\n var mainSide = isHoriz ? 'top' : 'left';\n var secondarySide = isHoriz ? 'left' : 'top';\n var measurement = isHoriz ? 'height' : 'width';\n var secondaryMeasurement = !isHoriz ? 'height' : 'width';\n\n popperOffsets[mainSide] = referenceOffsets[mainSide] + referenceOffsets[measurement] / 2 - popperRect[measurement] / 2;\n if (placement === secondarySide) {\n popperOffsets[secondarySide] = referenceOffsets[secondarySide] - popperRect[secondaryMeasurement];\n } else {\n popperOffsets[secondarySide] = referenceOffsets[getOppositePlacement(secondarySide)];\n }\n\n return popperOffsets;\n}\n\n/**\n * Mimics the `find` method of Array\n * @method\n * @memberof Popper.Utils\n * @argument {Array} arr\n * @argument prop\n * @argument value\n * @returns index or -1\n */\nfunction find(arr, check) {\n // use native find if supported\n if (Array.prototype.find) {\n return arr.find(check);\n }\n\n // use `filter` to obtain the same behavior of `find`\n return arr.filter(check)[0];\n}\n\n/**\n * Return the index of the matching object\n * @method\n * @memberof Popper.Utils\n * @argument {Array} arr\n * @argument prop\n * @argument value\n * @returns index or -1\n */\nfunction findIndex(arr, prop, value) {\n // use native findIndex if supported\n if (Array.prototype.findIndex) {\n return arr.findIndex(function (cur) {\n return cur[prop] === value;\n });\n }\n\n // use `find` + `indexOf` if `findIndex` isn't supported\n var match = find(arr, function (obj) {\n return obj[prop] === value;\n });\n return arr.indexOf(match);\n}\n\n/**\n * Loop trough the list of modifiers and run them in order,\n * each of them will then edit the data object.\n * @method\n * @memberof Popper.Utils\n * @param {dataObject} data\n * @param {Array} modifiers\n * @param {String} ends - Optional modifier name used as stopper\n * @returns {dataObject}\n */\nfunction runModifiers(modifiers, data, ends) {\n var modifiersToRun = ends === undefined ? modifiers : modifiers.slice(0, findIndex(modifiers, 'name', ends));\n\n modifiersToRun.forEach(function (modifier) {\n if (modifier['function']) {\n // eslint-disable-line dot-notation\n console.warn('`modifier.function` is deprecated, use `modifier.fn`!');\n }\n var fn = modifier['function'] || modifier.fn; // eslint-disable-line dot-notation\n if (modifier.enabled && isFunction(fn)) {\n // Add properties to offsets to make them a complete clientRect object\n // we do this before each modifier to make sure the previous one doesn't\n // mess with these values\n data.offsets.popper = getClientRect(data.offsets.popper);\n data.offsets.reference = getClientRect(data.offsets.reference);\n\n data = fn(data, modifier);\n }\n });\n\n return data;\n}\n\n/**\n * Updates the position of the popper, computing the new offsets and applying\n * the new style.<br />\n * Prefer `scheduleUpdate` over `update` because of performance reasons.\n * @method\n * @memberof Popper\n */\nfunction update() {\n // if popper is destroyed, don't perform any further update\n if (this.state.isDestroyed) {\n return;\n }\n\n var data = {\n instance: this,\n styles: {},\n arrowStyles: {},\n attributes: {},\n flipped: false,\n offsets: {}\n };\n\n // compute reference element offsets\n data.offsets.reference = getReferenceOffsets(this.state, this.popper, this.reference, this.options.positionFixed);\n\n // compute auto placement, store placement inside the data object,\n // modifiers will be able to edit `placement` if needed\n // and refer to originalPlacement to know the original value\n data.placement = computeAutoPlacement(this.options.placement, data.offsets.reference, this.popper, this.reference, this.options.modifiers.flip.boundariesElement, this.options.modifiers.flip.padding);\n\n // store the computed placement inside `originalPlacement`\n data.originalPlacement = data.placement;\n\n data.positionFixed = this.options.positionFixed;\n\n // compute the popper offsets\n data.offsets.popper = getPopperOffsets(this.popper, data.offsets.reference, data.placement);\n\n data.offsets.popper.position = this.options.positionFixed ? 'fixed' : 'absolute';\n\n // run the modifiers\n data = runModifiers(this.modifiers, data);\n\n // the first `update` will call `onCreate` callback\n // the other ones will call `onUpdate` callback\n if (!this.state.isCreated) {\n this.state.isCreated = true;\n this.options.onCreate(data);\n } else {\n this.options.onUpdate(data);\n }\n}\n\n/**\n * Helper used to know if the given modifier is enabled.\n * @method\n * @memberof Popper.Utils\n * @returns {Boolean}\n */\nfunction isModifierEnabled(modifiers, modifierName) {\n return modifiers.some(function (_ref) {\n var name = _ref.name,\n enabled = _ref.enabled;\n return enabled && name === modifierName;\n });\n}\n\n/**\n * Get the prefixed supported property name\n * @method\n * @memberof Popper.Utils\n * @argument {String} property (camelCase)\n * @returns {String} prefixed property (camelCase or PascalCase, depending on the vendor prefix)\n */\nfunction getSupportedPropertyName(property) {\n var prefixes = [false, 'ms', 'Webkit', 'Moz', 'O'];\n var upperProp = property.charAt(0).toUpperCase() + property.slice(1);\n\n for (var i = 0; i < prefixes.length; i++) {\n var prefix = prefixes[i];\n var toCheck = prefix ? '' + prefix + upperProp : property;\n if (typeof document.body.style[toCheck] !== 'undefined') {\n return toCheck;\n }\n }\n return null;\n}\n\n/**\n * Destroys the popper.\n * @method\n * @memberof Popper\n */\nfunction destroy() {\n this.state.isDestroyed = true;\n\n // touch DOM only if `applyStyle` modifier is enabled\n if (isModifierEnabled(this.modifiers, 'applyStyle')) {\n this.popper.removeAttribute('x-placement');\n this.popper.style.position = '';\n this.popper.style.top = '';\n this.popper.style.left = '';\n this.popper.style.right = '';\n this.popper.style.bottom = '';\n this.popper.style.willChange = '';\n this.popper.style[getSupportedPropertyName('transform')] = '';\n }\n\n this.disableEventListeners();\n\n // remove the popper if user explicitly asked for the deletion on destroy\n // do not use `remove` because IE11 doesn't support it\n if (this.options.removeOnDestroy) {\n this.popper.parentNode.removeChild(this.popper);\n }\n return this;\n}\n\n/**\n * Get the window associated with the element\n * @argument {Element} element\n * @returns {Window}\n */\nfunction getWindow(element) {\n var ownerDocument = element.ownerDocument;\n return ownerDocument ? ownerDocument.defaultView : window;\n}\n\nfunction attachToScrollParents(scrollParent, event, callback, scrollParents) {\n var isBody = scrollParent.nodeName === 'BODY';\n var target = isBody ? scrollParent.ownerDocument.defaultView : scrollParent;\n target.addEventListener(event, callback, { passive: true });\n\n if (!isBody) {\n attachToScrollParents(getScrollParent(target.parentNode), event, callback, scrollParents);\n }\n scrollParents.push(target);\n}\n\n/**\n * Setup needed event listeners used to update the popper position\n * @method\n * @memberof Popper.Utils\n * @private\n */\nfunction setupEventListeners(reference, options, state, updateBound) {\n // Resize event listener on window\n state.updateBound = updateBound;\n getWindow(reference).addEventListener('resize', state.updateBound, { passive: true });\n\n // Scroll event listener on scroll parents\n var scrollElement = getScrollParent(reference);\n attachToScrollParents(scrollElement, 'scroll', state.updateBound, state.scrollParents);\n state.scrollElement = scrollElement;\n state.eventsEnabled = true;\n\n return state;\n}\n\n/**\n * It will add resize/scroll events and start recalculating\n * position of the popper element when they are triggered.\n * @method\n * @memberof Popper\n */\nfunction enableEventListeners() {\n if (!this.state.eventsEnabled) {\n this.state = setupEventListeners(this.reference, this.options, this.state, this.scheduleUpdate);\n }\n}\n\n/**\n * Remove event listeners used to update the popper position\n * @method\n * @memberof Popper.Utils\n * @private\n */\nfunction removeEventListeners(reference, state) {\n // Remove resize event listener on window\n getWindow(reference).removeEventListener('resize', state.updateBound);\n\n // Remove scroll event listener on scroll parents\n state.scrollParents.forEach(function (target) {\n target.removeEventListener('scroll', state.updateBound);\n });\n\n // Reset state\n state.updateBound = null;\n state.scrollParents = [];\n state.scrollElement = null;\n state.eventsEnabled = false;\n return state;\n}\n\n/**\n * It will remove resize/scroll events and won't recalculate popper position\n * when they are triggered. It also won't trigger `onUpdate` callback anymore,\n * unless you call `update` method manually.\n * @method\n * @memberof Popper\n */\nfunction disableEventListeners() {\n if (this.state.eventsEnabled) {\n cancelAnimationFrame(this.scheduleUpdate);\n this.state = removeEventListeners(this.reference, this.state);\n }\n}\n\n/**\n * Tells if a given input is a number\n * @method\n * @memberof Popper.Utils\n * @param {*} input to check\n * @return {Boolean}\n */\nfunction isNumeric(n) {\n return n !== '' && !isNaN(parseFloat(n)) && isFinite(n);\n}\n\n/**\n * Set the style to the given popper\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element - Element to apply the style to\n * @argument {Object} styles\n * Object with a list of properties and values which will be applied to the element\n */\nfunction setStyles(element, styles) {\n Object.keys(styles).forEach(function (prop) {\n var unit = '';\n // add unit if the value is numeric and is one of the following\n if (['width', 'height', 'top', 'right', 'bottom', 'left'].indexOf(prop) !== -1 && isNumeric(styles[prop])) {\n unit = 'px';\n }\n element.style[prop] = styles[prop] + unit;\n });\n}\n\n/**\n * Set the attributes to the given popper\n * @method\n * @memberof Popper.Utils\n * @argument {Element} element - Element to apply the attributes to\n * @argument {Object} styles\n * Object with a list of properties and values which will be applied to the element\n */\nfunction setAttributes(element, attributes) {\n Object.keys(attributes).forEach(function (prop) {\n var value = attributes[prop];\n if (value !== false) {\n element.setAttribute(prop, attributes[prop]);\n } else {\n element.removeAttribute(prop);\n }\n });\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Object} data.styles - List of style properties - values to apply to popper element\n * @argument {Object} data.attributes - List of attribute properties - values to apply to popper element\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The same data object\n */\nfunction applyStyle(data) {\n // any property present in `data.styles` will be applied to the popper,\n // in this way we can make the 3rd party modifiers add custom styles to it\n // Be aware, modifiers could override the properties defined in the previous\n // lines of this modifier!\n setStyles(data.instance.popper, data.styles);\n\n // any property present in `data.attributes` will be applied to the popper,\n // they will be set as HTML attributes of the element\n setAttributes(data.instance.popper, data.attributes);\n\n // if arrowElement is defined and arrowStyles has some properties\n if (data.arrowElement && Object.keys(data.arrowStyles).length) {\n setStyles(data.arrowElement, data.arrowStyles);\n }\n\n return data;\n}\n\n/**\n * Set the x-placement attribute before everything else because it could be used\n * to add margins to the popper margins needs to be calculated to get the\n * correct popper offsets.\n * @method\n * @memberof Popper.modifiers\n * @param {HTMLElement} reference - The reference element used to position the popper\n * @param {HTMLElement} popper - The HTML element used as popper\n * @param {Object} options - Popper.js options\n */\nfunction applyStyleOnLoad(reference, popper, options, modifierOptions, state) {\n // compute reference element offsets\n var referenceOffsets = getReferenceOffsets(state, popper, reference, options.positionFixed);\n\n // compute auto placement, store placement inside the data object,\n // modifiers will be able to edit `placement` if needed\n // and refer to originalPlacement to know the original value\n var placement = computeAutoPlacement(options.placement, referenceOffsets, popper, reference, options.modifiers.flip.boundariesElement, options.modifiers.flip.padding);\n\n popper.setAttribute('x-placement', placement);\n\n // Apply `position` to popper before anything else because\n // without the position applied we can't guarantee correct computations\n setStyles(popper, { position: options.positionFixed ? 'fixed' : 'absolute' });\n\n return options;\n}\n\n/**\n * @function\n * @memberof Popper.Utils\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Boolean} shouldRound - If the offsets should be rounded at all\n * @returns {Object} The popper's position offsets rounded\n *\n * The tale of pixel-perfect positioning. It's still not 100% perfect, but as\n * good as it can be within reason.\n * Discussion here: https://github.com/FezVrasta/popper.js/pull/715\n *\n * Low DPI screens cause a popper to be blurry if not using full pixels (Safari\n * as well on High DPI screens).\n *\n * Firefox prefers no rounding for positioning and does not have blurriness on\n * high DPI screens.\n *\n * Only horizontal placement and left/right values need to be considered.\n */\nfunction getRoundedOffsets(data, shouldRound) {\n var _data$offsets = data.offsets,\n popper = _data$offsets.popper,\n reference = _data$offsets.reference;\n var round = Math.round,\n floor = Math.floor;\n\n var noRound = function noRound(v) {\n return v;\n };\n\n var referenceWidth = round(reference.width);\n var popperWidth = round(popper.width);\n\n var isVertical = ['left', 'right'].indexOf(data.placement) !== -1;\n var isVariation = data.placement.indexOf('-') !== -1;\n var sameWidthParity = referenceWidth % 2 === popperWidth % 2;\n var bothOddWidth = referenceWidth % 2 === 1 && popperWidth % 2 === 1;\n\n var horizontalToInteger = !shouldRound ? noRound : isVertical || isVariation || sameWidthParity ? round : floor;\n var verticalToInteger = !shouldRound ? noRound : round;\n\n return {\n left: horizontalToInteger(bothOddWidth && !isVariation && shouldRound ? popper.left - 1 : popper.left),\n top: verticalToInteger(popper.top),\n bottom: verticalToInteger(popper.bottom),\n right: horizontalToInteger(popper.right)\n };\n}\n\nvar isFirefox = isBrowser && /Firefox/i.test(navigator.userAgent);\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction computeStyle(data, options) {\n var x = options.x,\n y = options.y;\n var popper = data.offsets.popper;\n\n // Remove this legacy support in Popper.js v2\n\n var legacyGpuAccelerationOption = find(data.instance.modifiers, function (modifier) {\n return modifier.name === 'applyStyle';\n }).gpuAcceleration;\n if (legacyGpuAccelerationOption !== undefined) {\n console.warn('WARNING: `gpuAcceleration` option moved to `computeStyle` modifier and will not be supported in future versions of Popper.js!');\n }\n var gpuAcceleration = legacyGpuAccelerationOption !== undefined ? legacyGpuAccelerationOption : options.gpuAcceleration;\n\n var offsetParent = getOffsetParent(data.instance.popper);\n var offsetParentRect = getBoundingClientRect(offsetParent);\n\n // Styles\n var styles = {\n position: popper.position\n };\n\n var offsets = getRoundedOffsets(data, window.devicePixelRatio < 2 || !isFirefox);\n\n var sideA = x === 'bottom' ? 'top' : 'bottom';\n var sideB = y === 'right' ? 'left' : 'right';\n\n // if gpuAcceleration is set to `true` and transform is supported,\n // we use `translate3d` to apply the position to the popper we\n // automatically use the supported prefixed version if needed\n var prefixedProperty = getSupportedPropertyName('transform');\n\n // now, let's make a step back and look at this code closely (wtf?)\n // If the content of the popper grows once it's been positioned, it\n // may happen that the popper gets misplaced because of the new content\n // overflowing its reference element\n // To avoid this problem, we provide two options (x and y), which allow\n // the consumer to define the offset origin.\n // If we position a popper on top of a reference element, we can set\n // `x` to `top` to make the popper grow towards its top instead of\n // its bottom.\n var left = void 0,\n top = void 0;\n if (sideA === 'bottom') {\n // when offsetParent is <html> the positioning is relative to the bottom of the screen (excluding the scrollbar)\n // and not the bottom of the html element\n if (offsetParent.nodeName === 'HTML') {\n top = -offsetParent.clientHeight + offsets.bottom;\n } else {\n top = -offsetParentRect.height + offsets.bottom;\n }\n } else {\n top = offsets.top;\n }\n if (sideB === 'right') {\n if (offsetParent.nodeName === 'HTML') {\n left = -offsetParent.clientWidth + offsets.right;\n } else {\n left = -offsetParentRect.width + offsets.right;\n }\n } else {\n left = offsets.left;\n }\n if (gpuAcceleration && prefixedProperty) {\n styles[prefixedProperty] = 'translate3d(' + left + 'px, ' + top + 'px, 0)';\n styles[sideA] = 0;\n styles[sideB] = 0;\n styles.willChange = 'transform';\n } else {\n // othwerise, we use the standard `top`, `left`, `bottom` and `right` properties\n var invertTop = sideA === 'bottom' ? -1 : 1;\n var invertLeft = sideB === 'right' ? -1 : 1;\n styles[sideA] = top * invertTop;\n styles[sideB] = left * invertLeft;\n styles.willChange = sideA + ', ' + sideB;\n }\n\n // Attributes\n var attributes = {\n 'x-placement': data.placement\n };\n\n // Update `data` attributes, styles and arrowStyles\n data.attributes = _extends({}, attributes, data.attributes);\n data.styles = _extends({}, styles, data.styles);\n data.arrowStyles = _extends({}, data.offsets.arrow, data.arrowStyles);\n\n return data;\n}\n\n/**\n * Helper used to know if the given modifier depends from another one.<br />\n * It checks if the needed modifier is listed and enabled.\n * @method\n * @memberof Popper.Utils\n * @param {Array} modifiers - list of modifiers\n * @param {String} requestingName - name of requesting modifier\n * @param {String} requestedName - name of requested modifier\n * @returns {Boolean}\n */\nfunction isModifierRequired(modifiers, requestingName, requestedName) {\n var requesting = find(modifiers, function (_ref) {\n var name = _ref.name;\n return name === requestingName;\n });\n\n var isRequired = !!requesting && modifiers.some(function (modifier) {\n return modifier.name === requestedName && modifier.enabled && modifier.order < requesting.order;\n });\n\n if (!isRequired) {\n var _requesting = '`' + requestingName + '`';\n var requested = '`' + requestedName + '`';\n console.warn(requested + ' modifier is required by ' + _requesting + ' modifier in order to work, be sure to include it before ' + _requesting + '!');\n }\n return isRequired;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction arrow(data, options) {\n var _data$offsets$arrow;\n\n // arrow depends on keepTogether in order to work\n if (!isModifierRequired(data.instance.modifiers, 'arrow', 'keepTogether')) {\n return data;\n }\n\n var arrowElement = options.element;\n\n // if arrowElement is a string, suppose it's a CSS selector\n if (typeof arrowElement === 'string') {\n arrowElement = data.instance.popper.querySelector(arrowElement);\n\n // if arrowElement is not found, don't run the modifier\n if (!arrowElement) {\n return data;\n }\n } else {\n // if the arrowElement isn't a query selector we must check that the\n // provided DOM node is child of its popper node\n if (!data.instance.popper.contains(arrowElement)) {\n console.warn('WARNING: `arrow.element` must be child of its popper element!');\n return data;\n }\n }\n\n var placement = data.placement.split('-')[0];\n var _data$offsets = data.offsets,\n popper = _data$offsets.popper,\n reference = _data$offsets.reference;\n\n var isVertical = ['left', 'right'].indexOf(placement) !== -1;\n\n var len = isVertical ? 'height' : 'width';\n var sideCapitalized = isVertical ? 'Top' : 'Left';\n var side = sideCapitalized.toLowerCase();\n var altSide = isVertical ? 'left' : 'top';\n var opSide = isVertical ? 'bottom' : 'right';\n var arrowElementSize = getOuterSizes(arrowElement)[len];\n\n //\n // extends keepTogether behavior making sure the popper and its\n // reference have enough pixels in conjunction\n //\n\n // top/left side\n if (reference[opSide] - arrowElementSize < popper[side]) {\n data.offsets.popper[side] -= popper[side] - (reference[opSide] - arrowElementSize);\n }\n // bottom/right side\n if (reference[side] + arrowElementSize > popper[opSide]) {\n data.offsets.popper[side] += reference[side] + arrowElementSize - popper[opSide];\n }\n data.offsets.popper = getClientRect(data.offsets.popper);\n\n // compute center of the popper\n var center = reference[side] + reference[len] / 2 - arrowElementSize / 2;\n\n // Compute the sideValue using the updated popper offsets\n // take popper margin in account because we don't have this info available\n var css = getStyleComputedProperty(data.instance.popper);\n var popperMarginSide = parseFloat(css['margin' + sideCapitalized]);\n var popperBorderSide = parseFloat(css['border' + sideCapitalized + 'Width']);\n var sideValue = center - data.offsets.popper[side] - popperMarginSide - popperBorderSide;\n\n // prevent arrowElement from being placed not contiguously to its popper\n sideValue = Math.max(Math.min(popper[len] - arrowElementSize, sideValue), 0);\n\n data.arrowElement = arrowElement;\n data.offsets.arrow = (_data$offsets$arrow = {}, defineProperty(_data$offsets$arrow, side, Math.round(sideValue)), defineProperty(_data$offsets$arrow, altSide, ''), _data$offsets$arrow);\n\n return data;\n}\n\n/**\n * Get the opposite placement variation of the given one\n * @method\n * @memberof Popper.Utils\n * @argument {String} placement variation\n * @returns {String} flipped placement variation\n */\nfunction getOppositeVariation(variation) {\n if (variation === 'end') {\n return 'start';\n } else if (variation === 'start') {\n return 'end';\n }\n return variation;\n}\n\n/**\n * List of accepted placements to use as values of the `placement` option.<br />\n * Valid placements are:\n * - `auto`\n * - `top`\n * - `right`\n * - `bottom`\n * - `left`\n *\n * Each placement can have a variation from this list:\n * - `-start`\n * - `-end`\n *\n * Variations are interpreted easily if you think of them as the left to right\n * written languages. Horizontally (`top` and `bottom`), `start` is left and `end`\n * is right.<br />\n * Vertically (`left` and `right`), `start` is top and `end` is bottom.\n *\n * Some valid examples are:\n * - `top-end` (on top of reference, right aligned)\n * - `right-start` (on right of reference, top aligned)\n * - `bottom` (on bottom, centered)\n * - `auto-end` (on the side with more space available, alignment depends by placement)\n *\n * @static\n * @type {Array}\n * @enum {String}\n * @readonly\n * @method placements\n * @memberof Popper\n */\nvar placements = ['auto-start', 'auto', 'auto-end', 'top-start', 'top', 'top-end', 'right-start', 'right', 'right-end', 'bottom-end', 'bottom', 'bottom-start', 'left-end', 'left', 'left-start'];\n\n// Get rid of `auto` `auto-start` and `auto-end`\nvar validPlacements = placements.slice(3);\n\n/**\n * Given an initial placement, returns all the subsequent placements\n * clockwise (or counter-clockwise).\n *\n * @method\n * @memberof Popper.Utils\n * @argument {String} placement - A valid placement (it accepts variations)\n * @argument {Boolean} counter - Set to true to walk the placements counterclockwise\n * @returns {Array} placements including their variations\n */\nfunction clockwise(placement) {\n var counter = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : false;\n\n var index = validPlacements.indexOf(placement);\n var arr = validPlacements.slice(index + 1).concat(validPlacements.slice(0, index));\n return counter ? arr.reverse() : arr;\n}\n\nvar BEHAVIORS = {\n FLIP: 'flip',\n CLOCKWISE: 'clockwise',\n COUNTERCLOCKWISE: 'counterclockwise'\n};\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction flip(data, options) {\n // if `inner` modifier is enabled, we can't use the `flip` modifier\n if (isModifierEnabled(data.instance.modifiers, 'inner')) {\n return data;\n }\n\n if (data.flipped && data.placement === data.originalPlacement) {\n // seems like flip is trying to loop, probably there's not enough space on any of the flippable sides\n return data;\n }\n\n var boundaries = getBoundaries(data.instance.popper, data.instance.reference, options.padding, options.boundariesElement, data.positionFixed);\n\n var placement = data.placement.split('-')[0];\n var placementOpposite = getOppositePlacement(placement);\n var variation = data.placement.split('-')[1] || '';\n\n var flipOrder = [];\n\n switch (options.behavior) {\n case BEHAVIORS.FLIP:\n flipOrder = [placement, placementOpposite];\n break;\n case BEHAVIORS.CLOCKWISE:\n flipOrder = clockwise(placement);\n break;\n case BEHAVIORS.COUNTERCLOCKWISE:\n flipOrder = clockwise(placement, true);\n break;\n default:\n flipOrder = options.behavior;\n }\n\n flipOrder.forEach(function (step, index) {\n if (placement !== step || flipOrder.length === index + 1) {\n return data;\n }\n\n placement = data.placement.split('-')[0];\n placementOpposite = getOppositePlacement(placement);\n\n var popperOffsets = data.offsets.popper;\n var refOffsets = data.offsets.reference;\n\n // using floor because the reference offsets may contain decimals we are not going to consider here\n var floor = Math.floor;\n var overlapsRef = placement === 'left' && floor(popperOffsets.right) > floor(refOffsets.left) || placement === 'right' && floor(popperOffsets.left) < floor(refOffsets.right) || placement === 'top' && floor(popperOffsets.bottom) > floor(refOffsets.top) || placement === 'bottom' && floor(popperOffsets.top) < floor(refOffsets.bottom);\n\n var overflowsLeft = floor(popperOffsets.left) < floor(boundaries.left);\n var overflowsRight = floor(popperOffsets.right) > floor(boundaries.right);\n var overflowsTop = floor(popperOffsets.top) < floor(boundaries.top);\n var overflowsBottom = floor(popperOffsets.bottom) > floor(boundaries.bottom);\n\n var overflowsBoundaries = placement === 'left' && overflowsLeft || placement === 'right' && overflowsRight || placement === 'top' && overflowsTop || placement === 'bottom' && overflowsBottom;\n\n // flip the variation if required\n var isVertical = ['top', 'bottom'].indexOf(placement) !== -1;\n\n // flips variation if reference element overflows boundaries\n var flippedVariationByRef = !!options.flipVariations && (isVertical && variation === 'start' && overflowsLeft || isVertical && variation === 'end' && overflowsRight || !isVertical && variation === 'start' && overflowsTop || !isVertical && variation === 'end' && overflowsBottom);\n\n // flips variation if popper content overflows boundaries\n var flippedVariationByContent = !!options.flipVariationsByContent && (isVertical && variation === 'start' && overflowsRight || isVertical && variation === 'end' && overflowsLeft || !isVertical && variation === 'start' && overflowsBottom || !isVertical && variation === 'end' && overflowsTop);\n\n var flippedVariation = flippedVariationByRef || flippedVariationByContent;\n\n if (overlapsRef || overflowsBoundaries || flippedVariation) {\n // this boolean to detect any flip loop\n data.flipped = true;\n\n if (overlapsRef || overflowsBoundaries) {\n placement = flipOrder[index + 1];\n }\n\n if (flippedVariation) {\n variation = getOppositeVariation(variation);\n }\n\n data.placement = placement + (variation ? '-' + variation : '');\n\n // this object contains `position`, we want to preserve it along with\n // any additional property we may add in the future\n data.offsets.popper = _extends({}, data.offsets.popper, getPopperOffsets(data.instance.popper, data.offsets.reference, data.placement));\n\n data = runModifiers(data.instance.modifiers, data, 'flip');\n }\n });\n return data;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction keepTogether(data) {\n var _data$offsets = data.offsets,\n popper = _data$offsets.popper,\n reference = _data$offsets.reference;\n\n var placement = data.placement.split('-')[0];\n var floor = Math.floor;\n var isVertical = ['top', 'bottom'].indexOf(placement) !== -1;\n var side = isVertical ? 'right' : 'bottom';\n var opSide = isVertical ? 'left' : 'top';\n var measurement = isVertical ? 'width' : 'height';\n\n if (popper[side] < floor(reference[opSide])) {\n data.offsets.popper[opSide] = floor(reference[opSide]) - popper[measurement];\n }\n if (popper[opSide] > floor(reference[side])) {\n data.offsets.popper[opSide] = floor(reference[side]);\n }\n\n return data;\n}\n\n/**\n * Converts a string containing value + unit into a px value number\n * @function\n * @memberof {modifiers~offset}\n * @private\n * @argument {String} str - Value + unit string\n * @argument {String} measurement - `height` or `width`\n * @argument {Object} popperOffsets\n * @argument {Object} referenceOffsets\n * @returns {Number|String}\n * Value in pixels, or original string if no values were extracted\n */\nfunction toValue(str, measurement, popperOffsets, referenceOffsets) {\n // separate value from unit\n var split = str.match(/((?:\\-|\\+)?\\d*\\.?\\d*)(.*)/);\n var value = +split[1];\n var unit = split[2];\n\n // If it's not a number it's an operator, I guess\n if (!value) {\n return str;\n }\n\n if (unit.indexOf('%') === 0) {\n var element = void 0;\n switch (unit) {\n case '%p':\n element = popperOffsets;\n break;\n case '%':\n case '%r':\n default:\n element = referenceOffsets;\n }\n\n var rect = getClientRect(element);\n return rect[measurement] / 100 * value;\n } else if (unit === 'vh' || unit === 'vw') {\n // if is a vh or vw, we calculate the size based on the viewport\n var size = void 0;\n if (unit === 'vh') {\n size = Math.max(document.documentElement.clientHeight, window.innerHeight || 0);\n } else {\n size = Math.max(document.documentElement.clientWidth, window.innerWidth || 0);\n }\n return size / 100 * value;\n } else {\n // if is an explicit pixel unit, we get rid of the unit and keep the value\n // if is an implicit unit, it's px, and we return just the value\n return value;\n }\n}\n\n/**\n * Parse an `offset` string to extrapolate `x` and `y` numeric offsets.\n * @function\n * @memberof {modifiers~offset}\n * @private\n * @argument {String} offset\n * @argument {Object} popperOffsets\n * @argument {Object} referenceOffsets\n * @argument {String} basePlacement\n * @returns {Array} a two cells array with x and y offsets in numbers\n */\nfunction parseOffset(offset, popperOffsets, referenceOffsets, basePlacement) {\n var offsets = [0, 0];\n\n // Use height if placement is left or right and index is 0 otherwise use width\n // in this way the first offset will use an axis and the second one\n // will use the other one\n var useHeight = ['right', 'left'].indexOf(basePlacement) !== -1;\n\n // Split the offset string to obtain a list of values and operands\n // The regex addresses values with the plus or minus sign in front (+10, -20, etc)\n var fragments = offset.split(/(\\+|\\-)/).map(function (frag) {\n return frag.trim();\n });\n\n // Detect if the offset string contains a pair of values or a single one\n // they could be separated by comma or space\n var divider = fragments.indexOf(find(fragments, function (frag) {\n return frag.search(/,|\\s/) !== -1;\n }));\n\n if (fragments[divider] && fragments[divider].indexOf(',') === -1) {\n console.warn('Offsets separated by white space(s) are deprecated, use a comma (,) instead.');\n }\n\n // If divider is found, we divide the list of values and operands to divide\n // them by ofset X and Y.\n var splitRegex = /\\s*,\\s*|\\s+/;\n var ops = divider !== -1 ? [fragments.slice(0, divider).concat([fragments[divider].split(splitRegex)[0]]), [fragments[divider].split(splitRegex)[1]].concat(fragments.slice(divider + 1))] : [fragments];\n\n // Convert the values with units to absolute pixels to allow our computations\n ops = ops.map(function (op, index) {\n // Most of the units rely on the orientation of the popper\n var measurement = (index === 1 ? !useHeight : useHeight) ? 'height' : 'width';\n var mergeWithPrevious = false;\n return op\n // This aggregates any `+` or `-` sign that aren't considered operators\n // e.g.: 10 + +5 => [10, +, +5]\n .reduce(function (a, b) {\n if (a[a.length - 1] === '' && ['+', '-'].indexOf(b) !== -1) {\n a[a.length - 1] = b;\n mergeWithPrevious = true;\n return a;\n } else if (mergeWithPrevious) {\n a[a.length - 1] += b;\n mergeWithPrevious = false;\n return a;\n } else {\n return a.concat(b);\n }\n }, [])\n // Here we convert the string values into number values (in px)\n .map(function (str) {\n return toValue(str, measurement, popperOffsets, referenceOffsets);\n });\n });\n\n // Loop trough the offsets arrays and execute the operations\n ops.forEach(function (op, index) {\n op.forEach(function (frag, index2) {\n if (isNumeric(frag)) {\n offsets[index] += frag * (op[index2 - 1] === '-' ? -1 : 1);\n }\n });\n });\n return offsets;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @argument {Number|String} options.offset=0\n * The offset value as described in the modifier description\n * @returns {Object} The data object, properly modified\n */\nfunction offset(data, _ref) {\n var offset = _ref.offset;\n var placement = data.placement,\n _data$offsets = data.offsets,\n popper = _data$offsets.popper,\n reference = _data$offsets.reference;\n\n var basePlacement = placement.split('-')[0];\n\n var offsets = void 0;\n if (isNumeric(+offset)) {\n offsets = [+offset, 0];\n } else {\n offsets = parseOffset(offset, popper, reference, basePlacement);\n }\n\n if (basePlacement === 'left') {\n popper.top += offsets[0];\n popper.left -= offsets[1];\n } else if (basePlacement === 'right') {\n popper.top += offsets[0];\n popper.left += offsets[1];\n } else if (basePlacement === 'top') {\n popper.left += offsets[0];\n popper.top -= offsets[1];\n } else if (basePlacement === 'bottom') {\n popper.left += offsets[0];\n popper.top += offsets[1];\n }\n\n data.popper = popper;\n return data;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction preventOverflow(data, options) {\n var boundariesElement = options.boundariesElement || getOffsetParent(data.instance.popper);\n\n // If offsetParent is the reference element, we really want to\n // go one step up and use the next offsetParent as reference to\n // avoid to make this modifier completely useless and look like broken\n if (data.instance.reference === boundariesElement) {\n boundariesElement = getOffsetParent(boundariesElement);\n }\n\n // NOTE: DOM access here\n // resets the popper's position so that the document size can be calculated excluding\n // the size of the popper element itself\n var transformProp = getSupportedPropertyName('transform');\n var popperStyles = data.instance.popper.style; // assignment to help minification\n var top = popperStyles.top,\n left = popperStyles.left,\n transform = popperStyles[transformProp];\n\n popperStyles.top = '';\n popperStyles.left = '';\n popperStyles[transformProp] = '';\n\n var boundaries = getBoundaries(data.instance.popper, data.instance.reference, options.padding, boundariesElement, data.positionFixed);\n\n // NOTE: DOM access here\n // restores the original style properties after the offsets have been computed\n popperStyles.top = top;\n popperStyles.left = left;\n popperStyles[transformProp] = transform;\n\n options.boundaries = boundaries;\n\n var order = options.priority;\n var popper = data.offsets.popper;\n\n var check = {\n primary: function primary(placement) {\n var value = popper[placement];\n if (popper[placement] < boundaries[placement] && !options.escapeWithReference) {\n value = Math.max(popper[placement], boundaries[placement]);\n }\n return defineProperty({}, placement, value);\n },\n secondary: function secondary(placement) {\n var mainSide = placement === 'right' ? 'left' : 'top';\n var value = popper[mainSide];\n if (popper[placement] > boundaries[placement] && !options.escapeWithReference) {\n value = Math.min(popper[mainSide], boundaries[placement] - (placement === 'right' ? popper.width : popper.height));\n }\n return defineProperty({}, mainSide, value);\n }\n };\n\n order.forEach(function (placement) {\n var side = ['left', 'top'].indexOf(placement) !== -1 ? 'primary' : 'secondary';\n popper = _extends({}, popper, check[side](placement));\n });\n\n data.offsets.popper = popper;\n\n return data;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction shift(data) {\n var placement = data.placement;\n var basePlacement = placement.split('-')[0];\n var shiftvariation = placement.split('-')[1];\n\n // if shift shiftvariation is specified, run the modifier\n if (shiftvariation) {\n var _data$offsets = data.offsets,\n reference = _data$offsets.reference,\n popper = _data$offsets.popper;\n\n var isVertical = ['bottom', 'top'].indexOf(basePlacement) !== -1;\n var side = isVertical ? 'left' : 'top';\n var measurement = isVertical ? 'width' : 'height';\n\n var shiftOffsets = {\n start: defineProperty({}, side, reference[side]),\n end: defineProperty({}, side, reference[side] + reference[measurement] - popper[measurement])\n };\n\n data.offsets.popper = _extends({}, popper, shiftOffsets[shiftvariation]);\n }\n\n return data;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by update method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction hide(data) {\n if (!isModifierRequired(data.instance.modifiers, 'hide', 'preventOverflow')) {\n return data;\n }\n\n var refRect = data.offsets.reference;\n var bound = find(data.instance.modifiers, function (modifier) {\n return modifier.name === 'preventOverflow';\n }).boundaries;\n\n if (refRect.bottom < bound.top || refRect.left > bound.right || refRect.top > bound.bottom || refRect.right < bound.left) {\n // Avoid unnecessary DOM access if visibility hasn't changed\n if (data.hide === true) {\n return data;\n }\n\n data.hide = true;\n data.attributes['x-out-of-boundaries'] = '';\n } else {\n // Avoid unnecessary DOM access if visibility hasn't changed\n if (data.hide === false) {\n return data;\n }\n\n data.hide = false;\n data.attributes['x-out-of-boundaries'] = false;\n }\n\n return data;\n}\n\n/**\n * @function\n * @memberof Modifiers\n * @argument {Object} data - The data object generated by `update` method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {Object} The data object, properly modified\n */\nfunction inner(data) {\n var placement = data.placement;\n var basePlacement = placement.split('-')[0];\n var _data$offsets = data.offsets,\n popper = _data$offsets.popper,\n reference = _data$offsets.reference;\n\n var isHoriz = ['left', 'right'].indexOf(basePlacement) !== -1;\n\n var subtractLength = ['top', 'left'].indexOf(basePlacement) === -1;\n\n popper[isHoriz ? 'left' : 'top'] = reference[basePlacement] - (subtractLength ? popper[isHoriz ? 'width' : 'height'] : 0);\n\n data.placement = getOppositePlacement(placement);\n data.offsets.popper = getClientRect(popper);\n\n return data;\n}\n\n/**\n * Modifier function, each modifier can have a function of this type assigned\n * to its `fn` property.<br />\n * These functions will be called on each update, this means that you must\n * make sure they are performant enough to avoid performance bottlenecks.\n *\n * @function ModifierFn\n * @argument {dataObject} data - The data object generated by `update` method\n * @argument {Object} options - Modifiers configuration and options\n * @returns {dataObject} The data object, properly modified\n */\n\n/**\n * Modifiers are plugins used to alter the behavior of your poppers.<br />\n * Popper.js uses a set of 9 modifiers to provide all the basic functionalities\n * needed by the library.\n *\n * Usually you don't want to override the `order`, `fn` and `onLoad` props.\n * All the other properties are configurations that could be tweaked.\n * @namespace modifiers\n */\nvar modifiers = {\n /**\n * Modifier used to shift the popper on the start or end of its reference\n * element.<br />\n * It will read the variation of the `placement` property.<br />\n * It can be one either `-end` or `-start`.\n * @memberof modifiers\n * @inner\n */\n shift: {\n /** @prop {number} order=100 - Index used to define the order of execution */\n order: 100,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: shift\n },\n\n /**\n * The `offset` modifier can shift your popper on both its axis.\n *\n * It accepts the following units:\n * - `px` or unit-less, interpreted as pixels\n * - `%` or `%r`, percentage relative to the length of the reference element\n * - `%p`, percentage relative to the length of the popper element\n * - `vw`, CSS viewport width unit\n * - `vh`, CSS viewport height unit\n *\n * For length is intended the main axis relative to the placement of the popper.<br />\n * This means that if the placement is `top` or `bottom`, the length will be the\n * `width`. In case of `left` or `right`, it will be the `height`.\n *\n * You can provide a single value (as `Number` or `String`), or a pair of values\n * as `String` divided by a comma or one (or more) white spaces.<br />\n * The latter is a deprecated method because it leads to confusion and will be\n * removed in v2.<br />\n * Additionally, it accepts additions and subtractions between different units.\n * Note that multiplications and divisions aren't supported.\n *\n * Valid examples are:\n * ```\n * 10\n * '10%'\n * '10, 10'\n * '10%, 10'\n * '10 + 10%'\n * '10 - 5vh + 3%'\n * '-10px + 5vh, 5px - 6%'\n * ```\n * > **NB**: If you desire to apply offsets to your poppers in a way that may make them overlap\n * > with their reference element, unfortunately, you will have to disable the `flip` modifier.\n * > You can read more on this at this [issue](https://github.com/FezVrasta/popper.js/issues/373).\n *\n * @memberof modifiers\n * @inner\n */\n offset: {\n /** @prop {number} order=200 - Index used to define the order of execution */\n order: 200,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: offset,\n /** @prop {Number|String} offset=0\n * The offset value as described in the modifier description\n */\n offset: 0\n },\n\n /**\n * Modifier used to prevent the popper from being positioned outside the boundary.\n *\n * A scenario exists where the reference itself is not within the boundaries.<br />\n * We can say it has \"escaped the boundaries\" — or just \"escaped\".<br />\n * In this case we need to decide whether the popper should either:\n *\n * - detach from the reference and remain \"trapped\" in the boundaries, or\n * - if it should ignore the boundary and \"escape with its reference\"\n *\n * When `escapeWithReference` is set to`true` and reference is completely\n * outside its boundaries, the popper will overflow (or completely leave)\n * the boundaries in order to remain attached to the edge of the reference.\n *\n * @memberof modifiers\n * @inner\n */\n preventOverflow: {\n /** @prop {number} order=300 - Index used to define the order of execution */\n order: 300,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: preventOverflow,\n /**\n * @prop {Array} [priority=['left','right','top','bottom']]\n * Popper will try to prevent overflow following these priorities by default,\n * then, it could overflow on the left and on top of the `boundariesElement`\n */\n priority: ['left', 'right', 'top', 'bottom'],\n /**\n * @prop {number} padding=5\n * Amount of pixel used to define a minimum distance between the boundaries\n * and the popper. This makes sure the popper always has a little padding\n * between the edges of its container\n */\n padding: 5,\n /**\n * @prop {String|HTMLElement} boundariesElement='scrollParent'\n * Boundaries used by the modifier. Can be `scrollParent`, `window`,\n * `viewport` or any DOM element.\n */\n boundariesElement: 'scrollParent'\n },\n\n /**\n * Modifier used to make sure the reference and its popper stay near each other\n * without leaving any gap between the two. Especially useful when the arrow is\n * enabled and you want to ensure that it points to its reference element.\n * It cares only about the first axis. You can still have poppers with margin\n * between the popper and its reference element.\n * @memberof modifiers\n * @inner\n */\n keepTogether: {\n /** @prop {number} order=400 - Index used to define the order of execution */\n order: 400,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: keepTogether\n },\n\n /**\n * This modifier is used to move the `arrowElement` of the popper to make\n * sure it is positioned between the reference element and its popper element.\n * It will read the outer size of the `arrowElement` node to detect how many\n * pixels of conjunction are needed.\n *\n * It has no effect if no `arrowElement` is provided.\n * @memberof modifiers\n * @inner\n */\n arrow: {\n /** @prop {number} order=500 - Index used to define the order of execution */\n order: 500,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: arrow,\n /** @prop {String|HTMLElement} element='[x-arrow]' - Selector or node used as arrow */\n element: '[x-arrow]'\n },\n\n /**\n * Modifier used to flip the popper's placement when it starts to overlap its\n * reference element.\n *\n * Requires the `preventOverflow` modifier before it in order to work.\n *\n * **NOTE:** this modifier will interrupt the current update cycle and will\n * restart it if it detects the need to flip the placement.\n * @memberof modifiers\n * @inner\n */\n flip: {\n /** @prop {number} order=600 - Index used to define the order of execution */\n order: 600,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: flip,\n /**\n * @prop {String|Array} behavior='flip'\n * The behavior used to change the popper's placement. It can be one of\n * `flip`, `clockwise`, `counterclockwise` or an array with a list of valid\n * placements (with optional variations)\n */\n behavior: 'flip',\n /**\n * @prop {number} padding=5\n * The popper will flip if it hits the edges of the `boundariesElement`\n */\n padding: 5,\n /**\n * @prop {String|HTMLElement} boundariesElement='viewport'\n * The element which will define the boundaries of the popper position.\n * The popper will never be placed outside of the defined boundaries\n * (except if `keepTogether` is enabled)\n */\n boundariesElement: 'viewport',\n /**\n * @prop {Boolean} flipVariations=false\n * The popper will switch placement variation between `-start` and `-end` when\n * the reference element overlaps its boundaries.\n *\n * The original placement should have a set variation.\n */\n flipVariations: false,\n /**\n * @prop {Boolean} flipVariationsByContent=false\n * The popper will switch placement variation between `-start` and `-end` when\n * the popper element overlaps its reference boundaries.\n *\n * The original placement should have a set variation.\n */\n flipVariationsByContent: false\n },\n\n /**\n * Modifier used to make the popper flow toward the inner of the reference element.\n * By default, when this modifier is disabled, the popper will be placed outside\n * the reference element.\n * @memberof modifiers\n * @inner\n */\n inner: {\n /** @prop {number} order=700 - Index used to define the order of execution */\n order: 700,\n /** @prop {Boolean} enabled=false - Whether the modifier is enabled or not */\n enabled: false,\n /** @prop {ModifierFn} */\n fn: inner\n },\n\n /**\n * Modifier used to hide the popper when its reference element is outside of the\n * popper boundaries. It will set a `x-out-of-boundaries` attribute which can\n * be used to hide with a CSS selector the popper when its reference is\n * out of boundaries.\n *\n * Requires the `preventOverflow` modifier before it in order to work.\n * @memberof modifiers\n * @inner\n */\n hide: {\n /** @prop {number} order=800 - Index used to define the order of execution */\n order: 800,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: hide\n },\n\n /**\n * Computes the style that will be applied to the popper element to gets\n * properly positioned.\n *\n * Note that this modifier will not touch the DOM, it just prepares the styles\n * so that `applyStyle` modifier can apply it. This separation is useful\n * in case you need to replace `applyStyle` with a custom implementation.\n *\n * This modifier has `850` as `order` value to maintain backward compatibility\n * with previous versions of Popper.js. Expect the modifiers ordering method\n * to change in future major versions of the library.\n *\n * @memberof modifiers\n * @inner\n */\n computeStyle: {\n /** @prop {number} order=850 - Index used to define the order of execution */\n order: 850,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: computeStyle,\n /**\n * @prop {Boolean} gpuAcceleration=true\n * If true, it uses the CSS 3D transformation to position the popper.\n * Otherwise, it will use the `top` and `left` properties\n */\n gpuAcceleration: true,\n /**\n * @prop {string} [x='bottom']\n * Where to anchor the X axis (`bottom` or `top`). AKA X offset origin.\n * Change this if your popper should grow in a direction different from `bottom`\n */\n x: 'bottom',\n /**\n * @prop {string} [x='left']\n * Where to anchor the Y axis (`left` or `right`). AKA Y offset origin.\n * Change this if your popper should grow in a direction different from `right`\n */\n y: 'right'\n },\n\n /**\n * Applies the computed styles to the popper element.\n *\n * All the DOM manipulations are limited to this modifier. This is useful in case\n * you want to integrate Popper.js inside a framework or view library and you\n * want to delegate all the DOM manipulations to it.\n *\n * Note that if you disable this modifier, you must make sure the popper element\n * has its position set to `absolute` before Popper.js can do its work!\n *\n * Just disable this modifier and define your own to achieve the desired effect.\n *\n * @memberof modifiers\n * @inner\n */\n applyStyle: {\n /** @prop {number} order=900 - Index used to define the order of execution */\n order: 900,\n /** @prop {Boolean} enabled=true - Whether the modifier is enabled or not */\n enabled: true,\n /** @prop {ModifierFn} */\n fn: applyStyle,\n /** @prop {Function} */\n onLoad: applyStyleOnLoad,\n /**\n * @deprecated since version 1.10.0, the property moved to `computeStyle` modifier\n * @prop {Boolean} gpuAcceleration=true\n * If true, it uses the CSS 3D transformation to position the popper.\n * Otherwise, it will use the `top` and `left` properties\n */\n gpuAcceleration: undefined\n }\n};\n\n/**\n * The `dataObject` is an object containing all the information used by Popper.js.\n * This object is passed to modifiers and to the `onCreate` and `onUpdate` callbacks.\n * @name dataObject\n * @property {Object} data.instance The Popper.js instance\n * @property {String} data.placement Placement applied to popper\n * @property {String} data.originalPlacement Placement originally defined on init\n * @property {Boolean} data.flipped True if popper has been flipped by flip modifier\n * @property {Boolean} data.hide True if the reference element is out of boundaries, useful to know when to hide the popper\n * @property {HTMLElement} data.arrowElement Node used as arrow by arrow modifier\n * @property {Object} data.styles Any CSS property defined here will be applied to the popper. It expects the JavaScript nomenclature (eg. `marginBottom`)\n * @property {Object} data.arrowStyles Any CSS property defined here will be applied to the popper arrow. It expects the JavaScript nomenclature (eg. `marginBottom`)\n * @property {Object} data.boundaries Offsets of the popper boundaries\n * @property {Object} data.offsets The measurements of popper, reference and arrow elements\n * @property {Object} data.offsets.popper `top`, `left`, `width`, `height` values\n * @property {Object} data.offsets.reference `top`, `left`, `width`, `height` values\n * @property {Object} data.offsets.arrow] `top` and `left` offsets, only one of them will be different from 0\n */\n\n/**\n * Default options provided to Popper.js constructor.<br />\n * These can be overridden using the `options` argument of Popper.js.<br />\n * To override an option, simply pass an object with the same\n * structure of the `options` object, as the 3rd argument. For example:\n * ```\n * new Popper(ref, pop, {\n * modifiers: {\n * preventOverflow: { enabled: false }\n * }\n * })\n * ```\n * @type {Object}\n * @static\n * @memberof Popper\n */\nvar Defaults = {\n /**\n * Popper's placement.\n * @prop {Popper.placements} placement='bottom'\n */\n placement: 'bottom',\n\n /**\n * Set this to true if you want popper to position it self in 'fixed' mode\n * @prop {Boolean} positionFixed=false\n */\n positionFixed: false,\n\n /**\n * Whether events (resize, scroll) are initially enabled.\n * @prop {Boolean} eventsEnabled=true\n */\n eventsEnabled: true,\n\n /**\n * Set to true if you want to automatically remove the popper when\n * you call the `destroy` method.\n * @prop {Boolean} removeOnDestroy=false\n */\n removeOnDestroy: false,\n\n /**\n * Callback called when the popper is created.<br />\n * By default, it is set to no-op.<br />\n * Access Popper.js instance with `data.instance`.\n * @prop {onCreate}\n */\n onCreate: function onCreate() {},\n\n /**\n * Callback called when the popper is updated. This callback is not called\n * on the initialization/creation of the popper, but only on subsequent\n * updates.<br />\n * By default, it is set to no-op.<br />\n * Access Popper.js instance with `data.instance`.\n * @prop {onUpdate}\n */\n onUpdate: function onUpdate() {},\n\n /**\n * List of modifiers used to modify the offsets before they are applied to the popper.\n * They provide most of the functionalities of Popper.js.\n * @prop {modifiers}\n */\n modifiers: modifiers\n};\n\n/**\n * @callback onCreate\n * @param {dataObject} data\n */\n\n/**\n * @callback onUpdate\n * @param {dataObject} data\n */\n\n// Utils\n// Methods\nvar Popper = function () {\n /**\n * Creates a new Popper.js instance.\n * @class Popper\n * @param {Element|referenceObject} reference - The reference element used to position the popper\n * @param {Element} popper - The HTML / XML element used as the popper\n * @param {Object} options - Your custom options to override the ones defined in [Defaults](#defaults)\n * @return {Object} instance - The generated Popper.js instance\n */\n function Popper(reference, popper) {\n var _this = this;\n\n var options = arguments.length > 2 && arguments[2] !== undefined ? arguments[2] : {};\n classCallCheck(this, Popper);\n\n this.scheduleUpdate = function () {\n return requestAnimationFrame(_this.update);\n };\n\n // make update() debounced, so that it only runs at most once-per-tick\n this.update = debounce(this.update.bind(this));\n\n // with {} we create a new object with the options inside it\n this.options = _extends({}, Popper.Defaults, options);\n\n // init state\n this.state = {\n isDestroyed: false,\n isCreated: false,\n scrollParents: []\n };\n\n // get reference and popper elements (allow jQuery wrappers)\n this.reference = reference && reference.jquery ? reference[0] : reference;\n this.popper = popper && popper.jquery ? popper[0] : popper;\n\n // Deep merge modifiers options\n this.options.modifiers = {};\n Object.keys(_extends({}, Popper.Defaults.modifiers, options.modifiers)).forEach(function (name) {\n _this.options.modifiers[name] = _extends({}, Popper.Defaults.modifiers[name] || {}, options.modifiers ? options.modifiers[name] : {});\n });\n\n // Refactoring modifiers' list (Object => Array)\n this.modifiers = Object.keys(this.options.modifiers).map(function (name) {\n return _extends({\n name: name\n }, _this.options.modifiers[name]);\n })\n // sort the modifiers by order\n .sort(function (a, b) {\n return a.order - b.order;\n });\n\n // modifiers have the ability to execute arbitrary code when Popper.js get inited\n // such code is executed in the same order of its modifier\n // they could add new properties to their options configuration\n // BE AWARE: don't add options to `options.modifiers.name` but to `modifierOptions`!\n this.modifiers.forEach(function (modifierOptions) {\n if (modifierOptions.enabled && isFunction(modifierOptions.onLoad)) {\n modifierOptions.onLoad(_this.reference, _this.popper, _this.options, modifierOptions, _this.state);\n }\n });\n\n // fire the first update to position the popper in the right place\n this.update();\n\n var eventsEnabled = this.options.eventsEnabled;\n if (eventsEnabled) {\n // setup event listeners, they will take care of update the position in specific situations\n this.enableEventListeners();\n }\n\n this.state.eventsEnabled = eventsEnabled;\n }\n\n // We can't use class properties because they don't get listed in the\n // class prototype and break stuff like Sinon stubs\n\n\n createClass(Popper, [{\n key: 'update',\n value: function update$$1() {\n return update.call(this);\n }\n }, {\n key: 'destroy',\n value: function destroy$$1() {\n return destroy.call(this);\n }\n }, {\n key: 'enableEventListeners',\n value: function enableEventListeners$$1() {\n return enableEventListeners.call(this);\n }\n }, {\n key: 'disableEventListeners',\n value: function disableEventListeners$$1() {\n return disableEventListeners.call(this);\n }\n\n /**\n * Schedules an update. It will run on the next UI update available.\n * @method scheduleUpdate\n * @memberof Popper\n */\n\n\n /**\n * Collection of utilities useful when writing custom modifiers.\n * Starting from version 1.7, this method is available only if you\n * include `popper-utils.js` before `popper.js`.\n *\n * **DEPRECATION**: This way to access PopperUtils is deprecated\n * and will be removed in v2! Use the PopperUtils module directly instead.\n * Due to the high instability of the methods contained in Utils, we can't\n * guarantee them to follow semver. Use them at your own risk!\n * @static\n * @private\n * @type {Object}\n * @deprecated since version 1.8\n * @member Utils\n * @memberof Popper\n */\n\n }]);\n return Popper;\n}();\n\n/**\n * The `referenceObject` is an object that provides an interface compatible with Popper.js\n * and lets you use it as replacement of a real DOM node.<br />\n * You can use this method to position a popper relatively to a set of coordinates\n * in case you don't have a DOM node to use as reference.\n *\n * ```\n * new Popper(referenceObject, popperNode);\n * ```\n *\n * NB: This feature isn't supported in Internet Explorer 10.\n * @name referenceObject\n * @property {Function} data.getBoundingClientRect\n * A function that returns a set of coordinates compatible with the native `getBoundingClientRect` method.\n * @property {number} data.clientWidth\n * An ES6 getter that will return the width of the virtual reference element.\n * @property {number} data.clientHeight\n * An ES6 getter that will return the height of the virtual reference element.\n */\n\n\nPopper.Utils = (typeof window !== 'undefined' ? window : global).PopperUtils;\nPopper.placements = placements;\nPopper.Defaults = Defaults;\n\nexport default Popper;\n//# sourceMappingURL=popper.js.map\n"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/vendors~files-modal.js b/js/vendors~files-modal.js
index 447cdc324..17663f41b 100644
--- a/js/vendors~files-modal.js
+++ b/js/vendors~files-modal.js
@@ -1,8 +1,7 @@
-(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[199],{194:function(e,t,s){var n=s(7),o=s(8).f,i=Function.prototype,a=i.toString,r=/^\s*function ([^ (]*)/;n&&!("name"in i)&&o(i,"name",{configurable:!0,get:function(){try{return a.call(this).match(r)[1]}catch(e){return""}}})},208:function(e,t,s){"use strict";s(51),s(53),s(99),s(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getGettextBuilder=function(){return new c};var n,o=(n=s(197))&&n.__esModule?n:{default:n},i=s(189);function a(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function r(e,t){for(var s=0;s<t.length;s++){var n=t[s];n.enumerable=n.enumerable||!1,n.configurable=!0,"value"in n&&(n.writable=!0),Object.defineProperty(e,n.key,n)}}function A(e,t,s){return t&&r(e.prototype,t),s&&r(e,s),e}var c=function(){function e(){a(this,e),this.translations={},this.debug=!1}return A(e,[{key:"setLanguage",value:function(e){return this.locale=e,this}},{key:"detectLocale",value:function(){return this.setLanguage((0,i.getLanguage)())}},{key:"addTranslation",value:function(e,t){return this.translations[e]=t,this}},{key:"enableDebugMode",value:function(){return this.debug=!0,this}},{key:"build",value:function(){return new l(this.locale||"en",this.translations,this.debug)}}]),e}(),l=function(){function e(t,s,n){for(var i in a(this,e),this.gt=new o.default({debug:n,sourceLocale:"en"}),s)this.gt.addTranslations(i,"messages",s[i]);this.gt.setLocale(t)}return A(e,[{key:"subtitudePlaceholders",value:function(e,t){return e.replace(/{([^{}]*)}/g,(function(e,s){var n=t[s];return"string"==typeof n||"number"==typeof n?n.toString():e}))}},{key:"gettext",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};return this.subtitudePlaceholders(this.gt.gettext(e),t)}},{key:"ngettext",value:function(e,t,s){var n=arguments.length>3&&void 0!==arguments[3]?arguments[3]:{};return this.subtitudePlaceholders(this.gt.ngettext(e,t,s).replace(/%n/g,s.toString()),n)}}]),e}()},209:function(e,t,s){"use strict";var n=s(10),o=s(195).trim;n({target:"String",proto:!0,forced:s(211)("trim")},{trim:function(){return o(this)}})},211:function(e,t,s){var n=s(0),o=s(210);e.exports=function(e){return n((function(){return!!o[e]()||"​…᠎"!="​…᠎"[e]()||o[e].name!==e}))}},212:function(e,t,s){"use strict";var n=s(7),o=s(2),i=s(55),a=s(12),r=s(4),A=s(19),c=s(111),l=s(34),m=s(0),u=s(32),g=s(107).f,d=s(54).f,p=s(8).f,f=s(195).trim,h=o.Number,v=h.prototype,M="Number"==A(u(v)),T=function(e){var t,s,n,o,i,a,r,A,c=l(e,!1);if("string"==typeof c&&c.length>2)if(43===(t=(c=f(c)).charCodeAt(0))||45===t){if(88===(s=c.charCodeAt(2))||120===s)return NaN}else if(48===t){switch(c.charCodeAt(1)){case 66:case 98:n=2,o=49;break;case 79:case 111:n=8,o=55;break;default:return+c}for(a=(i=c.slice(2)).length,r=0;r<a;r++)if((A=i.charCodeAt(r))<48||A>o)return NaN;return parseInt(i,n)}return+c};if(i("Number",!h(" 0o1")||!h("0b1")||h("+0x1"))){for(var w,x=function(e){var t=arguments.length<1?0:e,s=this;return s instanceof x&&(M?m((function(){v.valueOf.call(s)})):"Number"!=A(s))?c(new h(T(t)),s,x):T(t)},y=n?g(h):"MAX_VALUE,MIN_VALUE,NaN,NEGATIVE_INFINITY,POSITIVE_INFINITY,EPSILON,isFinite,isInteger,isNaN,isSafeInteger,MAX_SAFE_INTEGER,MIN_SAFE_INTEGER,parseFloat,parseInt,isInteger".split(","),b=0;y.length>b;b++)r(h,w=y[b])&&!r(x,w)&&p(x,w,d(h,w));x.prototype=v,v.constructor=x,a(o,"Number",x)}},213:function(e,t,s){"use strict";var n=s(10),o=s(108),i=s(23),a=s(15),r=s(16),A=s(59),c=s(105),l=s(56),m=s(31),u=l("splice"),g=m("splice",{ACCESSORS:!0,0:0,1:2}),d=Math.max,p=Math.min;n({target:"Array",proto:!0,forced:!u||!g},{splice:function(e,t){var s,n,l,m,u,g,f=r(this),h=a(f.length),v=o(e,h),M=arguments.length;if(0===M?s=n=0:1===M?(s=0,n=h-v):(s=M-2,n=p(d(i(t),0),h-v)),h+s-n>9007199254740991)throw TypeError("Maximum allowed length exceeded");for(l=A(f,n),m=0;m<n;m++)(u=v+m)in f&&c(l,m,f[u]);if(l.length=n,s<n){for(m=v;m<h-n;m++)g=m+s,(u=m+n)in f?f[g]=f[u]:delete f[g];for(m=h;m>h-n+s;m--)delete f[m-1]}else if(s>n)for(m=h-n;m>v;m--)g=m+s-1,(u=m+n-1)in f?f[g]=f[u]:delete f[g];for(m=0;m<s;m++)f[m+v]=arguments[m+2];return f.length=h-n+s,l}})},632:function(e,t,s){window,e.exports=function(e){var t={};function s(n){if(t[n])return t[n].exports;var o=t[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=e,s.c=t,s.d=function(e,t,n){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},s.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(s.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)s.d(n,o,function(t){return e[t]}.bind(null,o));return n},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,"a",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p="/dist/",s(s.s=182)}({0:function(e,t,s){"use strict";function n(e,t,s,n,o,i,a,r){var A,c="function"==typeof e?e.options:e;if(t&&(c.render=t,c.staticRenderFns=s,c._compiled=!0),n&&(c.functional=!0),i&&(c._scopeId="data-v-"+i),a?(A=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(a)},c._ssrRegister=A):o&&(A=r?function(){o.call(this,this.$root.$options.shadowRoot)}:o),A)if(c.functional){c._injectStyles=A;var l=c.render;c.render=function(e,t){return A.call(t),l(e,t)}}else{var m=c.beforeCreate;c.beforeCreate=m?[].concat(m,A):[A]}return{exports:e,options:c}}s.d(t,"a",(function(){return n}))},1:function(e,t,s){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s,n,o,i=e[1]||"",a=e[3];if(!a)return i;if(t&&"function"==typeof btoa){var r=(s=a,n=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),o="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(o," */")),A=a.sources.map((function(e){return"/*# sourceURL=".concat(a.sourceRoot||"").concat(e," */")}));return[i].concat(A).concat([r]).join("\n")}return[i].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(s,"}"):s})).join("")},t.i=function(e,s,n){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(n)for(var i=0;i<this.length;i++){var a=this[i][0];null!=a&&(o[a]=!0)}for(var r=0;r<e.length;r++){var A=[].concat(e[r]);n&&o[A[0]]||(s&&(A[2]?A[2]="".concat(s," and ").concat(A[2]):A[2]=s),t.push(A))}},t}},10:function(e,t,s){"use strict";s.r(t),t.default="data:font/ttf;base64,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"},11:function(e,t,s){"use strict";s.r(t),t.default="data:image/svg+xml;base64,PD94bWwgdmVyc2lvbj0iMS4wIiBzdGFuZGFsb25lPSJubyI/PjwhRE9DVFlQRSBzdmcgUFVCTElDICItLy9XM0MvL0RURCBTVkcgMS4xLy9FTiIgImh0dHA6Ly93d3cudzMub3JnL0dyYXBoaWNzL1NWRy8xLjEvRFREL3N2ZzExLmR0ZCIgPjxzdmcgeG1sbnM9Imh0dHA6Ly93d3cudzMub3JnLzIwMDAvc3ZnIj48bWV0YWRhdGE+PC9tZXRhZGF0YT48ZGVmcz48Zm9udCBpZD0iaWNvbmZvbnQtdnVlLTZmNDFjMGQiIGhvcml6LWFkdi14PSI1MDAwIj48Zm9udC1mYWNlIGZvbnQtZmFtaWx5PSJpY29uZm9udC12dWUtNmY0MWMwZCIgZm9udC13ZWlnaHQ9IjQwMCIgZm9udC1zdHJldGNoPSJub3JtYWwiIHVuaXRzLXBlci1lbT0iNTAwMCIgcGFub3NlLTE9IjIgMCA1IDMgMCAwIDAgMCAwIDAiIGFzY2VudD0iNTAwMCIgZGVzY2VudD0iMCIgeC1oZWlnaHQ9IjAiIGJib3g9Ii0xIDAgNTAxMSA1MDAwIiB1bmRlcmxpbmUtdGhpY2tuZXNzPSIwIiB1bmRlcmxpbmUtcG9zaXRpb249IjUwIiB1bmljb2RlLXJhbmdlPSJVK2VhMDEtZWEwZSIgLz48bWlzc2luZy1nbHlwaCBob3Jpei1hZHYteD0iMCIgIC8+PGdseXBoIGdseXBoLW5hbWU9ImFycm93LWxlZnQtZG91YmxlIiB1bmljb2RlPSImI3hlYTAxOyIgZD0iTTM3NTAgMzkwNiBsLTE0MDYgLTE0MDYgbDE0MDYgLTE0MDYgbDAgMzEyIGwtMTA5NCAxMDk0IGwxMDk0IDEwOTQgbDAgMzEyIFpNMjM0NCAzOTA2IGwtMTQwNiAtMTQwNiBsMTQwNiAtMTQwNiBsMCAzMTIgbC0xMDk0IDEwOTQgbDEwOTQgMTA5NCBsMCAzMTIgWiIgLz48Z2x5cGggZ2x5cGgtbmFtZT0iYXJyb3ctbGVmdCIgdW5pY29kZT0iJiN4ZWEwMjsiIGQ9Ik0xNTYzIDI1MDAgbDE4NzUgLTE4NzUgbDAgLTMxMiBsLTIxODggMjE4NyBsMjE4OCAyMTg4IGwwIC0zMTMgbC0xODc1IC0xODc1IFoiIC8+PGdseXBoIGdseXBoLW5hbWU9ImFycm93LXJpZ2h0LWRvdWJsZSIgdW5pY29kZT0iJiN4ZWEwMzsiIGQ9Ik0xMjUwIDEwOTQgbDE0MDYgMTQwNiBsLTE0MDYgMTQwNiBsMCAtMzEyIGwxMDk0IC0xMDk0IGwtMTA5NCAtMTA5NCBsMCAtMzEyIFpNMjY1NiAxMDk0IGwxNDA3IDE0MDYgbC0xNDA3IDE0MDYgbDAgLTMxMiBsMTA5NCAtMTA5NCBsLTEwOTQgLTEwOTQgbDAgLTMxMiBaIiAvPjxnbHlwaCBnbHlwaC1uYW1lPSJhcnJvdy1yaWdodCIgdW5pY29kZT0iJiN4ZWEwNDsiIGQ9Ik0zNDM4IDI1MDAgbC0xODc1IDE4NzUgbDAgMzEzIGwyMTg3IC0yMTg4IGwtMjE4NyAtMjE4NyBsMCAzMTIgbDE4NzUgMTg3NSBaIiAvPjxnbHlwaCBnbHlwaC1uYW1lPSJicmVhZGNydW1iIiB1bmljb2RlPSImI3hlYTA1OyIgZD0iTTE0OCA1MDAwIGwtMTQ4IC04NSBsMTM5NCAtMjQxNSBsLTEzOTQgLTI0MTUgbDE0OCAtODUgbDE0NDMgMjUwMCBsLTE0NDMgMjUwMCBaIiAvPjxnbHlwaCBnbHlwaC1uYW1lPSJjaGVja21hcmsiIHVuaWNvZGU9IiYjeGVhMDY7IiBkPSJNNDA0NSAzOTcxIGwtMjA2MSAtMjA2MSBsLTEwMjkgMTAyOSBsLTQ0MiAtNDQxIGwxNDcxIC0xNDcxIGwyNTAzIDI1MDIgbC00NDIgNDQyIFoiIC8+PGdseXBoIGdseXBoLW5hbWU9ImNsb3NlIiB1bmljb2RlPSImI3hlYTA3OyIgZD0iTTQzNzUgMTE1NiBsLTUzMSAtNTMxIGwtMTM0NCAxMzQ0IGwtMTM0NCAtMTM0NCBsLTUzMSA1MzEgbDEzNDQgMTM0NCBsLTEzNDQgMTM0NCBsNTMxIDUzMSBsMTM0NCAtMTM0NCBsMTM0NCAxMzQ0IGw1MzEgLTUzMSBsLTEzNDQgLTEzNDQgbDEzNDQgLTEzNDQgWiIgLz48Z2x5cGggZ2x5cGgtbmFtZT0iY29uZmlybSIgdW5pY29kZT0iJiN4ZWEwODsiIGQ9Ik0yNjU2IDQ4NDQgcS0xMDEgMCAtMTgwIC01NyBxLTc0IC01MiAtMTA5IC0xMzggcS0zNSAtODYgLTE5IC0xNzUgcTE4IC05NiA5MCAtMTY3IGwxNDk1IC0xNDk0IGwtMzYxNiAwIHEtNzcgMSAtMTM5IC0yNiBxLTU4IC0yNCAtOTkgLTcwIHEtMzkgLTQ0IC01OSAtMTAxIHEtMjAgLTU2IC0yMCAtMTE2IHEwIC02MCAyMCAtMTE2IHEyMCAtNTcgNTkgLTEwMSBxNDEgLTQ2IDk5IC03MCBxNjIgLTI3IDEzOSAtMjUgbDM2MTYgMCBsLTE0OTUgLTE0OTUgcS01NSAtNTMgLTgxIC0xMTYgcS0yNCAtNTkgLTIxIC0xMjEgcTMgLTU4IDMwIC0xMTMgcTI1IC01NCA2OCAtOTcgcTQzIC00MyA5NiAtNjggcTU1IC0yNiAxMTQgLTI5IHE2MiAtMyAxMjAgMjEgcTYzIDI1IDExNiA4MSBsMjAyOSAyMDI4IHE1OSA2MCA4MCAxNDEgcTIxIDgwIDEgMTU5IHEtMjEgODIgLTgxIDE0MiBsLTIwMjkgMjAyOCBxLTQ0IDQ1IC0xMDIgNzAgcS01OCAyNSAtMTIyIDI1IFoiIC8+PGdseXBoIGdseXBoLW5hbWU9ImluZm8iIHVuaWNvZGU9IiYjeGVhMDk7IiBkPSJNMjQyMiA0Njg4IHEtMTExIDAgLTIxMyAtNDMgcS05OCAtNDIgLTE3NCAtMTE3LjUgcS03NiAtNzUuNSAtMTE3IC0xNzQuNSBxLTQzIC0xMDEgLTQzIC0yMTIuNSBxMCAtMTExLjUgNDMgLTIxMi41IHE0MSAtOTggMTE3IC0xNzQgcTc2IC03NiAxNzQgLTExNyBxMTAyIC00MyAyMTMgLTQzIHExMTEgMCAyMTMgNDMgcTk4IDQxIDE3My41IDExNyBxNzUuNSA3NiAxMTcuNSAxNzQgcTQzIDEwMSA0MyAyMTIuNSBxMCAxMTEuNSAtNDMgMjEyLjUgcS00MiA5OSAtMTE3LjUgMTc0LjUgcS03NS41IDc1LjUgLTE3My41IDExNy41IHEtMTAyIDQzIC0yMTMgNDMgWk0xNTYzIDMxMjUgcS04NiAwIC0xNTggLTQzIHEtNzEgLTQxIC0xMTIgLTExMiBxLTQzIC03MiAtNDMgLTE1Ny41IHEwIC04NS41IDQzIC0xNTcuNSBxNDEgLTcxIDExMiAtMTEyIHE3MiAtNDMgMTU4IC00MyBsNjI1IDAgbDAgLTE1NjIgbC02MjUgMCBxLTg2IDAgLTE1OCAtNDMgcS03MSAtNDEgLTExMiAtMTEyIHEtNDMgLTczIC00MyAtMTU4IHEwIC04NSA0MyAtMTU4IHE0MSAtNzEgMTEyIC0xMTIgcTcyIC00MyAxNTggLTQyIGwxODc1IDAgcTg1IDAgMTU3IDQyIHE3MSA0MSAxMTIgMTEyIHE0MyA3MyA0MyAxNTggcTAgODUgLTQzIDE1OCBxLTQxIDcxIC0xMTIgMTEyIHEtNzIgNDMgLTE1NyA0MyBsLTYyNSAwIGwwIDE4NzUgcTAgODUgLTQzIDE1NyBxLTQxIDcxIC0xMTIgMTEyIHEtNzMgNDMgLTE1OCA0MyBsLTkzNyAwIFoiIC8+PGdseXBoIGdseXBoLW5hbWU9Im1lbnUiIHVuaWNvZGU9IiYjeGVhMGE7IiBkPSJNNjI1IDQzNzUgbDAgLTYyNSBsMzc1MCAwIGwwIDYyNSBsLTM3NTAgMCBaTTYyNSAyODEzIGwwIC02MjUgbDM3NTAgMCBsMCA2MjUgbC0zNzUwIDAgWk02MjUgMTI1MCBsMCAtNjI1IGwzNzUwIDAgbDAgNjI1IGwtMzc1MCAwIFoiIC8+PGdseXBoIGdseXBoLW5hbWU9Im1vcmUiIHVuaWNvZGU9IiYjeGVhMGI7IiBkPSJNNzgxIDMwNDcgcTExMiAwIDIxMyAtNDMgcTk4IC00MiAxNzQgLTExNy41IHE3NiAtNzUuNSAxMTcgLTE3My41IHE0MyAtMTAyIDQzIC0yMTMgcTAgLTExMSAtNDMgLTIxMyBxLTQxIC05OCAtMTE3IC0xNzMuNSBxLTc2IC03NS41IC0xNzQgLTExNy41IHEtMTAxIC00MyAtMjEyLjUgLTQzIHEtMTExLjUgMCAtMjEzLjUgNDMgcS05OCA0MiAtMTczLjUgMTE3LjUgcS03NS41IDc1LjUgLTExNy41IDE3My41IHEtNDMgMTAyIC00MyAyMTMgcTAgMTExIDQzIDIxMyBxNDIgOTggMTE3LjUgMTczLjUgcTc1LjUgNzUuNSAxNzMuNSAxMTcuNSBxMTAyIDQzIDIxMyA0MyBaTTI1MDAgMzA0NyBxMTExIDAgMjEzIC00MyBxOTggLTQyIDE3My41IC0xMTcuNSBxNzUuNSAtNzUuNSAxMTcuNSAtMTczLjUgcTQzIC0xMDIgNDMgLTIxMyBxMCAtMTExIC00MyAtMjEzIHEtNDIgLTk4IC0xMTcuNSAtMTczLjUgcS03NS41IC03NS41IC0xNzMuNSAtMTE3LjUgcS0xMDIgLTQzIC0yMTMgLTQzIHEtMTExIDAgLTIxMyA0MyBxLTk4IDQyIC0xNzMuNSAxMTcuNSBxLTc1LjUgNzUuNSAtMTE3LjUgMTczLjUgcS00MyAxMDIgLTQzIDIxMyBxMCAxMTEgNDMgMjEzIHE0MiA5OCAxMTcuNSAxNzMuNSBxNzUuNSA3NS41IDE3My41IDExNy41IHExMDIgNDMgMjEzIDQzIFpNNDIxOSAzMDQ3IHExMTEgMCAyMTMgLTQzIHE5OCAtNDIgMTczLjUgLTExNy41IHE3NS41IC03NS41IDExNy41IC0xNzMuNSBxNDMgLTEwMiA0MyAtMjEzIHEwIC0xMTEgLTQzIC0yMTMgcS00MiAtOTggLTExNy41IC0xNzMuNSBxLTc1LjUgLTc1LjUgLTE3My41IC0xMTcuNSBxLTEwMiAtNDMgLTIxMy41IC00MyBxLTExMS41IDAgLTIxMi41IDQzIHEtOTggNDIgLTE3NCAxMTcuNSBxLTc2IDc1LjUgLTExNyAxNzMuNSBxLTQzIDEwMiAtNDMgMjEzIHEwIDExMSA0MyAyMTMgcTQxIDk4IDExNyAxNzMuNSBxNzYgNzUuNSAxNzQgMTE3LjUgcTEwMSA0MyAyMTMgNDMgWiIgLz48Z2x5cGggZ2x5cGgtbmFtZT0icGF1c2UiIHVuaWNvZGU9IiYjeGVhMGM7IiBkPSJNOTM4IDQwNjMgbDAgLTMxMjUgbDEyNTAgMCBsMCAzMTI1IGwtMTI1MCAwIFpNMjgxMyA0MDYzIGwwIC0zMTI1IGwxMjUwIDAgbDAgMzEyNSBsLTEyNTAgMCBaIiAvPjxnbHlwaCBnbHlwaC1uYW1lPSJwbGF5IiB1bmljb2RlPSImI3hlYTBkOyIgZD0iTTYyNSA0Mzc1IGwzNzUwIC0xODc1IGwtMzc1MCAtMTg3NSBsMCAzNzUwIFoiIC8+PGdseXBoIGdseXBoLW5hbWU9InRyaWFuZ2xlLXMiIHVuaWNvZGU9IiYjeGVhMGU7IiBkPSJNMTI1MCAzMTI1IGwxMjUwIC0xMjUwIGwxMjUwIDEyNDggbC0yNTAwIDIgWiIgLz48L2ZvbnQ+PC9kZWZzPjwvc3ZnPg=="},12:function(e,t){e.exports=s(194)},122:function(e,t,s){var n=s(255);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("557f6287",n,!0,{})},13:function(e,t,s){"use strict";s.r(t);var n=s(4);s(42),
+(window.textWebpackJsonp=window.textWebpackJsonp||[]).push([[199],{194:function(e,t,s){var n=s(7),o=s(8).f,r=Function.prototype,i=r.toString,a=/^\s*function ([^ (]*)/;n&&!("name"in r)&&o(r,"name",{configurable:!0,get:function(){try{return i.call(this).match(a)[1]}catch(e){return""}}})},207:function(e,t,s){"use strict";s(51),s(53),s(99),s(101),Object.defineProperty(t,"__esModule",{value:!0}),t.getGettextBuilder=function(){return new A};var n,o=(n=s(197))&&n.__esModule?n:{default:n},r=s(189);function i(e,t){if(!(e instanceof t))throw new TypeError("Cannot call a class as a function")}function a(e,t){for(var s=0;s<t.length;s++){var n=t[s];n.enumerable=n.enumerable||!1,n.configurable=!0,"value"in n&&(n.writable=!0),Object.defineProperty(e,n.key,n)}}function c(e,t,s){return t&&a(e.prototype,t),s&&a(e,s),e}var A=function(){function e(){i(this,e),this.translations={},this.debug=!1}return c(e,[{key:"setLanguage",value:function(e){return this.locale=e,this}},{key:"detectLocale",value:function(){return this.setLanguage((0,r.getLanguage)())}},{key:"addTranslation",value:function(e,t){return this.translations[e]=t,this}},{key:"enableDebugMode",value:function(){return this.debug=!0,this}},{key:"build",value:function(){return new l(this.locale||"en",this.translations,this.debug)}}]),e}(),l=function(){function e(t,s,n){for(var r in i(this,e),this.gt=new o.default({debug:n,sourceLocale:"en"}),s)this.gt.addTranslations(r,"messages",s[r]);this.gt.setLocale(t)}return c(e,[{key:"subtitudePlaceholders",value:function(e,t){return e.replace(/{([^{}]*)}/g,(function(e,s){var n=t[s];return"string"==typeof n||"number"==typeof n?n.toString():e}))}},{key:"gettext",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};return this.subtitudePlaceholders(this.gt.gettext(e),t)}},{key:"ngettext",value:function(e,t,s){var n=arguments.length>3&&void 0!==arguments[3]?arguments[3]:{};return this.subtitudePlaceholders(this.gt.ngettext(e,t,s).replace(/%n/g,s.toString()),n)}}]),e}()},208:function(e,t,s){"use strict";var n=s(7),o=s(2),r=s(55),i=s(12),a=s(4),c=s(19),A=s(111),l=s(34),m=s(0),u=s(32),g=s(107).f,d=s(54).f,p=s(8).f,f=s(195).trim,h=o.Number,v=h.prototype,M="Number"==c(u(v)),T=function(e){var t,s,n,o,r,i,a,c,A=l(e,!1);if("string"==typeof A&&A.length>2)if(43===(t=(A=f(A)).charCodeAt(0))||45===t){if(88===(s=A.charCodeAt(2))||120===s)return NaN}else if(48===t){switch(A.charCodeAt(1)){case 66:case 98:n=2,o=49;break;case 79:case 111:n=8,o=55;break;default:return+A}for(i=(r=A.slice(2)).length,a=0;a<i;a++)if((c=r.charCodeAt(a))<48||c>o)return NaN;return parseInt(r,n)}return+A};if(r("Number",!h(" 0o1")||!h("0b1")||h("+0x1"))){for(var w,y=function(e){var t=arguments.length<1?0:e,s=this;return s instanceof y&&(M?m((function(){v.valueOf.call(s)})):"Number"!=c(s))?A(new h(T(t)),s,y):T(t)},b=n?g(h):"MAX_VALUE,MIN_VALUE,NaN,NEGATIVE_INFINITY,POSITIVE_INFINITY,EPSILON,isFinite,isInteger,isNaN,isSafeInteger,MAX_SAFE_INTEGER,MIN_SAFE_INTEGER,parseFloat,parseInt,isInteger".split(","),x=0;b.length>x;x++)a(h,w=b[x])&&!a(y,w)&&p(y,w,d(h,w));y.prototype=v,v.constructor=y,i(o,"Number",y)}},210:function(e,t,s){"use strict";var n=s(10),o=s(195).trim;n({target:"String",proto:!0,forced:s(211)("trim")},{trim:function(){return o(this)}})},211:function(e,t,s){var n=s(0),o=s(209);e.exports=function(e){return n((function(){return!!o[e]()||"​…᠎"!="​…᠎"[e]()||o[e].name!==e}))}},213:function(e,t,s){"use strict";var n=s(10),o=s(108),r=s(23),i=s(15),a=s(16),c=s(59),A=s(105),l=s(56),m=s(31),u=l("splice"),g=m("splice",{ACCESSORS:!0,0:0,1:2}),d=Math.max,p=Math.min;n({target:"Array",proto:!0,forced:!u||!g},{splice:function(e,t){var s,n,l,m,u,g,f=a(this),h=i(f.length),v=o(e,h),M=arguments.length;if(0===M?s=n=0:1===M?(s=0,n=h-v):(s=M-2,n=p(d(r(t),0),h-v)),h+s-n>9007199254740991)throw TypeError("Maximum allowed length exceeded");for(l=c(f,n),m=0;m<n;m++)(u=v+m)in f&&A(l,m,f[u]);if(l.length=n,s<n){for(m=v;m<h-n;m++)g=m+s,(u=m+n)in f?f[g]=f[u]:delete f[g];for(m=h;m>h-n+s;m--)delete f[m-1]}else if(s>n)for(m=h-n;m>v;m--)g=m+s-1,(u=m+n-1)in f?f[g]=f[u]:delete f[g];for(m=0;m<s;m++)f[m+v]=arguments[m+2];return f.length=h-n+s,l}})},633:function(e,t,s){window,e.exports=function(e){var t={};function s(n){if(t[n])return t[n].exports;var o=t[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=e,s.c=t,s.d=function(e,t,n){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},s.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(s.r(n),Object.defineProperty(n,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var o in e)s.d(n,o,function(t){return e[t]}.bind(null,o));return n},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,"a",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p="/dist/",s(s.s=185)}({0:function(e,t,s){"use strict";function n(e,t,s,n,o,r,i,a){var c,A="function"==typeof e?e.options:e;if(t&&(A.render=t,A.staticRenderFns=s,A._compiled=!0),n&&(A.functional=!0),r&&(A._scopeId="data-v-"+r),i?(c=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(i)},A._ssrRegister=c):o&&(c=a?function(){o.call(this,(A.functional?this.parent:this).$root.$options.shadowRoot)}:o),c)if(A.functional){A._injectStyles=c;var l=A.render;A.render=function(e,t){return c.call(t),l(e,t)}}else{var m=A.beforeCreate;A.beforeCreate=m?[].concat(m,c):[c]}return{exports:e,options:A}}s.d(t,"a",(function(){return n}))},1:function(e,t,s){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s,n,o,r=e[1]||"",i=e[3];if(!i)return r;if(t&&"function"==typeof btoa){var a=(s=i,n=btoa(unescape(encodeURIComponent(JSON.stringify(s)))),o="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(o," */")),c=i.sources.map((function(e){return"/*# sourceURL=".concat(i.sourceRoot||"").concat(e," */")}));return[r].concat(c).concat([a]).join("\n")}return[r].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(s,"}"):s})).join("")},t.i=function(e,s,n){"string"==typeof e&&(e=[[null,e,""]]);var o={};if(n)for(var r=0;r<this.length;r++){var i=this[r][0];null!=i&&(o[i]=!0)}for(var a=0;a<e.length;a++){var c=[].concat(e[a]);n&&o[c[0]]||(s&&(c[2]?c[2]="".concat(s," and ").concat(c[2]):c[2]=s),t.push(c))}},t}},10:function(e,t,s){"use strict";s.r(t),t.default="data:font/woff;base64,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"},105:function(e,t){e.exports=s(634)},108:function(e,t,s){"use strict";s.r(t);var n=s(92);
/**
- * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
+ * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>
*
- * @author Julius Härtl <jus@bitgrid.net>
* @author John Molakvoæ <skjnldsv@protonmail.com>
*
* @license GNU AGPL version 3 or any later version
@@ -14,17 +13,17 @@
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU Affero General Public License for more details.
*
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */
-n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data-v-'.concat("6f41c0d",'><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>'),n.VTooltip.options.defaultHtml=!1,t.default=n.VTooltip},139:function(e,t,s){"use strict";var n=s(48);s.n(n).a},14:function(e,t){e.exports=s(103)},140:function(e,t,s){(t=s(1)(!1)).push([e.i,"li.active[data-v-33ba3302]{box-shadow:inset 4px 0 var(--color-primary)}.action--disabled[data-v-33ba3302]{pointer-events:none;opacity:.5}.action--disabled[data-v-33ba3302]:hover,.action--disabled[data-v-33ba3302]:focus{cursor:default;opacity:.5}.action--disabled *[data-v-33ba3302]{opacity:1 !important}.action-button[data-v-33ba3302]{display:flex;align-items:flex-start;width:100%;height:auto;margin:0;padding:0;padding-right:14px;cursor:pointer;white-space:nowrap;opacity:.7;color:var(--color-main-text);border:0;border-radius:0;background-color:transparent;box-shadow:none;font-weight:normal;line-height:44px}.action-button[data-v-33ba3302]:hover,.action-button[data-v-33ba3302]:focus{opacity:1}.action-button>span[data-v-33ba3302]{cursor:pointer;white-space:nowrap}.action-button__icon[data-v-33ba3302]{width:44px;height:44px;opacity:1;background-position:14px center;background-size:16px}.action-button p[data-v-33ba3302]{width:150px;padding:7px 0;margin:auto;cursor:pointer;text-align:left;line-height:1.6em}.action-button__longtext[data-v-33ba3302]{cursor:pointer;white-space:pre-wrap}.action-button__title[data-v-33ba3302]{font-weight:bold}\n",""]),e.exports=t},15:function(e,t){e.exports=s(206)},155:function(e,t){},16:function(e,t){e.exports=s(207)},17:function(e,t,s){"use strict";s(12),s(28);var n=s(3),o=s.n(n);
+ */t.default=n.a},11:function(e,t,s){"use strict";s.r(t),t.default="data:font/ttf;base64,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"},12:function(e,t,s){"use strict";s.r(t),t.default="data:image/svg+xml;base64,PD94bWwgdmVyc2lvbj0iMS4wIiBzdGFuZGFsb25lPSJubyI/PjwhRE9DVFlQRSBzdmcgUFVCTElDICItLy9XM0MvL0RURCBTVkcgMS4xLy9FTiIgImh0dHA6Ly93d3cudzMub3JnL0dyYXBoaWNzL1NWRy8xLjEvRFREL3N2ZzExLmR0ZCIgPjxzdmcgeG1sbnM9Imh0dHA6Ly93d3cudzMub3JnLzIwMDAvc3ZnIj48bWV0YWRhdGE+PC9tZXRhZGF0YT48ZGVmcz48Zm9udCBpZD0iaWNvbmZvbnQtdnVlLTkxYzliNmYiIGhvcml6LWFkdi14PSI1MDAwIj48Zm9udC1mYWNlIGZvbnQtZmFtaWx5PSJpY29uZm9udC12dWUtOTFjOWI2ZiIgZm9udC13ZWlnaHQ9IjQwMCIgZm9udC1zdHJldGNoPSJub3JtYWwiIHVuaXRzLXBlci1lbT0iNTAwMCIgcGFub3NlLTE9IjIgMCA1IDMgMCAwIDAgMCAwIDAiIGFzY2VudD0iNTAwMCIgZGVzY2VudD0iMCIgeC1oZWlnaHQ9IjAiIGJib3g9Ii0xIDAgNTAxMSA1MDAwIiB1bmRlcmxpbmUtdGhpY2tuZXNzPSIwIiB1bmRlcmxpbmUtcG9zaXRpb249IjUwIiB1bmljb2RlLXJhbmdlPSJVK2VhMDEtZWEwZSIgLz48bWlzc2luZy1nbHlwaCBob3Jpei1hZHYteD0iMCIgIC8+PGdseXBoIGdseXBoLW5hbWU9ImFycm93LWxlZnQtZG91YmxlIiB1bmljb2RlPSImI3hlYTAxOyIgZD0iTTM3NTAgMzkwNiBsLTE0MDYgLTE0MDYgbDE0MDYgLTE0MDYgbDAgMzEyIGwtMTA5NCAxMDk0IGwxMDk0IDEwOTQgbDAgMzEyIFpNMjM0NCAzOTA2IGwtMTQwNiAtMTQwNiBsMTQwNiAtMTQwNiBsMCAzMTIgbC0xMDk0IDEwOTQgbDEwOTQgMTA5NCBsMCAzMTIgWiIgLz48Z2x5cGggZ2x5cGgtbmFtZT0iYXJyb3ctbGVmdCIgdW5pY29kZT0iJiN4ZWEwMjsiIGQ9Ik0xNTYzIDI1MDAgbDE4NzUgLTE4NzUgbDAgLTMxMiBsLTIxODggMjE4NyBsMjE4OCAyMTg4IGwwIC0zMTMgbC0xODc1IC0xODc1IFoiIC8+PGdseXBoIGdseXBoLW5hbWU9ImFycm93LXJpZ2h0LWRvdWJsZSIgdW5pY29kZT0iJiN4ZWEwMzsiIGQ9Ik0xMjUwIDEwOTQgbDE0MDYgMTQwNiBsLTE0MDYgMTQwNiBsMCAtMzEyIGwxMDk0IC0xMDk0IGwtMTA5NCAtMTA5NCBsMCAtMzEyIFpNMjY1NiAxMDk0IGwxNDA3IDE0MDYgbC0xNDA3IDE0MDYgbDAgLTMxMiBsMTA5NCAtMTA5NCBsLTEwOTQgLTEwOTQgbDAgLTMxMiBaIiAvPjxnbHlwaCBnbHlwaC1uYW1lPSJhcnJvdy1yaWdodCIgdW5pY29kZT0iJiN4ZWEwNDsiIGQ9Ik0zNDM4IDI1MDAgbC0xODc1IDE4NzUgbDAgMzEzIGwyMTg3IC0yMTg4IGwtMjE4NyAtMjE4NyBsMCAzMTIgbDE4NzUgMTg3NSBaIiAvPjxnbHlwaCBnbHlwaC1uYW1lPSJicmVhZGNydW1iIiB1bmljb2RlPSImI3hlYTA1OyIgZD0iTTE0OCA1MDAwIGwtMTQ4IC04NSBsMTM5NCAtMjQxNSBsLTEzOTQgLTI0MTUgbDE0OCAtODUgbDE0NDMgMjUwMCBsLTE0NDMgMjUwMCBaIiAvPjxnbHlwaCBnbHlwaC1uYW1lPSJjaGVja21hcmsiIHVuaWNvZGU9IiYjeGVhMDY7IiBkPSJNNDA0NSAzOTcxIGwtMjA2MSAtMjA2MSBsLTEwMjkgMTAyOSBsLTQ0MiAtNDQxIGwxNDcxIC0xNDcxIGwyNTAzIDI1MDIgbC00NDIgNDQyIFoiIC8+PGdseXBoIGdseXBoLW5hbWU9ImNsb3NlIiB1bmljb2RlPSImI3hlYTA3OyIgZD0iTTQzNzUgMTE1NiBsLTUzMSAtNTMxIGwtMTM0NCAxMzQ0IGwtMTM0NCAtMTM0NCBsLTUzMSA1MzEgbDEzNDQgMTM0NCBsLTEzNDQgMTM0NCBsNTMxIDUzMSBsMTM0NCAtMTM0NCBsMTM0NCAxMzQ0IGw1MzEgLTUzMSBsLTEzNDQgLTEzNDQgbDEzNDQgLTEzNDQgWiIgLz48Z2x5cGggZ2x5cGgtbmFtZT0iY29uZmlybSIgdW5pY29kZT0iJiN4ZWEwODsiIGQ9Ik0yNjU2IDQ4NDQgcS0xMDEgMCAtMTgwIC01NyBxLTc0IC01MiAtMTA5IC0xMzggcS0zNSAtODYgLTE5IC0xNzUgcTE4IC05NiA5MCAtMTY3IGwxNDk1IC0xNDk0IGwtMzYxNiAwIHEtNzcgMSAtMTM5IC0yNiBxLTU4IC0yNCAtOTkgLTcwIHEtMzkgLTQ0IC01OSAtMTAxIHEtMjAgLTU2IC0yMCAtMTE2IHEwIC02MCAyMCAtMTE2IHEyMCAtNTcgNTkgLTEwMSBxNDEgLTQ2IDk5IC03MCBxNjIgLTI3IDEzOSAtMjUgbDM2MTYgMCBsLTE0OTUgLTE0OTUgcS01NSAtNTMgLTgxIC0xMTYgcS0yNCAtNTkgLTIxIC0xMjEgcTMgLTU4IDMwIC0xMTMgcTI1IC01NCA2OCAtOTcgcTQzIC00MyA5NiAtNjggcTU1IC0yNiAxMTQgLTI5IHE2MiAtMyAxMjAgMjEgcTYzIDI1IDExNiA4MSBsMjAyOSAyMDI4IHE1OSA2MCA4MCAxNDEgcTIxIDgwIDEgMTU5IHEtMjEgODIgLTgxIDE0MiBsLTIwMjkgMjAyOCBxLTQ0IDQ1IC0xMDIgNzAgcS01OCAyNSAtMTIyIDI1IFoiIC8+PGdseXBoIGdseXBoLW5hbWU9ImluZm8iIHVuaWNvZGU9IiYjeGVhMDk7IiBkPSJNMjQyMiA0Njg4IHEtMTExIDAgLTIxMyAtNDMgcS05OCAtNDIgLTE3NCAtMTE3LjUgcS03NiAtNzUuNSAtMTE3IC0xNzQuNSBxLTQzIC0xMDEgLTQzIC0yMTIuNSBxMCAtMTExLjUgNDMgLTIxMi41IHE0MSAtOTggMTE3IC0xNzQgcTc2IC03NiAxNzQgLTExNyBxMTAyIC00MyAyMTMgLTQzIHExMTEgMCAyMTMgNDMgcTk4IDQxIDE3My41IDExNyBxNzUuNSA3NiAxMTcuNSAxNzQgcTQzIDEwMSA0MyAyMTIuNSBxMCAxMTEuNSAtNDMgMjEyLjUgcS00MiA5OSAtMTE3LjUgMTc0LjUgcS03NS41IDc1LjUgLTE3My41IDExNy41IHEtMTAyIDQzIC0yMTMgNDMgWk0xNTYzIDMxMjUgcS04NiAwIC0xNTggLTQzIHEtNzEgLTQxIC0xMTIgLTExMiBxLTQzIC03MiAtNDMgLTE1Ny41IHEwIC04NS41IDQzIC0xNTcuNSBxNDEgLTcxIDExMiAtMTEyIHE3MiAtNDMgMTU4IC00MyBsNjI1IDAgbDAgLTE1NjIgbC02MjUgMCBxLTg2IDAgLTE1OCAtNDMgcS03MSAtNDEgLTExMiAtMTEyIHEtNDMgLTczIC00MyAtMTU4IHEwIC04NSA0MyAtMTU4IHE0MSAtNzEgMTEyIC0xMTIgcTcyIC00MyAxNTggLTQyIGwxODc1IDAgcTg1IDAgMTU3IDQyIHE3MSA0MSAxMTIgMTEyIHE0MyA3MyA0MyAxNTggcTAgODUgLTQzIDE1OCBxLTQxIDcxIC0xMTIgMTEyIHEtNzIgNDMgLTE1NyA0MyBsLTYyNSAwIGwwIDE4NzUgcTAgODUgLTQzIDE1NyBxLTQxIDcxIC0xMTIgMTEyIHEtNzMgNDMgLTE1OCA0MyBsLTkzNyAwIFoiIC8+PGdseXBoIGdseXBoLW5hbWU9Im1lbnUiIHVuaWNvZGU9IiYjeGVhMGE7IiBkPSJNNjI1IDQzNzUgbDAgLTYyNSBsMzc1MCAwIGwwIDYyNSBsLTM3NTAgMCBaTTYyNSAyODEzIGwwIC02MjUgbDM3NTAgMCBsMCA2MjUgbC0zNzUwIDAgWk02MjUgMTI1MCBsMCAtNjI1IGwzNzUwIDAgbDAgNjI1IGwtMzc1MCAwIFoiIC8+PGdseXBoIGdseXBoLW5hbWU9Im1vcmUiIHVuaWNvZGU9IiYjeGVhMGI7IiBkPSJNNzgxIDMwNDcgcTExMiAwIDIxMyAtNDMgcTk4IC00MiAxNzQgLTExNy41IHE3NiAtNzUuNSAxMTcgLTE3My41IHE0MyAtMTAyIDQzIC0yMTMgcTAgLTExMSAtNDMgLTIxMyBxLTQxIC05OCAtMTE3IC0xNzMuNSBxLTc2IC03NS41IC0xNzQgLTExNy41IHEtMTAxIC00MyAtMjEyLjUgLTQzIHEtMTExLjUgMCAtMjEzLjUgNDMgcS05OCA0MiAtMTczLjUgMTE3LjUgcS03NS41IDc1LjUgLTExNy41IDE3My41IHEtNDMgMTAyIC00MyAyMTMgcTAgMTExIDQzIDIxMyBxNDIgOTggMTE3LjUgMTczLjUgcTc1LjUgNzUuNSAxNzMuNSAxMTcuNSBxMTAyIDQzIDIxMyA0MyBaTTI1MDAgMzA0NyBxMTExIDAgMjEzIC00MyBxOTggLTQyIDE3My41IC0xMTcuNSBxNzUuNSAtNzUuNSAxMTcuNSAtMTczLjUgcTQzIC0xMDIgNDMgLTIxMyBxMCAtMTExIC00MyAtMjEzIHEtNDIgLTk4IC0xMTcuNSAtMTczLjUgcS03NS41IC03NS41IC0xNzMuNSAtMTE3LjUgcS0xMDIgLTQzIC0yMTMgLTQzIHEtMTExIDAgLTIxMyA0MyBxLTk4IDQyIC0xNzMuNSAxMTcuNSBxLTc1LjUgNzUuNSAtMTE3LjUgMTczLjUgcS00MyAxMDIgLTQzIDIxMyBxMCAxMTEgNDMgMjEzIHE0MiA5OCAxMTcuNSAxNzMuNSBxNzUuNSA3NS41IDE3My41IDExNy41IHExMDIgNDMgMjEzIDQzIFpNNDIxOSAzMDQ3IHExMTEgMCAyMTMgLTQzIHE5OCAtNDIgMTczLjUgLTExNy41IHE3NS41IC03NS41IDExNy41IC0xNzMuNSBxNDMgLTEwMiA0MyAtMjEzIHEwIC0xMTEgLTQzIC0yMTMgcS00MiAtOTggLTExNy41IC0xNzMuNSBxLTc1LjUgLTc1LjUgLTE3My41IC0xMTcuNSBxLTEwMiAtNDMgLTIxMy41IC00MyBxLTExMS41IDAgLTIxMi41IDQzIHEtOTggNDIgLTE3NCAxMTcuNSBxLTc2IDc1LjUgLTExNyAxNzMuNSBxLTQzIDEwMiAtNDMgMjEzIHEwIDExMSA0MyAyMTMgcTQxIDk4IDExNyAxNzMuNSBxNzYgNzUuNSAxNzQgMTE3LjUgcTEwMSA0MyAyMTMgNDMgWiIgLz48Z2x5cGggZ2x5cGgtbmFtZT0icGF1c2UiIHVuaWNvZGU9IiYjeGVhMGM7IiBkPSJNOTM4IDQwNjMgbDAgLTMxMjUgbDEyNTAgMCBsMCAzMTI1IGwtMTI1MCAwIFpNMjgxMyA0MDYzIGwwIC0zMTI1IGwxMjUwIDAgbDAgMzEyNSBsLTEyNTAgMCBaIiAvPjxnbHlwaCBnbHlwaC1uYW1lPSJwbGF5IiB1bmljb2RlPSImI3hlYTBkOyIgZD0iTTYyNSA0Mzc1IGwzNzUwIC0xODc1IGwtMzc1MCAtMTg3NSBsMCAzNzUwIFoiIC8+PGdseXBoIGdseXBoLW5hbWU9InRyaWFuZ2xlLXMiIHVuaWNvZGU9IiYjeGVhMGU7IiBkPSJNMTI1MCAzMTI1IGwxMjUwIC0xMjUwIGwxMjUwIDEyNDggbC0yNTAwIDIgWiIgLz48L2ZvbnQ+PC9kZWZzPjwvc3ZnPg=="},13:function(e,t,s){"use strict";s.r(t);var n=s(4);s(43),
/**
- * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>
+ * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
+ * @author Julius Härtl <jus@bitgrid.net>
* @author John Molakvoæ <skjnldsv@protonmail.com>
*
* @license GNU AGPL version 3 or any later version
@@ -36,13 +35,14 @@ n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU Affero General Public License for more details.
*
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */t.a={before:function(){this.$slots.default&&""!==this.text.trim()||(o.a.util.warn("".concat(this.$options.name," cannot be empty and requires a meaningful text content"),this),this.$destroy(),this.$el.remove())},beforeUpdate:function(){this.text=this.getText()},data:function(){return{text:this.getText()}},computed:{isLongText:function(){return this.text&&this.text.trim().length>20}},methods:{getText:function(){return this.$slots.default?this.$slots.default[0].text.trim():""}}}},18:function(e,t,s){"use strict";s(5),s(22),s(26),s(33),t.a=function(e){return Math.random().toString(36).replace(/[^a-z]+/g,"").substr(0,e||5)}},182:function(e,t,s){"use strict";s.r(t);var n=s(47),o=(s(14),s(30),s(94)),i=s.n(o),a=s(38),r=s(98),A=s(32),c=s(6),l=s(13);
+ */
+n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data-v-'.concat("91c9b6f",'><div class="tooltip-arrow"></div><div class="tooltip-inner"></div></div>'),n.VTooltip.options.defaultHtml=!1,t.default=n.VTooltip},133:function(e,t,s){var n=s(264);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("cd4d5100",n,!0,{})},14:function(e,t){e.exports=s(194)},144:function(e,t,s){"use strict";var n=s(58);s.n(n).a},145:function(e,t,s){(t=s(1)(!1)).push([e.i,"li.active[data-v-51ef2a86]{box-shadow:inset 4px 0 var(--color-primary)}.action--disabled[data-v-51ef2a86]{pointer-events:none;opacity:.5}.action--disabled[data-v-51ef2a86]:hover,.action--disabled[data-v-51ef2a86]:focus{cursor:default;opacity:.5}.action--disabled *[data-v-51ef2a86]{opacity:1 !important}.action-button[data-v-51ef2a86]{display:flex;align-items:flex-start;width:100%;height:auto;margin:0;padding:0;padding-right:14px;cursor:pointer;white-space:nowrap;opacity:.7;color:var(--color-main-text);border:0;border-radius:0;background-color:transparent;box-shadow:none;font-weight:normal;line-height:44px}.action-button[data-v-51ef2a86]:hover,.action-button[data-v-51ef2a86]:focus{opacity:1}.action-button>span[data-v-51ef2a86]{cursor:pointer;white-space:nowrap}.action-button__icon[data-v-51ef2a86]{width:44px;height:44px;opacity:1;background-position:14px center;background-size:16px}.action-button p[data-v-51ef2a86]{width:150px;padding:7px 0;margin:auto;cursor:pointer;text-align:left;line-height:1.6em}.action-button__longtext[data-v-51ef2a86]{cursor:pointer;white-space:pre-wrap}.action-button__title[data-v-51ef2a86]{font-weight:bold}\n",""]),e.exports=t},15:function(e,t){e.exports=s(103)},16:function(e,t){e.exports=s(206)},165:function(e,t){},17:function(e,t){e.exports=s(53)},18:function(e,t,s){"use strict";s(5),s(17),s(28),s(31),t.a=function(e){return Math.random().toString(36).replace(/[^a-z]+/g,"").substr(0,e||5)}},185:function(e,t,s){"use strict";s.r(t);var n=s(54),o=(s(15),s(25),s(105)),r=s.n(o),i=s(42),a=s(108),c=s(29),A=s(3),l=s(13);
/**
* @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -63,7 +63,7 @@ n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */function m(e,t){var s,n,o,i=t;this.start=function(){o=!0,n=new Date,s=setTimeout(e,i)},this.pause=function(){o=!1,clearTimeout(s),i-=new Date-n},this.clear=function(){o=!1,clearTimeout(s),i=0},this.getTimeLeft=function(){return o&&(this.pause(),this.start()),i},this.getStateRunning=function(){return o},this.start()}var u={name:"Modal",components:{Actions:a.default,ActionButton:r.default},directives:{tooltip:l.default},mixins:[A.a],props:{title:{type:String,default:""},hasPrevious:{type:Boolean,default:!1},hasNext:{type:Boolean,default:!1},outTransition:{type:Boolean,default:!1},enableSlideshow:{type:Boolean,default:!1},clearViewDelay:{type:Number,default:5e3},slideshowDelay:{type:Number,default:3e3},slideshowPaused:{type:Boolean,default:!1},enableSwipe:{type:Boolean,default:!0},spreadNavigation:{type:Boolean,default:!1},size:{type:String,default:"normal",validator:function(e){return-1!==["normal","large","full"].indexOf(e)}},canClose:{type:Boolean,default:!0},dark:{type:Boolean,default:!1}},data:function(){return{mc:null,showModal:!1,clearView:!1,clearViewTimeout:null,playing:!1,slideshowTimeout:null}},computed:{modalTransitionName:function(){return"modal-".concat(this.outTransition?"out":"in")},playPauseTitle:function(){return this.playing?Object(c.b)("Pause slideshow"):Object(c.b)("Start slideshow")}},watch:{slideshowPaused:function(e){this.slideshowTimeout&&(e?this.slideshowTimeout.pause():this.slideshowTimeout.start())}},beforeMount:function(){window.addEventListener("keydown",this.handleKeydown)},beforeDestroy:function(){window.removeEventListener("keydown",this.handleKeydown)},mounted:function(){var e=this;this.showModal=!0,this.handleMouseMove(),this.mc=new i.a(this.$refs.mask),this.mc.on("swipeleft swiperight",(function(t){e.handleSwipe(t)})),document.body.insertBefore(this.$el,document.body.lastChild)},unmounted:function(){this.mc.off("swipeleft swiperight"),this.mc.destroy()},methods:{previous:function(e){this.hasPrevious&&(e&&this.resetSlideshow(),this.$emit("previous",e))},next:function(e){this.hasNext&&(e&&this.resetSlideshow(),this.$emit("next",e))},close:function(e){var t=this;this.canClose&&(this.showModal=!1,setTimeout((function(){t.$emit("close",e)}),300))},handleKeydown:function(e){switch(e.keyCode){case 37:this.previous(e);break;case 13:case 39:this.next(e);break;case 27:this.close(e)}},handleSwipe:function(e){this.enableSwipe&&("swipeleft"===e.type?this.next(e):"swiperight"===e.type&&this.previous(e))},handleMouseMove:function(){var e=this;this.clearViewDelay>0&&(this.clearView=!1,clearTimeout(this.clearViewTimeout),this.clearViewTimeout=setTimeout((function(){e.clearView=!0}),this.clearViewDelay))},togglePlayPause:function(){this.playing=!this.playing,this.playing?this.handleSlideshow():this.clearSlideshowTimeout()},resetSlideshow:function(){this.playing=!this.playing,this.clearSlideshowTimeout(),this.$nextTick((function(){this.togglePlayPause()}))},handleSlideshow:function(){var e=this;this.playing=!0,this.hasNext?this.slideshowTimeout=new m((function(){e.next(),e.handleSlideshow()}),this.slideshowDelay):(this.playing=!1,this.clearSlideshowTimeout())},clearSlideshowTimeout:function(){this.slideshowTimeout&&this.slideshowTimeout.clear()}}},g=(s(254),s(0)),d=s(155),p=s.n(d),f=Object(g.a)(u,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s("transition",{attrs:{name:"fade"}},[s("div",{ref:"mask",staticClass:"modal-mask",class:{"modal-mask--dark":e.dark},on:{click:e.handleMouseMove,mousemove:e.handleMouseMove,touchmove:e.handleMouseMove}},[s("transition",{attrs:{name:"fade-visibility"}},[s("div",{directives:[{name:"show",rawName:"v-show",value:!e.clearView,expression:"!clearView"}],staticClass:"modal-header",class:{invisible:e.clearView}},[""!==e.title.trim()?s("div",{staticClass:"modal-title"},[e._v("\n\t\t\t\t\t"+e._s(e.title)+"\n\t\t\t\t")]):e._e(),e._v(" "),s("div",{staticClass:"icons-menu"},[e.hasNext&&e.enableSlideshow?s("button",{directives:[{name:"tooltip",rawName:"v-tooltip.auto",value:e.playPauseTitle,expression:"playPauseTitle",modifiers:{auto:!0}}],staticClass:"play-pause",class:{"play-pause--paused":e.slideshowPaused},on:{click:e.togglePlayPause}},[s("div",{class:[e.playing?"icon-pause":"icon-play"]},[s("span",{staticClass:"hidden-visually"},[e._v("\n\t\t\t\t\t\t\t\t"+e._s(e.playPauseTitle)+"\n\t\t\t\t\t\t\t")])]),e._v(" "),e.playing?s("svg",{staticClass:"progress-ring",attrs:{height:"50",width:"50"}},[s("circle",{staticClass:"progress-ring__circle",attrs:{stroke:"white","stroke-width":"2",fill:"transparent",r:"15",cx:"25",cy:"25"}})]):e._e()]):e._e(),e._v(" "),s("Actions",{staticClass:"header-actions"},[e._t("actions")],2),e._v(" "),e.canClose?s("Actions",{staticClass:"header-close"},[s("ActionButton",{attrs:{icon:"icon-close"},on:{click:e.close}},[e._v("\n\t\t\t\t\t\t\t"+e._s(e.t("Close"))+"\n\t\t\t\t\t\t")])],1):e._e()],1)])]),e._v(" "),s("transition",{attrs:{name:e.modalTransitionName}},[s("div",{directives:[{name:"show",rawName:"v-show",value:e.showModal,expression:"showModal"}],staticClass:"modal-wrapper",class:["modal-wrapper--"+e.size,e.spreadNavigation?"modal-wrapper--spread-navigation":""],on:{click:function(t){return t.target!==t.currentTarget?null:e.close(t)}}},[s("transition",{attrs:{name:"fade-visibility"}},[s("a",{directives:[{name:"show",rawName:"v-show",value:e.hasPrevious&&!e.clearView,expression:"hasPrevious && !clearView"}],staticClass:"prev",class:{invisible:e.clearView||!e.hasPrevious},on:{click:e.previous}},[s("div",{staticClass:"icon icon-previous"},[s("span",{staticClass:"hidden-visually"},[e._v("\n\t\t\t\t\t\t\t\t"+e._s(e.t("Previous"))+"\n\t\t\t\t\t\t\t")])])])]),e._v(" "),s("div",{staticClass:"modal-container"},[e._t("default")],2),e._v(" "),s("transition",{attrs:{name:"fade-visibility"}},[s("a",{directives:[{name:"show",rawName:"v-show",value:e.hasNext&&!e.clearView,expression:"hasNext && !clearView"}],staticClass:"next",class:{invisible:e.clearView||!e.hasNext},on:{click:e.next}},[s("div",{staticClass:"icon icon-next"},[s("span",{staticClass:"hidden-visually"},[e._v("\n\t\t\t\t\t\t\t\t"+e._s(e.t("Next"))+"\n\t\t\t\t\t\t\t")])])])])],1)])],1)])}),[],!1,null,"7607651d",null);"function"==typeof p.a&&p()(f);var h=f.exports;
+ */function m(e,t){var s,n,o,r=t;this.start=function(){o=!0,n=new Date,s=setTimeout(e,r)},this.pause=function(){o=!1,clearTimeout(s),r-=new Date-n},this.clear=function(){o=!1,clearTimeout(s),r=0},this.getTimeLeft=function(){return o&&(this.pause(),this.start()),r},this.getStateRunning=function(){return o},this.start()}var u={name:"Modal",components:{Actions:i.default,ActionButton:a.default},directives:{tooltip:l.default},mixins:[c.a],props:{title:{type:String,default:""},hasPrevious:{type:Boolean,default:!1},hasNext:{type:Boolean,default:!1},outTransition:{type:Boolean,default:!1},enableSlideshow:{type:Boolean,default:!1},clearViewDelay:{type:Number,default:5e3},slideshowDelay:{type:Number,default:3e3},slideshowPaused:{type:Boolean,default:!1},enableSwipe:{type:Boolean,default:!0},spreadNavigation:{type:Boolean,default:!1},size:{type:String,default:"normal",validator:function(e){return-1!==["normal","large","full"].indexOf(e)}},canClose:{type:Boolean,default:!0},dark:{type:Boolean,default:!1}},data:function(){return{mc:null,showModal:!1,clearView:!1,clearViewTimeout:null,playing:!1,slideshowTimeout:null}},computed:{modalTransitionName:function(){return"modal-".concat(this.outTransition?"out":"in")},playPauseTitle:function(){return this.playing?Object(A.b)("Pause slideshow"):Object(A.b)("Start slideshow")}},watch:{slideshowPaused:function(e){this.slideshowTimeout&&(e?this.slideshowTimeout.pause():this.slideshowTimeout.start())}},beforeMount:function(){window.addEventListener("keydown",this.handleKeydown)},beforeDestroy:function(){window.removeEventListener("keydown",this.handleKeydown)},mounted:function(){var e=this;this.showModal=!0,this.handleMouseMove(),this.mc=new r.a(this.$refs.mask),this.mc.on("swipeleft swiperight",(function(t){e.handleSwipe(t)})),document.body.insertBefore(this.$el,document.body.lastChild)},unmounted:function(){this.mc.off("swipeleft swiperight"),this.mc.destroy()},methods:{previous:function(e){this.hasPrevious&&(e&&this.resetSlideshow(),this.$emit("previous",e))},next:function(e){this.hasNext&&(e&&this.resetSlideshow(),this.$emit("next",e))},close:function(e){var t=this;this.canClose&&(this.showModal=!1,setTimeout((function(){t.$emit("close",e)}),300))},handleKeydown:function(e){switch(e.keyCode){case 37:this.previous(e);break;case 13:case 39:this.next(e);break;case 27:this.close(e)}},handleSwipe:function(e){this.enableSwipe&&("swipeleft"===e.type?this.next(e):"swiperight"===e.type&&this.previous(e))},handleMouseMove:function(){var e=this;this.clearViewDelay>0&&(this.clearView=!1,clearTimeout(this.clearViewTimeout),this.clearViewTimeout=setTimeout((function(){e.clearView=!0}),this.clearViewDelay))},togglePlayPause:function(){this.playing=!this.playing,this.playing?this.handleSlideshow():this.clearSlideshowTimeout()},resetSlideshow:function(){this.playing=!this.playing,this.clearSlideshowTimeout(),this.$nextTick((function(){this.togglePlayPause()}))},handleSlideshow:function(){var e=this;this.playing=!0,this.hasNext?this.slideshowTimeout=new m((function(){e.next(),e.handleSlideshow()}),this.slideshowDelay):(this.playing=!1,this.clearSlideshowTimeout())},clearSlideshowTimeout:function(){this.slideshowTimeout&&this.slideshowTimeout.clear()}}},g=(s(263),s(0)),d=s(165),p=s.n(d),f=Object(g.a)(u,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s("transition",{attrs:{name:"fade"}},[s("div",{ref:"mask",staticClass:"modal-mask",class:{"modal-mask--dark":e.dark},on:{click:e.handleMouseMove,mousemove:e.handleMouseMove,touchmove:e.handleMouseMove}},[s("transition",{attrs:{name:"fade-visibility"}},[s("div",{directives:[{name:"show",rawName:"v-show",value:!e.clearView,expression:"!clearView"}],staticClass:"modal-header",class:{invisible:e.clearView}},[""!==e.title.trim()?s("div",{staticClass:"modal-title"},[e._v("\n\t\t\t\t\t"+e._s(e.title)+"\n\t\t\t\t")]):e._e(),e._v(" "),s("div",{staticClass:"icons-menu"},[e.hasNext&&e.enableSlideshow?s("button",{directives:[{name:"tooltip",rawName:"v-tooltip.auto",value:e.playPauseTitle,expression:"playPauseTitle",modifiers:{auto:!0}}],staticClass:"play-pause",class:{"play-pause--paused":e.slideshowPaused},on:{click:e.togglePlayPause}},[s("div",{class:[e.playing?"icon-pause":"icon-play"]},[s("span",{staticClass:"hidden-visually"},[e._v("\n\t\t\t\t\t\t\t\t"+e._s(e.playPauseTitle)+"\n\t\t\t\t\t\t\t")])]),e._v(" "),e.playing?s("svg",{staticClass:"progress-ring",attrs:{height:"50",width:"50"}},[s("circle",{staticClass:"progress-ring__circle",attrs:{stroke:"white","stroke-width":"2",fill:"transparent",r:"15",cx:"25",cy:"25"}})]):e._e()]):e._e(),e._v(" "),s("Actions",{staticClass:"header-actions"},[e._t("actions")],2),e._v(" "),e.canClose?s("Actions",{staticClass:"header-close"},[s("ActionButton",{attrs:{icon:"icon-close"},on:{click:e.close}},[e._v("\n\t\t\t\t\t\t\t"+e._s(e.t("Close"))+"\n\t\t\t\t\t\t")])],1):e._e()],1)])]),e._v(" "),s("transition",{attrs:{name:e.modalTransitionName}},[s("div",{directives:[{name:"show",rawName:"v-show",value:e.showModal,expression:"showModal"}],staticClass:"modal-wrapper",class:["modal-wrapper--"+e.size,e.spreadNavigation?"modal-wrapper--spread-navigation":""],on:{click:function(t){return t.target!==t.currentTarget?null:e.close(t)}}},[s("transition",{attrs:{name:"fade-visibility"}},[s("a",{directives:[{name:"show",rawName:"v-show",value:e.hasPrevious&&!e.clearView,expression:"hasPrevious && !clearView"}],staticClass:"prev",class:{invisible:e.clearView||!e.hasPrevious},on:{click:e.previous}},[s("div",{staticClass:"icon icon-previous"},[s("span",{staticClass:"hidden-visually"},[e._v("\n\t\t\t\t\t\t\t\t"+e._s(e.t("Previous"))+"\n\t\t\t\t\t\t\t")])])])]),e._v(" "),s("div",{staticClass:"modal-container"},[e._t("default")],2),e._v(" "),s("transition",{attrs:{name:"fade-visibility"}},[s("a",{directives:[{name:"show",rawName:"v-show",value:e.hasNext&&!e.clearView,expression:"hasNext && !clearView"}],staticClass:"next",class:{invisible:e.clearView||!e.hasNext},on:{click:e.next}},[s("div",{staticClass:"icon icon-next"},[s("span",{staticClass:"hidden-visually"},[e._v("\n\t\t\t\t\t\t\t\t"+e._s(e.t("Next"))+"\n\t\t\t\t\t\t\t")])])])])],1)])],1)])}),[],!1,null,"4dc6855f",null);"function"==typeof p.a&&p()(f);var h=f.exports;
/**
* @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -84,9 +84,9 @@ n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */Object(n.a)(h),t.default=h},19:function(e,t){e.exports=s(52)},2:function(e,t,s){"use strict";function n(e,t){for(var s=[],n={},o=0;o<t.length;o++){var i=t[o],a=i[0],r={id:e+":"+o,css:i[1],media:i[2],sourceMap:i[3]};n[a]?n[a].parts.push(r):s.push(n[a]={id:a,parts:[r]})}return s}s.r(t),s.d(t,"default",(function(){return g}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var i={},a=o&&(document.head||document.getElementsByTagName("head")[0]),r=null,A=0,c=!1,l=function(){},m=null,u="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function g(e,t,s,o){c=s,m=o||{};var a=n(e,t);return d(a),function(t){for(var s=[],o=0;o<a.length;o++){var r=a[o];(A=i[r.id]).refs--,s.push(A)}for(t?d(a=n(e,t)):a=[],o=0;o<s.length;o++){var A;if(0===(A=s[o]).refs){for(var c=0;c<A.parts.length;c++)A.parts[c]();delete i[A.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],n=i[s.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](s.parts[o]);for(;o<s.parts.length;o++)n.parts.push(f(s.parts[o]));n.parts.length>s.parts.length&&(n.parts.length=s.parts.length)}else{var a=[];for(o=0;o<s.parts.length;o++)a.push(f(s.parts[o]));i[s.id]={id:s.id,refs:1,parts:a}}}}function p(){var e=document.createElement("style");return e.type="text/css",a.appendChild(e),e}function f(e){var t,s,n=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(n){if(c)return l;n.parentNode.removeChild(n)}if(u){var o=A++;n=r||(r=p()),t=M.bind(null,n,o,!1),s=M.bind(null,n,o,!0)}else n=p(),t=T.bind(null,n),s=function(){n.parentNode.removeChild(n)};return t(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;t(e=n)}else s()}}var h,v=(h=[],function(e,t){return h[e]=t,h.filter(Boolean).join("\n")});function M(e,t,s,n){var o=s?"":n.css;if(e.styleSheet)e.styleSheet.cssText=v(t,o);else{var i=document.createTextNode(o),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(i,a[t]):e.appendChild(i)}}function T(e,t){var s=t.css,n=t.media,o=t.sourceMap;if(n&&e.setAttribute("media",n),m.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(s+="\n/*# sourceURL="+o.sources[0]+" */",s+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},20:function(e,t){e.exports=s(100)},21:function(e,t){e.exports=s(102)},22:function(e,t){e.exports=s(53)},23:function(e,t){e.exports=s(187)},24:function(e,t){e.exports=s(60)},25:function(e,t,s){"use strict";s(19),s(5),s(20),s(21),s(23);var n=s(17),o=(s(12),function(e,t){for(var s=e.$parent;s;){if(s.$options.name===t)return s;s=s.$parent}});t.a={mixins:[n.a],props:{icon:{type:String,default:""},title:{type:String,default:""},closeAfterClick:{type:Boolean,default:!1},ariaLabel:{type:String,default:""}},computed:{isIconUrl:function(){try{return new URL(this.icon)}catch(e){return!1}}},methods:{onClick:function(e){if(this.$emit("click",e),this.closeAfterClick){var t=o(this,"Actions");t&&t.closeMenu&&t.closeMenu()}}}}},254:function(e,t,s){"use strict";var n=s(122);s.n(n).a},255:function(e,t,s){var n=s(1),o=s(7),i=s(8),a=s(9),r=s(10),A=s(11);t=n(!1);var c=o(i),l=o(a),m=o(r),u=o(A);t.push([e.i,'@font-face{font-family:"iconfont-vue-6f41c0d";src:url('+c+");src:url("+c+') format("embedded-opentype"),url('+l+') format("woff"),url('+m+') format("truetype"),url('+u+') format("svg")}.icon[data-v-7607651d]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-left[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-right-double[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-right[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.breadcrumb[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.checkmark[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.close[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.confirm[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.info[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.menu[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.more[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.pause[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.play[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.triangle-s[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";content:""}.modal-mask[data-v-7607651d]{position:fixed;z-index:9998;top:0;left:0;display:block;width:100%;height:100%;background-color:rgba(0,0,0,0.5)}.modal-mask--dark[data-v-7607651d]{background-color:rgba(0,0,0,0.92)}.modal-header[data-v-7607651d]{position:absolute;z-index:10001;top:0;right:0;left:0;display:flex !important;align-items:center;justify-content:center;width:100%;height:50px;transition:opacity 250ms, visibility 250ms}.modal-header.invisible[style*=\'display:none\'][data-v-7607651d],.modal-header.invisible[style*=\'display: none\'][data-v-7607651d]{visibility:hidden}.modal-header .modal-title[data-v-7607651d]{overflow-x:hidden;box-sizing:border-box;width:100%;padding:0 132px 0 12px;transition:padding ease 100ms;white-space:nowrap;text-overflow:ellipsis;color:#fff;font-size:14px}@media only screen and (min-width: 512px){.modal-header .modal-title[data-v-7607651d]{text-align:center;padding-left:132px}}.modal-header .icons-menu[data-v-7607651d]{position:absolute;right:0;display:flex;align-items:center;justify-content:flex-end}.modal-header .icons-menu .icon-close[data-v-7607651d]{box-sizing:border-box;margin:3px;padding:10px 11px;color:#fff;background-image:none;font-size:23px}.modal-header .icons-menu .icon-close[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";font-style:normal;font-weight:400;content:""}.modal-header .icons-menu .play-pause[data-v-7607651d]{position:relative;width:50px;height:50px;margin:0;padding:0;cursor:pointer;color:white;border:none;background-color:transparent;font-size:22px}.modal-header .icons-menu .play-pause:hover .icon-play[data-v-7607651d],.modal-header .icons-menu .play-pause:hover .icon-pause[data-v-7607651d],.modal-header .icons-menu .play-pause:focus .icon-play[data-v-7607651d],.modal-header .icons-menu .play-pause:focus .icon-pause[data-v-7607651d]{opacity:1;border-radius:22px;background-color:rgba(127,127,127,0.25)}.modal-header .icons-menu .play-pause .icon-play[data-v-7607651d],.modal-header .icons-menu .play-pause .icon-pause[data-v-7607651d]{box-sizing:border-box;width:44px;height:44px;margin:3px;opacity:.7;background-image:none;cursor:pointer}.modal-header .icons-menu .play-pause .icon-play[data-v-7607651d]{padding:11px 13px}.modal-header .icons-menu .play-pause .icon-play[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";font-style:normal;font-weight:400;content:""}.modal-header .icons-menu .play-pause .icon-pause[data-v-7607651d]{padding:12px;font-size:19.5px}.modal-header .icons-menu .play-pause .icon-pause[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";font-style:normal;font-weight:400;content:""}.modal-header .icons-menu .header-actions[data-v-7607651d]{margin:3px;color:white}.modal-header .icons-menu .action-item--single[data-v-7607651d]{box-sizing:border-box;width:44px;height:44px;cursor:pointer;background-position:center;background-size:22px}.modal-header .icons-menu[data-v-7607651d] .action-item__menutoggle{padding:13px 11px;color:#fff;font-size:22px}.modal-wrapper[data-v-7607651d]{display:flex;align-items:center;justify-content:center;box-sizing:border-box;width:100%;height:100%}.modal-wrapper .prev[data-v-7607651d],.modal-wrapper .next[data-v-7607651d]{z-index:10000;display:flex !important;align-items:center;justify-content:center;width:15%;min-width:60px;height:100%;transition:opacity 250ms, visibility 250ms}.modal-wrapper .prev.invisible[style*=\'display:none\'][data-v-7607651d],.modal-wrapper .prev.invisible[style*=\'display: none\'][data-v-7607651d],.modal-wrapper .next.invisible[style*=\'display:none\'][data-v-7607651d],.modal-wrapper .next.invisible[style*=\'display: none\'][data-v-7607651d]{visibility:hidden}.modal-wrapper .icon-next[data-v-7607651d],.modal-wrapper .icon-previous[data-v-7607651d]{box-sizing:border-box;width:44px;height:44px;padding:12px 11px;color:white;border-radius:22px;background-image:none;font-size:24px}.modal-wrapper .icon-previous[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";font-style:normal;font-weight:400;content:""}.modal-wrapper .icon-next[data-v-7607651d]:before{font-family:"iconfont-vue-6f41c0d";font-style:normal;font-weight:400;content:""}.modal-wrapper .modal-container[data-v-7607651d]{display:block;overflow:hidden;padding:0;transition:transform 300ms ease;border-radius:var(--border-radius-large);background-color:var(--color-main-background);box-shadow:0 0 40px rgba(0,0,0,0.2)}.modal-wrapper:not(.modal-wrapper--large):not(.modal-wrapper--full) .modal-container[data-v-7607651d]{max-width:900px;max-height:80%}.modal-wrapper--full .modal-container[data-v-7607651d]{max-width:100%;max-height:100%;border-radius:0}.modal-wrapper--full .prev[data-v-7607651d],.modal-wrapper--full .next[data-v-7607651d],.modal-wrapper--spread-navigation .prev[data-v-7607651d],.modal-wrapper--spread-navigation .next[data-v-7607651d]{position:absolute;width:10%}.modal-wrapper--full .prev[data-v-7607651d],.modal-wrapper--spread-navigation .prev[data-v-7607651d]{left:0}.modal-wrapper--full .next[data-v-7607651d],.modal-wrapper--spread-navigation .next[data-v-7607651d]{right:0}.modal-wrapper--large .modal-container[data-v-7607651d]{max-width:85%;max-height:90%}.modal-wrapper--large .prev[data-v-7607651d],.modal-wrapper--large .next[data-v-7607651d]{width:10%;min-width:44px}.fade-enter-active[data-v-7607651d],.fade-leave-active[data-v-7607651d]{transition:opacity 250ms}.fade-enter[data-v-7607651d],.fade-leave-to[data-v-7607651d]{opacity:0}.fade-visibility-enter[data-v-7607651d],.fade-visibility-leave-to[data-v-7607651d]{visibility:hidden;opacity:0}.modal-in-enter-active[data-v-7607651d],.modal-in-leave-active[data-v-7607651d],.modal-out-enter-active[data-v-7607651d],.modal-out-leave-active[data-v-7607651d]{transition:opacity 250ms}.modal-in-enter[data-v-7607651d],.modal-in-leave-to[data-v-7607651d],.modal-out-enter[data-v-7607651d],.modal-out-leave-to[data-v-7607651d]{opacity:0}.modal-in-enter .modal-container[data-v-7607651d],.modal-in-leave-to .modal-container[data-v-7607651d]{transform:scale(0.9)}.modal-out-enter .modal-container[data-v-7607651d],.modal-out-leave-to .modal-container[data-v-7607651d]{transform:scale(1.1)}.modal-mask .play-pause .progress-ring[data-v-7607651d]{position:absolute;top:0;left:0;transform:rotate(-90deg)}.modal-mask .play-pause .progress-ring .progress-ring__circle[data-v-7607651d]{transition:100ms stroke-dashoffset;transform-origin:50% 50%;animation:progressring-data-v-7607651d linear 3s infinite;stroke-linecap:round;stroke-dashoffset:94.24778;stroke-dasharray:94.24778}.modal-mask .play-pause--paused .icon-pause[data-v-7607651d]{animation:breath-data-v-7607651d 2s cubic-bezier(0.4, 0, 0.2, 1) infinite}.modal-mask .play-pause--paused .progress-ring__circle[data-v-7607651d]{animation-play-state:paused !important}@keyframes progressring-data-v-7607651d{from{stroke-dashoffset:94.24778}to{stroke-dashoffset:0}}@keyframes breath-data-v-7607651d{0%{opacity:1}50%{opacity:0}100%{opacity:1}}\n',""]),e.exports=t},26:function(e,t){e.exports=s(99)},27:function(e,t){e.exports=s(208)},28:function(e,t){e.exports=s(209)},29:function(e,t,s){var n=s(74);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("ad54af0c",n,!0,{})},3:function(e,t){e.exports=s(45)},30:function(e,t){e.exports=s(212)},31:function(e,t,s){"use strict";s(24),s(14),s(72),s(12);var n=s(3),o=s.n(n);t.a=function(e,t,s){if(void 0!==e)for(var n=e.length-1;n>=0;n--){var i=e[n],a=!i.componentOptions&&i.tag&&-1===t.indexOf(i.tag),r=!!i.componentOptions&&"string"==typeof i.componentOptions.tag,A=r&&-1===t.indexOf(i.componentOptions.tag);(a||!r||A)&&((a||A)&&o.a.util.warn("".concat(a?i.tag:i.componentOptions.tag," is not allowed inside the ").concat(s.$options.name," component"),s),e.splice(n,1))}}},32:function(e,t,s){"use strict";var n=s(6);t.a={methods:{n:n.a,t:n.b}}},33:function(e,t){e.exports=s(101)},37:function(e,t){},38:function(e,t,s){"use strict";s.r(t);var n=s(46);
+ */Object(n.a)(h),t.default=h},19:function(e,t){e.exports=s(52)},2:function(e,t,s){"use strict";function n(e,t){for(var s=[],n={},o=0;o<t.length;o++){var r=t[o],i=r[0],a={id:e+":"+o,css:r[1],media:r[2],sourceMap:r[3]};n[i]?n[i].parts.push(a):s.push(n[i]={id:i,parts:[a]})}return s}s.r(t),s.d(t,"default",(function(){return g}));var o="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!o)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var r={},i=o&&(document.head||document.getElementsByTagName("head")[0]),a=null,c=0,A=!1,l=function(){},m=null,u="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function g(e,t,s,o){A=s,m=o||{};var i=n(e,t);return d(i),function(t){for(var s=[],o=0;o<i.length;o++){var a=i[o];(c=r[a.id]).refs--,s.push(c)}for(t?d(i=n(e,t)):i=[],o=0;o<s.length;o++){var c;if(0===(c=s[o]).refs){for(var A=0;A<c.parts.length;A++)c.parts[A]();delete r[c.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],n=r[s.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](s.parts[o]);for(;o<s.parts.length;o++)n.parts.push(f(s.parts[o]));n.parts.length>s.parts.length&&(n.parts.length=s.parts.length)}else{var i=[];for(o=0;o<s.parts.length;o++)i.push(f(s.parts[o]));r[s.id]={id:s.id,refs:1,parts:i}}}}function p(){var e=document.createElement("style");return e.type="text/css",i.appendChild(e),e}function f(e){var t,s,n=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(n){if(A)return l;n.parentNode.removeChild(n)}if(u){var o=c++;n=a||(a=p()),t=M.bind(null,n,o,!1),s=M.bind(null,n,o,!0)}else n=p(),t=T.bind(null,n),s=function(){n.parentNode.removeChild(n)};return t(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;t(e=n)}else s()}}var h,v=(h=[],function(e,t){return h[e]=t,h.filter(Boolean).join("\n")});function M(e,t,s,n){var o=s?"":n.css;if(e.styleSheet)e.styleSheet.cssText=v(t,o);else{var r=document.createTextNode(o),i=e.childNodes;i[t]&&e.removeChild(i[t]),i.length?e.insertBefore(r,i[t]):e.appendChild(r)}}function T(e,t){var s=t.css,n=t.media,o=t.sourceMap;if(n&&e.setAttribute("media",n),m.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),o&&(s+="\n/*# sourceURL="+o.sources[0]+" */",s+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+" */"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},20:function(e,t){e.exports=s(100)},21:function(e,t){e.exports=s(102)},22:function(e,t){e.exports=s(60)},23:function(e,t){e.exports=s(207)},24:function(e,t,s){"use strict";s(14),s(26);var n=s(6),o=s.n(n);
/**
- * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>
+ * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>
*
* @author John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -105,7 +105,7 @@ n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */t.default=n.a},39:function(e,t){e.exports=s(110)},4:function(e,t){e.exports=s(193)},42:function(e,t,s){var n=s(43);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("941c791e",n,!0,{})},43:function(e,t,s){(t=s(1)(!1)).push([e.i,".vue-tooltip[data-v-6f41c0d]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;white-space:normal;text-decoration:none;letter-spacing:normal;word-spacing:normal;text-transform:none;word-wrap:normal;word-break:normal;opacity:0;text-shadow:none;font-family:'Nunito', 'Open Sans', Frutiger, Calibri, 'Myriad Pro', Myriad, sans-serif;font-size:12px;font-weight:normal;font-style:normal;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-6f41c0d][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-6f41c0d][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-6f41c0d][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-6f41c0d] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-6f41c0d] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\n",""]),e.exports=t},46:function(e,t,s){"use strict";s(24),s(39),s(14);var n=s(16),o=s(13),i=s(18),a=(s(71),function(e){var t=e.getBoundingClientRect(),s=document.documentElement.clientHeight,n=document.documentElement.clientWidth,o=Object.assign({});return o.top=t.top<0,o.left=t.left<0,o.bottom=t.bottom>s,o.right=t.right>n,o.any=o.top||o.left||o.bottom||o.right,o.all=o.top&&o.left&&o.bottom&&o.right,o.offsetY=o.top?t.top:o.bottom?t.bottom-s:0,o.offsetX=o.left?t.left:o.right?t.right-n:0,o}),r=s(31),A=s(6),c=["ActionButton","ActionCheckbox","ActionInput","ActionLink","ActionRadio","ActionRouter","ActionSeparator","ActionText","ActionTextEditable"],l={name:"Actions",directives:{ClickOutside:n.directive,tooltip:o.default},props:{open:{type:Boolean,default:!1},forceMenu:{type:Boolean,default:!1},menuAlign:{type:String,default:"center",validator:function(e){return["left","center","right"].indexOf(e)>-1}},menuTitle:{type:String,default:null},primary:{type:Boolean,default:!1},defaultIcon:{type:String,default:"action-item__menutoggle--default-icon"},ariaLabel:{type:String,default:Object(A.b)("Actions")}},data:function(){return{actions:[],opened:this.open,focusIndex:0,randomId:"menu-"+Object(i.a)(),offsetX:0,offsetY:0,offsetYArrow:0,rotateArrow:!1,children:this.$children}},computed:{hasMultipleActions:function(){return this.actions.length>1},isValidSingleAction:function(){return 1===this.actions.length&&null!==this.firstActionElement},firstActionVNode:function(){return this.actions[0]},firstAction:function(){return this.children[0]?this.children[0]:{}},firstActionBinding:function(){if(this.firstActionVNode&&this.firstActionVNode.componentOptions){var e=this.firstActionVNode.componentOptions.tag;if("ActionLink"===e)return{is:"a",href:this.firstAction.href,target:this.firstAction.target,"aria-label":this.firstAction.ariaLabel};if("ActionRouter"===e)return{is:"router-link",to:this.firstAction.to,exact:this.firstAction.exact,"aria-label":this.firstAction.ariaLabel};if("ActionButton"===e)return{is:"button","aria-label":this.firstAction.ariaLabel}}return null},firstActionEvent:function(){return this.firstActionVNode&&this.firstActionVNode.componentOptions&&this.firstActionVNode.componentOptions.listeners&&this.firstActionVNode.componentOptions.listeners.click},firstActionEventBinding:function(){return this.firstActionEvent?"click":null},firstActionClass:function(){var e=this.firstActionVNode&&this.firstActionVNode.data.staticClass,t=this.firstActionVNode&&this.firstActionVNode.data.class;return"".concat(e," ").concat(t)}},watch:{open:function(e){var t=this;this.opened=e,this.opened&&this.$nextTick((function(){t.onOpen()}))}},beforeMount:function(){this.initActions(),Object(r.a)(this.$slots.default,c,this)},beforeUpdate:function(){this.initActions(),Object(r.a)(this.$slots.default,c,this)},methods:{toggleMenu:function(e){var t=this;this.opened="boolean"==typeof e?e:!this.opened,this.opened?(this.$nextTick((function(){t.onOpen(),t.focusFirstAction()})),this.$emit("open")):(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,this.$emit("close")),this.$emit("update:open",this.opened)},closeMenu:function(e){this.opened&&(this.$emit("update:open",!1),this.$emit("close"),this.opened=!1,this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1)},onOpen:function(){if(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,"center"===this.menuAlign){var e=a(this.$refs.menu);(e.left||e.right)&&(this.offsetX=e.offsetX>0?Math.round(e.offsetX)+5:Math.round(e.offsetX)-5),e.bottom&&(this.offsetY=0-Math.round(this.$refs.menu.clientHeight)-42,this.offsetYArrow=Math.round(this.$refs.menu.clientHeight)+18,this.rotateArrow=!0)}},onMouseFocusAction:function(e){if(document.activeElement!==e.target){var t=e.target.closest("li");if(t){var s=t.querySelector(".focusable");if(s){var n=this.$refs.menu.querySelectorAll(".focusable"),o=Array.prototype.indexOf.call(n,s);o>-1&&(this.focusIndex=o,this.focusAction())}}}},removeCurrentActive:function(){var e=this.$refs.menu.querySelector("li.active");e&&e.classList.remove("active")},focusAction:function(){var e=this.$refs.menu.querySelectorAll(".focusable")[this.focusIndex];if(e){var t=e.closest("li");e.focus(),t&&(this.removeCurrentActive(),t.classList.add("active"))}},focusPreviousAction:function(){this.focusIndex=Math.max(this.focusIndex-1,0),this.focusAction()},focusNextAction:function(){this.focusIndex=Math.min(this.focusIndex+1,this.$refs.menu.querySelectorAll(".focusable").length-1),this.focusAction()},focusFirstAction:function(){this.focusIndex=0,this.focusAction()},focusLastAction:function(){this.focusIndex=this.$el.querySelectorAll(".focusable").length-1,this.focusAction()},execFirstAction:function(e){this.firstActionEvent&&this.firstActionEvent(e)},initActions:function(){this.actions=(this.$slots.default||[]).filter((function(e){return!!e&&!!e.componentOptions}))}}},m=(s(73),s(0)),u=s(37),g=s.n(u),d=Object(m.a)(l,(function(){var e,t=this,s=t.$createElement,n=t._self._c||s;return t.isValidSingleAction&&!t.forceMenu?n("element",t._b({directives:[{name:"tooltip",rawName:"v-tooltip.auto",value:t.firstAction.text,expression:"firstAction.text",modifiers:{auto:!0}}],staticClass:"action-item action-item--single",class:[t.firstAction.icon,t.firstActionClass],attrs:{rel:"noreferrer noopener"},on:t._d({},[t.firstActionEventBinding,t.execFirstAction])},"element",t.firstActionBinding,!1),[n("span",{attrs:{"aria-hidden":!0,hidden:""}},[t._t("default")],2)]):n("div",{directives:[{name:"show",rawName:"v-show",value:t.hasMultipleActions||t.forceMenu,expression:"hasMultipleActions || forceMenu"},{name:"click-outside",rawName:"v-click-outside",value:t.closeMenu,expression:"closeMenu"}],staticClass:"action-item",class:{"action-item--open":t.opened},on:{keydown:[function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"up",38,e.key,["Up","ArrowUp"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusPreviousAction(e))},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"down",40,e.key,["Down","ArrowDown"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusNextAction(e))},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"tab",9,e.key,"Tab")?null:e.shiftKey?(e.preventDefault(),t.focusPreviousAction(e)):null},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"page-up",void 0,e.key,void 0)||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusFirstAction(e))},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"page-down",void 0,e.key,void 0)||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusLastAction(e))},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"esc",27,e.key,["Esc","Escape"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.closeMenu(e))}]}},[n("button",{staticClass:"icon action-item__menutoggle",class:(e={},e[t.defaultIcon]=!0,e["action-item__menutoggle--with-title"]=t.menuTitle,e["action-item__menutoggle--primary"]=t.primary,e),attrs:{"aria-label":t.ariaLabel,"aria-haspopup":"true","aria-controls":t.randomId,"aria-expanded":t.opened},on:{click:function(e){return e.preventDefault(),t.toggleMenu(e)},keydown:function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"space",32,e.key,[" ","Spacebar"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.toggleMenu(e))}}},[t._v("\n\t\t"+t._s(t.menuTitle)+"\n\t")]),t._v(" "),n("div",{directives:[{name:"show",rawName:"v-show",value:t.opened,expression:"opened"}],ref:"menu",staticClass:"action-item__menu",class:["menu-"+t.menuAlign,{open:t.opened}],style:{marginRight:t.offsetX+"px",marginTop:t.offsetY+"px"},attrs:{tabindex:"-1"},on:{mousemove:t.onMouseFocusAction}},[n("div",{staticClass:"action-item__menu_arrow",style:{transform:"translateX("+t.offsetX+"px) translateY("+t.offsetYArrow+"px) "+(t.rotateArrow?" rotate(180deg)":"")}}),t._v(" "),n("ul",{attrs:{id:t.randomId,tabindex:"-1"}},[t.opened?[t._t("default")]:t._e()],2)])])}),[],!1,null,"13bd5491",null);"function"==typeof g.a&&g()(d),t.a=d.exports},47:function(e,t,s){"use strict";
+ */t.a={before:function(){this.$slots.default&&""!==this.text.trim()||(o.a.util.warn("".concat(this.$options.name," cannot be empty and requires a meaningful text content"),this),this.$destroy(),this.$el.remove())},beforeUpdate:function(){this.text=this.getText()},data:function(){return{text:this.getText()}},computed:{isLongText:function(){return this.text&&this.text.trim().length>20}},methods:{getText:function(){return this.$slots.default?this.$slots.default[0].text.trim():""}}}},25:function(e,t){e.exports=s(208)},26:function(e,t){e.exports=s(210)},263:function(e,t,s){"use strict";var n=s(133);s.n(n).a},264:function(e,t,s){var n=s(1),o=s(8),r=s(9),i=s(10),a=s(11),c=s(12);t=n(!1);var A=o(r),l=o(i),m=o(a),u=o(c);t.push([e.i,'@font-face{font-family:"iconfont-vue-91c9b6f";src:url('+A+");src:url("+A+') format("embedded-opentype"),url('+l+') format("woff"),url('+m+') format("truetype"),url('+u+') format("svg")}.icon[data-v-4dc6855f]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-left[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-right-double[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-right[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.breadcrumb[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.checkmark[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.close[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.confirm[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.info[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.menu[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.more[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.pause[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.play[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.triangle-s[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";content:""}.modal-mask[data-v-4dc6855f]{position:fixed;z-index:9998;top:0;left:0;display:block;width:100%;height:100%;background-color:rgba(0,0,0,0.5)}.modal-mask--dark[data-v-4dc6855f]{background-color:rgba(0,0,0,0.92)}.modal-header[data-v-4dc6855f]{position:absolute;z-index:10001;top:0;right:0;left:0;display:flex !important;align-items:center;justify-content:center;width:100%;height:50px;transition:opacity 250ms, visibility 250ms}.modal-header.invisible[style*=\'display:none\'][data-v-4dc6855f],.modal-header.invisible[style*=\'display: none\'][data-v-4dc6855f]{visibility:hidden}.modal-header .modal-title[data-v-4dc6855f]{overflow-x:hidden;box-sizing:border-box;width:100%;padding:0 132px 0 12px;transition:padding ease 100ms;white-space:nowrap;text-overflow:ellipsis;color:#fff;font-size:14px}@media only screen and (min-width: 512px){.modal-header .modal-title[data-v-4dc6855f]{text-align:center;padding-left:132px}}.modal-header .icons-menu[data-v-4dc6855f]{position:absolute;right:0;display:flex;align-items:center;justify-content:flex-end}.modal-header .icons-menu .icon-close[data-v-4dc6855f]{box-sizing:border-box;margin:3px;padding:10px 11px;color:#fff;background-image:none;font-size:23px}.modal-header .icons-menu .icon-close[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";font-style:normal;font-weight:400;content:""}.modal-header .icons-menu .play-pause[data-v-4dc6855f]{position:relative;width:50px;height:50px;margin:0;padding:0;cursor:pointer;color:white;border:none;background-color:transparent;font-size:22px}.modal-header .icons-menu .play-pause:hover .icon-play[data-v-4dc6855f],.modal-header .icons-menu .play-pause:hover .icon-pause[data-v-4dc6855f],.modal-header .icons-menu .play-pause:focus .icon-play[data-v-4dc6855f],.modal-header .icons-menu .play-pause:focus .icon-pause[data-v-4dc6855f]{opacity:1;border-radius:22px;background-color:rgba(127,127,127,0.25)}.modal-header .icons-menu .play-pause .icon-play[data-v-4dc6855f],.modal-header .icons-menu .play-pause .icon-pause[data-v-4dc6855f]{box-sizing:border-box;width:44px;height:44px;margin:3px;opacity:.7;background-image:none;cursor:pointer}.modal-header .icons-menu .play-pause .icon-play[data-v-4dc6855f]{padding:11px 13px}.modal-header .icons-menu .play-pause .icon-play[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";font-style:normal;font-weight:400;content:""}.modal-header .icons-menu .play-pause .icon-pause[data-v-4dc6855f]{padding:12px;font-size:19.5px}.modal-header .icons-menu .play-pause .icon-pause[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";font-style:normal;font-weight:400;content:""}.modal-header .icons-menu .header-actions[data-v-4dc6855f]{margin:3px;color:white}.modal-header .icons-menu .action-item--single[data-v-4dc6855f]{box-sizing:border-box;width:44px;height:44px;cursor:pointer;background-position:center;background-size:22px}.modal-header .icons-menu[data-v-4dc6855f] .action-item__menutoggle{padding:13px 11px;color:#fff;font-size:22px}.modal-wrapper[data-v-4dc6855f]{display:flex;align-items:center;justify-content:center;box-sizing:border-box;width:100%;height:100%}.modal-wrapper .prev[data-v-4dc6855f],.modal-wrapper .next[data-v-4dc6855f]{z-index:10000;display:flex !important;align-items:center;justify-content:center;width:15%;min-width:60px;height:100%;transition:opacity 250ms, visibility 250ms}.modal-wrapper .prev.invisible[style*=\'display:none\'][data-v-4dc6855f],.modal-wrapper .prev.invisible[style*=\'display: none\'][data-v-4dc6855f],.modal-wrapper .next.invisible[style*=\'display:none\'][data-v-4dc6855f],.modal-wrapper .next.invisible[style*=\'display: none\'][data-v-4dc6855f]{visibility:hidden}.modal-wrapper .icon-next[data-v-4dc6855f],.modal-wrapper .icon-previous[data-v-4dc6855f]{box-sizing:border-box;width:44px;height:44px;padding:12px 11px;color:white;border-radius:22px;background-image:none;font-size:24px}.modal-wrapper .icon-previous[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";font-style:normal;font-weight:400;content:""}.modal-wrapper .icon-next[data-v-4dc6855f]:before{font-family:"iconfont-vue-91c9b6f";font-style:normal;font-weight:400;content:""}.modal-wrapper .modal-container[data-v-4dc6855f]{display:block;overflow:hidden;padding:0;transition:transform 300ms ease;border-radius:var(--border-radius-large);background-color:var(--color-main-background);box-shadow:0 0 40px rgba(0,0,0,0.2)}.modal-wrapper:not(.modal-wrapper--large):not(.modal-wrapper--full) .modal-container[data-v-4dc6855f]{max-width:900px;max-height:80%}.modal-wrapper--full .modal-container[data-v-4dc6855f]{max-width:100%;max-height:100%;border-radius:0}.modal-wrapper--full .prev[data-v-4dc6855f],.modal-wrapper--full .next[data-v-4dc6855f],.modal-wrapper--spread-navigation .prev[data-v-4dc6855f],.modal-wrapper--spread-navigation .next[data-v-4dc6855f]{position:absolute;width:10%}.modal-wrapper--full .prev[data-v-4dc6855f],.modal-wrapper--spread-navigation .prev[data-v-4dc6855f]{left:0}.modal-wrapper--full .next[data-v-4dc6855f],.modal-wrapper--spread-navigation .next[data-v-4dc6855f]{right:0}.modal-wrapper--large .modal-container[data-v-4dc6855f]{max-width:85%;max-height:90%}.modal-wrapper--large .prev[data-v-4dc6855f],.modal-wrapper--large .next[data-v-4dc6855f]{width:10%;min-width:44px}.fade-enter-active[data-v-4dc6855f],.fade-leave-active[data-v-4dc6855f]{transition:opacity 250ms}.fade-enter[data-v-4dc6855f],.fade-leave-to[data-v-4dc6855f]{opacity:0}.fade-visibility-enter[data-v-4dc6855f],.fade-visibility-leave-to[data-v-4dc6855f]{visibility:hidden;opacity:0}.modal-in-enter-active[data-v-4dc6855f],.modal-in-leave-active[data-v-4dc6855f],.modal-out-enter-active[data-v-4dc6855f],.modal-out-leave-active[data-v-4dc6855f]{transition:opacity 250ms}.modal-in-enter[data-v-4dc6855f],.modal-in-leave-to[data-v-4dc6855f],.modal-out-enter[data-v-4dc6855f],.modal-out-leave-to[data-v-4dc6855f]{opacity:0}.modal-in-enter .modal-container[data-v-4dc6855f],.modal-in-leave-to .modal-container[data-v-4dc6855f]{transform:scale(0.9)}.modal-out-enter .modal-container[data-v-4dc6855f],.modal-out-leave-to .modal-container[data-v-4dc6855f]{transform:scale(1.1)}.modal-mask .play-pause .progress-ring[data-v-4dc6855f]{position:absolute;top:0;left:0;transform:rotate(-90deg)}.modal-mask .play-pause .progress-ring .progress-ring__circle[data-v-4dc6855f]{transition:100ms stroke-dashoffset;transform-origin:50% 50%;animation:progressring-data-v-4dc6855f linear 3s infinite;stroke-linecap:round;stroke-dashoffset:94.24778;stroke-dasharray:94.24778}.modal-mask .play-pause--paused .icon-pause[data-v-4dc6855f]{animation:breath-data-v-4dc6855f 2s cubic-bezier(0.4, 0, 0.2, 1) infinite}.modal-mask .play-pause--paused .progress-ring__circle[data-v-4dc6855f]{animation-play-state:paused !important}@keyframes progressring-data-v-4dc6855f{from{stroke-dashoffset:94.24778}to{stroke-dashoffset:0}}@keyframes breath-data-v-4dc6855f{0%{opacity:1}50%{opacity:0}100%{opacity:1}}\n',""]),e.exports=t},27:function(e,t){e.exports=s(187)},28:function(e,t){e.exports=s(99)},29:function(e,t,s){"use strict";var n=s(3);t.a={methods:{n:n.a,t:n.b}}},3:function(e,t,s){"use strict";s.d(t,"b",(function(){return a})),s.d(t,"a",(function(){return i})),s(7);var n=s(23),o=Object(n.getGettextBuilder)().detectLocale();[{locale:"br",json:{charset:"utf-8",headers:{"Last-Translator":"Kervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020","Language-Team":"Breton (https://www.transifex.com/nextcloud/teams/64236/br/)","Content-Type":"text/plain; charset=UTF-8",Language:"br","Plural-Forms":"nplurals=5; plural=((n%10 == 1) && (n%100 != 11) && (n%100 !=71) && (n%100 !=91) ? 0 :(n%10 == 2) && (n%100 != 12) && (n%100 !=72) && (n%100 !=92) ? 1 :(n%10 ==3 || n%10==4 || n%10==9) && (n%100 < 10 || n% 100 > 19) && (n%100 < 70 || n%100 > 79) && (n%100 < 90 || n%100 > 99) ? 2 :(n != 0 && n % 1000000 == 0) ? 3 : 4);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nKervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020\n"},msgstr:["Last-Translator: Kervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020\nLanguage-Team: Breton (https://www.transifex.com/nextcloud/teams/64236/br/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: br\nPlural-Forms: nplurals=5; plural=((n%10 == 1) && (n%100 != 11) && (n%100 !=71) && (n%100 !=91) ? 0 :(n%10 == 2) && (n%100 != 12) && (n%100 !=72) && (n%100 !=92) ? 1 :(n%10 ==3 || n%10==4 || n%10==9) && (n%100 < 10 || n% 100 > 19) && (n%100 < 70 || n%100 > 79) && (n%100 < 90 || n%100 > 99) ? 2 :(n != 0 && n % 1000000 == 0) ? 3 : 4);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (diwelus)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (bevennet)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Oberioù"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Dibab"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Seriñ"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Da heul"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Disoc'h ebet"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Arsav an diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["A-raok"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Choaz un tag"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Arventoù"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Kregiñ an diaporama"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Dibosuple klask ar strollad"]}}}}},{locale:"ca",json:{charset:"utf-8",headers:{"Last-Translator":"Carles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020","Language-Team":"Catalan (https://www.transifex.com/nextcloud/teams/64236/ca/)","Content-Type":"text/plain; charset=UTF-8",Language:"ca","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nMarc Riera <marcriera@softcatala.org>, 2020\nCarles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020\n"},msgstr:["Last-Translator: Carles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020\nLanguage-Team: Catalan (https://www.transifex.com/nextcloud/teams/64236/ca/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ca\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restringit)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Accions"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Trieu"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Tanca"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Següent"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Sense resultats"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Atura la presentació"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterior"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecciona una etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Paràmetres"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Inicia la presentació"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["No es pot cercar el grup"]}}}}},{locale:"cs_CZ",json:{charset:"utf-8",headers:{"Last-Translator":"Pavel Borecki <pavel.borecki@gmail.com>, 2020","Language-Team":"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)","Content-Type":"text/plain; charset=UTF-8",Language:"cs_CZ","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nPavel Borecki <pavel.borecki@gmail.com>, 2020\n"},msgstr:["Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: cs_CZ\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (neviditelný)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (omezený)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Akce"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Aktivity"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Zvířata a příroda"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Zvolit"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Zavřít"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Uživatelsky určené"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Příznaky"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Jídlo a pití"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Často používané"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Následující"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Nenalezeno žádné emoji"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Žádné výsledky"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Objekty"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pozastavit prezentaci"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Lidé a tělo"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Vyberte emoji"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Předchozí"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Hledat"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Výsledky hledání"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Vybrat štítek"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Nastavení"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Úsměvy a emoce"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Spustit prezentaci"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Symboly"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Cestování a místa"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Nedaří se hledat skupinu"]}}}}},{locale:"da",json:{charset:"utf-8",headers:{"Last-Translator":"Thomas Nielsen <thsnielsen@gmail.com>, 2020","Language-Team":"Danish (https://www.transifex.com/nextcloud/teams/64236/da/)","Content-Type":"text/plain; charset=UTF-8",Language:"da","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nThomas Nielsen <thsnielsen@gmail.com>, 2020\n"},msgstr:["Last-Translator: Thomas Nielsen <thsnielsen@gmail.com>, 2020\nLanguage-Team: Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: da\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (usynlig)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (begrænset)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Handlinger"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Vælg"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Luk"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Videre"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Ingen resultater"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Suspender fremvisning"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Forrige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Vælg et mærke"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Indstillinger"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Start fremvisning"]}}}}},{locale:"de",json:{charset:"utf-8",headers:{"Last-Translator":"Mario Siegmann <mario_siegmann@web.de>, 2020","Language-Team":"German (https://www.transifex.com/nextcloud/teams/64236/de/)","Content-Type":"text/plain; charset=UTF-8",Language:"de","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\nAndreas Eitel <github-aneitel@online.de>, 2020\nMario Siegmann <mario_siegmann@web.de>, 2020\n"},msgstr:["Last-Translator: Mario Siegmann <mario_siegmann@web.de>, 2020\nLanguage-Team: German (https://www.transifex.com/nextcloud/teams/64236/de/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: de\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (unsichtbar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (eingeschränkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Aktionen"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Aktivitäten"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Tiere & Natur"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Auswählen"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Schließen"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Benutzerdefiniert"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Markierung"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Essen & Trinken"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Häufig verwendet"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Weiter"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Kein Emoji gefunden"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Keine Ergebnisse"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Gegenstände"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow pausieren"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Menschen & Körper"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Ein Emoji auswählen"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorherige"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Ein Emoji auswählen"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Suchergebnisse"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Schlagwort auswählen"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Einstellungen"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Smileys & Emotionen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow starten"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Symbole"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Reisen & Orte"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Die Gruppe konnte nicht durchsucht werden"]}}}}},{locale:"de_DE",json:{charset:"utf-8",headers:{"Last-Translator":"Mario Siegmann <mario_siegmann@web.de>, 2020","Language-Team":"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)","Content-Type":"text/plain; charset=UTF-8",Language:"de_DE","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\nProfDrJones <jones@fs.cs.hm.edu>, 2020\nMario Siegmann <mario_siegmann@web.de>, 2020\n"},msgstr:["Last-Translator: Mario Siegmann <mario_siegmann@web.de>, 2020\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: de_DE\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (unsichtbar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (eingeschränkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Aktionen"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Aktivitäten"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Tiere & Natur"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Auswählen"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Schließen"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Benutzerdefiniert"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Markierung"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Essen & Trinken"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Häufig verwendet"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Weiter"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Kein Emoji gefunden"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Keine Ergebnisse"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Gegenstände"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow pausieren"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Menschen & Körper"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Ein Emoji auswählen"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorherige"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Suchen"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Suchergebnisse"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Schlagwort auswählen"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Einstellungen"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Smileys & Emotionen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow starten"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Symbole"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Reisen & Orte"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Die Gruppe kann nicht durchsucht werden"]}}}}},{locale:"el",json:{charset:"utf-8",headers:{"Last-Translator":"george k <norhorn@gmail.com>, 2020","Language-Team":"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)","Content-Type":"text/plain; charset=UTF-8",Language:"el","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nEfstathios Iosifidis <iefstathios@gmail.com>, 2020\ngeorge k <norhorn@gmail.com>, 2020\n"},msgstr:["Last-Translator: george k <norhorn@gmail.com>, 2020\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: el\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (αόρατο)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (περιορισμένο)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Ενέργειες"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Επιλογή"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Κλείσιμο"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Επόμενο"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:34"},msgstr:["Κανένα αποτέλεσμα"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Παύση προβολής διαφανειών"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Προηγούμενο"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Επιλογή ετικέτας"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ρυθμίσεις"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Έναρξη προβολής διαφανειών"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:138"},msgstr:["Δεν είναι δυνατή η αναζήτηση της ομάδας"]}}}}},{locale:"es",json:{charset:"utf-8",headers:{"Last-Translator":"asd fgh <c1@cgps.xyz>, 2020","Language-Team":"Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)","Content-Type":"text/plain; charset=UTF-8",Language:"es","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\njavier san felipe <jsanfe@gmail.com>, 2020\nasd fgh <c1@cgps.xyz>, 2020\n"},msgstr:["Last-Translator: asd fgh <c1@cgps.xyz>, 2020\nLanguage-Team: Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: es\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{etiqueta} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{etiqueta} (restringido)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["acciones"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Elige"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Cierra"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Siguiente"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:[" Ningún resultado"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausa la presentación "]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterior"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecciona una etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ajustes"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Comienza la presentación "]}}}}},{locale:"eu",json:{charset:"utf-8",headers:{"Last-Translator":"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020","Language-Team":"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)","Content-Type":"text/plain; charset=UTF-8",Language:"eu","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\n"},msgstr:["Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: eu\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (ikusezina)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (mugatua)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Aukeratu"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Itxi"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Hurrengoa"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Emaitzarik ez"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pausatu diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Aurrekoa"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Hautatu etiketa bat"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ezarpenak"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Hasi diaporama"]}}}}},{locale:"fi_FI",json:{charset:"utf-8",headers:{"Last-Translator":"Robin Lahtinen <robin.lahtinen@gmail.com>, 2020","Language-Team":"Finnish (Finland) (https://www.transifex.com/nextcloud/teams/64236/fi_FI/)","Content-Type":"text/plain; charset=UTF-8",Language:"fi_FI","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nRobin Lahtinen <robin.lahtinen@gmail.com>, 2020\n"},msgstr:["Last-Translator: Robin Lahtinen <robin.lahtinen@gmail.com>, 2020\nLanguage-Team: Finnish (Finland) (https://www.transifex.com/nextcloud/teams/64236/fi_FI/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: fi_FI\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (näkymätön)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (rajoitettu)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Toiminnot"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Valitse"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Sulje"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seuraava"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Ei tuloksia"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Keskeytä diaesitys"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Edellinen"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Valitse tagi"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Asetukset"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Aloita diaesitys"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Ryhmää ei voi hakea"]}}}}},{locale:"fr",json:{charset:"utf-8",headers:{"Last-Translator":"Luclu7 <theluc7andcompagnie@gmail.com>, 2020","Language-Team":"French (https://www.transifex.com/nextcloud/teams/64236/fr/)","Content-Type":"text/plain; charset=UTF-8",Language:"fr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nBrendan Abolivier <transifex@brendanabolivier.com>, 2020\ngud bes <gudbes@protonmail.com>, 2020\nGreg Greg <grena@grenabox.fr>, 2020\nLuclu7 <theluc7andcompagnie@gmail.com>, 2020\n"},msgstr:["Last-Translator: Luclu7 <theluc7andcompagnie@gmail.com>, 2020\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: fr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restreint)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Actions"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Choisir"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Fermer"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Suivant"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Aucun résultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Mettre le diaporama en pause"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Précédent"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Sélectionnez une balise"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Paramètres"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Démarrer le diaporama"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Impossible de chercher le groupe"]}}}}},{locale:"gl",json:{charset:"utf-8",headers:{"Last-Translator":"Anonymous Person <pessoaemluta@protonmail.com>, 2020","Language-Team":"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)","Content-Type":"text/plain; charset=UTF-8",Language:"gl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\nAnonymous Person <pessoaemluta@protonmail.com>, 2020\n"},msgstr:["Last-Translator: Anonymous Person <pessoaemluta@protonmail.com>, 2020\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: gl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisíbel)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restrinxido)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Accións"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escoller"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Pechar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seguinte"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Sen resultados"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausar o diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterir"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleccione unha etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Axustes"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Iniciar o diaporama"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Non se puido procurar o grupo."]}}}}},{locale:"he",json:{charset:"utf-8",headers:{"Last-Translator":"Yaron Shahrabani <sh.yaron@gmail.com>, 2020","Language-Team":"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)","Content-Type":"text/plain; charset=UTF-8",Language:"he","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\n"},msgstr:["Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: he\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (נסתר)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (מוגבל)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["בחירה"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["סגירה"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["הבא"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["אין תוצאות"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["השהיית מצגת"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["הקודם"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["בחירת תגית"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["הגדרות"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["התחלת המצגת"]}}}}},{locale:"hu_HU",json:{charset:"utf-8",headers:{"Last-Translator":"asbot10 <asbot000@gmail.com>, 2020","Language-Team":"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)","Content-Type":"text/plain; charset=UTF-8",Language:"hu_HU","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nBalázs Meskó <mesko.balazs@fsf.hu>, 2020\nasbot10 <asbot000@gmail.com>, 2020\n"},msgstr:["Last-Translator: asbot10 <asbot000@gmail.com>, 2020\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: hu_HU\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (láthatatlan)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (korlátozott)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:197"},msgstr:["Műveletek"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Válassszon"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Bezárás"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Következő"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nincs találat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diavetítés szüneteltetése"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Előző"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Válasszon címkét"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Beállítások"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diavetítés indítása"]}}}}},{locale:"is",json:{charset:"utf-8",headers:{"Last-Translator":"Sveinn í Felli <sv1@fellsnet.is>, 2020","Language-Team":"Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)","Content-Type":"text/plain; charset=UTF-8",Language:"is","Plural-Forms":"nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nSveinn í Felli <sv1@fellsnet.is>, 2020\n"},msgstr:["Last-Translator: Sveinn í Felli <sv1@fellsnet.is>, 2020\nLanguage-Team: Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: is\nPlural-Forms: nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (ósýnilegt)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (takmarkað)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Aðgerðir"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Velja"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Loka"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Næsta"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Engar niðurstöður"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Gera hlé á skyggnusýningu"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Fyrri"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Veldu merki"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Stillingar"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Byrja skyggnusýningu"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Get ekki leitað í hópnum"]}}}}},{locale:"it",json:{charset:"utf-8",headers:{"Last-Translator":"Random_R, 2020","Language-Team":"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)","Content-Type":"text/plain; charset=UTF-8",Language:"it","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nRandom_R, 2020\n"},msgstr:["Last-Translator: Random_R, 2020\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: it\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisibile)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (limitato)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Azioni"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Scegli"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Chiudi"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Successivo"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:34"},msgstr:["Nessun risultato"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Presentazione in pausa"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Precedente"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleziona un'etichetta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Impostazioni"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Avvia presentazione"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:138"},msgstr:["Impossibile cercare il gruppo"]}}}}},{locale:"ja_JP",json:{charset:"utf-8",headers:{"Last-Translator":"YANO Tetsu <tetuyano+transi@gmail.com>, 2020","Language-Team":"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)","Content-Type":"text/plain; charset=UTF-8",Language:"ja_JP","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\n"},msgstr:["Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ja_JP\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{タグ} (不可視)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{タグ} (制限付)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["操作"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["選択"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["閉じる"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["次"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["なし"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["スライドショーを一時停止"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["前"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["タグを選択"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["設定"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["スライドショーを開始"]}}}}},{locale:"lt_LT",json:{charset:"utf-8",headers:{"Last-Translator":"Moo, 2020","Language-Team":"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)","Content-Type":"text/plain; charset=UTF-8",Language:"lt_LT","Plural-Forms":"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMoo, 2020\n"},msgstr:["Last-Translator: Moo, 2020\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lt_LT\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (nematoma)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (apribota)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Pasirinkti"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Užverti"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Kitas"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nėra rezultatų"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pristabdyti skaidrių rodymą"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Ankstesnis"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Pasirinkti žymę"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Nustatymai"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pradėti skaidrių rodymą"]}}}}},{locale:"lv",json:{charset:"utf-8",headers:{"Last-Translator":"stendec <stendec@inbox.lv>, 2020","Language-Team":"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)","Content-Type":"text/plain; charset=UTF-8",Language:"lv","Plural-Forms":"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nstendec <stendec@inbox.lv>, 2020\n"},msgstr:["Last-Translator: stendec <stendec@inbox.lv>, 2020\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lv\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (neredzams)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ierobežots)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Izvēlēties"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Aizvērt"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Nākamais"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nav rezultātu"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pauzēt slaidrādi"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Iepriekšējais"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Izvēlēties birku"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Iestatījumi"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Sākt slaidrādi"]}}}}},{locale:"mk",json:{charset:"utf-8",headers:{"Last-Translator":"Сашко Тодоров, 2020","Language-Team":"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)","Content-Type":"text/plain; charset=UTF-8",Language:"mk","Plural-Forms":"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nСашко Тодоров, 2020\n"},msgstr:["Last-Translator: Сашко Тодоров, 2020\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: mk\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (невидливо)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ограничено)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Избери"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Затвори"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Следно"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Нема резултати"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Пузирај слајдшоу"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Предходно"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Избери ознака"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Параметри"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Стартувај слајдшоу"]}}}}},{locale:"nb_NO",json:{charset:"utf-8",headers:{"Last-Translator":"Ole Jakob Brustad <ole.jakob@brustadbuss.no>, 2020","Language-Team":"Norwegian Bokmål (Norway) (https://www.transifex.com/nextcloud/teams/64236/nb_NO/)","Content-Type":"text/plain; charset=UTF-8",Language:"nb_NO","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nOle Jakob Brustad <ole.jakob@brustadbuss.no>, 2020\n"},msgstr:["Last-Translator: Ole Jakob Brustad <ole.jakob@brustadbuss.no>, 2020\nLanguage-Team: Norwegian Bokmål (Norway) (https://www.transifex.com/nextcloud/teams/64236/nb_NO/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: nb_NO\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (usynlig)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (beskyttet)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Handlinger"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Velg"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Lukk"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Neste"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Ingen resultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pause lysbildefremvisning"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Forrige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Velg et merke"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Instillinger"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Start lysbildefremvisning"]}}}}},{locale:"nl",json:{charset:"utf-8",headers:{"Last-Translator":"Arjan van S, 2020","Language-Team":"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)","Content-Type":"text/plain; charset=UTF-8",Language:"nl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\nArjan van S, 2020\n"},msgstr:["Last-Translator: Arjan van S, 2020\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: nl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (onzichtbaar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (beperkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Acties"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Kies"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Sluiten"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Volgende"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Geen resultaten"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pauzeer diavoorstelling"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecteer een label"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Instellingen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Start diavoorstelling"]}}}}},{locale:"oc",json:{charset:"utf-8",headers:{"Last-Translator":"Quentin PAGÈS, 2020","Language-Team":"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)","Content-Type":"text/plain; charset=UTF-8",Language:"oc","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nQuentin PAGÈS, 2020\n"},msgstr:["Last-Translator: Quentin PAGÈS, 2020\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: oc\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (limit)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Accions"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Causir"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Tampar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seguent"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Cap de resultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Metre en pausa lo diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Precedent"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleccionar una etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Paramètres"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Lançar lo diaporama"]}}}}},{locale:"pl",json:{charset:"utf-8",headers:{"Last-Translator":"Valdnet, 2020","Language-Team":"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)","Content-Type":"text/plain; charset=UTF-8",Language:"pl","Plural-Forms":"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nValdnet, 2020\n"},msgstr:["Last-Translator: Valdnet, 2020\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pl\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (niewidoczna)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (ograniczona)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Działania"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Aktywność"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Zwierzęta i natura"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Wybierz"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Zamknij"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Zwyczajne"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Flagi"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Jedzenie i picie"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Często używane"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Następny"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Nie znaleziono emotikonów"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Brak wyników"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Obiekty"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Wstrzymaj pokaz slajdów"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Ludzie i ciało"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Wybierz emoji"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Poprzedni"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Szukaj"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Wyniki wyszukiwania"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Wybierz etykietę"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ustawienia"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Buźki i emotikony"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Rozpocznij pokaz slajdów"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Symbole"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Podróże i miejsca"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Nie można przeszukać grupy"]}}}}},{locale:"pt_BR",json:{charset:"utf-8",headers:{"Last-Translator":"Paulo Schopf, 2020","Language-Team":"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_BR","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nMaurício Gardini <accounts@mauriciogardini.com>, 2020\nPaulo Schopf, 2020\n"},msgstr:["Last-Translator: Paulo Schopf, 2020\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_BR\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisível)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restrito) "]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Ações"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Atividades"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Animais & Natureza"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escolher"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Fechar"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Personalizado"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Bandeiras"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Comida & Bebida"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Mais usados"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Próximo"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Nenhum emoji encontrado"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Sem resultados"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Objetos"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausar apresentação de slides"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Pessoas & Corpo"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Escolha um emoji"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterior"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Pesquisar"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Resultados da pesquisa"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecionar uma tag"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Configurações"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Smiles & Emoções"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Iniciar apresentação de slides"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Símbolo"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Viagem & Lugares"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Não foi possível pesquisar o grupo"]}}}}},{locale:"pt_PT",json:{charset:"utf-8",headers:{"Last-Translator":"Manuela Silva <manuelarodsilva@gmail.com>, 2020","Language-Team":"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_PT","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nfpapoila <fpapoila@gmail.com>, 2020\nManuela Silva <manuelarodsilva@gmail.com>, 2020\n"},msgstr:["Last-Translator: Manuela Silva <manuelarodsilva@gmail.com>, 2020\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_PT\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisivel)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restrito)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Ações"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escolher"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Fechar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seguinte"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Sem resultados"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausar diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterior"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecionar uma etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Definições"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Iniciar diaporama"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Não é possível pesquisar o grupo"]}}}}},{locale:"ru",json:{charset:"utf-8",headers:{"Last-Translator":"Alex <kekcuha@gmail.com>, 2020","Language-Team":"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)","Content-Type":"text/plain; charset=UTF-8",Language:"ru","Plural-Forms":"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAlex <kekcuha@gmail.com>, 2020\n"},msgstr:["Last-Translator: Alex <kekcuha@gmail.com>, 2020\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ru\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (невидимое)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ограниченное)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Выберите"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Закрыть"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Следующее"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Результаты отсуствуют"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Приостановить показ слйдов"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Предыдущее"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Выберите метку"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Параметры"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Начать показ слайдов"]}}}}},{locale:"sk_SK",json:{charset:"utf-8",headers:{"Last-Translator":"Anton Kuchár <tonokuc@pobox.sk>, 2020","Language-Team":"Slovak (Slovakia) (https://www.transifex.com/nextcloud/teams/64236/sk_SK/)","Content-Type":"text/plain; charset=UTF-8",Language:"sk_SK","Plural-Forms":"nplurals=4; plural=(n % 1 == 0 && n == 1 ? 0 : n % 1 == 0 && n >= 2 && n <= 4 ? 1 : n % 1 != 0 ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nAnton Kuchár <tonokuc@pobox.sk>, 2020\n"},msgstr:["Last-Translator: Anton Kuchár <tonokuc@pobox.sk>, 2020\nLanguage-Team: Slovak (Slovakia) (https://www.transifex.com/nextcloud/teams/64236/sk_SK/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sk_SK\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n == 1 ? 0 : n % 1 == 0 && n >= 2 && n <= 4 ? 1 : n % 1 != 0 ? 2: 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (neviditeľný)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (obmedzený)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:249"},msgstr:["Akcie"]},Activities:{msgid:"Activities",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:176"},msgstr:["Aktivity"]},"Animals & Nature":{msgid:"Animals & Nature",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:174"},msgstr:["Zvieratá a príroda"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Vybrať"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Zatvoriť"]},Custom:{msgid:"Custom",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:181"},msgstr:["Zvyk"]},Flags:{msgid:"Flags",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:180"},msgstr:["Vlajky"]},"Food & Drink":{msgid:"Food & Drink",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:175"},msgstr:["Jedlo a nápoje"]},"Frequently used":{msgid:"Frequently used",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:171"},msgstr:["Často používané"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Ďalší"]},"No emoji found":{msgid:"No emoji found",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:168"},msgstr:["Nenašli sa žiadne emodži"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Žiadne výsledky"]},Objects:{msgid:"Objects",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:178"},msgstr:["Objekty"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pozastaviť prezentáciu"]},"People & Body":{msgid:"People & Body",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:173"},msgstr:["Ľudia a telo"]},"Pick an emoji":{msgid:"Pick an emoji",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:153"},msgstr:["Vyberte si emodži"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Predchádzajúci"]},Search:{msgid:"Search",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:167"},msgstr:["Hľadať"]},"Search results":{msgid:"Search results",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:170"},msgstr:["Výsledky vyhľadávania"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Vybrať štítok"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Nastavenia"]},"Smileys & Emotion":{msgid:"Smileys & Emotion",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:172"},msgstr:["Smajlíky a emócie"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Začať prezentáciu"]},Symbols:{msgid:"Symbols",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:179"},msgstr:["Symboly"]},"Travel & Places":{msgid:"Travel & Places",comments:{reference:"src/components/EmojiPicker/EmojiPicker.vue:177"},msgstr:["Cestovanie a miesta"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Skupinu sa nepodarilo nájsť"]}}}}},{locale:"sv",json:{charset:"utf-8",headers:{"Last-Translator":"Jonatan Nyberg, 2020","Language-Team":"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)","Content-Type":"text/plain; charset=UTF-8",Language:"sv","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nGabriel Ekström <gabriel.ekstrom06@gmail.com>, 2020\nErik Lennartsson, 2020\nJonatan Nyberg, 2020\n"},msgstr:["Last-Translator: Jonatan Nyberg, 2020\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sv\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (osynlig)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (begränsad)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Åtgärder"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Välj"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Stäng"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Nästa"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Inga resultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausa bildspel"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Föregående"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Välj en tag"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Inställningar"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Starta bildspel"]}}}}},{locale:"tr",json:{charset:"utf-8",headers:{"Last-Translator":"Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020","Language-Team":"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)","Content-Type":"text/plain; charset=UTF-8",Language:"tr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nKemal Oktay Aktoğan <oktayaktogan@gmail.com>, 2020\nabc Def <hdogan1974@gmail.com>, 2020\nHüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\n"},msgstr:["Last-Translator: Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: tr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (görünmez)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (kısıtlı)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["Eylemler"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Seç"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Kapat"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Sonraki"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["Sonuçlar yok"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Slayt gösterisini duraklat"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Önceki"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Bir etiket seçin"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ayarlar"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Slayt gösterisini başlat"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["Grupta arama yapılamıyor"]}}}}},{locale:"uk",json:{charset:"utf-8",headers:{"Last-Translator":"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020","Language-Team":"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)","Content-Type":"text/plain; charset=UTF-8",Language:"uk","Plural-Forms":"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\n"},msgstr:["Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: uk\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restricted)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:197"},msgstr:["Дії"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Виберіть"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Закрити"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Вперед"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Відсутні результати"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Пауза у показі слайдів"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Назад"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Виберіть позначку"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Налаштування"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Почати показ слайдів"]}}}}},{locale:"zh_CN",json:{charset:"utf-8",headers:{"Last-Translator":"Pascal Janus <pascal_janus@163.com>, 2020","Language-Team":"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_CN","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"\nTranslators:\nSleepyJesse <Jesse_Xu@live.com>, 2020\nJianming Liang <fuufuukun@163.com>, 2020\nPascal Janus <pascal_janus@163.com>, 2020\n"},msgstr:["Last-Translator: Pascal Janus <pascal_janus@163.com>, 2020\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_CN\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (不可见)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (受限)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:247"},msgstr:["行为"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["选择"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["关闭"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["下一个"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:174\nsrc/components/MultiselectTags/MultiselectTags.vue:78\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38"},msgstr:["无结果"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["暂停幻灯片"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["上一个"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["选择一个标签"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["设置"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["开始幻灯片"]},"Unable to search the group":{msgid:"Unable to search the group",comments:{reference:"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143"},msgstr:["无法搜索分组"]}}}}},{locale:"zh_TW",json:{charset:"utf-8",headers:{"Last-Translator":"byStarTW (pan93412) <pan93412@gmail.com>, 2020","Language-Team":"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_TW","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nbyStarTW (pan93412) <pan93412@gmail.com>, 2020\n"},msgstr:["Last-Translator: byStarTW (pan93412) <pan93412@gmail.com>, 2020\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_TW\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (隱藏)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (受限)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["選擇"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["關閉"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["下一個"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["無結果"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["暫停幻燈片"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["上一個"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["選擇標籤"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["設定"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["開始幻燈片"]}}}}}].map((function(e){return o.addTranslation(e.locale,e.json)}));var r=o.build(),i=r.ngettext.bind(r),a=r.gettext.bind(r)},30:function(e,t,s){"use strict";s(19),s(5),s(20),s(21),s(27);var n=s(24),o=(s(14),function(e,t){for(var s=e.$parent;s;){if(s.$options.name===t)return s;s=s.$parent}});t.a={mixins:[n.a],props:{icon:{type:String,default:""},title:{type:String,default:""},closeAfterClick:{type:Boolean,default:!1},ariaLabel:{type:String,default:""}},computed:{isIconUrl:function(){try{return new URL(this.icon)}catch(e){return!1}}},methods:{onClick:function(e){if(this.$emit("click",e),this.closeAfterClick){var t=o(this,"Actions");t&&t.closeMenu&&t.closeMenu()}}}}},31:function(e,t){e.exports=s(101)},32:function(e,t,s){var n=s(83);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("3ff88b8a",n,!0,{})},36:function(e,t,s){"use strict";s(22),s(15),s(81),s(14);var n=s(6),o=s.n(n);t.a=function(e,t,s){if(void 0!==e)for(var n=e.length-1;n>=0;n--){var r=e[n],i=!r.componentOptions&&r.tag&&-1===t.indexOf(r.tag),a=!!r.componentOptions&&"string"==typeof r.componentOptions.tag,c=a&&-1===t.indexOf(r.componentOptions.tag);(i||!a||c)&&((i||c)&&o.a.util.warn("".concat(i?r.tag:r.componentOptions.tag," is not allowed inside the ").concat(s.$options.name," component"),s),e.splice(n,1))}}},39:function(e,t){e.exports=s(110)},4:function(e,t){e.exports=s(193)},40:function(e,t){},42:function(e,t,s){"use strict";s.r(t);var n=s(51);
/**
* @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -120,15 +120,15 @@ n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU Affero General Public License for more details.
*
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */t.a=function(e){e.mounted?Array.isArray(e.mounted)||(e.mounted=[e.mounted]):e.mounted=[],e.mounted.push((function(){this.$el.setAttribute("data-v-".concat("6f41c0d"),"")}))}},48:function(e,t,s){var n=s(140);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("75b9f902",n,!0,{})},5:function(e,t){e.exports=s(51)},58:function(e,t){},6:function(e,t,s){"use strict";s.d(t,"b",(function(){return r})),s.d(t,"a",(function(){return a})),s(15);var n=s(27),o=Object(n.getGettextBuilder)().detectLocale();[{locale:"cs_CZ",json:{charset:"utf-8",headers:{"Last-Translator":"Pavel Borecki <pavel.borecki@gmail.com>, 2020","Language-Team":"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)","Content-Type":"text/plain; charset=UTF-8",Language:"cs_CZ","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nPavel Borecki <pavel.borecki@gmail.com>, 2020\n"},msgstr:["Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: cs_CZ\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (neviditelný)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (omezený)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Akce"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Zvolit"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Zavřít"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Následující"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Žádné výsledky"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pozastavit prezentaci"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Předchozí"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Vybrat štítek"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Nastavení"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Spustit prezentaci"]}}}}},{locale:"de",json:{charset:"utf-8",headers:{"Last-Translator":"Philipp Fischbeck <pfischbeck@googlemail.com>, 2020","Language-Team":"German (https://www.transifex.com/nextcloud/teams/64236/de/)","Content-Type":"text/plain; charset=UTF-8",Language:"de","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\n"},msgstr:["Last-Translator: Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\nLanguage-Team: German (https://www.transifex.com/nextcloud/teams/64236/de/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: de\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (unsichtbar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (eingeschränkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Aktionen"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Auswählen"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Schließen"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Weiter"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Keine Ergebnisse"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow pausieren"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorherige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Schlagwort auswählen"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Einstellungen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow starten"]}}}}},{locale:"de_DE",json:{charset:"utf-8",headers:{"Last-Translator":"Philipp Fischbeck <pfischbeck@googlemail.com>, 2020","Language-Team":"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)","Content-Type":"text/plain; charset=UTF-8",Language:"de_DE","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\n"},msgstr:["Last-Translator: Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: de_DE\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (unsichtbar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (eingeschränkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Aktionen"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Auswählen"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Schließen"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Weiter"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Keine Ergebnisse"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow pausieren"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorherige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Schlagwort auswählen"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Einstellungen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diashow starten"]}}}}},{locale:"el",json:{charset:"utf-8",headers:{"Last-Translator":"george k <norhorn@gmail.com>, 2020","Language-Team":"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)","Content-Type":"text/plain; charset=UTF-8",Language:"el","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nEfstathios Iosifidis <iefstathios@gmail.com>, 2020\ngeorge k <norhorn@gmail.com>, 2020\n"},msgstr:["Last-Translator: george k <norhorn@gmail.com>, 2020\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: el\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (αόρατο)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (περιορισμένο)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Ενέργειες"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Επιλογή"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Κλείσιμο"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Επόμενο"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Κανένα αποτέλεσμα"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Παύση προβολής διαφανειών"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Προηγούμενο"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Επιλογή ετικέτας"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ρυθμίσεις"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Έναρξη προβολής διαφανειών"]}}}}},{locale:"eu",json:{charset:"utf-8",headers:{"Last-Translator":"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020","Language-Team":"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)","Content-Type":"text/plain; charset=UTF-8",Language:"eu","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\n"},msgstr:["Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: eu\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (ikusezina)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (mugatua)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Aukeratu"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Itxi"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Hurrengoa"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Emaitzarik ez"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pausatu diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Aurrekoa"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Hautatu etiketa bat"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ezarpenak"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Hasi diaporama"]}}}}},{locale:"fr",json:{charset:"utf-8",headers:{"Last-Translator":"Greg Greg <grena@grenabox.fr>, 2020","Language-Team":"French (https://www.transifex.com/nextcloud/teams/64236/fr/)","Content-Type":"text/plain; charset=UTF-8",Language:"fr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nBrendan Abolivier <transifex@brendanabolivier.com>, 2020\ngud bes <gudbes@protonmail.com>, 2020\nGreg Greg <grena@grenabox.fr>, 2020\n"},msgstr:["Last-Translator: Greg Greg <grena@grenabox.fr>, 2020\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: fr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restreint)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Actions"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Choisir"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Fermer"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Suivant"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Aucun résultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Mettre le diaporama en pause"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Précédent"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Sélectionnez une balise"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Paramètres"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Démarrer le diaporama"]}}}}},{locale:"gl",json:{charset:"utf-8",headers:{"Last-Translator":"Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020","Language-Team":"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)","Content-Type":"text/plain; charset=UTF-8",Language:"gl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\n"},msgstr:["Last-Translator: Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: gl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisíbel)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restrinxido)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Accións"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escoller"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Pechar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seguinte"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Sen resultados"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausar o diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterir"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleccione unha etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Axustes"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Iniciar o diaporama"]}}}}},{locale:"he",json:{charset:"utf-8",headers:{"Last-Translator":"Yaron Shahrabani <sh.yaron@gmail.com>, 2020","Language-Team":"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)","Content-Type":"text/plain; charset=UTF-8",Language:"he","Plural-Forms":"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\n"},msgstr:["Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: he\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (נסתר)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (מוגבל)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["בחירה"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["סגירה"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["הבא"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["אין תוצאות"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["השהיית מצגת"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["הקודם"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["בחירת תגית"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["הגדרות"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["התחלת המצגת"]}}}}},{locale:"hu_HU",json:{charset:"utf-8",headers:{"Last-Translator":"asbot10 <asbot000@gmail.com>, 2020","Language-Team":"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)","Content-Type":"text/plain; charset=UTF-8",Language:"hu_HU","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nBalázs Meskó <mesko.balazs@fsf.hu>, 2020\nasbot10 <asbot000@gmail.com>, 2020\n"},msgstr:["Last-Translator: asbot10 <asbot000@gmail.com>, 2020\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: hu_HU\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (láthatatlan)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (korlátozott)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:197"},msgstr:["Műveletek"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Válassszon"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Bezárás"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Következő"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nincs találat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diavetítés szüneteltetése"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Előző"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Válasszon címkét"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Beállítások"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Diavetítés indítása"]}}}}},{locale:"it",json:{charset:"utf-8",headers:{"Last-Translator":"Random_R, 2020","Language-Team":"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)","Content-Type":"text/plain; charset=UTF-8",Language:"it","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nRandom_R, 2020\n"},msgstr:["Last-Translator: Random_R, 2020\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: it\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisibile)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (limitato)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Azioni"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Scegli"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Chiudi"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Successivo"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nessun risultato"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Presentazione in pausa"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Precedente"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleziona un'etichetta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Impostazioni"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Avvia presentazione"]}}}}},{locale:"ja_JP",json:{charset:"utf-8",headers:{"Last-Translator":"YANO Tetsu <tetuyano+transi@gmail.com>, 2020","Language-Team":"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)","Content-Type":"text/plain; charset=UTF-8",Language:"ja_JP","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\n"},msgstr:["Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ja_JP\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{タグ} (不可視)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{タグ} (制限付)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["操作"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["選択"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["閉じる"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["次"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["なし"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["スライドショーを一時停止"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["前"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["タグを選択"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["設定"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["スライドショーを開始"]}}}}},{locale:"lt_LT",json:{charset:"utf-8",headers:{"Last-Translator":"Moo, 2020","Language-Team":"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)","Content-Type":"text/plain; charset=UTF-8",Language:"lt_LT","Plural-Forms":"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMoo, 2020\n"},msgstr:["Last-Translator: Moo, 2020\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lt_LT\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (nematoma)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (apribota)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Pasirinkti"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Užverti"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Kitas"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nėra rezultatų"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pristabdyti skaidrių rodymą"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Ankstesnis"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Pasirinkti žymę"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Nustatymai"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pradėti skaidrių rodymą"]}}}}},{locale:"lv",json:{charset:"utf-8",headers:{"Last-Translator":"stendec <stendec@inbox.lv>, 2020","Language-Team":"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)","Content-Type":"text/plain; charset=UTF-8",Language:"lv","Plural-Forms":"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nstendec <stendec@inbox.lv>, 2020\n"},msgstr:["Last-Translator: stendec <stendec@inbox.lv>, 2020\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: lv\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (neredzams)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ierobežots)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Izvēlēties"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Aizvērt"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Nākamais"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Nav rezultātu"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pauzēt slaidrādi"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Iepriekšējais"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Izvēlēties birku"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Iestatījumi"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Sākt slaidrādi"]}}}}},{locale:"mk",json:{charset:"utf-8",headers:{"Last-Translator":"Сашко Тодоров, 2020","Language-Team":"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)","Content-Type":"text/plain; charset=UTF-8",Language:"mk","Plural-Forms":"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nСашко Тодоров, 2020\n"},msgstr:["Last-Translator: Сашко Тодоров, 2020\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: mk\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (невидливо)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ограничено)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Избери"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Затвори"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Следно"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Нема резултати"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Пузирај слајдшоу"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Предходно"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Избери ознака"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Параметри"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Стартувај слајдшоу"]}}}}},{locale:"nl",json:{charset:"utf-8",headers:{"Last-Translator":"Arjan van S, 2020","Language-Team":"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)","Content-Type":"text/plain; charset=UTF-8",Language:"nl","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\nArjan van S, 2020\n"},msgstr:["Last-Translator: Arjan van S, 2020\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: nl\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (onzichtbaar)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (beperkt)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Acties"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Kies"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Sluiten"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Volgende"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Geen resultaten"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pauzeer diavoorstelling"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Vorige"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecteer een label"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Instellingen"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Start diavoorstelling"]}}}}},{locale:"oc",json:{charset:"utf-8",headers:{"Last-Translator":"Quentin PAGÈS, 2020","Language-Team":"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)","Content-Type":"text/plain; charset=UTF-8",Language:"oc","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nQuentin PAGÈS, 2020\n"},msgstr:["Last-Translator: Quentin PAGÈS, 2020\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: oc\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (limit)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Accions"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Causir"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Tampar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Seguent"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Cap de resultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Metre en pausa lo diaporama"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Precedent"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Seleccionar una etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Paramètres"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Lançar lo diaporama"]}}}}},{locale:"pl",json:{charset:"utf-8",headers:{"Last-Translator":"Valdnet Valdnet, 2020","Language-Team":"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)","Content-Type":"text/plain; charset=UTF-8",Language:"pl","Plural-Forms":"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nValdnet Valdnet, 2020\n"},msgstr:["Last-Translator: Valdnet Valdnet, 2020\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pl\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (niewidoczna)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (ograniczona)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Działania"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Wybierz"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Zamknij"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Następny"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Brak wyników"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Wstrzymaj pokaz slajdów"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Poprzedni"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Wybierz etykietę"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ustawienia"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Rozpocznij pokaz slajdów"]}}}}},{locale:"pt_BR",json:{charset:"utf-8",headers:{"Last-Translator":"Paulo Schopf, 2020","Language-Team":"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_BR","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nMaurício Gardini <accounts@mauriciogardini.com>, 2020\nPaulo Schopf, 2020\n"},msgstr:["Last-Translator: Paulo Schopf, 2020\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_BR\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisível)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restrito) "]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Ações"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escolher"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Fechar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Próximo"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Sem resultados"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausar apresentação de slides"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Anterior"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecionar uma tag"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Configurações"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Iniciar apresentação de slides"]}}}}},{locale:"pt_PT",json:{charset:"utf-8",headers:{"Last-Translator":"fpapoila <fpapoila@gmail.com>, 2020","Language-Team":"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)","Content-Type":"text/plain; charset=UTF-8",Language:"pt_PT","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nfpapoila <fpapoila@gmail.com>, 2020\n"},msgstr:["Last-Translator: fpapoila <fpapoila@gmail.com>, 2020\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: pt_PT\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (invisivel)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (restrito)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Escolher"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Fechar"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Proximo"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Sem resultados"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Pausar apresentação de slides"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Anterior"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Selecionar etiqueta"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Definições"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Iniciar apresentação de slides"]}}}}},{locale:"ru",json:{charset:"utf-8",headers:{"Last-Translator":"Alex <kekcuha@gmail.com>, 2020","Language-Team":"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)","Content-Type":"text/plain; charset=UTF-8",Language:"ru","Plural-Forms":"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nAlex <kekcuha@gmail.com>, 2020\n"},msgstr:["Last-Translator: Alex <kekcuha@gmail.com>, 2020\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: ru\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (невидимое)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (ограниченное)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Выберите"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["Закрыть"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["Следующее"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Результаты отсуствуют"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Приостановить показ слйдов"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["Предыдущее"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Выберите метку"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Параметры"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["Начать показ слайдов"]}}}}},{locale:"sv",json:{charset:"utf-8",headers:{"Last-Translator":"Jonatan Nyberg, 2020","Language-Team":"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)","Content-Type":"text/plain; charset=UTF-8",Language:"sv","Plural-Forms":"nplurals=2; plural=(n != 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nGabriel Ekström <gabriel.ekstrom06@gmail.com>, 2020\nErik Lennartsson, 2020\nJonatan Nyberg, 2020\n"},msgstr:["Last-Translator: Jonatan Nyberg, 2020\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: sv\nPlural-Forms: nplurals=2; plural=(n != 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (osynlig)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (begränsad)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:194"},msgstr:["Åtgärder"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Välj"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Stäng"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Nästa"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Inga resultat"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Pausa bildspel"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Föregående"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Välj en tag"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Inställningar"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Starta bildspel"]}}}}},{locale:"tr",json:{charset:"utf-8",headers:{"Last-Translator":"abc Def <hdogan1974@gmail.com>, 2020","Language-Team":"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)","Content-Type":"text/plain; charset=UTF-8",Language:"tr","Plural-Forms":"nplurals=2; plural=(n > 1);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nKemal Oktay Aktoğan <oktayaktogan@gmail.com>, 2020\nabc Def <hdogan1974@gmail.com>, 2020\n"},msgstr:["Last-Translator: abc Def <hdogan1974@gmail.com>, 2020\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: tr\nPlural-Forms: nplurals=2; plural=(n > 1);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (görünmez)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (kısıtlı)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:196"},msgstr:["Eylemler"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Seç"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Kapat"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["İleri"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Sonuç yok"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Slayt gösterisini duraklat"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Önceki"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Bir etiket seçin"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Ayarlar"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Slayt gösterisini başlat"]}}}}},{locale:"uk",json:{charset:"utf-8",headers:{"Last-Translator":"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020","Language-Team":"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)","Content-Type":"text/plain; charset=UTF-8",Language:"uk","Plural-Forms":"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\n"},msgstr:["Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: uk\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (invisible)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (restricted)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:197"},msgstr:["Дії"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["Виберіть"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["Закрити"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["Вперед"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["Відсутні результати"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Пауза у показі слайдів"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["Назад"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["Виберіть позначку"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["Налаштування"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["Почати показ слайдів"]}}}}},{locale:"zh_CN",json:{charset:"utf-8",headers:{"Last-Translator":"Jianming Liang <fuufuukun@163.com>, 2020","Language-Team":"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_CN","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nSleepyJesse <Jesse_Xu@live.com>, 2020\nJianming Liang <fuufuukun@163.com>, 2020\n"},msgstr:["Last-Translator: Jianming Liang <fuufuukun@163.com>, 2020\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_CN\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:170"},msgstr:["{tag} (不可见)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:173"},msgstr:["{tag} (受限)"]},Actions:{msgid:"Actions",comments:{reference:"src/components/Actions/Actions.vue:197"},msgstr:["行为"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["选择"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:117"},msgstr:["关闭"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:166"},msgstr:["下一个"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:172\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["无结果"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["暂停幻灯片"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:144"},msgstr:["上一个"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["选择一个标签"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["设置"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:302"},msgstr:["开始幻灯片"]}}}}},{locale:"zh_TW",json:{charset:"utf-8",headers:{"Last-Translator":"byStarTW (pan93412) <pan93412@gmail.com>, 2020","Language-Team":"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)","Content-Type":"text/plain; charset=UTF-8",Language:"zh_TW","Plural-Forms":"nplurals=1; plural=0;"},translations:{"":{"":{msgid:"",comments:{translator:"Translators:\nbyStarTW (pan93412) <pan93412@gmail.com>, 2020\n"},msgstr:["Last-Translator: byStarTW (pan93412) <pan93412@gmail.com>, 2020\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\nContent-Type: text/plain; charset=UTF-8\nLanguage: zh_TW\nPlural-Forms: nplurals=1; plural=0;\n"]},"{tag} (invisible)":{msgid:"{tag} (invisible)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:169"},msgstr:["{tag} (隱藏)"]},"{tag} (restricted)":{msgid:"{tag} (restricted)",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:172"},msgstr:["{tag} (受限)"]},Choose:{msgid:"Choose",comments:{reference:"src/components/ColorPicker/ColorPicker.vue:145"},msgstr:["選擇"]},Close:{msgid:"Close",comments:{reference:"src/components/Modal/Modal.vue:109"},msgstr:["關閉"]},Next:{msgid:"Next",comments:{reference:"src/components/Modal/Modal.vue:154"},msgstr:["下一個"]},"No results":{msgid:"No results",comments:{reference:"src/components/Multiselect/Multiselect.vue:169\nsrc/components/MultiselectTags/MultiselectTags.vue:78"},msgstr:["無結果"]},"Pause slideshow":{msgid:"Pause slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["暫停幻燈片"]},Previous:{msgid:"Previous",comments:{reference:"src/components/Modal/Modal.vue:134"},msgstr:["上一個"]},"Select a tag":{msgid:"Select a tag",comments:{reference:"src/components/MultiselectTags/MultiselectTags.vue:100"},msgstr:["選擇標籤"]},Settings:{msgid:"Settings",comments:{reference:"src/components/AppNavigationSettings/AppNavigationSettings.vue:53"},msgstr:["設定"]},"Start slideshow":{msgid:"Start slideshow",comments:{reference:"src/components/Modal/Modal.vue:290"},msgstr:["開始幻燈片"]}}}}}].map((function(e){return o.addTranslation(e.locale,e.json)}));var i=o.build(),a=i.ngettext.bind(i),r=i.gettext.bind(i)},7:function(e,t,s){"use strict";e.exports=function(e,t){return t||(t={}),"string"!=typeof(e=e&&e.__esModule?e.default:e)?e:(/^['"].*['"]$/.test(e)&&(e=e.slice(1,-1)),t.hash&&(e+=t.hash),/["'() \t\n]/.test(e)||t.needQuotes?'"'.concat(e.replace(/"/g,'\\"').replace(/\n/g,"\\n"),'"'):e)}},71:function(e,t){e.exports=s(58)},72:function(e,t){e.exports=s(213)},73:function(e,t,s){"use strict";var n=s(29);s.n(n).a},74:function(e,t,s){var n=s(1),o=s(7),i=s(8),a=s(9),r=s(10),A=s(11);t=n(!1);var c=o(i),l=o(a),m=o(r),u=o(A);t.push([e.i,'@font-face{font-family:"iconfont-vue-6f41c0d";src:url('+c+");src:url("+c+') format("embedded-opentype"),url('+l+') format("woff"),url('+m+') format("truetype"),url('+u+') format("svg")}.icon[data-v-13bd5491]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-left[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-right-double[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.arrow-right[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.breadcrumb[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.checkmark[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.close[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.confirm[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.info[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.menu[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.more[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.pause[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.play[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.icon.triangle-s[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";content:""}.action-item[data-v-13bd5491]{position:relative;display:inline-block}.action-item--single[data-v-13bd5491]:hover,.action-item--single[data-v-13bd5491]:focus,.action-item--single[data-v-13bd5491]:active,.action-item__menutoggle[data-v-13bd5491]:hover,.action-item__menutoggle[data-v-13bd5491]:focus,.action-item__menutoggle[data-v-13bd5491]:active{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item.action-item--open .action-item__menutoggle[data-v-13bd5491]{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item--single[data-v-13bd5491],.action-item__menutoggle[data-v-13bd5491]{box-sizing:border-box;width:auto;min-width:44px;height:44px;margin:0;padding:14px;cursor:pointer;border:none;background-color:transparent}.action-item__menutoggle[data-v-13bd5491]{display:flex;align-items:center;justify-content:center;opacity:.7;border-radius:22px;font-weight:bold;line-height:16px}.action-item__menutoggle[data-v-13bd5491]:before{content:\'\'}.action-item__menutoggle--default-icon[data-v-13bd5491]:before{font-family:"iconfont-vue-6f41c0d";font-style:normal;font-weight:400;content:""}.action-item__menutoggle--default-icon[data-v-13bd5491]::before{font-size:16px}.action-item__menutoggle--with-title[data-v-13bd5491]{position:relative;padding-left:44px;white-space:nowrap;opacity:1;border:1px solid var(--color-border-dark);background-color:var(--color-background-dark);background-position:14px center;font-size:inherit}.action-item__menutoggle--with-title[data-v-13bd5491]:before{position:absolute;top:14px;left:14px}.action-item__menutoggle--primary[data-v-13bd5491]{opacity:1;color:var(--color-primary-text);border:none;background-color:var(--color-primary-element)}.action-item--open .action-item__menutoggle--primary[data-v-13bd5491],.action-item__menutoggle--primary[data-v-13bd5491]:hover,.action-item__menutoggle--primary[data-v-13bd5491]:focus,.action-item__menutoggle--primary[data-v-13bd5491]:active{color:var(--color-primary-text) !important;background-color:var(--color-primary-element-light) !important}.action-item--single[data-v-13bd5491]{opacity:.7}.action-item--single[data-v-13bd5491]:hover,.action-item--single[data-v-13bd5491]:focus,.action-item--single[data-v-13bd5491]:active{opacity:1}.action-item--single>[hidden][data-v-13bd5491]{display:none}.action-item--multiple[data-v-13bd5491]{position:relative}.action-item__menu[data-v-13bd5491]{position:absolute;z-index:110;right:50%;display:none;margin-top:-5px;margin-bottom:10px;transform:translateX(50%);color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background);filter:drop-shadow(0 1px 3px var(--color-box-shadow))}.action-item__menu ul[data-v-13bd5491]>:not(li){display:none}.action-item__menu.open[data-v-13bd5491]{display:block}.action-item__menu .action-item__menu_arrow[data-v-13bd5491]{position:absolute;right:50%;bottom:100%;width:0;height:0;margin-right:-9px;content:\' \';pointer-events:none;border:solid transparent;border-width:9px;border-bottom-color:var(--color-main-background)}.action-item__menu.menu-right[data-v-13bd5491]{right:0;left:auto;transform:none}.action-item__menu.menu-right .action-item__menu_arrow[data-v-13bd5491]{right:13px;margin-right:0}.action-item__menu.menu-left[data-v-13bd5491]{right:auto;left:0;transform:none}.action-item__menu.menu-left .action-item__menu_arrow[data-v-13bd5491]{right:auto;left:13px;margin-right:0}.ie .action-item__menu[data-v-13bd5491],.ie .action-item__menu .action-item__menu_arrow[data-v-13bd5491],.edge .action-item__menu[data-v-13bd5491],.edge .action-item__menu .action-item__menu_arrow[data-v-13bd5491]{border:1px solid var(--color-border)}\n',""]),e.exports=t},77:function(e,t,s){"use strict";var n={name:"ActionButton",mixins:[s(25).a],props:{disabled:{type:Boolean,default:!1}},computed:{isFocusable:function(){return!this.disabled}}},o=(s(139),s(0)),i=s(58),a=s.n(i),r=Object(o.a)(n,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s("li",{class:{"action--disabled":e.disabled}},[s("button",{staticClass:"action-button",class:{focusable:e.isFocusable},attrs:{"aria-label":e.ariaLabel},on:{click:e.onClick}},[s("span",{staticClass:"action-button__icon",class:[e.isIconUrl?"action-button__icon--url":e.icon],style:{backgroundImage:e.isIconUrl?"url("+e.icon+")":null}}),e._v(" "),e.title?s("p",[s("strong",{staticClass:"action-button__title"},[e._v("\n\t\t\t\t"+e._s(e.title)+"\n\t\t\t")]),e._v(" "),s("br"),e._v(" "),s("span",{staticClass:"action-button__longtext",domProps:{textContent:e._s(e.text)}})]):e.isLongText?s("p",{staticClass:"action-button__longtext",domProps:{textContent:e._s(e.text)}}):s("span",{staticClass:"action-button__text"},[e._v(e._s(e.text))]),e._v(" "),e._e()],2)])}),[],!1,null,"33ba3302",null);"function"==typeof a.a&&a()(r),t.a=r.exports},8:function(e,t,s){"use strict";s.r(t),t.default="data:application/vnd.ms-fontobject;base64,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"},9:function(e,t,s){"use strict";s.r(t),t.default="data:font/woff;base64,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"},94:function(e,t){e.exports=s(637)},98:function(e,t,s){"use strict";s.r(t);var n=s(77);
+ */t.default=n.a},43:function(e,t,s){var n=s(44);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("941c791e",n,!0,{})},44:function(e,t,s){(t=s(1)(!1)).push([e.i,".vue-tooltip[data-v-91c9b6f]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;opacity:0;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-91c9b6f][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-91c9b6f][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-91c9b6f][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-91c9b6f] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-91c9b6f] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\n",""]),e.exports=t},5:function(e,t){e.exports=s(51)},51:function(e,t,s){"use strict";s(22),s(39),s(15);var n=s(16),o=s(13),r=s(18),i=(s(80),function(e){var t=e.getBoundingClientRect(),s=document.documentElement.clientHeight,n=document.documentElement.clientWidth,o=Object.assign({});return o.top=t.top<0,o.left=t.left<0,o.bottom=t.bottom>s,o.right=t.right>n,o.any=o.top||o.left||o.bottom||o.right,o.all=o.top&&o.left&&o.bottom&&o.right,o.offsetY=o.top?t.top:o.bottom?t.bottom-s:0,o.offsetX=o.left?t.left:o.right?t.right-n:0,o}),a=s(36),c=s(3),A=["ActionButton","ActionCheckbox","ActionInput","ActionLink","ActionRadio","ActionRouter","ActionSeparator","ActionText","ActionTextEditable"],l={name:"Actions",directives:{ClickOutside:n.directive,tooltip:o.default},props:{open:{type:Boolean,default:!1},forceMenu:{type:Boolean,default:!1},menuAlign:{type:String,default:"center",validator:function(e){return["left","center","right"].indexOf(e)>-1}},menuTitle:{type:String,default:null},primary:{type:Boolean,default:!1},defaultIcon:{type:String,default:"action-item__menutoggle--default-icon"},ariaLabel:{type:String,default:Object(c.b)("Actions")}},data:function(){return{actions:[],opened:this.open,focusIndex:0,randomId:"menu-"+Object(r.a)(),offsetX:0,offsetY:0,offsetYArrow:0,rotateArrow:!1,children:this.$children}},computed:{hasMultipleActions:function(){return this.actions.length>1},isValidSingleAction:function(){return 1===this.actions.length&&null!==this.firstActionElement},firstActionVNode:function(){return this.actions[0]},firstAction:function(){return this.children[0]?this.children[0]:{}},firstActionBinding:function(){if(this.firstActionVNode&&this.firstActionVNode.componentOptions){var e=this.firstActionVNode.componentOptions.tag;if("ActionLink"===e)return{is:"a",href:this.firstAction.href,target:this.firstAction.target,"aria-label":this.firstAction.ariaLabel};if("ActionRouter"===e)return{is:"router-link",to:this.firstAction.to,exact:this.firstAction.exact,"aria-label":this.firstAction.ariaLabel};if("ActionButton"===e)return{is:"button","aria-label":this.firstAction.ariaLabel}}return null},firstActionEvent:function(){return this.firstActionVNode&&this.firstActionVNode.componentOptions&&this.firstActionVNode.componentOptions.listeners&&this.firstActionVNode.componentOptions.listeners.click},firstActionEventBinding:function(){return this.firstActionEvent?"click":null},firstActionClass:function(){var e=this.firstActionVNode&&this.firstActionVNode.data.staticClass,t=this.firstActionVNode&&this.firstActionVNode.data.class;return"".concat(e," ").concat(t)}},watch:{open:function(e){var t=this;this.opened=e,this.opened&&this.$nextTick((function(){t.onOpen()}))}},beforeMount:function(){this.initActions(),Object(a.a)(this.$slots.default,A,this)},beforeUpdate:function(){this.initActions(),Object(a.a)(this.$slots.default,A,this)},methods:{toggleMenu:function(e){var t=this;this.opened="boolean"==typeof e?e:!this.opened,this.opened?(this.$nextTick((function(){t.onOpen()})),this.$emit("open")):(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,this.$emit("close")),this.$emit("update:open",this.opened)},closeMenu:function(e){this.opened&&(this.$emit("update:open",!1),this.$emit("close"),this.opened=!1,this.focusIndex=0,this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1)},onOpen:function(){this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1;var e=i(this.$refs.menu);e.bottom&&(this.offsetY=0-Math.round(this.$refs.menu.clientHeight)-42,this.offsetYArrow=Math.round(this.$refs.menu.clientHeight)+18,this.rotateArrow=!0),"center"===this.menuAlign&&(e.left||e.right)&&(this.offsetX=e.offsetX>0?Math.round(e.offsetX)+5:Math.round(e.offsetX)-5)},onMouseFocusAction:function(e){if(document.activeElement!==e.target){var t=e.target.closest("li");if(t){var s=t.querySelector(".focusable");if(s){var n=this.$refs.fullmenu.querySelectorAll(".focusable"),o=Array.prototype.indexOf.call(n,s);o>-1&&(this.focusIndex=o,this.focusAction())}}}},removeCurrentActive:function(){var e=this.$refs.fullmenu.querySelector("li.active");e&&e.classList.remove("active")},focusAction:function(){var e=this.$refs.fullmenu.querySelectorAll(".focusable")[this.focusIndex];if(e){this.removeCurrentActive();var t=e.closest("li.action");e.focus(),t&&t.classList.add("active")}},focusPreviousAction:function(e){this.opened&&(0===this.focusIndex?this.closeMenu():(e.preventDefault(),this.focusIndex=this.focusIndex-1),this.focusAction())},focusNextAction:function(e){if(this.opened){var t=this.$refs.fullmenu.querySelectorAll(".focusable").length-1;this.focusIndex===t?this.closeMenu():(e.preventDefault(),this.focusIndex=this.focusIndex+1),this.focusAction()}},focusFirstAction:function(e){this.opened&&(e.preventDefault(),this.focusIndex=0,this.focusAction())},focusLastAction:function(e){this.opened&&(e.preventDefault(),this.focusIndex=this.$el.querySelectorAll(".focusable").length-1,this.focusAction())},execFirstAction:function(e){this.firstActionEvent&&this.firstActionEvent(e)},initActions:function(){this.actions=(this.$slots.default||[]).filter((function(e){return!!e&&!!e.componentOptions}))}}},m=(s(82),s(0)),u=s(40),g=s.n(u),d=Object(m.a)(l,(function(){var e,t=this,s=t.$createElement,n=t._self._c||s;return t.isValidSingleAction&&!t.forceMenu?n("element",t._b({directives:[{name:"tooltip",rawName:"v-tooltip.auto",value:t.firstAction.text,expression:"firstAction.text",modifiers:{auto:!0}}],staticClass:"action-item action-item--single",class:[t.firstAction.icon,t.firstActionClass],attrs:{rel:"noreferrer noopener"},on:t._d({},[t.firstActionEventBinding,t.execFirstAction])},"element",t.firstActionBinding,!1),[n("span",{attrs:{"aria-hidden":!0,hidden:""}},[t._t("default")],2)]):n("div",{directives:[{name:"show",rawName:"v-show",value:t.hasMultipleActions||t.forceMenu,expression:"hasMultipleActions || forceMenu"},{name:"click-outside",rawName:"v-click-outside",value:t.closeMenu,expression:"closeMenu"}],ref:"fullmenu",staticClass:"action-item",class:{"action-item--open":t.opened},on:{keydown:[function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"up",38,e.key,["Up","ArrowUp"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusPreviousAction(e)},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"down",40,e.key,["Down","ArrowDown"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusNextAction(e)},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"tab",9,e.key,"Tab")||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusNextAction(e)},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"tab",9,e.key,"Tab")?null:e.shiftKey?e.ctrlKey||e.altKey||e.metaKey?null:t.focusPreviousAction(e):null},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"page-up",void 0,e.key,void 0)||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusFirstAction(e)},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"page-down",void 0,e.key,void 0)||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusLastAction(e)},function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"esc",27,e.key,["Esc","Escape"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.closeMenu(e))}]}},[n("button",{staticClass:"icon action-item__menutoggle focusable",class:(e={},e[t.defaultIcon]=!0,e["action-item__menutoggle--with-title"]=t.menuTitle,e["action-item__menutoggle--primary"]=t.primary,e),attrs:{"aria-label":t.ariaLabel,"aria-haspopup":"true","aria-controls":t.randomId,"aria-expanded":t.opened},on:{click:function(e){return e.preventDefault(),t.toggleMenu(e)},keyup:function(e){return!e.type.indexOf("key")&&t._k(e.keyCode,"space",32,e.key,[" ","Spacebar"])||e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.toggleMenu(e))}}},[t._v("\n\t\t"+t._s(t.menuTitle)+"\n\t")]),t._v(" "),n("div",{directives:[{name:"show",rawName:"v-show",value:t.opened,expression:"opened"}],ref:"menu",staticClass:"action-item__menu",class:["menu-"+t.menuAlign,{open:t.opened}],style:{marginRight:t.offsetX+"px",marginTop:t.offsetY+"px"},attrs:{tabindex:"-1"},on:{mousemove:t.onMouseFocusAction}},[n("div",{staticClass:"action-item__menu_arrow",style:{transform:"translateX("+t.offsetX+"px) translateY("+t.offsetYArrow+"px) "+(t.rotateArrow?" rotate(180deg)":"")}}),t._v(" "),n("ul",{attrs:{id:t.randomId,tabindex:"-1"}},[t.opened?[t._t("default")]:t._e()],2)])])}),[],!1,null,"03498935",null);"function"==typeof g.a&&g()(d),t.a=d.exports},54:function(e,t,s){"use strict";
/**
- * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>
+ * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>
*
* @author John Molakvoæ <skjnldsv@protonmail.com>
*
@@ -141,16 +141,16 @@ n.VTooltip.options.defaultTemplate='<div class="vue-tooltip" role="tooltip" data
*
* This program is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU Affero General Public License for more details.
*
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */t.default=n.a}})},637:function(e,t,s){var n;
+ */t.a=function(e){e.mounted?Array.isArray(e.mounted)||(e.mounted=[e.mounted]):e.mounted=[],e.mounted.push((function(){this.$el.setAttribute("data-v-".concat("91c9b6f"),"")}))}},58:function(e,t,s){var n=s(145);"string"==typeof n&&(n=[[e.i,n,""]]),n.locals&&(e.exports=n.locals),(0,s(2).default)("0ac54828",n,!0,{})},6:function(e,t){e.exports=s(45)},69:function(e,t){},7:function(e,t){e.exports=s(212)},8:function(e,t,s){"use strict";e.exports=function(e,t){return t||(t={}),"string"!=typeof(e=e&&e.__esModule?e.default:e)?e:(/^['"].*['"]$/.test(e)&&(e=e.slice(1,-1)),t.hash&&(e+=t.hash),/["'() \t\n]/.test(e)||t.needQuotes?'"'.concat(e.replace(/"/g,'\\"').replace(/\n/g,"\\n"),'"'):e)}},80:function(e,t){e.exports=s(58)},81:function(e,t){e.exports=s(213)},82:function(e,t,s){"use strict";var n=s(32);s.n(n).a},83:function(e,t,s){var n=s(1),o=s(8),r=s(9),i=s(10),a=s(11),c=s(12);t=n(!1);var A=o(r),l=o(i),m=o(a),u=o(c);t.push([e.i,'@font-face{font-family:"iconfont-vue-91c9b6f";src:url('+A+");src:url("+A+') format("embedded-opentype"),url('+l+') format("woff"),url('+m+') format("truetype"),url('+u+') format("svg")}.icon[data-v-03498935]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-left[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-right-double[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.arrow-right[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.breadcrumb[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.checkmark[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.close[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.confirm[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.info[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.menu[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.more[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.pause[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.play[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.icon.triangle-s[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";content:""}.action-item[data-v-03498935]{position:relative;display:inline-block}.action-item--single[data-v-03498935]:hover,.action-item--single[data-v-03498935]:focus,.action-item--single[data-v-03498935]:active,.action-item__menutoggle[data-v-03498935]:hover,.action-item__menutoggle[data-v-03498935]:focus,.action-item__menutoggle[data-v-03498935]:active{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item.action-item--open .action-item__menutoggle[data-v-03498935]{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item--single[data-v-03498935],.action-item__menutoggle[data-v-03498935]{box-sizing:border-box;width:auto;min-width:44px;height:44px;margin:0;padding:14px;cursor:pointer;border:none;background-color:transparent}.action-item__menutoggle[data-v-03498935]{display:flex;align-items:center;justify-content:center;opacity:.7;border-radius:22px;font-weight:bold;line-height:16px}.action-item__menutoggle[data-v-03498935]:before{content:\'\'}.action-item__menutoggle--default-icon[data-v-03498935]:before{font-family:"iconfont-vue-91c9b6f";font-style:normal;font-weight:400;content:""}.action-item__menutoggle--default-icon[data-v-03498935]::before{font-size:16px}.action-item__menutoggle--with-title[data-v-03498935]{position:relative;padding-left:44px;white-space:nowrap;opacity:1;border:1px solid var(--color-border-dark);background-color:var(--color-background-dark);background-position:14px center;font-size:inherit}.action-item__menutoggle--with-title[data-v-03498935]:before{position:absolute;top:14px;left:14px}.action-item__menutoggle--primary[data-v-03498935]{opacity:1;color:var(--color-primary-text);border:none;background-color:var(--color-primary-element)}.action-item--open .action-item__menutoggle--primary[data-v-03498935],.action-item__menutoggle--primary[data-v-03498935]:hover,.action-item__menutoggle--primary[data-v-03498935]:focus,.action-item__menutoggle--primary[data-v-03498935]:active{color:var(--color-primary-text) !important;background-color:var(--color-primary-element-light) !important}.action-item--single[data-v-03498935]{opacity:.7}.action-item--single[data-v-03498935]:hover,.action-item--single[data-v-03498935]:focus,.action-item--single[data-v-03498935]:active{opacity:1}.action-item--single>[hidden][data-v-03498935]{display:none}.action-item--multiple[data-v-03498935]{position:relative}.action-item__menu[data-v-03498935]{position:absolute;z-index:110;right:50%;display:none;margin-top:-5px;margin-bottom:10px;transform:translateX(50%);color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background);filter:drop-shadow(0 1px 3px var(--color-box-shadow))}.action-item__menu ul[data-v-03498935]>:not(li){display:none}.action-item__menu.open[data-v-03498935]{display:block}.action-item__menu .action-item__menu_arrow[data-v-03498935]{position:absolute;right:50%;bottom:100%;width:0;height:0;margin-right:-9px;content:\' \';pointer-events:none;border:solid transparent;border-width:9px;border-bottom-color:var(--color-main-background)}.action-item__menu.menu-right[data-v-03498935]{right:0;left:auto;transform:none}.action-item__menu.menu-right .action-item__menu_arrow[data-v-03498935]{right:13px;margin-right:0}.action-item__menu.menu-left[data-v-03498935]{right:auto;left:0;transform:none}.action-item__menu.menu-left .action-item__menu_arrow[data-v-03498935]{right:auto;left:13px;margin-right:0}.ie .action-item__menu[data-v-03498935],.ie .action-item__menu .action-item__menu_arrow[data-v-03498935],.edge .action-item__menu[data-v-03498935],.edge .action-item__menu .action-item__menu_arrow[data-v-03498935]{border:1px solid var(--color-border)}\n',""]),e.exports=t},9:function(e,t,s){"use strict";s.r(t),t.default="data:application/vnd.ms-fontobject;base64,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"},92:function(e,t,s){"use strict";var n={name:"ActionButton",mixins:[s(30).a],props:{disabled:{type:Boolean,default:!1}},computed:{isFocusable:function(){return!this.disabled}}},o=(s(144),s(0)),r=s(69),i=s.n(r),a=Object(o.a)(n,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s("li",{staticClass:"action",class:{"action--disabled":e.disabled}},[s("button",{staticClass:"action-button",class:{focusable:e.isFocusable},attrs:{"aria-label":e.ariaLabel},on:{click:e.onClick}},[s("span",{staticClass:"action-button__icon",class:[e.isIconUrl?"action-button__icon--url":e.icon],style:{backgroundImage:e.isIconUrl?"url("+e.icon+")":null}}),e._v(" "),e.title?s("p",[s("strong",{staticClass:"action-button__title"},[e._v("\n\t\t\t\t"+e._s(e.title)+"\n\t\t\t")]),e._v(" "),s("br"),e._v(" "),s("span",{staticClass:"action-button__longtext",domProps:{textContent:e._s(e.text)}})]):e.isLongText?s("p",{staticClass:"action-button__longtext",domProps:{textContent:e._s(e.text)}}):s("span",{staticClass:"action-button__text"},[e._v(e._s(e.text))]),e._v(" "),e._e()],2)])}),[],!1,null,"51ef2a86",null);"function"==typeof i.a&&i()(a),t.a=a.exports}})},634:function(e,t,s){var n;
/*! Hammer.JS - v2.0.7 - 2016-04-22
* http://hammerjs.github.io/
*
* Copyright (c) 2016 Jorik Tangelder;
- * Licensed under the MIT license */!function(o,i,a,r){"use strict";var A,c=["","webkit","Moz","MS","ms","o"],l=i.createElement("div"),m=Math.round,u=Math.abs,g=Date.now;function d(e,t,s){return setTimeout(w(e,s),t)}function p(e,t,s){return!!Array.isArray(e)&&(f(e,s[t],s),!0)}function f(e,t,s){var n;if(e)if(e.forEach)e.forEach(t,s);else if(void 0!==e.length)for(n=0;n<e.length;)t.call(s,e[n],n,e),n++;else for(n in e)e.hasOwnProperty(n)&&t.call(s,e[n],n,e)}function h(e,t,s){var n="DEPRECATED METHOD: "+t+"\n"+s+" AT \n";return function(){var t=new Error("get-stack-trace"),s=t&&t.stack?t.stack.replace(/^[^\(]+?[\n$]/gm,"").replace(/^\s+at\s+/gm,"").replace(/^Object.<anonymous>\s*\(/gm,"{anonymous}()@"):"Unknown Stack Trace",i=o.console&&(o.console.warn||o.console.log);return i&&i.call(o.console,n,s),e.apply(this,arguments)}}A="function"!=typeof Object.assign?function(e){if(null==e)throw new TypeError("Cannot convert undefined or null to object");for(var t=Object(e),s=1;s<arguments.length;s++){var n=arguments[s];if(null!=n)for(var o in n)n.hasOwnProperty(o)&&(t[o]=n[o])}return t}:Object.assign;var v=h((function(e,t,s){for(var n=Object.keys(t),o=0;o<n.length;)(!s||s&&void 0===e[n[o]])&&(e[n[o]]=t[n[o]]),o++;return e}),"extend","Use `assign`."),M=h((function(e,t){return v(e,t,!0)}),"merge","Use `assign`.");function T(e,t,s){var n,o=t.prototype;(n=e.prototype=Object.create(o)).constructor=e,n._super=o,s&&A(n,s)}function w(e,t){return function(){return e.apply(t,arguments)}}function x(e,t){return"function"==typeof e?e.apply(t&&t[0]||void 0,t):e}function y(e,t){return void 0===e?t:e}function b(e,t,s){f(N(t),(function(t){e.addEventListener(t,s,!1)}))}function C(e,t,s){f(N(t),(function(t){e.removeEventListener(t,s,!1)}))}function B(e,t){for(;e;){if(e==t)return!0;e=e.parentNode}return!1}function I(e,t){return e.indexOf(t)>-1}function N(e){return e.trim().split(/\s+/g)}function E(e,t,s){if(e.indexOf&&!s)return e.indexOf(t);for(var n=0;n<e.length;){if(s&&e[n][s]==t||!s&&e[n]===t)return n;n++}return-1}function S(e){return Array.prototype.slice.call(e,0)}function L(e,t,s){for(var n=[],o=[],i=0;i<e.length;){var a=t?e[i][t]:e[i];E(o,a)<0&&n.push(e[i]),o[i]=a,i++}return s&&(n=t?n.sort((function(e,s){return e[t]>s[t]})):n.sort()),n}function k(e,t){for(var s,n,o=t[0].toUpperCase()+t.slice(1),i=0;i<c.length;){if((n=(s=c[i])?s+o:t)in e)return n;i++}}var D=1;function P(e){var t=e.ownerDocument||e;return t.defaultView||t.parentWindow||o}var Q="ontouchstart"in o,G=void 0!==k(o,"PointerEvent"),z=Q&&/mobile|tablet|ip(ad|hone|od)|android/i.test(navigator.userAgent),F=["x","y"],Y=["clientX","clientY"];function U(e,t){var s=this;this.manager=e,this.callback=t,this.element=e.element,this.target=e.options.inputTarget,this.domHandler=function(t){x(e.options.enable,[e])&&s.handler(t)},this.init()}function _(e,t,s){var n=s.pointers.length,o=s.changedPointers.length,i=1&t&&n-o==0,a=12&t&&n-o==0;s.isFirst=!!i,s.isFinal=!!a,i&&(e.session={}),s.eventType=t,function(e,t){var s=e.session,n=t.pointers,o=n.length;s.firstInput||(s.firstInput=j(t));o>1&&!s.firstMultiple?s.firstMultiple=j(t):1===o&&(s.firstMultiple=!1);var i=s.firstInput,a=s.firstMultiple,r=a?a.center:i.center,A=t.center=Z(n);t.timeStamp=g(),t.deltaTime=t.timeStamp-i.timeStamp,t.angle=J(r,A),t.distance=H(r,A),function(e,t){var s=t.center,n=e.offsetDelta||{},o=e.prevDelta||{},i=e.prevInput||{};1!==t.eventType&&4!==i.eventType||(o=e.prevDelta={x:i.deltaX||0,y:i.deltaY||0},n=e.offsetDelta={x:s.x,y:s.y});t.deltaX=o.x+(s.x-n.x),t.deltaY=o.y+(s.y-n.y)}(s,t),t.offsetDirection=O(t.deltaX,t.deltaY);var c=R(t.deltaTime,t.deltaX,t.deltaY);t.overallVelocityX=c.x,t.overallVelocityY=c.y,t.overallVelocity=u(c.x)>u(c.y)?c.x:c.y,t.scale=a?(l=a.pointers,m=n,H(m[0],m[1],Y)/H(l[0],l[1],Y)):1,t.rotation=a?function(e,t){return J(t[1],t[0],Y)+J(e[1],e[0],Y)}(a.pointers,n):0,t.maxPointers=s.prevInput?t.pointers.length>s.prevInput.maxPointers?t.pointers.length:s.prevInput.maxPointers:t.pointers.length,function(e,t){var s,n,o,i,a=e.lastInterval||t,r=t.timeStamp-a.timeStamp;if(8!=t.eventType&&(r>25||void 0===a.velocity)){var A=t.deltaX-a.deltaX,c=t.deltaY-a.deltaY,l=R(r,A,c);n=l.x,o=l.y,s=u(l.x)>u(l.y)?l.x:l.y,i=O(A,c),e.lastInterval=t}else s=a.velocity,n=a.velocityX,o=a.velocityY,i=a.direction;t.velocity=s,t.velocityX=n,t.velocityY=o,t.direction=i}(s,t);var l,m;var d=e.element;B(t.srcEvent.target,d)&&(d=t.srcEvent.target);t.target=d}(e,s),e.emit("hammer.input",s),e.recognize(s),e.session.prevInput=s}function j(e){for(var t=[],s=0;s<e.pointers.length;)t[s]={clientX:m(e.pointers[s].clientX),clientY:m(e.pointers[s].clientY)},s++;return{timeStamp:g(),pointers:t,center:Z(t),deltaX:e.deltaX,deltaY:e.deltaY}}function Z(e){var t=e.length;if(1===t)return{x:m(e[0].clientX),y:m(e[0].clientY)};for(var s=0,n=0,o=0;o<t;)s+=e[o].clientX,n+=e[o].clientY,o++;return{x:m(s/t),y:m(n/t)}}function R(e,t,s){return{x:t/e||0,y:s/e||0}}function O(e,t){return e===t?1:u(e)>=u(t)?e<0?2:4:t<0?8:16}function H(e,t,s){s||(s=F);var n=t[s[0]]-e[s[0]],o=t[s[1]]-e[s[1]];return Math.sqrt(n*n+o*o)}function J(e,t,s){s||(s=F);var n=t[s[0]]-e[s[0]],o=t[s[1]]-e[s[1]];return 180*Math.atan2(o,n)/Math.PI}U.prototype={handler:function(){},init:function(){this.evEl&&b(this.element,this.evEl,this.domHandler),this.evTarget&&b(this.target,this.evTarget,this.domHandler),this.evWin&&b(P(this.element),this.evWin,this.domHandler)},destroy:function(){this.evEl&&C(this.element,this.evEl,this.domHandler),this.evTarget&&C(this.target,this.evTarget,this.domHandler),this.evWin&&C(P(this.element),this.evWin,this.domHandler)}};var W={mousedown:1,mousemove:2,mouseup:4};function V(){this.evEl="mousedown",this.evWin="mousemove mouseup",this.pressed=!1,U.apply(this,arguments)}T(V,U,{handler:function(e){var t=W[e.type];1&t&&0===e.button&&(this.pressed=!0),2&t&&1!==e.which&&(t=4),this.pressed&&(4&t&&(this.pressed=!1),this.callback(this.manager,t,{pointers:[e],changedPointers:[e],pointerType:"mouse",srcEvent:e}))}});var X={pointerdown:1,pointermove:2,pointerup:4,pointercancel:8,pointerout:8},K={2:"touch",3:"pen",4:"mouse",5:"kinect"},q="pointerdown",$="pointermove pointerup pointercancel";function ee(){this.evEl=q,this.evWin=$,U.apply(this,arguments),this.store=this.manager.session.pointerEvents=[]}o.MSPointerEvent&&!o.PointerEvent&&(q="MSPointerDown",$="MSPointerMove MSPointerUp MSPointerCancel"),T(ee,U,{handler:function(e){var t=this.store,s=!1,n=e.type.toLowerCase().replace("ms",""),o=X[n],i=K[e.pointerType]||e.pointerType,a="touch"==i,r=E(t,e.pointerId,"pointerId");1&o&&(0===e.button||a)?r<0&&(t.push(e),r=t.length-1):12&o&&(s=!0),r<0||(t[r]=e,this.callback(this.manager,o,{pointers:t,changedPointers:[e],pointerType:i,srcEvent:e}),s&&t.splice(r,1))}});var te={touchstart:1,touchmove:2,touchend:4,touchcancel:8};function se(){this.evTarget="touchstart",this.evWin="touchstart touchmove touchend touchcancel",this.started=!1,U.apply(this,arguments)}function ne(e,t){var s=S(e.touches),n=S(e.changedTouches);return 12&t&&(s=L(s.concat(n),"identifier",!0)),[s,n]}T(se,U,{handler:function(e){var t=te[e.type];if(1===t&&(this.started=!0),this.started){var s=ne.call(this,e,t);12&t&&s[0].length-s[1].length==0&&(this.started=!1),this.callback(this.manager,t,{pointers:s[0],changedPointers:s[1],pointerType:"touch",srcEvent:e})}}});var oe={touchstart:1,touchmove:2,touchend:4,touchcancel:8};function ie(){this.evTarget="touchstart touchmove touchend touchcancel",this.targetIds={},U.apply(this,arguments)}function ae(e,t){var s=S(e.touches),n=this.targetIds;if(3&t&&1===s.length)return n[s[0].identifier]=!0,[s,s];var o,i,a=S(e.changedTouches),r=[],A=this.target;if(i=s.filter((function(e){return B(e.target,A)})),1===t)for(o=0;o<i.length;)n[i[o].identifier]=!0,o++;for(o=0;o<a.length;)n[a[o].identifier]&&r.push(a[o]),12&t&&delete n[a[o].identifier],o++;return r.length?[L(i.concat(r),"identifier",!0),r]:void 0}T(ie,U,{handler:function(e){var t=oe[e.type],s=ae.call(this,e,t);s&&this.callback(this.manager,t,{pointers:s[0],changedPointers:s[1],pointerType:"touch",srcEvent:e})}});function re(){U.apply(this,arguments);var e=w(this.handler,this);this.touch=new ie(this.manager,e),this.mouse=new V(this.manager,e),this.primaryTouch=null,this.lastTouches=[]}function Ae(e,t){1&e?(this.primaryTouch=t.changedPointers[0].identifier,ce.call(this,t)):12&e&&ce.call(this,t)}function ce(e){var t=e.changedPointers[0];if(t.identifier===this.primaryTouch){var s={x:t.clientX,y:t.clientY};this.lastTouches.push(s);var n=this.lastTouches;setTimeout((function(){var e=n.indexOf(s);e>-1&&n.splice(e,1)}),2500)}}function le(e){for(var t=e.srcEvent.clientX,s=e.srcEvent.clientY,n=0;n<this.lastTouches.length;n++){var o=this.lastTouches[n],i=Math.abs(t-o.x),a=Math.abs(s-o.y);if(i<=25&&a<=25)return!0}return!1}T(re,U,{handler:function(e,t,s){var n="touch"==s.pointerType,o="mouse"==s.pointerType;if(!(o&&s.sourceCapabilities&&s.sourceCapabilities.firesTouchEvents)){if(n)Ae.call(this,t,s);else if(o&&le.call(this,s))return;this.callback(e,t,s)}},destroy:function(){this.touch.destroy(),this.mouse.destroy()}});var me=k(l.style,"touchAction"),ue=void 0!==me,ge=function(){if(!ue)return!1;var e={},t=o.CSS&&o.CSS.supports;return["auto","manipulation","pan-y","pan-x","pan-x pan-y","none"].forEach((function(s){e[s]=!t||o.CSS.supports("touch-action",s)})),e}();function de(e,t){this.manager=e,this.set(t)}de.prototype={set:function(e){"compute"==e&&(e=this.compute()),ue&&this.manager.element.style&&ge[e]&&(this.manager.element.style[me]=e),this.actions=e.toLowerCase().trim()},update:function(){this.set(this.manager.options.touchAction)},compute:function(){var e=[];return f(this.manager.recognizers,(function(t){x(t.options.enable,[t])&&(e=e.concat(t.getTouchAction()))})),function(e){if(I(e,"none"))return"none";var t=I(e,"pan-x"),s=I(e,"pan-y");if(t&&s)return"none";if(t||s)return t?"pan-x":"pan-y";if(I(e,"manipulation"))return"manipulation";return"auto"}(e.join(" "))},preventDefaults:function(e){var t=e.srcEvent,s=e.offsetDirection;if(this.manager.session.prevented)t.preventDefault();else{var n=this.actions,o=I(n,"none")&&!ge.none,i=I(n,"pan-y")&&!ge["pan-y"],a=I(n,"pan-x")&&!ge["pan-x"];if(o){var r=1===e.pointers.length,A=e.distance<2,c=e.deltaTime<250;if(r&&A&&c)return}if(!a||!i)return o||i&&6&s||a&&24&s?this.preventSrc(t):void 0}},preventSrc:function(e){this.manager.session.prevented=!0,e.preventDefault()}};function pe(e){this.options=A({},this.defaults,e||{}),this.id=D++,this.manager=null,this.options.enable=y(this.options.enable,!0),this.state=1,this.simultaneous={},this.requireFail=[]}function fe(e){return 16&e?"cancel":8&e?"end":4&e?"move":2&e?"start":""}function he(e){return 16==e?"down":8==e?"up":2==e?"left":4==e?"right":""}function ve(e,t){var s=t.manager;return s?s.get(e):e}function Me(){pe.apply(this,arguments)}function Te(){Me.apply(this,arguments),this.pX=null,this.pY=null}function we(){Me.apply(this,arguments)}function xe(){pe.apply(this,arguments),this._timer=null,this._input=null}function ye(){Me.apply(this,arguments)}function be(){Me.apply(this,arguments)}function Ce(){pe.apply(this,arguments),this.pTime=!1,this.pCenter=!1,this._timer=null,this._input=null,this.count=0}function Be(e,t){return(t=t||{}).recognizers=y(t.recognizers,Be.defaults.preset),new Ie(e,t)}pe.prototype={defaults:{},set:function(e){return A(this.options,e),this.manager&&this.manager.touchAction.update(),this},recognizeWith:function(e){if(p(e,"recognizeWith",this))return this;var t=this.simultaneous;return t[(e=ve(e,this)).id]||(t[e.id]=e,e.recognizeWith(this)),this},dropRecognizeWith:function(e){return p(e,"dropRecognizeWith",this)||(e=ve(e,this),delete this.simultaneous[e.id]),this},requireFailure:function(e){if(p(e,"requireFailure",this))return this;var t=this.requireFail;return-1===E(t,e=ve(e,this))&&(t.push(e),e.requireFailure(this)),this},dropRequireFailure:function(e){if(p(e,"dropRequireFailure",this))return this;e=ve(e,this);var t=E(this.requireFail,e);return t>-1&&this.requireFail.splice(t,1),this},hasRequireFailures:function(){return this.requireFail.length>0},canRecognizeWith:function(e){return!!this.simultaneous[e.id]},emit:function(e){var t=this,s=this.state;function n(s){t.manager.emit(s,e)}s<8&&n(t.options.event+fe(s)),n(t.options.event),e.additionalEvent&&n(e.additionalEvent),s>=8&&n(t.options.event+fe(s))},tryEmit:function(e){if(this.canEmit())return this.emit(e);this.state=32},canEmit:function(){for(var e=0;e<this.requireFail.length;){if(!(33&this.requireFail[e].state))return!1;e++}return!0},recognize:function(e){var t=A({},e);if(!x(this.options.enable,[this,t]))return this.reset(),void(this.state=32);56&this.state&&(this.state=1),this.state=this.process(t),30&this.state&&this.tryEmit(t)},process:function(e){},getTouchAction:function(){},reset:function(){}},T(Me,pe,{defaults:{pointers:1},attrTest:function(e){var t=this.options.pointers;return 0===t||e.pointers.length===t},process:function(e){var t=this.state,s=e.eventType,n=6&t,o=this.attrTest(e);return n&&(8&s||!o)?16|t:n||o?4&s?8|t:2&t?4|t:2:32}}),T(Te,Me,{defaults:{event:"pan",threshold:10,pointers:1,direction:30},getTouchAction:function(){var e=this.options.direction,t=[];return 6&e&&t.push("pan-y"),24&e&&t.push("pan-x"),t},directionTest:function(e){var t=this.options,s=!0,n=e.distance,o=e.direction,i=e.deltaX,a=e.deltaY;return o&t.direction||(6&t.direction?(o=0===i?1:i<0?2:4,s=i!=this.pX,n=Math.abs(e.deltaX)):(o=0===a?1:a<0?8:16,s=a!=this.pY,n=Math.abs(e.deltaY))),e.direction=o,s&&n>t.threshold&&o&t.direction},attrTest:function(e){return Me.prototype.attrTest.call(this,e)&&(2&this.state||!(2&this.state)&&this.directionTest(e))},emit:function(e){this.pX=e.deltaX,this.pY=e.deltaY;var t=he(e.direction);t&&(e.additionalEvent=this.options.event+t),this._super.emit.call(this,e)}}),T(we,Me,{defaults:{event:"pinch",threshold:0,pointers:2},getTouchAction:function(){return["none"]},attrTest:function(e){return this._super.attrTest.call(this,e)&&(Math.abs(e.scale-1)>this.options.threshold||2&this.state)},emit:function(e){if(1!==e.scale){var t=e.scale<1?"in":"out";e.additionalEvent=this.options.event+t}this._super.emit.call(this,e)}}),T(xe,pe,{defaults:{event:"press",pointers:1,time:251,threshold:9},getTouchAction:function(){return["auto"]},process:function(e){var t=this.options,s=e.pointers.length===t.pointers,n=e.distance<t.threshold,o=e.deltaTime>t.time;if(this._input=e,!n||!s||12&e.eventType&&!o)this.reset();else if(1&e.eventType)this.reset(),this._timer=d((function(){this.state=8,this.tryEmit()}),t.time,this);else if(4&e.eventType)return 8;return 32},reset:function(){clearTimeout(this._timer)},emit:function(e){8===this.state&&(e&&4&e.eventType?this.manager.emit(this.options.event+"up",e):(this._input.timeStamp=g(),this.manager.emit(this.options.event,this._input)))}}),T(ye,Me,{defaults:{event:"rotate",threshold:0,pointers:2},getTouchAction:function(){return["none"]},attrTest:function(e){return this._super.attrTest.call(this,e)&&(Math.abs(e.rotation)>this.options.threshold||2&this.state)}}),T(be,Me,{defaults:{event:"swipe",threshold:10,velocity:.3,direction:30,pointers:1},getTouchAction:function(){return Te.prototype.getTouchAction.call(this)},attrTest:function(e){var t,s=this.options.direction;return 30&s?t=e.overallVelocity:6&s?t=e.overallVelocityX:24&s&&(t=e.overallVelocityY),this._super.attrTest.call(this,e)&&s&e.offsetDirection&&e.distance>this.options.threshold&&e.maxPointers==this.options.pointers&&u(t)>this.options.velocity&&4&e.eventType},emit:function(e){var t=he(e.offsetDirection);t&&this.manager.emit(this.options.event+t,e),this.manager.emit(this.options.event,e)}}),T(Ce,pe,{defaults:{event:"tap",pointers:1,taps:1,interval:300,time:250,threshold:9,posThreshold:10},getTouchAction:function(){return["manipulation"]},process:function(e){var t=this.options,s=e.pointers.length===t.pointers,n=e.distance<t.threshold,o=e.deltaTime<t.time;if(this.reset(),1&e.eventType&&0===this.count)return this.failTimeout();if(n&&o&&s){if(4!=e.eventType)return this.failTimeout();var i=!this.pTime||e.timeStamp-this.pTime<t.interval,a=!this.pCenter||H(this.pCenter,e.center)<t.posThreshold;if(this.pTime=e.timeStamp,this.pCenter=e.center,a&&i?this.count+=1:this.count=1,this._input=e,0===this.count%t.taps)return this.hasRequireFailures()?(this._timer=d((function(){this.state=8,this.tryEmit()}),t.interval,this),2):8}return 32},failTimeout:function(){return this._timer=d((function(){this.state=32}),this.options.interval,this),32},reset:function(){clearTimeout(this._timer)},emit:function(){8==this.state&&(this._input.tapCount=this.count,this.manager.emit(this.options.event,this._input))}}),Be.VERSION="2.0.7",Be.defaults={domEvents:!1,touchAction:"compute",enable:!0,inputTarget:null,inputClass:null,preset:[[ye,{enable:!1}],[we,{enable:!1},["rotate"]],[be,{direction:6}],[Te,{direction:6},["swipe"]],[Ce],[Ce,{event:"doubletap",taps:2},["tap"]],[xe]],cssProps:{userSelect:"none",touchSelect:"none",touchCallout:"none",contentZooming:"none",userDrag:"none",tapHighlightColor:"rgba(0,0,0,0)"}};function Ie(e,t){var s;this.options=A({},Be.defaults,t||{}),this.options.inputTarget=this.options.inputTarget||e,this.handlers={},this.session={},this.recognizers=[],this.oldCssProps={},this.element=e,this.input=new((s=this).options.inputClass||(G?ee:z?ie:Q?re:V))(s,_),this.touchAction=new de(this,this.options.touchAction),Ne(this,!0),f(this.options.recognizers,(function(e){var t=this.add(new e[0](e[1]));e[2]&&t.recognizeWith(e[2]),e[3]&&t.requireFailure(e[3])}),this)}function Ne(e,t){var s,n=e.element;n.style&&(f(e.options.cssProps,(function(o,i){s=k(n.style,i),t?(e.oldCssProps[s]=n.style[s],n.style[s]=o):n.style[s]=e.oldCssProps[s]||""})),t||(e.oldCssProps={}))}Ie.prototype={set:function(e){return A(this.options,e),e.touchAction&&this.touchAction.update(),e.inputTarget&&(this.input.destroy(),this.input.target=e.inputTarget,this.input.init()),this},stop:function(e){this.session.stopped=e?2:1},recognize:function(e){var t=this.session;if(!t.stopped){var s;this.touchAction.preventDefaults(e);var n=this.recognizers,o=t.curRecognizer;(!o||o&&8&o.state)&&(o=t.curRecognizer=null);for(var i=0;i<n.length;)s=n[i],2===t.stopped||o&&s!=o&&!s.canRecognizeWith(o)?s.reset():s.recognize(e),!o&&14&s.state&&(o=t.curRecognizer=s),i++}},get:function(e){if(e instanceof pe)return e;for(var t=this.recognizers,s=0;s<t.length;s++)if(t[s].options.event==e)return t[s];return null},add:function(e){if(p(e,"add",this))return this;var t=this.get(e.options.event);return t&&this.remove(t),this.recognizers.push(e),e.manager=this,this.touchAction.update(),e},remove:function(e){if(p(e,"remove",this))return this;if(e=this.get(e)){var t=this.recognizers,s=E(t,e);-1!==s&&(t.splice(s,1),this.touchAction.update())}return this},on:function(e,t){if(void 0!==e&&void 0!==t){var s=this.handlers;return f(N(e),(function(e){s[e]=s[e]||[],s[e].push(t)})),this}},off:function(e,t){if(void 0!==e){var s=this.handlers;return f(N(e),(function(e){t?s[e]&&s[e].splice(E(s[e],t),1):delete s[e]})),this}},emit:function(e,t){this.options.domEvents&&function(e,t){var s=i.createEvent("Event");s.initEvent(e,!0,!0),s.gesture=t,t.target.dispatchEvent(s)}(e,t);var s=this.handlers[e]&&this.handlers[e].slice();if(s&&s.length){t.type=e,t.preventDefault=function(){t.srcEvent.preventDefault()};for(var n=0;n<s.length;)s[n](t),n++}},destroy:function(){this.element&&Ne(this,!1),this.handlers={},this.session={},this.input.destroy(),this.element=null}},A(Be,{INPUT_START:1,INPUT_MOVE:2,INPUT_END:4,INPUT_CANCEL:8,STATE_POSSIBLE:1,STATE_BEGAN:2,STATE_CHANGED:4,STATE_ENDED:8,STATE_RECOGNIZED:8,STATE_CANCELLED:16,STATE_FAILED:32,DIRECTION_NONE:1,DIRECTION_LEFT:2,DIRECTION_RIGHT:4,DIRECTION_UP:8,DIRECTION_DOWN:16,DIRECTION_HORIZONTAL:6,DIRECTION_VERTICAL:24,DIRECTION_ALL:30,Manager:Ie,Input:U,TouchAction:de,TouchInput:ie,MouseInput:V,PointerEventInput:ee,TouchMouseInput:re,SingleTouchInput:se,Recognizer:pe,AttrRecognizer:Me,Tap:Ce,Pan:Te,Swipe:be,Pinch:we,Rotate:ye,Press:xe,on:b,off:C,each:f,merge:M,extend:v,assign:A,inherit:T,bindFn:w,prefixed:k}),(void 0!==o?o:"undefined"!=typeof self?self:{}).Hammer=Be,void 0===(n=function(){return Be}.call(t,s,t,e))||(e.exports=n)}(window,document)}}]);
-//# sourceMappingURL=vendors~files-modal.js.map?v=3520de68cd23fc6b8a5b \ No newline at end of file
+ * Licensed under the MIT license */!function(o,r,i,a){"use strict";var c,A=["","webkit","Moz","MS","ms","o"],l=r.createElement("div"),m=Math.round,u=Math.abs,g=Date.now;function d(e,t,s){return setTimeout(w(e,s),t)}function p(e,t,s){return!!Array.isArray(e)&&(f(e,s[t],s),!0)}function f(e,t,s){var n;if(e)if(e.forEach)e.forEach(t,s);else if(void 0!==e.length)for(n=0;n<e.length;)t.call(s,e[n],n,e),n++;else for(n in e)e.hasOwnProperty(n)&&t.call(s,e[n],n,e)}function h(e,t,s){var n="DEPRECATED METHOD: "+t+"\n"+s+" AT \n";return function(){var t=new Error("get-stack-trace"),s=t&&t.stack?t.stack.replace(/^[^\(]+?[\n$]/gm,"").replace(/^\s+at\s+/gm,"").replace(/^Object.<anonymous>\s*\(/gm,"{anonymous}()@"):"Unknown Stack Trace",r=o.console&&(o.console.warn||o.console.log);return r&&r.call(o.console,n,s),e.apply(this,arguments)}}c="function"!=typeof Object.assign?function(e){if(null==e)throw new TypeError("Cannot convert undefined or null to object");for(var t=Object(e),s=1;s<arguments.length;s++){var n=arguments[s];if(null!=n)for(var o in n)n.hasOwnProperty(o)&&(t[o]=n[o])}return t}:Object.assign;var v=h((function(e,t,s){for(var n=Object.keys(t),o=0;o<n.length;)(!s||s&&void 0===e[n[o]])&&(e[n[o]]=t[n[o]]),o++;return e}),"extend","Use `assign`."),M=h((function(e,t){return v(e,t,!0)}),"merge","Use `assign`.");function T(e,t,s){var n,o=t.prototype;(n=e.prototype=Object.create(o)).constructor=e,n._super=o,s&&c(n,s)}function w(e,t){return function(){return e.apply(t,arguments)}}function y(e,t){return"function"==typeof e?e.apply(t&&t[0]||void 0,t):e}function b(e,t){return void 0===e?t:e}function x(e,t,s){f(k(t),(function(t){e.addEventListener(t,s,!1)}))}function S(e,t,s){f(k(t),(function(t){e.removeEventListener(t,s,!1)}))}function E(e,t){for(;e;){if(e==t)return!0;e=e.parentNode}return!1}function C(e,t){return e.indexOf(t)>-1}function k(e){return e.trim().split(/\s+/g)}function N(e,t,s){if(e.indexOf&&!s)return e.indexOf(t);for(var n=0;n<e.length;){if(s&&e[n][s]==t||!s&&e[n]===t)return n;n++}return-1}function P(e){return Array.prototype.slice.call(e,0)}function I(e,t,s){for(var n=[],o=[],r=0;r<e.length;){var i=t?e[r][t]:e[r];N(o,i)<0&&n.push(e[r]),o[r]=i,r++}return s&&(n=t?n.sort((function(e,s){return e[t]>s[t]})):n.sort()),n}function B(e,t){for(var s,n,o=t[0].toUpperCase()+t.slice(1),r=0;r<A.length;){if((n=(s=A[r])?s+o:t)in e)return n;r++}}var j=1;function L(e){var t=e.ownerDocument||e;return t.defaultView||t.parentWindow||o}var D="ontouchstart"in o,G=void 0!==B(o,"PointerEvent"),F=D&&/mobile|tablet|ip(ad|hone|od)|android/i.test(navigator.userAgent),Q=["x","y"],U=["clientX","clientY"];function z(e,t){var s=this;this.manager=e,this.callback=t,this.element=e.element,this.target=e.options.inputTarget,this.domHandler=function(t){y(e.options.enable,[e])&&s.handler(t)},this.init()}function Y(e,t,s){var n=s.pointers.length,o=s.changedPointers.length,r=1&t&&n-o==0,i=12&t&&n-o==0;s.isFirst=!!r,s.isFinal=!!i,r&&(e.session={}),s.eventType=t,function(e,t){var s=e.session,n=t.pointers,o=n.length;s.firstInput||(s.firstInput=_(t));o>1&&!s.firstMultiple?s.firstMultiple=_(t):1===o&&(s.firstMultiple=!1);var r=s.firstInput,i=s.firstMultiple,a=i?i.center:r.center,c=t.center=O(n);t.timeStamp=g(),t.deltaTime=t.timeStamp-r.timeStamp,t.angle=J(a,c),t.distance=H(a,c),function(e,t){var s=t.center,n=e.offsetDelta||{},o=e.prevDelta||{},r=e.prevInput||{};1!==t.eventType&&4!==r.eventType||(o=e.prevDelta={x:r.deltaX||0,y:r.deltaY||0},n=e.offsetDelta={x:s.x,y:s.y});t.deltaX=o.x+(s.x-n.x),t.deltaY=o.y+(s.y-n.y)}(s,t),t.offsetDirection=R(t.deltaX,t.deltaY);var A=Z(t.deltaTime,t.deltaX,t.deltaY);t.overallVelocityX=A.x,t.overallVelocityY=A.y,t.overallVelocity=u(A.x)>u(A.y)?A.x:A.y,t.scale=i?(l=i.pointers,m=n,H(m[0],m[1],U)/H(l[0],l[1],U)):1,t.rotation=i?function(e,t){return J(t[1],t[0],U)+J(e[1],e[0],U)}(i.pointers,n):0,t.maxPointers=s.prevInput?t.pointers.length>s.prevInput.maxPointers?t.pointers.length:s.prevInput.maxPointers:t.pointers.length,function(e,t){var s,n,o,r,i=e.lastInterval||t,a=t.timeStamp-i.timeStamp;if(8!=t.eventType&&(a>25||void 0===i.velocity)){var c=t.deltaX-i.deltaX,A=t.deltaY-i.deltaY,l=Z(a,c,A);n=l.x,o=l.y,s=u(l.x)>u(l.y)?l.x:l.y,r=R(c,A),e.lastInterval=t}else s=i.velocity,n=i.velocityX,o=i.velocityY,r=i.direction;t.velocity=s,t.velocityX=n,t.velocityY=o,t.direction=r}(s,t);var l,m;var d=e.element;E(t.srcEvent.target,d)&&(d=t.srcEvent.target);t.target=d}(e,s),e.emit("hammer.input",s),e.recognize(s),e.session.prevInput=s}function _(e){for(var t=[],s=0;s<e.pointers.length;)t[s]={clientX:m(e.pointers[s].clientX),clientY:m(e.pointers[s].clientY)},s++;return{timeStamp:g(),pointers:t,center:O(t),deltaX:e.deltaX,deltaY:e.deltaY}}function O(e){var t=e.length;if(1===t)return{x:m(e[0].clientX),y:m(e[0].clientY)};for(var s=0,n=0,o=0;o<t;)s+=e[o].clientX,n+=e[o].clientY,o++;return{x:m(s/t),y:m(n/t)}}function Z(e,t,s){return{x:t/e||0,y:s/e||0}}function R(e,t){return e===t?1:u(e)>=u(t)?e<0?2:4:t<0?8:16}function H(e,t,s){s||(s=Q);var n=t[s[0]]-e[s[0]],o=t[s[1]]-e[s[1]];return Math.sqrt(n*n+o*o)}function J(e,t,s){s||(s=Q);var n=t[s[0]]-e[s[0]],o=t[s[1]]-e[s[1]];return 180*Math.atan2(o,n)/Math.PI}z.prototype={handler:function(){},init:function(){this.evEl&&x(this.element,this.evEl,this.domHandler),this.evTarget&&x(this.target,this.evTarget,this.domHandler),this.evWin&&x(L(this.element),this.evWin,this.domHandler)},destroy:function(){this.evEl&&S(this.element,this.evEl,this.domHandler),this.evTarget&&S(this.target,this.evTarget,this.domHandler),this.evWin&&S(L(this.element),this.evWin,this.domHandler)}};var W={mousedown:1,mousemove:2,mouseup:4};function K(){this.evEl="mousedown",this.evWin="mousemove mouseup",this.pressed=!1,z.apply(this,arguments)}T(K,z,{handler:function(e){var t=W[e.type];1&t&&0===e.button&&(this.pressed=!0),2&t&&1!==e.which&&(t=4),this.pressed&&(4&t&&(this.pressed=!1),this.callback(this.manager,t,{pointers:[e],changedPointers:[e],pointerType:"mouse",srcEvent:e}))}});var V={pointerdown:1,pointermove:2,pointerup:4,pointercancel:8,pointerout:8},X={2:"touch",3:"pen",4:"mouse",5:"kinect"},q="pointerdown",$="pointermove pointerup pointercancel";function ee(){this.evEl=q,this.evWin=$,z.apply(this,arguments),this.store=this.manager.session.pointerEvents=[]}o.MSPointerEvent&&!o.PointerEvent&&(q="MSPointerDown",$="MSPointerMove MSPointerUp MSPointerCancel"),T(ee,z,{handler:function(e){var t=this.store,s=!1,n=e.type.toLowerCase().replace("ms",""),o=V[n],r=X[e.pointerType]||e.pointerType,i="touch"==r,a=N(t,e.pointerId,"pointerId");1&o&&(0===e.button||i)?a<0&&(t.push(e),a=t.length-1):12&o&&(s=!0),a<0||(t[a]=e,this.callback(this.manager,o,{pointers:t,changedPointers:[e],pointerType:r,srcEvent:e}),s&&t.splice(a,1))}});var te={touchstart:1,touchmove:2,touchend:4,touchcancel:8};function se(){this.evTarget="touchstart",this.evWin="touchstart touchmove touchend touchcancel",this.started=!1,z.apply(this,arguments)}function ne(e,t){var s=P(e.touches),n=P(e.changedTouches);return 12&t&&(s=I(s.concat(n),"identifier",!0)),[s,n]}T(se,z,{handler:function(e){var t=te[e.type];if(1===t&&(this.started=!0),this.started){var s=ne.call(this,e,t);12&t&&s[0].length-s[1].length==0&&(this.started=!1),this.callback(this.manager,t,{pointers:s[0],changedPointers:s[1],pointerType:"touch",srcEvent:e})}}});var oe={touchstart:1,touchmove:2,touchend:4,touchcancel:8};function re(){this.evTarget="touchstart touchmove touchend touchcancel",this.targetIds={},z.apply(this,arguments)}function ie(e,t){var s=P(e.touches),n=this.targetIds;if(3&t&&1===s.length)return n[s[0].identifier]=!0,[s,s];var o,r,i=P(e.changedTouches),a=[],c=this.target;if(r=s.filter((function(e){return E(e.target,c)})),1===t)for(o=0;o<r.length;)n[r[o].identifier]=!0,o++;for(o=0;o<i.length;)n[i[o].identifier]&&a.push(i[o]),12&t&&delete n[i[o].identifier],o++;return a.length?[I(r.concat(a),"identifier",!0),a]:void 0}T(re,z,{handler:function(e){var t=oe[e.type],s=ie.call(this,e,t);s&&this.callback(this.manager,t,{pointers:s[0],changedPointers:s[1],pointerType:"touch",srcEvent:e})}});function ae(){z.apply(this,arguments);var e=w(this.handler,this);this.touch=new re(this.manager,e),this.mouse=new K(this.manager,e),this.primaryTouch=null,this.lastTouches=[]}function ce(e,t){1&e?(this.primaryTouch=t.changedPointers[0].identifier,Ae.call(this,t)):12&e&&Ae.call(this,t)}function Ae(e){var t=e.changedPointers[0];if(t.identifier===this.primaryTouch){var s={x:t.clientX,y:t.clientY};this.lastTouches.push(s);var n=this.lastTouches;setTimeout((function(){var e=n.indexOf(s);e>-1&&n.splice(e,1)}),2500)}}function le(e){for(var t=e.srcEvent.clientX,s=e.srcEvent.clientY,n=0;n<this.lastTouches.length;n++){var o=this.lastTouches[n],r=Math.abs(t-o.x),i=Math.abs(s-o.y);if(r<=25&&i<=25)return!0}return!1}T(ae,z,{handler:function(e,t,s){var n="touch"==s.pointerType,o="mouse"==s.pointerType;if(!(o&&s.sourceCapabilities&&s.sourceCapabilities.firesTouchEvents)){if(n)ce.call(this,t,s);else if(o&&le.call(this,s))return;this.callback(e,t,s)}},destroy:function(){this.touch.destroy(),this.mouse.destroy()}});var me=B(l.style,"touchAction"),ue=void 0!==me,ge=function(){if(!ue)return!1;var e={},t=o.CSS&&o.CSS.supports;return["auto","manipulation","pan-y","pan-x","pan-x pan-y","none"].forEach((function(s){e[s]=!t||o.CSS.supports("touch-action",s)})),e}();function de(e,t){this.manager=e,this.set(t)}de.prototype={set:function(e){"compute"==e&&(e=this.compute()),ue&&this.manager.element.style&&ge[e]&&(this.manager.element.style[me]=e),this.actions=e.toLowerCase().trim()},update:function(){this.set(this.manager.options.touchAction)},compute:function(){var e=[];return f(this.manager.recognizers,(function(t){y(t.options.enable,[t])&&(e=e.concat(t.getTouchAction()))})),function(e){if(C(e,"none"))return"none";var t=C(e,"pan-x"),s=C(e,"pan-y");if(t&&s)return"none";if(t||s)return t?"pan-x":"pan-y";if(C(e,"manipulation"))return"manipulation";return"auto"}(e.join(" "))},preventDefaults:function(e){var t=e.srcEvent,s=e.offsetDirection;if(this.manager.session.prevented)t.preventDefault();else{var n=this.actions,o=C(n,"none")&&!ge.none,r=C(n,"pan-y")&&!ge["pan-y"],i=C(n,"pan-x")&&!ge["pan-x"];if(o){var a=1===e.pointers.length,c=e.distance<2,A=e.deltaTime<250;if(a&&c&&A)return}if(!i||!r)return o||r&&6&s||i&&24&s?this.preventSrc(t):void 0}},preventSrc:function(e){this.manager.session.prevented=!0,e.preventDefault()}};function pe(e){this.options=c({},this.defaults,e||{}),this.id=j++,this.manager=null,this.options.enable=b(this.options.enable,!0),this.state=1,this.simultaneous={},this.requireFail=[]}function fe(e){return 16&e?"cancel":8&e?"end":4&e?"move":2&e?"start":""}function he(e){return 16==e?"down":8==e?"up":2==e?"left":4==e?"right":""}function ve(e,t){var s=t.manager;return s?s.get(e):e}function Me(){pe.apply(this,arguments)}function Te(){Me.apply(this,arguments),this.pX=null,this.pY=null}function we(){Me.apply(this,arguments)}function ye(){pe.apply(this,arguments),this._timer=null,this._input=null}function be(){Me.apply(this,arguments)}function xe(){Me.apply(this,arguments)}function Se(){pe.apply(this,arguments),this.pTime=!1,this.pCenter=!1,this._timer=null,this._input=null,this.count=0}function Ee(e,t){return(t=t||{}).recognizers=b(t.recognizers,Ee.defaults.preset),new Ce(e,t)}pe.prototype={defaults:{},set:function(e){return c(this.options,e),this.manager&&this.manager.touchAction.update(),this},recognizeWith:function(e){if(p(e,"recognizeWith",this))return this;var t=this.simultaneous;return t[(e=ve(e,this)).id]||(t[e.id]=e,e.recognizeWith(this)),this},dropRecognizeWith:function(e){return p(e,"dropRecognizeWith",this)||(e=ve(e,this),delete this.simultaneous[e.id]),this},requireFailure:function(e){if(p(e,"requireFailure",this))return this;var t=this.requireFail;return-1===N(t,e=ve(e,this))&&(t.push(e),e.requireFailure(this)),this},dropRequireFailure:function(e){if(p(e,"dropRequireFailure",this))return this;e=ve(e,this);var t=N(this.requireFail,e);return t>-1&&this.requireFail.splice(t,1),this},hasRequireFailures:function(){return this.requireFail.length>0},canRecognizeWith:function(e){return!!this.simultaneous[e.id]},emit:function(e){var t=this,s=this.state;function n(s){t.manager.emit(s,e)}s<8&&n(t.options.event+fe(s)),n(t.options.event),e.additionalEvent&&n(e.additionalEvent),s>=8&&n(t.options.event+fe(s))},tryEmit:function(e){if(this.canEmit())return this.emit(e);this.state=32},canEmit:function(){for(var e=0;e<this.requireFail.length;){if(!(33&this.requireFail[e].state))return!1;e++}return!0},recognize:function(e){var t=c({},e);if(!y(this.options.enable,[this,t]))return this.reset(),void(this.state=32);56&this.state&&(this.state=1),this.state=this.process(t),30&this.state&&this.tryEmit(t)},process:function(e){},getTouchAction:function(){},reset:function(){}},T(Me,pe,{defaults:{pointers:1},attrTest:function(e){var t=this.options.pointers;return 0===t||e.pointers.length===t},process:function(e){var t=this.state,s=e.eventType,n=6&t,o=this.attrTest(e);return n&&(8&s||!o)?16|t:n||o?4&s?8|t:2&t?4|t:2:32}}),T(Te,Me,{defaults:{event:"pan",threshold:10,pointers:1,direction:30},getTouchAction:function(){var e=this.options.direction,t=[];return 6&e&&t.push("pan-y"),24&e&&t.push("pan-x"),t},directionTest:function(e){var t=this.options,s=!0,n=e.distance,o=e.direction,r=e.deltaX,i=e.deltaY;return o&t.direction||(6&t.direction?(o=0===r?1:r<0?2:4,s=r!=this.pX,n=Math.abs(e.deltaX)):(o=0===i?1:i<0?8:16,s=i!=this.pY,n=Math.abs(e.deltaY))),e.direction=o,s&&n>t.threshold&&o&t.direction},attrTest:function(e){return Me.prototype.attrTest.call(this,e)&&(2&this.state||!(2&this.state)&&this.directionTest(e))},emit:function(e){this.pX=e.deltaX,this.pY=e.deltaY;var t=he(e.direction);t&&(e.additionalEvent=this.options.event+t),this._super.emit.call(this,e)}}),T(we,Me,{defaults:{event:"pinch",threshold:0,pointers:2},getTouchAction:function(){return["none"]},attrTest:function(e){return this._super.attrTest.call(this,e)&&(Math.abs(e.scale-1)>this.options.threshold||2&this.state)},emit:function(e){if(1!==e.scale){var t=e.scale<1?"in":"out";e.additionalEvent=this.options.event+t}this._super.emit.call(this,e)}}),T(ye,pe,{defaults:{event:"press",pointers:1,time:251,threshold:9},getTouchAction:function(){return["auto"]},process:function(e){var t=this.options,s=e.pointers.length===t.pointers,n=e.distance<t.threshold,o=e.deltaTime>t.time;if(this._input=e,!n||!s||12&e.eventType&&!o)this.reset();else if(1&e.eventType)this.reset(),this._timer=d((function(){this.state=8,this.tryEmit()}),t.time,this);else if(4&e.eventType)return 8;return 32},reset:function(){clearTimeout(this._timer)},emit:function(e){8===this.state&&(e&&4&e.eventType?this.manager.emit(this.options.event+"up",e):(this._input.timeStamp=g(),this.manager.emit(this.options.event,this._input)))}}),T(be,Me,{defaults:{event:"rotate",threshold:0,pointers:2},getTouchAction:function(){return["none"]},attrTest:function(e){return this._super.attrTest.call(this,e)&&(Math.abs(e.rotation)>this.options.threshold||2&this.state)}}),T(xe,Me,{defaults:{event:"swipe",threshold:10,velocity:.3,direction:30,pointers:1},getTouchAction:function(){return Te.prototype.getTouchAction.call(this)},attrTest:function(e){var t,s=this.options.direction;return 30&s?t=e.overallVelocity:6&s?t=e.overallVelocityX:24&s&&(t=e.overallVelocityY),this._super.attrTest.call(this,e)&&s&e.offsetDirection&&e.distance>this.options.threshold&&e.maxPointers==this.options.pointers&&u(t)>this.options.velocity&&4&e.eventType},emit:function(e){var t=he(e.offsetDirection);t&&this.manager.emit(this.options.event+t,e),this.manager.emit(this.options.event,e)}}),T(Se,pe,{defaults:{event:"tap",pointers:1,taps:1,interval:300,time:250,threshold:9,posThreshold:10},getTouchAction:function(){return["manipulation"]},process:function(e){var t=this.options,s=e.pointers.length===t.pointers,n=e.distance<t.threshold,o=e.deltaTime<t.time;if(this.reset(),1&e.eventType&&0===this.count)return this.failTimeout();if(n&&o&&s){if(4!=e.eventType)return this.failTimeout();var r=!this.pTime||e.timeStamp-this.pTime<t.interval,i=!this.pCenter||H(this.pCenter,e.center)<t.posThreshold;if(this.pTime=e.timeStamp,this.pCenter=e.center,i&&r?this.count+=1:this.count=1,this._input=e,0===this.count%t.taps)return this.hasRequireFailures()?(this._timer=d((function(){this.state=8,this.tryEmit()}),t.interval,this),2):8}return 32},failTimeout:function(){return this._timer=d((function(){this.state=32}),this.options.interval,this),32},reset:function(){clearTimeout(this._timer)},emit:function(){8==this.state&&(this._input.tapCount=this.count,this.manager.emit(this.options.event,this._input))}}),Ee.VERSION="2.0.7",Ee.defaults={domEvents:!1,touchAction:"compute",enable:!0,inputTarget:null,inputClass:null,preset:[[be,{enable:!1}],[we,{enable:!1},["rotate"]],[xe,{direction:6}],[Te,{direction:6},["swipe"]],[Se],[Se,{event:"doubletap",taps:2},["tap"]],[ye]],cssProps:{userSelect:"none",touchSelect:"none",touchCallout:"none",contentZooming:"none",userDrag:"none",tapHighlightColor:"rgba(0,0,0,0)"}};function Ce(e,t){var s;this.options=c({},Ee.defaults,t||{}),this.options.inputTarget=this.options.inputTarget||e,this.handlers={},this.session={},this.recognizers=[],this.oldCssProps={},this.element=e,this.input=new((s=this).options.inputClass||(G?ee:F?re:D?ae:K))(s,Y),this.touchAction=new de(this,this.options.touchAction),ke(this,!0),f(this.options.recognizers,(function(e){var t=this.add(new e[0](e[1]));e[2]&&t.recognizeWith(e[2]),e[3]&&t.requireFailure(e[3])}),this)}function ke(e,t){var s,n=e.element;n.style&&(f(e.options.cssProps,(function(o,r){s=B(n.style,r),t?(e.oldCssProps[s]=n.style[s],n.style[s]=o):n.style[s]=e.oldCssProps[s]||""})),t||(e.oldCssProps={}))}Ce.prototype={set:function(e){return c(this.options,e),e.touchAction&&this.touchAction.update(),e.inputTarget&&(this.input.destroy(),this.input.target=e.inputTarget,this.input.init()),this},stop:function(e){this.session.stopped=e?2:1},recognize:function(e){var t=this.session;if(!t.stopped){var s;this.touchAction.preventDefaults(e);var n=this.recognizers,o=t.curRecognizer;(!o||o&&8&o.state)&&(o=t.curRecognizer=null);for(var r=0;r<n.length;)s=n[r],2===t.stopped||o&&s!=o&&!s.canRecognizeWith(o)?s.reset():s.recognize(e),!o&&14&s.state&&(o=t.curRecognizer=s),r++}},get:function(e){if(e instanceof pe)return e;for(var t=this.recognizers,s=0;s<t.length;s++)if(t[s].options.event==e)return t[s];return null},add:function(e){if(p(e,"add",this))return this;var t=this.get(e.options.event);return t&&this.remove(t),this.recognizers.push(e),e.manager=this,this.touchAction.update(),e},remove:function(e){if(p(e,"remove",this))return this;if(e=this.get(e)){var t=this.recognizers,s=N(t,e);-1!==s&&(t.splice(s,1),this.touchAction.update())}return this},on:function(e,t){if(void 0!==e&&void 0!==t){var s=this.handlers;return f(k(e),(function(e){s[e]=s[e]||[],s[e].push(t)})),this}},off:function(e,t){if(void 0!==e){var s=this.handlers;return f(k(e),(function(e){t?s[e]&&s[e].splice(N(s[e],t),1):delete s[e]})),this}},emit:function(e,t){this.options.domEvents&&function(e,t){var s=r.createEvent("Event");s.initEvent(e,!0,!0),s.gesture=t,t.target.dispatchEvent(s)}(e,t);var s=this.handlers[e]&&this.handlers[e].slice();if(s&&s.length){t.type=e,t.preventDefault=function(){t.srcEvent.preventDefault()};for(var n=0;n<s.length;)s[n](t),n++}},destroy:function(){this.element&&ke(this,!1),this.handlers={},this.session={},this.input.destroy(),this.element=null}},c(Ee,{INPUT_START:1,INPUT_MOVE:2,INPUT_END:4,INPUT_CANCEL:8,STATE_POSSIBLE:1,STATE_BEGAN:2,STATE_CHANGED:4,STATE_ENDED:8,STATE_RECOGNIZED:8,STATE_CANCELLED:16,STATE_FAILED:32,DIRECTION_NONE:1,DIRECTION_LEFT:2,DIRECTION_RIGHT:4,DIRECTION_UP:8,DIRECTION_DOWN:16,DIRECTION_HORIZONTAL:6,DIRECTION_VERTICAL:24,DIRECTION_ALL:30,Manager:Ce,Input:z,TouchAction:de,TouchInput:re,MouseInput:K,PointerEventInput:ee,TouchMouseInput:ae,SingleTouchInput:se,Recognizer:pe,AttrRecognizer:Me,Tap:Se,Pan:Te,Swipe:xe,Pinch:we,Rotate:be,Press:ye,on:x,off:S,each:f,merge:M,extend:v,assign:c,inherit:T,bindFn:w,prefixed:B}),(void 0!==o?o:"undefined"!=typeof self?self:{}).Hammer=Ee,void 0===(n=function(){return Ee}.call(t,s,t,e))||(e.exports=n)}(window,document)}}]);
+//# sourceMappingURL=vendors~files-modal.js.map?v=974d8a5edd0f4a8a98d0 \ No newline at end of file
diff --git a/js/vendors~files-modal.js.map b/js/vendors~files-modal.js.map
index 2c1bbfe9f..e1c28dd49 100644
--- a/js/vendors~files-modal.js.map
+++ b/js/vendors~files-modal.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///./node_modules/core-js/modules/es.function.name.js","webpack:///./node_modules/@nextcloud/l10n/dist/gettext.js","webpack:///./node_modules/core-js/modules/es.string.trim.js","webpack:///./node_modules/core-js/internals/string-trim-forced.js","webpack:///./node_modules/core-js/modules/es.number.constructor.js","webpack:///./node_modules/core-js/modules/es.array.splice.js","webpack:///./node_modules/@nextcloud/vue/dist/Components/Modal.js","webpack:///./node_modules/hammerjs/hammer.js"],"names":["DESCRIPTORS","defineProperty","f","FunctionPrototype","Function","prototype","FunctionPrototypeToString","toString","nameRE","configurable","get","call","this","match","error","Object","exports","value","getGettextBuilder","GettextBuilder","obj","_nodeGettext","__esModule","default","_","_classCallCheck","instance","Constructor","TypeError","_defineProperties","target","props","i","length","descriptor","enumerable","writable","key","_createClass","protoProps","staticProps","translations","debug","language","locale","setLanguage","getLanguage","data","GettextWrapper","gt","sourceLocale","addTranslations","setLocale","translated","vars","replace","a","b","r","original","placeholders","arguments","undefined","subtitudePlaceholders","gettext","singular","plural","count","ngettext","$","$trim","trim","proto","forced","forcedStringTrimMethod","fails","whitespaces","module","METHOD_NAME","name","global","isForced","redefine","has","classof","inheritIfRequired","toPrimitive","create","getOwnPropertyNames","getOwnPropertyDescriptor","NativeNumber","NumberPrototype","BROKEN_CLASSOF","toNumber","argument","first","third","radix","maxCode","digits","index","code","it","charCodeAt","NaN","slice","parseInt","NumberWrapper","dummy","valueOf","keys","split","j","constructor","toAbsoluteIndex","toInteger","toLength","toObject","arraySpeciesCreate","createProperty","arrayMethodHasSpeciesSupport","arrayMethodUsesToLength","HAS_SPECIES_SUPPORT","USES_TO_LENGTH","ACCESSORS","0","1","max","Math","min","splice","start","deleteCount","insertCount","actualDeleteCount","A","k","from","to","O","len","actualStart","argumentsLength","window","e","t","s","n","o","l","m","c","d","Symbol","toStringTag","bind","hasOwnProperty","p","options","render","staticRenderFns","_compiled","functional","_scopeId","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","beforeCreate","concat","map","btoa","unescape","encodeURIComponent","JSON","stringify","sources","sourceRoot","join","push","10","11","12","122","locals","13","VTooltip","defaultTemplate","defaultHtml","139","14","140","15","155","16","17","before","$slots","text","util","warn","$destroy","$el","remove","beforeUpdate","getText","computed","isLongText","methods","18","random","substr","182","Date","setTimeout","pause","clearTimeout","clear","getTimeLeft","getStateRunning","g","components","Actions","ActionButton","directives","tooltip","mixins","title","type","String","hasPrevious","Boolean","hasNext","outTransition","enableSlideshow","clearViewDelay","Number","slideshowDelay","slideshowPaused","enableSwipe","spreadNavigation","size","validator","indexOf","canClose","dark","mc","showModal","clearView","clearViewTimeout","playing","slideshowTimeout","modalTransitionName","playPauseTitle","watch","beforeMount","addEventListener","handleKeydown","beforeDestroy","removeEventListener","mounted","handleMouseMove","$refs","mask","on","handleSwipe","document","body","insertBefore","lastChild","unmounted","off","destroy","previous","resetSlideshow","$emit","next","close","keyCode","togglePlayPause","handleSlideshow","clearSlideshowTimeout","$nextTick","u","$createElement","_self","_c","attrs","ref","staticClass","class","click","mousemove","touchmove","rawName","expression","invisible","_v","_s","_e","modifiers","auto","height","width","stroke","fill","cx","cy","_t","icon","currentTarget","v","19","2","id","css","media","sourceMap","parts","DEBUG","Error","head","getElementsByTagName","navigator","test","userAgent","toLowerCase","refs","createElement","appendChild","querySelector","parentNode","removeChild","h","T","M","filter","styleSheet","cssText","createTextNode","childNodes","setAttribute","ssrId","firstChild","20","21","22","23","24","25","$parent","closeAfterClick","ariaLabel","isIconUrl","URL","onClick","closeMenu","254","255","26","27","28","29","3","30","31","componentOptions","tag","32","33","37","38","39","4","42","43","46","getBoundingClientRect","documentElement","clientHeight","clientWidth","assign","top","left","bottom","right","any","all","offsetY","offsetX","ClickOutside","directive","open","forceMenu","menuAlign","menuTitle","primary","defaultIcon","actions","opened","focusIndex","randomId","offsetYArrow","rotateArrow","children","$children","hasMultipleActions","isValidSingleAction","firstActionElement","firstActionVNode","firstAction","firstActionBinding","is","href","exact","firstActionEvent","listeners","firstActionEventBinding","firstActionClass","onOpen","initActions","toggleMenu","focusFirstAction","menu","round","onMouseFocusAction","activeElement","closest","querySelectorAll","Array","focusAction","removeCurrentActive","classList","focus","focusPreviousAction","focusNextAction","focusLastAction","execFirstAction","_b","rel","_d","hidden","keydown","_k","ctrlKey","shiftKey","altKey","metaKey","preventDefault","style","marginRight","marginTop","tabindex","transform","47","isArray","48","5","58","6","detectLocale","json","charset","headers","Language","msgid","comments","translator","msgstr","reference","Choose","Close","Next","Previous","Settings","addTranslation","build","7","hash","needQuotes","71","72","73","74","77","disabled","isFocusable","focusable","backgroundImage","domProps","textContent","8","9","94","98","exportName","VENDOR_PREFIXES","TEST_ELEMENT","abs","now","setTimeoutContext","fn","timeout","context","bindFn","invokeArrayArg","arg","each","iterator","forEach","deprecate","method","message","deprecationMessage","stack","log","console","apply","output","source","nextKey","extend","dest","src","merge","inherit","child","base","properties","childP","baseP","_super","boolOrFn","val","args","ifUndefined","val1","val2","addEventListeners","types","handler","splitStr","removeEventListeners","hasParent","node","inStr","str","find","inArray","findByKey","toArray","uniqueArray","sort","results","values","prefixed","property","prefix","prop","camelProp","toUpperCase","_uniqueId","getWindowForElement","element","doc","ownerDocument","defaultView","parentWindow","SUPPORT_TOUCH","SUPPORT_POINTER_EVENTS","SUPPORT_ONLY_TOUCH","PROPS_XY","PROPS_CLIENT_XY","Input","manager","callback","self","inputTarget","domHandler","ev","enable","init","inputHandler","eventType","input","pointersLen","pointers","changedPointersLen","changedPointers","isFirst","isFinal","session","pointersLength","firstInput","simpleCloneInputData","firstMultiple","offsetCenter","center","getCenter","timeStamp","deltaTime","angle","getAngle","distance","getDistance","offset","offsetDelta","prevDelta","prevInput","x","deltaX","y","deltaY","computeDeltaXY","offsetDirection","getDirection","overallVelocity","getVelocity","overallVelocityX","overallVelocityY","scale","end","rotation","getRotation","maxPointers","velocity","velocityX","velocityY","direction","last","lastInterval","computeIntervalInputData","srcEvent","computeInputData","emit","recognize","clientX","clientY","p1","p2","sqrt","atan2","PI","evEl","evTarget","evWin","MOUSE_INPUT_MAP","mousedown","mouseup","MouseInput","pressed","button","which","pointerType","POINTER_INPUT_MAP","pointerdown","pointermove","pointerup","pointercancel","pointerout","IE10_POINTER_TYPE_ENUM","POINTER_ELEMENT_EVENTS","POINTER_WINDOW_EVENTS","PointerEventInput","store","pointerEvents","MSPointerEvent","PointerEvent","removePointer","eventTypeNormalized","isTouch","storeIndex","pointerId","SINGLE_TOUCH_INPUT_MAP","touchstart","touchend","touchcancel","SingleTouchInput","started","normalizeSingleTouches","touches","changed","changedTouches","TOUCH_INPUT_MAP","TouchInput","targetIds","getTouches","allTouches","identifier","targetTouches","changedTargetTouches","touch","TouchMouseInput","mouse","primaryTouch","lastTouches","recordTouches","eventData","setLastTouch","lastTouch","lts","isSyntheticEvent","dx","dy","inputEvent","inputData","isMouse","sourceCapabilities","firesTouchEvents","PREFIXED_TOUCH_ACTION","NATIVE_TOUCH_ACTION","TOUCH_ACTION_MAP","touchMap","cssSupports","CSS","supports","getTouchActionProps","TouchAction","set","compute","update","touchAction","recognizers","recognizer","getTouchAction","hasPanX","hasPanY","cleanTouchActions","preventDefaults","prevented","hasNone","isTapPointer","isTapMovement","isTapTouchTime","DIRECTION_LEFT","DIRECTION_UP","preventSrc","Recognizer","defaults","state","simultaneous","requireFail","stateStr","directionStr","getRecognizerByNameIfManager","otherRecognizer","AttrRecognizer","PanRecognizer","pX","pY","PinchRecognizer","PressRecognizer","_timer","_input","RotateRecognizer","SwipeRecognizer","TapRecognizer","pTime","pCenter","Hammer","preset","Manager","recognizeWith","dropRecognizeWith","requireFailure","dropRequireFailure","hasRequireFailures","canRecognizeWith","event","additionalEvent","tryEmit","canEmit","inputDataClone","reset","process","attrTest","optionPointers","isRecognized","isValid","threshold","DIRECTION_HORIZONTAL","directionTest","hasMoved","inOut","time","validPointers","validMovement","validTime","taps","interval","posThreshold","validTouchTime","failTimeout","validInterval","validMultiTap","tapCount","VERSION","domEvents","inputClass","cssProps","userSelect","touchSelect","touchCallout","contentZooming","userDrag","tapHighlightColor","handlers","oldCssProps","toggleCssProps","item","stop","force","stopped","curRecognizer","existing","events","gestureEvent","createEvent","initEvent","gesture","dispatchEvent","triggerDomEvent","INPUT_START","INPUT_MOVE","INPUT_END","INPUT_CANCEL","STATE_POSSIBLE","STATE_BEGAN","STATE_CHANGED","STATE_ENDED","STATE_RECOGNIZED","STATE_CANCELLED","STATE_FAILED","DIRECTION_NONE","DIRECTION_RIGHT","DIRECTION_DOWN","DIRECTION_VERTICAL","DIRECTION_ALL","Tap","Pan","Swipe","Pinch","Rotate","Press"],"mappings":"uFAAA,IAAIA,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,GAAuCC,EAEhEC,EAAoBC,SAASC,UAC7BC,EAA4BH,EAAkBI,SAC9CC,EAAS,wBAKTR,KAJO,SAIkBG,IAC3BF,EAAeE,EALN,OAK+B,CACtCM,cAAc,EACdC,IAAK,WACH,IACE,OAAOJ,EAA0BK,KAAKC,MAAMC,MAAML,GAAQ,GAC1D,MAAOM,GACP,MAAO,Q,iCCff,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAERC,OAAOd,eAAee,EAAS,aAAc,CAC3CC,OAAO,IAETD,EAAQE,kBAqGR,WACE,OAAO,IAAIC,GApGb,IAIgCC,EAJ5BC,GAI4BD,EAJU,EAAQ,OAIGA,EAAIE,WAAaF,EAAM,CAAEG,QAASH,GAFnFI,EAAI,EAAQ,KAIhB,SAASC,EAAgBC,EAAUC,GAAe,KAAMD,aAAoBC,GAAgB,MAAM,IAAIC,UAAU,qCAEhH,SAASC,EAAkBC,EAAQC,GAAS,IAAK,IAAIC,EAAI,EAAGA,EAAID,EAAME,OAAQD,IAAK,CAAE,IAAIE,EAAaH,EAAMC,GAAIE,EAAWC,WAAaD,EAAWC,aAAc,EAAOD,EAAWzB,cAAe,EAAU,UAAWyB,IAAYA,EAAWE,UAAW,GAAMrB,OAAOd,eAAe6B,EAAQI,EAAWG,IAAKH,IAE7S,SAASI,EAAaX,EAAaY,EAAYC,GAAmJ,OAAhID,GAAYV,EAAkBF,EAAYtB,UAAWkC,GAAiBC,GAAaX,EAAkBF,EAAaa,GAAqBb,EAEzM,IAAIR,EAA8B,WAChC,SAASA,IACPM,EAAgBb,KAAMO,GAEtBP,KAAK6B,aAAe,GACpB7B,KAAK8B,OAAQ,EAiCf,OA9BAJ,EAAanB,EAAgB,CAAC,CAC5BkB,IAAK,cACLpB,MAAO,SAAqB0B,GAE1B,OADA/B,KAAKgC,OAASD,EACP/B,OAER,CACDyB,IAAK,eACLpB,MAAO,WACL,OAAOL,KAAKiC,aAAY,EAAIrB,EAAEsB,kBAE/B,CACDT,IAAK,iBACLpB,MAAO,SAAwB0B,EAAUI,GAEvC,OADAnC,KAAK6B,aAAaE,GAAYI,EACvBnC,OAER,CACDyB,IAAK,kBACLpB,MAAO,WAEL,OADAL,KAAK8B,OAAQ,EACN9B,OAER,CACDyB,IAAK,QACLpB,MAAO,WACL,OAAO,IAAI+B,EAAepC,KAAKgC,QAAU,KAAMhC,KAAK6B,aAAc7B,KAAK8B,WAIpEvB,EAtCyB,GAyC9B6B,EAA8B,WAChC,SAASA,EAAeJ,EAAQG,EAAML,GAQpC,IAAK,IAAIL,KAPTZ,EAAgBb,KAAMoC,GAEtBpC,KAAKqC,GAAK,IAAI5B,EAAaE,QAAQ,CACjCmB,MAAOA,EACPQ,aAAc,OAGAH,EACdnC,KAAKqC,GAAGE,gBAAgBd,EAAK,WAAYU,EAAKV,IAGhDzB,KAAKqC,GAAGG,UAAUR,GA8BpB,OA3BAN,EAAaU,EAAgB,CAAC,CAC5BX,IAAK,wBACLpB,MAAO,SAA+BoC,EAAYC,GAChD,OAAOD,EAAWE,QAAQ,eAAe,SAAUC,EAAGC,GACpD,IAAIC,EAAIJ,EAAKG,GAEb,MAAiB,iBAANC,GAA+B,iBAANA,EAC3BA,EAAEnD,WAEFiD,OAIZ,CACDnB,IAAK,UACLpB,MAAO,SAAiB0C,GACtB,IAAIC,EAAeC,UAAU5B,OAAS,QAAsB6B,IAAjBD,UAAU,GAAmBA,UAAU,GAAK,GACvF,OAAOjD,KAAKmD,sBAAsBnD,KAAKqC,GAAGe,QAAQL,GAAWC,KAE9D,CACDvB,IAAK,WACLpB,MAAO,SAAkBgD,EAAUC,EAAQC,GACzC,IAAIP,EAAeC,UAAU5B,OAAS,QAAsB6B,IAAjBD,UAAU,GAAmBA,UAAU,GAAK,GACvF,OAAOjD,KAAKmD,sBAAsBnD,KAAKqC,GAAGmB,SAASH,EAAUC,EAAQC,GAAOZ,QAAQ,MAAOY,EAAM5D,YAAaqD,OAI3GZ,EA3CyB,I,iCCnElC,IAAIqB,EAAI,EAAQ,IACZC,EAAQ,EAAQ,KAA4BC,KAKhDF,EAAE,CAAEvC,OAAQ,SAAU0C,OAAO,EAAMC,OAJN,EAAQ,IAIMC,CAAuB,SAAW,CAC3EH,KAAM,WACJ,OAAOD,EAAM1D,U,oBCTjB,IAAI+D,EAAQ,EAAQ,GAChBC,EAAc,EAAQ,KAM1BC,EAAO7D,QAAU,SAAU8D,GACzB,OAAOH,GAAM,WACX,QAASC,EAAYE,MANf,aAMqCA,MAAyBF,EAAYE,GAAaC,OAASD,O,iCCR1G,IAAI9E,EAAc,EAAQ,GACtBgF,EAAS,EAAQ,GACjBC,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAM,EAAQ,GACdC,EAAU,EAAQ,IAClBC,EAAoB,EAAQ,KAC5BC,EAAc,EAAQ,IACtBX,EAAQ,EAAQ,GAChBY,EAAS,EAAQ,IACjBC,EAAsB,EAAQ,KAA8CtF,EAC5EuF,EAA2B,EAAQ,IAAmDvF,EACtFD,EAAiB,EAAQ,GAAuCC,EAChEqE,EAAO,EAAQ,KAA4BA,KAG3CmB,EAAeV,EAAa,OAC5BW,EAAkBD,EAAarF,UAG/BuF,EALS,UAKQR,EAAQG,EAAOI,IAIhCE,EAAW,SAAUC,GACvB,IACIC,EAAOC,EAAOC,EAAOC,EAASC,EAAQlE,EAAQmE,EAAOC,EADrDC,EAAKhB,EAAYQ,GAAU,GAE/B,GAAiB,iBAANQ,GAAkBA,EAAGrE,OAAS,EAGvC,GAAc,MADd8D,GADAO,EAAK/B,EAAK+B,IACCC,WAAW,KACQ,KAAVR,GAElB,GAAc,MADdC,EAAQM,EAAGC,WAAW,KACQ,MAAVP,EAAe,OAAOQ,SACrC,GAAc,KAAVT,EAAc,CACvB,OAAQO,EAAGC,WAAW,IACpB,KAAK,GAAI,KAAK,GAAIN,EAAQ,EAAGC,EAAU,GAAI,MAC3C,KAAK,GAAI,KAAK,IAAKD,EAAQ,EAAGC,EAAU,GAAI,MAC5C,QAAS,OAAQI,EAInB,IADArE,GADAkE,EAASG,EAAGG,MAAM,IACFxE,OACXmE,EAAQ,EAAGA,EAAQnE,EAAQmE,IAI9B,IAHAC,EAAOF,EAAOI,WAAWH,IAGd,IAAMC,EAAOH,EAAS,OAAOM,IACxC,OAAOE,SAASP,EAAQF,GAE5B,OAAQK,GAKZ,GAAIrB,EAtCS,UAsCSS,EAAa,UAAYA,EAAa,QAAUA,EAAa,SAAU,CAS3F,IARA,IAcqBrD,EAdjBsE,EAAgB,SAAgB1F,GAClC,IAAIqF,EAAKzC,UAAU5B,OAAS,EAAI,EAAIhB,EAChC2F,EAAQhG,KACZ,OAAOgG,aAAiBD,IAElBf,EAAiBjB,GAAM,WAAcgB,EAAgBkB,QAAQlG,KAAKiG,MA5C/D,UA4C4ExB,EAAQwB,IACvFvB,EAAkB,IAAIK,EAAaG,EAASS,IAAMM,EAAOD,GAAiBd,EAASS,IAElFQ,EAAO9G,EAAcwF,EAAoBE,GAAgB,6KAMhEqB,MAAM,KAAMC,EAAI,EAAQF,EAAK7E,OAAS+E,EAAGA,IACrC7B,EAAIO,EAAcrD,EAAMyE,EAAKE,MAAQ7B,EAAIwB,EAAetE,IAC1DpC,EAAe0G,EAAetE,EAAKoD,EAAyBC,EAAcrD,IAG9EsE,EAActG,UAAYsF,EAC1BA,EAAgBsB,YAAcN,EAC9BzB,EAASF,EA5DE,SA4Dc2B,K,iCC3E3B,IAAItC,EAAI,EAAQ,IACZ6C,EAAkB,EAAQ,KAC1BC,EAAY,EAAQ,IACpBC,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAqB,EAAQ,IAC7BC,EAAiB,EAAQ,KACzBC,EAA+B,EAAQ,IACvCC,EAA0B,EAAQ,IAElCC,EAAsBF,EAA6B,UACnDG,EAAiBF,EAAwB,SAAU,CAAEG,WAAW,EAAMC,EAAG,EAAGC,EAAG,IAE/EC,EAAMC,KAAKD,IACXE,EAAMD,KAAKC,IAOf5D,EAAE,CAAEvC,OAAQ,QAAS0C,OAAO,EAAMC,QAASiD,IAAwBC,GAAkB,CACnFO,OAAQ,SAAgBC,EAAOC,GAC7B,IAIIC,EAAaC,EAAmBC,EAAGC,EAAGC,EAAMC,EAJ5CC,EAAItB,EAASzG,MACbgI,EAAMxB,EAASuB,EAAE1G,QACjB4G,EAAc3B,EAAgBiB,EAAOS,GACrCE,EAAkBjF,UAAU5B,OAWhC,GATwB,IAApB6G,EACFT,EAAcC,EAAoB,EACL,IAApBQ,GACTT,EAAc,EACdC,EAAoBM,EAAMC,IAE1BR,EAAcS,EAAkB,EAChCR,EAAoBL,EAAIF,EAAIZ,EAAUiB,GAAc,GAAIQ,EAAMC,IAE5DD,EAAMP,EAAcC,EAtBL,iBAuBjB,MAAM1G,UAtB0B,mCAyBlC,IADA2G,EAAIjB,EAAmBqB,EAAGL,GACrBE,EAAI,EAAGA,EAAIF,EAAmBE,KACjCC,EAAOI,EAAcL,KACTG,GAAGpB,EAAegB,EAAGC,EAAGG,EAAEF,IAGxC,GADAF,EAAEtG,OAASqG,EACPD,EAAcC,EAAmB,CACnC,IAAKE,EAAIK,EAAaL,EAAII,EAAMN,EAAmBE,IAEjDE,EAAKF,EAAIH,GADTI,EAAOD,EAAIF,KAECK,EAAGA,EAAED,GAAMC,EAAEF,UACbE,EAAED,GAEhB,IAAKF,EAAII,EAAKJ,EAAII,EAAMN,EAAoBD,EAAaG,WAAYG,EAAEH,EAAI,QACtE,GAAIH,EAAcC,EACvB,IAAKE,EAAII,EAAMN,EAAmBE,EAAIK,EAAaL,IAEjDE,EAAKF,EAAIH,EAAc,GADvBI,EAAOD,EAAIF,EAAoB,KAEnBK,EAAGA,EAAED,GAAMC,EAAEF,UACbE,EAAED,GAGlB,IAAKF,EAAI,EAAGA,EAAIH,EAAaG,IAC3BG,EAAEH,EAAIK,GAAehF,UAAU2E,EAAI,GAGrC,OADAG,EAAE1G,OAAS2G,EAAMN,EAAoBD,EAC9BE,M,oBCnEoRQ,OAA9NlE,EAAO7D,QAAiP,SAASgI,GAAG,IAAIC,EAAE,GAAG,SAASC,EAAEC,GAAG,GAAGF,EAAEE,GAAG,OAAOF,EAAEE,GAAGnI,QAAQ,IAAIoI,EAAEH,EAAEE,GAAG,CAACnH,EAAEmH,EAAEE,GAAE,EAAGrI,QAAQ,IAAI,OAAOgI,EAAEG,GAAGxI,KAAKyI,EAAEpI,QAAQoI,EAAEA,EAAEpI,QAAQkI,GAAGE,EAAEC,GAAE,EAAGD,EAAEpI,QAAQ,OAAOkI,EAAEI,EAAEN,EAAEE,EAAEK,EAAEN,EAAEC,EAAEM,EAAE,SAASR,EAAEC,EAAEE,GAAGD,EAAEE,EAAEJ,EAAEC,IAAIlI,OAAOd,eAAe+I,EAAEC,EAAE,CAAC9G,YAAW,EAAGzB,IAAIyI,KAAKD,EAAExF,EAAE,SAASsF,GAAG,oBAAoBS,QAAQA,OAAOC,aAAa3I,OAAOd,eAAe+I,EAAES,OAAOC,YAAY,CAACzI,MAAM,WAAWF,OAAOd,eAAe+I,EAAE,aAAa,CAAC/H,OAAM,KAAMiI,EAAED,EAAE,SAASD,EAAEC,GAAG,GAAG,EAAEA,IAAID,EAAEE,EAAEF,IAAI,EAAEC,EAAE,OAAOD,EAAE,GAAG,EAAEC,GAAG,iBAAiBD,GAAGA,GAAGA,EAAE1H,WAAW,OAAO0H,EAAE,IAAIG,EAAEpI,OAAOwE,OAAO,MAAM,GAAG2D,EAAExF,EAAEyF,GAAGpI,OAAOd,eAAekJ,EAAE,UAAU,CAAChH,YAAW,EAAGlB,MAAM+H,IAAI,EAAEC,GAAG,iBAAiBD,EAAE,IAAI,IAAII,KAAKJ,EAAEE,EAAEM,EAAEL,EAAEC,EAAE,SAASH,GAAG,OAAOD,EAAEC,IAAIU,KAAK,KAAKP,IAAI,OAAOD,GAAGD,EAAEC,EAAE,SAASH,GAAG,IAAIC,EAAED,GAAGA,EAAE1H,WAAW,WAAW,OAAO0H,EAAEzH,SAAS,WAAW,OAAOyH,GAAG,OAAOE,EAAEM,EAAEP,EAAE,IAAIA,GAAGA,GAAGC,EAAEE,EAAE,SAASJ,EAAEC,GAAG,OAAOlI,OAAOV,UAAUuJ,eAAejJ,KAAKqI,EAAEC,IAAIC,EAAEW,EAAE,SAASX,EAAEA,EAAEA,EAAE,KAAv5B,CAA65B,CAACrB,EAAE,SAASmB,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,EAAEC,EAAEC,EAAEC,EAAEb,EAAE/E,EAAEE,GAAG,IAAI1B,EAAEuH,EAAE,mBAAmBP,EAAEA,EAAEc,QAAQd,EAAE,GAAGC,IAAIM,EAAEQ,OAAOd,EAAEM,EAAES,gBAAgBd,EAAEK,EAAEU,WAAU,GAAId,IAAII,EAAEW,YAAW,GAAI3B,IAAIgB,EAAEY,SAAS,UAAU5B,GAAG/E,GAAGxB,EAAE,SAASgH,IAAIA,EAAEA,GAAGpI,KAAKwJ,QAAQxJ,KAAKwJ,OAAOC,YAAYzJ,KAAK0J,QAAQ1J,KAAK0J,OAAOF,QAAQxJ,KAAK0J,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBvB,EAAEuB,qBAAqBnB,GAAGA,EAAEzI,KAAKC,KAAKoI,GAAGA,GAAGA,EAAEwB,uBAAuBxB,EAAEwB,sBAAsBC,IAAIjH,IAAI+F,EAAEmB,aAAa1I,GAAGoH,IAAIpH,EAAE0B,EAAE,WAAW0F,EAAEzI,KAAKC,KAAKA,KAAK+J,MAAMC,SAASC,aAAazB,GAAGpH,EAAE,GAAGuH,EAAEW,WAAW,CAACX,EAAEuB,cAAc9I,EAAE,IAAIqH,EAAEE,EAAEQ,OAAOR,EAAEQ,OAAO,SAASf,EAAEC,GAAG,OAAOjH,EAAErB,KAAKsI,GAAGI,EAAEL,EAAEC,QAAQ,CAAC,IAAIK,EAAEC,EAAEwB,aAAaxB,EAAEwB,aAAazB,EAAE,GAAG0B,OAAO1B,EAAEtH,GAAG,CAACA,GAAG,MAAM,CAAChB,QAAQgI,EAAEc,QAAQP,GAAGL,EAAEM,EAAEP,EAAE,KAAI,WAAY,OAAOE,MAAMrB,EAAE,SAASkB,EAAEC,EAAEC,GAAG,aAAaF,EAAEhI,QAAQ,SAASgI,GAAG,IAAIC,EAAE,GAAG,OAAOA,EAAE1I,SAAS,WAAW,OAAOK,KAAKqK,KAAI,SAAUhC,GAAG,IAAIC,EAAE,SAASF,EAAEC,GAAG,IAAyXzF,EAAEE,EAAE1B,EAAzXkH,EAAEF,EAAE,IAAI,GAAGG,EAAEH,EAAE,GAAG,IAAIG,EAAE,OAAOD,EAAE,GAAGD,GAAG,mBAAmBiC,KAAK,CAAC,IAAI9B,GAAG5F,EAAE2F,EAAEzF,EAAEwH,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU9H,MAAMxB,EAAE,+DAA+DgJ,OAAOtH,GAAG,OAAOsH,OAAOhJ,EAAE,QAAQuG,EAAEY,EAAEoC,QAAQN,KAAI,SAAUjC,GAAG,MAAM,iBAAiBgC,OAAO7B,EAAEqC,YAAY,IAAIR,OAAOhC,EAAE,UAAU,MAAM,CAACE,GAAG8B,OAAOzC,GAAGyC,OAAO,CAAC5B,IAAIqC,KAAK,MAAgB,MAAM,CAACvC,GAAGuC,KAAK,MAA5Z,CAAmaxC,EAAED,GAAG,OAAOC,EAAE,GAAG,UAAU+B,OAAO/B,EAAE,GAAG,MAAM+B,OAAO9B,EAAE,KAAKA,KAAKuC,KAAK,KAAKxC,EAAEjH,EAAE,SAASgH,EAAEE,EAAEC,GAAG,iBAAiBH,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAII,EAAE,GAAG,GAAGD,EAAE,IAAI,IAAIZ,EAAE,EAAEA,EAAE3H,KAAKqB,OAAOsG,IAAI,CAAC,IAAI/E,EAAE5C,KAAK2H,GAAG,GAAG,MAAM/E,IAAI4F,EAAE5F,IAAG,GAAI,IAAI,IAAIE,EAAE,EAAEA,EAAEsF,EAAE/G,OAAOyB,IAAI,CAAC,IAAI1B,EAAE,GAAGgJ,OAAOhC,EAAEtF,IAAIyF,GAAGC,EAAEpH,EAAE,MAAMkH,IAAIlH,EAAE,GAAGA,EAAE,GAAG,GAAGgJ,OAAO9B,EAAE,SAAS8B,OAAOhJ,EAAE,IAAIA,EAAE,GAAGkH,GAAGD,EAAEyC,KAAK1J,MAAMiH,IAAI0C,GAAG,SAAS3C,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAGA,EAAE1H,QAAQ,itHAAitHqK,GAAG,SAAS5C,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAGA,EAAE1H,QAAQ,8gMAA8gMsK,GAAG,SAAS7C,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAqC8K,IAAI,SAAS9C,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,KAAK,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEhH,EAAEmH,EAAE,MAAMA,EAAE4C,SAAS/C,EAAEhI,QAAQmI,EAAE4C,SAAQ,EAAG7C,EAAE,GAAG3H,SAAS,WAAW4H,GAAE,EAAG,KAAK6C,GAAG,SAAShD,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAG,IAAIE,EAAED,EAAE,GAAGA,EAAE;;;;;;;;;;;;;;;;;;;;;;;AAuB16ZC,EAAE8C,SAASnC,QAAQoC,gBAAgB,kDAAkDlB,OAAO,UAAU,6EAA6E7B,EAAE8C,SAASnC,QAAQqC,aAAY,EAAGlD,EAAE1H,QAAQ4H,EAAE8C,UAAUG,IAAI,SAASpD,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEC,EAAEA,GAAG3F,GAAG6I,GAAG,SAASrD,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAsCsL,IAAI,SAAStD,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKwC,KAAK,CAAC1C,EAAEhH,EAAE,ioCAAioC,KAAKgH,EAAEhI,QAAQiI,GAAGsD,GAAG,SAASvD,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAiCwL,IAAI,SAASxD,EAAEC,KAAKwD,GAAG,SAASzD,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAoB0L,GAAG,SAAS1D,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,GAAGE,EAAEF,EAAEC,EAAEA;;;;;;;;;;;;;;;;;;;;;GAqBlvDF,EAAEzF,EAAE,CAACmJ,OAAO,WAAW/L,KAAKgM,OAAOrL,SAAS,KAAKX,KAAKiM,KAAKtI,SAAS6E,EAAE5F,EAAEsJ,KAAKC,KAAK,GAAG/B,OAAOpK,KAAKgK,SAAS7F,KAAK,2DAA2DnE,MAAMA,KAAKoM,WAAWpM,KAAKqM,IAAIC,WAAWC,aAAa,WAAWvM,KAAKiM,KAAKjM,KAAKwM,WAAWrK,KAAK,WAAW,MAAM,CAAC8J,KAAKjM,KAAKwM,YAAYC,SAAS,CAACC,WAAW,WAAW,OAAO1M,KAAKiM,MAAMjM,KAAKiM,KAAKtI,OAAOtC,OAAO,KAAKsL,QAAQ,CAACH,QAAQ,WAAW,OAAOxM,KAAKgM,OAAOrL,QAAQX,KAAKgM,OAAOrL,QAAQ,GAAGsL,KAAKtI,OAAO,OAAOiJ,GAAG,SAASxE,EAAEC,EAAEC,GAAG,aAAaA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAID,EAAEzF,EAAE,SAASwF,GAAG,OAAOhB,KAAKyF,SAASlN,SAAS,IAAIgD,QAAQ,WAAW,IAAImK,OAAO,EAAE1E,GAAG,KAAK2E,IAAI,SAAS3E,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAG,IAAIE,EAAED,EAAE,IAAIE,GAAGF,EAAE,IAAIA,EAAE,IAAIA,EAAE,KAAKX,EAAEW,EAAEC,EAAEC,GAAG5F,EAAE0F,EAAE,IAAIxF,EAAEwF,EAAE,IAAIlH,EAAEkH,EAAE,IAAIK,EAAEL,EAAE,GAAGG,EAAEH,EAAE;;;;;;;;;;;;;;;;;;;;;GAsB7uB,SAASI,EAAEN,EAAEC,GAAG,IAAIC,EAAEC,EAAEC,EAAEb,EAAEU,EAAErI,KAAKuH,MAAM,WAAWiB,GAAE,EAAGD,EAAE,IAAIyE,KAAK1E,EAAE2E,WAAW7E,EAAET,IAAI3H,KAAKkN,MAAM,WAAW1E,GAAE,EAAG2E,aAAa7E,GAAGX,GAAG,IAAIqF,KAAKzE,GAAGvI,KAAKoN,MAAM,WAAW5E,GAAE,EAAG2E,aAAa7E,GAAGX,EAAE,GAAG3H,KAAKqN,YAAY,WAAW,OAAO7E,IAAIxI,KAAKkN,QAAQlN,KAAKuH,SAASI,GAAG3H,KAAKsN,gBAAgB,WAAW,OAAO9E,GAAGxI,KAAKuH,QAAQ,IAAIgG,EAAE,CAACpJ,KAAK,QAAQqJ,WAAW,CAACC,QAAQ7K,EAAEjC,QAAQ+M,aAAa5K,EAAEnC,SAASgN,WAAW,CAACC,QAAQnF,EAAE9H,SAASkN,OAAO,CAACzM,EAAEwB,GAAGzB,MAAM,CAAC2M,MAAM,CAACC,KAAKC,OAAOrN,QAAQ,IAAIsN,YAAY,CAACF,KAAKG,QAAQvN,SAAQ,GAAIwN,QAAQ,CAACJ,KAAKG,QAAQvN,SAAQ,GAAIyN,cAAc,CAACL,KAAKG,QAAQvN,SAAQ,GAAI0N,gBAAgB,CAACN,KAAKG,QAAQvN,SAAQ,GAAI2N,eAAe,CAACP,KAAKQ,OAAO5N,QAAQ,KAAK6N,eAAe,CAACT,KAAKQ,OAAO5N,QAAQ,KAAK8N,gBAAgB,CAACV,KAAKG,QAAQvN,SAAQ,GAAI+N,YAAY,CAACX,KAAKG,QAAQvN,SAAQ,GAAIgO,iBAAiB,CAACZ,KAAKG,QAAQvN,SAAQ,GAAIiO,KAAK,CAACb,KAAKC,OAAOrN,QAAQ,SAASkO,UAAU,SAASzG,GAAG,OAAO,IAAI,CAAC,SAAS,QAAQ,QAAQ0G,QAAQ1G,KAAK2G,SAAS,CAAChB,KAAKG,QAAQvN,SAAQ,GAAIqO,KAAK,CAACjB,KAAKG,QAAQvN,SAAQ,IAAKwB,KAAK,WAAW,MAAM,CAAC8M,GAAG,KAAKC,WAAU,EAAGC,WAAU,EAAGC,iBAAiB,KAAKC,SAAQ,EAAGC,iBAAiB,OAAO7C,SAAS,CAAC8C,oBAAoB,WAAW,MAAM,SAASnF,OAAOpK,KAAKoO,cAAc,MAAM,OAAOoB,eAAe,WAAW,OAAOxP,KAAKqP,QAAQlP,OAAOwI,EAAE9F,EAAT1C,CAAY,mBAAmBA,OAAOwI,EAAE9F,EAAT1C,CAAY,qBAAqBsP,MAAM,CAAChB,gBAAgB,SAASrG,GAAGpI,KAAKsP,mBAAmBlH,EAAEpI,KAAKsP,iBAAiBpC,QAAQlN,KAAKsP,iBAAiB/H,WAAWmI,YAAY,WAAWvH,OAAOwH,iBAAiB,UAAU3P,KAAK4P,gBAAgBC,cAAc,WAAW1H,OAAO2H,oBAAoB,UAAU9P,KAAK4P,gBAAgBG,QAAQ,WAAW,IAAI3H,EAAEpI,KAAKA,KAAKkP,WAAU,EAAGlP,KAAKgQ,kBAAkBhQ,KAAKiP,GAAG,IAAItH,EAAE/E,EAAE5C,KAAKiQ,MAAMC,MAAMlQ,KAAKiP,GAAGkB,GAAG,wBAAuB,SAAU9H,GAAGD,EAAEgI,YAAY/H,MAAMgI,SAASC,KAAKC,aAAavQ,KAAKqM,IAAIgE,SAASC,KAAKE,YAAYC,UAAU,WAAWzQ,KAAKiP,GAAGyB,IAAI,wBAAwB1Q,KAAKiP,GAAG0B,WAAWhE,QAAQ,CAACiE,SAAS,SAASxI,GAAGpI,KAAKiO,cAAc7F,GAAGpI,KAAK6Q,iBAAiB7Q,KAAK8Q,MAAM,WAAW1I,KAAK2I,KAAK,SAAS3I,GAAGpI,KAAKmO,UAAU/F,GAAGpI,KAAK6Q,iBAAiB7Q,KAAK8Q,MAAM,OAAO1I,KAAK4I,MAAM,SAAS5I,GAAG,IAAIC,EAAErI,KAAKA,KAAK+O,WAAW/O,KAAKkP,WAAU,EAAGjC,YAAW,WAAY5E,EAAEyI,MAAM,QAAQ1I,KAAK,OAAOwH,cAAc,SAASxH,GAAG,OAAOA,EAAE6I,SAAS,KAAK,GAAGjR,KAAK4Q,SAASxI,GAAG,MAAM,KAAK,GAAG,KAAK,GAAGpI,KAAK+Q,KAAK3I,GAAG,MAAM,KAAK,GAAGpI,KAAKgR,MAAM5I,KAAKgI,YAAY,SAAShI,GAAGpI,KAAK0O,cAAc,cAActG,EAAE2F,KAAK/N,KAAK+Q,KAAK3I,GAAG,eAAeA,EAAE2F,MAAM/N,KAAK4Q,SAASxI,KAAK4H,gBAAgB,WAAW,IAAI5H,EAAEpI,KAAKA,KAAKsO,eAAe,IAAItO,KAAKmP,WAAU,EAAGhC,aAAanN,KAAKoP,kBAAkBpP,KAAKoP,iBAAiBnC,YAAW,WAAY7E,EAAE+G,WAAU,IAAKnP,KAAKsO,kBAAkB4C,gBAAgB,WAAWlR,KAAKqP,SAASrP,KAAKqP,QAAQrP,KAAKqP,QAAQrP,KAAKmR,kBAAkBnR,KAAKoR,yBAAyBP,eAAe,WAAW7Q,KAAKqP,SAASrP,KAAKqP,QAAQrP,KAAKoR,wBAAwBpR,KAAKqR,WAAU,WAAYrR,KAAKkR,sBAAsBC,gBAAgB,WAAW,IAAI/I,EAAEpI,KAAKA,KAAKqP,SAAQ,EAAGrP,KAAKmO,QAAQnO,KAAKsP,iBAAiB,IAAI5G,GAAE,WAAYN,EAAE2I,OAAO3I,EAAE+I,oBAAoBnR,KAAKwO,iBAAiBxO,KAAKqP,SAAQ,EAAGrP,KAAKoR,0BAA0BA,sBAAsB,WAAWpR,KAAKsP,kBAAkBtP,KAAKsP,iBAAiBlC,WAAWkE,GAAGhJ,EAAE,KAAKA,EAAE,IAAIM,EAAEN,EAAE,KAAKW,EAAEX,EAAEC,EAAEK,GAAGtJ,EAAEa,OAAOmR,EAAE1O,EAATzC,CAAYoN,GAAE,WAAY,IAAInF,EAAEpI,KAAKqI,EAAED,EAAEmJ,eAAejJ,EAAEF,EAAEoJ,MAAMC,IAAIpJ,EAAE,OAAOC,EAAE,aAAa,CAACoJ,MAAM,CAACvN,KAAK,SAAS,CAACmE,EAAE,MAAM,CAACqJ,IAAI,OAAOC,YAAY,aAAaC,MAAM,CAAC,mBAAmBzJ,EAAE4G,MAAMmB,GAAG,CAAC2B,MAAM1J,EAAE4H,gBAAgB+B,UAAU3J,EAAE4H,gBAAgBgC,UAAU5J,EAAE4H,kBAAkB,CAAC1H,EAAE,aAAa,CAACoJ,MAAM,CAACvN,KAAK,oBAAoB,CAACmE,EAAE,MAAM,CAACqF,WAAW,CAAC,CAACxJ,KAAK,OAAO8N,QAAQ,SAAS5R,OAAO+H,EAAE+G,UAAU+C,WAAW,eAAeN,YAAY,eAAeC,MAAM,CAACM,UAAU/J,EAAE+G,YAAY,CAAC,KAAK/G,EAAE0F,MAAMnK,OAAO2E,EAAE,MAAM,CAACsJ,YAAY,eAAe,CAACxJ,EAAEgK,GAAG,eAAehK,EAAEiK,GAAGjK,EAAE0F,OAAO,gBAAgB1F,EAAEkK,KAAKlK,EAAEgK,GAAG,KAAK9J,EAAE,MAAM,CAACsJ,YAAY,cAAc,CAACxJ,EAAE+F,SAAS/F,EAAEiG,gBAAgB/F,EAAE,SAAS,CAACqF,WAAW,CAAC,CAACxJ,KAAK,UAAU8N,QAAQ,iBAAiB5R,MAAM+H,EAAEoH,eAAe0C,WAAW,iBAAiBK,UAAU,CAACC,MAAK,KAAMZ,YAAY,aAAaC,MAAM,CAAC,qBAAqBzJ,EAAEqG,iBAAiB0B,GAAG,CAAC2B,MAAM1J,EAAE8I,kBAAkB,CAAC5I,EAAE,MAAM,CAACuJ,MAAM,CAACzJ,EAAEiH,QAAQ,aAAa,cAAc,CAAC/G,EAAE,OAAO,CAACsJ,YAAY,mBAAmB,CAACxJ,EAAEgK,GAAG,qBAAqBhK,EAAEiK,GAAGjK,EAAEoH,gBAAgB,wBAAwBpH,EAAEgK,GAAG,KAAKhK,EAAEiH,QAAQ/G,EAAE,MAAM,CAACsJ,YAAY,gBAAgBF,MAAM,CAACe,OAAO,KAAKC,MAAM,OAAO,CAACpK,EAAE,SAAS,CAACsJ,YAAY,wBAAwBF,MAAM,CAACiB,OAAO,QAAQ,eAAe,IAAIC,KAAK,cAAc9P,EAAE,KAAK+P,GAAG,KAAKC,GAAG,UAAU1K,EAAEkK,OAAOlK,EAAEkK,KAAKlK,EAAEgK,GAAG,KAAK9J,EAAE,UAAU,CAACsJ,YAAY,kBAAkB,CAACxJ,EAAE2K,GAAG,YAAY,GAAG3K,EAAEgK,GAAG,KAAKhK,EAAE2G,SAASzG,EAAE,UAAU,CAACsJ,YAAY,gBAAgB,CAACtJ,EAAE,eAAe,CAACoJ,MAAM,CAACsB,KAAK,cAAc7C,GAAG,CAAC2B,MAAM1J,EAAE4I,QAAQ,CAAC5I,EAAEgK,GAAG,mBAAmBhK,EAAEiK,GAAGjK,EAAEC,EAAE,UAAU,qBAAqB,GAAGD,EAAEkK,MAAM,OAAOlK,EAAEgK,GAAG,KAAK9J,EAAE,aAAa,CAACoJ,MAAM,CAACvN,KAAKiE,EAAEmH,sBAAsB,CAACjH,EAAE,MAAM,CAACqF,WAAW,CAAC,CAACxJ,KAAK,OAAO8N,QAAQ,SAAS5R,MAAM+H,EAAE8G,UAAUgD,WAAW,cAAcN,YAAY,gBAAgBC,MAAM,CAAC,kBAAkBzJ,EAAEwG,KAAKxG,EAAEuG,iBAAiB,mCAAmC,IAAIwB,GAAG,CAAC2B,MAAM,SAASzJ,GAAG,OAAOA,EAAEnH,SAASmH,EAAE4K,cAAc,KAAK7K,EAAE4I,MAAM3I,MAAM,CAACC,EAAE,aAAa,CAACoJ,MAAM,CAACvN,KAAK,oBAAoB,CAACmE,EAAE,IAAI,CAACqF,WAAW,CAAC,CAACxJ,KAAK,OAAO8N,QAAQ,SAAS5R,MAAM+H,EAAE6F,cAAc7F,EAAE+G,UAAU+C,WAAW,8BAA8BN,YAAY,OAAOC,MAAM,CAACM,UAAU/J,EAAE+G,YAAY/G,EAAE6F,aAAakC,GAAG,CAAC2B,MAAM1J,EAAEwI,WAAW,CAACtI,EAAE,MAAM,CAACsJ,YAAY,sBAAsB,CAACtJ,EAAE,OAAO,CAACsJ,YAAY,mBAAmB,CAACxJ,EAAEgK,GAAG,qBAAqBhK,EAAEiK,GAAGjK,EAAEC,EAAE,aAAa,4BAA4BD,EAAEgK,GAAG,KAAK9J,EAAE,MAAM,CAACsJ,YAAY,mBAAmB,CAACxJ,EAAE2K,GAAG,YAAY,GAAG3K,EAAEgK,GAAG,KAAK9J,EAAE,aAAa,CAACoJ,MAAM,CAACvN,KAAK,oBAAoB,CAACmE,EAAE,IAAI,CAACqF,WAAW,CAAC,CAACxJ,KAAK,OAAO8N,QAAQ,SAAS5R,MAAM+H,EAAE+F,UAAU/F,EAAE+G,UAAU+C,WAAW,0BAA0BN,YAAY,OAAOC,MAAM,CAACM,UAAU/J,EAAE+G,YAAY/G,EAAE+F,SAASgC,GAAG,CAAC2B,MAAM1J,EAAE2I,OAAO,CAACzI,EAAE,MAAM,CAACsJ,YAAY,kBAAkB,CAACtJ,EAAE,OAAO,CAACsJ,YAAY,mBAAmB,CAACxJ,EAAEgK,GAAG,qBAAqBhK,EAAEiK,GAAGjK,EAAEC,EAAE,SAAS,6BAA6B,MAAM,OAAO,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBY,EAAErG,GAAGqG,IAAI3J,GAAG,IAAI4T,EAAE5T,EAAEc;;;;;;;;;;;;;;;;;;;;;GAqB1jMD,OAAOoI,EAAE3F,EAATzC,CAAY+S,GAAG7K,EAAE1H,QAAQuS,GAAGC,GAAG,SAAS/K,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAsCgT,EAAE,SAAShL,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,GAAG,IAAI,IAAIC,EAAE,GAAGC,EAAE,GAAGC,EAAE,EAAEA,EAAEH,EAAEhH,OAAOmH,IAAI,CAAC,IAAIb,EAAEU,EAAEG,GAAG5F,EAAE+E,EAAE,GAAG7E,EAAE,CAACuQ,GAAGjL,EAAE,IAAII,EAAE8K,IAAI3L,EAAE,GAAG4L,MAAM5L,EAAE,GAAG6L,UAAU7L,EAAE,IAAIY,EAAE3F,GAAG2F,EAAE3F,GAAG6Q,MAAM3I,KAAKhI,GAAGwF,EAAEwC,KAAKvC,EAAE3F,GAAG,CAACyQ,GAAGzQ,EAAE6Q,MAAM,CAAC3Q,KAAK,OAAOwF,EAAEA,EAAExF,EAAEuF,GAAGC,EAAEM,EAAEP,EAAE,WAAU,WAAY,OAAOiJ,KAAK,IAAI9I,EAAE,oBAAoB6H,SAAS,GAAG,oBAAoBqD,OAAOA,QAAQlL,EAAE,MAAM,IAAImL,MAAM,2JAA2J,IAAIhM,EAAE,GAAG/E,EAAE4F,IAAI6H,SAASuD,MAAMvD,SAASwD,qBAAqB,QAAQ,IAAI/Q,EAAE,KAAK1B,EAAE,EAAEuH,GAAE,EAAGF,EAAE,aAAaC,EAAE,KAAK6E,EAAE,oBAAoBuG,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAAS3C,EAAElJ,EAAEC,EAAEC,EAAEE,GAAGG,EAAEL,EAAEI,EAAEF,GAAG,GAAG,IAAI5F,EAAE2F,EAAEH,EAAEC,GAAG,OAAOO,EAAEhG,GAAG,SAASyF,GAAG,IAAI,IAAIC,EAAE,GAAGE,EAAE,EAAEA,EAAE5F,EAAEvB,OAAOmH,IAAI,CAAC,IAAI1F,EAAEF,EAAE4F,IAAIpH,EAAEuG,EAAE7E,EAAEuQ,KAAKa,OAAO5L,EAAEwC,KAAK1J,GAAsB,IAAnBiH,EAAEO,EAAEhG,EAAE2F,EAAEH,EAAEC,IAAIzF,EAAE,GAAO4F,EAAE,EAAEA,EAAEF,EAAEjH,OAAOmH,IAAI,CAAC,IAAIpH,EAAE,GAAG,KAAKA,EAAEkH,EAAEE,IAAI0L,KAAK,CAAC,IAAI,IAAIvL,EAAE,EAAEA,EAAEvH,EAAEqS,MAAMpS,OAAOsH,IAAIvH,EAAEqS,MAAM9K,YAAYhB,EAAEvG,EAAEiS,OAAO,SAASzK,EAAER,GAAG,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAE/G,OAAOgH,IAAI,CAAC,IAAIC,EAAEF,EAAEC,GAAGE,EAAEZ,EAAEW,EAAE+K,IAAI,GAAG9K,EAAE,CAACA,EAAE2L,OAAO,IAAI,IAAI1L,EAAE,EAAEA,EAAED,EAAEkL,MAAMpS,OAAOmH,IAAID,EAAEkL,MAAMjL,GAAGF,EAAEmL,MAAMjL,IAAI,KAAKA,EAAEF,EAAEmL,MAAMpS,OAAOmH,IAAID,EAAEkL,MAAM3I,KAAKxL,EAAEgJ,EAAEmL,MAAMjL,KAAKD,EAAEkL,MAAMpS,OAAOiH,EAAEmL,MAAMpS,SAASkH,EAAEkL,MAAMpS,OAAOiH,EAAEmL,MAAMpS,YAAY,CAAC,IAAIuB,EAAE,GAAG,IAAI4F,EAAE,EAAEA,EAAEF,EAAEmL,MAAMpS,OAAOmH,IAAI5F,EAAEkI,KAAKxL,EAAEgJ,EAAEmL,MAAMjL,KAAKb,EAAEW,EAAE+K,IAAI,CAACA,GAAG/K,EAAE+K,GAAGa,KAAK,EAAET,MAAM7Q,KAAK,SAASqG,IAAI,IAAIb,EAAEiI,SAAS8D,cAAc,SAAS,OAAO/L,EAAE2F,KAAK,WAAWnL,EAAEwR,YAAYhM,GAAGA,EAAE,SAAS9I,EAAE8I,GAAG,IAAIC,EAAEC,EAAEC,EAAE8H,SAASgE,cAAc,2BAA2BjM,EAAEiL,GAAG,MAAM,GAAG9K,EAAE,CAAC,GAAGI,EAAE,OAAOF,EAAEF,EAAE+L,WAAWC,YAAYhM,GAAG,GAAGgF,EAAE,CAAC,IAAI/E,EAAEpH,IAAImH,EAAEzF,IAAIA,EAAEmG,KAAKZ,EAAEmM,EAAEzL,KAAK,KAAKR,EAAEC,GAAE,GAAIF,EAAEkM,EAAEzL,KAAK,KAAKR,EAAEC,GAAE,QAASD,EAAEU,IAAIZ,EAAEoM,EAAE1L,KAAK,KAAKR,GAAGD,EAAE,WAAWC,EAAE+L,WAAWC,YAAYhM,IAAI,OAAOF,EAAED,GAAG,SAASG,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAE+K,MAAMlL,EAAEkL,KAAK/K,EAAEgL,QAAQnL,EAAEmL,OAAOhL,EAAEiL,YAAYpL,EAAEoL,UAAU,OAAOnL,EAAED,EAAEG,QAAQD,KAAK,IAAI4K,EAAEwB,GAAGxB,EAAE,GAAG,SAAS9K,EAAEC,GAAG,OAAO6K,EAAE9K,GAAGC,EAAE6K,EAAEyB,OAAOzG,SAASrD,KAAK,QAAQ,SAAS2J,EAAEpM,EAAEC,EAAEC,EAAEC,GAAG,IAAIC,EAAEF,EAAE,GAAGC,EAAE+K,IAAI,GAAGlL,EAAEwM,WAAWxM,EAAEwM,WAAWC,QAAQH,EAAErM,EAAEG,OAAO,CAAC,IAAIb,EAAE0I,SAASyE,eAAetM,GAAG5F,EAAEwF,EAAE2M,WAAWnS,EAAEyF,IAAID,EAAEmM,YAAY3R,EAAEyF,IAAIzF,EAAEvB,OAAO+G,EAAEmI,aAAa5I,EAAE/E,EAAEyF,IAAID,EAAEgM,YAAYzM,IAAI,SAAS8M,EAAErM,EAAEC,GAAG,IAAIC,EAAED,EAAEiL,IAAI/K,EAAEF,EAAEkL,MAAM/K,EAAEH,EAAEmL,UAAU,GAAGjL,GAAGH,EAAE4M,aAAa,QAAQzM,GAAGG,EAAEuM,OAAO7M,EAAE4M,aAAa,kBAAkB3M,EAAEgL,IAAI7K,IAAIF,GAAG,mBAAmBE,EAAEmC,QAAQ,GAAG,MAAMrC,GAAG,uDAAuDgC,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUlC,MAAM,OAAOJ,EAAEwM,WAAWxM,EAAEwM,WAAWC,QAAQvM,MAAM,CAAC,KAAKF,EAAE8M,YAAY9M,EAAEmM,YAAYnM,EAAE8M,YAAY9M,EAAEgM,YAAY/D,SAASyE,eAAexM,OAAO6M,GAAG,SAAS/M,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAuCgV,GAAG,SAAShN,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAiDiV,GAAG,SAASjN,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAmCkV,GAAG,SAASlN,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAA4BmV,GAAG,SAASnN,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAoCoV,GAAG,SAASpN,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,IAAIE,GAAGF,EAAE,IAAI,SAASF,EAAEC,GAAG,IAAI,IAAIC,EAAEF,EAAEqN,QAAQnN,GAAG,CAAC,GAAGA,EAAE0B,SAAS7F,OAAOkE,EAAE,OAAOC,EAAEA,EAAEA,EAAEmN,WAAWpN,EAAEzF,EAAE,CAACiL,OAAO,CAACtF,EAAE3F,GAAGzB,MAAM,CAAC6R,KAAK,CAACjF,KAAKC,OAAOrN,QAAQ,IAAImN,MAAM,CAACC,KAAKC,OAAOrN,QAAQ,IAAI+U,gBAAgB,CAAC3H,KAAKG,QAAQvN,SAAQ,GAAIgV,UAAU,CAAC5H,KAAKC,OAAOrN,QAAQ,KAAK8L,SAAS,CAACmJ,UAAU,WAAW,IAAI,OAAO,IAAIC,IAAI7V,KAAKgT,MAAM,MAAM5K,GAAG,OAAM,KAAMuE,QAAQ,CAACmJ,QAAQ,SAAS1N,GAAG,GAAGpI,KAAK8Q,MAAM,QAAQ1I,GAAGpI,KAAK0V,gBAAgB,CAAC,IAAIrN,EAAEG,EAAExI,KAAK,WAAWqI,GAAGA,EAAE0N,WAAW1N,EAAE0N,iBAAiBC,IAAI,SAAS5N,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,KAAKA,EAAEC,EAAEA,GAAG3F,GAAGqT,IAAI,SAAS7N,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,GAAGE,EAAEF,EAAE,GAAGX,EAAEW,EAAE,GAAG1F,EAAE0F,EAAE,GAAGxF,EAAEwF,EAAE,IAAIlH,EAAEkH,EAAE,IAAID,EAAEE,GAAE,GAAI,IAAII,EAAEH,EAAEb,GAAGc,EAAED,EAAE5F,GAAG8F,EAAEF,EAAE1F,GAAGyK,EAAE/E,EAAEpH,GAAGiH,EAAEyC,KAAK,CAAC1C,EAAEhH,EAAE,yDAAyDuH,EAAE,aAAaA,EAAE,qCAAqCF,EAAE,wBAAwBC,EAAE,4BAA4B6E,EAAE,8iQAA8iQ,KAAKnF,EAAEhI,QAAQiI,GAAG6N,GAAG,SAAS9N,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAwC+V,GAAG,SAAS/N,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAiCgW,GAAG,SAAShO,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAmCiW,GAAG,SAASjO,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEhH,EAAEmH,EAAE,MAAMA,EAAE4C,SAAS/C,EAAEhI,QAAQmI,EAAE4C,SAAQ,EAAG7C,EAAE,GAAG3H,SAAS,WAAW4H,GAAE,EAAG,KAAK+N,EAAE,SAASlO,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAQmW,GAAG,SAASnO,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAA0CoW,GAAG,SAASpO,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,GAAGE,EAAEF,EAAEC,EAAEA,GAAGF,EAAEzF,EAAE,SAASwF,EAAEC,EAAEC,GAAG,QAAG,IAASF,EAAE,IAAI,IAAIG,EAAEH,EAAE/G,OAAO,EAAEkH,GAAG,EAAEA,IAAI,CAAC,IAAIZ,EAAES,EAAEG,GAAG3F,GAAG+E,EAAE8O,kBAAkB9O,EAAE+O,MAAM,IAAIrO,EAAEyG,QAAQnH,EAAE+O,KAAK5T,IAAI6E,EAAE8O,kBAAkB,iBAAiB9O,EAAE8O,iBAAiBC,IAAItV,EAAE0B,IAAI,IAAIuF,EAAEyG,QAAQnH,EAAE8O,iBAAiBC,MAAM9T,IAAIE,GAAG1B,MAAMwB,GAAGxB,IAAIoH,EAAE5F,EAAEsJ,KAAKC,KAAK,GAAG/B,OAAOxH,EAAE+E,EAAE+O,IAAI/O,EAAE8O,iBAAiBC,IAAI,+BAA+BtM,OAAO9B,EAAE0B,SAAS7F,KAAK,cAAcmE,GAAGF,EAAEd,OAAOiB,EAAE,OAAOoO,GAAG,SAASvO,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,GAAGD,EAAEzF,EAAE,CAAC+J,QAAQ,CAACpE,EAAEA,EAAE3F,EAAEyF,EAAEE,EAAE1F,KAAK+T,GAAG,SAASxO,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAsCyW,GAAG,SAASzO,EAAEC,KAAKyO,GAAG,SAAS1O,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAG,IAAIE,EAAED,EAAE;;;;;;;;;;;;;;;;;;;;;GAqBx/ZD,EAAE1H,QAAQ4H,EAAE3F,GAAGmU,GAAG,SAAS3O,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAoC4W,EAAE,SAAS5O,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAc6W,GAAG,SAAS7O,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEhH,EAAEmH,EAAE,MAAMA,EAAE4C,SAAS/C,EAAEhI,QAAQmI,EAAE4C,SAAQ,EAAG7C,EAAE,GAAG3H,SAAS,WAAW4H,GAAE,EAAG,KAAK2O,GAAG,SAAS9O,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKwC,KAAK,CAAC1C,EAAEhH,EAAE,igEAAigE,KAAKgH,EAAEhI,QAAQiI,GAAG8O,GAAG,SAAS/O,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,IAAIE,EAAEF,EAAE,IAAIX,EAAEW,EAAE,IAAI1F,GAAG0F,EAAE,IAAI,SAASF,GAAG,IAAIC,EAAED,EAAEgP,wBAAwB9O,EAAE+H,SAASgH,gBAAgBC,aAAa/O,EAAE8H,SAASgH,gBAAgBE,YAAY/O,EAAErI,OAAOqX,OAAO,IAAI,OAAOhP,EAAEiP,IAAIpP,EAAEoP,IAAI,EAAEjP,EAAEkP,KAAKrP,EAAEqP,KAAK,EAAElP,EAAEmP,OAAOtP,EAAEsP,OAAOrP,EAAEE,EAAEoP,MAAMvP,EAAEuP,MAAMrP,EAAEC,EAAEqP,IAAIrP,EAAEiP,KAAKjP,EAAEkP,MAAMlP,EAAEmP,QAAQnP,EAAEoP,MAAMpP,EAAEsP,IAAItP,EAAEiP,KAAKjP,EAAEkP,MAAMlP,EAAEmP,QAAQnP,EAAEoP,MAAMpP,EAAEuP,QAAQvP,EAAEiP,IAAIpP,EAAEoP,IAAIjP,EAAEmP,OAAOtP,EAAEsP,OAAOrP,EAAE,EAAEE,EAAEwP,QAAQxP,EAAEkP,KAAKrP,EAAEqP,KAAKlP,EAAEoP,MAAMvP,EAAEuP,MAAMrP,EAAE,EAAEC,IAAI1F,EAAEwF,EAAE,IAAIlH,EAAEkH,EAAE,GAAGK,EAAE,CAAC,eAAe,iBAAiB,cAAc,aAAa,cAAc,eAAe,kBAAkB,aAAa,sBAAsBF,EAAE,CAACtE,KAAK,UAAUwJ,WAAW,CAACsK,aAAa1P,EAAE2P,UAAUtK,QAAQpF,EAAE7H,SAASQ,MAAM,CAACgX,KAAK,CAACpK,KAAKG,QAAQvN,SAAQ,GAAIyX,UAAU,CAACrK,KAAKG,QAAQvN,SAAQ,GAAI0X,UAAU,CAACtK,KAAKC,OAAOrN,QAAQ,SAASkO,UAAU,SAASzG,GAAG,MAAM,CAAC,OAAO,SAAS,SAAS0G,QAAQ1G,IAAI,IAAIkQ,UAAU,CAACvK,KAAKC,OAAOrN,QAAQ,MAAM4X,QAAQ,CAACxK,KAAKG,QAAQvN,SAAQ,GAAI6X,YAAY,CAACzK,KAAKC,OAAOrN,QAAQ,yCAAyCgV,UAAU,CAAC5H,KAAKC,OAAOrN,QAAQR,OAAOiB,EAAEyB,EAAT1C,CAAY,aAAagC,KAAK,WAAW,MAAM,CAACsW,QAAQ,GAAGC,OAAO1Y,KAAKmY,KAAKQ,WAAW,EAAEC,SAAS,QAAQzY,OAAOwH,EAAE/E,EAATzC,GAAc6X,QAAQ,EAAED,QAAQ,EAAEc,aAAa,EAAEC,aAAY,EAAGC,SAAS/Y,KAAKgZ,YAAYvM,SAAS,CAACwM,mBAAmB,WAAW,OAAOjZ,KAAKyY,QAAQpX,OAAO,GAAG6X,oBAAoB,WAAW,OAAO,IAAIlZ,KAAKyY,QAAQpX,QAAQ,OAAOrB,KAAKmZ,oBAAoBC,iBAAiB,WAAW,OAAOpZ,KAAKyY,QAAQ,IAAIY,YAAY,WAAW,OAAOrZ,KAAK+Y,SAAS,GAAG/Y,KAAK+Y,SAAS,GAAG,IAAIO,mBAAmB,WAAW,GAAGtZ,KAAKoZ,kBAAkBpZ,KAAKoZ,iBAAiB3C,iBAAiB,CAAC,IAAIrO,EAAEpI,KAAKoZ,iBAAiB3C,iBAAiBC,IAAI,GAAG,eAAetO,EAAE,MAAM,CAACmR,GAAG,IAAIC,KAAKxZ,KAAKqZ,YAAYG,KAAKtY,OAAOlB,KAAKqZ,YAAYnY,OAAO,aAAalB,KAAKqZ,YAAY1D,WAAW,GAAG,iBAAiBvN,EAAE,MAAM,CAACmR,GAAG,cAAczR,GAAG9H,KAAKqZ,YAAYvR,GAAG2R,MAAMzZ,KAAKqZ,YAAYI,MAAM,aAAazZ,KAAKqZ,YAAY1D,WAAW,GAAG,iBAAiBvN,EAAE,MAAM,CAACmR,GAAG,SAAS,aAAavZ,KAAKqZ,YAAY1D,WAAW,OAAO,MAAM+D,iBAAiB,WAAW,OAAO1Z,KAAKoZ,kBAAkBpZ,KAAKoZ,iBAAiB3C,kBAAkBzW,KAAKoZ,iBAAiB3C,iBAAiBkD,WAAW3Z,KAAKoZ,iBAAiB3C,iBAAiBkD,UAAU7H,OAAO8H,wBAAwB,WAAW,OAAO5Z,KAAK0Z,iBAAiB,QAAQ,MAAMG,iBAAiB,WAAW,IAAIzR,EAAEpI,KAAKoZ,kBAAkBpZ,KAAKoZ,iBAAiBjX,KAAKyP,YAAYvJ,EAAErI,KAAKoZ,kBAAkBpZ,KAAKoZ,iBAAiBjX,KAAK0P,MAAM,MAAM,GAAGzH,OAAOhC,EAAE,KAAKgC,OAAO/B,KAAKoH,MAAM,CAAC0I,KAAK,SAAS/P,GAAG,IAAIC,EAAErI,KAAKA,KAAK0Y,OAAOtQ,EAAEpI,KAAK0Y,QAAQ1Y,KAAKqR,WAAU,WAAYhJ,EAAEyR,cAAcpK,YAAY,WAAW1P,KAAK+Z,cAAc5Z,OAAO2C,EAAEF,EAATzC,CAAYH,KAAKgM,OAAOrL,QAAQgI,EAAE3I,OAAOuM,aAAa,WAAWvM,KAAK+Z,cAAc5Z,OAAO2C,EAAEF,EAATzC,CAAYH,KAAKgM,OAAOrL,QAAQgI,EAAE3I,OAAO2M,QAAQ,CAACqN,WAAW,SAAS5R,GAAG,IAAIC,EAAErI,KAAKA,KAAK0Y,OAAO,kBAAkBtQ,EAAEA,GAAGpI,KAAK0Y,OAAO1Y,KAAK0Y,QAAQ1Y,KAAKqR,WAAU,WAAYhJ,EAAEyR,SAASzR,EAAE4R,sBAAsBja,KAAK8Q,MAAM,UAAU9Q,KAAKgY,QAAQ,EAAEhY,KAAK+X,QAAQ,EAAE/X,KAAK6Y,aAAa,EAAE7Y,KAAK8Y,aAAY,EAAG9Y,KAAK8Q,MAAM,UAAU9Q,KAAK8Q,MAAM,cAAc9Q,KAAK0Y,SAAS3C,UAAU,SAAS3N,GAAGpI,KAAK0Y,SAAS1Y,KAAK8Q,MAAM,eAAc,GAAI9Q,KAAK8Q,MAAM,SAAS9Q,KAAK0Y,QAAO,EAAG1Y,KAAKgY,QAAQ,EAAEhY,KAAK+X,QAAQ,EAAE/X,KAAK6Y,aAAa,EAAE7Y,KAAK8Y,aAAY,IAAKgB,OAAO,WAAW,GAAG9Z,KAAKgY,QAAQ,EAAEhY,KAAK+X,QAAQ,EAAE/X,KAAK6Y,aAAa,EAAE7Y,KAAK8Y,aAAY,EAAG,WAAW9Y,KAAKqY,UAAU,CAAC,IAAIjQ,EAAExF,EAAE5C,KAAKiQ,MAAMiK,OAAO9R,EAAEsP,MAAMtP,EAAEwP,SAAS5X,KAAKgY,QAAQ5P,EAAE4P,QAAQ,EAAE5Q,KAAK+S,MAAM/R,EAAE4P,SAAS,EAAE5Q,KAAK+S,MAAM/R,EAAE4P,SAAS,GAAG5P,EAAEuP,SAAS3X,KAAK+X,QAAQ,EAAE3Q,KAAK+S,MAAMna,KAAKiQ,MAAMiK,KAAK5C,cAAc,GAAGtX,KAAK6Y,aAAazR,KAAK+S,MAAMna,KAAKiQ,MAAMiK,KAAK5C,cAAc,GAAGtX,KAAK8Y,aAAY,KAAMsB,mBAAmB,SAAShS,GAAG,GAAGiI,SAASgK,gBAAgBjS,EAAElH,OAAO,CAAC,IAAImH,EAAED,EAAElH,OAAOoZ,QAAQ,MAAM,GAAGjS,EAAE,CAAC,IAAIC,EAAED,EAAEgM,cAAc,cAAc,GAAG/L,EAAE,CAAC,IAAIC,EAAEvI,KAAKiQ,MAAMiK,KAAKK,iBAAiB,cAAc/R,EAAEgS,MAAM/a,UAAUqP,QAAQ/O,KAAKwI,EAAED,GAAGE,GAAG,IAAIxI,KAAK2Y,WAAWnQ,EAAExI,KAAKya,mBAAmBC,oBAAoB,WAAW,IAAItS,EAAEpI,KAAKiQ,MAAMiK,KAAK7F,cAAc,aAAajM,GAAGA,EAAEuS,UAAUrO,OAAO,WAAWmO,YAAY,WAAW,IAAIrS,EAAEpI,KAAKiQ,MAAMiK,KAAKK,iBAAiB,cAAcva,KAAK2Y,YAAY,GAAGvQ,EAAE,CAAC,IAAIC,EAAED,EAAEkS,QAAQ,MAAMlS,EAAEwS,QAAQvS,IAAIrI,KAAK0a,sBAAsBrS,EAAEsS,UAAU9Q,IAAI,aAAagR,oBAAoB,WAAW7a,KAAK2Y,WAAWvR,KAAKD,IAAInH,KAAK2Y,WAAW,EAAE,GAAG3Y,KAAKya,eAAeK,gBAAgB,WAAW9a,KAAK2Y,WAAWvR,KAAKC,IAAIrH,KAAK2Y,WAAW,EAAE3Y,KAAKiQ,MAAMiK,KAAKK,iBAAiB,cAAclZ,OAAO,GAAGrB,KAAKya,eAAeR,iBAAiB,WAAWja,KAAK2Y,WAAW,EAAE3Y,KAAKya,eAAeM,gBAAgB,WAAW/a,KAAK2Y,WAAW3Y,KAAKqM,IAAIkO,iBAAiB,cAAclZ,OAAO,EAAErB,KAAKya,eAAeO,gBAAgB,SAAS5S,GAAGpI,KAAK0Z,kBAAkB1Z,KAAK0Z,iBAAiBtR,IAAI2R,YAAY,WAAW/Z,KAAKyY,SAASzY,KAAKgM,OAAOrL,SAAS,IAAIgU,QAAO,SAAUvM,GAAG,QAAQA,KAAKA,EAAEqO,uBAAuB/N,GAAGJ,EAAE,IAAIA,EAAE,IAAIiF,EAAEjF,EAAE,IAAIgJ,EAAEhJ,EAAEC,EAAEgF,GAAG3E,EAAEzI,OAAOuI,EAAE9F,EAATzC,CAAYsI,GAAE,WAAY,IAAIL,EAAEC,EAAErI,KAAKsI,EAAED,EAAEkJ,eAAehJ,EAAEF,EAAEmJ,MAAMC,IAAInJ,EAAE,OAAOD,EAAE6Q,sBAAsB7Q,EAAE+P,UAAU7P,EAAE,UAAUF,EAAE4S,GAAG,CAACtN,WAAW,CAAC,CAACxJ,KAAK,UAAU8N,QAAQ,iBAAiB5R,MAAMgI,EAAEgR,YAAYpN,KAAKiG,WAAW,mBAAmBK,UAAU,CAACC,MAAK,KAAMZ,YAAY,kCAAkCC,MAAM,CAACxJ,EAAEgR,YAAYrG,KAAK3K,EAAEwR,kBAAkBnI,MAAM,CAACwJ,IAAI,uBAAuB/K,GAAG9H,EAAE8S,GAAG,GAAG,CAAC9S,EAAEuR,wBAAwBvR,EAAE2S,mBAAmB,UAAU3S,EAAEiR,oBAAmB,GAAI,CAAC/Q,EAAE,OAAO,CAACmJ,MAAM,CAAC,eAAc,EAAG0J,OAAO,KAAK,CAAC/S,EAAE0K,GAAG,YAAY,KAAKxK,EAAE,MAAM,CAACoF,WAAW,CAAC,CAACxJ,KAAK,OAAO8N,QAAQ,SAAS5R,MAAMgI,EAAE4Q,oBAAoB5Q,EAAE+P,UAAUlG,WAAW,mCAAmC,CAAC/N,KAAK,gBAAgB8N,QAAQ,kBAAkB5R,MAAMgI,EAAE0N,UAAU7D,WAAW,cAAcN,YAAY,cAAcC,MAAM,CAAC,oBAAoBxJ,EAAEqQ,QAAQvI,GAAG,CAACkL,QAAQ,CAAC,SAASjT,GAAG,OAAOA,EAAE2F,KAAKe,QAAQ,QAAQzG,EAAEiT,GAAGlT,EAAE6I,QAAQ,KAAK,GAAG7I,EAAE3G,IAAI,CAAC,KAAK,aAAiB2G,EAAEmT,SAASnT,EAAEoT,UAAUpT,EAAEqT,QAAQrT,EAAEsT,QAAxC,MAAsDtT,EAAEuT,iBAAiBtT,EAAEwS,oBAAoBzS,KAAK,SAASA,GAAG,OAAOA,EAAE2F,KAAKe,QAAQ,QAAQzG,EAAEiT,GAAGlT,EAAE6I,QAAQ,OAAO,GAAG7I,EAAE3G,IAAI,CAAC,OAAO,eAAmB2G,EAAEmT,SAASnT,EAAEoT,UAAUpT,EAAEqT,QAAQrT,EAAEsT,QAAxC,MAAsDtT,EAAEuT,iBAAiBtT,EAAEyS,gBAAgB1S,KAAK,SAASA,GAAG,OAAOA,EAAE2F,KAAKe,QAAQ,QAAQzG,EAAEiT,GAAGlT,EAAE6I,QAAQ,MAAM,EAAE7I,EAAE3G,IAAI,OAAO,KAAK2G,EAAEoT,UAAUpT,EAAEuT,iBAAiBtT,EAAEwS,oBAAoBzS,IAAI,MAAM,SAASA,GAAG,OAAOA,EAAE2F,KAAKe,QAAQ,QAAQzG,EAAEiT,GAAGlT,EAAE6I,QAAQ,eAAU,EAAO7I,EAAE3G,SAAI,IAAa2G,EAAEmT,SAASnT,EAAEoT,UAAUpT,EAAEqT,QAAQrT,EAAEsT,QAAxC,MAAsDtT,EAAEuT,iBAAiBtT,EAAE4R,iBAAiB7R,KAAK,SAASA,GAAG,OAAOA,EAAE2F,KAAKe,QAAQ,QAAQzG,EAAEiT,GAAGlT,EAAE6I,QAAQ,iBAAY,EAAO7I,EAAE3G,SAAI,IAAa2G,EAAEmT,SAASnT,EAAEoT,UAAUpT,EAAEqT,QAAQrT,EAAEsT,QAAxC,MAAsDtT,EAAEuT,iBAAiBtT,EAAE0S,gBAAgB3S,KAAK,SAASA,GAAG,OAAOA,EAAE2F,KAAKe,QAAQ,QAAQzG,EAAEiT,GAAGlT,EAAE6I,QAAQ,MAAM,GAAG7I,EAAE3G,IAAI,CAAC,MAAM,YAAgB2G,EAAEmT,SAASnT,EAAEoT,UAAUpT,EAAEqT,QAAQrT,EAAEsT,QAAxC,MAAsDtT,EAAEuT,iBAAiBtT,EAAE0N,UAAU3N,QAAQ,CAACG,EAAE,SAAS,CAACqJ,YAAY,+BAA+BC,OAAOzJ,EAAE,GAAGA,EAAEC,EAAEmQ,cAAa,EAAGpQ,EAAE,uCAAuCC,EAAEiQ,UAAUlQ,EAAE,oCAAoCC,EAAEkQ,QAAQnQ,GAAGsJ,MAAM,CAAC,aAAarJ,EAAEsN,UAAU,gBAAgB,OAAO,gBAAgBtN,EAAEuQ,SAAS,gBAAgBvQ,EAAEqQ,QAAQvI,GAAG,CAAC2B,MAAM,SAAS1J,GAAG,OAAOA,EAAEuT,iBAAiBtT,EAAE2R,WAAW5R,IAAIiT,QAAQ,SAASjT,GAAG,OAAOA,EAAE2F,KAAKe,QAAQ,QAAQzG,EAAEiT,GAAGlT,EAAE6I,QAAQ,QAAQ,GAAG7I,EAAE3G,IAAI,CAAC,IAAI,cAAkB2G,EAAEmT,SAASnT,EAAEoT,UAAUpT,EAAEqT,QAAQrT,EAAEsT,QAAxC,MAAsDtT,EAAEuT,iBAAiBtT,EAAE2R,WAAW5R,OAAO,CAACC,EAAE+J,GAAG,SAAS/J,EAAEgK,GAAGhK,EAAEiQ,WAAW,UAAUjQ,EAAE+J,GAAG,KAAK7J,EAAE,MAAM,CAACoF,WAAW,CAAC,CAACxJ,KAAK,OAAO8N,QAAQ,SAAS5R,MAAMgI,EAAEqQ,OAAOxG,WAAW,WAAWP,IAAI,OAAOC,YAAY,oBAAoBC,MAAM,CAAC,QAAQxJ,EAAEgQ,UAAU,CAACF,KAAK9P,EAAEqQ,SAASkD,MAAM,CAACC,YAAYxT,EAAE2P,QAAQ,KAAK8D,UAAUzT,EAAE0P,QAAQ,MAAMrG,MAAM,CAACqK,SAAS,MAAM5L,GAAG,CAAC4B,UAAU1J,EAAE+R,qBAAqB,CAAC7R,EAAE,MAAM,CAACqJ,YAAY,0BAA0BgK,MAAM,CAACI,UAAU,cAAc3T,EAAE2P,QAAQ,kBAAkB3P,EAAEwQ,aAAa,QAAQxQ,EAAEyQ,YAAY,kBAAkB,OAAOzQ,EAAE+J,GAAG,KAAK7J,EAAE,KAAK,CAACmJ,MAAM,CAAC2B,GAAGhL,EAAEuQ,SAASmD,SAAS,OAAO,CAAC1T,EAAEqQ,OAAO,CAACrQ,EAAE0K,GAAG,YAAY1K,EAAEiK,MAAM,SAAS,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBhB,EAAE1O,GAAG0O,IAAI1I,GAAGP,EAAEzF,EAAEgG,EAAExI,SAAS6b,GAAG,SAAS7T,EAAEC,EAAEC,GAAG;;;;;;;;;;;;;;;;;;;;;GAqB/wUD,EAAEzF,EAAE,SAASwF,GAAGA,EAAE2H,QAAQyK,MAAM0B,QAAQ9T,EAAE2H,WAAW3H,EAAE2H,QAAQ,CAAC3H,EAAE2H,UAAU3H,EAAE2H,QAAQ,GAAG3H,EAAE2H,QAAQjF,MAAK,WAAY9K,KAAKqM,IAAI2I,aAAa,UAAU5K,OAAO,WAAW,SAAS+R,GAAG,SAAS/T,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,KAAK,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEhH,EAAEmH,EAAE,MAAMA,EAAE4C,SAAS/C,EAAEhI,QAAQmI,EAAE4C,SAAQ,EAAG7C,EAAE,GAAG3H,SAAS,WAAW4H,GAAE,EAAG,KAAK6T,EAAE,SAAShU,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAwCic,GAAG,SAASjU,EAAEC,KAAKiU,EAAE,SAASlU,EAAEC,EAAEC,GAAG,aAAaA,EAAEM,EAAEP,EAAE,KAAI,WAAY,OAAOvF,KAAKwF,EAAEM,EAAEP,EAAE,KAAI,WAAY,OAAOzF,KAAK0F,EAAE,IAAI,IAAIC,EAAED,EAAE,IAAIE,EAAErI,OAAOoI,EAAEjI,kBAATH,GAA8Boc,eAAe,CAAC,CAACva,OAAO,QAAQwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,gDAAgD,gBAAgB,kFAAkF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gHAAgH9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,iEAAiEC,OAAO,CAAC,2VAA2V,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,SAASE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgB,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2B,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sDAAsD,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgC9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,wHAAwHC,OAAO,CAAC,2PAA2P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,0BAA0BtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAcG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,CAAC/a,OAAO,QAAQwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sDAAsD,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgC9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,wHAAwHC,OAAO,CAAC,2QAA2Q,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,0BAA0BtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAcG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qCAAqC,gBAAgB,8DAA8D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgC9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,0GAA0GC,OAAO,CAAC,yOAAyO,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,mBAAmB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAaI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mCAAmC,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,6DAA6D,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgC9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,8EAA8EC,OAAO,CAAC,kQAAkQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,SAASI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuB,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sCAAsC,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+B9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,wJAAwJC,OAAO,CAAC,0OAA0O,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iCAAiCK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,4BAA4BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8B,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iDAAiD,gBAAgB,iEAAiE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgC9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,kEAAkEC,OAAO,CAAC,wPAAwP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,6BAA6BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,8CAA8C,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8HAA8H9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,+DAA+DC,OAAO,CAAC,iVAAiV,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,iBAAiB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,WAAW,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,oBAAoB,CAAC/a,OAAO,QAAQwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qCAAqC,gBAAgB,+EAA+E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgC9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,gGAAgGC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iBAAiB,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgC9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,kCAAkCC,OAAO,CAAC,uNAAuN,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,WAAWE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,2BAA2BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAAC/a,OAAO,QAAQwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyB9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,gEAAgEC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAe,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAetP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,OAAOE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,MAAM,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iBAAiBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,MAAM,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,UAAUM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mBAAmB,CAAC/a,OAAO,QAAQwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,YAAY,gBAAgB,kFAAkF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,mKAAmK9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,6BAA6BC,OAAO,CAAC,0WAA0W,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgCK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgC,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,mCAAmC,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,mEAAmE9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,oDAAoDC,OAAO,CAAC,4QAA4Q,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kBAAkB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuB,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,mEAAmE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8DAA8D9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,uCAAuCC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2B,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,oBAAoB,gBAAgB,8DAA8D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgC9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,qFAAqFC,OAAO,CAAC,wNAAwN,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,WAAWE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8B,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+B9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,uCAAuCC,OAAO,CAAC,uOAAuO,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgCK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,6BAA6BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,wBAAwB,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,kLAAkL9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,yCAAyCC,OAAO,CAAC,+WAA+W,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iCAAiC,CAAC/a,OAAO,QAAQwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qBAAqB,gBAAgB,+EAA+E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,+BAA+B9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,6FAA6FC,OAAO,CAAC,4OAA4O,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,UAAUE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kCAAkCK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uCAAuC,CAAC/a,OAAO,QAAQwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sCAAsC,gBAAgB,iFAAiF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgC9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,uDAAuDC,OAAO,CAAC,gQAAgQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kCAAkCK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uCAAuC,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iCAAiC,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,0KAA0K9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,kDAAkDC,OAAO,CAAC,iXAAiX,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,0BAA0B,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,+BAA+BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,mBAAmBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6B,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uBAAuB,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgC9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,qHAAqHC,OAAO,CAAC,6NAA6N,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mBAAmBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,gBAAgBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uCAAuC,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+B9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,4GAA4GC,OAAO,CAAC,4OAA4O,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,QAAQG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,+BAA+BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iCAAiC,CAAC/a,OAAO,KAAKwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,kEAAkE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8PAA8P9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,wEAAwEC,OAAO,CAAC,6dAA6d,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBtP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,QAAQE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,wBAAwB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6B,CAAC/a,OAAO,QAAQwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,2CAA2C,gBAAgB,2EAA2E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyB9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,mGAAmGC,OAAO,CAAC,wPAAwP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,gBAAgB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAetP,QAAQ,CAACmP,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,OAAOE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,OAAOI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,QAAQ,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,WAAWM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,CAAC/a,OAAO,QAAQwa,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iDAAiD,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyB9a,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC+a,MAAM,GAAGC,SAAS,CAACC,WAAW,kEAAkEC,OAAO,CAAC,+PAA+P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAe,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,OAAOI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,QAAQ,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,SAASM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe1S,KAAI,SAAUjC,GAAG,OAAOI,EAAE8U,eAAelV,EAAEpG,OAAOoG,EAAEoU,SAAS,IAAI7U,EAAEa,EAAE+U,QAAQ3a,EAAE+E,EAAEnE,SAASuF,KAAKpB,GAAG7E,EAAE6E,EAAEvE,QAAQ2F,KAAKpB,IAAI6V,EAAE,SAASpV,EAAEC,EAAEC,GAAG,aAAaF,EAAEhI,QAAQ,SAASgI,EAAEC,GAAG,OAAOA,IAAIA,EAAE,IAAI,iBAAiBD,EAAEA,GAAGA,EAAE1H,WAAW0H,EAAEzH,QAAQyH,GAAGA,GAAG,eAAe2L,KAAK3L,KAAKA,EAAEA,EAAEvC,MAAM,GAAG,IAAIwC,EAAEoV,OAAOrV,GAAGC,EAAEoV,MAAM,cAAc1J,KAAK3L,IAAIC,EAAEqV,WAAW,IAAItT,OAAOhC,EAAEzF,QAAQ,KAAK,OAAOA,QAAQ,MAAM,OAAO,KAAKyF,KAAKuV,GAAG,SAASvV,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAqCwd,GAAG,SAASxV,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAoCyd,GAAG,SAASzV,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEC,EAAEA,GAAG3F,GAAGkb,GAAG,SAAS1V,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,GAAGE,EAAEF,EAAE,GAAGX,EAAEW,EAAE,GAAG1F,EAAE0F,EAAE,GAAGxF,EAAEwF,EAAE,IAAIlH,EAAEkH,EAAE,IAAID,EAAEE,GAAE,GAAI,IAAII,EAAEH,EAAEb,GAAGc,EAAED,EAAE5F,GAAG8F,EAAEF,EAAE1F,GAAGyK,EAAE/E,EAAEpH,GAAGiH,EAAEyC,KAAK,CAAC1C,EAAEhH,EAAE,yDAAyDuH,EAAE,aAAaA,EAAE,qCAAqCF,EAAE,wBAAwBC,EAAE,4BAA4B6E,EAAE,80JAA80J,KAAKnF,EAAEhI,QAAQiI,GAAG0V,GAAG,SAAS3V,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAE,CAACpE,KAAK,eAAe0J,OAAO,CAACvF,EAAE,IAAI1F,GAAGzB,MAAM,CAAC6c,SAAS,CAACjQ,KAAKG,QAAQvN,SAAQ,IAAK8L,SAAS,CAACwR,YAAY,WAAW,OAAOje,KAAKge,YAAYxV,GAAGF,EAAE,KAAKA,EAAE,IAAIX,EAAEW,EAAE,IAAI1F,EAAE0F,EAAEC,EAAEZ,GAAG7E,EAAE3C,OAAOqI,EAAE5F,EAATzC,CAAYoI,GAAE,WAAY,IAAIH,EAAEpI,KAAKqI,EAAED,EAAEmJ,eAAejJ,EAAEF,EAAEoJ,MAAMC,IAAIpJ,EAAE,OAAOC,EAAE,KAAK,CAACuJ,MAAM,CAAC,mBAAmBzJ,EAAE4V,WAAW,CAAC1V,EAAE,SAAS,CAACsJ,YAAY,gBAAgBC,MAAM,CAACqM,UAAU9V,EAAE6V,aAAavM,MAAM,CAAC,aAAatJ,EAAEuN,WAAWxF,GAAG,CAAC2B,MAAM1J,EAAE0N,UAAU,CAACxN,EAAE,OAAO,CAACsJ,YAAY,sBAAsBC,MAAM,CAACzJ,EAAEwN,UAAU,2BAA2BxN,EAAE4K,MAAM4I,MAAM,CAACuC,gBAAgB/V,EAAEwN,UAAU,OAAOxN,EAAE4K,KAAK,IAAI,QAAQ5K,EAAEgK,GAAG,KAAKhK,EAAE0F,MAAMxF,EAAE,IAAI,CAACA,EAAE,SAAS,CAACsJ,YAAY,wBAAwB,CAACxJ,EAAEgK,GAAG,aAAahK,EAAEiK,GAAGjK,EAAE0F,OAAO,cAAc1F,EAAEgK,GAAG,KAAK9J,EAAE,MAAMF,EAAEgK,GAAG,KAAK9J,EAAE,OAAO,CAACsJ,YAAY,0BAA0BwM,SAAS,CAACC,YAAYjW,EAAEiK,GAAGjK,EAAE6D,WAAW7D,EAAEsE,WAAWpE,EAAE,IAAI,CAACsJ,YAAY,0BAA0BwM,SAAS,CAACC,YAAYjW,EAAEiK,GAAGjK,EAAE6D,SAAS3D,EAAE,OAAO,CAACsJ,YAAY,uBAAuB,CAACxJ,EAAEgK,GAAGhK,EAAEiK,GAAGjK,EAAE6D,SAAS7D,EAAEgK,GAAG,KAAKhK,EAAEkK,MAAM,OAAO,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmB1P,EAAEA,GAAGA,IAAIE,GAAGuF,EAAEzF,EAAEE,EAAE1C,SAASke,EAAE,SAASlW,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAGA,EAAE1H,QAAQ,k/HAAk/H4d,EAAE,SAASnW,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAGA,EAAE1H,QAAQ,kzHAAkzH6d,GAAG,SAASpW,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAaqe,GAAG,SAASrW,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAG,IAAIE,EAAED,EAAE;;;;;;;;;;;;;;;;;;;;;GAqBrutED,EAAE1H,QAAQ4H,EAAE3F,M,oBCtJf;;;;;qCAKA,SAAUuF,EAAQkI,EAAUqO,EAAYxb,GACtC,aAEF,IA+FIsU,EA/FAmH,EAAkB,CAAC,GAAI,SAAU,MAAO,KAAM,KAAM,KACpDC,EAAevO,EAAS8D,cAAc,OAItCgG,EAAQ/S,KAAK+S,MACb0E,EAAMzX,KAAKyX,IACXC,EAAM9R,KAAK8R,IASf,SAASC,EAAkBC,EAAIC,EAASC,GACpC,OAAOjS,WAAWkS,EAAOH,EAAIE,GAAUD,GAY3C,SAASG,EAAeC,EAAKL,EAAIE,GAC7B,QAAI1E,MAAM0B,QAAQmD,KACdC,EAAKD,EAAKH,EAAQF,GAAKE,IAChB,GAWf,SAASI,EAAK9e,EAAK+e,EAAUL,GACzB,IAAI9d,EAEJ,GAAKZ,EAIL,GAAIA,EAAIgf,QACJhf,EAAIgf,QAAQD,EAAUL,QACnB,QAvDX,IAuDe1e,EAAIa,OAEX,IADAD,EAAI,EACGA,EAAIZ,EAAIa,QACXke,EAASxf,KAAKmf,EAAS1e,EAAIY,GAAIA,EAAGZ,GAClCY,SAGJ,IAAKA,KAAKZ,EACNA,EAAIwI,eAAe5H,IAAMme,EAASxf,KAAKmf,EAAS1e,EAAIY,GAAIA,EAAGZ,GAYvE,SAASif,EAAUC,EAAQvb,EAAMwb,GAC7B,IAAIC,EAAqB,sBAAwBzb,EAAO,KAAOwb,EAAU,SACzE,OAAO,WACH,IAAIvX,EAAI,IAAIuL,MAAM,mBACdkM,EAAQzX,GAAKA,EAAEyX,MAAQzX,EAAEyX,MAAMld,QAAQ,kBAAmB,IACzDA,QAAQ,cAAe,IACvBA,QAAQ,6BAA8B,kBAAoB,sBAE3Dmd,EAAM3X,EAAO4X,UAAY5X,EAAO4X,QAAQ5T,MAAQhE,EAAO4X,QAAQD,KAInE,OAHIA,GACAA,EAAI/f,KAAKoI,EAAO4X,QAASH,EAAoBC,GAE1CH,EAAOM,MAAMhgB,KAAMiD,YAa9BuU,EADyB,mBAAlBrX,OAAOqX,OACL,SAAgBtW,GACrB,GAAIA,QACA,MAAM,IAAIF,UAAU,8CAIxB,IADA,IAAIif,EAAS9f,OAAOe,GACXsE,EAAQ,EAAGA,EAAQvC,UAAU5B,OAAQmE,IAAS,CACnD,IAAI0a,EAASjd,UAAUuC,GACvB,GAAI0a,QACA,IAAK,IAAIC,KAAWD,EACZA,EAAOlX,eAAemX,KACtBF,EAAOE,GAAWD,EAAOC,IAKzC,OAAOF,GAGF9f,OAAOqX,OAWpB,IAAI4I,EAASX,GAAU,SAAgBY,EAAMC,EAAKC,GAG9C,IAFA,IAAIra,EAAO/F,OAAO+F,KAAKoa,GACnBlf,EAAI,EACDA,EAAI8E,EAAK7E,UACPkf,GAAUA,QAtIvB,IAsIgCF,EAAKna,EAAK9E,OAC9Bif,EAAKna,EAAK9E,IAAMkf,EAAIpa,EAAK9E,KAE7BA,IAEJ,OAAOif,IACR,SAAU,iBASTE,EAAQd,GAAU,SAAeY,EAAMC,GACvC,OAAOF,EAAOC,EAAMC,GAAK,KAC1B,QAAS,iBAQZ,SAASE,EAAQC,EAAOC,EAAMC,GAC1B,IACIC,EADAC,EAAQH,EAAKjhB,WAGjBmhB,EAASH,EAAMhhB,UAAYU,OAAOwE,OAAOkc,IAClCxa,YAAcoa,EACrBG,EAAOE,OAASD,EAEZF,GACAnJ,EAAOoJ,EAAQD,GAUvB,SAASxB,EAAOH,EAAIE,GAChB,OAAO,WACH,OAAOF,EAAGgB,MAAMd,EAASjc,YAWjC,SAAS8d,EAASC,EAAKC,GACnB,MA1LgB,mBA0LLD,EACAA,EAAIhB,MAAMiB,GAAOA,EAAK,SAjMrC,EAiMkEA,GAEvDD,EASX,SAASE,EAAYC,EAAMC,GACvB,YA7MJ,IA6MYD,EAAsBC,EAAOD,EASzC,SAASE,EAAkBngB,EAAQogB,EAAOC,GACtCjC,EAAKkC,EAASF,IAAQ,SAASvT,GAC3B7M,EAAOyO,iBAAiB5B,EAAMwT,GAAS,MAU/C,SAASE,EAAqBvgB,EAAQogB,EAAOC,GACzCjC,EAAKkC,EAASF,IAAQ,SAASvT,GAC3B7M,EAAO4O,oBAAoB/B,EAAMwT,GAAS,MAWlD,SAASG,EAAUC,EAAMjY,GACrB,KAAOiY,GAAM,CACT,GAAIA,GAAQjY,EACR,OAAO,EAEXiY,EAAOA,EAAKrN,WAEhB,OAAO,EASX,SAASsN,EAAMC,EAAKC,GAChB,OAAOD,EAAI/S,QAAQgT,IAAS,EAQhC,SAASN,EAASK,GACd,OAAOA,EAAIle,OAAOwC,MAAM,QAU5B,SAAS4b,EAAQzB,EAAKwB,EAAME,GACxB,GAAI1B,EAAIxR,UAAYkT,EAChB,OAAO1B,EAAIxR,QAAQgT,GAGnB,IADA,IAAI1gB,EAAI,EACDA,EAAIkf,EAAIjf,QAAQ,CACnB,GAAK2gB,GAAa1B,EAAIlf,GAAG4gB,IAAcF,IAAWE,GAAa1B,EAAIlf,KAAO0gB,EACtE,OAAO1gB,EAEXA,IAEJ,OAAQ,EAShB,SAAS6gB,EAAQzhB,GACb,OAAOga,MAAM/a,UAAUoG,MAAM9F,KAAKS,EAAK,GAU3C,SAAS0hB,EAAY5B,EAAK7e,EAAK0gB,GAK3B,IAJA,IAAIC,EAAU,GACVC,EAAS,GACTjhB,EAAI,EAEDA,EAAIkf,EAAIjf,QAAQ,CACnB,IAAI2f,EAAMvf,EAAM6e,EAAIlf,GAAGK,GAAO6e,EAAIlf,GAC9B2gB,EAAQM,EAAQrB,GAAO,GACvBoB,EAAQtX,KAAKwV,EAAIlf,IAErBihB,EAAOjhB,GAAK4f,EACZ5f,IAaJ,OAVI+gB,IAIIC,EAHC3gB,EAGS2gB,EAAQD,MAAK,SAAyBvf,EAAGC,GAC/C,OAAOD,EAAEnB,GAAOoB,EAAEpB,MAHZ2gB,EAAQD,QAQnBC,EASX,SAASE,EAAS9hB,EAAK+hB,GAKnB,IAJA,IAAIC,EAAQC,EACRC,EAAYH,EAAS,GAAGI,cAAgBJ,EAAS1c,MAAM,GAEvDzE,EAAI,EACDA,EAAIud,EAAgBtd,QAAQ,CAI/B,IAFAohB,GADAD,EAAS7D,EAAgBvd,IACPohB,EAASE,EAAYH,KAE3B/hB,EACR,OAAOiiB,EAEXrhB,KASR,IAAIwhB,EAAY,EAUhB,SAASC,EAAoBC,GACzB,IAAIC,EAAMD,EAAQE,eAAiBF,EACnC,OAAQC,EAAIE,aAAeF,EAAIG,cAAgB/a,EAGnD,IAEIgb,EAAiB,iBAAkBhb,EACnCib,OA1XJ,IA0X6Bd,EAASna,EAAQ,gBAC1Ckb,EAAqBF,GAJN,wCAIoCpP,KAAKD,UAAUE,WAwBlEsP,EAAW,CAAC,IAAK,KACjBC,EAAkB,CAAC,UAAW,WASlC,SAASC,EAAMC,EAASC,GACpB,IAAIC,EAAO3jB,KACXA,KAAKyjB,QAAUA,EACfzjB,KAAK0jB,SAAWA,EAChB1jB,KAAK8iB,QAAUW,EAAQX,QACvB9iB,KAAKkB,OAASuiB,EAAQva,QAAQ0a,YAI9B5jB,KAAK6jB,WAAa,SAASC,GACnB/C,EAAS0C,EAAQva,QAAQ6a,OAAQ,CAACN,KAClCE,EAAKpC,QAAQuC,IAIrB9jB,KAAKgkB,OA4DT,SAASC,EAAaR,EAASS,EAAWC,GACtC,IAAIC,EAAcD,EAAME,SAAShjB,OAC7BijB,EAAqBH,EAAMI,gBAAgBljB,OAC3CmjB,EAvGU,EAuGCN,GAA4BE,EAAcE,GAAuB,EAC5EG,EAAuB,GAAZP,GAA2CE,EAAcE,GAAuB,EAE/FH,EAAMK,UAAYA,EAClBL,EAAMM,UAAYA,EAEdD,IACAf,EAAQiB,QAAU,IAKtBP,EAAMD,UAAYA,EAiBtB,SAA0BT,EAASU,GAC/B,IAAIO,EAAUjB,EAAQiB,QAClBL,EAAWF,EAAME,SACjBM,EAAiBN,EAAShjB,OAGzBqjB,EAAQE,aACTF,EAAQE,WAAaC,EAAqBV,IAI1CQ,EAAiB,IAAMD,EAAQI,cAC/BJ,EAAQI,cAAgBD,EAAqBV,GACnB,IAAnBQ,IACPD,EAAQI,eAAgB,GAG5B,IAAIF,EAAaF,EAAQE,WACrBE,EAAgBJ,EAAQI,cACxBC,EAAeD,EAAgBA,EAAcE,OAASJ,EAAWI,OAEjEA,EAASb,EAAMa,OAASC,EAAUZ,GACtCF,EAAMe,UAAYpG,IAClBqF,EAAMgB,UAAYhB,EAAMe,UAAYN,EAAWM,UAE/Cf,EAAMiB,MAAQC,EAASN,EAAcC,GACrCb,EAAMmB,SAAWC,EAAYR,EAAcC,GA0B/C,SAAwBN,EAASP,GAC7B,IAAIa,EAASb,EAAMa,OACfQ,EAASd,EAAQe,aAAe,GAChCC,EAAYhB,EAAQgB,WAAa,GACjCC,EAAYjB,EAAQiB,WAAa,GA5LvB,IA8LVxB,EAAMD,WA5LE,IA4L2ByB,EAAUzB,YAC7CwB,EAAYhB,EAAQgB,UAAY,CAC5BE,EAAGD,EAAUE,QAAU,EACvBC,EAAGH,EAAUI,QAAU,GAG3BP,EAASd,EAAQe,YAAc,CAC3BG,EAAGZ,EAAOY,EACVE,EAAGd,EAAOc,IAIlB3B,EAAM0B,OAASH,EAAUE,GAAKZ,EAAOY,EAAIJ,EAAOI,GAChDzB,EAAM4B,OAASL,EAAUI,GAAKd,EAAOc,EAAIN,EAAOM,GA3ChDE,CAAetB,EAASP,GACxBA,EAAM8B,gBAAkBC,EAAa/B,EAAM0B,OAAQ1B,EAAM4B,QAEzD,IAAII,EAAkBC,EAAYjC,EAAMgB,UAAWhB,EAAM0B,OAAQ1B,EAAM4B,QACvE5B,EAAMkC,iBAAmBF,EAAgBP,EACzCzB,EAAMmC,iBAAmBH,EAAgBL,EACzC3B,EAAMgC,gBAAmBtH,EAAIsH,EAAgBP,GAAK/G,EAAIsH,EAAgBL,GAAMK,EAAgBP,EAAIO,EAAgBL,EAEhH3B,EAAMoC,MAAQzB,GAkNAvd,EAlNyBud,EAAcT,SAkNhCmC,EAlN0CnC,EAmNxDkB,EAAYiB,EAAI,GAAIA,EAAI,GAAIjD,GAAmBgC,EAAYhe,EAAM,GAAIA,EAAM,GAAIgc,IAnNX,EAC3EY,EAAMsC,SAAW3B,EAsMrB,SAAqBvd,EAAOif,GACxB,OAAOnB,EAASmB,EAAI,GAAIA,EAAI,GAAIjD,GAAmB8B,EAAS9d,EAAM,GAAIA,EAAM,GAAIgc,GAvM/CmD,CAAY5B,EAAcT,SAAUA,GAAY,EAEjFF,EAAMwC,YAAejC,EAAQiB,UAAsCxB,EAAME,SAAShjB,OAC9EqjB,EAAQiB,UAAUgB,YAAexC,EAAME,SAAShjB,OAASqjB,EAAQiB,UAAUgB,YADtCxC,EAAME,SAAShjB,OAwC5D,SAAkCqjB,EAASP,GACvC,IAEIyC,EAAUC,EAAWC,EAAWC,EAFhCC,EAAOtC,EAAQuC,cAAgB9C,EAC/BgB,EAAYhB,EAAMe,UAAY8B,EAAK9B,UAGvC,GArNe,GAqNXf,EAAMD,YAA8BiB,EA1NrB,SAlYvB,IA4lB4E6B,EAAKJ,UAAyB,CAClG,IAAIf,EAAS1B,EAAM0B,OAASmB,EAAKnB,OAC7BE,EAAS5B,EAAM4B,OAASiB,EAAKjB,OAE7B7S,EAAIkT,EAAYjB,EAAWU,EAAQE,GACvCc,EAAY3T,EAAE0S,EACdkB,EAAY5T,EAAE4S,EACdc,EAAY/H,EAAI3L,EAAE0S,GAAK/G,EAAI3L,EAAE4S,GAAM5S,EAAE0S,EAAI1S,EAAE4S,EAC3CiB,EAAYb,EAAaL,EAAQE,GAEjCrB,EAAQuC,aAAe9C,OAGvByC,EAAWI,EAAKJ,SAChBC,EAAYG,EAAKH,UACjBC,EAAYE,EAAKF,UACjBC,EAAYC,EAAKD,UAGrB5C,EAAMyC,SAAWA,EACjBzC,EAAM0C,UAAYA,EAClB1C,EAAM2C,UAAYA,EAClB3C,EAAM4C,UAAYA,EAhElBG,CAAyBxC,EAASP,GA4MtC,IAAkB5c,EAAOif,EAzMrB,IAAItlB,EAASuiB,EAAQX,QACjBpB,EAAUyC,EAAMgD,SAASjmB,OAAQA,KACjCA,EAASijB,EAAMgD,SAASjmB,QAE5BijB,EAAMjjB,OAASA,EA/DfkmB,CAAiB3D,EAASU,GAG1BV,EAAQ4D,KAAK,eAAgBlD,GAE7BV,EAAQ6D,UAAUnD,GAClBV,EAAQiB,QAAQiB,UAAYxB,EA0HhC,SAASU,EAAqBV,GAK1B,IAFA,IAAIE,EAAW,GACXjjB,EAAI,EACDA,EAAI+iB,EAAME,SAAShjB,QACtBgjB,EAASjjB,GAAK,CACVmmB,QAASpN,EAAMgK,EAAME,SAASjjB,GAAGmmB,SACjCC,QAASrN,EAAMgK,EAAME,SAASjjB,GAAGomB,UAErCpmB,IAGJ,MAAO,CACH8jB,UAAWpG,IACXuF,SAAUA,EACVW,OAAQC,EAAUZ,GAClBwB,OAAQ1B,EAAM0B,OACdE,OAAQ5B,EAAM4B,QAStB,SAASd,EAAUZ,GACf,IAAIM,EAAiBN,EAAShjB,OAG9B,GAAuB,IAAnBsjB,EACA,MAAO,CACHiB,EAAGzL,EAAMkK,EAAS,GAAGkD,SACrBzB,EAAG3L,EAAMkK,EAAS,GAAGmD,UAK7B,IADA,IAAI5B,EAAI,EAAGE,EAAI,EAAG1kB,EAAI,EACfA,EAAIujB,GACPiB,GAAKvB,EAASjjB,GAAGmmB,QACjBzB,GAAKzB,EAASjjB,GAAGomB,QACjBpmB,IAGJ,MAAO,CACHwkB,EAAGzL,EAAMyL,EAAIjB,GACbmB,EAAG3L,EAAM2L,EAAInB,IAWrB,SAASyB,EAAYjB,EAAWS,EAAGE,GAC/B,MAAO,CACHF,EAAGA,EAAIT,GAAa,EACpBW,EAAGA,EAAIX,GAAa,GAU5B,SAASe,EAAaN,EAAGE,GACrB,OAAIF,IAAME,EAzTO,EA6TbjH,EAAI+G,IAAM/G,EAAIiH,GACPF,EAAI,EA7TE,EACC,EA8TXE,EAAI,EA7TI,EACE,GAsUrB,SAASP,EAAYkC,EAAIC,EAAIvmB,GACpBA,IACDA,EAAQmiB,GAEZ,IAAIsC,EAAI8B,EAAGvmB,EAAM,IAAMsmB,EAAGtmB,EAAM,IAC5B2kB,EAAI4B,EAAGvmB,EAAM,IAAMsmB,EAAGtmB,EAAM,IAEhC,OAAOiG,KAAKugB,KAAM/B,EAAIA,EAAME,EAAIA,GAUpC,SAAST,EAASoC,EAAIC,EAAIvmB,GACjBA,IACDA,EAAQmiB,GAEZ,IAAIsC,EAAI8B,EAAGvmB,EAAM,IAAMsmB,EAAGtmB,EAAM,IAC5B2kB,EAAI4B,EAAGvmB,EAAM,IAAMsmB,EAAGtmB,EAAM,IAChC,OAA0B,IAAnBiG,KAAKwgB,MAAM9B,EAAGF,GAAWxe,KAAKygB,GA1TzCrE,EAAM/jB,UAAY,CAKd8hB,QAAS,aAKTyC,KAAM,WACFhkB,KAAK8nB,MAAQzG,EAAkBrhB,KAAK8iB,QAAS9iB,KAAK8nB,KAAM9nB,KAAK6jB,YAC7D7jB,KAAK+nB,UAAY1G,EAAkBrhB,KAAKkB,OAAQlB,KAAK+nB,SAAU/nB,KAAK6jB,YACpE7jB,KAAKgoB,OAAS3G,EAAkBwB,EAAoB7iB,KAAK8iB,SAAU9iB,KAAKgoB,MAAOhoB,KAAK6jB,aAMxFlT,QAAS,WACL3Q,KAAK8nB,MAAQrG,EAAqBzhB,KAAK8iB,QAAS9iB,KAAK8nB,KAAM9nB,KAAK6jB,YAChE7jB,KAAK+nB,UAAYtG,EAAqBzhB,KAAKkB,OAAQlB,KAAK+nB,SAAU/nB,KAAK6jB,YACvE7jB,KAAKgoB,OAASvG,EAAqBoB,EAAoB7iB,KAAK8iB,SAAU9iB,KAAKgoB,MAAOhoB,KAAK6jB,cA4T/F,IAAIoE,EAAkB,CAClBC,UA/Xc,EAgYdnW,UA/Xa,EAgYboW,QA/XY,GA0YhB,SAASC,IACLpoB,KAAK8nB,KATkB,YAUvB9nB,KAAKgoB,MATiB,oBAWtBhoB,KAAKqoB,SAAU,EAEf7E,EAAMxD,MAAMhgB,KAAMiD,WAGtBud,EAAQ4H,EAAY5E,EAAO,CAKvBjC,QAAS,SAAmBuC,GACxB,IAAII,EAAY+D,EAAgBnE,EAAG/V,MA3ZzB,EA8ZNmW,GAAyC,IAAdJ,EAAGwE,SAC9BtoB,KAAKqoB,SAAU,GA9ZV,EAiaLnE,GAAuC,IAAbJ,EAAGyE,QAC7BrE,EAjaI,GAqaHlkB,KAAKqoB,UAraF,EAyaJnE,IACAlkB,KAAKqoB,SAAU,GAGnBroB,KAAK0jB,SAAS1jB,KAAKyjB,QAASS,EAAW,CACnCG,SAAU,CAACP,GACXS,gBAAiB,CAACT,GAClB0E,YAvbW,QAwbXrB,SAAUrD,QAKtB,IAAI2E,EAAoB,CACpBC,YAzbc,EA0bdC,YAzba,EA0bbC,UAzbY,EA0bZC,cAzbe,EA0bfC,WA1be,GA8bfC,EAAyB,CACzB3V,EAzcmB,QA0cnBkD,EAzciB,MA0cjBU,EAzcmB,QA0cnBoF,EAzcoB,UA4cpB4M,EAAyB,cACzBC,EAAwB,sCAa5B,SAASC,KACLlpB,KAAK8nB,KAAOkB,EACZhpB,KAAKgoB,MAAQiB,EAEbzF,EAAMxD,MAAMhgB,KAAMiD,WAElBjD,KAAKmpB,MAASnpB,KAAKyjB,QAAQiB,QAAQ0E,cAAgB,GAhBnDjhB,EAAOkhB,iBAAmBlhB,EAAOmhB,eACjCN,EAAyB,gBACzBC,EAAwB,6CAiB5BzI,EAAQ0I,GAAmB1F,EAAO,CAK9BjC,QAAS,SAAmBuC,GACxB,IAAIqF,EAAQnpB,KAAKmpB,MACbI,GAAgB,EAEhBC,EAAsB1F,EAAG/V,KAAKkG,cAActR,QAAQ,KAAM,IAC1DuhB,EAAYuE,EAAkBe,GAC9BhB,EAAcO,EAAuBjF,EAAG0E,cAAgB1E,EAAG0E,YAE3DiB,EAnfW,SAmfAjB,EAGXkB,EAAa3H,EAAQoH,EAAOrF,EAAG6F,UAAW,aA/epC,EAkfNzF,IAA0C,IAAdJ,EAAGwE,QAAgBmB,GAC3CC,EAAa,IACbP,EAAMre,KAAKgZ,GACX4F,EAAaP,EAAM9nB,OAAS,GAEb,GAAZ6iB,IACPqF,GAAgB,GAIhBG,EAAa,IAKjBP,EAAMO,GAAc5F,EAEpB9jB,KAAK0jB,SAAS1jB,KAAKyjB,QAASS,EAAW,CACnCG,SAAU8E,EACV5E,gBAAiB,CAACT,GAClB0E,YAAaA,EACbrB,SAAUrD,IAGVyF,GAEAJ,EAAM7hB,OAAOoiB,EAAY,OAKrC,IAAIE,GAAyB,CACzBC,WAlhBc,EAmhBd7X,UAlhBa,EAmhBb8X,SAlhBY,EAmhBZC,YAlhBe,GA6hBnB,SAASC,KACLhqB,KAAK+nB,SATwB,aAU7B/nB,KAAKgoB,MATwB,4CAU7BhoB,KAAKiqB,SAAU,EAEfzG,EAAMxD,MAAMhgB,KAAMiD,WAsCtB,SAASinB,GAAuBpG,EAAI/V,GAChC,IAAI+J,EAAMmK,EAAQ6B,EAAGqG,SACjBC,EAAUnI,EAAQ6B,EAAGuG,gBAMzB,OAJW,GAAPtc,IACA+J,EAAMoK,EAAYpK,EAAI1N,OAAOggB,GAAU,cAAc,IAGlD,CAACtS,EAAKsS,GA3CjB5J,EAAQwJ,GAAkBxG,EAAO,CAC7BjC,QAAS,SAAmBuC,GACxB,IAAI/V,EAAO6b,GAAuB9F,EAAG/V,MAOrC,GAjjBU,IA6iBNA,IACA/N,KAAKiqB,SAAU,GAGdjqB,KAAKiqB,QAAV,CAIA,IAAIE,EAAUD,GAAuBnqB,KAAKC,KAAM8jB,EAAI/V,GAGzC,GAAPA,GAAqCoc,EAAQ,GAAG9oB,OAAS8oB,EAAQ,GAAG9oB,QAAW,IAC/ErB,KAAKiqB,SAAU,GAGnBjqB,KAAK0jB,SAAS1jB,KAAKyjB,QAAS1V,EAAM,CAC9BsW,SAAU8F,EAAQ,GAClB5F,gBAAiB4F,EAAQ,GACzB3B,YAtkBW,QAukBXrB,SAAUrD,QAsBtB,IAAIwG,GAAkB,CAClBT,WAvlBc,EAwlBd7X,UAvlBa,EAwlBb8X,SAvlBY,EAwlBZC,YAvlBe,GAimBnB,SAASQ,KACLvqB,KAAK+nB,SARiB,4CAStB/nB,KAAKwqB,UAAY,GAEjBhH,EAAMxD,MAAMhgB,KAAMiD,WA0BtB,SAASwnB,GAAW3G,EAAI/V,GACpB,IAAI2c,EAAazI,EAAQ6B,EAAGqG,SACxBK,EAAYxqB,KAAKwqB,UAGrB,GAAW,EAAPzc,GAA2D,IAAtB2c,EAAWrpB,OAEhD,OADAmpB,EAAUE,EAAW,GAAGC,aAAc,EAC/B,CAACD,EAAYA,GAGxB,IAAItpB,EACAwpB,EACAP,EAAiBpI,EAAQ6B,EAAGuG,gBAC5BQ,EAAuB,GACvB3pB,EAASlB,KAAKkB,OAQlB,GALA0pB,EAAgBF,EAAW/V,QAAO,SAASmW,GACvC,OAAOpJ,EAAUoJ,EAAM5pB,OAAQA,MAppBrB,IAwpBV6M,EAEA,IADA3M,EAAI,EACGA,EAAIwpB,EAAcvpB,QACrBmpB,EAAUI,EAAcxpB,GAAGupB,aAAc,EACzCvpB,IAMR,IADAA,EAAI,EACGA,EAAIipB,EAAehpB,QAClBmpB,EAAUH,EAAejpB,GAAGupB,aAC5BE,EAAqB/f,KAAKuf,EAAejpB,IAIlC,GAAP2M,UACOyc,EAAUH,EAAejpB,GAAGupB,YAEvCvpB,IAGJ,OAAKypB,EAAqBxpB,OAInB,CAEH6gB,EAAY0I,EAAcxgB,OAAOygB,GAAuB,cAAc,GACtEA,QAPJ,EAnEJrK,EAAQ+J,GAAY/G,EAAO,CACvBjC,QAAS,SAAoBuC,GACzB,IAAI/V,EAAOuc,GAAgBxG,EAAG/V,MAC1Boc,EAAUM,GAAW1qB,KAAKC,KAAM8jB,EAAI/V,GACnCoc,GAILnqB,KAAK0jB,SAAS1jB,KAAKyjB,QAAS1V,EAAM,CAC9BsW,SAAU8F,EAAQ,GAClB5F,gBAAiB4F,EAAQ,GACzB3B,YA7nBW,QA8nBXrB,SAAUrD,OA+EtB,SAASiH,KACLvH,EAAMxD,MAAMhgB,KAAMiD,WAElB,IAAIse,EAAUpC,EAAOnf,KAAKuhB,QAASvhB,MACnCA,KAAK8qB,MAAQ,IAAIP,GAAWvqB,KAAKyjB,QAASlC,GAC1CvhB,KAAKgrB,MAAQ,IAAI5C,EAAWpoB,KAAKyjB,QAASlC,GAE1CvhB,KAAKirB,aAAe,KACpBjrB,KAAKkrB,YAAc,GAqCvB,SAASC,GAAcjH,EAAWkH,GAnvBhB,EAovBVlH,GACAlkB,KAAKirB,aAAeG,EAAU7G,gBAAgB,GAAGoG,WACjDU,GAAatrB,KAAKC,KAAMorB,IACL,GAAZlH,GACPmH,GAAatrB,KAAKC,KAAMorB,GAIhC,SAASC,GAAaD,GAClB,IAAIN,EAAQM,EAAU7G,gBAAgB,GAEtC,GAAIuG,EAAMH,aAAe3qB,KAAKirB,aAAc,CACxC,IAAIK,EAAY,CAAC1F,EAAGkF,EAAMvD,QAASzB,EAAGgF,EAAMtD,SAC5CxnB,KAAKkrB,YAAYpgB,KAAKwgB,GACtB,IAAIC,EAAMvrB,KAAKkrB,YAOfje,YANsB,WAClB,IAAI7L,EAAImqB,EAAIzc,QAAQwc,GAChBlqB,GAAK,GACLmqB,EAAIjkB,OAAOlG,EAAG,KAnEV,OA0EpB,SAASoqB,GAAiBJ,GAEtB,IADA,IAAIxF,EAAIwF,EAAUjE,SAASI,QAASzB,EAAIsF,EAAUjE,SAASK,QAClDpmB,EAAI,EAAGA,EAAIpB,KAAKkrB,YAAY7pB,OAAQD,IAAK,CAC9C,IAAIiH,EAAIrI,KAAKkrB,YAAY9pB,GACrBqqB,EAAKrkB,KAAKyX,IAAI+G,EAAIvd,EAAEud,GAAI8F,EAAKtkB,KAAKyX,IAAIiH,EAAIzd,EAAEyd,GAChD,GAAI2F,GA9ES,IA8EeC,GA9Ef,GA+ET,OAAO,EAGf,OAAO,EArEXlL,EAAQuK,GAAiBvH,EAAO,CAO5BjC,QAAS,SAAoBkC,EAASkI,EAAYC,GAC9C,IAAInC,EAhuBW,SAguBAmC,EAAUpD,YACrBqD,EA/tBW,SA+tBAD,EAAUpD,YAEzB,KAAIqD,GAAWD,EAAUE,oBAAsBF,EAAUE,mBAAmBC,kBAA5E,CAKA,GAAItC,EACA0B,GAAcprB,KAAKC,KAAM2rB,EAAYC,QAClC,GAAIC,GAAWL,GAAiBzrB,KAAKC,KAAM4rB,GAC9C,OAGJ5rB,KAAK0jB,SAASD,EAASkI,EAAYC,KAMvCjb,QAAS,WACL3Q,KAAK8qB,MAAMna,UACX3Q,KAAKgrB,MAAMra,aA0CnB,IAAIqb,GAAwB1J,EAAS1D,EAAahD,MAAO,eACrDqQ,QA9pCJ,IA8pC0BD,GAStBE,GA4IJ,WACI,IAAKD,GACD,OAAO,EAEX,IAAIE,EAAW,GACXC,EAAcjkB,EAAOkkB,KAAOlkB,EAAOkkB,IAAIC,SAO3C,MANA,CAAC,OAAQ,eAAgB,QAAS,QAAS,cAAe,QAAQ9M,SAAQ,SAASwB,GAI/EmL,EAASnL,IAAOoL,GAAcjkB,EAAOkkB,IAAIC,SAAS,eAAgBtL,MAE/DmL,EAxJYI,GASvB,SAASC,GAAY/I,EAASpjB,GAC1BL,KAAKyjB,QAAUA,EACfzjB,KAAKysB,IAAIpsB,GAGbmsB,GAAY/sB,UAAY,CAKpBgtB,IAAK,SAASpsB,GAzBS,WA2BfA,IACAA,EAAQL,KAAK0sB,WAGbT,IAAuBjsB,KAAKyjB,QAAQX,QAAQlH,OAASsQ,GAAiB7rB,KACtEL,KAAKyjB,QAAQX,QAAQlH,MAAMoQ,IAAyB3rB,GAExDL,KAAKyY,QAAUpY,EAAM4T,cAActQ,QAMvCgpB,OAAQ,WACJ3sB,KAAKysB,IAAIzsB,KAAKyjB,QAAQva,QAAQ0jB,cAOlCF,QAAS,WACL,IAAIjU,EAAU,GAMd,OALA6G,EAAKtf,KAAKyjB,QAAQoJ,aAAa,SAASC,GAChC/L,EAAS+L,EAAW5jB,QAAQ6a,OAAQ,CAAC+I,MACrCrU,EAAUA,EAAQrO,OAAO0iB,EAAWC,sBAgEpD,SAA2BtU,GAEvB,GAAImJ,EAAMnJ,EAnHU,QAoHhB,MApHgB,OAuHpB,IAAIuU,EAAUpL,EAAMnJ,EAtHC,SAuHjBwU,EAAUrL,EAAMnJ,EAtHC,SA4HrB,GAAIuU,GAAWC,EACX,MA/HgB,OAmIpB,GAAID,GAAWC,EACX,OAAOD,EAnIU,QACA,QAsIrB,GAAIpL,EAAMnJ,EAzIkB,gBA0IxB,MA1IwB,eA6I5B,MA9IoB,OAsDTyU,CAAkBzU,EAAQ5N,KAAK,OAO1CsiB,gBAAiB,SAAShJ,GACtB,IAAIgD,EAAWhD,EAAMgD,SACjBJ,EAAY5C,EAAM8B,gBAGtB,GAAIjmB,KAAKyjB,QAAQiB,QAAQ0I,UACrBjG,EAASxL,qBADb,CAKA,IAAIlD,EAAUzY,KAAKyY,QACf4U,EAAUzL,EAAMnJ,EAtEJ,UAsEoCyT,GAAkC,KAClFe,EAAUrL,EAAMnJ,EArEH,WAqEoCyT,GArEpC,SAsEbc,EAAUpL,EAAMnJ,EAvEH,WAuEoCyT,GAvEpC,SAyEjB,GAAImB,EAAS,CAGT,IAAIC,EAAyC,IAA1BnJ,EAAME,SAAShjB,OAC9BksB,EAAgBpJ,EAAMmB,SAAW,EACjCkI,EAAiBrJ,EAAMgB,UAAY,IAEvC,GAAImI,GAAgBC,GAAiBC,EACjC,OAIR,IAAIR,IAAWC,EAKf,OAAII,GACCJ,GAj3BcQ,EAi3BH1G,GACXiG,GAj3BYU,GAi3BD3G,EACL/mB,KAAK2tB,WAAWxG,QAH3B,IAWJwG,WAAY,SAASxG,GACjBnnB,KAAKyjB,QAAQiB,QAAQ0I,WAAY,EACjCjG,EAASxL,mBA+FjB,SAASiS,GAAW1kB,GAChBlJ,KAAKkJ,QAAUsO,EAAO,GAAIxX,KAAK6tB,SAAU3kB,GAAW,IAEpDlJ,KAAKqT,GApgCEuP,IAsgCP5iB,KAAKyjB,QAAU,KAGfzjB,KAAKkJ,QAAQ6a,OAAS7C,EAAYlhB,KAAKkJ,QAAQ6a,QAAQ,GAEvD/jB,KAAK8tB,MAxBY,EA0BjB9tB,KAAK+tB,aAAe,GACpB/tB,KAAKguB,YAAc,GAqOvB,SAASC,GAASH,GACd,OA5PkB,GA4PdA,EACO,SA/PG,EAgQHA,EACA,MAlQK,EAmQLA,EACA,OArQG,EAsQHA,EACA,QAEJ,GAQX,SAASI,GAAanH,GAClB,OAnuCiB,IAmuCbA,EACO,OAruCI,GAsuCJA,EACA,KAzuCM,GA0uCNA,EACA,OA1uCO,GA2uCPA,EACA,QAEJ,GASX,SAASoH,GAA6BC,EAAiBtB,GACnD,IAAIrJ,EAAUqJ,EAAWrJ,QACzB,OAAIA,EACOA,EAAQ3jB,IAAIsuB,GAEhBA,EAQX,SAASC,KACLT,GAAW5N,MAAMhgB,KAAMiD,WA6D3B,SAASqrB,KACLD,GAAerO,MAAMhgB,KAAMiD,WAE3BjD,KAAKuuB,GAAK,KACVvuB,KAAKwuB,GAAK,KA4Ed,SAASC,KACLJ,GAAerO,MAAMhgB,KAAMiD,WAsC/B,SAASyrB,KACLd,GAAW5N,MAAMhgB,KAAMiD,WAEvBjD,KAAK2uB,OAAS,KACd3uB,KAAK4uB,OAAS,KAmElB,SAASC,KACLR,GAAerO,MAAMhgB,KAAMiD,WA8B/B,SAAS6rB,KACLT,GAAerO,MAAMhgB,KAAMiD,WA2D/B,SAAS8rB,KACLnB,GAAW5N,MAAMhgB,KAAMiD,WAIvBjD,KAAKgvB,OAAQ,EACbhvB,KAAKivB,SAAU,EAEfjvB,KAAK2uB,OAAS,KACd3uB,KAAK4uB,OAAS,KACd5uB,KAAKuD,MAAQ,EAqGjB,SAAS2rB,GAAOpM,EAAS5Z,GAGrB,OAFAA,EAAUA,GAAW,IACb2jB,YAAc3L,EAAYhY,EAAQ2jB,YAAaqC,GAAOrB,SAASsB,QAChE,IAAIC,GAAQtM,EAAS5Z,GA7tBhC0kB,GAAWnuB,UAAY,CAKnBouB,SAAU,GAOVpB,IAAK,SAASvjB,GAKV,OAJAsO,EAAOxX,KAAKkJ,QAASA,GAGrBlJ,KAAKyjB,SAAWzjB,KAAKyjB,QAAQmJ,YAAYD,SAClC3sB,MAQXqvB,cAAe,SAASjB,GACpB,GAAIhP,EAAegP,EAAiB,gBAAiBpuB,MACjD,OAAOA,KAGX,IAAI+tB,EAAe/tB,KAAK+tB,aAMxB,OAJKA,GADLK,EAAkBD,GAA6BC,EAAiBpuB,OAC9BqT,MAC9B0a,EAAaK,EAAgB/a,IAAM+a,EACnCA,EAAgBiB,cAAcrvB,OAE3BA,MAQXsvB,kBAAmB,SAASlB,GACxB,OAAIhP,EAAegP,EAAiB,oBAAqBpuB,QAIzDouB,EAAkBD,GAA6BC,EAAiBpuB,aACzDA,KAAK+tB,aAAaK,EAAgB/a,KAJ9BrT,MAafuvB,eAAgB,SAASnB,GACrB,GAAIhP,EAAegP,EAAiB,iBAAkBpuB,MAClD,OAAOA,KAGX,IAAIguB,EAAchuB,KAAKguB,YAMvB,OAJ+C,IAA3CjM,EAAQiM,EADZI,EAAkBD,GAA6BC,EAAiBpuB,SAE5DguB,EAAYljB,KAAKsjB,GACjBA,EAAgBmB,eAAevvB,OAE5BA,MAQXwvB,mBAAoB,SAASpB,GACzB,GAAIhP,EAAegP,EAAiB,qBAAsBpuB,MACtD,OAAOA,KAGXouB,EAAkBD,GAA6BC,EAAiBpuB,MAChE,IAAIwF,EAAQuc,EAAQ/hB,KAAKguB,YAAaI,GAItC,OAHI5oB,GAAS,GACTxF,KAAKguB,YAAY1mB,OAAO9B,EAAO,GAE5BxF,MAOXyvB,mBAAoB,WAChB,OAAOzvB,KAAKguB,YAAY3sB,OAAS,GAQrCquB,iBAAkB,SAAStB,GACvB,QAASpuB,KAAK+tB,aAAaK,EAAgB/a,KAQ/CgU,KAAM,SAASlD,GACX,IAAIR,EAAO3jB,KACP8tB,EAAQ9tB,KAAK8tB,MAEjB,SAASzG,EAAKsI,GACVhM,EAAKF,QAAQ4D,KAAKsI,EAAOxL,GAIzB2J,EArJM,GAsJNzG,EAAK1D,EAAKza,QAAQymB,MAAQ1B,GAASH,IAGvCzG,EAAK1D,EAAKza,QAAQymB,OAEdxL,EAAMyL,iBACNvI,EAAKlD,EAAMyL,iBAIX9B,GAhKM,GAiKNzG,EAAK1D,EAAKza,QAAQymB,MAAQ1B,GAASH,KAU3C+B,QAAS,SAAS1L,GACd,GAAInkB,KAAK8vB,UACL,OAAO9vB,KAAKqnB,KAAKlD,GAGrBnkB,KAAK8tB,MA7KM,IAoLfgC,QAAS,WAEL,IADA,IAAI1uB,EAAI,EACDA,EAAIpB,KAAKguB,YAAY3sB,QAAQ,CAChC,KAAkC,GAA5BrB,KAAKguB,YAAY5sB,GAAG0sB,OACtB,OAAO,EAEX1sB,IAEJ,OAAO,GAOXkmB,UAAW,SAASsE,GAGhB,IAAImE,EAAiBvY,EAAO,GAAIoU,GAGhC,IAAK7K,EAAS/gB,KAAKkJ,QAAQ6a,OAAQ,CAAC/jB,KAAM+vB,IAGtC,OAFA/vB,KAAKgwB,aACLhwB,KAAK8tB,MA3ME,IAgNM,GAAb9tB,KAAK8tB,QACL9tB,KAAK8tB,MAvNI,GA0Nb9tB,KAAK8tB,MAAQ9tB,KAAKiwB,QAAQF,GAIT,GAAb/vB,KAAK8tB,OACL9tB,KAAK6vB,QAAQE,IAWrBE,QAAS,SAASrE,KAOlBmB,eAAgB,aAOhBiD,MAAO,cA8DXxP,EAAQ6N,GAAgBT,GAAY,CAKhCC,SAAU,CAKNxJ,SAAU,GASd6L,SAAU,SAAS/L,GACf,IAAIgM,EAAiBnwB,KAAKkJ,QAAQmb,SAClC,OAA0B,IAAnB8L,GAAwBhM,EAAME,SAAShjB,SAAW8uB,GAS7DF,QAAS,SAAS9L,GACd,IAAI2J,EAAQ9tB,KAAK8tB,MACb5J,EAAYC,EAAMD,UAElBkM,EAAuB,EAARtC,EACfuC,EAAUrwB,KAAKkwB,SAAS/L,GAG5B,OAAIiM,IAlzCO,EAkzCUlM,IAA6BmM,GAvVpC,GAwVHvC,EACAsC,GAAgBC,EArzCnB,EAszCAnM,EA5VE,EA6VK4J,EA/VL,EAgWOA,EA/VL,EAkWDA,EAnWD,EAKC,MAiXnBtN,EAAQ8N,GAAeD,GAAgB,CAKnCR,SAAU,CACN8B,MAAO,MACPW,UAAW,GACXjM,SAAU,EACV0C,UA50CYwJ,IA+0ChBxD,eAAgB,WACZ,IAAIhG,EAAY/mB,KAAKkJ,QAAQ6d,UACzBtO,EAAU,GAOd,OA11CmBgV,EAo1Cf1G,GACAtO,EAAQ3N,KA9jBK,SAtxBA4iB,GAs1Cb3G,GACAtO,EAAQ3N,KAlkBK,SAokBV2N,GAGX+X,cAAe,SAASrM,GACpB,IAAIjb,EAAUlJ,KAAKkJ,QACfunB,GAAW,EACXnL,EAAWnB,EAAMmB,SACjByB,EAAY5C,EAAM4C,UAClBnB,EAAIzB,EAAM0B,OACVC,EAAI3B,EAAM4B,OAed,OAZMgB,EAAY7d,EAAQ6d,YAt2CP0G,EAu2CXvkB,EAAQ6d,WACRA,EAAmB,IAANnB,EA92CR,EA82CqCA,EAAI,EA72CzC,EACC,EA62CN6K,EAAW7K,GAAK5lB,KAAKuuB,GACrBjJ,EAAWle,KAAKyX,IAAIsF,EAAM0B,UAE1BkB,EAAmB,IAANjB,EAl3CR,EAk3CqCA,EAAI,EA/2C3C,EACE,GA+2CL2K,EAAW3K,GAAK9lB,KAAKwuB,GACrBlJ,EAAWle,KAAKyX,IAAIsF,EAAM4B,UAGlC5B,EAAM4C,UAAYA,EACX0J,GAAYnL,EAAWpc,EAAQonB,WAAavJ,EAAY7d,EAAQ6d,WAG3EmJ,SAAU,SAAS/L,GACf,OAAOkK,GAAe5uB,UAAUywB,SAASnwB,KAAKC,KAAMmkB,KAva1C,EAwaLnkB,KAAK8tB,SAxaA,EAwa0B9tB,KAAK8tB,QAAwB9tB,KAAKwwB,cAAcrM,KAGxFkD,KAAM,SAASlD,GAEXnkB,KAAKuuB,GAAKpK,EAAM0B,OAChB7lB,KAAKwuB,GAAKrK,EAAM4B,OAEhB,IAAIgB,EAAYmH,GAAa/J,EAAM4C,WAE/BA,IACA5C,EAAMyL,gBAAkB5vB,KAAKkJ,QAAQymB,MAAQ5I,GAEjD/mB,KAAK8gB,OAAOuG,KAAKtnB,KAAKC,KAAMmkB,MAcpC3D,EAAQiO,GAAiBJ,GAAgB,CAKrCR,SAAU,CACN8B,MAAO,QACPW,UAAW,EACXjM,SAAU,GAGd0I,eAAgB,WACZ,MAAO,CAzoBS,SA4oBpBmD,SAAU,SAAS/L,GACf,OAAOnkB,KAAK8gB,OAAOoP,SAASnwB,KAAKC,KAAMmkB,KAClC/c,KAAKyX,IAAIsF,EAAMoC,MAAQ,GAAKvmB,KAAKkJ,QAAQonB,WApdpC,EAodiDtwB,KAAK8tB,QAGpEzG,KAAM,SAASlD,GACX,GAAoB,IAAhBA,EAAMoC,MAAa,CACnB,IAAImK,EAAQvM,EAAMoC,MAAQ,EAAI,KAAO,MACrCpC,EAAMyL,gBAAkB5vB,KAAKkJ,QAAQymB,MAAQe,EAEjD1wB,KAAK8gB,OAAOuG,KAAKtnB,KAAKC,KAAMmkB,MAiBpC3D,EAAQkO,GAAiBd,GAAY,CAKjCC,SAAU,CACN8B,MAAO,QACPtL,SAAU,EACVsM,KAAM,IACNL,UAAW,GAGfvD,eAAgB,WACZ,MAAO,CAtrBS,SAyrBpBkD,QAAS,SAAS9L,GACd,IAAIjb,EAAUlJ,KAAKkJ,QACf0nB,EAAgBzM,EAAME,SAAShjB,SAAW6H,EAAQmb,SAClDwM,EAAgB1M,EAAMmB,SAAWpc,EAAQonB,UACzCQ,EAAY3M,EAAMgB,UAAYjc,EAAQynB,KAM1C,GAJA3wB,KAAK4uB,OAASzK,GAIT0M,IAAkBD,GAAoC,GAAlBzM,EAAMD,YAA2C4M,EACtF9wB,KAAKgwB,aACF,GAn+CG,EAm+CC7L,EAAMD,UACblkB,KAAKgwB,QACLhwB,KAAK2uB,OAAS5P,GAAkB,WAC5B/e,KAAK8tB,MA1gBH,EA2gBF9tB,KAAK6vB,YACN3mB,EAAQynB,KAAM3wB,WACd,GAv+CC,EAu+CGmkB,EAAMD,UACb,OA9gBM,EAghBV,OA7gBW,IAghBf8L,MAAO,WACH7iB,aAAanN,KAAK2uB,SAGtBtH,KAAM,SAASlD,GAvhBD,IAwhBNnkB,KAAK8tB,QAIL3J,GAt/CI,EAs/CMA,EAAMD,UAChBlkB,KAAKyjB,QAAQ4D,KAAKrnB,KAAKkJ,QAAQymB,MAAQ,KAAMxL,IAE7CnkB,KAAK4uB,OAAO1J,UAAYpG,IACxB9e,KAAKyjB,QAAQ4D,KAAKrnB,KAAKkJ,QAAQymB,MAAO3vB,KAAK4uB,aAevDpO,EAAQqO,GAAkBR,GAAgB,CAKtCR,SAAU,CACN8B,MAAO,SACPW,UAAW,EACXjM,SAAU,GAGd0I,eAAgB,WACZ,MAAO,CAvvBS,SA0vBpBmD,SAAU,SAAS/L,GACf,OAAOnkB,KAAK8gB,OAAOoP,SAASnwB,KAAKC,KAAMmkB,KAClC/c,KAAKyX,IAAIsF,EAAMsC,UAAYzmB,KAAKkJ,QAAQonB,WAlkBnC,EAkkBgDtwB,KAAK8tB,UAcvEtN,EAAQsO,GAAiBT,GAAgB,CAKrCR,SAAU,CACN8B,MAAO,QACPW,UAAW,GACX1J,SAAU,GACVG,UAAWwJ,GACXlM,SAAU,GAGd0I,eAAgB,WACZ,OAAOuB,GAAc7uB,UAAUstB,eAAehtB,KAAKC,OAGvDkwB,SAAU,SAAS/L,GACf,IACIyC,EADAG,EAAY/mB,KAAKkJ,QAAQ6d,UAW7B,OARgB,GAAZA,EACAH,EAAWzC,EAAMgC,gBArjDFsH,EAsjDR1G,EACPH,EAAWzC,EAAMkC,iBAtjDJqH,GAujDN3G,IACPH,EAAWzC,EAAMmC,kBAGdtmB,KAAK8gB,OAAOoP,SAASnwB,KAAKC,KAAMmkB,IACnC4C,EAAY5C,EAAM8B,iBAClB9B,EAAMmB,SAAWtlB,KAAKkJ,QAAQonB,WAC9BnM,EAAMwC,aAAe3mB,KAAKkJ,QAAQmb,UAClCxF,EAAI+H,GAAY5mB,KAAKkJ,QAAQ0d,UAzkDzB,EAykDqCzC,EAAMD,WAGvDmD,KAAM,SAASlD,GACX,IAAI4C,EAAYmH,GAAa/J,EAAM8B,iBAC/Bc,GACA/mB,KAAKyjB,QAAQ4D,KAAKrnB,KAAKkJ,QAAQymB,MAAQ5I,EAAW5C,GAGtDnkB,KAAKyjB,QAAQ4D,KAAKrnB,KAAKkJ,QAAQymB,MAAOxL,MA2B9C3D,EAAQuO,GAAenB,GAAY,CAK/BC,SAAU,CACN8B,MAAO,MACPtL,SAAU,EACV0M,KAAM,EACNC,SAAU,IACVL,KAAM,IACNL,UAAW,EACXW,aAAc,IAGlBlE,eAAgB,WACZ,MAAO,CAh2BiB,iBAm2B5BkD,QAAS,SAAS9L,GACd,IAAIjb,EAAUlJ,KAAKkJ,QAEf0nB,EAAgBzM,EAAME,SAAShjB,SAAW6H,EAAQmb,SAClDwM,EAAgB1M,EAAMmB,SAAWpc,EAAQonB,UACzCY,EAAiB/M,EAAMgB,UAAYjc,EAAQynB,KAI/C,GAFA3wB,KAAKgwB,QAzoDK,EA2oDL7L,EAAMD,WAA4C,IAAflkB,KAAKuD,MACzC,OAAOvD,KAAKmxB,cAKhB,GAAIN,GAAiBK,GAAkBN,EAAe,CAClD,GAhpDI,GAgpDAzM,EAAMD,UACN,OAAOlkB,KAAKmxB,cAGhB,IAAIC,GAAgBpxB,KAAKgvB,OAAS7K,EAAMe,UAAYllB,KAAKgvB,MAAQ9lB,EAAQ8nB,SACrEK,GAAiBrxB,KAAKivB,SAAW1J,EAAYvlB,KAAKivB,QAAS9K,EAAMa,QAAU9b,EAAQ+nB,aAgBvF,GAdAjxB,KAAKgvB,MAAQ7K,EAAMe,UACnBllB,KAAKivB,QAAU9K,EAAMa,OAEhBqM,GAAkBD,EAGnBpxB,KAAKuD,OAAS,EAFdvD,KAAKuD,MAAQ,EAKjBvD,KAAK4uB,OAASzK,EAKG,IADFnkB,KAAKuD,MAAQ2F,EAAQ6nB,KAIhC,OAAK/wB,KAAKyvB,sBAGNzvB,KAAK2uB,OAAS5P,GAAkB,WAC5B/e,KAAK8tB,MAltBX,EAmtBM9tB,KAAK6vB,YACN3mB,EAAQ8nB,SAAUhxB,MAttBvB,GAEA,EAytBV,OAttBW,IAytBfmxB,YAAa,WAIT,OAHAnxB,KAAK2uB,OAAS5P,GAAkB,WAC5B/e,KAAK8tB,MA3tBE,KA4tBR9tB,KAAKkJ,QAAQ8nB,SAAUhxB,MA5tBf,IAguBfgwB,MAAO,WACH7iB,aAAanN,KAAK2uB,SAGtBtH,KAAM,WAvuBQ,GAwuBNrnB,KAAK8tB,QACL9tB,KAAK4uB,OAAO0C,SAAWtxB,KAAKuD,MAC5BvD,KAAKyjB,QAAQ4D,KAAKrnB,KAAKkJ,QAAQymB,MAAO3vB,KAAK4uB,YAoBvDM,GAAOqC,QAAU,QAMjBrC,GAAOrB,SAAW,CAOd2D,WAAW,EAQX5E,YAl9BuB,UAw9BvB7I,QAAQ,EASRH,YAAa,KAOb6N,WAAY,KAOZtC,OAAQ,CAEJ,CAACN,GAAkB,CAAC9K,QAAQ,IAC5B,CAAC0K,GAAiB,CAAC1K,QAAQ,GAAQ,CAAC,WACpC,CAAC+K,GAAiB,CAAC/H,UArwDA0G,IAswDnB,CAACa,GAAe,CAACvH,UAtwDE0G,GAswDgC,CAAC,UACpD,CAACsB,IACD,CAACA,GAAe,CAACY,MAAO,YAAaoB,KAAM,GAAI,CAAC,QAChD,CAACrC,KAQLgD,SAAU,CAMNC,WAAY,OAOZC,YAAa,OASbC,aAAc,OAOdC,eAAgB,OAOhBC,SAAU,OAQVC,kBAAmB,kBAa3B,SAAS5C,GAAQtM,EAAS5Z,GAzwD1B,IAA6Bua,EA0wDzBzjB,KAAKkJ,QAAUsO,EAAO,GAAI0X,GAAOrB,SAAU3kB,GAAW,IAEtDlJ,KAAKkJ,QAAQ0a,YAAc5jB,KAAKkJ,QAAQ0a,aAAed,EAEvD9iB,KAAKiyB,SAAW,GAChBjyB,KAAK0kB,QAAU,GACf1kB,KAAK6sB,YAAc,GACnB7sB,KAAKkyB,YAAc,GAEnBlyB,KAAK8iB,QAAUA,EACf9iB,KAAKmkB,MArwDE,KAfkBV,EAoxDQzjB,MAlxDRkJ,QAAQuoB,aAItBrO,EACA8F,GACA7F,EACAkH,GACCpH,EAGD4H,GAFA3C,IAIO3E,EAASQ,GAswD3BjkB,KAAK4sB,YAAc,IAAIJ,GAAYxsB,KAAMA,KAAKkJ,QAAQ0jB,aAEtDuF,GAAenyB,MAAM,GAErBsf,EAAKtf,KAAKkJ,QAAQ2jB,aAAa,SAASuF,GACpC,IAAItF,EAAa9sB,KAAK6J,IAAI,IAAKuoB,EAAK,GAAIA,EAAK,KAC7CA,EAAK,IAAMtF,EAAWuC,cAAc+C,EAAK,IACzCA,EAAK,IAAMtF,EAAWyC,eAAe6C,EAAK,MAC3CpyB,MA4PP,SAASmyB,GAAe1O,EAAS5Z,GAC7B,IAII4Y,EAJAK,EAAUW,EAAQX,QACjBA,EAAQlH,QAIb0D,EAAKmE,EAAQva,QAAQwoB,UAAU,SAASrxB,EAAO8D,GAC3Cse,EAAOH,EAASQ,EAAQlH,MAAOzX,GAC3B0F,GACA4Z,EAAQyO,YAAYzP,GAAQK,EAAQlH,MAAM6G,GAC1CK,EAAQlH,MAAM6G,GAAQpiB,GAEtByiB,EAAQlH,MAAM6G,GAAQgB,EAAQyO,YAAYzP,IAAS,MAGtD5Y,IACD4Z,EAAQyO,YAAc,KAzQ9B9C,GAAQ3vB,UAAY,CAMhBgtB,IAAK,SAASvjB,GAaV,OAZAsO,EAAOxX,KAAKkJ,QAASA,GAGjBA,EAAQ0jB,aACR5sB,KAAK4sB,YAAYD,SAEjBzjB,EAAQ0a,cAER5jB,KAAKmkB,MAAMxT,UACX3Q,KAAKmkB,MAAMjjB,OAASgI,EAAQ0a,YAC5B5jB,KAAKmkB,MAAMH,QAERhkB,MASXqyB,KAAM,SAASC,GACXtyB,KAAK0kB,QAAQ6N,QAAUD,EA5Db,EADP,GAsEPhL,UAAW,SAASsE,GAChB,IAAIlH,EAAU1kB,KAAK0kB,QACnB,IAAIA,EAAQ6N,QAAZ,CAOA,IAAIzF,EAFJ9sB,KAAK4sB,YAAYO,gBAAgBvB,GAGjC,IAAIiB,EAAc7sB,KAAK6sB,YAKnB2F,EAAgB9N,EAAQ8N,gBAIvBA,GAAkBA,GAz8Bb,EAy8B8BA,EAAc1E,SAClD0E,EAAgB9N,EAAQ8N,cAAgB,MAI5C,IADA,IAAIpxB,EAAI,EACDA,EAAIyrB,EAAYxrB,QACnByrB,EAAaD,EAAYzrB,GA9FnB,IAsGFsjB,EAAQ6N,SACHC,GAAiB1F,GAAc0F,IAChC1F,EAAW4C,iBAAiB8C,GAGhC1F,EAAWkD,QAFXlD,EAAWxF,UAAUsE,IAOpB4G,GAAoC,GAAnB1F,EAAWgB,QAC7B0E,EAAgB9N,EAAQ8N,cAAgB1F,GAE5C1rB,MASRtB,IAAK,SAASgtB,GACV,GAAIA,aAAsBc,GACtB,OAAOd,EAIX,IADA,IAAID,EAAc7sB,KAAK6sB,YACdzrB,EAAI,EAAGA,EAAIyrB,EAAYxrB,OAAQD,IACpC,GAAIyrB,EAAYzrB,GAAG8H,QAAQymB,OAAS7C,EAChC,OAAOD,EAAYzrB,GAG3B,OAAO,MASXyI,IAAK,SAASijB,GACV,GAAI1N,EAAe0N,EAAY,MAAO9sB,MAClC,OAAOA,KAIX,IAAIyyB,EAAWzyB,KAAKF,IAAIgtB,EAAW5jB,QAAQymB,OAS3C,OARI8C,GACAzyB,KAAKsM,OAAOmmB,GAGhBzyB,KAAK6sB,YAAY/hB,KAAKgiB,GACtBA,EAAWrJ,QAAUzjB,KAErBA,KAAK4sB,YAAYD,SACVG,GAQXxgB,OAAQ,SAASwgB,GACb,GAAI1N,EAAe0N,EAAY,SAAU9sB,MACrC,OAAOA,KAMX,GAHA8sB,EAAa9sB,KAAKF,IAAIgtB,GAGN,CACZ,IAAID,EAAc7sB,KAAK6sB,YACnBrnB,EAAQuc,EAAQ8K,EAAaC,IAElB,IAAXtnB,IACAqnB,EAAYvlB,OAAO9B,EAAO,GAC1BxF,KAAK4sB,YAAYD,UAIzB,OAAO3sB,MASXmQ,GAAI,SAASuiB,EAAQnR,GACjB,QAp5ER,IAo5EYmR,QAp5EZ,IAu5EYnR,EAAJ,CAIA,IAAI0Q,EAAWjyB,KAAKiyB,SAKpB,OAJA3S,EAAKkC,EAASkR,IAAS,SAAS/C,GAC5BsC,EAAStC,GAASsC,EAAStC,IAAU,GACrCsC,EAAStC,GAAO7kB,KAAKyW,MAElBvhB,OASX0Q,IAAK,SAASgiB,EAAQnR,GAClB,QA16ER,IA06EYmR,EAAJ,CAIA,IAAIT,EAAWjyB,KAAKiyB,SAQpB,OAPA3S,EAAKkC,EAASkR,IAAS,SAAS/C,GACvBpO,EAGD0Q,EAAStC,IAAUsC,EAAStC,GAAOroB,OAAOya,EAAQkQ,EAAStC,GAAQpO,GAAU,UAFtE0Q,EAAStC,MAKjB3vB,OAQXqnB,KAAM,SAASsI,EAAOxtB,GAEdnC,KAAKkJ,QAAQsoB,WAkEzB,SAAyB7B,EAAOxtB,GAC5B,IAAIwwB,EAAetiB,EAASuiB,YAAY,SACxCD,EAAaE,UAAUlD,GAAO,GAAM,GACpCgD,EAAaG,QAAU3wB,EACvBA,EAAKjB,OAAO6xB,cAAcJ,GArElBK,CAAgBrD,EAAOxtB,GAI3B,IAAI8vB,EAAWjyB,KAAKiyB,SAAStC,IAAU3vB,KAAKiyB,SAAStC,GAAO9pB,QAC5D,GAAKosB,GAAaA,EAAS5wB,OAA3B,CAIAc,EAAK4L,KAAO4hB,EACZxtB,EAAKwZ,eAAiB,WAClBxZ,EAAKglB,SAASxL,kBAIlB,IADA,IAAIva,EAAI,EACDA,EAAI6wB,EAAS5wB,QAChB4wB,EAAS7wB,GAAGe,GACZf,MAQRuP,QAAS,WACL3Q,KAAK8iB,SAAWqP,GAAenyB,MAAM,GAErCA,KAAKiyB,SAAW,GAChBjyB,KAAK0kB,QAAU,GACf1kB,KAAKmkB,MAAMxT,UACX3Q,KAAK8iB,QAAU,OAyCvBtL,EAAO0X,GAAQ,CACX+D,YAtoEc,EAuoEdC,WAtoEa,EAuoEbC,UAtoEY,EAuoEZC,aAtoEe,EAwoEfC,eAlrCiB,EAmrCjBC,YAlrCc,EAmrCdC,cAlrCgB,EAmrChBC,YAlrCc,EAmrCdC,iBAnrCc,EAorCdC,gBAlrCkB,GAmrClBC,aAlrCe,GAorCfC,eA9oEiB,EA+oEjBnG,eA9oEiB,EA+oEjBoG,gBA9oEkB,EA+oElBnG,aA9oEe,EA+oEfoG,eA9oEiB,GA+oEjBvD,qBA7oEuB9C,EA8oEvBsG,mBA7oEqBrG,GA8oErBsG,cA7oEgBzD,GA+oEhBnB,QAASA,GACT5L,MAAOA,EACPgJ,YAAaA,GAEbjC,WAAYA,GACZnC,WAAYA,EACZc,kBAAmBA,GACnB6B,gBAAiBA,GACjBf,iBAAkBA,GAElB4D,WAAYA,GACZS,eAAgBA,GAChB4F,IAAKlF,GACLmF,IAAK5F,GACL6F,MAAOrF,GACPsF,MAAO3F,GACP4F,OAAQxF,GACRyF,MAAO5F,GAEPve,GAAIkR,EACJ3Q,IAAK+Q,EACLnC,KAAMA,EACNiB,MAAOA,EACPH,OAAQA,EACR5I,OAAQA,EACRgJ,QAASA,EACTrB,OAAQA,EACRmD,SAAUA,UAKsB,IAAXna,EAAyBA,EAA0B,oBAATwb,KAAuBA,KAAO,IACtFuL,OAASA,QAjkFpB,KAokFI,aACI,OAAOA,IACV,8BAtkFL,CA6kFG/mB,OAAQkI","file":"vendors~files-modal.js?v=3520de68cd23fc6b8a5b","sourcesContent":["var DESCRIPTORS = require('../internals/descriptors');\nvar defineProperty = require('../internals/object-define-property').f;\n\nvar FunctionPrototype = Function.prototype;\nvar FunctionPrototypeToString = FunctionPrototype.toString;\nvar nameRE = /^\\s*function ([^ (]*)/;\nvar NAME = 'name';\n\n// Function instances `.name` property\n// https://tc39.github.io/ecma262/#sec-function-instances-name\nif (DESCRIPTORS && !(NAME in FunctionPrototype)) {\n defineProperty(FunctionPrototype, NAME, {\n configurable: true,\n get: function () {\n try {\n return FunctionPrototypeToString.call(this).match(nameRE)[1];\n } catch (error) {\n return '';\n }\n }\n });\n}\n","\"use strict\";\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.regexp.to-string\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getGettextBuilder = getGettextBuilder;\n\nvar _nodeGettext = _interopRequireDefault(require(\"node-gettext\"));\n\nvar _ = require(\".\");\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nvar GettextBuilder = /*#__PURE__*/function () {\n function GettextBuilder() {\n _classCallCheck(this, GettextBuilder);\n\n this.translations = {};\n this.debug = false;\n }\n\n _createClass(GettextBuilder, [{\n key: \"setLanguage\",\n value: function setLanguage(language) {\n this.locale = language;\n return this;\n }\n }, {\n key: \"detectLocale\",\n value: function detectLocale() {\n return this.setLanguage((0, _.getLanguage)());\n }\n }, {\n key: \"addTranslation\",\n value: function addTranslation(language, data) {\n this.translations[language] = data;\n return this;\n }\n }, {\n key: \"enableDebugMode\",\n value: function enableDebugMode() {\n this.debug = true;\n return this;\n }\n }, {\n key: \"build\",\n value: function build() {\n return new GettextWrapper(this.locale || 'en', this.translations, this.debug);\n }\n }]);\n\n return GettextBuilder;\n}();\n\nvar GettextWrapper = /*#__PURE__*/function () {\n function GettextWrapper(locale, data, debug) {\n _classCallCheck(this, GettextWrapper);\n\n this.gt = new _nodeGettext.default({\n debug: debug,\n sourceLocale: 'en'\n });\n\n for (var key in data) {\n this.gt.addTranslations(key, 'messages', data[key]);\n }\n\n this.gt.setLocale(locale);\n }\n\n _createClass(GettextWrapper, [{\n key: \"subtitudePlaceholders\",\n value: function subtitudePlaceholders(translated, vars) {\n return translated.replace(/{([^{}]*)}/g, function (a, b) {\n var r = vars[b];\n\n if (typeof r === 'string' || typeof r === 'number') {\n return r.toString();\n } else {\n return a;\n }\n });\n }\n }, {\n key: \"gettext\",\n value: function gettext(original) {\n var placeholders = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n return this.subtitudePlaceholders(this.gt.gettext(original), placeholders);\n }\n }, {\n key: \"ngettext\",\n value: function ngettext(singular, plural, count) {\n var placeholders = arguments.length > 3 && arguments[3] !== undefined ? arguments[3] : {};\n return this.subtitudePlaceholders(this.gt.ngettext(singular, plural, count).replace(/%n/g, count.toString()), placeholders);\n }\n }]);\n\n return GettextWrapper;\n}();\n\nfunction getGettextBuilder() {\n return new GettextBuilder();\n}\n//# sourceMappingURL=gettext.js.map","'use strict';\nvar $ = require('../internals/export');\nvar $trim = require('../internals/string-trim').trim;\nvar forcedStringTrimMethod = require('../internals/string-trim-forced');\n\n// `String.prototype.trim` method\n// https://tc39.github.io/ecma262/#sec-string.prototype.trim\n$({ target: 'String', proto: true, forced: forcedStringTrimMethod('trim') }, {\n trim: function trim() {\n return $trim(this);\n }\n});\n","var fails = require('../internals/fails');\nvar whitespaces = require('../internals/whitespaces');\n\nvar non = '\\u200B\\u0085\\u180E';\n\n// check that a method works with the correct list\n// of whitespaces and has a correct name\nmodule.exports = function (METHOD_NAME) {\n return fails(function () {\n return !!whitespaces[METHOD_NAME]() || non[METHOD_NAME]() != non || whitespaces[METHOD_NAME].name !== METHOD_NAME;\n });\n};\n","'use strict';\nvar DESCRIPTORS = require('../internals/descriptors');\nvar global = require('../internals/global');\nvar isForced = require('../internals/is-forced');\nvar redefine = require('../internals/redefine');\nvar has = require('../internals/has');\nvar classof = require('../internals/classof-raw');\nvar inheritIfRequired = require('../internals/inherit-if-required');\nvar toPrimitive = require('../internals/to-primitive');\nvar fails = require('../internals/fails');\nvar create = require('../internals/object-create');\nvar getOwnPropertyNames = require('../internals/object-get-own-property-names').f;\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar defineProperty = require('../internals/object-define-property').f;\nvar trim = require('../internals/string-trim').trim;\n\nvar NUMBER = 'Number';\nvar NativeNumber = global[NUMBER];\nvar NumberPrototype = NativeNumber.prototype;\n\n// Opera ~12 has broken Object#toString\nvar BROKEN_CLASSOF = classof(create(NumberPrototype)) == NUMBER;\n\n// `ToNumber` abstract operation\n// https://tc39.github.io/ecma262/#sec-tonumber\nvar toNumber = function (argument) {\n var it = toPrimitive(argument, false);\n var first, third, radix, maxCode, digits, length, index, code;\n if (typeof it == 'string' && it.length > 2) {\n it = trim(it);\n first = it.charCodeAt(0);\n if (first === 43 || first === 45) {\n third = it.charCodeAt(2);\n if (third === 88 || third === 120) return NaN; // Number('+0x1') should be NaN, old V8 fix\n } else if (first === 48) {\n switch (it.charCodeAt(1)) {\n case 66: case 98: radix = 2; maxCode = 49; break; // fast equal of /^0b[01]+$/i\n case 79: case 111: radix = 8; maxCode = 55; break; // fast equal of /^0o[0-7]+$/i\n default: return +it;\n }\n digits = it.slice(2);\n length = digits.length;\n for (index = 0; index < length; index++) {\n code = digits.charCodeAt(index);\n // parseInt parses a string to a first unavailable symbol\n // but ToNumber should return NaN if a string contains unavailable symbols\n if (code < 48 || code > maxCode) return NaN;\n } return parseInt(digits, radix);\n }\n } return +it;\n};\n\n// `Number` constructor\n// https://tc39.github.io/ecma262/#sec-number-constructor\nif (isForced(NUMBER, !NativeNumber(' 0o1') || !NativeNumber('0b1') || NativeNumber('+0x1'))) {\n var NumberWrapper = function Number(value) {\n var it = arguments.length < 1 ? 0 : value;\n var dummy = this;\n return dummy instanceof NumberWrapper\n // check on 1..constructor(foo) case\n && (BROKEN_CLASSOF ? fails(function () { NumberPrototype.valueOf.call(dummy); }) : classof(dummy) != NUMBER)\n ? inheritIfRequired(new NativeNumber(toNumber(it)), dummy, NumberWrapper) : toNumber(it);\n };\n for (var keys = DESCRIPTORS ? getOwnPropertyNames(NativeNumber) : (\n // ES3:\n 'MAX_VALUE,MIN_VALUE,NaN,NEGATIVE_INFINITY,POSITIVE_INFINITY,' +\n // ES2015 (in case, if modules with ES2015 Number statics required before):\n 'EPSILON,isFinite,isInteger,isNaN,isSafeInteger,MAX_SAFE_INTEGER,' +\n 'MIN_SAFE_INTEGER,parseFloat,parseInt,isInteger'\n ).split(','), j = 0, key; keys.length > j; j++) {\n if (has(NativeNumber, key = keys[j]) && !has(NumberWrapper, key)) {\n defineProperty(NumberWrapper, key, getOwnPropertyDescriptor(NativeNumber, key));\n }\n }\n NumberWrapper.prototype = NumberPrototype;\n NumberPrototype.constructor = NumberWrapper;\n redefine(global, NUMBER, NumberWrapper);\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar toAbsoluteIndex = require('../internals/to-absolute-index');\nvar toInteger = require('../internals/to-integer');\nvar toLength = require('../internals/to-length');\nvar toObject = require('../internals/to-object');\nvar arraySpeciesCreate = require('../internals/array-species-create');\nvar createProperty = require('../internals/create-property');\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('splice');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('splice', { ACCESSORS: true, 0: 0, 1: 2 });\n\nvar max = Math.max;\nvar min = Math.min;\nvar MAX_SAFE_INTEGER = 0x1FFFFFFFFFFFFF;\nvar MAXIMUM_ALLOWED_LENGTH_EXCEEDED = 'Maximum allowed length exceeded';\n\n// `Array.prototype.splice` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.splice\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n splice: function splice(start, deleteCount /* , ...items */) {\n var O = toObject(this);\n var len = toLength(O.length);\n var actualStart = toAbsoluteIndex(start, len);\n var argumentsLength = arguments.length;\n var insertCount, actualDeleteCount, A, k, from, to;\n if (argumentsLength === 0) {\n insertCount = actualDeleteCount = 0;\n } else if (argumentsLength === 1) {\n insertCount = 0;\n actualDeleteCount = len - actualStart;\n } else {\n insertCount = argumentsLength - 2;\n actualDeleteCount = min(max(toInteger(deleteCount), 0), len - actualStart);\n }\n if (len + insertCount - actualDeleteCount > MAX_SAFE_INTEGER) {\n throw TypeError(MAXIMUM_ALLOWED_LENGTH_EXCEEDED);\n }\n A = arraySpeciesCreate(O, actualDeleteCount);\n for (k = 0; k < actualDeleteCount; k++) {\n from = actualStart + k;\n if (from in O) createProperty(A, k, O[from]);\n }\n A.length = actualDeleteCount;\n if (insertCount < actualDeleteCount) {\n for (k = actualStart; k < len - actualDeleteCount; k++) {\n from = k + actualDeleteCount;\n to = k + insertCount;\n if (from in O) O[to] = O[from];\n else delete O[to];\n }\n for (k = len; k > len - actualDeleteCount + insertCount; k--) delete O[k - 1];\n } else if (insertCount > actualDeleteCount) {\n for (k = len - actualDeleteCount; k > actualStart; k--) {\n from = k + actualDeleteCount - 1;\n to = k + insertCount - 1;\n if (from in O) O[to] = O[from];\n else delete O[to];\n }\n }\n for (k = 0; k < insertCount; k++) {\n O[k + actualStart] = arguments[k + 2];\n }\n O.length = len - actualDeleteCount + insertCount;\n return A;\n }\n});\n","!function(e,t){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=t():\"function\"==typeof define&&define.amd?define(\"Components/Modal\",[],t):\"object\"==typeof exports?exports[\"Components/Modal\"]=t():(e.NextcloudVue=e.NextcloudVue||{},e.NextcloudVue[\"Components/Modal\"]=t())}(window,(function(){return function(e){var t={};function s(n){if(t[n])return t[n].exports;var o=t[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=e,s.c=t,s.d=function(e,t,n){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},s.r=function(e){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(e,\"__esModule\",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&\"object\"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(s.r(n),Object.defineProperty(n,\"default\",{enumerable:!0,value:e}),2&t&&\"string\"!=typeof e)for(var o in e)s.d(n,o,function(t){return e[t]}.bind(null,o));return n},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,\"a\",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p=\"/dist/\",s(s.s=182)}({0:function(e,t,s){\"use strict\";function n(e,t,s,n,o,A,a,r){var i,c=\"function\"==typeof e?e.options:e;if(t&&(c.render=t,c.staticRenderFns=s,c._compiled=!0),n&&(c.functional=!0),A&&(c._scopeId=\"data-v-\"+A),a?(i=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(a)},c._ssrRegister=i):o&&(i=r?function(){o.call(this,this.$root.$options.shadowRoot)}:o),i)if(c.functional){c._injectStyles=i;var l=c.render;c.render=function(e,t){return i.call(t),l(e,t)}}else{var m=c.beforeCreate;c.beforeCreate=m?[].concat(m,i):[i]}return{exports:e,options:c}}s.d(t,\"a\",(function(){return n}))},1:function(e,t,s){\"use strict\";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s=e[1]||\"\",n=e[3];if(!n)return s;if(t&&\"function\"==typeof btoa){var o=(a=n,r=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),i=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(r),\"/*# \".concat(i,\" */\")),A=n.sources.map((function(e){return\"/*# sourceURL=\".concat(n.sourceRoot||\"\").concat(e,\" */\")}));return[s].concat(A).concat([o]).join(\"\\n\")}var a,r,i;return[s].join(\"\\n\")}(t,e);return t[2]?\"@media \".concat(t[2],\" {\").concat(s,\"}\"):s})).join(\"\")},t.i=function(e,s,n){\"string\"==typeof e&&(e=[[null,e,\"\"]]);var o={};if(n)for(var A=0;A<this.length;A++){var a=this[A][0];null!=a&&(o[a]=!0)}for(var r=0;r<e.length;r++){var i=[].concat(e[r]);n&&o[i[0]]||(s&&(i[2]?i[2]=\"\".concat(s,\" and \").concat(i[2]):i[2]=s),t.push(i))}},t}},10:function(e,t,s){\"use strict\";s.r(t),t.default=\"data:font/ttf;base64,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\"},11:function(e,t,s){\"use strict\";s.r(t),t.default=\"data:image/svg+xml;base64,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\"},12:function(e,t){e.exports=require(\"core-js/modules/es.function.name\")},122:function(e,t,s){var n=s(255);\"string\"==typeof n&&(n=[[e.i,n,\"\"]]),n.locals&&(e.exports=n.locals);(0,s(2).default)(\"557f6287\",n,!0,{})},13:function(e,t,s){\"use strict\";s.r(t);var n=s(4);s(42);\n/**\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nn.VTooltip.options.defaultTemplate='<div class=\"vue-tooltip\" role=\"tooltip\" data-v-'.concat(\"6f41c0d\",'><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>'),n.VTooltip.options.defaultHtml=!1,t.default=n.VTooltip},139:function(e,t,s){\"use strict\";var n=s(48);s.n(n).a},14:function(e,t){e.exports=require(\"core-js/modules/es.array.index-of\")},140:function(e,t,s){(t=s(1)(!1)).push([e.i,\"li.active[data-v-33ba3302]{box-shadow:inset 4px 0 var(--color-primary)}.action--disabled[data-v-33ba3302]{pointer-events:none;opacity:.5}.action--disabled[data-v-33ba3302]:hover,.action--disabled[data-v-33ba3302]:focus{cursor:default;opacity:.5}.action--disabled *[data-v-33ba3302]{opacity:1 !important}.action-button[data-v-33ba3302]{display:flex;align-items:flex-start;width:100%;height:auto;margin:0;padding:0;padding-right:14px;cursor:pointer;white-space:nowrap;opacity:.7;color:var(--color-main-text);border:0;border-radius:0;background-color:transparent;box-shadow:none;font-weight:normal;line-height:44px}.action-button[data-v-33ba3302]:hover,.action-button[data-v-33ba3302]:focus{opacity:1}.action-button>span[data-v-33ba3302]{cursor:pointer;white-space:nowrap}.action-button__icon[data-v-33ba3302]{width:44px;height:44px;opacity:1;background-position:14px center;background-size:16px}.action-button p[data-v-33ba3302]{width:150px;padding:7px 0;margin:auto;cursor:pointer;text-align:left;line-height:1.6em}.action-button__longtext[data-v-33ba3302]{cursor:pointer;white-space:pre-wrap}.action-button__title[data-v-33ba3302]{font-weight:bold}\\n\",\"\"]),e.exports=t},15:function(e,t){e.exports=require(\"core-js/modules/es.array.map\")},155:function(e,t){},16:function(e,t){e.exports=require(\"v-click-outside\")},17:function(e,t,s){\"use strict\";s(12),s(28);var n=s(3),o=s.n(n);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.a={before:function(){this.$slots.default&&\"\"!==this.text.trim()||(o.a.util.warn(\"\".concat(this.$options.name,\" cannot be empty and requires a meaningful text content\"),this),this.$destroy(),this.$el.remove())},beforeUpdate:function(){this.text=this.getText()},data:function(){return{text:this.getText()}},computed:{isLongText:function(){return this.text&&this.text.trim().length>20}},methods:{getText:function(){return this.$slots.default?this.$slots.default[0].text.trim():\"\"}}}},18:function(e,t,s){\"use strict\";s(5),s(22),s(26),s(33);t.a=function(e){return Math.random().toString(36).replace(/[^a-z]+/g,\"\").substr(0,e||5)}},182:function(e,t,s){\"use strict\";s.r(t);var n=s(47),o=(s(14),s(30),s(94)),A=s.n(o),a=s(38),r=s(98),i=s(32),c=s(6),l=s(13);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nfunction m(e,t){var s,n,o,A=t;this.start=function(){o=!0,n=new Date,s=setTimeout(e,A)},this.pause=function(){o=!1,clearTimeout(s),A-=new Date-n},this.clear=function(){o=!1,clearTimeout(s),A=0},this.getTimeLeft=function(){return o&&(this.pause(),this.start()),A},this.getStateRunning=function(){return o},this.start()}var g={name:\"Modal\",components:{Actions:a.default,ActionButton:r.default},directives:{tooltip:l.default},mixins:[i.a],props:{title:{type:String,default:\"\"},hasPrevious:{type:Boolean,default:!1},hasNext:{type:Boolean,default:!1},outTransition:{type:Boolean,default:!1},enableSlideshow:{type:Boolean,default:!1},clearViewDelay:{type:Number,default:5e3},slideshowDelay:{type:Number,default:3e3},slideshowPaused:{type:Boolean,default:!1},enableSwipe:{type:Boolean,default:!0},spreadNavigation:{type:Boolean,default:!1},size:{type:String,default:\"normal\",validator:function(e){return-1!==[\"normal\",\"large\",\"full\"].indexOf(e)}},canClose:{type:Boolean,default:!0},dark:{type:Boolean,default:!1}},data:function(){return{mc:null,showModal:!1,clearView:!1,clearViewTimeout:null,playing:!1,slideshowTimeout:null}},computed:{modalTransitionName:function(){return\"modal-\".concat(this.outTransition?\"out\":\"in\")},playPauseTitle:function(){return this.playing?Object(c.b)(\"Pause slideshow\"):Object(c.b)(\"Start slideshow\")}},watch:{slideshowPaused:function(e){this.slideshowTimeout&&(e?this.slideshowTimeout.pause():this.slideshowTimeout.start())}},beforeMount:function(){window.addEventListener(\"keydown\",this.handleKeydown)},beforeDestroy:function(){window.removeEventListener(\"keydown\",this.handleKeydown)},mounted:function(){var e=this;this.showModal=!0,this.handleMouseMove(),this.mc=new A.a(this.$refs.mask),this.mc.on(\"swipeleft swiperight\",(function(t){e.handleSwipe(t)})),document.body.insertBefore(this.$el,document.body.lastChild)},unmounted:function(){this.mc.off(\"swipeleft swiperight\"),this.mc.destroy()},methods:{previous:function(e){this.hasPrevious&&(e&&this.resetSlideshow(),this.$emit(\"previous\",e))},next:function(e){this.hasNext&&(e&&this.resetSlideshow(),this.$emit(\"next\",e))},close:function(e){var t=this;this.canClose&&(this.showModal=!1,setTimeout((function(){t.$emit(\"close\",e)}),300))},handleKeydown:function(e){switch(e.keyCode){case 37:this.previous(e);break;case 13:case 39:this.next(e);break;case 27:this.close(e)}},handleSwipe:function(e){this.enableSwipe&&(\"swipeleft\"===e.type?this.next(e):\"swiperight\"===e.type&&this.previous(e))},handleMouseMove:function(){var e=this;this.clearViewDelay>0&&(this.clearView=!1,clearTimeout(this.clearViewTimeout),this.clearViewTimeout=setTimeout((function(){e.clearView=!0}),this.clearViewDelay))},togglePlayPause:function(){this.playing=!this.playing,this.playing?this.handleSlideshow():this.clearSlideshowTimeout()},resetSlideshow:function(){this.playing=!this.playing,this.clearSlideshowTimeout(),this.$nextTick((function(){this.togglePlayPause()}))},handleSlideshow:function(){var e=this;this.playing=!0,this.hasNext?this.slideshowTimeout=new m((function(){e.next(),e.handleSlideshow()}),this.slideshowDelay):(this.playing=!1,this.clearSlideshowTimeout())},clearSlideshowTimeout:function(){this.slideshowTimeout&&this.slideshowTimeout.clear()}}},u=(s(254),s(0)),d=s(155),p=s.n(d),f=Object(u.a)(g,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s(\"transition\",{attrs:{name:\"fade\"}},[s(\"div\",{ref:\"mask\",staticClass:\"modal-mask\",class:{\"modal-mask--dark\":e.dark},on:{click:e.handleMouseMove,mousemove:e.handleMouseMove,touchmove:e.handleMouseMove}},[s(\"transition\",{attrs:{name:\"fade-visibility\"}},[s(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:!e.clearView,expression:\"!clearView\"}],staticClass:\"modal-header\",class:{invisible:e.clearView}},[\"\"!==e.title.trim()?s(\"div\",{staticClass:\"modal-title\"},[e._v(\"\\n\\t\\t\\t\\t\\t\"+e._s(e.title)+\"\\n\\t\\t\\t\\t\")]):e._e(),e._v(\" \"),s(\"div\",{staticClass:\"icons-menu\"},[e.hasNext&&e.enableSlideshow?s(\"button\",{directives:[{name:\"tooltip\",rawName:\"v-tooltip.auto\",value:e.playPauseTitle,expression:\"playPauseTitle\",modifiers:{auto:!0}}],staticClass:\"play-pause\",class:{\"play-pause--paused\":e.slideshowPaused},on:{click:e.togglePlayPause}},[s(\"div\",{class:[e.playing?\"icon-pause\":\"icon-play\"]},[s(\"span\",{staticClass:\"hidden-visually\"},[e._v(\"\\n\\t\\t\\t\\t\\t\\t\\t\\t\"+e._s(e.playPauseTitle)+\"\\n\\t\\t\\t\\t\\t\\t\\t\")])]),e._v(\" \"),e.playing?s(\"svg\",{staticClass:\"progress-ring\",attrs:{height:\"50\",width:\"50\"}},[s(\"circle\",{staticClass:\"progress-ring__circle\",attrs:{stroke:\"white\",\"stroke-width\":\"2\",fill:\"transparent\",r:\"15\",cx:\"25\",cy:\"25\"}})]):e._e()]):e._e(),e._v(\" \"),s(\"Actions\",{staticClass:\"header-actions\"},[e._t(\"actions\")],2),e._v(\" \"),e.canClose?s(\"Actions\",{staticClass:\"header-close\"},[s(\"ActionButton\",{attrs:{icon:\"icon-close\"},on:{click:e.close}},[e._v(\"\\n\\t\\t\\t\\t\\t\\t\\t\"+e._s(e.t(\"Close\"))+\"\\n\\t\\t\\t\\t\\t\\t\")])],1):e._e()],1)])]),e._v(\" \"),s(\"transition\",{attrs:{name:e.modalTransitionName}},[s(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:e.showModal,expression:\"showModal\"}],staticClass:\"modal-wrapper\",class:[\"modal-wrapper--\"+e.size,e.spreadNavigation?\"modal-wrapper--spread-navigation\":\"\"],on:{click:function(t){return t.target!==t.currentTarget?null:e.close(t)}}},[s(\"transition\",{attrs:{name:\"fade-visibility\"}},[s(\"a\",{directives:[{name:\"show\",rawName:\"v-show\",value:e.hasPrevious&&!e.clearView,expression:\"hasPrevious && !clearView\"}],staticClass:\"prev\",class:{invisible:e.clearView||!e.hasPrevious},on:{click:e.previous}},[s(\"div\",{staticClass:\"icon icon-previous\"},[s(\"span\",{staticClass:\"hidden-visually\"},[e._v(\"\\n\\t\\t\\t\\t\\t\\t\\t\\t\"+e._s(e.t(\"Previous\"))+\"\\n\\t\\t\\t\\t\\t\\t\\t\")])])])]),e._v(\" \"),s(\"div\",{staticClass:\"modal-container\"},[e._t(\"default\")],2),e._v(\" \"),s(\"transition\",{attrs:{name:\"fade-visibility\"}},[s(\"a\",{directives:[{name:\"show\",rawName:\"v-show\",value:e.hasNext&&!e.clearView,expression:\"hasNext && !clearView\"}],staticClass:\"next\",class:{invisible:e.clearView||!e.hasNext},on:{click:e.next}},[s(\"div\",{staticClass:\"icon icon-next\"},[s(\"span\",{staticClass:\"hidden-visually\"},[e._v(\"\\n\\t\\t\\t\\t\\t\\t\\t\\t\"+e._s(e.t(\"Next\"))+\"\\n\\t\\t\\t\\t\\t\\t\\t\")])])])])],1)])],1)])}),[],!1,null,\"7607651d\",null);\"function\"==typeof p.a&&p()(f);var v=f.exports;\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */Object(n.a)(v);t.default=v},19:function(e,t){e.exports=require(\"core-js/modules/es.array.iterator\")},2:function(e,t,s){\"use strict\";function n(e,t){for(var s=[],n={},o=0;o<t.length;o++){var A=t[o],a=A[0],r={id:e+\":\"+o,css:A[1],media:A[2],sourceMap:A[3]};n[a]?n[a].parts.push(r):s.push(n[a]={id:a,parts:[r]})}return s}s.r(t),s.d(t,\"default\",(function(){return u}));var o=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!o)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var A={},a=o&&(document.head||document.getElementsByTagName(\"head\")[0]),r=null,i=0,c=!1,l=function(){},m=null,g=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function u(e,t,s,o){c=s,m=o||{};var a=n(e,t);return d(a),function(t){for(var s=[],o=0;o<a.length;o++){var r=a[o];(i=A[r.id]).refs--,s.push(i)}t?d(a=n(e,t)):a=[];for(o=0;o<s.length;o++){var i;if(0===(i=s[o]).refs){for(var c=0;c<i.parts.length;c++)i.parts[c]();delete A[i.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],n=A[s.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](s.parts[o]);for(;o<s.parts.length;o++)n.parts.push(f(s.parts[o]));n.parts.length>s.parts.length&&(n.parts.length=s.parts.length)}else{var a=[];for(o=0;o<s.parts.length;o++)a.push(f(s.parts[o]));A[s.id]={id:s.id,refs:1,parts:a}}}}function p(){var e=document.createElement(\"style\");return e.type=\"text/css\",a.appendChild(e),e}function f(e){var t,s,n=document.querySelector('style[data-vue-ssr-id~=\"'+e.id+'\"]');if(n){if(c)return l;n.parentNode.removeChild(n)}if(g){var o=i++;n=r||(r=p()),t=h.bind(null,n,o,!1),s=h.bind(null,n,o,!0)}else n=p(),t=T.bind(null,n),s=function(){n.parentNode.removeChild(n)};return t(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;t(e=n)}else s()}}var v,M=(v=[],function(e,t){return v[e]=t,v.filter(Boolean).join(\"\\n\")});function h(e,t,s,n){var o=s?\"\":n.css;if(e.styleSheet)e.styleSheet.cssText=M(t,o);else{var A=document.createTextNode(o),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(A,a[t]):e.appendChild(A)}}function T(e,t){var s=t.css,n=t.media,o=t.sourceMap;if(n&&e.setAttribute(\"media\",n),m.ssrId&&e.setAttribute(\"data-vue-ssr-id\",t.id),o&&(s+=\"\\n/*# sourceURL=\"+o.sources[0]+\" */\",s+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+\" */\"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},20:function(e,t){e.exports=require(\"core-js/modules/es.string.iterator\")},21:function(e,t){e.exports=require(\"core-js/modules/web.dom-collections.iterator\")},22:function(e,t){e.exports=require(\"core-js/modules/es.regexp.exec\")},23:function(e,t){e.exports=require(\"core-js/modules/web.url\")},24:function(e,t){e.exports=require(\"core-js/modules/es.array.concat\")},25:function(e,t,s){\"use strict\";s(19),s(5),s(20),s(21),s(23);var n=s(17),o=(s(12),function(e,t){for(var s=e.$parent;s;){if(s.$options.name===t)return s;s=s.$parent}});t.a={mixins:[n.a],props:{icon:{type:String,default:\"\"},title:{type:String,default:\"\"},closeAfterClick:{type:Boolean,default:!1},ariaLabel:{type:String,default:\"\"}},computed:{isIconUrl:function(){try{return new URL(this.icon)}catch(e){return!1}}},methods:{onClick:function(e){if(this.$emit(\"click\",e),this.closeAfterClick){var t=o(this,\"Actions\");t&&t.closeMenu&&t.closeMenu()}}}}},254:function(e,t,s){\"use strict\";var n=s(122);s.n(n).a},255:function(e,t,s){var n=s(1),o=s(7),A=s(8),a=s(9),r=s(10),i=s(11);t=n(!1);var c=o(A),l=o(a),m=o(r),g=o(i);t.push([e.i,'@font-face{font-family:\"iconfont-vue-6f41c0d\";src:url('+c+\");src:url(\"+c+') format(\"embedded-opentype\"),url('+l+') format(\"woff\"),url('+m+') format(\"truetype\"),url('+g+') format(\"svg\")}.icon[data-v-7607651d]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-left[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-right-double[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-right[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.breadcrumb[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.checkmark[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.close[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.confirm[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.info[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.menu[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.more[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.pause[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.play[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.triangle-s[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.modal-mask[data-v-7607651d]{position:fixed;z-index:9998;top:0;left:0;display:block;width:100%;height:100%;background-color:rgba(0,0,0,0.5)}.modal-mask--dark[data-v-7607651d]{background-color:rgba(0,0,0,0.92)}.modal-header[data-v-7607651d]{position:absolute;z-index:10001;top:0;right:0;left:0;display:flex !important;align-items:center;justify-content:center;width:100%;height:50px;transition:opacity 250ms, visibility 250ms}.modal-header.invisible[style*=\\'display:none\\'][data-v-7607651d],.modal-header.invisible[style*=\\'display: none\\'][data-v-7607651d]{visibility:hidden}.modal-header .modal-title[data-v-7607651d]{overflow-x:hidden;box-sizing:border-box;width:100%;padding:0 132px 0 12px;transition:padding ease 100ms;white-space:nowrap;text-overflow:ellipsis;color:#fff;font-size:14px}@media only screen and (min-width: 512px){.modal-header .modal-title[data-v-7607651d]{text-align:center;padding-left:132px}}.modal-header .icons-menu[data-v-7607651d]{position:absolute;right:0;display:flex;align-items:center;justify-content:flex-end}.modal-header .icons-menu .icon-close[data-v-7607651d]{box-sizing:border-box;margin:3px;padding:10px 11px;color:#fff;background-image:none;font-size:23px}.modal-header .icons-menu .icon-close[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";font-style:normal;font-weight:400;content:\"\"}.modal-header .icons-menu .play-pause[data-v-7607651d]{position:relative;width:50px;height:50px;margin:0;padding:0;cursor:pointer;color:white;border:none;background-color:transparent;font-size:22px}.modal-header .icons-menu .play-pause:hover .icon-play[data-v-7607651d],.modal-header .icons-menu .play-pause:hover .icon-pause[data-v-7607651d],.modal-header .icons-menu .play-pause:focus .icon-play[data-v-7607651d],.modal-header .icons-menu .play-pause:focus .icon-pause[data-v-7607651d]{opacity:1;border-radius:22px;background-color:rgba(127,127,127,0.25)}.modal-header .icons-menu .play-pause .icon-play[data-v-7607651d],.modal-header .icons-menu .play-pause .icon-pause[data-v-7607651d]{box-sizing:border-box;width:44px;height:44px;margin:3px;opacity:.7;background-image:none;cursor:pointer}.modal-header .icons-menu .play-pause .icon-play[data-v-7607651d]{padding:11px 13px}.modal-header .icons-menu .play-pause .icon-play[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";font-style:normal;font-weight:400;content:\"\"}.modal-header .icons-menu .play-pause .icon-pause[data-v-7607651d]{padding:12px;font-size:19.5px}.modal-header .icons-menu .play-pause .icon-pause[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";font-style:normal;font-weight:400;content:\"\"}.modal-header .icons-menu .header-actions[data-v-7607651d]{margin:3px;color:white}.modal-header .icons-menu .action-item--single[data-v-7607651d]{box-sizing:border-box;width:44px;height:44px;cursor:pointer;background-position:center;background-size:22px}.modal-header .icons-menu[data-v-7607651d] .action-item__menutoggle{padding:13px 11px;color:#fff;font-size:22px}.modal-wrapper[data-v-7607651d]{display:flex;align-items:center;justify-content:center;box-sizing:border-box;width:100%;height:100%}.modal-wrapper .prev[data-v-7607651d],.modal-wrapper .next[data-v-7607651d]{z-index:10000;display:flex !important;align-items:center;justify-content:center;width:15%;min-width:60px;height:100%;transition:opacity 250ms, visibility 250ms}.modal-wrapper .prev.invisible[style*=\\'display:none\\'][data-v-7607651d],.modal-wrapper .prev.invisible[style*=\\'display: none\\'][data-v-7607651d],.modal-wrapper .next.invisible[style*=\\'display:none\\'][data-v-7607651d],.modal-wrapper .next.invisible[style*=\\'display: none\\'][data-v-7607651d]{visibility:hidden}.modal-wrapper .icon-next[data-v-7607651d],.modal-wrapper .icon-previous[data-v-7607651d]{box-sizing:border-box;width:44px;height:44px;padding:12px 11px;color:white;border-radius:22px;background-image:none;font-size:24px}.modal-wrapper .icon-previous[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";font-style:normal;font-weight:400;content:\"\"}.modal-wrapper .icon-next[data-v-7607651d]:before{font-family:\"iconfont-vue-6f41c0d\";font-style:normal;font-weight:400;content:\"\"}.modal-wrapper .modal-container[data-v-7607651d]{display:block;overflow:hidden;padding:0;transition:transform 300ms ease;border-radius:var(--border-radius-large);background-color:var(--color-main-background);box-shadow:0 0 40px rgba(0,0,0,0.2)}.modal-wrapper:not(.modal-wrapper--large):not(.modal-wrapper--full) .modal-container[data-v-7607651d]{max-width:900px;max-height:80%}.modal-wrapper--full .modal-container[data-v-7607651d]{max-width:100%;max-height:100%;border-radius:0}.modal-wrapper--full .prev[data-v-7607651d],.modal-wrapper--full .next[data-v-7607651d],.modal-wrapper--spread-navigation .prev[data-v-7607651d],.modal-wrapper--spread-navigation .next[data-v-7607651d]{position:absolute;width:10%}.modal-wrapper--full .prev[data-v-7607651d],.modal-wrapper--spread-navigation .prev[data-v-7607651d]{left:0}.modal-wrapper--full .next[data-v-7607651d],.modal-wrapper--spread-navigation .next[data-v-7607651d]{right:0}.modal-wrapper--large .modal-container[data-v-7607651d]{max-width:85%;max-height:90%}.modal-wrapper--large .prev[data-v-7607651d],.modal-wrapper--large .next[data-v-7607651d]{width:10%;min-width:44px}.fade-enter-active[data-v-7607651d],.fade-leave-active[data-v-7607651d]{transition:opacity 250ms}.fade-enter[data-v-7607651d],.fade-leave-to[data-v-7607651d]{opacity:0}.fade-visibility-enter[data-v-7607651d],.fade-visibility-leave-to[data-v-7607651d]{visibility:hidden;opacity:0}.modal-in-enter-active[data-v-7607651d],.modal-in-leave-active[data-v-7607651d],.modal-out-enter-active[data-v-7607651d],.modal-out-leave-active[data-v-7607651d]{transition:opacity 250ms}.modal-in-enter[data-v-7607651d],.modal-in-leave-to[data-v-7607651d],.modal-out-enter[data-v-7607651d],.modal-out-leave-to[data-v-7607651d]{opacity:0}.modal-in-enter .modal-container[data-v-7607651d],.modal-in-leave-to .modal-container[data-v-7607651d]{transform:scale(0.9)}.modal-out-enter .modal-container[data-v-7607651d],.modal-out-leave-to .modal-container[data-v-7607651d]{transform:scale(1.1)}.modal-mask .play-pause .progress-ring[data-v-7607651d]{position:absolute;top:0;left:0;transform:rotate(-90deg)}.modal-mask .play-pause .progress-ring .progress-ring__circle[data-v-7607651d]{transition:100ms stroke-dashoffset;transform-origin:50% 50%;animation:progressring-data-v-7607651d linear 3s infinite;stroke-linecap:round;stroke-dashoffset:94.24778;stroke-dasharray:94.24778}.modal-mask .play-pause--paused .icon-pause[data-v-7607651d]{animation:breath-data-v-7607651d 2s cubic-bezier(0.4, 0, 0.2, 1) infinite}.modal-mask .play-pause--paused .progress-ring__circle[data-v-7607651d]{animation-play-state:paused !important}@keyframes progressring-data-v-7607651d{from{stroke-dashoffset:94.24778}to{stroke-dashoffset:0}}@keyframes breath-data-v-7607651d{0%{opacity:1}50%{opacity:0}100%{opacity:1}}\\n',\"\"]),e.exports=t},26:function(e,t){e.exports=require(\"core-js/modules/es.regexp.to-string\")},27:function(e,t){e.exports=require(\"@nextcloud/l10n/dist/gettext\")},28:function(e,t){e.exports=require(\"core-js/modules/es.string.trim\")},29:function(e,t,s){var n=s(74);\"string\"==typeof n&&(n=[[e.i,n,\"\"]]),n.locals&&(e.exports=n.locals);(0,s(2).default)(\"ad54af0c\",n,!0,{})},3:function(e,t){e.exports=require(\"vue\")},30:function(e,t){e.exports=require(\"core-js/modules/es.number.constructor\")},31:function(e,t,s){\"use strict\";s(24),s(14),s(72),s(12);var n=s(3),o=s.n(n);t.a=function(e,t,s){if(void 0!==e)for(var n=e.length-1;n>=0;n--){var A=e[n],a=!A.componentOptions&&A.tag&&-1===t.indexOf(A.tag),r=!!A.componentOptions&&\"string\"==typeof A.componentOptions.tag,i=r&&-1===t.indexOf(A.componentOptions.tag);(a||!r||i)&&((a||i)&&o.a.util.warn(\"\".concat(a?A.tag:A.componentOptions.tag,\" is not allowed inside the \").concat(s.$options.name,\" component\"),s),e.splice(n,1))}}},32:function(e,t,s){\"use strict\";var n=s(6);t.a={methods:{n:n.a,t:n.b}}},33:function(e,t){e.exports=require(\"core-js/modules/es.string.replace\")},37:function(e,t){},38:function(e,t,s){\"use strict\";s.r(t);var n=s(46);\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.default=n.a},39:function(e,t){e.exports=require(\"core-js/modules/es.array.filter\")},4:function(e,t){e.exports=require(\"v-tooltip\")},42:function(e,t,s){var n=s(43);\"string\"==typeof n&&(n=[[e.i,n,\"\"]]),n.locals&&(e.exports=n.locals);(0,s(2).default)(\"941c791e\",n,!0,{})},43:function(e,t,s){(t=s(1)(!1)).push([e.i,\".vue-tooltip[data-v-6f41c0d]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;white-space:normal;text-decoration:none;letter-spacing:normal;word-spacing:normal;text-transform:none;word-wrap:normal;word-break:normal;opacity:0;text-shadow:none;font-family:'Nunito', 'Open Sans', Frutiger, Calibri, 'Myriad Pro', Myriad, sans-serif;font-size:12px;font-weight:normal;font-style:normal;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-6f41c0d][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-6f41c0d][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-6f41c0d][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-6f41c0d][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-6f41c0d] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-6f41c0d] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\\n\",\"\"]),e.exports=t},46:function(e,t,s){\"use strict\";s(24),s(39),s(14);var n=s(16),o=s(13),A=s(18),a=(s(71),function(e){var t=e.getBoundingClientRect(),s=document.documentElement.clientHeight,n=document.documentElement.clientWidth,o=Object.assign({});return o.top=t.top<0,o.left=t.left<0,o.bottom=t.bottom>s,o.right=t.right>n,o.any=o.top||o.left||o.bottom||o.right,o.all=o.top&&o.left&&o.bottom&&o.right,o.offsetY=o.top?t.top:o.bottom?t.bottom-s:0,o.offsetX=o.left?t.left:o.right?t.right-n:0,o}),r=s(31),i=s(6),c=[\"ActionButton\",\"ActionCheckbox\",\"ActionInput\",\"ActionLink\",\"ActionRadio\",\"ActionRouter\",\"ActionSeparator\",\"ActionText\",\"ActionTextEditable\"],l={name:\"Actions\",directives:{ClickOutside:n.directive,tooltip:o.default},props:{open:{type:Boolean,default:!1},forceMenu:{type:Boolean,default:!1},menuAlign:{type:String,default:\"center\",validator:function(e){return[\"left\",\"center\",\"right\"].indexOf(e)>-1}},menuTitle:{type:String,default:null},primary:{type:Boolean,default:!1},defaultIcon:{type:String,default:\"action-item__menutoggle--default-icon\"},ariaLabel:{type:String,default:Object(i.b)(\"Actions\")}},data:function(){return{actions:[],opened:this.open,focusIndex:0,randomId:\"menu-\"+Object(A.a)(),offsetX:0,offsetY:0,offsetYArrow:0,rotateArrow:!1,children:this.$children}},computed:{hasMultipleActions:function(){return this.actions.length>1},isValidSingleAction:function(){return 1===this.actions.length&&null!==this.firstActionElement},firstActionVNode:function(){return this.actions[0]},firstAction:function(){return this.children[0]?this.children[0]:{}},firstActionBinding:function(){if(this.firstActionVNode&&this.firstActionVNode.componentOptions){var e=this.firstActionVNode.componentOptions.tag;if(\"ActionLink\"===e)return{is:\"a\",href:this.firstAction.href,target:this.firstAction.target,\"aria-label\":this.firstAction.ariaLabel};if(\"ActionRouter\"===e)return{is:\"router-link\",to:this.firstAction.to,exact:this.firstAction.exact,\"aria-label\":this.firstAction.ariaLabel};if(\"ActionButton\"===e)return{is:\"button\",\"aria-label\":this.firstAction.ariaLabel}}return null},firstActionEvent:function(){return this.firstActionVNode&&this.firstActionVNode.componentOptions&&this.firstActionVNode.componentOptions.listeners&&this.firstActionVNode.componentOptions.listeners.click},firstActionEventBinding:function(){return this.firstActionEvent?\"click\":null},firstActionClass:function(){var e=this.firstActionVNode&&this.firstActionVNode.data.staticClass,t=this.firstActionVNode&&this.firstActionVNode.data.class;return\"\".concat(e,\" \").concat(t)}},watch:{open:function(e){var t=this;this.opened=e,this.opened&&this.$nextTick((function(){t.onOpen()}))}},beforeMount:function(){this.initActions(),Object(r.a)(this.$slots.default,c,this)},beforeUpdate:function(){this.initActions(),Object(r.a)(this.$slots.default,c,this)},methods:{toggleMenu:function(e){var t=this;this.opened=\"boolean\"==typeof e?e:!this.opened,this.opened?(this.$nextTick((function(){t.onOpen(),t.focusFirstAction()})),this.$emit(\"open\")):(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,this.$emit(\"close\")),this.$emit(\"update:open\",this.opened)},closeMenu:function(e){this.opened&&(this.$emit(\"update:open\",!1),this.$emit(\"close\"),this.opened=!1,this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1)},onOpen:function(){if(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,\"center\"===this.menuAlign){var e=a(this.$refs.menu);(e.left||e.right)&&(this.offsetX=e.offsetX>0?Math.round(e.offsetX)+5:Math.round(e.offsetX)-5),e.bottom&&(this.offsetY=0-Math.round(this.$refs.menu.clientHeight)-42,this.offsetYArrow=Math.round(this.$refs.menu.clientHeight)+18,this.rotateArrow=!0)}},onMouseFocusAction:function(e){if(document.activeElement!==e.target){var t=e.target.closest(\"li\");if(t){var s=t.querySelector(\".focusable\");if(s){var n=this.$refs.menu.querySelectorAll(\".focusable\"),o=Array.prototype.indexOf.call(n,s);o>-1&&(this.focusIndex=o,this.focusAction())}}}},removeCurrentActive:function(){var e=this.$refs.menu.querySelector(\"li.active\");e&&e.classList.remove(\"active\")},focusAction:function(){var e=this.$refs.menu.querySelectorAll(\".focusable\")[this.focusIndex];if(e){var t=e.closest(\"li\");e.focus(),t&&(this.removeCurrentActive(),t.classList.add(\"active\"))}},focusPreviousAction:function(){this.focusIndex=Math.max(this.focusIndex-1,0),this.focusAction()},focusNextAction:function(){this.focusIndex=Math.min(this.focusIndex+1,this.$refs.menu.querySelectorAll(\".focusable\").length-1),this.focusAction()},focusFirstAction:function(){this.focusIndex=0,this.focusAction()},focusLastAction:function(){this.focusIndex=this.$el.querySelectorAll(\".focusable\").length-1,this.focusAction()},execFirstAction:function(e){this.firstActionEvent&&this.firstActionEvent(e)},initActions:function(){this.actions=(this.$slots.default||[]).filter((function(e){return!!e&&!!e.componentOptions}))}}},m=(s(73),s(0)),g=s(37),u=s.n(g),d=Object(m.a)(l,(function(){var e,t=this,s=t.$createElement,n=t._self._c||s;return t.isValidSingleAction&&!t.forceMenu?n(\"element\",t._b({directives:[{name:\"tooltip\",rawName:\"v-tooltip.auto\",value:t.firstAction.text,expression:\"firstAction.text\",modifiers:{auto:!0}}],staticClass:\"action-item action-item--single\",class:[t.firstAction.icon,t.firstActionClass],attrs:{rel:\"noreferrer noopener\"},on:t._d({},[t.firstActionEventBinding,t.execFirstAction])},\"element\",t.firstActionBinding,!1),[n(\"span\",{attrs:{\"aria-hidden\":!0,hidden:\"\"}},[t._t(\"default\")],2)]):n(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:t.hasMultipleActions||t.forceMenu,expression:\"hasMultipleActions || forceMenu\"},{name:\"click-outside\",rawName:\"v-click-outside\",value:t.closeMenu,expression:\"closeMenu\"}],staticClass:\"action-item\",class:{\"action-item--open\":t.opened},on:{keydown:[function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"up\",38,e.key,[\"Up\",\"ArrowUp\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusPreviousAction(e))},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"down\",40,e.key,[\"Down\",\"ArrowDown\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusNextAction(e))},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"tab\",9,e.key,\"Tab\")?null:e.shiftKey?(e.preventDefault(),t.focusPreviousAction(e)):null},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"page-up\",void 0,e.key,void 0)?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusFirstAction(e))},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"page-down\",void 0,e.key,void 0)?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.focusLastAction(e))},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"esc\",27,e.key,[\"Esc\",\"Escape\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.closeMenu(e))}]}},[n(\"button\",{staticClass:\"icon action-item__menutoggle\",class:(e={},e[t.defaultIcon]=!0,e[\"action-item__menutoggle--with-title\"]=t.menuTitle,e[\"action-item__menutoggle--primary\"]=t.primary,e),attrs:{\"aria-label\":t.ariaLabel,\"aria-haspopup\":\"true\",\"aria-controls\":t.randomId,\"aria-expanded\":t.opened},on:{click:function(e){return e.preventDefault(),t.toggleMenu(e)},keydown:function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"space\",32,e.key,[\" \",\"Spacebar\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.toggleMenu(e))}}},[t._v(\"\\n\\t\\t\"+t._s(t.menuTitle)+\"\\n\\t\")]),t._v(\" \"),n(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:t.opened,expression:\"opened\"}],ref:\"menu\",staticClass:\"action-item__menu\",class:[\"menu-\"+t.menuAlign,{open:t.opened}],style:{marginRight:t.offsetX+\"px\",marginTop:t.offsetY+\"px\"},attrs:{tabindex:\"-1\"},on:{mousemove:t.onMouseFocusAction}},[n(\"div\",{staticClass:\"action-item__menu_arrow\",style:{transform:\"translateX(\"+t.offsetX+\"px) translateY(\"+t.offsetYArrow+\"px) \"+(t.rotateArrow?\" rotate(180deg)\":\"\")}}),t._v(\" \"),n(\"ul\",{attrs:{id:t.randomId,tabindex:\"-1\"}},[t.opened?[t._t(\"default\")]:t._e()],2)])])}),[],!1,null,\"13bd5491\",null);\"function\"==typeof u.a&&u()(d);t.a=d.exports},47:function(e,t,s){\"use strict\";\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.a=function(e){e.mounted?Array.isArray(e.mounted)||(e.mounted=[e.mounted]):e.mounted=[],e.mounted.push((function(){this.$el.setAttribute(\"data-v-\".concat(\"6f41c0d\"),\"\")}))}},48:function(e,t,s){var n=s(140);\"string\"==typeof n&&(n=[[e.i,n,\"\"]]),n.locals&&(e.exports=n.locals);(0,s(2).default)(\"75b9f902\",n,!0,{})},5:function(e,t){e.exports=require(\"core-js/modules/es.object.to-string\")},58:function(e,t){},6:function(e,t,s){\"use strict\";s.d(t,\"b\",(function(){return r})),s.d(t,\"a\",(function(){return a}));s(15);var n=s(27),o=Object(n.getGettextBuilder)().detectLocale();[{locale:\"cs_CZ\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Pavel Borecki <pavel.borecki@gmail.com>, 2020\",\"Language-Team\":\"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"cs_CZ\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nPavel Borecki <pavel.borecki@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: cs_CZ\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (neviditelný)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (omezený)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Akce\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Zvolit\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Zavřít\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Následující\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Žádné výsledky\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pozastavit prezentaci\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Předchozí\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Vybrat štítek\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Nastavení\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Spustit prezentaci\"]}}}}},{locale:\"de\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\",\"Language-Team\":\"German (https://www.transifex.com/nextcloud/teams/64236/de/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"de\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\\nLanguage-Team: German (https://www.transifex.com/nextcloud/teams/64236/de/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: de\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (unsichtbar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (eingeschränkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Aktionen\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Auswählen\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Schließen\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Weiter\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Keine Ergebnisse\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow pausieren\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorherige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Schlagwort auswählen\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Einstellungen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow starten\"]}}}}},{locale:\"de_DE\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\",\"Language-Team\":\"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"de_DE\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Philipp Fischbeck <pfischbeck@googlemail.com>, 2020\\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: de_DE\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (unsichtbar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (eingeschränkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Aktionen\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Auswählen\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Schließen\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Weiter\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Keine Ergebnisse\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow pausieren\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorherige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Schlagwort auswählen\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Einstellungen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow starten\"]}}}}},{locale:\"el\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"george k <norhorn@gmail.com>, 2020\",\"Language-Team\":\"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"el\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nEfstathios Iosifidis <iefstathios@gmail.com>, 2020\\ngeorge k <norhorn@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: george k <norhorn@gmail.com>, 2020\\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: el\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (αόρατο)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (περιορισμένο)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Ενέργειες\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Επιλογή\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Κλείσιμο\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Επόμενο\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Κανένα αποτέλεσμα\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Παύση προβολής διαφανειών\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Προηγούμενο\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Επιλογή ετικέτας\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ρυθμίσεις\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Έναρξη προβολής διαφανειών\"]}}}}},{locale:\"eu\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\",\"Language-Team\":\"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"eu\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: eu\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (ikusezina)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (mugatua)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Aukeratu\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Itxi\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Hurrengoa\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Emaitzarik ez\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pausatu diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Aurrekoa\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Hautatu etiketa bat\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ezarpenak\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Hasi diaporama\"]}}}}},{locale:\"fr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Greg Greg <grena@grenabox.fr>, 2020\",\"Language-Team\":\"French (https://www.transifex.com/nextcloud/teams/64236/fr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"fr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nBrendan Abolivier <transifex@brendanabolivier.com>, 2020\\ngud bes <gudbes@protonmail.com>, 2020\\nGreg Greg <grena@grenabox.fr>, 2020\\n\"},msgstr:[\"Last-Translator: Greg Greg <grena@grenabox.fr>, 2020\\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: fr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restreint)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Actions\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Choisir\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Fermer\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Suivant\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Aucun résultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Mettre le diaporama en pause\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Précédent\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Sélectionnez une balise\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Paramètres\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Démarrer le diaporama\"]}}}}},{locale:\"gl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\",\"Language-Team\":\"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"gl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Miguel Anxo Bouzada <mbouzada@gmail.com>, 2020\\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: gl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisíbel)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restrinxido)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Accións\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escoller\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Pechar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seguinte\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Sen resultados\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausar o diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterir\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleccione unha etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Axustes\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Iniciar o diaporama\"]}}}}},{locale:\"he\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Yaron Shahrabani <sh.yaron@gmail.com>, 2020\",\"Language-Team\":\"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"he\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: he\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (נסתר)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (מוגבל)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"בחירה\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"סגירה\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"הבא\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"אין תוצאות\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"השהיית מצגת\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"הקודם\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"בחירת תגית\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"הגדרות\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"התחלת המצגת\"]}}}}},{locale:\"hu_HU\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"asbot10 <asbot000@gmail.com>, 2020\",\"Language-Team\":\"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"hu_HU\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nBalázs Meskó <mesko.balazs@fsf.hu>, 2020\\nasbot10 <asbot000@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: asbot10 <asbot000@gmail.com>, 2020\\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: hu_HU\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (láthatatlan)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (korlátozott)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:197\"},msgstr:[\"Műveletek\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Válassszon\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Bezárás\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Következő\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nincs találat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diavetítés szüneteltetése\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Előző\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Válasszon címkét\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Beállítások\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diavetítés indítása\"]}}}}},{locale:\"it\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Random_R, 2020\",\"Language-Team\":\"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"it\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nRandom_R, 2020\\n\"},msgstr:[\"Last-Translator: Random_R, 2020\\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: it\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisibile)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (limitato)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Azioni\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Scegli\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Chiudi\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Successivo\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nessun risultato\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Presentazione in pausa\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Precedente\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleziona un'etichetta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Impostazioni\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Avvia presentazione\"]}}}}},{locale:\"ja_JP\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"YANO Tetsu <tetuyano+transi@gmail.com>, 2020\",\"Language-Team\":\"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ja_JP\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ja_JP\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{タグ} (不可視)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{タグ} (制限付)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"操作\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"選択\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"閉じる\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"次\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"なし\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"スライドショーを一時停止\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"前\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"タグを選択\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"設定\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"スライドショーを開始\"]}}}}},{locale:\"lt_LT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Moo, 2020\",\"Language-Team\":\"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lt_LT\",\"Plural-Forms\":\"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMoo, 2020\\n\"},msgstr:[\"Last-Translator: Moo, 2020\\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lt_LT\\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (nematoma)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (apribota)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Pasirinkti\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Užverti\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Kitas\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nėra rezultatų\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pristabdyti skaidrių rodymą\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Ankstesnis\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Pasirinkti žymę\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Nustatymai\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pradėti skaidrių rodymą\"]}}}}},{locale:\"lv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"stendec <stendec@inbox.lv>, 2020\",\"Language-Team\":\"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lv\",\"Plural-Forms\":\"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nstendec <stendec@inbox.lv>, 2020\\n\"},msgstr:[\"Last-Translator: stendec <stendec@inbox.lv>, 2020\\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lv\\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (neredzams)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ierobežots)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Izvēlēties\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Aizvērt\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Nākamais\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nav rezultātu\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pauzēt slaidrādi\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Iepriekšējais\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Izvēlēties birku\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Iestatījumi\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Sākt slaidrādi\"]}}}}},{locale:\"mk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Сашко Тодоров, 2020\",\"Language-Team\":\"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"mk\",\"Plural-Forms\":\"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nСашко Тодоров, 2020\\n\"},msgstr:[\"Last-Translator: Сашко Тодоров, 2020\\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: mk\\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (невидливо)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ограничено)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Избери\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Затвори\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Следно\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Нема резултати\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Пузирај слајдшоу\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Предходно\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Избери ознака\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Параметри\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Стартувај слајдшоу\"]}}}}},{locale:\"nl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Arjan van S, 2020\",\"Language-Team\":\"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"nl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\\nArjan van S, 2020\\n\"},msgstr:[\"Last-Translator: Arjan van S, 2020\\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: nl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (onzichtbaar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (beperkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Acties\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Kies\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Sluiten\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Volgende\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Geen resultaten\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pauzeer diavoorstelling\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecteer een label\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Instellingen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Start diavoorstelling\"]}}}}},{locale:\"oc\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Quentin PAGÈS, 2020\",\"Language-Team\":\"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"oc\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nQuentin PAGÈS, 2020\\n\"},msgstr:[\"Last-Translator: Quentin PAGÈS, 2020\\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: oc\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (limit)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Accions\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Causir\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Tampar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seguent\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Cap de resultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Metre en pausa lo diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Precedent\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleccionar una etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Paramètres\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Lançar lo diaporama\"]}}}}},{locale:\"pl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Valdnet Valdnet, 2020\",\"Language-Team\":\"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pl\",\"Plural-Forms\":\"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nValdnet Valdnet, 2020\\n\"},msgstr:[\"Last-Translator: Valdnet Valdnet, 2020\\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pl\\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (niewidoczna)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (ograniczona)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Działania\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Wybierz\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Zamknij\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Następny\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Brak wyników\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Wstrzymaj pokaz slajdów\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Poprzedni\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Wybierz etykietę\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ustawienia\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Rozpocznij pokaz slajdów\"]}}}}},{locale:\"pt_BR\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Paulo Schopf, 2020\",\"Language-Team\":\"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_BR\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMaurício Gardini <accounts@mauriciogardini.com>, 2020\\nPaulo Schopf, 2020\\n\"},msgstr:[\"Last-Translator: Paulo Schopf, 2020\\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_BR\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisível)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restrito) \"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Ações\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escolher\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Fechar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Próximo\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Sem resultados\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausar apresentação de slides\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterior\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecionar uma tag\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Configurações\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Iniciar apresentação de slides\"]}}}}},{locale:\"pt_PT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"fpapoila <fpapoila@gmail.com>, 2020\",\"Language-Team\":\"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_PT\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nfpapoila <fpapoila@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: fpapoila <fpapoila@gmail.com>, 2020\\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_PT\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (invisivel)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (restrito)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escolher\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Fechar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Proximo\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Sem resultados\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pausar apresentação de slides\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Anterior\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecionar etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Definições\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Iniciar apresentação de slides\"]}}}}},{locale:\"ru\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Alex <kekcuha@gmail.com>, 2020\",\"Language-Team\":\"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ru\",\"Plural-Forms\":\"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAlex <kekcuha@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Alex <kekcuha@gmail.com>, 2020\\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ru\\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (невидимое)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ограниченное)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Выберите\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Закрыть\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Следующее\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Результаты отсуствуют\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Приостановить показ слйдов\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Предыдущее\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Выберите метку\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Параметры\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Начать показ слайдов\"]}}}}},{locale:\"sv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Jonatan Nyberg, 2020\",\"Language-Team\":\"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sv\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nGabriel Ekström <gabriel.ekstrom06@gmail.com>, 2020\\nErik Lennartsson, 2020\\nJonatan Nyberg, 2020\\n\"},msgstr:[\"Last-Translator: Jonatan Nyberg, 2020\\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sv\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (osynlig)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (begränsad)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Åtgärder\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Välj\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Stäng\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Nästa\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Inga resultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausa bildspel\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Föregående\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Välj en tag\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Inställningar\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Starta bildspel\"]}}}}},{locale:\"tr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"abc Def <hdogan1974@gmail.com>, 2020\",\"Language-Team\":\"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"tr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nKemal Oktay Aktoğan <oktayaktogan@gmail.com>, 2020\\nabc Def <hdogan1974@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: abc Def <hdogan1974@gmail.com>, 2020\\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: tr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (görünmez)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (kısıtlı)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Eylemler\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Seç\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Kapat\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"İleri\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Sonuç yok\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Slayt gösterisini duraklat\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Önceki\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Bir etiket seçin\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ayarlar\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Slayt gösterisini başlat\"]}}}}},{locale:\"uk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\",\"Language-Team\":\"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"uk\",\"Plural-Forms\":\"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: uk\\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restricted)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:197\"},msgstr:[\"Дії\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Виберіть\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Закрити\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Вперед\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Відсутні результати\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Пауза у показі слайдів\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Назад\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Виберіть позначку\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Налаштування\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Почати показ слайдів\"]}}}}},{locale:\"zh_CN\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Jianming Liang <fuufuukun@163.com>, 2020\",\"Language-Team\":\"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_CN\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nSleepyJesse <Jesse_Xu@live.com>, 2020\\nJianming Liang <fuufuukun@163.com>, 2020\\n\"},msgstr:[\"Last-Translator: Jianming Liang <fuufuukun@163.com>, 2020\\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_CN\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (不可见)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (受限)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:197\"},msgstr:[\"行为\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"选择\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"关闭\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"下一个\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"无结果\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"暂停幻灯片\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"上一个\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"选择一个标签\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"设置\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"开始幻灯片\"]}}}}},{locale:\"zh_TW\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"byStarTW (pan93412) <pan93412@gmail.com>, 2020\",\"Language-Team\":\"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_TW\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nbyStarTW (pan93412) <pan93412@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: byStarTW (pan93412) <pan93412@gmail.com>, 2020\\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_TW\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (隱藏)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (受限)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"選擇\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"關閉\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"下一個\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"無結果\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"暫停幻燈片\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"上一個\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"選擇標籤\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"設定\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"開始幻燈片\"]}}}}}].map((function(e){return o.addTranslation(e.locale,e.json)}));var A=o.build(),a=A.ngettext.bind(A),r=A.gettext.bind(A)},7:function(e,t,s){\"use strict\";e.exports=function(e,t){return t||(t={}),\"string\"!=typeof(e=e&&e.__esModule?e.default:e)?e:(/^['\"].*['\"]$/.test(e)&&(e=e.slice(1,-1)),t.hash&&(e+=t.hash),/[\"'() \\t\\n]/.test(e)||t.needQuotes?'\"'.concat(e.replace(/\"/g,'\\\\\"').replace(/\\n/g,\"\\\\n\"),'\"'):e)}},71:function(e,t){e.exports=require(\"core-js/modules/es.object.assign\")},72:function(e,t){e.exports=require(\"core-js/modules/es.array.splice\")},73:function(e,t,s){\"use strict\";var n=s(29);s.n(n).a},74:function(e,t,s){var n=s(1),o=s(7),A=s(8),a=s(9),r=s(10),i=s(11);t=n(!1);var c=o(A),l=o(a),m=o(r),g=o(i);t.push([e.i,'@font-face{font-family:\"iconfont-vue-6f41c0d\";src:url('+c+\");src:url(\"+c+') format(\"embedded-opentype\"),url('+l+') format(\"woff\"),url('+m+') format(\"truetype\"),url('+g+') format(\"svg\")}.icon[data-v-13bd5491]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-left[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-right-double[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.arrow-right[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.breadcrumb[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.checkmark[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.close[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.confirm[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.info[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.menu[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.more[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.pause[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.play[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.icon.triangle-s[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";content:\"\"}.action-item[data-v-13bd5491]{position:relative;display:inline-block}.action-item--single[data-v-13bd5491]:hover,.action-item--single[data-v-13bd5491]:focus,.action-item--single[data-v-13bd5491]:active,.action-item__menutoggle[data-v-13bd5491]:hover,.action-item__menutoggle[data-v-13bd5491]:focus,.action-item__menutoggle[data-v-13bd5491]:active{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item.action-item--open .action-item__menutoggle[data-v-13bd5491]{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item--single[data-v-13bd5491],.action-item__menutoggle[data-v-13bd5491]{box-sizing:border-box;width:auto;min-width:44px;height:44px;margin:0;padding:14px;cursor:pointer;border:none;background-color:transparent}.action-item__menutoggle[data-v-13bd5491]{display:flex;align-items:center;justify-content:center;opacity:.7;border-radius:22px;font-weight:bold;line-height:16px}.action-item__menutoggle[data-v-13bd5491]:before{content:\\'\\'}.action-item__menutoggle--default-icon[data-v-13bd5491]:before{font-family:\"iconfont-vue-6f41c0d\";font-style:normal;font-weight:400;content:\"\"}.action-item__menutoggle--default-icon[data-v-13bd5491]::before{font-size:16px}.action-item__menutoggle--with-title[data-v-13bd5491]{position:relative;padding-left:44px;white-space:nowrap;opacity:1;border:1px solid var(--color-border-dark);background-color:var(--color-background-dark);background-position:14px center;font-size:inherit}.action-item__menutoggle--with-title[data-v-13bd5491]:before{position:absolute;top:14px;left:14px}.action-item__menutoggle--primary[data-v-13bd5491]{opacity:1;color:var(--color-primary-text);border:none;background-color:var(--color-primary-element)}.action-item--open .action-item__menutoggle--primary[data-v-13bd5491],.action-item__menutoggle--primary[data-v-13bd5491]:hover,.action-item__menutoggle--primary[data-v-13bd5491]:focus,.action-item__menutoggle--primary[data-v-13bd5491]:active{color:var(--color-primary-text) !important;background-color:var(--color-primary-element-light) !important}.action-item--single[data-v-13bd5491]{opacity:.7}.action-item--single[data-v-13bd5491]:hover,.action-item--single[data-v-13bd5491]:focus,.action-item--single[data-v-13bd5491]:active{opacity:1}.action-item--single>[hidden][data-v-13bd5491]{display:none}.action-item--multiple[data-v-13bd5491]{position:relative}.action-item__menu[data-v-13bd5491]{position:absolute;z-index:110;right:50%;display:none;margin-top:-5px;margin-bottom:10px;transform:translateX(50%);color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background);filter:drop-shadow(0 1px 3px var(--color-box-shadow))}.action-item__menu ul[data-v-13bd5491]>:not(li){display:none}.action-item__menu.open[data-v-13bd5491]{display:block}.action-item__menu .action-item__menu_arrow[data-v-13bd5491]{position:absolute;right:50%;bottom:100%;width:0;height:0;margin-right:-9px;content:\\' \\';pointer-events:none;border:solid transparent;border-width:9px;border-bottom-color:var(--color-main-background)}.action-item__menu.menu-right[data-v-13bd5491]{right:0;left:auto;transform:none}.action-item__menu.menu-right .action-item__menu_arrow[data-v-13bd5491]{right:13px;margin-right:0}.action-item__menu.menu-left[data-v-13bd5491]{right:auto;left:0;transform:none}.action-item__menu.menu-left .action-item__menu_arrow[data-v-13bd5491]{right:auto;left:13px;margin-right:0}.ie .action-item__menu[data-v-13bd5491],.ie .action-item__menu .action-item__menu_arrow[data-v-13bd5491],.edge .action-item__menu[data-v-13bd5491],.edge .action-item__menu .action-item__menu_arrow[data-v-13bd5491]{border:1px solid var(--color-border)}\\n',\"\"]),e.exports=t},77:function(e,t,s){\"use strict\";var n={name:\"ActionButton\",mixins:[s(25).a],props:{disabled:{type:Boolean,default:!1}},computed:{isFocusable:function(){return!this.disabled}}},o=(s(139),s(0)),A=s(58),a=s.n(A),r=Object(o.a)(n,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s(\"li\",{class:{\"action--disabled\":e.disabled}},[s(\"button\",{staticClass:\"action-button\",class:{focusable:e.isFocusable},attrs:{\"aria-label\":e.ariaLabel},on:{click:e.onClick}},[s(\"span\",{staticClass:\"action-button__icon\",class:[e.isIconUrl?\"action-button__icon--url\":e.icon],style:{backgroundImage:e.isIconUrl?\"url(\"+e.icon+\")\":null}}),e._v(\" \"),e.title?s(\"p\",[s(\"strong\",{staticClass:\"action-button__title\"},[e._v(\"\\n\\t\\t\\t\\t\"+e._s(e.title)+\"\\n\\t\\t\\t\")]),e._v(\" \"),s(\"br\"),e._v(\" \"),s(\"span\",{staticClass:\"action-button__longtext\",domProps:{textContent:e._s(e.text)}})]):e.isLongText?s(\"p\",{staticClass:\"action-button__longtext\",domProps:{textContent:e._s(e.text)}}):s(\"span\",{staticClass:\"action-button__text\"},[e._v(e._s(e.text))]),e._v(\" \"),e._e()],2)])}),[],!1,null,\"33ba3302\",null);\"function\"==typeof a.a&&a()(r);t.a=r.exports},8:function(e,t,s){\"use strict\";s.r(t),t.default=\"data:application/vnd.ms-fontobject;base64,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\"},9:function(e,t,s){\"use strict\";s.r(t),t.default=\"data:font/woff;base64,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\"},94:function(e,t){e.exports=require(\"hammerjs\")},98:function(e,t,s){\"use strict\";s.r(t);var n=s(77);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.default=n.a}})}));\n//# sourceMappingURL=Modal.js.map","/*! Hammer.JS - v2.0.7 - 2016-04-22\n * http://hammerjs.github.io/\n *\n * Copyright (c) 2016 Jorik Tangelder;\n * Licensed under the MIT license */\n(function(window, document, exportName, undefined) {\n 'use strict';\n\nvar VENDOR_PREFIXES = ['', 'webkit', 'Moz', 'MS', 'ms', 'o'];\nvar TEST_ELEMENT = document.createElement('div');\n\nvar TYPE_FUNCTION = 'function';\n\nvar round = Math.round;\nvar abs = Math.abs;\nvar now = Date.now;\n\n/**\n * set a timeout with a given scope\n * @param {Function} fn\n * @param {Number} timeout\n * @param {Object} context\n * @returns {number}\n */\nfunction setTimeoutContext(fn, timeout, context) {\n return setTimeout(bindFn(fn, context), timeout);\n}\n\n/**\n * if the argument is an array, we want to execute the fn on each entry\n * if it aint an array we don't want to do a thing.\n * this is used by all the methods that accept a single and array argument.\n * @param {*|Array} arg\n * @param {String} fn\n * @param {Object} [context]\n * @returns {Boolean}\n */\nfunction invokeArrayArg(arg, fn, context) {\n if (Array.isArray(arg)) {\n each(arg, context[fn], context);\n return true;\n }\n return false;\n}\n\n/**\n * walk objects and arrays\n * @param {Object} obj\n * @param {Function} iterator\n * @param {Object} context\n */\nfunction each(obj, iterator, context) {\n var i;\n\n if (!obj) {\n return;\n }\n\n if (obj.forEach) {\n obj.forEach(iterator, context);\n } else if (obj.length !== undefined) {\n i = 0;\n while (i < obj.length) {\n iterator.call(context, obj[i], i, obj);\n i++;\n }\n } else {\n for (i in obj) {\n obj.hasOwnProperty(i) && iterator.call(context, obj[i], i, obj);\n }\n }\n}\n\n/**\n * wrap a method with a deprecation warning and stack trace\n * @param {Function} method\n * @param {String} name\n * @param {String} message\n * @returns {Function} A new function wrapping the supplied method.\n */\nfunction deprecate(method, name, message) {\n var deprecationMessage = 'DEPRECATED METHOD: ' + name + '\\n' + message + ' AT \\n';\n return function() {\n var e = new Error('get-stack-trace');\n var stack = e && e.stack ? e.stack.replace(/^[^\\(]+?[\\n$]/gm, '')\n .replace(/^\\s+at\\s+/gm, '')\n .replace(/^Object.<anonymous>\\s*\\(/gm, '{anonymous}()@') : 'Unknown Stack Trace';\n\n var log = window.console && (window.console.warn || window.console.log);\n if (log) {\n log.call(window.console, deprecationMessage, stack);\n }\n return method.apply(this, arguments);\n };\n}\n\n/**\n * extend object.\n * means that properties in dest will be overwritten by the ones in src.\n * @param {Object} target\n * @param {...Object} objects_to_assign\n * @returns {Object} target\n */\nvar assign;\nif (typeof Object.assign !== 'function') {\n assign = function assign(target) {\n if (target === undefined || target === null) {\n throw new TypeError('Cannot convert undefined or null to object');\n }\n\n var output = Object(target);\n for (var index = 1; index < arguments.length; index++) {\n var source = arguments[index];\n if (source !== undefined && source !== null) {\n for (var nextKey in source) {\n if (source.hasOwnProperty(nextKey)) {\n output[nextKey] = source[nextKey];\n }\n }\n }\n }\n return output;\n };\n} else {\n assign = Object.assign;\n}\n\n/**\n * extend object.\n * means that properties in dest will be overwritten by the ones in src.\n * @param {Object} dest\n * @param {Object} src\n * @param {Boolean} [merge=false]\n * @returns {Object} dest\n */\nvar extend = deprecate(function extend(dest, src, merge) {\n var keys = Object.keys(src);\n var i = 0;\n while (i < keys.length) {\n if (!merge || (merge && dest[keys[i]] === undefined)) {\n dest[keys[i]] = src[keys[i]];\n }\n i++;\n }\n return dest;\n}, 'extend', 'Use `assign`.');\n\n/**\n * merge the values from src in the dest.\n * means that properties that exist in dest will not be overwritten by src\n * @param {Object} dest\n * @param {Object} src\n * @returns {Object} dest\n */\nvar merge = deprecate(function merge(dest, src) {\n return extend(dest, src, true);\n}, 'merge', 'Use `assign`.');\n\n/**\n * simple class inheritance\n * @param {Function} child\n * @param {Function} base\n * @param {Object} [properties]\n */\nfunction inherit(child, base, properties) {\n var baseP = base.prototype,\n childP;\n\n childP = child.prototype = Object.create(baseP);\n childP.constructor = child;\n childP._super = baseP;\n\n if (properties) {\n assign(childP, properties);\n }\n}\n\n/**\n * simple function bind\n * @param {Function} fn\n * @param {Object} context\n * @returns {Function}\n */\nfunction bindFn(fn, context) {\n return function boundFn() {\n return fn.apply(context, arguments);\n };\n}\n\n/**\n * let a boolean value also be a function that must return a boolean\n * this first item in args will be used as the context\n * @param {Boolean|Function} val\n * @param {Array} [args]\n * @returns {Boolean}\n */\nfunction boolOrFn(val, args) {\n if (typeof val == TYPE_FUNCTION) {\n return val.apply(args ? args[0] || undefined : undefined, args);\n }\n return val;\n}\n\n/**\n * use the val2 when val1 is undefined\n * @param {*} val1\n * @param {*} val2\n * @returns {*}\n */\nfunction ifUndefined(val1, val2) {\n return (val1 === undefined) ? val2 : val1;\n}\n\n/**\n * addEventListener with multiple events at once\n * @param {EventTarget} target\n * @param {String} types\n * @param {Function} handler\n */\nfunction addEventListeners(target, types, handler) {\n each(splitStr(types), function(type) {\n target.addEventListener(type, handler, false);\n });\n}\n\n/**\n * removeEventListener with multiple events at once\n * @param {EventTarget} target\n * @param {String} types\n * @param {Function} handler\n */\nfunction removeEventListeners(target, types, handler) {\n each(splitStr(types), function(type) {\n target.removeEventListener(type, handler, false);\n });\n}\n\n/**\n * find if a node is in the given parent\n * @method hasParent\n * @param {HTMLElement} node\n * @param {HTMLElement} parent\n * @return {Boolean} found\n */\nfunction hasParent(node, parent) {\n while (node) {\n if (node == parent) {\n return true;\n }\n node = node.parentNode;\n }\n return false;\n}\n\n/**\n * small indexOf wrapper\n * @param {String} str\n * @param {String} find\n * @returns {Boolean} found\n */\nfunction inStr(str, find) {\n return str.indexOf(find) > -1;\n}\n\n/**\n * split string on whitespace\n * @param {String} str\n * @returns {Array} words\n */\nfunction splitStr(str) {\n return str.trim().split(/\\s+/g);\n}\n\n/**\n * find if a array contains the object using indexOf or a simple polyFill\n * @param {Array} src\n * @param {String} find\n * @param {String} [findByKey]\n * @return {Boolean|Number} false when not found, or the index\n */\nfunction inArray(src, find, findByKey) {\n if (src.indexOf && !findByKey) {\n return src.indexOf(find);\n } else {\n var i = 0;\n while (i < src.length) {\n if ((findByKey && src[i][findByKey] == find) || (!findByKey && src[i] === find)) {\n return i;\n }\n i++;\n }\n return -1;\n }\n}\n\n/**\n * convert array-like objects to real arrays\n * @param {Object} obj\n * @returns {Array}\n */\nfunction toArray(obj) {\n return Array.prototype.slice.call(obj, 0);\n}\n\n/**\n * unique array with objects based on a key (like 'id') or just by the array's value\n * @param {Array} src [{id:1},{id:2},{id:1}]\n * @param {String} [key]\n * @param {Boolean} [sort=False]\n * @returns {Array} [{id:1},{id:2}]\n */\nfunction uniqueArray(src, key, sort) {\n var results = [];\n var values = [];\n var i = 0;\n\n while (i < src.length) {\n var val = key ? src[i][key] : src[i];\n if (inArray(values, val) < 0) {\n results.push(src[i]);\n }\n values[i] = val;\n i++;\n }\n\n if (sort) {\n if (!key) {\n results = results.sort();\n } else {\n results = results.sort(function sortUniqueArray(a, b) {\n return a[key] > b[key];\n });\n }\n }\n\n return results;\n}\n\n/**\n * get the prefixed property\n * @param {Object} obj\n * @param {String} property\n * @returns {String|Undefined} prefixed\n */\nfunction prefixed(obj, property) {\n var prefix, prop;\n var camelProp = property[0].toUpperCase() + property.slice(1);\n\n var i = 0;\n while (i < VENDOR_PREFIXES.length) {\n prefix = VENDOR_PREFIXES[i];\n prop = (prefix) ? prefix + camelProp : property;\n\n if (prop in obj) {\n return prop;\n }\n i++;\n }\n return undefined;\n}\n\n/**\n * get a unique id\n * @returns {number} uniqueId\n */\nvar _uniqueId = 1;\nfunction uniqueId() {\n return _uniqueId++;\n}\n\n/**\n * get the window object of an element\n * @param {HTMLElement} element\n * @returns {DocumentView|Window}\n */\nfunction getWindowForElement(element) {\n var doc = element.ownerDocument || element;\n return (doc.defaultView || doc.parentWindow || window);\n}\n\nvar MOBILE_REGEX = /mobile|tablet|ip(ad|hone|od)|android/i;\n\nvar SUPPORT_TOUCH = ('ontouchstart' in window);\nvar SUPPORT_POINTER_EVENTS = prefixed(window, 'PointerEvent') !== undefined;\nvar SUPPORT_ONLY_TOUCH = SUPPORT_TOUCH && MOBILE_REGEX.test(navigator.userAgent);\n\nvar INPUT_TYPE_TOUCH = 'touch';\nvar INPUT_TYPE_PEN = 'pen';\nvar INPUT_TYPE_MOUSE = 'mouse';\nvar INPUT_TYPE_KINECT = 'kinect';\n\nvar COMPUTE_INTERVAL = 25;\n\nvar INPUT_START = 1;\nvar INPUT_MOVE = 2;\nvar INPUT_END = 4;\nvar INPUT_CANCEL = 8;\n\nvar DIRECTION_NONE = 1;\nvar DIRECTION_LEFT = 2;\nvar DIRECTION_RIGHT = 4;\nvar DIRECTION_UP = 8;\nvar DIRECTION_DOWN = 16;\n\nvar DIRECTION_HORIZONTAL = DIRECTION_LEFT | DIRECTION_RIGHT;\nvar DIRECTION_VERTICAL = DIRECTION_UP | DIRECTION_DOWN;\nvar DIRECTION_ALL = DIRECTION_HORIZONTAL | DIRECTION_VERTICAL;\n\nvar PROPS_XY = ['x', 'y'];\nvar PROPS_CLIENT_XY = ['clientX', 'clientY'];\n\n/**\n * create new input type manager\n * @param {Manager} manager\n * @param {Function} callback\n * @returns {Input}\n * @constructor\n */\nfunction Input(manager, callback) {\n var self = this;\n this.manager = manager;\n this.callback = callback;\n this.element = manager.element;\n this.target = manager.options.inputTarget;\n\n // smaller wrapper around the handler, for the scope and the enabled state of the manager,\n // so when disabled the input events are completely bypassed.\n this.domHandler = function(ev) {\n if (boolOrFn(manager.options.enable, [manager])) {\n self.handler(ev);\n }\n };\n\n this.init();\n\n}\n\nInput.prototype = {\n /**\n * should handle the inputEvent data and trigger the callback\n * @virtual\n */\n handler: function() { },\n\n /**\n * bind the events\n */\n init: function() {\n this.evEl && addEventListeners(this.element, this.evEl, this.domHandler);\n this.evTarget && addEventListeners(this.target, this.evTarget, this.domHandler);\n this.evWin && addEventListeners(getWindowForElement(this.element), this.evWin, this.domHandler);\n },\n\n /**\n * unbind the events\n */\n destroy: function() {\n this.evEl && removeEventListeners(this.element, this.evEl, this.domHandler);\n this.evTarget && removeEventListeners(this.target, this.evTarget, this.domHandler);\n this.evWin && removeEventListeners(getWindowForElement(this.element), this.evWin, this.domHandler);\n }\n};\n\n/**\n * create new input type manager\n * called by the Manager constructor\n * @param {Hammer} manager\n * @returns {Input}\n */\nfunction createInputInstance(manager) {\n var Type;\n var inputClass = manager.options.inputClass;\n\n if (inputClass) {\n Type = inputClass;\n } else if (SUPPORT_POINTER_EVENTS) {\n Type = PointerEventInput;\n } else if (SUPPORT_ONLY_TOUCH) {\n Type = TouchInput;\n } else if (!SUPPORT_TOUCH) {\n Type = MouseInput;\n } else {\n Type = TouchMouseInput;\n }\n return new (Type)(manager, inputHandler);\n}\n\n/**\n * handle input events\n * @param {Manager} manager\n * @param {String} eventType\n * @param {Object} input\n */\nfunction inputHandler(manager, eventType, input) {\n var pointersLen = input.pointers.length;\n var changedPointersLen = input.changedPointers.length;\n var isFirst = (eventType & INPUT_START && (pointersLen - changedPointersLen === 0));\n var isFinal = (eventType & (INPUT_END | INPUT_CANCEL) && (pointersLen - changedPointersLen === 0));\n\n input.isFirst = !!isFirst;\n input.isFinal = !!isFinal;\n\n if (isFirst) {\n manager.session = {};\n }\n\n // source event is the normalized value of the domEvents\n // like 'touchstart, mouseup, pointerdown'\n input.eventType = eventType;\n\n // compute scale, rotation etc\n computeInputData(manager, input);\n\n // emit secret event\n manager.emit('hammer.input', input);\n\n manager.recognize(input);\n manager.session.prevInput = input;\n}\n\n/**\n * extend the data with some usable properties like scale, rotate, velocity etc\n * @param {Object} manager\n * @param {Object} input\n */\nfunction computeInputData(manager, input) {\n var session = manager.session;\n var pointers = input.pointers;\n var pointersLength = pointers.length;\n\n // store the first input to calculate the distance and direction\n if (!session.firstInput) {\n session.firstInput = simpleCloneInputData(input);\n }\n\n // to compute scale and rotation we need to store the multiple touches\n if (pointersLength > 1 && !session.firstMultiple) {\n session.firstMultiple = simpleCloneInputData(input);\n } else if (pointersLength === 1) {\n session.firstMultiple = false;\n }\n\n var firstInput = session.firstInput;\n var firstMultiple = session.firstMultiple;\n var offsetCenter = firstMultiple ? firstMultiple.center : firstInput.center;\n\n var center = input.center = getCenter(pointers);\n input.timeStamp = now();\n input.deltaTime = input.timeStamp - firstInput.timeStamp;\n\n input.angle = getAngle(offsetCenter, center);\n input.distance = getDistance(offsetCenter, center);\n\n computeDeltaXY(session, input);\n input.offsetDirection = getDirection(input.deltaX, input.deltaY);\n\n var overallVelocity = getVelocity(input.deltaTime, input.deltaX, input.deltaY);\n input.overallVelocityX = overallVelocity.x;\n input.overallVelocityY = overallVelocity.y;\n input.overallVelocity = (abs(overallVelocity.x) > abs(overallVelocity.y)) ? overallVelocity.x : overallVelocity.y;\n\n input.scale = firstMultiple ? getScale(firstMultiple.pointers, pointers) : 1;\n input.rotation = firstMultiple ? getRotation(firstMultiple.pointers, pointers) : 0;\n\n input.maxPointers = !session.prevInput ? input.pointers.length : ((input.pointers.length >\n session.prevInput.maxPointers) ? input.pointers.length : session.prevInput.maxPointers);\n\n computeIntervalInputData(session, input);\n\n // find the correct target\n var target = manager.element;\n if (hasParent(input.srcEvent.target, target)) {\n target = input.srcEvent.target;\n }\n input.target = target;\n}\n\nfunction computeDeltaXY(session, input) {\n var center = input.center;\n var offset = session.offsetDelta || {};\n var prevDelta = session.prevDelta || {};\n var prevInput = session.prevInput || {};\n\n if (input.eventType === INPUT_START || prevInput.eventType === INPUT_END) {\n prevDelta = session.prevDelta = {\n x: prevInput.deltaX || 0,\n y: prevInput.deltaY || 0\n };\n\n offset = session.offsetDelta = {\n x: center.x,\n y: center.y\n };\n }\n\n input.deltaX = prevDelta.x + (center.x - offset.x);\n input.deltaY = prevDelta.y + (center.y - offset.y);\n}\n\n/**\n * velocity is calculated every x ms\n * @param {Object} session\n * @param {Object} input\n */\nfunction computeIntervalInputData(session, input) {\n var last = session.lastInterval || input,\n deltaTime = input.timeStamp - last.timeStamp,\n velocity, velocityX, velocityY, direction;\n\n if (input.eventType != INPUT_CANCEL && (deltaTime > COMPUTE_INTERVAL || last.velocity === undefined)) {\n var deltaX = input.deltaX - last.deltaX;\n var deltaY = input.deltaY - last.deltaY;\n\n var v = getVelocity(deltaTime, deltaX, deltaY);\n velocityX = v.x;\n velocityY = v.y;\n velocity = (abs(v.x) > abs(v.y)) ? v.x : v.y;\n direction = getDirection(deltaX, deltaY);\n\n session.lastInterval = input;\n } else {\n // use latest velocity info if it doesn't overtake a minimum period\n velocity = last.velocity;\n velocityX = last.velocityX;\n velocityY = last.velocityY;\n direction = last.direction;\n }\n\n input.velocity = velocity;\n input.velocityX = velocityX;\n input.velocityY = velocityY;\n input.direction = direction;\n}\n\n/**\n * create a simple clone from the input used for storage of firstInput and firstMultiple\n * @param {Object} input\n * @returns {Object} clonedInputData\n */\nfunction simpleCloneInputData(input) {\n // make a simple copy of the pointers because we will get a reference if we don't\n // we only need clientXY for the calculations\n var pointers = [];\n var i = 0;\n while (i < input.pointers.length) {\n pointers[i] = {\n clientX: round(input.pointers[i].clientX),\n clientY: round(input.pointers[i].clientY)\n };\n i++;\n }\n\n return {\n timeStamp: now(),\n pointers: pointers,\n center: getCenter(pointers),\n deltaX: input.deltaX,\n deltaY: input.deltaY\n };\n}\n\n/**\n * get the center of all the pointers\n * @param {Array} pointers\n * @return {Object} center contains `x` and `y` properties\n */\nfunction getCenter(pointers) {\n var pointersLength = pointers.length;\n\n // no need to loop when only one touch\n if (pointersLength === 1) {\n return {\n x: round(pointers[0].clientX),\n y: round(pointers[0].clientY)\n };\n }\n\n var x = 0, y = 0, i = 0;\n while (i < pointersLength) {\n x += pointers[i].clientX;\n y += pointers[i].clientY;\n i++;\n }\n\n return {\n x: round(x / pointersLength),\n y: round(y / pointersLength)\n };\n}\n\n/**\n * calculate the velocity between two points. unit is in px per ms.\n * @param {Number} deltaTime\n * @param {Number} x\n * @param {Number} y\n * @return {Object} velocity `x` and `y`\n */\nfunction getVelocity(deltaTime, x, y) {\n return {\n x: x / deltaTime || 0,\n y: y / deltaTime || 0\n };\n}\n\n/**\n * get the direction between two points\n * @param {Number} x\n * @param {Number} y\n * @return {Number} direction\n */\nfunction getDirection(x, y) {\n if (x === y) {\n return DIRECTION_NONE;\n }\n\n if (abs(x) >= abs(y)) {\n return x < 0 ? DIRECTION_LEFT : DIRECTION_RIGHT;\n }\n return y < 0 ? DIRECTION_UP : DIRECTION_DOWN;\n}\n\n/**\n * calculate the absolute distance between two points\n * @param {Object} p1 {x, y}\n * @param {Object} p2 {x, y}\n * @param {Array} [props] containing x and y keys\n * @return {Number} distance\n */\nfunction getDistance(p1, p2, props) {\n if (!props) {\n props = PROPS_XY;\n }\n var x = p2[props[0]] - p1[props[0]],\n y = p2[props[1]] - p1[props[1]];\n\n return Math.sqrt((x * x) + (y * y));\n}\n\n/**\n * calculate the angle between two coordinates\n * @param {Object} p1\n * @param {Object} p2\n * @param {Array} [props] containing x and y keys\n * @return {Number} angle\n */\nfunction getAngle(p1, p2, props) {\n if (!props) {\n props = PROPS_XY;\n }\n var x = p2[props[0]] - p1[props[0]],\n y = p2[props[1]] - p1[props[1]];\n return Math.atan2(y, x) * 180 / Math.PI;\n}\n\n/**\n * calculate the rotation degrees between two pointersets\n * @param {Array} start array of pointers\n * @param {Array} end array of pointers\n * @return {Number} rotation\n */\nfunction getRotation(start, end) {\n return getAngle(end[1], end[0], PROPS_CLIENT_XY) + getAngle(start[1], start[0], PROPS_CLIENT_XY);\n}\n\n/**\n * calculate the scale factor between two pointersets\n * no scale is 1, and goes down to 0 when pinched together, and bigger when pinched out\n * @param {Array} start array of pointers\n * @param {Array} end array of pointers\n * @return {Number} scale\n */\nfunction getScale(start, end) {\n return getDistance(end[0], end[1], PROPS_CLIENT_XY) / getDistance(start[0], start[1], PROPS_CLIENT_XY);\n}\n\nvar MOUSE_INPUT_MAP = {\n mousedown: INPUT_START,\n mousemove: INPUT_MOVE,\n mouseup: INPUT_END\n};\n\nvar MOUSE_ELEMENT_EVENTS = 'mousedown';\nvar MOUSE_WINDOW_EVENTS = 'mousemove mouseup';\n\n/**\n * Mouse events input\n * @constructor\n * @extends Input\n */\nfunction MouseInput() {\n this.evEl = MOUSE_ELEMENT_EVENTS;\n this.evWin = MOUSE_WINDOW_EVENTS;\n\n this.pressed = false; // mousedown state\n\n Input.apply(this, arguments);\n}\n\ninherit(MouseInput, Input, {\n /**\n * handle mouse events\n * @param {Object} ev\n */\n handler: function MEhandler(ev) {\n var eventType = MOUSE_INPUT_MAP[ev.type];\n\n // on start we want to have the left mouse button down\n if (eventType & INPUT_START && ev.button === 0) {\n this.pressed = true;\n }\n\n if (eventType & INPUT_MOVE && ev.which !== 1) {\n eventType = INPUT_END;\n }\n\n // mouse must be down\n if (!this.pressed) {\n return;\n }\n\n if (eventType & INPUT_END) {\n this.pressed = false;\n }\n\n this.callback(this.manager, eventType, {\n pointers: [ev],\n changedPointers: [ev],\n pointerType: INPUT_TYPE_MOUSE,\n srcEvent: ev\n });\n }\n});\n\nvar POINTER_INPUT_MAP = {\n pointerdown: INPUT_START,\n pointermove: INPUT_MOVE,\n pointerup: INPUT_END,\n pointercancel: INPUT_CANCEL,\n pointerout: INPUT_CANCEL\n};\n\n// in IE10 the pointer types is defined as an enum\nvar IE10_POINTER_TYPE_ENUM = {\n 2: INPUT_TYPE_TOUCH,\n 3: INPUT_TYPE_PEN,\n 4: INPUT_TYPE_MOUSE,\n 5: INPUT_TYPE_KINECT // see https://twitter.com/jacobrossi/status/480596438489890816\n};\n\nvar POINTER_ELEMENT_EVENTS = 'pointerdown';\nvar POINTER_WINDOW_EVENTS = 'pointermove pointerup pointercancel';\n\n// IE10 has prefixed support, and case-sensitive\nif (window.MSPointerEvent && !window.PointerEvent) {\n POINTER_ELEMENT_EVENTS = 'MSPointerDown';\n POINTER_WINDOW_EVENTS = 'MSPointerMove MSPointerUp MSPointerCancel';\n}\n\n/**\n * Pointer events input\n * @constructor\n * @extends Input\n */\nfunction PointerEventInput() {\n this.evEl = POINTER_ELEMENT_EVENTS;\n this.evWin = POINTER_WINDOW_EVENTS;\n\n Input.apply(this, arguments);\n\n this.store = (this.manager.session.pointerEvents = []);\n}\n\ninherit(PointerEventInput, Input, {\n /**\n * handle mouse events\n * @param {Object} ev\n */\n handler: function PEhandler(ev) {\n var store = this.store;\n var removePointer = false;\n\n var eventTypeNormalized = ev.type.toLowerCase().replace('ms', '');\n var eventType = POINTER_INPUT_MAP[eventTypeNormalized];\n var pointerType = IE10_POINTER_TYPE_ENUM[ev.pointerType] || ev.pointerType;\n\n var isTouch = (pointerType == INPUT_TYPE_TOUCH);\n\n // get index of the event in the store\n var storeIndex = inArray(store, ev.pointerId, 'pointerId');\n\n // start and mouse must be down\n if (eventType & INPUT_START && (ev.button === 0 || isTouch)) {\n if (storeIndex < 0) {\n store.push(ev);\n storeIndex = store.length - 1;\n }\n } else if (eventType & (INPUT_END | INPUT_CANCEL)) {\n removePointer = true;\n }\n\n // it not found, so the pointer hasn't been down (so it's probably a hover)\n if (storeIndex < 0) {\n return;\n }\n\n // update the event in the store\n store[storeIndex] = ev;\n\n this.callback(this.manager, eventType, {\n pointers: store,\n changedPointers: [ev],\n pointerType: pointerType,\n srcEvent: ev\n });\n\n if (removePointer) {\n // remove from the store\n store.splice(storeIndex, 1);\n }\n }\n});\n\nvar SINGLE_TOUCH_INPUT_MAP = {\n touchstart: INPUT_START,\n touchmove: INPUT_MOVE,\n touchend: INPUT_END,\n touchcancel: INPUT_CANCEL\n};\n\nvar SINGLE_TOUCH_TARGET_EVENTS = 'touchstart';\nvar SINGLE_TOUCH_WINDOW_EVENTS = 'touchstart touchmove touchend touchcancel';\n\n/**\n * Touch events input\n * @constructor\n * @extends Input\n */\nfunction SingleTouchInput() {\n this.evTarget = SINGLE_TOUCH_TARGET_EVENTS;\n this.evWin = SINGLE_TOUCH_WINDOW_EVENTS;\n this.started = false;\n\n Input.apply(this, arguments);\n}\n\ninherit(SingleTouchInput, Input, {\n handler: function TEhandler(ev) {\n var type = SINGLE_TOUCH_INPUT_MAP[ev.type];\n\n // should we handle the touch events?\n if (type === INPUT_START) {\n this.started = true;\n }\n\n if (!this.started) {\n return;\n }\n\n var touches = normalizeSingleTouches.call(this, ev, type);\n\n // when done, reset the started state\n if (type & (INPUT_END | INPUT_CANCEL) && touches[0].length - touches[1].length === 0) {\n this.started = false;\n }\n\n this.callback(this.manager, type, {\n pointers: touches[0],\n changedPointers: touches[1],\n pointerType: INPUT_TYPE_TOUCH,\n srcEvent: ev\n });\n }\n});\n\n/**\n * @this {TouchInput}\n * @param {Object} ev\n * @param {Number} type flag\n * @returns {undefined|Array} [all, changed]\n */\nfunction normalizeSingleTouches(ev, type) {\n var all = toArray(ev.touches);\n var changed = toArray(ev.changedTouches);\n\n if (type & (INPUT_END | INPUT_CANCEL)) {\n all = uniqueArray(all.concat(changed), 'identifier', true);\n }\n\n return [all, changed];\n}\n\nvar TOUCH_INPUT_MAP = {\n touchstart: INPUT_START,\n touchmove: INPUT_MOVE,\n touchend: INPUT_END,\n touchcancel: INPUT_CANCEL\n};\n\nvar TOUCH_TARGET_EVENTS = 'touchstart touchmove touchend touchcancel';\n\n/**\n * Multi-user touch events input\n * @constructor\n * @extends Input\n */\nfunction TouchInput() {\n this.evTarget = TOUCH_TARGET_EVENTS;\n this.targetIds = {};\n\n Input.apply(this, arguments);\n}\n\ninherit(TouchInput, Input, {\n handler: function MTEhandler(ev) {\n var type = TOUCH_INPUT_MAP[ev.type];\n var touches = getTouches.call(this, ev, type);\n if (!touches) {\n return;\n }\n\n this.callback(this.manager, type, {\n pointers: touches[0],\n changedPointers: touches[1],\n pointerType: INPUT_TYPE_TOUCH,\n srcEvent: ev\n });\n }\n});\n\n/**\n * @this {TouchInput}\n * @param {Object} ev\n * @param {Number} type flag\n * @returns {undefined|Array} [all, changed]\n */\nfunction getTouches(ev, type) {\n var allTouches = toArray(ev.touches);\n var targetIds = this.targetIds;\n\n // when there is only one touch, the process can be simplified\n if (type & (INPUT_START | INPUT_MOVE) && allTouches.length === 1) {\n targetIds[allTouches[0].identifier] = true;\n return [allTouches, allTouches];\n }\n\n var i,\n targetTouches,\n changedTouches = toArray(ev.changedTouches),\n changedTargetTouches = [],\n target = this.target;\n\n // get target touches from touches\n targetTouches = allTouches.filter(function(touch) {\n return hasParent(touch.target, target);\n });\n\n // collect touches\n if (type === INPUT_START) {\n i = 0;\n while (i < targetTouches.length) {\n targetIds[targetTouches[i].identifier] = true;\n i++;\n }\n }\n\n // filter changed touches to only contain touches that exist in the collected target ids\n i = 0;\n while (i < changedTouches.length) {\n if (targetIds[changedTouches[i].identifier]) {\n changedTargetTouches.push(changedTouches[i]);\n }\n\n // cleanup removed touches\n if (type & (INPUT_END | INPUT_CANCEL)) {\n delete targetIds[changedTouches[i].identifier];\n }\n i++;\n }\n\n if (!changedTargetTouches.length) {\n return;\n }\n\n return [\n // merge targetTouches with changedTargetTouches so it contains ALL touches, including 'end' and 'cancel'\n uniqueArray(targetTouches.concat(changedTargetTouches), 'identifier', true),\n changedTargetTouches\n ];\n}\n\n/**\n * Combined touch and mouse input\n *\n * Touch has a higher priority then mouse, and while touching no mouse events are allowed.\n * This because touch devices also emit mouse events while doing a touch.\n *\n * @constructor\n * @extends Input\n */\n\nvar DEDUP_TIMEOUT = 2500;\nvar DEDUP_DISTANCE = 25;\n\nfunction TouchMouseInput() {\n Input.apply(this, arguments);\n\n var handler = bindFn(this.handler, this);\n this.touch = new TouchInput(this.manager, handler);\n this.mouse = new MouseInput(this.manager, handler);\n\n this.primaryTouch = null;\n this.lastTouches = [];\n}\n\ninherit(TouchMouseInput, Input, {\n /**\n * handle mouse and touch events\n * @param {Hammer} manager\n * @param {String} inputEvent\n * @param {Object} inputData\n */\n handler: function TMEhandler(manager, inputEvent, inputData) {\n var isTouch = (inputData.pointerType == INPUT_TYPE_TOUCH),\n isMouse = (inputData.pointerType == INPUT_TYPE_MOUSE);\n\n if (isMouse && inputData.sourceCapabilities && inputData.sourceCapabilities.firesTouchEvents) {\n return;\n }\n\n // when we're in a touch event, record touches to de-dupe synthetic mouse event\n if (isTouch) {\n recordTouches.call(this, inputEvent, inputData);\n } else if (isMouse && isSyntheticEvent.call(this, inputData)) {\n return;\n }\n\n this.callback(manager, inputEvent, inputData);\n },\n\n /**\n * remove the event listeners\n */\n destroy: function destroy() {\n this.touch.destroy();\n this.mouse.destroy();\n }\n});\n\nfunction recordTouches(eventType, eventData) {\n if (eventType & INPUT_START) {\n this.primaryTouch = eventData.changedPointers[0].identifier;\n setLastTouch.call(this, eventData);\n } else if (eventType & (INPUT_END | INPUT_CANCEL)) {\n setLastTouch.call(this, eventData);\n }\n}\n\nfunction setLastTouch(eventData) {\n var touch = eventData.changedPointers[0];\n\n if (touch.identifier === this.primaryTouch) {\n var lastTouch = {x: touch.clientX, y: touch.clientY};\n this.lastTouches.push(lastTouch);\n var lts = this.lastTouches;\n var removeLastTouch = function() {\n var i = lts.indexOf(lastTouch);\n if (i > -1) {\n lts.splice(i, 1);\n }\n };\n setTimeout(removeLastTouch, DEDUP_TIMEOUT);\n }\n}\n\nfunction isSyntheticEvent(eventData) {\n var x = eventData.srcEvent.clientX, y = eventData.srcEvent.clientY;\n for (var i = 0; i < this.lastTouches.length; i++) {\n var t = this.lastTouches[i];\n var dx = Math.abs(x - t.x), dy = Math.abs(y - t.y);\n if (dx <= DEDUP_DISTANCE && dy <= DEDUP_DISTANCE) {\n return true;\n }\n }\n return false;\n}\n\nvar PREFIXED_TOUCH_ACTION = prefixed(TEST_ELEMENT.style, 'touchAction');\nvar NATIVE_TOUCH_ACTION = PREFIXED_TOUCH_ACTION !== undefined;\n\n// magical touchAction value\nvar TOUCH_ACTION_COMPUTE = 'compute';\nvar TOUCH_ACTION_AUTO = 'auto';\nvar TOUCH_ACTION_MANIPULATION = 'manipulation'; // not implemented\nvar TOUCH_ACTION_NONE = 'none';\nvar TOUCH_ACTION_PAN_X = 'pan-x';\nvar TOUCH_ACTION_PAN_Y = 'pan-y';\nvar TOUCH_ACTION_MAP = getTouchActionProps();\n\n/**\n * Touch Action\n * sets the touchAction property or uses the js alternative\n * @param {Manager} manager\n * @param {String} value\n * @constructor\n */\nfunction TouchAction(manager, value) {\n this.manager = manager;\n this.set(value);\n}\n\nTouchAction.prototype = {\n /**\n * set the touchAction value on the element or enable the polyfill\n * @param {String} value\n */\n set: function(value) {\n // find out the touch-action by the event handlers\n if (value == TOUCH_ACTION_COMPUTE) {\n value = this.compute();\n }\n\n if (NATIVE_TOUCH_ACTION && this.manager.element.style && TOUCH_ACTION_MAP[value]) {\n this.manager.element.style[PREFIXED_TOUCH_ACTION] = value;\n }\n this.actions = value.toLowerCase().trim();\n },\n\n /**\n * just re-set the touchAction value\n */\n update: function() {\n this.set(this.manager.options.touchAction);\n },\n\n /**\n * compute the value for the touchAction property based on the recognizer's settings\n * @returns {String} value\n */\n compute: function() {\n var actions = [];\n each(this.manager.recognizers, function(recognizer) {\n if (boolOrFn(recognizer.options.enable, [recognizer])) {\n actions = actions.concat(recognizer.getTouchAction());\n }\n });\n return cleanTouchActions(actions.join(' '));\n },\n\n /**\n * this method is called on each input cycle and provides the preventing of the browser behavior\n * @param {Object} input\n */\n preventDefaults: function(input) {\n var srcEvent = input.srcEvent;\n var direction = input.offsetDirection;\n\n // if the touch action did prevented once this session\n if (this.manager.session.prevented) {\n srcEvent.preventDefault();\n return;\n }\n\n var actions = this.actions;\n var hasNone = inStr(actions, TOUCH_ACTION_NONE) && !TOUCH_ACTION_MAP[TOUCH_ACTION_NONE];\n var hasPanY = inStr(actions, TOUCH_ACTION_PAN_Y) && !TOUCH_ACTION_MAP[TOUCH_ACTION_PAN_Y];\n var hasPanX = inStr(actions, TOUCH_ACTION_PAN_X) && !TOUCH_ACTION_MAP[TOUCH_ACTION_PAN_X];\n\n if (hasNone) {\n //do not prevent defaults if this is a tap gesture\n\n var isTapPointer = input.pointers.length === 1;\n var isTapMovement = input.distance < 2;\n var isTapTouchTime = input.deltaTime < 250;\n\n if (isTapPointer && isTapMovement && isTapTouchTime) {\n return;\n }\n }\n\n if (hasPanX && hasPanY) {\n // `pan-x pan-y` means browser handles all scrolling/panning, do not prevent\n return;\n }\n\n if (hasNone ||\n (hasPanY && direction & DIRECTION_HORIZONTAL) ||\n (hasPanX && direction & DIRECTION_VERTICAL)) {\n return this.preventSrc(srcEvent);\n }\n },\n\n /**\n * call preventDefault to prevent the browser's default behavior (scrolling in most cases)\n * @param {Object} srcEvent\n */\n preventSrc: function(srcEvent) {\n this.manager.session.prevented = true;\n srcEvent.preventDefault();\n }\n};\n\n/**\n * when the touchActions are collected they are not a valid value, so we need to clean things up. *\n * @param {String} actions\n * @returns {*}\n */\nfunction cleanTouchActions(actions) {\n // none\n if (inStr(actions, TOUCH_ACTION_NONE)) {\n return TOUCH_ACTION_NONE;\n }\n\n var hasPanX = inStr(actions, TOUCH_ACTION_PAN_X);\n var hasPanY = inStr(actions, TOUCH_ACTION_PAN_Y);\n\n // if both pan-x and pan-y are set (different recognizers\n // for different directions, e.g. horizontal pan but vertical swipe?)\n // we need none (as otherwise with pan-x pan-y combined none of these\n // recognizers will work, since the browser would handle all panning\n if (hasPanX && hasPanY) {\n return TOUCH_ACTION_NONE;\n }\n\n // pan-x OR pan-y\n if (hasPanX || hasPanY) {\n return hasPanX ? TOUCH_ACTION_PAN_X : TOUCH_ACTION_PAN_Y;\n }\n\n // manipulation\n if (inStr(actions, TOUCH_ACTION_MANIPULATION)) {\n return TOUCH_ACTION_MANIPULATION;\n }\n\n return TOUCH_ACTION_AUTO;\n}\n\nfunction getTouchActionProps() {\n if (!NATIVE_TOUCH_ACTION) {\n return false;\n }\n var touchMap = {};\n var cssSupports = window.CSS && window.CSS.supports;\n ['auto', 'manipulation', 'pan-y', 'pan-x', 'pan-x pan-y', 'none'].forEach(function(val) {\n\n // If css.supports is not supported but there is native touch-action assume it supports\n // all values. This is the case for IE 10 and 11.\n touchMap[val] = cssSupports ? window.CSS.supports('touch-action', val) : true;\n });\n return touchMap;\n}\n\n/**\n * Recognizer flow explained; *\n * All recognizers have the initial state of POSSIBLE when a input session starts.\n * The definition of a input session is from the first input until the last input, with all it's movement in it. *\n * Example session for mouse-input: mousedown -> mousemove -> mouseup\n *\n * On each recognizing cycle (see Manager.recognize) the .recognize() method is executed\n * which determines with state it should be.\n *\n * If the recognizer has the state FAILED, CANCELLED or RECOGNIZED (equals ENDED), it is reset to\n * POSSIBLE to give it another change on the next cycle.\n *\n * Possible\n * |\n * +-----+---------------+\n * | |\n * +-----+-----+ |\n * | | |\n * Failed Cancelled |\n * +-------+------+\n * | |\n * Recognized Began\n * |\n * Changed\n * |\n * Ended/Recognized\n */\nvar STATE_POSSIBLE = 1;\nvar STATE_BEGAN = 2;\nvar STATE_CHANGED = 4;\nvar STATE_ENDED = 8;\nvar STATE_RECOGNIZED = STATE_ENDED;\nvar STATE_CANCELLED = 16;\nvar STATE_FAILED = 32;\n\n/**\n * Recognizer\n * Every recognizer needs to extend from this class.\n * @constructor\n * @param {Object} options\n */\nfunction Recognizer(options) {\n this.options = assign({}, this.defaults, options || {});\n\n this.id = uniqueId();\n\n this.manager = null;\n\n // default is enable true\n this.options.enable = ifUndefined(this.options.enable, true);\n\n this.state = STATE_POSSIBLE;\n\n this.simultaneous = {};\n this.requireFail = [];\n}\n\nRecognizer.prototype = {\n /**\n * @virtual\n * @type {Object}\n */\n defaults: {},\n\n /**\n * set options\n * @param {Object} options\n * @return {Recognizer}\n */\n set: function(options) {\n assign(this.options, options);\n\n // also update the touchAction, in case something changed about the directions/enabled state\n this.manager && this.manager.touchAction.update();\n return this;\n },\n\n /**\n * recognize simultaneous with an other recognizer.\n * @param {Recognizer} otherRecognizer\n * @returns {Recognizer} this\n */\n recognizeWith: function(otherRecognizer) {\n if (invokeArrayArg(otherRecognizer, 'recognizeWith', this)) {\n return this;\n }\n\n var simultaneous = this.simultaneous;\n otherRecognizer = getRecognizerByNameIfManager(otherRecognizer, this);\n if (!simultaneous[otherRecognizer.id]) {\n simultaneous[otherRecognizer.id] = otherRecognizer;\n otherRecognizer.recognizeWith(this);\n }\n return this;\n },\n\n /**\n * drop the simultaneous link. it doesnt remove the link on the other recognizer.\n * @param {Recognizer} otherRecognizer\n * @returns {Recognizer} this\n */\n dropRecognizeWith: function(otherRecognizer) {\n if (invokeArrayArg(otherRecognizer, 'dropRecognizeWith', this)) {\n return this;\n }\n\n otherRecognizer = getRecognizerByNameIfManager(otherRecognizer, this);\n delete this.simultaneous[otherRecognizer.id];\n return this;\n },\n\n /**\n * recognizer can only run when an other is failing\n * @param {Recognizer} otherRecognizer\n * @returns {Recognizer} this\n */\n requireFailure: function(otherRecognizer) {\n if (invokeArrayArg(otherRecognizer, 'requireFailure', this)) {\n return this;\n }\n\n var requireFail = this.requireFail;\n otherRecognizer = getRecognizerByNameIfManager(otherRecognizer, this);\n if (inArray(requireFail, otherRecognizer) === -1) {\n requireFail.push(otherRecognizer);\n otherRecognizer.requireFailure(this);\n }\n return this;\n },\n\n /**\n * drop the requireFailure link. it does not remove the link on the other recognizer.\n * @param {Recognizer} otherRecognizer\n * @returns {Recognizer} this\n */\n dropRequireFailure: function(otherRecognizer) {\n if (invokeArrayArg(otherRecognizer, 'dropRequireFailure', this)) {\n return this;\n }\n\n otherRecognizer = getRecognizerByNameIfManager(otherRecognizer, this);\n var index = inArray(this.requireFail, otherRecognizer);\n if (index > -1) {\n this.requireFail.splice(index, 1);\n }\n return this;\n },\n\n /**\n * has require failures boolean\n * @returns {boolean}\n */\n hasRequireFailures: function() {\n return this.requireFail.length > 0;\n },\n\n /**\n * if the recognizer can recognize simultaneous with an other recognizer\n * @param {Recognizer} otherRecognizer\n * @returns {Boolean}\n */\n canRecognizeWith: function(otherRecognizer) {\n return !!this.simultaneous[otherRecognizer.id];\n },\n\n /**\n * You should use `tryEmit` instead of `emit` directly to check\n * that all the needed recognizers has failed before emitting.\n * @param {Object} input\n */\n emit: function(input) {\n var self = this;\n var state = this.state;\n\n function emit(event) {\n self.manager.emit(event, input);\n }\n\n // 'panstart' and 'panmove'\n if (state < STATE_ENDED) {\n emit(self.options.event + stateStr(state));\n }\n\n emit(self.options.event); // simple 'eventName' events\n\n if (input.additionalEvent) { // additional event(panleft, panright, pinchin, pinchout...)\n emit(input.additionalEvent);\n }\n\n // panend and pancancel\n if (state >= STATE_ENDED) {\n emit(self.options.event + stateStr(state));\n }\n },\n\n /**\n * Check that all the require failure recognizers has failed,\n * if true, it emits a gesture event,\n * otherwise, setup the state to FAILED.\n * @param {Object} input\n */\n tryEmit: function(input) {\n if (this.canEmit()) {\n return this.emit(input);\n }\n // it's failing anyway\n this.state = STATE_FAILED;\n },\n\n /**\n * can we emit?\n * @returns {boolean}\n */\n canEmit: function() {\n var i = 0;\n while (i < this.requireFail.length) {\n if (!(this.requireFail[i].state & (STATE_FAILED | STATE_POSSIBLE))) {\n return false;\n }\n i++;\n }\n return true;\n },\n\n /**\n * update the recognizer\n * @param {Object} inputData\n */\n recognize: function(inputData) {\n // make a new copy of the inputData\n // so we can change the inputData without messing up the other recognizers\n var inputDataClone = assign({}, inputData);\n\n // is is enabled and allow recognizing?\n if (!boolOrFn(this.options.enable, [this, inputDataClone])) {\n this.reset();\n this.state = STATE_FAILED;\n return;\n }\n\n // reset when we've reached the end\n if (this.state & (STATE_RECOGNIZED | STATE_CANCELLED | STATE_FAILED)) {\n this.state = STATE_POSSIBLE;\n }\n\n this.state = this.process(inputDataClone);\n\n // the recognizer has recognized a gesture\n // so trigger an event\n if (this.state & (STATE_BEGAN | STATE_CHANGED | STATE_ENDED | STATE_CANCELLED)) {\n this.tryEmit(inputDataClone);\n }\n },\n\n /**\n * return the state of the recognizer\n * the actual recognizing happens in this method\n * @virtual\n * @param {Object} inputData\n * @returns {Const} STATE\n */\n process: function(inputData) { }, // jshint ignore:line\n\n /**\n * return the preferred touch-action\n * @virtual\n * @returns {Array}\n */\n getTouchAction: function() { },\n\n /**\n * called when the gesture isn't allowed to recognize\n * like when another is being recognized or it is disabled\n * @virtual\n */\n reset: function() { }\n};\n\n/**\n * get a usable string, used as event postfix\n * @param {Const} state\n * @returns {String} state\n */\nfunction stateStr(state) {\n if (state & STATE_CANCELLED) {\n return 'cancel';\n } else if (state & STATE_ENDED) {\n return 'end';\n } else if (state & STATE_CHANGED) {\n return 'move';\n } else if (state & STATE_BEGAN) {\n return 'start';\n }\n return '';\n}\n\n/**\n * direction cons to string\n * @param {Const} direction\n * @returns {String}\n */\nfunction directionStr(direction) {\n if (direction == DIRECTION_DOWN) {\n return 'down';\n } else if (direction == DIRECTION_UP) {\n return 'up';\n } else if (direction == DIRECTION_LEFT) {\n return 'left';\n } else if (direction == DIRECTION_RIGHT) {\n return 'right';\n }\n return '';\n}\n\n/**\n * get a recognizer by name if it is bound to a manager\n * @param {Recognizer|String} otherRecognizer\n * @param {Recognizer} recognizer\n * @returns {Recognizer}\n */\nfunction getRecognizerByNameIfManager(otherRecognizer, recognizer) {\n var manager = recognizer.manager;\n if (manager) {\n return manager.get(otherRecognizer);\n }\n return otherRecognizer;\n}\n\n/**\n * This recognizer is just used as a base for the simple attribute recognizers.\n * @constructor\n * @extends Recognizer\n */\nfunction AttrRecognizer() {\n Recognizer.apply(this, arguments);\n}\n\ninherit(AttrRecognizer, Recognizer, {\n /**\n * @namespace\n * @memberof AttrRecognizer\n */\n defaults: {\n /**\n * @type {Number}\n * @default 1\n */\n pointers: 1\n },\n\n /**\n * Used to check if it the recognizer receives valid input, like input.distance > 10.\n * @memberof AttrRecognizer\n * @param {Object} input\n * @returns {Boolean} recognized\n */\n attrTest: function(input) {\n var optionPointers = this.options.pointers;\n return optionPointers === 0 || input.pointers.length === optionPointers;\n },\n\n /**\n * Process the input and return the state for the recognizer\n * @memberof AttrRecognizer\n * @param {Object} input\n * @returns {*} State\n */\n process: function(input) {\n var state = this.state;\n var eventType = input.eventType;\n\n var isRecognized = state & (STATE_BEGAN | STATE_CHANGED);\n var isValid = this.attrTest(input);\n\n // on cancel input and we've recognized before, return STATE_CANCELLED\n if (isRecognized && (eventType & INPUT_CANCEL || !isValid)) {\n return state | STATE_CANCELLED;\n } else if (isRecognized || isValid) {\n if (eventType & INPUT_END) {\n return state | STATE_ENDED;\n } else if (!(state & STATE_BEGAN)) {\n return STATE_BEGAN;\n }\n return state | STATE_CHANGED;\n }\n return STATE_FAILED;\n }\n});\n\n/**\n * Pan\n * Recognized when the pointer is down and moved in the allowed direction.\n * @constructor\n * @extends AttrRecognizer\n */\nfunction PanRecognizer() {\n AttrRecognizer.apply(this, arguments);\n\n this.pX = null;\n this.pY = null;\n}\n\ninherit(PanRecognizer, AttrRecognizer, {\n /**\n * @namespace\n * @memberof PanRecognizer\n */\n defaults: {\n event: 'pan',\n threshold: 10,\n pointers: 1,\n direction: DIRECTION_ALL\n },\n\n getTouchAction: function() {\n var direction = this.options.direction;\n var actions = [];\n if (direction & DIRECTION_HORIZONTAL) {\n actions.push(TOUCH_ACTION_PAN_Y);\n }\n if (direction & DIRECTION_VERTICAL) {\n actions.push(TOUCH_ACTION_PAN_X);\n }\n return actions;\n },\n\n directionTest: function(input) {\n var options = this.options;\n var hasMoved = true;\n var distance = input.distance;\n var direction = input.direction;\n var x = input.deltaX;\n var y = input.deltaY;\n\n // lock to axis?\n if (!(direction & options.direction)) {\n if (options.direction & DIRECTION_HORIZONTAL) {\n direction = (x === 0) ? DIRECTION_NONE : (x < 0) ? DIRECTION_LEFT : DIRECTION_RIGHT;\n hasMoved = x != this.pX;\n distance = Math.abs(input.deltaX);\n } else {\n direction = (y === 0) ? DIRECTION_NONE : (y < 0) ? DIRECTION_UP : DIRECTION_DOWN;\n hasMoved = y != this.pY;\n distance = Math.abs(input.deltaY);\n }\n }\n input.direction = direction;\n return hasMoved && distance > options.threshold && direction & options.direction;\n },\n\n attrTest: function(input) {\n return AttrRecognizer.prototype.attrTest.call(this, input) &&\n (this.state & STATE_BEGAN || (!(this.state & STATE_BEGAN) && this.directionTest(input)));\n },\n\n emit: function(input) {\n\n this.pX = input.deltaX;\n this.pY = input.deltaY;\n\n var direction = directionStr(input.direction);\n\n if (direction) {\n input.additionalEvent = this.options.event + direction;\n }\n this._super.emit.call(this, input);\n }\n});\n\n/**\n * Pinch\n * Recognized when two or more pointers are moving toward (zoom-in) or away from each other (zoom-out).\n * @constructor\n * @extends AttrRecognizer\n */\nfunction PinchRecognizer() {\n AttrRecognizer.apply(this, arguments);\n}\n\ninherit(PinchRecognizer, AttrRecognizer, {\n /**\n * @namespace\n * @memberof PinchRecognizer\n */\n defaults: {\n event: 'pinch',\n threshold: 0,\n pointers: 2\n },\n\n getTouchAction: function() {\n return [TOUCH_ACTION_NONE];\n },\n\n attrTest: function(input) {\n return this._super.attrTest.call(this, input) &&\n (Math.abs(input.scale - 1) > this.options.threshold || this.state & STATE_BEGAN);\n },\n\n emit: function(input) {\n if (input.scale !== 1) {\n var inOut = input.scale < 1 ? 'in' : 'out';\n input.additionalEvent = this.options.event + inOut;\n }\n this._super.emit.call(this, input);\n }\n});\n\n/**\n * Press\n * Recognized when the pointer is down for x ms without any movement.\n * @constructor\n * @extends Recognizer\n */\nfunction PressRecognizer() {\n Recognizer.apply(this, arguments);\n\n this._timer = null;\n this._input = null;\n}\n\ninherit(PressRecognizer, Recognizer, {\n /**\n * @namespace\n * @memberof PressRecognizer\n */\n defaults: {\n event: 'press',\n pointers: 1,\n time: 251, // minimal time of the pointer to be pressed\n threshold: 9 // a minimal movement is ok, but keep it low\n },\n\n getTouchAction: function() {\n return [TOUCH_ACTION_AUTO];\n },\n\n process: function(input) {\n var options = this.options;\n var validPointers = input.pointers.length === options.pointers;\n var validMovement = input.distance < options.threshold;\n var validTime = input.deltaTime > options.time;\n\n this._input = input;\n\n // we only allow little movement\n // and we've reached an end event, so a tap is possible\n if (!validMovement || !validPointers || (input.eventType & (INPUT_END | INPUT_CANCEL) && !validTime)) {\n this.reset();\n } else if (input.eventType & INPUT_START) {\n this.reset();\n this._timer = setTimeoutContext(function() {\n this.state = STATE_RECOGNIZED;\n this.tryEmit();\n }, options.time, this);\n } else if (input.eventType & INPUT_END) {\n return STATE_RECOGNIZED;\n }\n return STATE_FAILED;\n },\n\n reset: function() {\n clearTimeout(this._timer);\n },\n\n emit: function(input) {\n if (this.state !== STATE_RECOGNIZED) {\n return;\n }\n\n if (input && (input.eventType & INPUT_END)) {\n this.manager.emit(this.options.event + 'up', input);\n } else {\n this._input.timeStamp = now();\n this.manager.emit(this.options.event, this._input);\n }\n }\n});\n\n/**\n * Rotate\n * Recognized when two or more pointer are moving in a circular motion.\n * @constructor\n * @extends AttrRecognizer\n */\nfunction RotateRecognizer() {\n AttrRecognizer.apply(this, arguments);\n}\n\ninherit(RotateRecognizer, AttrRecognizer, {\n /**\n * @namespace\n * @memberof RotateRecognizer\n */\n defaults: {\n event: 'rotate',\n threshold: 0,\n pointers: 2\n },\n\n getTouchAction: function() {\n return [TOUCH_ACTION_NONE];\n },\n\n attrTest: function(input) {\n return this._super.attrTest.call(this, input) &&\n (Math.abs(input.rotation) > this.options.threshold || this.state & STATE_BEGAN);\n }\n});\n\n/**\n * Swipe\n * Recognized when the pointer is moving fast (velocity), with enough distance in the allowed direction.\n * @constructor\n * @extends AttrRecognizer\n */\nfunction SwipeRecognizer() {\n AttrRecognizer.apply(this, arguments);\n}\n\ninherit(SwipeRecognizer, AttrRecognizer, {\n /**\n * @namespace\n * @memberof SwipeRecognizer\n */\n defaults: {\n event: 'swipe',\n threshold: 10,\n velocity: 0.3,\n direction: DIRECTION_HORIZONTAL | DIRECTION_VERTICAL,\n pointers: 1\n },\n\n getTouchAction: function() {\n return PanRecognizer.prototype.getTouchAction.call(this);\n },\n\n attrTest: function(input) {\n var direction = this.options.direction;\n var velocity;\n\n if (direction & (DIRECTION_HORIZONTAL | DIRECTION_VERTICAL)) {\n velocity = input.overallVelocity;\n } else if (direction & DIRECTION_HORIZONTAL) {\n velocity = input.overallVelocityX;\n } else if (direction & DIRECTION_VERTICAL) {\n velocity = input.overallVelocityY;\n }\n\n return this._super.attrTest.call(this, input) &&\n direction & input.offsetDirection &&\n input.distance > this.options.threshold &&\n input.maxPointers == this.options.pointers &&\n abs(velocity) > this.options.velocity && input.eventType & INPUT_END;\n },\n\n emit: function(input) {\n var direction = directionStr(input.offsetDirection);\n if (direction) {\n this.manager.emit(this.options.event + direction, input);\n }\n\n this.manager.emit(this.options.event, input);\n }\n});\n\n/**\n * A tap is ecognized when the pointer is doing a small tap/click. Multiple taps are recognized if they occur\n * between the given interval and position. The delay option can be used to recognize multi-taps without firing\n * a single tap.\n *\n * The eventData from the emitted event contains the property `tapCount`, which contains the amount of\n * multi-taps being recognized.\n * @constructor\n * @extends Recognizer\n */\nfunction TapRecognizer() {\n Recognizer.apply(this, arguments);\n\n // previous time and center,\n // used for tap counting\n this.pTime = false;\n this.pCenter = false;\n\n this._timer = null;\n this._input = null;\n this.count = 0;\n}\n\ninherit(TapRecognizer, Recognizer, {\n /**\n * @namespace\n * @memberof PinchRecognizer\n */\n defaults: {\n event: 'tap',\n pointers: 1,\n taps: 1,\n interval: 300, // max time between the multi-tap taps\n time: 250, // max time of the pointer to be down (like finger on the screen)\n threshold: 9, // a minimal movement is ok, but keep it low\n posThreshold: 10 // a multi-tap can be a bit off the initial position\n },\n\n getTouchAction: function() {\n return [TOUCH_ACTION_MANIPULATION];\n },\n\n process: function(input) {\n var options = this.options;\n\n var validPointers = input.pointers.length === options.pointers;\n var validMovement = input.distance < options.threshold;\n var validTouchTime = input.deltaTime < options.time;\n\n this.reset();\n\n if ((input.eventType & INPUT_START) && (this.count === 0)) {\n return this.failTimeout();\n }\n\n // we only allow little movement\n // and we've reached an end event, so a tap is possible\n if (validMovement && validTouchTime && validPointers) {\n if (input.eventType != INPUT_END) {\n return this.failTimeout();\n }\n\n var validInterval = this.pTime ? (input.timeStamp - this.pTime < options.interval) : true;\n var validMultiTap = !this.pCenter || getDistance(this.pCenter, input.center) < options.posThreshold;\n\n this.pTime = input.timeStamp;\n this.pCenter = input.center;\n\n if (!validMultiTap || !validInterval) {\n this.count = 1;\n } else {\n this.count += 1;\n }\n\n this._input = input;\n\n // if tap count matches we have recognized it,\n // else it has began recognizing...\n var tapCount = this.count % options.taps;\n if (tapCount === 0) {\n // no failing requirements, immediately trigger the tap event\n // or wait as long as the multitap interval to trigger\n if (!this.hasRequireFailures()) {\n return STATE_RECOGNIZED;\n } else {\n this._timer = setTimeoutContext(function() {\n this.state = STATE_RECOGNIZED;\n this.tryEmit();\n }, options.interval, this);\n return STATE_BEGAN;\n }\n }\n }\n return STATE_FAILED;\n },\n\n failTimeout: function() {\n this._timer = setTimeoutContext(function() {\n this.state = STATE_FAILED;\n }, this.options.interval, this);\n return STATE_FAILED;\n },\n\n reset: function() {\n clearTimeout(this._timer);\n },\n\n emit: function() {\n if (this.state == STATE_RECOGNIZED) {\n this._input.tapCount = this.count;\n this.manager.emit(this.options.event, this._input);\n }\n }\n});\n\n/**\n * Simple way to create a manager with a default set of recognizers.\n * @param {HTMLElement} element\n * @param {Object} [options]\n * @constructor\n */\nfunction Hammer(element, options) {\n options = options || {};\n options.recognizers = ifUndefined(options.recognizers, Hammer.defaults.preset);\n return new Manager(element, options);\n}\n\n/**\n * @const {string}\n */\nHammer.VERSION = '2.0.7';\n\n/**\n * default settings\n * @namespace\n */\nHammer.defaults = {\n /**\n * set if DOM events are being triggered.\n * But this is slower and unused by simple implementations, so disabled by default.\n * @type {Boolean}\n * @default false\n */\n domEvents: false,\n\n /**\n * The value for the touchAction property/fallback.\n * When set to `compute` it will magically set the correct value based on the added recognizers.\n * @type {String}\n * @default compute\n */\n touchAction: TOUCH_ACTION_COMPUTE,\n\n /**\n * @type {Boolean}\n * @default true\n */\n enable: true,\n\n /**\n * EXPERIMENTAL FEATURE -- can be removed/changed\n * Change the parent input target element.\n * If Null, then it is being set the to main element.\n * @type {Null|EventTarget}\n * @default null\n */\n inputTarget: null,\n\n /**\n * force an input class\n * @type {Null|Function}\n * @default null\n */\n inputClass: null,\n\n /**\n * Default recognizer setup when calling `Hammer()`\n * When creating a new Manager these will be skipped.\n * @type {Array}\n */\n preset: [\n // RecognizerClass, options, [recognizeWith, ...], [requireFailure, ...]\n [RotateRecognizer, {enable: false}],\n [PinchRecognizer, {enable: false}, ['rotate']],\n [SwipeRecognizer, {direction: DIRECTION_HORIZONTAL}],\n [PanRecognizer, {direction: DIRECTION_HORIZONTAL}, ['swipe']],\n [TapRecognizer],\n [TapRecognizer, {event: 'doubletap', taps: 2}, ['tap']],\n [PressRecognizer]\n ],\n\n /**\n * Some CSS properties can be used to improve the working of Hammer.\n * Add them to this method and they will be set when creating a new Manager.\n * @namespace\n */\n cssProps: {\n /**\n * Disables text selection to improve the dragging gesture. Mainly for desktop browsers.\n * @type {String}\n * @default 'none'\n */\n userSelect: 'none',\n\n /**\n * Disable the Windows Phone grippers when pressing an element.\n * @type {String}\n * @default 'none'\n */\n touchSelect: 'none',\n\n /**\n * Disables the default callout shown when you touch and hold a touch target.\n * On iOS, when you touch and hold a touch target such as a link, Safari displays\n * a callout containing information about the link. This property allows you to disable that callout.\n * @type {String}\n * @default 'none'\n */\n touchCallout: 'none',\n\n /**\n * Specifies whether zooming is enabled. Used by IE10>\n * @type {String}\n * @default 'none'\n */\n contentZooming: 'none',\n\n /**\n * Specifies that an entire element should be draggable instead of its contents. Mainly for desktop browsers.\n * @type {String}\n * @default 'none'\n */\n userDrag: 'none',\n\n /**\n * Overrides the highlight color shown when the user taps a link or a JavaScript\n * clickable element in iOS. This property obeys the alpha value, if specified.\n * @type {String}\n * @default 'rgba(0,0,0,0)'\n */\n tapHighlightColor: 'rgba(0,0,0,0)'\n }\n};\n\nvar STOP = 1;\nvar FORCED_STOP = 2;\n\n/**\n * Manager\n * @param {HTMLElement} element\n * @param {Object} [options]\n * @constructor\n */\nfunction Manager(element, options) {\n this.options = assign({}, Hammer.defaults, options || {});\n\n this.options.inputTarget = this.options.inputTarget || element;\n\n this.handlers = {};\n this.session = {};\n this.recognizers = [];\n this.oldCssProps = {};\n\n this.element = element;\n this.input = createInputInstance(this);\n this.touchAction = new TouchAction(this, this.options.touchAction);\n\n toggleCssProps(this, true);\n\n each(this.options.recognizers, function(item) {\n var recognizer = this.add(new (item[0])(item[1]));\n item[2] && recognizer.recognizeWith(item[2]);\n item[3] && recognizer.requireFailure(item[3]);\n }, this);\n}\n\nManager.prototype = {\n /**\n * set options\n * @param {Object} options\n * @returns {Manager}\n */\n set: function(options) {\n assign(this.options, options);\n\n // Options that need a little more setup\n if (options.touchAction) {\n this.touchAction.update();\n }\n if (options.inputTarget) {\n // Clean up existing event listeners and reinitialize\n this.input.destroy();\n this.input.target = options.inputTarget;\n this.input.init();\n }\n return this;\n },\n\n /**\n * stop recognizing for this session.\n * This session will be discarded, when a new [input]start event is fired.\n * When forced, the recognizer cycle is stopped immediately.\n * @param {Boolean} [force]\n */\n stop: function(force) {\n this.session.stopped = force ? FORCED_STOP : STOP;\n },\n\n /**\n * run the recognizers!\n * called by the inputHandler function on every movement of the pointers (touches)\n * it walks through all the recognizers and tries to detect the gesture that is being made\n * @param {Object} inputData\n */\n recognize: function(inputData) {\n var session = this.session;\n if (session.stopped) {\n return;\n }\n\n // run the touch-action polyfill\n this.touchAction.preventDefaults(inputData);\n\n var recognizer;\n var recognizers = this.recognizers;\n\n // this holds the recognizer that is being recognized.\n // so the recognizer's state needs to be BEGAN, CHANGED, ENDED or RECOGNIZED\n // if no recognizer is detecting a thing, it is set to `null`\n var curRecognizer = session.curRecognizer;\n\n // reset when the last recognizer is recognized\n // or when we're in a new session\n if (!curRecognizer || (curRecognizer && curRecognizer.state & STATE_RECOGNIZED)) {\n curRecognizer = session.curRecognizer = null;\n }\n\n var i = 0;\n while (i < recognizers.length) {\n recognizer = recognizers[i];\n\n // find out if we are allowed try to recognize the input for this one.\n // 1. allow if the session is NOT forced stopped (see the .stop() method)\n // 2. allow if we still haven't recognized a gesture in this session, or the this recognizer is the one\n // that is being recognized.\n // 3. allow if the recognizer is allowed to run simultaneous with the current recognized recognizer.\n // this can be setup with the `recognizeWith()` method on the recognizer.\n if (session.stopped !== FORCED_STOP && ( // 1\n !curRecognizer || recognizer == curRecognizer || // 2\n recognizer.canRecognizeWith(curRecognizer))) { // 3\n recognizer.recognize(inputData);\n } else {\n recognizer.reset();\n }\n\n // if the recognizer has been recognizing the input as a valid gesture, we want to store this one as the\n // current active recognizer. but only if we don't already have an active recognizer\n if (!curRecognizer && recognizer.state & (STATE_BEGAN | STATE_CHANGED | STATE_ENDED)) {\n curRecognizer = session.curRecognizer = recognizer;\n }\n i++;\n }\n },\n\n /**\n * get a recognizer by its event name.\n * @param {Recognizer|String} recognizer\n * @returns {Recognizer|Null}\n */\n get: function(recognizer) {\n if (recognizer instanceof Recognizer) {\n return recognizer;\n }\n\n var recognizers = this.recognizers;\n for (var i = 0; i < recognizers.length; i++) {\n if (recognizers[i].options.event == recognizer) {\n return recognizers[i];\n }\n }\n return null;\n },\n\n /**\n * add a recognizer to the manager\n * existing recognizers with the same event name will be removed\n * @param {Recognizer} recognizer\n * @returns {Recognizer|Manager}\n */\n add: function(recognizer) {\n if (invokeArrayArg(recognizer, 'add', this)) {\n return this;\n }\n\n // remove existing\n var existing = this.get(recognizer.options.event);\n if (existing) {\n this.remove(existing);\n }\n\n this.recognizers.push(recognizer);\n recognizer.manager = this;\n\n this.touchAction.update();\n return recognizer;\n },\n\n /**\n * remove a recognizer by name or instance\n * @param {Recognizer|String} recognizer\n * @returns {Manager}\n */\n remove: function(recognizer) {\n if (invokeArrayArg(recognizer, 'remove', this)) {\n return this;\n }\n\n recognizer = this.get(recognizer);\n\n // let's make sure this recognizer exists\n if (recognizer) {\n var recognizers = this.recognizers;\n var index = inArray(recognizers, recognizer);\n\n if (index !== -1) {\n recognizers.splice(index, 1);\n this.touchAction.update();\n }\n }\n\n return this;\n },\n\n /**\n * bind event\n * @param {String} events\n * @param {Function} handler\n * @returns {EventEmitter} this\n */\n on: function(events, handler) {\n if (events === undefined) {\n return;\n }\n if (handler === undefined) {\n return;\n }\n\n var handlers = this.handlers;\n each(splitStr(events), function(event) {\n handlers[event] = handlers[event] || [];\n handlers[event].push(handler);\n });\n return this;\n },\n\n /**\n * unbind event, leave emit blank to remove all handlers\n * @param {String} events\n * @param {Function} [handler]\n * @returns {EventEmitter} this\n */\n off: function(events, handler) {\n if (events === undefined) {\n return;\n }\n\n var handlers = this.handlers;\n each(splitStr(events), function(event) {\n if (!handler) {\n delete handlers[event];\n } else {\n handlers[event] && handlers[event].splice(inArray(handlers[event], handler), 1);\n }\n });\n return this;\n },\n\n /**\n * emit event to the listeners\n * @param {String} event\n * @param {Object} data\n */\n emit: function(event, data) {\n // we also want to trigger dom events\n if (this.options.domEvents) {\n triggerDomEvent(event, data);\n }\n\n // no handlers, so skip it all\n var handlers = this.handlers[event] && this.handlers[event].slice();\n if (!handlers || !handlers.length) {\n return;\n }\n\n data.type = event;\n data.preventDefault = function() {\n data.srcEvent.preventDefault();\n };\n\n var i = 0;\n while (i < handlers.length) {\n handlers[i](data);\n i++;\n }\n },\n\n /**\n * destroy the manager and unbinds all events\n * it doesn't unbind dom events, that is the user own responsibility\n */\n destroy: function() {\n this.element && toggleCssProps(this, false);\n\n this.handlers = {};\n this.session = {};\n this.input.destroy();\n this.element = null;\n }\n};\n\n/**\n * add/remove the css properties as defined in manager.options.cssProps\n * @param {Manager} manager\n * @param {Boolean} add\n */\nfunction toggleCssProps(manager, add) {\n var element = manager.element;\n if (!element.style) {\n return;\n }\n var prop;\n each(manager.options.cssProps, function(value, name) {\n prop = prefixed(element.style, name);\n if (add) {\n manager.oldCssProps[prop] = element.style[prop];\n element.style[prop] = value;\n } else {\n element.style[prop] = manager.oldCssProps[prop] || '';\n }\n });\n if (!add) {\n manager.oldCssProps = {};\n }\n}\n\n/**\n * trigger dom event\n * @param {String} event\n * @param {Object} data\n */\nfunction triggerDomEvent(event, data) {\n var gestureEvent = document.createEvent('Event');\n gestureEvent.initEvent(event, true, true);\n gestureEvent.gesture = data;\n data.target.dispatchEvent(gestureEvent);\n}\n\nassign(Hammer, {\n INPUT_START: INPUT_START,\n INPUT_MOVE: INPUT_MOVE,\n INPUT_END: INPUT_END,\n INPUT_CANCEL: INPUT_CANCEL,\n\n STATE_POSSIBLE: STATE_POSSIBLE,\n STATE_BEGAN: STATE_BEGAN,\n STATE_CHANGED: STATE_CHANGED,\n STATE_ENDED: STATE_ENDED,\n STATE_RECOGNIZED: STATE_RECOGNIZED,\n STATE_CANCELLED: STATE_CANCELLED,\n STATE_FAILED: STATE_FAILED,\n\n DIRECTION_NONE: DIRECTION_NONE,\n DIRECTION_LEFT: DIRECTION_LEFT,\n DIRECTION_RIGHT: DIRECTION_RIGHT,\n DIRECTION_UP: DIRECTION_UP,\n DIRECTION_DOWN: DIRECTION_DOWN,\n DIRECTION_HORIZONTAL: DIRECTION_HORIZONTAL,\n DIRECTION_VERTICAL: DIRECTION_VERTICAL,\n DIRECTION_ALL: DIRECTION_ALL,\n\n Manager: Manager,\n Input: Input,\n TouchAction: TouchAction,\n\n TouchInput: TouchInput,\n MouseInput: MouseInput,\n PointerEventInput: PointerEventInput,\n TouchMouseInput: TouchMouseInput,\n SingleTouchInput: SingleTouchInput,\n\n Recognizer: Recognizer,\n AttrRecognizer: AttrRecognizer,\n Tap: TapRecognizer,\n Pan: PanRecognizer,\n Swipe: SwipeRecognizer,\n Pinch: PinchRecognizer,\n Rotate: RotateRecognizer,\n Press: PressRecognizer,\n\n on: addEventListeners,\n off: removeEventListeners,\n each: each,\n merge: merge,\n extend: extend,\n assign: assign,\n inherit: inherit,\n bindFn: bindFn,\n prefixed: prefixed\n});\n\n// this prevents errors when Hammer is loaded in the presence of an AMD\n// style loader but by script tag, not by the loader.\nvar freeGlobal = (typeof window !== 'undefined' ? window : (typeof self !== 'undefined' ? self : {})); // jshint ignore:line\nfreeGlobal.Hammer = Hammer;\n\nif (typeof define === 'function' && define.amd) {\n define(function() {\n return Hammer;\n });\n} else if (typeof module != 'undefined' && module.exports) {\n module.exports = Hammer;\n} else {\n window[exportName] = Hammer;\n}\n\n})(window, document, 'Hammer');\n"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///./node_modules/core-js/modules/es.function.name.js","webpack:///./node_modules/@nextcloud/l10n/dist/gettext.js","webpack:///./node_modules/core-js/modules/es.number.constructor.js","webpack:///./node_modules/core-js/modules/es.string.trim.js","webpack:///./node_modules/core-js/internals/string-trim-forced.js","webpack:///./node_modules/core-js/modules/es.array.splice.js","webpack:///./node_modules/@nextcloud/vue/dist/Components/Modal.js","webpack:///./node_modules/hammerjs/hammer.js"],"names":["DESCRIPTORS","defineProperty","f","FunctionPrototype","Function","prototype","FunctionPrototypeToString","toString","nameRE","configurable","get","call","this","match","error","Object","exports","value","getGettextBuilder","GettextBuilder","obj","_nodeGettext","__esModule","default","_","_classCallCheck","instance","Constructor","TypeError","_defineProperties","target","props","i","length","descriptor","enumerable","writable","key","_createClass","protoProps","staticProps","translations","debug","language","locale","setLanguage","getLanguage","data","GettextWrapper","gt","sourceLocale","addTranslations","setLocale","translated","vars","replace","a","b","r","original","placeholders","arguments","undefined","subtitudePlaceholders","gettext","singular","plural","count","ngettext","global","isForced","redefine","has","classof","inheritIfRequired","toPrimitive","fails","create","getOwnPropertyNames","getOwnPropertyDescriptor","trim","NativeNumber","NumberPrototype","BROKEN_CLASSOF","toNumber","argument","first","third","radix","maxCode","digits","index","code","it","charCodeAt","NaN","slice","parseInt","NumberWrapper","dummy","valueOf","keys","split","j","constructor","$","$trim","proto","forced","forcedStringTrimMethod","whitespaces","module","METHOD_NAME","name","toAbsoluteIndex","toInteger","toLength","toObject","arraySpeciesCreate","createProperty","arrayMethodHasSpeciesSupport","arrayMethodUsesToLength","HAS_SPECIES_SUPPORT","USES_TO_LENGTH","ACCESSORS","0","1","max","Math","min","splice","start","deleteCount","insertCount","actualDeleteCount","A","k","from","to","O","len","actualStart","argumentsLength","window","e","t","s","n","o","l","m","c","d","Symbol","toStringTag","bind","hasOwnProperty","p","options","render","staticRenderFns","_compiled","functional","_scopeId","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","beforeCreate","concat","map","btoa","unescape","encodeURIComponent","JSON","stringify","sources","sourceRoot","join","push","10","105","108","11","12","13","VTooltip","defaultTemplate","defaultHtml","133","locals","14","144","145","15","16","165","17","18","random","substr","185","Date","setTimeout","pause","clearTimeout","clear","getTimeLeft","getStateRunning","g","components","Actions","ActionButton","directives","tooltip","mixins","title","type","String","hasPrevious","Boolean","hasNext","outTransition","enableSlideshow","clearViewDelay","Number","slideshowDelay","slideshowPaused","enableSwipe","spreadNavigation","size","validator","indexOf","canClose","dark","mc","showModal","clearView","clearViewTimeout","playing","slideshowTimeout","computed","modalTransitionName","playPauseTitle","watch","beforeMount","addEventListener","handleKeydown","beforeDestroy","removeEventListener","mounted","handleMouseMove","$refs","mask","on","handleSwipe","document","body","insertBefore","$el","lastChild","unmounted","off","destroy","methods","previous","resetSlideshow","$emit","next","close","keyCode","togglePlayPause","handleSlideshow","clearSlideshowTimeout","$nextTick","u","$createElement","_self","_c","attrs","ref","staticClass","class","click","mousemove","touchmove","rawName","expression","invisible","_v","_s","_e","modifiers","auto","height","width","stroke","fill","cx","cy","_t","icon","currentTarget","v","19","2","id","css","media","sourceMap","parts","DEBUG","Error","head","getElementsByTagName","navigator","test","userAgent","toLowerCase","refs","createElement","appendChild","querySelector","parentNode","removeChild","M","T","h","filter","styleSheet","cssText","createTextNode","childNodes","setAttribute","ssrId","firstChild","20","21","22","23","24","before","$slots","text","util","warn","$destroy","remove","beforeUpdate","getText","isLongText","25","26","263","264","27","28","29","3","detectLocale","json","charset","headers","Language","msgid","comments","translator","msgstr","reference","Choose","Close","Next","Previous","Settings","Activities","Custom","Flags","Objects","Search","Symbols","addTranslation","build","30","$parent","closeAfterClick","ariaLabel","isIconUrl","URL","onClick","closeMenu","31","32","36","componentOptions","tag","39","4","40","42","43","44","5","51","getBoundingClientRect","documentElement","clientHeight","clientWidth","assign","top","left","bottom","right","any","all","offsetY","offsetX","ClickOutside","directive","open","forceMenu","menuAlign","menuTitle","primary","defaultIcon","actions","opened","focusIndex","randomId","offsetYArrow","rotateArrow","children","$children","hasMultipleActions","isValidSingleAction","firstActionElement","firstActionVNode","firstAction","firstActionBinding","is","href","exact","firstActionEvent","listeners","firstActionEventBinding","firstActionClass","onOpen","initActions","toggleMenu","menu","round","onMouseFocusAction","activeElement","closest","fullmenu","querySelectorAll","Array","focusAction","removeCurrentActive","classList","focus","focusPreviousAction","preventDefault","focusNextAction","focusFirstAction","focusLastAction","execFirstAction","_b","rel","_d","hidden","keydown","_k","ctrlKey","shiftKey","altKey","metaKey","keyup","style","marginRight","marginTop","tabindex","transform","54","isArray","58","6","69","7","8","hash","needQuotes","80","81","82","83","9","92","disabled","isFocusable","focusable","backgroundImage","domProps","textContent","exportName","VENDOR_PREFIXES","TEST_ELEMENT","abs","now","setTimeoutContext","fn","timeout","context","bindFn","invokeArrayArg","arg","each","iterator","forEach","deprecate","method","message","deprecationMessage","stack","log","console","apply","output","source","nextKey","extend","dest","src","merge","inherit","child","base","properties","childP","baseP","_super","boolOrFn","val","args","ifUndefined","val1","val2","addEventListeners","types","handler","splitStr","removeEventListeners","hasParent","node","inStr","str","find","inArray","findByKey","toArray","uniqueArray","sort","results","values","prefixed","property","prefix","prop","camelProp","toUpperCase","_uniqueId","getWindowForElement","element","doc","ownerDocument","defaultView","parentWindow","SUPPORT_TOUCH","SUPPORT_POINTER_EVENTS","SUPPORT_ONLY_TOUCH","PROPS_XY","PROPS_CLIENT_XY","Input","manager","callback","self","inputTarget","domHandler","ev","enable","init","inputHandler","eventType","input","pointersLen","pointers","changedPointersLen","changedPointers","isFirst","isFinal","session","pointersLength","firstInput","simpleCloneInputData","firstMultiple","offsetCenter","center","getCenter","timeStamp","deltaTime","angle","getAngle","distance","getDistance","offset","offsetDelta","prevDelta","prevInput","x","deltaX","y","deltaY","computeDeltaXY","offsetDirection","getDirection","overallVelocity","getVelocity","overallVelocityX","overallVelocityY","scale","end","rotation","getRotation","maxPointers","velocity","velocityX","velocityY","direction","last","lastInterval","computeIntervalInputData","srcEvent","computeInputData","emit","recognize","clientX","clientY","p1","p2","sqrt","atan2","PI","evEl","evTarget","evWin","MOUSE_INPUT_MAP","mousedown","mouseup","MouseInput","pressed","button","which","pointerType","POINTER_INPUT_MAP","pointerdown","pointermove","pointerup","pointercancel","pointerout","IE10_POINTER_TYPE_ENUM","POINTER_ELEMENT_EVENTS","POINTER_WINDOW_EVENTS","PointerEventInput","store","pointerEvents","MSPointerEvent","PointerEvent","removePointer","eventTypeNormalized","isTouch","storeIndex","pointerId","SINGLE_TOUCH_INPUT_MAP","touchstart","touchend","touchcancel","SingleTouchInput","started","normalizeSingleTouches","touches","changed","changedTouches","TOUCH_INPUT_MAP","TouchInput","targetIds","getTouches","allTouches","identifier","targetTouches","changedTargetTouches","touch","TouchMouseInput","mouse","primaryTouch","lastTouches","recordTouches","eventData","setLastTouch","lastTouch","lts","isSyntheticEvent","dx","dy","inputEvent","inputData","isMouse","sourceCapabilities","firesTouchEvents","PREFIXED_TOUCH_ACTION","NATIVE_TOUCH_ACTION","TOUCH_ACTION_MAP","touchMap","cssSupports","CSS","supports","getTouchActionProps","TouchAction","set","compute","update","touchAction","recognizers","recognizer","getTouchAction","hasPanX","hasPanY","cleanTouchActions","preventDefaults","prevented","hasNone","isTapPointer","isTapMovement","isTapTouchTime","DIRECTION_LEFT","DIRECTION_UP","preventSrc","Recognizer","defaults","state","simultaneous","requireFail","stateStr","directionStr","getRecognizerByNameIfManager","otherRecognizer","AttrRecognizer","PanRecognizer","pX","pY","PinchRecognizer","PressRecognizer","_timer","_input","RotateRecognizer","SwipeRecognizer","TapRecognizer","pTime","pCenter","Hammer","preset","Manager","recognizeWith","dropRecognizeWith","requireFailure","dropRequireFailure","hasRequireFailures","canRecognizeWith","event","additionalEvent","tryEmit","canEmit","inputDataClone","reset","process","attrTest","optionPointers","isRecognized","isValid","threshold","DIRECTION_HORIZONTAL","directionTest","hasMoved","inOut","time","validPointers","validMovement","validTime","taps","interval","posThreshold","validTouchTime","failTimeout","validInterval","validMultiTap","tapCount","VERSION","domEvents","inputClass","cssProps","userSelect","touchSelect","touchCallout","contentZooming","userDrag","tapHighlightColor","handlers","oldCssProps","toggleCssProps","item","stop","force","stopped","curRecognizer","existing","events","gestureEvent","createEvent","initEvent","gesture","dispatchEvent","triggerDomEvent","INPUT_START","INPUT_MOVE","INPUT_END","INPUT_CANCEL","STATE_POSSIBLE","STATE_BEGAN","STATE_CHANGED","STATE_ENDED","STATE_RECOGNIZED","STATE_CANCELLED","STATE_FAILED","DIRECTION_NONE","DIRECTION_RIGHT","DIRECTION_DOWN","DIRECTION_VERTICAL","DIRECTION_ALL","Tap","Pan","Swipe","Pinch","Rotate","Press"],"mappings":"uFAAA,IAAIA,EAAc,EAAQ,GACtBC,EAAiB,EAAQ,GAAuCC,EAEhEC,EAAoBC,SAASC,UAC7BC,EAA4BH,EAAkBI,SAC9CC,EAAS,wBAKTR,KAJO,SAIkBG,IAC3BF,EAAeE,EALN,OAK+B,CACtCM,cAAc,EACdC,IAAK,WACH,IACE,OAAOJ,EAA0BK,KAAKC,MAAMC,MAAML,GAAQ,GAC1D,MAAOM,GACP,MAAO,Q,iCCff,EAAQ,IAER,EAAQ,IAER,EAAQ,IAER,EAAQ,KAERC,OAAOd,eAAee,EAAS,aAAc,CAC3CC,OAAO,IAETD,EAAQE,kBAqGR,WACE,OAAO,IAAIC,GApGb,IAIgCC,EAJ5BC,GAI4BD,EAJU,EAAQ,OAIGA,EAAIE,WAAaF,EAAM,CAAEG,QAASH,GAFnFI,EAAI,EAAQ,KAIhB,SAASC,EAAgBC,EAAUC,GAAe,KAAMD,aAAoBC,GAAgB,MAAM,IAAIC,UAAU,qCAEhH,SAASC,EAAkBC,EAAQC,GAAS,IAAK,IAAIC,EAAI,EAAGA,EAAID,EAAME,OAAQD,IAAK,CAAE,IAAIE,EAAaH,EAAMC,GAAIE,EAAWC,WAAaD,EAAWC,aAAc,EAAOD,EAAWzB,cAAe,EAAU,UAAWyB,IAAYA,EAAWE,UAAW,GAAMrB,OAAOd,eAAe6B,EAAQI,EAAWG,IAAKH,IAE7S,SAASI,EAAaX,EAAaY,EAAYC,GAAmJ,OAAhID,GAAYV,EAAkBF,EAAYtB,UAAWkC,GAAiBC,GAAaX,EAAkBF,EAAaa,GAAqBb,EAEzM,IAAIR,EAA8B,WAChC,SAASA,IACPM,EAAgBb,KAAMO,GAEtBP,KAAK6B,aAAe,GACpB7B,KAAK8B,OAAQ,EAiCf,OA9BAJ,EAAanB,EAAgB,CAAC,CAC5BkB,IAAK,cACLpB,MAAO,SAAqB0B,GAE1B,OADA/B,KAAKgC,OAASD,EACP/B,OAER,CACDyB,IAAK,eACLpB,MAAO,WACL,OAAOL,KAAKiC,aAAY,EAAIrB,EAAEsB,kBAE/B,CACDT,IAAK,iBACLpB,MAAO,SAAwB0B,EAAUI,GAEvC,OADAnC,KAAK6B,aAAaE,GAAYI,EACvBnC,OAER,CACDyB,IAAK,kBACLpB,MAAO,WAEL,OADAL,KAAK8B,OAAQ,EACN9B,OAER,CACDyB,IAAK,QACLpB,MAAO,WACL,OAAO,IAAI+B,EAAepC,KAAKgC,QAAU,KAAMhC,KAAK6B,aAAc7B,KAAK8B,WAIpEvB,EAtCyB,GAyC9B6B,EAA8B,WAChC,SAASA,EAAeJ,EAAQG,EAAML,GAQpC,IAAK,IAAIL,KAPTZ,EAAgBb,KAAMoC,GAEtBpC,KAAKqC,GAAK,IAAI5B,EAAaE,QAAQ,CACjCmB,MAAOA,EACPQ,aAAc,OAGAH,EACdnC,KAAKqC,GAAGE,gBAAgBd,EAAK,WAAYU,EAAKV,IAGhDzB,KAAKqC,GAAGG,UAAUR,GA8BpB,OA3BAN,EAAaU,EAAgB,CAAC,CAC5BX,IAAK,wBACLpB,MAAO,SAA+BoC,EAAYC,GAChD,OAAOD,EAAWE,QAAQ,eAAe,SAAUC,EAAGC,GACpD,IAAIC,EAAIJ,EAAKG,GAEb,MAAiB,iBAANC,GAA+B,iBAANA,EAC3BA,EAAEnD,WAEFiD,OAIZ,CACDnB,IAAK,UACLpB,MAAO,SAAiB0C,GACtB,IAAIC,EAAeC,UAAU5B,OAAS,QAAsB6B,IAAjBD,UAAU,GAAmBA,UAAU,GAAK,GACvF,OAAOjD,KAAKmD,sBAAsBnD,KAAKqC,GAAGe,QAAQL,GAAWC,KAE9D,CACDvB,IAAK,WACLpB,MAAO,SAAkBgD,EAAUC,EAAQC,GACzC,IAAIP,EAAeC,UAAU5B,OAAS,QAAsB6B,IAAjBD,UAAU,GAAmBA,UAAU,GAAK,GACvF,OAAOjD,KAAKmD,sBAAsBnD,KAAKqC,GAAGmB,SAASH,EAAUC,EAAQC,GAAOZ,QAAQ,MAAOY,EAAM5D,YAAaqD,OAI3GZ,EA3CyB,I,iCCnElC,IAAIhD,EAAc,EAAQ,GACtBqE,EAAS,EAAQ,GACjBC,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAM,EAAQ,GACdC,EAAU,EAAQ,IAClBC,EAAoB,EAAQ,KAC5BC,EAAc,EAAQ,IACtBC,EAAQ,EAAQ,GAChBC,EAAS,EAAQ,IACjBC,EAAsB,EAAQ,KAA8C5E,EAC5E6E,EAA2B,EAAQ,IAAmD7E,EACtFD,EAAiB,EAAQ,GAAuCC,EAChE8E,EAAO,EAAQ,KAA4BA,KAG3CC,EAAeZ,EAAa,OAC5Ba,EAAkBD,EAAa5E,UAG/B8E,EALS,UAKQV,EAAQI,EAAOK,IAIhCE,EAAW,SAAUC,GACvB,IACIC,EAAOC,EAAOC,EAAOC,EAASC,EAAQzD,EAAQ0D,EAAOC,EADrDC,EAAKlB,EAAYU,GAAU,GAE/B,GAAiB,iBAANQ,GAAkBA,EAAG5D,OAAS,EAGvC,GAAc,MADdqD,GADAO,EAAKb,EAAKa,IACCC,WAAW,KACQ,KAAVR,GAElB,GAAc,MADdC,EAAQM,EAAGC,WAAW,KACQ,MAAVP,EAAe,OAAOQ,SACrC,GAAc,KAAVT,EAAc,CACvB,OAAQO,EAAGC,WAAW,IACpB,KAAK,GAAI,KAAK,GAAIN,EAAQ,EAAGC,EAAU,GAAI,MAC3C,KAAK,GAAI,KAAK,IAAKD,EAAQ,EAAGC,EAAU,GAAI,MAC5C,QAAS,OAAQI,EAInB,IADA5D,GADAyD,EAASG,EAAGG,MAAM,IACF/D,OACX0D,EAAQ,EAAGA,EAAQ1D,EAAQ0D,IAI9B,IAHAC,EAAOF,EAAOI,WAAWH,IAGd,IAAMC,EAAOH,EAAS,OAAOM,IACxC,OAAOE,SAASP,EAAQF,GAE5B,OAAQK,GAKZ,GAAIvB,EAtCS,UAsCSW,EAAa,UAAYA,EAAa,QAAUA,EAAa,SAAU,CAS3F,IARA,IAcqB5C,EAdjB6D,EAAgB,SAAgBjF,GAClC,IAAI4E,EAAKhC,UAAU5B,OAAS,EAAI,EAAIhB,EAChCkF,EAAQvF,KACZ,OAAOuF,aAAiBD,IAElBf,EAAiBP,GAAM,WAAcM,EAAgBkB,QAAQzF,KAAKwF,MA5C/D,UA4C4E1B,EAAQ0B,IACvFzB,EAAkB,IAAIO,EAAaG,EAASS,IAAMM,EAAOD,GAAiBd,EAASS,IAElFQ,EAAOrG,EAAc8E,EAAoBG,GAAgB,6KAMhEqB,MAAM,KAAMC,EAAI,EAAQF,EAAKpE,OAASsE,EAAGA,IACrC/B,EAAIS,EAAc5C,EAAMgE,EAAKE,MAAQ/B,EAAI0B,EAAe7D,IAC1DpC,EAAeiG,EAAe7D,EAAK0C,EAAyBE,EAAc5C,IAG9E6D,EAAc7F,UAAY6E,EAC1BA,EAAgBsB,YAAcN,EAC9B3B,EAASF,EA5DE,SA4Dc6B,K,iCC3E3B,IAAIO,EAAI,EAAQ,IACZC,EAAQ,EAAQ,KAA4B1B,KAKhDyB,EAAE,CAAE3E,OAAQ,SAAU6E,OAAO,EAAMC,OAJN,EAAQ,IAIMC,CAAuB,SAAW,CAC3E7B,KAAM,WACJ,OAAO0B,EAAM9F,U,oBCTjB,IAAIgE,EAAQ,EAAQ,GAChBkC,EAAc,EAAQ,KAM1BC,EAAO/F,QAAU,SAAUgG,GACzB,OAAOpC,GAAM,WACX,QAASkC,EAAYE,MANf,aAMqCA,MAAyBF,EAAYE,GAAaC,OAASD,O,iCCR1G,IAAIP,EAAI,EAAQ,IACZS,EAAkB,EAAQ,KAC1BC,EAAY,EAAQ,IACpBC,EAAW,EAAQ,IACnBC,EAAW,EAAQ,IACnBC,EAAqB,EAAQ,IAC7BC,EAAiB,EAAQ,KACzBC,EAA+B,EAAQ,IACvCC,EAA0B,EAAQ,IAElCC,EAAsBF,EAA6B,UACnDG,EAAiBF,EAAwB,SAAU,CAAEG,WAAW,EAAMC,EAAG,EAAGC,EAAG,IAE/EC,EAAMC,KAAKD,IACXE,EAAMD,KAAKC,IAOfxB,EAAE,CAAE3E,OAAQ,QAAS6E,OAAO,EAAMC,QAASc,IAAwBC,GAAkB,CACnFO,OAAQ,SAAgBC,EAAOC,GAC7B,IAIIC,EAAaC,EAAmBC,EAAGC,EAAGC,EAAMC,EAJ5CC,EAAItB,EAASzG,MACbgI,EAAMxB,EAASuB,EAAE1G,QACjB4G,EAAc3B,EAAgBiB,EAAOS,GACrCE,EAAkBjF,UAAU5B,OAWhC,GATwB,IAApB6G,EACFT,EAAcC,EAAoB,EACL,IAApBQ,GACTT,EAAc,EACdC,EAAoBM,EAAMC,IAE1BR,EAAcS,EAAkB,EAChCR,EAAoBL,EAAIF,EAAIZ,EAAUiB,GAAc,GAAIQ,EAAMC,IAE5DD,EAAMP,EAAcC,EAtBL,iBAuBjB,MAAM1G,UAtB0B,mCAyBlC,IADA2G,EAAIjB,EAAmBqB,EAAGL,GACrBE,EAAI,EAAGA,EAAIF,EAAmBE,KACjCC,EAAOI,EAAcL,KACTG,GAAGpB,EAAegB,EAAGC,EAAGG,EAAEF,IAGxC,GADAF,EAAEtG,OAASqG,EACPD,EAAcC,EAAmB,CACnC,IAAKE,EAAIK,EAAaL,EAAII,EAAMN,EAAmBE,IAEjDE,EAAKF,EAAIH,GADTI,EAAOD,EAAIF,KAECK,EAAGA,EAAED,GAAMC,EAAEF,UACbE,EAAED,GAEhB,IAAKF,EAAII,EAAKJ,EAAII,EAAMN,EAAoBD,EAAaG,WAAYG,EAAEH,EAAI,QACtE,GAAIH,EAAcC,EACvB,IAAKE,EAAII,EAAMN,EAAmBE,EAAIK,EAAaL,IAEjDE,EAAKF,EAAIH,EAAc,GADvBI,EAAOD,EAAIF,EAAoB,KAEnBK,EAAGA,EAAED,GAAMC,EAAEF,UACbE,EAAED,GAGlB,IAAKF,EAAI,EAAGA,EAAIH,EAAaG,IAC3BG,EAAEH,EAAIK,GAAehF,UAAU2E,EAAI,GAGrC,OADAG,EAAE1G,OAAS2G,EAAMN,EAAoBD,EAC9BE,M,oBCnEoRQ,OAA9NhC,EAAO/F,QAAiP,SAASgI,GAAG,IAAIC,EAAE,GAAG,SAASC,EAAEC,GAAG,GAAGF,EAAEE,GAAG,OAAOF,EAAEE,GAAGnI,QAAQ,IAAIoI,EAAEH,EAAEE,GAAG,CAACnH,EAAEmH,EAAEE,GAAE,EAAGrI,QAAQ,IAAI,OAAOgI,EAAEG,GAAGxI,KAAKyI,EAAEpI,QAAQoI,EAAEA,EAAEpI,QAAQkI,GAAGE,EAAEC,GAAE,EAAGD,EAAEpI,QAAQ,OAAOkI,EAAEI,EAAEN,EAAEE,EAAEK,EAAEN,EAAEC,EAAEM,EAAE,SAASR,EAAEC,EAAEE,GAAGD,EAAEE,EAAEJ,EAAEC,IAAIlI,OAAOd,eAAe+I,EAAEC,EAAE,CAAC9G,YAAW,EAAGzB,IAAIyI,KAAKD,EAAExF,EAAE,SAASsF,GAAG,oBAAoBS,QAAQA,OAAOC,aAAa3I,OAAOd,eAAe+I,EAAES,OAAOC,YAAY,CAACzI,MAAM,WAAWF,OAAOd,eAAe+I,EAAE,aAAa,CAAC/H,OAAM,KAAMiI,EAAED,EAAE,SAASD,EAAEC,GAAG,GAAG,EAAEA,IAAID,EAAEE,EAAEF,IAAI,EAAEC,EAAE,OAAOD,EAAE,GAAG,EAAEC,GAAG,iBAAiBD,GAAGA,GAAGA,EAAE1H,WAAW,OAAO0H,EAAE,IAAIG,EAAEpI,OAAO8D,OAAO,MAAM,GAAGqE,EAAExF,EAAEyF,GAAGpI,OAAOd,eAAekJ,EAAE,UAAU,CAAChH,YAAW,EAAGlB,MAAM+H,IAAI,EAAEC,GAAG,iBAAiBD,EAAE,IAAI,IAAII,KAAKJ,EAAEE,EAAEM,EAAEL,EAAEC,EAAE,SAASH,GAAG,OAAOD,EAAEC,IAAIU,KAAK,KAAKP,IAAI,OAAOD,GAAGD,EAAEC,EAAE,SAASH,GAAG,IAAIC,EAAED,GAAGA,EAAE1H,WAAW,WAAW,OAAO0H,EAAEzH,SAAS,WAAW,OAAOyH,GAAG,OAAOE,EAAEM,EAAEP,EAAE,IAAIA,GAAGA,GAAGC,EAAEE,EAAE,SAASJ,EAAEC,GAAG,OAAOlI,OAAOV,UAAUuJ,eAAejJ,KAAKqI,EAAEC,IAAIC,EAAEW,EAAE,SAASX,EAAEA,EAAEA,EAAE,KAAv5B,CAA65B,CAACrB,EAAE,SAASmB,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,EAAEC,EAAEC,EAAEC,EAAE1F,EAAEF,EAAExB,GAAG,IAAIuH,EAAEhB,EAAE,mBAAmBS,EAAEA,EAAEc,QAAQd,EAAE,GAAGC,IAAIV,EAAEwB,OAAOd,EAAEV,EAAEyB,gBAAgBd,EAAEX,EAAE0B,WAAU,GAAId,IAAIZ,EAAE2B,YAAW,GAAIxG,IAAI6E,EAAE4B,SAAS,UAAUzG,GAAGF,GAAG+F,EAAE,SAASP,IAAIA,EAAEA,GAAGpI,KAAKwJ,QAAQxJ,KAAKwJ,OAAOC,YAAYzJ,KAAK0J,QAAQ1J,KAAK0J,OAAOF,QAAQxJ,KAAK0J,OAAOF,OAAOC,aAAa,oBAAoBE,sBAAsBvB,EAAEuB,qBAAqBnB,GAAGA,EAAEzI,KAAKC,KAAKoI,GAAGA,GAAGA,EAAEwB,uBAAuBxB,EAAEwB,sBAAsBC,IAAIjH,IAAI+E,EAAEmC,aAAanB,GAAGH,IAAIG,EAAEvH,EAAE,WAAWoH,EAAEzI,KAAKC,MAAM2H,EAAE2B,WAAWtJ,KAAK0J,OAAO1J,MAAM+J,MAAMC,SAASC,aAAazB,GAAGG,EAAE,GAAGhB,EAAE2B,WAAW,CAAC3B,EAAEuC,cAAcvB,EAAE,IAAID,EAAEf,EAAEwB,OAAOxB,EAAEwB,OAAO,SAASf,EAAEC,GAAG,OAAOM,EAAE5I,KAAKsI,GAAGK,EAAEN,EAAEC,QAAQ,CAAC,IAAII,EAAEd,EAAEwC,aAAaxC,EAAEwC,aAAa1B,EAAE,GAAG2B,OAAO3B,EAAEE,GAAG,CAACA,GAAG,MAAM,CAACvI,QAAQgI,EAAEc,QAAQvB,GAAGW,EAAEM,EAAEP,EAAE,KAAI,WAAY,OAAOE,MAAMrB,EAAE,SAASkB,EAAEC,EAAEC,GAAG,aAAaF,EAAEhI,QAAQ,SAASgI,GAAG,IAAIC,EAAE,GAAG,OAAOA,EAAE1I,SAAS,WAAW,OAAOK,KAAKqK,KAAI,SAAUhC,GAAG,IAAIC,EAAE,SAASF,EAAEC,GAAG,IAAyXzF,EAAExB,EAAEuH,EAAzXL,EAAEF,EAAE,IAAI,GAAGG,EAAEH,EAAE,GAAG,IAAIG,EAAE,OAAOD,EAAE,GAAGD,GAAG,mBAAmBiC,KAAK,CAAC,IAAI9B,GAAG5F,EAAE2F,EAAEnH,EAAEkJ,KAAKC,SAASC,mBAAmBC,KAAKC,UAAU9H,MAAM+F,EAAE,+DAA+DyB,OAAOhJ,GAAG,OAAOgJ,OAAOzB,EAAE,QAAQ7F,EAAEyF,EAAEoC,QAAQN,KAAI,SAAUjC,GAAG,MAAM,iBAAiBgC,OAAO7B,EAAEqC,YAAY,IAAIR,OAAOhC,EAAE,UAAU,MAAM,CAACE,GAAG8B,OAAOtH,GAAGsH,OAAO,CAAC5B,IAAIqC,KAAK,MAAgB,MAAM,CAACvC,GAAGuC,KAAK,MAA5Z,CAAmaxC,EAAED,GAAG,OAAOC,EAAE,GAAG,UAAU+B,OAAO/B,EAAE,GAAG,MAAM+B,OAAO9B,EAAE,KAAKA,KAAKuC,KAAK,KAAKxC,EAAEjH,EAAE,SAASgH,EAAEE,EAAEC,GAAG,iBAAiBH,IAAIA,EAAE,CAAC,CAAC,KAAKA,EAAE,MAAM,IAAII,EAAE,GAAG,GAAGD,EAAE,IAAI,IAAIzF,EAAE,EAAEA,EAAE9C,KAAKqB,OAAOyB,IAAI,CAAC,IAAIF,EAAE5C,KAAK8C,GAAG,GAAG,MAAMF,IAAI4F,EAAE5F,IAAG,GAAI,IAAI,IAAIxB,EAAE,EAAEA,EAAEgH,EAAE/G,OAAOD,IAAI,CAAC,IAAIuH,EAAE,GAAGyB,OAAOhC,EAAEhH,IAAImH,GAAGC,EAAEG,EAAE,MAAML,IAAIK,EAAE,GAAGA,EAAE,GAAG,GAAGyB,OAAO9B,EAAE,SAAS8B,OAAOzB,EAAE,IAAIA,EAAE,GAAGL,GAAGD,EAAEyC,KAAKnC,MAAMN,IAAI0C,GAAG,SAAS3C,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAGA,EAAE1H,QAAQ,kzHAAkzHqK,IAAI,SAAS5C,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAa6K,IAAI,SAAS7C,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAG,IAAIE,EAAED,EAAE;;;;;;;;;;;;;;;;;;;;;GAqB9zND,EAAE1H,QAAQ4H,EAAE3F,GAAGsI,GAAG,SAAS9C,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAGA,EAAE1H,QAAQ,itHAAitHwK,GAAG,SAAS/C,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAGA,EAAE1H,QAAQ,8gMAA8gMyK,GAAG,SAAShD,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAG,IAAIE,EAAED,EAAE,GAAGA,EAAE;;;;;;;;;;;;;;;;;;;;;;;AAuBv4TC,EAAE8C,SAASnC,QAAQoC,gBAAgB,kDAAkDlB,OAAO,UAAU,6EAA6E7B,EAAE8C,SAASnC,QAAQqC,aAAY,EAAGlD,EAAE1H,QAAQ4H,EAAE8C,UAAUG,IAAI,SAASpD,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,KAAK,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEhH,EAAEmH,EAAE,MAAMA,EAAEkD,SAASrD,EAAEhI,QAAQmI,EAAEkD,SAAQ,EAAGnD,EAAE,GAAG3H,SAAS,WAAW4H,GAAE,EAAG,KAAKmD,GAAG,SAAStD,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAqCuL,IAAI,SAASvD,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEC,EAAEA,GAAG3F,GAAGgJ,IAAI,SAASxD,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKwC,KAAK,CAAC1C,EAAEhH,EAAE,ioCAAioC,KAAKgH,EAAEhI,QAAQiI,GAAGwD,GAAG,SAASzD,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAsC0L,GAAG,SAAS1D,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAoB2L,IAAI,SAAS3D,EAAEC,KAAK2D,GAAG,SAAS5D,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAmC6L,GAAG,SAAS7D,EAAEC,EAAEC,GAAG,aAAaA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAID,EAAEzF,EAAE,SAASwF,GAAG,OAAOhB,KAAK8E,SAASvM,SAAS,IAAIgD,QAAQ,WAAW,IAAIwJ,OAAO,EAAE/D,GAAG,KAAKgE,IAAI,SAAShE,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAG,IAAIE,EAAED,EAAE,IAAIE,GAAGF,EAAE,IAAIA,EAAE,IAAIA,EAAE,MAAMxF,EAAEwF,EAAEC,EAAEC,GAAG5F,EAAE0F,EAAE,IAAIlH,EAAEkH,EAAE,KAAKK,EAAEL,EAAE,IAAIX,EAAEW,EAAE,GAAGI,EAAEJ,EAAE;;;;;;;;;;;;;;;;;;;;;GAsBtpE,SAASG,EAAEL,EAAEC,GAAG,IAAIC,EAAEC,EAAEC,EAAE1F,EAAEuF,EAAErI,KAAKuH,MAAM,WAAWiB,GAAE,EAAGD,EAAE,IAAI8D,KAAK/D,EAAEgE,WAAWlE,EAAEtF,IAAI9C,KAAKuM,MAAM,WAAW/D,GAAE,EAAGgE,aAAalE,GAAGxF,GAAG,IAAIuJ,KAAK9D,GAAGvI,KAAKyM,MAAM,WAAWjE,GAAE,EAAGgE,aAAalE,GAAGxF,EAAE,GAAG9C,KAAK0M,YAAY,WAAW,OAAOlE,IAAIxI,KAAKuM,QAAQvM,KAAKuH,SAASzE,GAAG9C,KAAK2M,gBAAgB,WAAW,OAAOnE,GAAGxI,KAAKuH,QAAQ,IAAIqF,EAAE,CAACvG,KAAK,QAAQwG,WAAW,CAACC,QAAQlK,EAAEjC,QAAQoM,aAAa3L,EAAET,SAASqM,WAAW,CAACC,QAAQvE,EAAE/H,SAASuM,OAAO,CAACvE,EAAE/F,GAAGzB,MAAM,CAACgM,MAAM,CAACC,KAAKC,OAAO1M,QAAQ,IAAI2M,YAAY,CAACF,KAAKG,QAAQ5M,SAAQ,GAAI6M,QAAQ,CAACJ,KAAKG,QAAQ5M,SAAQ,GAAI8M,cAAc,CAACL,KAAKG,QAAQ5M,SAAQ,GAAI+M,gBAAgB,CAACN,KAAKG,QAAQ5M,SAAQ,GAAIgN,eAAe,CAACP,KAAKQ,OAAOjN,QAAQ,KAAKkN,eAAe,CAACT,KAAKQ,OAAOjN,QAAQ,KAAKmN,gBAAgB,CAACV,KAAKG,QAAQ5M,SAAQ,GAAIoN,YAAY,CAACX,KAAKG,QAAQ5M,SAAQ,GAAIqN,iBAAiB,CAACZ,KAAKG,QAAQ5M,SAAQ,GAAIsN,KAAK,CAACb,KAAKC,OAAO1M,QAAQ,SAASuN,UAAU,SAAS9F,GAAG,OAAO,IAAI,CAAC,SAAS,QAAQ,QAAQ+F,QAAQ/F,KAAKgG,SAAS,CAAChB,KAAKG,QAAQ5M,SAAQ,GAAI0N,KAAK,CAACjB,KAAKG,QAAQ5M,SAAQ,IAAKwB,KAAK,WAAW,MAAM,CAACmM,GAAG,KAAKC,WAAU,EAAGC,WAAU,EAAGC,iBAAiB,KAAKC,SAAQ,EAAGC,iBAAiB,OAAOC,SAAS,CAACC,oBAAoB,WAAW,MAAM,SAASzE,OAAOpK,KAAKyN,cAAc,MAAM,OAAOqB,eAAe,WAAW,OAAO9O,KAAK0O,QAAQvO,OAAOwH,EAAE9E,EAAT1C,CAAY,mBAAmBA,OAAOwH,EAAE9E,EAAT1C,CAAY,qBAAqB4O,MAAM,CAACjB,gBAAgB,SAAS1F,GAAGpI,KAAK2O,mBAAmBvG,EAAEpI,KAAK2O,iBAAiBpC,QAAQvM,KAAK2O,iBAAiBpH,WAAWyH,YAAY,WAAW7G,OAAO8G,iBAAiB,UAAUjP,KAAKkP,gBAAgBC,cAAc,WAAWhH,OAAOiH,oBAAoB,UAAUpP,KAAKkP,gBAAgBG,QAAQ,WAAW,IAAIjH,EAAEpI,KAAKA,KAAKuO,WAAU,EAAGvO,KAAKsP,kBAAkBtP,KAAKsO,GAAG,IAAIxL,EAAEF,EAAE5C,KAAKuP,MAAMC,MAAMxP,KAAKsO,GAAGmB,GAAG,wBAAuB,SAAUpH,GAAGD,EAAEsH,YAAYrH,MAAMsH,SAASC,KAAKC,aAAa7P,KAAK8P,IAAIH,SAASC,KAAKG,YAAYC,UAAU,WAAWhQ,KAAKsO,GAAG2B,IAAI,wBAAwBjQ,KAAKsO,GAAG4B,WAAWC,QAAQ,CAACC,SAAS,SAAShI,GAAGpI,KAAKsN,cAAclF,GAAGpI,KAAKqQ,iBAAiBrQ,KAAKsQ,MAAM,WAAWlI,KAAKmI,KAAK,SAASnI,GAAGpI,KAAKwN,UAAUpF,GAAGpI,KAAKqQ,iBAAiBrQ,KAAKsQ,MAAM,OAAOlI,KAAKoI,MAAM,SAASpI,GAAG,IAAIC,EAAErI,KAAKA,KAAKoO,WAAWpO,KAAKuO,WAAU,EAAGjC,YAAW,WAAYjE,EAAEiI,MAAM,QAAQlI,KAAK,OAAO8G,cAAc,SAAS9G,GAAG,OAAOA,EAAEqI,SAAS,KAAK,GAAGzQ,KAAKoQ,SAAShI,GAAG,MAAM,KAAK,GAAG,KAAK,GAAGpI,KAAKuQ,KAAKnI,GAAG,MAAM,KAAK,GAAGpI,KAAKwQ,MAAMpI,KAAKsH,YAAY,SAAStH,GAAGpI,KAAK+N,cAAc,cAAc3F,EAAEgF,KAAKpN,KAAKuQ,KAAKnI,GAAG,eAAeA,EAAEgF,MAAMpN,KAAKoQ,SAAShI,KAAKkH,gBAAgB,WAAW,IAAIlH,EAAEpI,KAAKA,KAAK2N,eAAe,IAAI3N,KAAKwO,WAAU,EAAGhC,aAAaxM,KAAKyO,kBAAkBzO,KAAKyO,iBAAiBnC,YAAW,WAAYlE,EAAEoG,WAAU,IAAKxO,KAAK2N,kBAAkB+C,gBAAgB,WAAW1Q,KAAK0O,SAAS1O,KAAK0O,QAAQ1O,KAAK0O,QAAQ1O,KAAK2Q,kBAAkB3Q,KAAK4Q,yBAAyBP,eAAe,WAAWrQ,KAAK0O,SAAS1O,KAAK0O,QAAQ1O,KAAK4Q,wBAAwB5Q,KAAK6Q,WAAU,WAAY7Q,KAAK0Q,sBAAsBC,gBAAgB,WAAW,IAAIvI,EAAEpI,KAAKA,KAAK0O,SAAQ,EAAG1O,KAAKwN,QAAQxN,KAAK2O,iBAAiB,IAAIlG,GAAE,WAAYL,EAAEmI,OAAOnI,EAAEuI,oBAAoB3Q,KAAK6N,iBAAiB7N,KAAK0O,SAAQ,EAAG1O,KAAK4Q,0BAA0BA,sBAAsB,WAAW5Q,KAAK2O,kBAAkB3O,KAAK2O,iBAAiBlC,WAAWqE,GAAGxI,EAAE,KAAKA,EAAE,IAAIM,EAAEN,EAAE,KAAKW,EAAEX,EAAEC,EAAEK,GAAGtJ,EAAEa,OAAO2Q,EAAElO,EAATzC,CAAYyM,GAAE,WAAY,IAAIxE,EAAEpI,KAAKqI,EAAED,EAAE2I,eAAezI,EAAEF,EAAE4I,MAAMC,IAAI5I,EAAE,OAAOC,EAAE,aAAa,CAAC4I,MAAM,CAAC7K,KAAK,SAAS,CAACiC,EAAE,MAAM,CAAC6I,IAAI,OAAOC,YAAY,aAAaC,MAAM,CAAC,mBAAmBjJ,EAAEiG,MAAMoB,GAAG,CAAC6B,MAAMlJ,EAAEkH,gBAAgBiC,UAAUnJ,EAAEkH,gBAAgBkC,UAAUpJ,EAAEkH,kBAAkB,CAAChH,EAAE,aAAa,CAAC4I,MAAM,CAAC7K,KAAK,oBAAoB,CAACiC,EAAE,MAAM,CAAC0E,WAAW,CAAC,CAAC3G,KAAK,OAAOoL,QAAQ,SAASpR,OAAO+H,EAAEoG,UAAUkD,WAAW,eAAeN,YAAY,eAAeC,MAAM,CAACM,UAAUvJ,EAAEoG,YAAY,CAAC,KAAKpG,EAAE+E,MAAM/I,OAAOkE,EAAE,MAAM,CAAC8I,YAAY,eAAe,CAAChJ,EAAEwJ,GAAG,eAAexJ,EAAEyJ,GAAGzJ,EAAE+E,OAAO,gBAAgB/E,EAAE0J,KAAK1J,EAAEwJ,GAAG,KAAKtJ,EAAE,MAAM,CAAC8I,YAAY,cAAc,CAAChJ,EAAEoF,SAASpF,EAAEsF,gBAAgBpF,EAAE,SAAS,CAAC0E,WAAW,CAAC,CAAC3G,KAAK,UAAUoL,QAAQ,iBAAiBpR,MAAM+H,EAAE0G,eAAe4C,WAAW,iBAAiBK,UAAU,CAACC,MAAK,KAAMZ,YAAY,aAAaC,MAAM,CAAC,qBAAqBjJ,EAAE0F,iBAAiB2B,GAAG,CAAC6B,MAAMlJ,EAAEsI,kBAAkB,CAACpI,EAAE,MAAM,CAAC+I,MAAM,CAACjJ,EAAEsG,QAAQ,aAAa,cAAc,CAACpG,EAAE,OAAO,CAAC8I,YAAY,mBAAmB,CAAChJ,EAAEwJ,GAAG,qBAAqBxJ,EAAEyJ,GAAGzJ,EAAE0G,gBAAgB,wBAAwB1G,EAAEwJ,GAAG,KAAKxJ,EAAEsG,QAAQpG,EAAE,MAAM,CAAC8I,YAAY,gBAAgBF,MAAM,CAACe,OAAO,KAAKC,MAAM,OAAO,CAAC5J,EAAE,SAAS,CAAC8I,YAAY,wBAAwBF,MAAM,CAACiB,OAAO,QAAQ,eAAe,IAAIC,KAAK,cAActP,EAAE,KAAKuP,GAAG,KAAKC,GAAG,UAAUlK,EAAE0J,OAAO1J,EAAE0J,KAAK1J,EAAEwJ,GAAG,KAAKtJ,EAAE,UAAU,CAAC8I,YAAY,kBAAkB,CAAChJ,EAAEmK,GAAG,YAAY,GAAGnK,EAAEwJ,GAAG,KAAKxJ,EAAEgG,SAAS9F,EAAE,UAAU,CAAC8I,YAAY,gBAAgB,CAAC9I,EAAE,eAAe,CAAC4I,MAAM,CAACsB,KAAK,cAAc/C,GAAG,CAAC6B,MAAMlJ,EAAEoI,QAAQ,CAACpI,EAAEwJ,GAAG,mBAAmBxJ,EAAEyJ,GAAGzJ,EAAEC,EAAE,UAAU,qBAAqB,GAAGD,EAAE0J,MAAM,OAAO1J,EAAEwJ,GAAG,KAAKtJ,EAAE,aAAa,CAAC4I,MAAM,CAAC7K,KAAK+B,EAAEyG,sBAAsB,CAACvG,EAAE,MAAM,CAAC0E,WAAW,CAAC,CAAC3G,KAAK,OAAOoL,QAAQ,SAASpR,MAAM+H,EAAEmG,UAAUmD,WAAW,cAAcN,YAAY,gBAAgBC,MAAM,CAAC,kBAAkBjJ,EAAE6F,KAAK7F,EAAE4F,iBAAiB,mCAAmC,IAAIyB,GAAG,CAAC6B,MAAM,SAASjJ,GAAG,OAAOA,EAAEnH,SAASmH,EAAEoK,cAAc,KAAKrK,EAAEoI,MAAMnI,MAAM,CAACC,EAAE,aAAa,CAAC4I,MAAM,CAAC7K,KAAK,oBAAoB,CAACiC,EAAE,IAAI,CAAC0E,WAAW,CAAC,CAAC3G,KAAK,OAAOoL,QAAQ,SAASpR,MAAM+H,EAAEkF,cAAclF,EAAEoG,UAAUkD,WAAW,8BAA8BN,YAAY,OAAOC,MAAM,CAACM,UAAUvJ,EAAEoG,YAAYpG,EAAEkF,aAAamC,GAAG,CAAC6B,MAAMlJ,EAAEgI,WAAW,CAAC9H,EAAE,MAAM,CAAC8I,YAAY,sBAAsB,CAAC9I,EAAE,OAAO,CAAC8I,YAAY,mBAAmB,CAAChJ,EAAEwJ,GAAG,qBAAqBxJ,EAAEyJ,GAAGzJ,EAAEC,EAAE,aAAa,4BAA4BD,EAAEwJ,GAAG,KAAKtJ,EAAE,MAAM,CAAC8I,YAAY,mBAAmB,CAAChJ,EAAEmK,GAAG,YAAY,GAAGnK,EAAEwJ,GAAG,KAAKtJ,EAAE,aAAa,CAAC4I,MAAM,CAAC7K,KAAK,oBAAoB,CAACiC,EAAE,IAAI,CAAC0E,WAAW,CAAC,CAAC3G,KAAK,OAAOoL,QAAQ,SAASpR,MAAM+H,EAAEoF,UAAUpF,EAAEoG,UAAUkD,WAAW,0BAA0BN,YAAY,OAAOC,MAAM,CAACM,UAAUvJ,EAAEoG,YAAYpG,EAAEoF,SAASiC,GAAG,CAAC6B,MAAMlJ,EAAEmI,OAAO,CAACjI,EAAE,MAAM,CAAC8I,YAAY,kBAAkB,CAAC9I,EAAE,OAAO,CAAC8I,YAAY,mBAAmB,CAAChJ,EAAEwJ,GAAG,qBAAqBxJ,EAAEyJ,GAAGzJ,EAAEC,EAAE,SAAS,6BAA6B,MAAM,OAAO,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBY,EAAErG,GAAGqG,IAAI3J,GAAG,IAAIoT,EAAEpT,EAAEc;;;;;;;;;;;;;;;;;;;;;GAqB1jMD,OAAOoI,EAAE3F,EAATzC,CAAYuS,GAAGrK,EAAE1H,QAAQ+R,GAAGC,GAAG,SAASvK,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAsCwS,EAAE,SAASxK,EAAEC,EAAEC,GAAG,aAAa,SAASC,EAAEH,EAAEC,GAAG,IAAI,IAAIC,EAAE,GAAGC,EAAE,GAAGC,EAAE,EAAEA,EAAEH,EAAEhH,OAAOmH,IAAI,CAAC,IAAI1F,EAAEuF,EAAEG,GAAG5F,EAAEE,EAAE,GAAG1B,EAAE,CAACyR,GAAGzK,EAAE,IAAII,EAAEsK,IAAIhQ,EAAE,GAAGiQ,MAAMjQ,EAAE,GAAGkQ,UAAUlQ,EAAE,IAAIyF,EAAE3F,GAAG2F,EAAE3F,GAAGqQ,MAAMnI,KAAK1J,GAAGkH,EAAEwC,KAAKvC,EAAE3F,GAAG,CAACiQ,GAAGjQ,EAAEqQ,MAAM,CAAC7R,KAAK,OAAOkH,EAAEA,EAAExF,EAAEuF,GAAGC,EAAEM,EAAEP,EAAE,WAAU,WAAY,OAAOyI,KAAK,IAAItI,EAAE,oBAAoBmH,SAAS,GAAG,oBAAoBuD,OAAOA,QAAQ1K,EAAE,MAAM,IAAI2K,MAAM,2JAA2J,IAAIrQ,EAAE,GAAGF,EAAE4F,IAAImH,SAASyD,MAAMzD,SAAS0D,qBAAqB,QAAQ,IAAIjS,EAAE,KAAKuH,EAAE,EAAEhB,GAAE,EAAGe,EAAE,aAAaD,EAAE,KAAKmE,EAAE,oBAAoB0G,WAAW,eAAeC,KAAKD,UAAUE,UAAUC,eAAe,SAAS3C,EAAE1I,EAAEC,EAAEC,EAAEE,GAAGb,EAAEW,EAAEG,EAAED,GAAG,GAAG,IAAI5F,EAAE2F,EAAEH,EAAEC,GAAG,OAAOO,EAAEhG,GAAG,SAASyF,GAAG,IAAI,IAAIC,EAAE,GAAGE,EAAE,EAAEA,EAAE5F,EAAEvB,OAAOmH,IAAI,CAAC,IAAIpH,EAAEwB,EAAE4F,IAAIG,EAAE7F,EAAE1B,EAAEyR,KAAKa,OAAOpL,EAAEwC,KAAKnC,GAAsB,IAAnBN,EAAEO,EAAEhG,EAAE2F,EAAEH,EAAEC,IAAIzF,EAAE,GAAO4F,EAAE,EAAEA,EAAEF,EAAEjH,OAAOmH,IAAI,CAAC,IAAIG,EAAE,GAAG,KAAKA,EAAEL,EAAEE,IAAIkL,KAAK,CAAC,IAAI,IAAI/L,EAAE,EAAEA,EAAEgB,EAAEsK,MAAM5R,OAAOsG,IAAIgB,EAAEsK,MAAMtL,YAAY7E,EAAE6F,EAAEkK,OAAO,SAASjK,EAAER,GAAG,IAAI,IAAIC,EAAE,EAAEA,EAAED,EAAE/G,OAAOgH,IAAI,CAAC,IAAIC,EAAEF,EAAEC,GAAGE,EAAEzF,EAAEwF,EAAEuK,IAAI,GAAGtK,EAAE,CAACA,EAAEmL,OAAO,IAAI,IAAIlL,EAAE,EAAEA,EAAED,EAAE0K,MAAM5R,OAAOmH,IAAID,EAAE0K,MAAMzK,GAAGF,EAAE2K,MAAMzK,IAAI,KAAKA,EAAEF,EAAE2K,MAAM5R,OAAOmH,IAAID,EAAE0K,MAAMnI,KAAKxL,EAAEgJ,EAAE2K,MAAMzK,KAAKD,EAAE0K,MAAM5R,OAAOiH,EAAE2K,MAAM5R,SAASkH,EAAE0K,MAAM5R,OAAOiH,EAAE2K,MAAM5R,YAAY,CAAC,IAAIuB,EAAE,GAAG,IAAI4F,EAAE,EAAEA,EAAEF,EAAE2K,MAAM5R,OAAOmH,IAAI5F,EAAEkI,KAAKxL,EAAEgJ,EAAE2K,MAAMzK,KAAK1F,EAAEwF,EAAEuK,IAAI,CAACA,GAAGvK,EAAEuK,GAAGa,KAAK,EAAET,MAAMrQ,KAAK,SAASqG,IAAI,IAAIb,EAAEuH,SAASgE,cAAc,SAAS,OAAOvL,EAAEgF,KAAK,WAAWxK,EAAEgR,YAAYxL,GAAGA,EAAE,SAAS9I,EAAE8I,GAAG,IAAIC,EAAEC,EAAEC,EAAEoH,SAASkE,cAAc,2BAA2BzL,EAAEyK,GAAG,MAAM,GAAGtK,EAAE,CAAC,GAAGZ,EAAE,OAAOe,EAAEH,EAAEuL,WAAWC,YAAYxL,GAAG,GAAGqE,EAAE,CAAC,IAAIpE,EAAEG,IAAIJ,EAAEnH,IAAIA,EAAE6H,KAAKZ,EAAE2L,EAAEjL,KAAK,KAAKR,EAAEC,GAAE,GAAIF,EAAE0L,EAAEjL,KAAK,KAAKR,EAAEC,GAAE,QAASD,EAAEU,IAAIZ,EAAE4L,EAAElL,KAAK,KAAKR,GAAGD,EAAE,WAAWC,EAAEuL,WAAWC,YAAYxL,IAAI,OAAOF,EAAED,GAAG,SAASG,GAAG,GAAGA,EAAE,CAAC,GAAGA,EAAEuK,MAAM1K,EAAE0K,KAAKvK,EAAEwK,QAAQ3K,EAAE2K,OAAOxK,EAAEyK,YAAY5K,EAAE4K,UAAU,OAAO3K,EAAED,EAAEG,QAAQD,KAAK,IAAIoK,EAAEwB,GAAGxB,EAAE,GAAG,SAAStK,EAAEC,GAAG,OAAOqK,EAAEtK,GAAGC,EAAEqK,EAAEyB,OAAO5G,SAAS1C,KAAK,QAAQ,SAASmJ,EAAE5L,EAAEC,EAAEC,EAAEC,GAAG,IAAIC,EAAEF,EAAE,GAAGC,EAAEuK,IAAI,GAAG1K,EAAEgM,WAAWhM,EAAEgM,WAAWC,QAAQH,EAAE7L,EAAEG,OAAO,CAAC,IAAI1F,EAAE6M,SAAS2E,eAAe9L,GAAG5F,EAAEwF,EAAEmM,WAAW3R,EAAEyF,IAAID,EAAE2L,YAAYnR,EAAEyF,IAAIzF,EAAEvB,OAAO+G,EAAEyH,aAAa/M,EAAEF,EAAEyF,IAAID,EAAEwL,YAAY9Q,IAAI,SAASmR,EAAE7L,EAAEC,GAAG,IAAIC,EAAED,EAAEyK,IAAIvK,EAAEF,EAAE0K,MAAMvK,EAAEH,EAAE2K,UAAU,GAAGzK,GAAGH,EAAEoM,aAAa,QAAQjM,GAAGE,EAAEgM,OAAOrM,EAAEoM,aAAa,kBAAkBnM,EAAEwK,IAAIrK,IAAIF,GAAG,mBAAmBE,EAAEmC,QAAQ,GAAG,MAAMrC,GAAG,uDAAuDgC,KAAKC,SAASC,mBAAmBC,KAAKC,UAAUlC,MAAM,OAAOJ,EAAEgM,WAAWhM,EAAEgM,WAAWC,QAAQ/L,MAAM,CAAC,KAAKF,EAAEsM,YAAYtM,EAAE2L,YAAY3L,EAAEsM,YAAYtM,EAAEwL,YAAYjE,SAAS2E,eAAehM,OAAOqM,GAAG,SAASvM,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAuCwU,GAAG,SAASxM,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAiDyU,GAAG,SAASzM,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAoC0U,GAAG,SAAS1M,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAiC2U,GAAG,SAAS3M,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,GAAGE,EAAEF,EAAEC,EAAEA;;;;;;;;;;;;;;;;;;;;;GAqBl7FF,EAAEzF,EAAE,CAACoS,OAAO,WAAWhV,KAAKiV,OAAOtU,SAAS,KAAKX,KAAKkV,KAAK9Q,SAASoE,EAAE5F,EAAEuS,KAAKC,KAAK,GAAGhL,OAAOpK,KAAKgK,SAAS3D,KAAK,2DAA2DrG,MAAMA,KAAKqV,WAAWrV,KAAK8P,IAAIwF,WAAWC,aAAa,WAAWvV,KAAKkV,KAAKlV,KAAKwV,WAAWrT,KAAK,WAAW,MAAM,CAAC+S,KAAKlV,KAAKwV,YAAY5G,SAAS,CAAC6G,WAAW,WAAW,OAAOzV,KAAKkV,MAAMlV,KAAKkV,KAAK9Q,OAAO/C,OAAO,KAAK8O,QAAQ,CAACqF,QAAQ,WAAW,OAAOxV,KAAKiV,OAAOtU,QAAQX,KAAKiV,OAAOtU,QAAQ,GAAGuU,KAAK9Q,OAAO,OAAOsR,GAAG,SAAStN,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAA0CuV,GAAG,SAASvN,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAmCwV,IAAI,SAASxN,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,KAAKA,EAAEC,EAAEA,GAAG3F,GAAGiT,IAAI,SAASzN,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,GAAGE,EAAEF,EAAE,GAAGxF,EAAEwF,EAAE,GAAG1F,EAAE0F,EAAE,IAAIlH,EAAEkH,EAAE,IAAIK,EAAEL,EAAE,IAAID,EAAEE,GAAE,GAAI,IAAIZ,EAAEa,EAAE1F,GAAG4F,EAAEF,EAAE5F,GAAG6F,EAAED,EAAEpH,GAAGwL,EAAEpE,EAAEG,GAAGN,EAAEyC,KAAK,CAAC1C,EAAEhH,EAAE,yDAAyDuG,EAAE,aAAaA,EAAE,qCAAqCe,EAAE,wBAAwBD,EAAE,4BAA4BmE,EAAE,8iQAA8iQ,KAAKxE,EAAEhI,QAAQiI,GAAGyN,GAAG,SAAS1N,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAA4B2V,GAAG,SAAS3N,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAwC4V,GAAG,SAAS5N,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,GAAGD,EAAEzF,EAAE,CAACuN,QAAQ,CAAC5H,EAAEA,EAAE3F,EAAEyF,EAAEE,EAAE1F,KAAKoT,EAAE,SAAS7N,EAAEC,EAAEC,GAAG,aAAaA,EAAEM,EAAEP,EAAE,KAAI,WAAY,OAAOjH,KAAKkH,EAAEM,EAAEP,EAAE,KAAI,WAAY,OAAOzF,KAAK0F,EAAE,GAAG,IAAIC,EAAED,EAAE,IAAIE,EAAErI,OAAOoI,EAAEjI,kBAATH,GAA8B+V,eAAe,CAAC,CAAClU,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,oDAAoD,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,mUAAmUzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,uEAAuEC,OAAO,CAAC,4hBAA4hB,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,iBAAiBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,aAAa,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,oCAAoC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iEAAiE,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,iIAAiIC,OAAO,CAAC,uQAAuQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,yBAAyBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,4BAA4BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0B,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,iCAAiC,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,gDAAgD,gBAAgB,kFAAkF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gHAAgHzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,mEAAmEC,OAAO,CAAC,2VAA2V,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,SAASO,WAAW,CAACV,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAa,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWQ,OAAO,CAACX,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,uBAAuBS,MAAM,CAACZ,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoBI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgB,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,2BAA2B,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,mBAAmBU,QAAQ,CAACb,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0B,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcW,OAAO,CAACd,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuBY,QAAQ,CAACf,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,iCAAiC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,8CAA8C,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,iEAAiEC,OAAO,CAAC,mPAAmP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,eAAeE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0B,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,wNAAwNC,OAAO,CAAC,oPAAoP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,0BAA0B5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaO,WAAW,CAACV,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgB,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAcG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcQ,OAAO,CAACX,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsBS,MAAM,CAACZ,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqBI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,qBAAqBU,QAAQ,CAACb,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcW,OAAO,CAACd,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,oBAAoBY,QAAQ,CAACf,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,kDAAkD,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,gNAAgNC,OAAO,CAAC,oQAAoQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,0BAA0B5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaO,WAAW,CAACV,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgB,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAcG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcQ,OAAO,CAACX,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsBS,MAAM,CAACZ,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqBI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,qBAAqBU,QAAQ,CAACb,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcW,OAAO,CAACd,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,oBAAoBY,QAAQ,CAACf,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,gDAAgD,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qCAAqC,gBAAgB,8DAA8D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,4GAA4GC,OAAO,CAAC,yOAAyO,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,mBAAmB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAaI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,+BAA+B,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,gDAAgD,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,8BAA8B,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,6FAA6FC,OAAO,CAAC,oOAAoO,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,2BAA2B,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,6BAA6B5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,4BAA4BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kCAAkC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,6DAA6D,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,8EAA8EC,OAAO,CAAC,kQAAkQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,SAASI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuB,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,kDAAkD,gBAAgB,6EAA6E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,qEAAqEC,OAAO,CAAC,wQAAwQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,iBAAiBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,4BAA4B,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+BzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,wMAAwMC,OAAO,CAAC,mPAAmP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iCAAiCK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,4BAA4BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,0BAA0B,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,yCAAyC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,iEAAiE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,0HAA0HC,OAAO,CAAC,8PAA8P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,6BAA6BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,uCAAuC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,8CAA8C,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8HAA8HzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,+DAA+DC,OAAO,CAAC,iVAAiV,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,iBAAiB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gBAAgBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,WAAW,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,oBAAoB,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qCAAqC,gBAAgB,+EAA+E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,gGAAgGC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,yCAAyC,gBAAgB,kEAAkE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,sDAAsDzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,4DAA4DC,OAAO,CAAC,uQAAuQ,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAUG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,SAASI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,gBAAgBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,yBAAyB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,iCAAiC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iBAAiB,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,oCAAoCC,OAAO,CAAC,uNAAuN,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,WAAWE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,2BAA2BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,sCAAsC,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,+CAA+C,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyBzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,gEAAgEC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAe,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAe5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,OAAOE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,MAAM,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,iBAAiBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,MAAM,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,UAAUM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mBAAmB,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,YAAY,gBAAgB,kFAAkF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,mKAAmKzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,6BAA6BC,OAAO,CAAC,0WAA0W,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgCK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,mCAAmC,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,mEAAmEzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,oDAAoDC,OAAO,CAAC,4QAA4Q,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAeG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kBAAkB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,gBAAgB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,uBAAuB,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,mEAAmE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8DAA8DzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,uCAAuCC,OAAO,CAAC,6PAA6P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2B,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qDAAqD,gBAAgB,qFAAqF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,wEAAwEC,OAAO,CAAC,mRAAmR,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,eAAeE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,SAASI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kCAAkC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,oBAAoB,gBAAgB,8DAA8D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,qFAAqFC,OAAO,CAAC,wNAAwN,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,WAAWE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,8BAA8B,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,sBAAsB,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+BzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,uCAAuCC,OAAO,CAAC,uOAAuO,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,YAAYE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,gCAAgCK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,6BAA6BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,gBAAgB,gBAAgB,+DAA+D,eAAe,4BAA4BC,SAAS,KAAK,eAAe,kLAAkLzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,mCAAmCC,OAAO,CAAC,uWAAuW,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,cAAcO,WAAW,CAACV,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAc,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,uBAAuBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAYG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYQ,OAAO,CAACX,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAcS,MAAM,CAACZ,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmBI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,8BAA8B,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,iBAAiBU,QAAQ,CAACb,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,4BAA4B,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAcW,OAAO,CAACd,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6BY,QAAQ,CAACf,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,mCAAmC,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,qBAAqB,gBAAgB,+EAA+E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,+BAA+BzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,+FAA+FC,OAAO,CAAC,4OAA4O,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,UAAUO,WAAW,CAACV,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,eAAe,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,uBAAuBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWQ,OAAO,CAACX,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,kBAAkBS,MAAM,CAACZ,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAc,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,gBAAgBI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,4BAA4B,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,mBAAmBU,QAAQ,CAACb,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,kCAAkC,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAaW,OAAO,CAACd,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,cAAc,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,2BAA2B,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mCAAmCY,QAAQ,CAACf,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,qBAAqB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,2CAA2C,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,kDAAkD,gBAAgB,iFAAiF,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,0GAA0GC,OAAO,CAAC,4QAA4Q,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,UAAUE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAWI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,qBAAqBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAa,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,4BAA4BM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,yCAAyC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iCAAiC,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,0KAA0KzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,kDAAkDC,OAAO,CAAC,iXAAiX,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,yBAAyBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,cAAc,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,0BAA0B,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,+BAA+BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,mBAAmBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,cAAc,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6B,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,wCAAwC,gBAAgB,6EAA6E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,2GAA2GzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,2DAA2DC,OAAO,CAAC,yUAAyU,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,wBAAwB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,UAAUO,WAAW,CAACV,MAAM,aAAaC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAa,mBAAmB,CAACH,MAAM,mBAAmBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,uBAAuBE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAWG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,aAAaQ,OAAO,CAACX,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASS,MAAM,CAACZ,MAAM,QAAQC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,mBAAmB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,oBAAoBI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,6BAA6B,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,oBAAoBU,QAAQ,CAACb,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2B,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,iBAAiB,gBAAgB,CAACH,MAAM,gBAAgBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mBAAmBW,OAAO,CAACd,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,WAAW,iBAAiB,CAACH,MAAM,iBAAiBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,0BAA0B,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,kBAAkBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,eAAe,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,sBAAsB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,sBAAsBY,QAAQ,CAACf,MAAM,UAAUC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,wBAAwB,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,oCAAoC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uBAAuB,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,gCAAgCzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,qHAAqHC,OAAO,CAAC,6NAA6N,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,SAASG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,mBAAmBK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAe,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,gBAAgBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,kBAAkB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,wBAAwB,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,gDAAgD,gBAAgB,gEAAgE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,+BAA+BzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,6JAA6JC,OAAO,CAAC,qPAAqP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,oBAAoB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,aAAaE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,QAAQG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAY,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,+BAA+BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,qBAAqBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,YAAY,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6B,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,iCAAiC,CAAC1U,OAAO,KAAKmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,uDAAuD,gBAAgB,kEAAkE,eAAe,4BAA4BC,SAAS,KAAK,eAAe,8PAA8PzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,wEAAwEC,OAAO,CAAC,6dAA6d,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,uBAAuB5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,QAAQE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,aAAaG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,YAAYI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,WAAW,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,wBAAwB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,2BAA2BK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,sBAAsBM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,iBAAiB,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,6BAA6B,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,4CAA4C,gBAAgB,2EAA2E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyBzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,gJAAgJC,OAAO,CAAC,yPAAyP,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,gBAAgB,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAe5J,QAAQ,CAACyJ,MAAM,UAAUC,SAAS,CAACG,UAAU,0CAA0CD,OAAO,CAAC,OAAOE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,OAAOI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,wKAAwKD,OAAO,CAAC,QAAQ,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,WAAWM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAU,6BAA6B,CAACH,MAAM,6BAA6BC,SAAS,CAACG,UAAU,kEAAkED,OAAO,CAAC,eAAe,CAAC1U,OAAO,QAAQmU,KAAK,CAACC,QAAQ,QAAQC,QAAQ,CAAC,kBAAkB,iDAAiD,gBAAgB,4EAA4E,eAAe,4BAA4BC,SAAS,QAAQ,eAAe,yBAAyBzU,aAAa,CAAC,GAAG,CAAC,GAAG,CAAC0U,MAAM,GAAGC,SAAS,CAACC,WAAW,kEAAkEC,OAAO,CAAC,+PAA+P,oBAAoB,CAACH,MAAM,oBAAoBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAe,qBAAqB,CAACH,MAAM,qBAAqBC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,eAAeE,OAAO,CAACL,MAAM,SAASC,SAAS,CAACG,UAAU,kDAAkDD,OAAO,CAAC,OAAOG,MAAM,CAACN,MAAM,QAAQC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,OAAOI,KAAK,CAACP,MAAM,OAAOC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,aAAa,CAACH,MAAM,aAAaC,SAAS,CAACG,UAAU,yGAAyGD,OAAO,CAAC,QAAQ,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,UAAUK,SAAS,CAACR,MAAM,WAAWC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,QAAQ,eAAe,CAACH,MAAM,eAAeC,SAAS,CAACG,UAAU,0DAA0DD,OAAO,CAAC,SAASM,SAAS,CAACT,MAAM,WAAWC,SAAS,CAACG,UAAU,qEAAqED,OAAO,CAAC,OAAO,kBAAkB,CAACH,MAAM,kBAAkBC,SAAS,CAACG,UAAU,sCAAsCD,OAAO,CAAC,eAAerM,KAAI,SAAUjC,GAAG,OAAOI,EAAE+O,eAAenP,EAAEpG,OAAOoG,EAAE+N,SAAS,IAAIrT,EAAE0F,EAAEgP,QAAQ5U,EAAEE,EAAEU,SAASuF,KAAKjG,GAAG1B,EAAE0B,EAAEM,QAAQ2F,KAAKjG,IAAI2U,GAAG,SAASrP,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,GAAGA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,IAAIE,GAAGF,EAAE,IAAI,SAASF,EAAEC,GAAG,IAAI,IAAIC,EAAEF,EAAEsP,QAAQpP,GAAG,CAAC,GAAGA,EAAE0B,SAAS3D,OAAOgC,EAAE,OAAOC,EAAEA,EAAEA,EAAEoP,WAAWrP,EAAEzF,EAAE,CAACsK,OAAO,CAAC3E,EAAE3F,GAAGzB,MAAM,CAACqR,KAAK,CAACpF,KAAKC,OAAO1M,QAAQ,IAAIwM,MAAM,CAACC,KAAKC,OAAO1M,QAAQ,IAAIgX,gBAAgB,CAACvK,KAAKG,QAAQ5M,SAAQ,GAAIiX,UAAU,CAACxK,KAAKC,OAAO1M,QAAQ,KAAKiO,SAAS,CAACiJ,UAAU,WAAW,IAAI,OAAO,IAAIC,IAAI9X,KAAKwS,MAAM,MAAMpK,GAAG,OAAM,KAAM+H,QAAQ,CAAC4H,QAAQ,SAAS3P,GAAG,GAAGpI,KAAKsQ,MAAM,QAAQlI,GAAGpI,KAAK2X,gBAAgB,CAAC,IAAItP,EAAEG,EAAExI,KAAK,WAAWqI,GAAGA,EAAE2P,WAAW3P,EAAE2P,iBAAiBC,GAAG,SAAS7P,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAsC8X,GAAG,SAAS9P,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEhH,EAAEmH,EAAE,MAAMA,EAAEkD,SAASrD,EAAEhI,QAAQmI,EAAEkD,SAAQ,EAAGnD,EAAE,GAAG3H,SAAS,WAAW4H,GAAE,EAAG,KAAK4P,GAAG,SAAS/P,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,GAAGE,EAAEF,EAAEC,EAAEA,GAAGF,EAAEzF,EAAE,SAASwF,EAAEC,EAAEC,GAAG,QAAG,IAASF,EAAE,IAAI,IAAIG,EAAEH,EAAE/G,OAAO,EAAEkH,GAAG,EAAEA,IAAI,CAAC,IAAIzF,EAAEsF,EAAEG,GAAG3F,GAAGE,EAAEsV,kBAAkBtV,EAAEuV,MAAM,IAAIhQ,EAAE8F,QAAQrL,EAAEuV,KAAKjX,IAAI0B,EAAEsV,kBAAkB,iBAAiBtV,EAAEsV,iBAAiBC,IAAI1P,EAAEvH,IAAI,IAAIiH,EAAE8F,QAAQrL,EAAEsV,iBAAiBC,MAAMzV,IAAIxB,GAAGuH,MAAM/F,GAAG+F,IAAIH,EAAE5F,EAAEuS,KAAKC,KAAK,GAAGhL,OAAOxH,EAAEE,EAAEuV,IAAIvV,EAAEsV,iBAAiBC,IAAI,+BAA+BjO,OAAO9B,EAAE0B,SAAS3D,KAAK,cAAciC,GAAGF,EAAEd,OAAOiB,EAAE,OAAO+P,GAAG,SAASlQ,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAoCmY,EAAE,SAASnQ,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAcoY,GAAG,SAASpQ,EAAEC,KAAKoQ,GAAG,SAASrQ,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAG,IAAIE,EAAED,EAAE;;;;;;;;;;;;;;;;;;;;;GAqBhiqGD,EAAE1H,QAAQ4H,EAAE3F,GAAG8V,GAAG,SAAStQ,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,IAAI,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEhH,EAAEmH,EAAE,MAAMA,EAAEkD,SAASrD,EAAEhI,QAAQmI,EAAEkD,SAAQ,EAAGnD,EAAE,GAAG3H,SAAS,WAAW4H,GAAE,EAAG,KAAKoQ,GAAG,SAASvQ,EAAEC,EAAEC,IAAID,EAAEC,EAAE,EAAFA,EAAK,IAAKwC,KAAK,CAAC1C,EAAEhH,EAAE,4tDAA4tD,KAAKgH,EAAEhI,QAAQiI,GAAGuQ,EAAE,SAASxQ,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAwCyY,GAAG,SAASzQ,EAAEC,EAAEC,GAAG,aAAaA,EAAE,IAAIA,EAAE,IAAIA,EAAE,IAAI,IAAIC,EAAED,EAAE,IAAIE,EAAEF,EAAE,IAAIxF,EAAEwF,EAAE,IAAI1F,GAAG0F,EAAE,IAAI,SAASF,GAAG,IAAIC,EAAED,EAAE0Q,wBAAwBxQ,EAAEqH,SAASoJ,gBAAgBC,aAAazQ,EAAEoH,SAASoJ,gBAAgBE,YAAYzQ,EAAErI,OAAO+Y,OAAO,IAAI,OAAO1Q,EAAE2Q,IAAI9Q,EAAE8Q,IAAI,EAAE3Q,EAAE4Q,KAAK/Q,EAAE+Q,KAAK,EAAE5Q,EAAE6Q,OAAOhR,EAAEgR,OAAO/Q,EAAEE,EAAE8Q,MAAMjR,EAAEiR,MAAM/Q,EAAEC,EAAE+Q,IAAI/Q,EAAE2Q,KAAK3Q,EAAE4Q,MAAM5Q,EAAE6Q,QAAQ7Q,EAAE8Q,MAAM9Q,EAAEgR,IAAIhR,EAAE2Q,KAAK3Q,EAAE4Q,MAAM5Q,EAAE6Q,QAAQ7Q,EAAE8Q,MAAM9Q,EAAEiR,QAAQjR,EAAE2Q,IAAI9Q,EAAE8Q,IAAI3Q,EAAE6Q,OAAOhR,EAAEgR,OAAO/Q,EAAE,EAAEE,EAAEkR,QAAQlR,EAAE4Q,KAAK/Q,EAAE+Q,KAAK5Q,EAAE8Q,MAAMjR,EAAEiR,MAAM/Q,EAAE,EAAEC,IAAIpH,EAAEkH,EAAE,IAAIK,EAAEL,EAAE,GAAGX,EAAE,CAAC,eAAe,iBAAiB,cAAc,aAAa,cAAc,eAAe,kBAAkB,aAAa,sBAAsBe,EAAE,CAACrC,KAAK,UAAU2G,WAAW,CAAC2M,aAAapR,EAAEqR,UAAU3M,QAAQzE,EAAE7H,SAASQ,MAAM,CAAC0Y,KAAK,CAACzM,KAAKG,QAAQ5M,SAAQ,GAAImZ,UAAU,CAAC1M,KAAKG,QAAQ5M,SAAQ,GAAIoZ,UAAU,CAAC3M,KAAKC,OAAO1M,QAAQ,SAASuN,UAAU,SAAS9F,GAAG,MAAM,CAAC,OAAO,SAAS,SAAS+F,QAAQ/F,IAAI,IAAI4R,UAAU,CAAC5M,KAAKC,OAAO1M,QAAQ,MAAMsZ,QAAQ,CAAC7M,KAAKG,QAAQ5M,SAAQ,GAAIuZ,YAAY,CAAC9M,KAAKC,OAAO1M,QAAQ,yCAAyCiX,UAAU,CAACxK,KAAKC,OAAO1M,QAAQR,OAAOwI,EAAE9F,EAAT1C,CAAY,aAAagC,KAAK,WAAW,MAAM,CAACgY,QAAQ,GAAGC,OAAOpa,KAAK6Z,KAAKQ,WAAW,EAAEC,SAAS,QAAQna,OAAO2C,EAAEF,EAATzC,GAAcuZ,QAAQ,EAAED,QAAQ,EAAEc,aAAa,EAAEC,aAAY,EAAGC,SAASza,KAAK0a,YAAY9L,SAAS,CAAC+L,mBAAmB,WAAW,OAAO3a,KAAKma,QAAQ9Y,OAAO,GAAGuZ,oBAAoB,WAAW,OAAO,IAAI5a,KAAKma,QAAQ9Y,QAAQ,OAAOrB,KAAK6a,oBAAoBC,iBAAiB,WAAW,OAAO9a,KAAKma,QAAQ,IAAIY,YAAY,WAAW,OAAO/a,KAAKya,SAAS,GAAGza,KAAKya,SAAS,GAAG,IAAIO,mBAAmB,WAAW,GAAGhb,KAAK8a,kBAAkB9a,KAAK8a,iBAAiB1C,iBAAiB,CAAC,IAAIhQ,EAAEpI,KAAK8a,iBAAiB1C,iBAAiBC,IAAI,GAAG,eAAejQ,EAAE,MAAM,CAAC6S,GAAG,IAAIC,KAAKlb,KAAK+a,YAAYG,KAAKha,OAAOlB,KAAK+a,YAAY7Z,OAAO,aAAalB,KAAK+a,YAAYnD,WAAW,GAAG,iBAAiBxP,EAAE,MAAM,CAAC6S,GAAG,cAAcnT,GAAG9H,KAAK+a,YAAYjT,GAAGqT,MAAMnb,KAAK+a,YAAYI,MAAM,aAAanb,KAAK+a,YAAYnD,WAAW,GAAG,iBAAiBxP,EAAE,MAAM,CAAC6S,GAAG,SAAS,aAAajb,KAAK+a,YAAYnD,WAAW,OAAO,MAAMwD,iBAAiB,WAAW,OAAOpb,KAAK8a,kBAAkB9a,KAAK8a,iBAAiB1C,kBAAkBpY,KAAK8a,iBAAiB1C,iBAAiBiD,WAAWrb,KAAK8a,iBAAiB1C,iBAAiBiD,UAAU/J,OAAOgK,wBAAwB,WAAW,OAAOtb,KAAKob,iBAAiB,QAAQ,MAAMG,iBAAiB,WAAW,IAAInT,EAAEpI,KAAK8a,kBAAkB9a,KAAK8a,iBAAiB3Y,KAAKiP,YAAY/I,EAAErI,KAAK8a,kBAAkB9a,KAAK8a,iBAAiB3Y,KAAKkP,MAAM,MAAM,GAAGjH,OAAOhC,EAAE,KAAKgC,OAAO/B,KAAK0G,MAAM,CAAC8K,KAAK,SAASzR,GAAG,IAAIC,EAAErI,KAAKA,KAAKoa,OAAOhS,EAAEpI,KAAKoa,QAAQpa,KAAK6Q,WAAU,WAAYxI,EAAEmT,cAAcxM,YAAY,WAAWhP,KAAKyb,cAActb,OAAOiB,EAAEwB,EAATzC,CAAYH,KAAKiV,OAAOtU,QAAQgH,EAAE3H,OAAOuV,aAAa,WAAWvV,KAAKyb,cAActb,OAAOiB,EAAEwB,EAATzC,CAAYH,KAAKiV,OAAOtU,QAAQgH,EAAE3H,OAAOmQ,QAAQ,CAACuL,WAAW,SAAStT,GAAG,IAAIC,EAAErI,KAAKA,KAAKoa,OAAO,kBAAkBhS,EAAEA,GAAGpI,KAAKoa,OAAOpa,KAAKoa,QAAQpa,KAAK6Q,WAAU,WAAYxI,EAAEmT,YAAYxb,KAAKsQ,MAAM,UAAUtQ,KAAK0Z,QAAQ,EAAE1Z,KAAKyZ,QAAQ,EAAEzZ,KAAKua,aAAa,EAAEva,KAAKwa,aAAY,EAAGxa,KAAKsQ,MAAM,UAAUtQ,KAAKsQ,MAAM,cAActQ,KAAKoa,SAASpC,UAAU,SAAS5P,GAAGpI,KAAKoa,SAASpa,KAAKsQ,MAAM,eAAc,GAAItQ,KAAKsQ,MAAM,SAAStQ,KAAKoa,QAAO,EAAGpa,KAAKqa,WAAW,EAAEra,KAAK0Z,QAAQ,EAAE1Z,KAAKyZ,QAAQ,EAAEzZ,KAAKua,aAAa,EAAEva,KAAKwa,aAAY,IAAKgB,OAAO,WAAWxb,KAAK0Z,QAAQ,EAAE1Z,KAAKyZ,QAAQ,EAAEzZ,KAAKua,aAAa,EAAEva,KAAKwa,aAAY,EAAG,IAAIpS,EAAExF,EAAE5C,KAAKuP,MAAMoM,MAAMvT,EAAEiR,SAASrZ,KAAKyZ,QAAQ,EAAErS,KAAKwU,MAAM5b,KAAKuP,MAAMoM,KAAK3C,cAAc,GAAGhZ,KAAKua,aAAanT,KAAKwU,MAAM5b,KAAKuP,MAAMoM,KAAK3C,cAAc,GAAGhZ,KAAKwa,aAAY,GAAI,WAAWxa,KAAK+Z,YAAY3R,EAAEgR,MAAMhR,EAAEkR,SAAStZ,KAAK0Z,QAAQtR,EAAEsR,QAAQ,EAAEtS,KAAKwU,MAAMxT,EAAEsR,SAAS,EAAEtS,KAAKwU,MAAMxT,EAAEsR,SAAS,IAAImC,mBAAmB,SAASzT,GAAG,GAAGuH,SAASmM,gBAAgB1T,EAAElH,OAAO,CAAC,IAAImH,EAAED,EAAElH,OAAO6a,QAAQ,MAAM,GAAG1T,EAAE,CAAC,IAAIC,EAAED,EAAEwL,cAAc,cAAc,GAAGvL,EAAE,CAAC,IAAIC,EAAEvI,KAAKuP,MAAMyM,SAASC,iBAAiB,cAAczT,EAAE0T,MAAMzc,UAAU0O,QAAQpO,KAAKwI,EAAED,GAAGE,GAAG,IAAIxI,KAAKqa,WAAW7R,EAAExI,KAAKmc,mBAAmBC,oBAAoB,WAAW,IAAIhU,EAAEpI,KAAKuP,MAAMyM,SAASnI,cAAc,aAAazL,GAAGA,EAAEiU,UAAU/G,OAAO,WAAW6G,YAAY,WAAW,IAAI/T,EAAEpI,KAAKuP,MAAMyM,SAASC,iBAAiB,cAAcjc,KAAKqa,YAAY,GAAGjS,EAAE,CAACpI,KAAKoc,sBAAsB,IAAI/T,EAAED,EAAE2T,QAAQ,aAAa3T,EAAEkU,QAAQjU,GAAGA,EAAEgU,UAAUxS,IAAI,YAAY0S,oBAAoB,SAASnU,GAAGpI,KAAKoa,SAAS,IAAIpa,KAAKqa,WAAWra,KAAKgY,aAAa5P,EAAEoU,iBAAiBxc,KAAKqa,WAAWra,KAAKqa,WAAW,GAAGra,KAAKmc,gBAAgBM,gBAAgB,SAASrU,GAAG,GAAGpI,KAAKoa,OAAO,CAAC,IAAI/R,EAAErI,KAAKuP,MAAMyM,SAASC,iBAAiB,cAAc5a,OAAO,EAAErB,KAAKqa,aAAahS,EAAErI,KAAKgY,aAAa5P,EAAEoU,iBAAiBxc,KAAKqa,WAAWra,KAAKqa,WAAW,GAAGra,KAAKmc,gBAAgBO,iBAAiB,SAAStU,GAAGpI,KAAKoa,SAAShS,EAAEoU,iBAAiBxc,KAAKqa,WAAW,EAAEra,KAAKmc,gBAAgBQ,gBAAgB,SAASvU,GAAGpI,KAAKoa,SAAShS,EAAEoU,iBAAiBxc,KAAKqa,WAAWra,KAAK8P,IAAImM,iBAAiB,cAAc5a,OAAO,EAAErB,KAAKmc,gBAAgBS,gBAAgB,SAASxU,GAAGpI,KAAKob,kBAAkBpb,KAAKob,iBAAiBhT,IAAIqT,YAAY,WAAWzb,KAAKma,SAASna,KAAKiV,OAAOtU,SAAS,IAAIwT,QAAO,SAAU/L,GAAG,QAAQA,KAAKA,EAAEgQ,uBAAuB3P,GAAGH,EAAE,IAAIA,EAAE,IAAIsE,EAAEtE,EAAE,IAAIwI,EAAExI,EAAEC,EAAEqE,GAAGhE,EAAEzI,OAAOsI,EAAE7F,EAATzC,CAAYuI,GAAE,WAAY,IAAIN,EAAEC,EAAErI,KAAKsI,EAAED,EAAE0I,eAAexI,EAAEF,EAAE2I,MAAMC,IAAI3I,EAAE,OAAOD,EAAEuS,sBAAsBvS,EAAEyR,UAAUvR,EAAE,UAAUF,EAAEwU,GAAG,CAAC7P,WAAW,CAAC,CAAC3G,KAAK,UAAUoL,QAAQ,iBAAiBpR,MAAMgI,EAAE0S,YAAY7F,KAAKxD,WAAW,mBAAmBK,UAAU,CAACC,MAAK,KAAMZ,YAAY,kCAAkCC,MAAM,CAAChJ,EAAE0S,YAAYvI,KAAKnK,EAAEkT,kBAAkBrK,MAAM,CAAC4L,IAAI,uBAAuBrN,GAAGpH,EAAE0U,GAAG,GAAG,CAAC1U,EAAEiT,wBAAwBjT,EAAEuU,mBAAmB,UAAUvU,EAAE2S,oBAAmB,GAAI,CAACzS,EAAE,OAAO,CAAC2I,MAAM,CAAC,eAAc,EAAG8L,OAAO,KAAK,CAAC3U,EAAEkK,GAAG,YAAY,KAAKhK,EAAE,MAAM,CAACyE,WAAW,CAAC,CAAC3G,KAAK,OAAOoL,QAAQ,SAASpR,MAAMgI,EAAEsS,oBAAoBtS,EAAEyR,UAAUpI,WAAW,mCAAmC,CAACrL,KAAK,gBAAgBoL,QAAQ,kBAAkBpR,MAAMgI,EAAE2P,UAAUtG,WAAW,cAAcP,IAAI,WAAWC,YAAY,cAAcC,MAAM,CAAC,oBAAoBhJ,EAAE+R,QAAQ3K,GAAG,CAACwN,QAAQ,CAAC,SAAS7U,GAAG,OAAOA,EAAEgF,KAAKe,QAAQ,QAAQ9F,EAAE6U,GAAG9U,EAAEqI,QAAQ,KAAK,GAAGrI,EAAE3G,IAAI,CAAC,KAAK,aAAiB2G,EAAE+U,SAAS/U,EAAEgV,UAAUhV,EAAEiV,QAAQjV,EAAEkV,QAAxC,KAAqDjV,EAAEkU,oBAAoBnU,IAAI,SAASA,GAAG,OAAOA,EAAEgF,KAAKe,QAAQ,QAAQ9F,EAAE6U,GAAG9U,EAAEqI,QAAQ,OAAO,GAAGrI,EAAE3G,IAAI,CAAC,OAAO,eAAmB2G,EAAE+U,SAAS/U,EAAEgV,UAAUhV,EAAEiV,QAAQjV,EAAEkV,QAAxC,KAAqDjV,EAAEoU,gBAAgBrU,IAAI,SAASA,GAAG,OAAOA,EAAEgF,KAAKe,QAAQ,QAAQ9F,EAAE6U,GAAG9U,EAAEqI,QAAQ,MAAM,EAAErI,EAAE3G,IAAI,QAAY2G,EAAE+U,SAAS/U,EAAEgV,UAAUhV,EAAEiV,QAAQjV,EAAEkV,QAAxC,KAAqDjV,EAAEoU,gBAAgBrU,IAAI,SAASA,GAAG,OAAOA,EAAEgF,KAAKe,QAAQ,QAAQ9F,EAAE6U,GAAG9U,EAAEqI,QAAQ,MAAM,EAAErI,EAAE3G,IAAI,OAAO,KAAK2G,EAAEgV,SAAShV,EAAE+U,SAAS/U,EAAEiV,QAAQjV,EAAEkV,QAAQ,KAAKjV,EAAEkU,oBAAoBnU,GAAG,MAAM,SAASA,GAAG,OAAOA,EAAEgF,KAAKe,QAAQ,QAAQ9F,EAAE6U,GAAG9U,EAAEqI,QAAQ,eAAU,EAAOrI,EAAE3G,SAAI,IAAa2G,EAAE+U,SAAS/U,EAAEgV,UAAUhV,EAAEiV,QAAQjV,EAAEkV,QAAxC,KAAqDjV,EAAEqU,iBAAiBtU,IAAI,SAASA,GAAG,OAAOA,EAAEgF,KAAKe,QAAQ,QAAQ9F,EAAE6U,GAAG9U,EAAEqI,QAAQ,iBAAY,EAAOrI,EAAE3G,SAAI,IAAa2G,EAAE+U,SAAS/U,EAAEgV,UAAUhV,EAAEiV,QAAQjV,EAAEkV,QAAxC,KAAqDjV,EAAEsU,gBAAgBvU,IAAI,SAASA,GAAG,OAAOA,EAAEgF,KAAKe,QAAQ,QAAQ9F,EAAE6U,GAAG9U,EAAEqI,QAAQ,MAAM,GAAGrI,EAAE3G,IAAI,CAAC,MAAM,YAAgB2G,EAAE+U,SAAS/U,EAAEgV,UAAUhV,EAAEiV,QAAQjV,EAAEkV,QAAxC,MAAsDlV,EAAEoU,iBAAiBnU,EAAE2P,UAAU5P,QAAQ,CAACG,EAAE,SAAS,CAAC6I,YAAY,yCAAyCC,OAAOjJ,EAAE,GAAGA,EAAEC,EAAE6R,cAAa,EAAG9R,EAAE,uCAAuCC,EAAE2R,UAAU5R,EAAE,oCAAoCC,EAAE4R,QAAQ7R,GAAG8I,MAAM,CAAC,aAAa7I,EAAEuP,UAAU,gBAAgB,OAAO,gBAAgBvP,EAAEiS,SAAS,gBAAgBjS,EAAE+R,QAAQ3K,GAAG,CAAC6B,MAAM,SAASlJ,GAAG,OAAOA,EAAEoU,iBAAiBnU,EAAEqT,WAAWtT,IAAImV,MAAM,SAASnV,GAAG,OAAOA,EAAEgF,KAAKe,QAAQ,QAAQ9F,EAAE6U,GAAG9U,EAAEqI,QAAQ,QAAQ,GAAGrI,EAAE3G,IAAI,CAAC,IAAI,cAAkB2G,EAAE+U,SAAS/U,EAAEgV,UAAUhV,EAAEiV,QAAQjV,EAAEkV,QAAxC,MAAsDlV,EAAEoU,iBAAiBnU,EAAEqT,WAAWtT,OAAO,CAACC,EAAEuJ,GAAG,SAASvJ,EAAEwJ,GAAGxJ,EAAE2R,WAAW,UAAU3R,EAAEuJ,GAAG,KAAKrJ,EAAE,MAAM,CAACyE,WAAW,CAAC,CAAC3G,KAAK,OAAOoL,QAAQ,SAASpR,MAAMgI,EAAE+R,OAAO1I,WAAW,WAAWP,IAAI,OAAOC,YAAY,oBAAoBC,MAAM,CAAC,QAAQhJ,EAAE0R,UAAU,CAACF,KAAKxR,EAAE+R,SAASoD,MAAM,CAACC,YAAYpV,EAAEqR,QAAQ,KAAKgE,UAAUrV,EAAEoR,QAAQ,MAAMvI,MAAM,CAACyM,SAAS,MAAMlO,GAAG,CAAC8B,UAAUlJ,EAAEwT,qBAAqB,CAACtT,EAAE,MAAM,CAAC6I,YAAY,0BAA0BoM,MAAM,CAACI,UAAU,cAAcvV,EAAEqR,QAAQ,kBAAkBrR,EAAEkS,aAAa,QAAQlS,EAAEmS,YAAY,kBAAkB,OAAOnS,EAAEuJ,GAAG,KAAKrJ,EAAE,KAAK,CAAC2I,MAAM,CAAC2B,GAAGxK,EAAEiS,SAASqD,SAAS,OAAO,CAACtV,EAAE+R,OAAO,CAAC/R,EAAEkK,GAAG,YAAYlK,EAAEyJ,MAAM,SAAS,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBhB,EAAElO,GAAGkO,IAAIlI,GAAGP,EAAEzF,EAAEgG,EAAExI,SAASyd,GAAG,SAASzV,EAAEC,EAAEC,GAAG;;;;;;;;;;;;;;;;;;;;;GAqBlwUD,EAAEzF,EAAE,SAASwF,GAAGA,EAAEiH,QAAQ6M,MAAM4B,QAAQ1V,EAAEiH,WAAWjH,EAAEiH,QAAQ,CAACjH,EAAEiH,UAAUjH,EAAEiH,QAAQ,GAAGjH,EAAEiH,QAAQvE,MAAK,WAAY9K,KAAK8P,IAAI0E,aAAa,UAAUpK,OAAO,WAAW,SAAS2T,GAAG,SAAS3V,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,KAAK,iBAAiBC,IAAIA,EAAE,CAAC,CAACH,EAAEhH,EAAEmH,EAAE,MAAMA,EAAEkD,SAASrD,EAAEhI,QAAQmI,EAAEkD,SAAQ,EAAGnD,EAAE,GAAG3H,SAAS,WAAW4H,GAAE,EAAG,KAAKyV,EAAE,SAAS5V,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAQ6d,GAAG,SAAS7V,EAAEC,KAAK6V,EAAE,SAAS9V,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAiC+d,EAAE,SAAS/V,EAAEC,EAAEC,GAAG,aAAaF,EAAEhI,QAAQ,SAASgI,EAAEC,GAAG,OAAOA,IAAIA,EAAE,IAAI,iBAAiBD,EAAEA,GAAGA,EAAE1H,WAAW0H,EAAEzH,QAAQyH,GAAGA,GAAG,eAAemL,KAAKnL,KAAKA,EAAEA,EAAEhD,MAAM,GAAG,IAAIiD,EAAE+V,OAAOhW,GAAGC,EAAE+V,MAAM,cAAc7K,KAAKnL,IAAIC,EAAEgW,WAAW,IAAIjU,OAAOhC,EAAEzF,QAAQ,KAAK,OAAOA,QAAQ,MAAM,OAAO,KAAKyF,KAAKkW,GAAG,SAASlW,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,KAAqCme,GAAG,SAASnW,EAAEC,GAAGD,EAAEhI,QAAQ,EAAQ,MAAoCoe,GAAG,SAASpW,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAED,EAAE,IAAIA,EAAEC,EAAEA,GAAG3F,GAAG6b,GAAG,SAASrW,EAAEC,EAAEC,GAAG,IAAIC,EAAED,EAAE,GAAGE,EAAEF,EAAE,GAAGxF,EAAEwF,EAAE,GAAG1F,EAAE0F,EAAE,IAAIlH,EAAEkH,EAAE,IAAIK,EAAEL,EAAE,IAAID,EAAEE,GAAE,GAAI,IAAIZ,EAAEa,EAAE1F,GAAG4F,EAAEF,EAAE5F,GAAG6F,EAAED,EAAEpH,GAAGwL,EAAEpE,EAAEG,GAAGN,EAAEyC,KAAK,CAAC1C,EAAEhH,EAAE,yDAAyDuG,EAAE,aAAaA,EAAE,qCAAqCe,EAAE,wBAAwBD,EAAE,4BAA4BmE,EAAE,80JAA80J,KAAKxE,EAAEhI,QAAQiI,GAAGqW,EAAE,SAAStW,EAAEC,EAAEC,GAAG,aAAaA,EAAExF,EAAEuF,GAAGA,EAAE1H,QAAQ,k/HAAk/Hge,GAAG,SAASvW,EAAEC,EAAEC,GAAG,aAAa,IAAIC,EAAE,CAAClC,KAAK,eAAe6G,OAAO,CAAC5E,EAAE,IAAI1F,GAAGzB,MAAM,CAACyd,SAAS,CAACxR,KAAKG,QAAQ5M,SAAQ,IAAKiO,SAAS,CAACiQ,YAAY,WAAW,OAAO7e,KAAK4e,YAAYpW,GAAGF,EAAE,KAAKA,EAAE,IAAIxF,EAAEwF,EAAE,IAAI1F,EAAE0F,EAAEC,EAAEzF,GAAG1B,EAAEjB,OAAOqI,EAAE5F,EAATzC,CAAYoI,GAAE,WAAY,IAAIH,EAAEpI,KAAKqI,EAAED,EAAE2I,eAAezI,EAAEF,EAAE4I,MAAMC,IAAI5I,EAAE,OAAOC,EAAE,KAAK,CAAC8I,YAAY,SAASC,MAAM,CAAC,mBAAmBjJ,EAAEwW,WAAW,CAACtW,EAAE,SAAS,CAAC8I,YAAY,gBAAgBC,MAAM,CAACyN,UAAU1W,EAAEyW,aAAa3N,MAAM,CAAC,aAAa9I,EAAEwP,WAAWnI,GAAG,CAAC6B,MAAMlJ,EAAE2P,UAAU,CAACzP,EAAE,OAAO,CAAC8I,YAAY,sBAAsBC,MAAM,CAACjJ,EAAEyP,UAAU,2BAA2BzP,EAAEoK,MAAMgL,MAAM,CAACuB,gBAAgB3W,EAAEyP,UAAU,OAAOzP,EAAEoK,KAAK,IAAI,QAAQpK,EAAEwJ,GAAG,KAAKxJ,EAAE+E,MAAM7E,EAAE,IAAI,CAACA,EAAE,SAAS,CAAC8I,YAAY,wBAAwB,CAAChJ,EAAEwJ,GAAG,aAAaxJ,EAAEyJ,GAAGzJ,EAAE+E,OAAO,cAAc/E,EAAEwJ,GAAG,KAAKtJ,EAAE,MAAMF,EAAEwJ,GAAG,KAAKtJ,EAAE,OAAO,CAAC8I,YAAY,0BAA0B4N,SAAS,CAACC,YAAY7W,EAAEyJ,GAAGzJ,EAAE8M,WAAW9M,EAAEqN,WAAWnN,EAAE,IAAI,CAAC8I,YAAY,0BAA0B4N,SAAS,CAACC,YAAY7W,EAAEyJ,GAAGzJ,EAAE8M,SAAS5M,EAAE,OAAO,CAAC8I,YAAY,uBAAuB,CAAChJ,EAAEwJ,GAAGxJ,EAAEyJ,GAAGzJ,EAAE8M,SAAS9M,EAAEwJ,GAAG,KAAKxJ,EAAE0J,MAAM,OAAO,IAAG,EAAG,KAAK,WAAW,MAAM,mBAAmBlP,EAAEA,GAAGA,IAAIxB,GAAGiH,EAAEzF,EAAExB,EAAEhB,Y,oBCtJhrW;;;;;qCAKA,SAAU+H,EAAQwH,EAAUuP,EAAYhc,GACtC,aAEF,IA+FIgW,EA/FAiG,EAAkB,CAAC,GAAI,SAAU,MAAO,KAAM,KAAM,KACpDC,EAAezP,EAASgE,cAAc,OAItCiI,EAAQxU,KAAKwU,MACbyD,EAAMjY,KAAKiY,IACXC,EAAMjT,KAAKiT,IASf,SAASC,EAAkBC,EAAIC,EAASC,GACpC,OAAOpT,WAAWqT,EAAOH,EAAIE,GAAUD,GAY3C,SAASG,EAAeC,EAAKL,EAAIE,GAC7B,QAAIxD,MAAM4B,QAAQ+B,KACdC,EAAKD,EAAKH,EAAQF,GAAKE,IAChB,GAWf,SAASI,EAAKtf,EAAKuf,EAAUL,GACzB,IAAIte,EAEJ,GAAKZ,EAIL,GAAIA,EAAIwf,QACJxf,EAAIwf,QAAQD,EAAUL,QACnB,QAvDX,IAuDelf,EAAIa,OAEX,IADAD,EAAI,EACGA,EAAIZ,EAAIa,QACX0e,EAAShgB,KAAK2f,EAASlf,EAAIY,GAAIA,EAAGZ,GAClCY,SAGJ,IAAKA,KAAKZ,EACNA,EAAIwI,eAAe5H,IAAM2e,EAAShgB,KAAK2f,EAASlf,EAAIY,GAAIA,EAAGZ,GAYvE,SAASyf,EAAUC,EAAQ7Z,EAAM8Z,GAC7B,IAAIC,EAAqB,sBAAwB/Z,EAAO,KAAO8Z,EAAU,SACzE,OAAO,WACH,IAAI/X,EAAI,IAAI+K,MAAM,mBACdkN,EAAQjY,GAAKA,EAAEiY,MAAQjY,EAAEiY,MAAM1d,QAAQ,kBAAmB,IACzDA,QAAQ,cAAe,IACvBA,QAAQ,6BAA8B,kBAAoB,sBAE3D2d,EAAMnY,EAAOoY,UAAYpY,EAAOoY,QAAQnL,MAAQjN,EAAOoY,QAAQD,KAInE,OAHIA,GACAA,EAAIvgB,KAAKoI,EAAOoY,QAASH,EAAoBC,GAE1CH,EAAOM,MAAMxgB,KAAMiD,YAa9BiW,EADyB,mBAAlB/Y,OAAO+Y,OACL,SAAgBhY,GACrB,GAAIA,QACA,MAAM,IAAIF,UAAU,8CAIxB,IADA,IAAIyf,EAAStgB,OAAOe,GACX6D,EAAQ,EAAGA,EAAQ9B,UAAU5B,OAAQ0D,IAAS,CACnD,IAAI2b,EAASzd,UAAU8B,GACvB,GAAI2b,QACA,IAAK,IAAIC,KAAWD,EACZA,EAAO1X,eAAe2X,KACtBF,EAAOE,GAAWD,EAAOC,IAKzC,OAAOF,GAGFtgB,OAAO+Y,OAWpB,IAAI0H,EAASX,GAAU,SAAgBY,EAAMC,EAAKC,GAG9C,IAFA,IAAItb,EAAOtF,OAAOsF,KAAKqb,GACnB1f,EAAI,EACDA,EAAIqE,EAAKpE,UACP0f,GAAUA,QAtIvB,IAsIgCF,EAAKpb,EAAKrE,OAC9Byf,EAAKpb,EAAKrE,IAAM0f,EAAIrb,EAAKrE,KAE7BA,IAEJ,OAAOyf,IACR,SAAU,iBASTE,EAAQd,GAAU,SAAeY,EAAMC,GACvC,OAAOF,EAAOC,EAAMC,GAAK,KAC1B,QAAS,iBAQZ,SAASE,EAAQC,EAAOC,EAAMC,GAC1B,IACIC,EADAC,EAAQH,EAAKzhB,WAGjB2hB,EAASH,EAAMxhB,UAAYU,OAAO8D,OAAOod,IAClCzb,YAAcqb,EACrBG,EAAOE,OAASD,EAEZF,GACAjI,EAAOkI,EAAQD,GAUvB,SAASxB,EAAOH,EAAIE,GAChB,OAAO,WACH,OAAOF,EAAGgB,MAAMd,EAASzc,YAWjC,SAASse,EAASC,EAAKC,GACnB,MA1LgB,mBA0LLD,EACAA,EAAIhB,MAAMiB,GAAOA,EAAK,SAjMrC,EAiMkEA,GAEvDD,EASX,SAASE,EAAYC,EAAMC,GACvB,YA7MJ,IA6MYD,EAAsBC,EAAOD,EASzC,SAASE,EAAkB3gB,EAAQ4gB,EAAOC,GACtCjC,EAAKkC,EAASF,IAAQ,SAAS1U,GAC3BlM,EAAO+N,iBAAiB7B,EAAM2U,GAAS,MAU/C,SAASE,EAAqB/gB,EAAQ4gB,EAAOC,GACzCjC,EAAKkC,EAASF,IAAQ,SAAS1U,GAC3BlM,EAAOkO,oBAAoBhC,EAAM2U,GAAS,MAWlD,SAASG,EAAUC,EAAMzY,GACrB,KAAOyY,GAAM,CACT,GAAIA,GAAQzY,EACR,OAAO,EAEXyY,EAAOA,EAAKrO,WAEhB,OAAO,EASX,SAASsO,EAAMC,EAAKC,GAChB,OAAOD,EAAIlU,QAAQmU,IAAS,EAQhC,SAASN,EAASK,GACd,OAAOA,EAAIje,OAAOsB,MAAM,QAU5B,SAAS6c,EAAQzB,EAAKwB,EAAME,GACxB,GAAI1B,EAAI3S,UAAYqU,EAChB,OAAO1B,EAAI3S,QAAQmU,GAGnB,IADA,IAAIlhB,EAAI,EACDA,EAAI0f,EAAIzf,QAAQ,CACnB,GAAKmhB,GAAa1B,EAAI1f,GAAGohB,IAAcF,IAAWE,GAAa1B,EAAI1f,KAAOkhB,EACtE,OAAOlhB,EAEXA,IAEJ,OAAQ,EAShB,SAASqhB,EAAQjiB,GACb,OAAO0b,MAAMzc,UAAU2F,MAAMrF,KAAKS,EAAK,GAU3C,SAASkiB,EAAY5B,EAAKrf,EAAKkhB,GAK3B,IAJA,IAAIC,EAAU,GACVC,EAAS,GACTzhB,EAAI,EAEDA,EAAI0f,EAAIzf,QAAQ,CACnB,IAAImgB,EAAM/f,EAAMqf,EAAI1f,GAAGK,GAAOqf,EAAI1f,GAC9BmhB,EAAQM,EAAQrB,GAAO,GACvBoB,EAAQ9X,KAAKgW,EAAI1f,IAErByhB,EAAOzhB,GAAKogB,EACZpgB,IAaJ,OAVIuhB,IAIIC,EAHCnhB,EAGSmhB,EAAQD,MAAK,SAAyB/f,EAAGC,GAC/C,OAAOD,EAAEnB,GAAOoB,EAAEpB,MAHZmhB,EAAQD,QAQnBC,EASX,SAASE,EAAStiB,EAAKuiB,GAKnB,IAJA,IAAIC,EAAQC,EACRC,EAAYH,EAAS,GAAGI,cAAgBJ,EAAS3d,MAAM,GAEvDhE,EAAI,EACDA,EAAI+d,EAAgB9d,QAAQ,CAI/B,IAFA4hB,GADAD,EAAS7D,EAAgB/d,IACP4hB,EAASE,EAAYH,KAE3BviB,EACR,OAAOyiB,EAEX7hB,KASR,IAAIgiB,EAAY,EAUhB,SAASC,EAAoBC,GACzB,IAAIC,EAAMD,EAAQE,eAAiBF,EACnC,OAAQC,EAAIE,aAAeF,EAAIG,cAAgBvb,EAGnD,IAEIwb,EAAiB,iBAAkBxb,EACnCyb,OA1XJ,IA0X6Bd,EAAS3a,EAAQ,gBAC1C0b,EAAqBF,GAJN,wCAIoCpQ,KAAKD,UAAUE,WAwBlEsQ,EAAW,CAAC,IAAK,KACjBC,EAAkB,CAAC,UAAW,WASlC,SAASC,EAAMC,EAASC,GACpB,IAAIC,EAAOnkB,KACXA,KAAKikB,QAAUA,EACfjkB,KAAKkkB,SAAWA,EAChBlkB,KAAKsjB,QAAUW,EAAQX,QACvBtjB,KAAKkB,OAAS+iB,EAAQ/a,QAAQkb,YAI9BpkB,KAAKqkB,WAAa,SAASC,GACnB/C,EAAS0C,EAAQ/a,QAAQqb,OAAQ,CAACN,KAClCE,EAAKpC,QAAQuC,IAIrBtkB,KAAKwkB,OA4DT,SAASC,EAAaR,EAASS,EAAWC,GACtC,IAAIC,EAAcD,EAAME,SAASxjB,OAC7ByjB,EAAqBH,EAAMI,gBAAgB1jB,OAC3C2jB,EAvGU,EAuGCN,GAA4BE,EAAcE,GAAuB,EAC5EG,EAAuB,GAAZP,GAA2CE,EAAcE,GAAuB,EAE/FH,EAAMK,UAAYA,EAClBL,EAAMM,UAAYA,EAEdD,IACAf,EAAQiB,QAAU,IAKtBP,EAAMD,UAAYA,EAiBtB,SAA0BT,EAASU,GAC/B,IAAIO,EAAUjB,EAAQiB,QAClBL,EAAWF,EAAME,SACjBM,EAAiBN,EAASxjB,OAGzB6jB,EAAQE,aACTF,EAAQE,WAAaC,EAAqBV,IAI1CQ,EAAiB,IAAMD,EAAQI,cAC/BJ,EAAQI,cAAgBD,EAAqBV,GACnB,IAAnBQ,IACPD,EAAQI,eAAgB,GAG5B,IAAIF,EAAaF,EAAQE,WACrBE,EAAgBJ,EAAQI,cACxBC,EAAeD,EAAgBA,EAAcE,OAASJ,EAAWI,OAEjEA,EAASb,EAAMa,OAASC,EAAUZ,GACtCF,EAAMe,UAAYpG,IAClBqF,EAAMgB,UAAYhB,EAAMe,UAAYN,EAAWM,UAE/Cf,EAAMiB,MAAQC,EAASN,EAAcC,GACrCb,EAAMmB,SAAWC,EAAYR,EAAcC,GA0B/C,SAAwBN,EAASP,GAC7B,IAAIa,EAASb,EAAMa,OACfQ,EAASd,EAAQe,aAAe,GAChCC,EAAYhB,EAAQgB,WAAa,GACjCC,EAAYjB,EAAQiB,WAAa,GA5LvB,IA8LVxB,EAAMD,WA5LE,IA4L2ByB,EAAUzB,YAC7CwB,EAAYhB,EAAQgB,UAAY,CAC5BE,EAAGD,EAAUE,QAAU,EACvBC,EAAGH,EAAUI,QAAU,GAG3BP,EAASd,EAAQe,YAAc,CAC3BG,EAAGZ,EAAOY,EACVE,EAAGd,EAAOc,IAIlB3B,EAAM0B,OAASH,EAAUE,GAAKZ,EAAOY,EAAIJ,EAAOI,GAChDzB,EAAM4B,OAASL,EAAUI,GAAKd,EAAOc,EAAIN,EAAOM,GA3ChDE,CAAetB,EAASP,GACxBA,EAAM8B,gBAAkBC,EAAa/B,EAAM0B,OAAQ1B,EAAM4B,QAEzD,IAAII,EAAkBC,EAAYjC,EAAMgB,UAAWhB,EAAM0B,OAAQ1B,EAAM4B,QACvE5B,EAAMkC,iBAAmBF,EAAgBP,EACzCzB,EAAMmC,iBAAmBH,EAAgBL,EACzC3B,EAAMgC,gBAAmBtH,EAAIsH,EAAgBP,GAAK/G,EAAIsH,EAAgBL,GAAMK,EAAgBP,EAAIO,EAAgBL,EAEhH3B,EAAMoC,MAAQzB,GAkNA/d,EAlNyB+d,EAAcT,SAkNhCmC,EAlN0CnC,EAmNxDkB,EAAYiB,EAAI,GAAIA,EAAI,GAAIjD,GAAmBgC,EAAYxe,EAAM,GAAIA,EAAM,GAAIwc,IAnNX,EAC3EY,EAAMsC,SAAW3B,EAsMrB,SAAqB/d,EAAOyf,GACxB,OAAOnB,EAASmB,EAAI,GAAIA,EAAI,GAAIjD,GAAmB8B,EAASte,EAAM,GAAIA,EAAM,GAAIwc,GAvM/CmD,CAAY5B,EAAcT,SAAUA,GAAY,EAEjFF,EAAMwC,YAAejC,EAAQiB,UAAsCxB,EAAME,SAASxjB,OAC9E6jB,EAAQiB,UAAUgB,YAAexC,EAAME,SAASxjB,OAAS6jB,EAAQiB,UAAUgB,YADtCxC,EAAME,SAASxjB,OAwC5D,SAAkC6jB,EAASP,GACvC,IAEIyC,EAAUC,EAAWC,EAAWC,EAFhCC,EAAOtC,EAAQuC,cAAgB9C,EAC/BgB,EAAYhB,EAAMe,UAAY8B,EAAK9B,UAGvC,GArNe,GAqNXf,EAAMD,YAA8BiB,EA1NrB,SAlYvB,IA4lB4E6B,EAAKJ,UAAyB,CAClG,IAAIf,EAAS1B,EAAM0B,OAASmB,EAAKnB,OAC7BE,EAAS5B,EAAM4B,OAASiB,EAAKjB,OAE7B7T,EAAIkU,EAAYjB,EAAWU,EAAQE,GACvCc,EAAY3U,EAAE0T,EACdkB,EAAY5U,EAAE4T,EACdc,EAAY/H,EAAI3M,EAAE0T,GAAK/G,EAAI3M,EAAE4T,GAAM5T,EAAE0T,EAAI1T,EAAE4T,EAC3CiB,EAAYb,EAAaL,EAAQE,GAEjCrB,EAAQuC,aAAe9C,OAGvByC,EAAWI,EAAKJ,SAChBC,EAAYG,EAAKH,UACjBC,EAAYE,EAAKF,UACjBC,EAAYC,EAAKD,UAGrB5C,EAAMyC,SAAWA,EACjBzC,EAAM0C,UAAYA,EAClB1C,EAAM2C,UAAYA,EAClB3C,EAAM4C,UAAYA,EAhElBG,CAAyBxC,EAASP,GA4MtC,IAAkBpd,EAAOyf,EAzMrB,IAAI9lB,EAAS+iB,EAAQX,QACjBpB,EAAUyC,EAAMgD,SAASzmB,OAAQA,KACjCA,EAASyjB,EAAMgD,SAASzmB,QAE5ByjB,EAAMzjB,OAASA,EA/Df0mB,CAAiB3D,EAASU,GAG1BV,EAAQ4D,KAAK,eAAgBlD,GAE7BV,EAAQ6D,UAAUnD,GAClBV,EAAQiB,QAAQiB,UAAYxB,EA0HhC,SAASU,EAAqBV,GAK1B,IAFA,IAAIE,EAAW,GACXzjB,EAAI,EACDA,EAAIujB,EAAME,SAASxjB,QACtBwjB,EAASzjB,GAAK,CACV2mB,QAASnM,EAAM+I,EAAME,SAASzjB,GAAG2mB,SACjCC,QAASpM,EAAM+I,EAAME,SAASzjB,GAAG4mB,UAErC5mB,IAGJ,MAAO,CACHskB,UAAWpG,IACXuF,SAAUA,EACVW,OAAQC,EAAUZ,GAClBwB,OAAQ1B,EAAM0B,OACdE,OAAQ5B,EAAM4B,QAStB,SAASd,EAAUZ,GACf,IAAIM,EAAiBN,EAASxjB,OAG9B,GAAuB,IAAnB8jB,EACA,MAAO,CACHiB,EAAGxK,EAAMiJ,EAAS,GAAGkD,SACrBzB,EAAG1K,EAAMiJ,EAAS,GAAGmD,UAK7B,IADA,IAAI5B,EAAI,EAAGE,EAAI,EAAGllB,EAAI,EACfA,EAAI+jB,GACPiB,GAAKvB,EAASzjB,GAAG2mB,QACjBzB,GAAKzB,EAASzjB,GAAG4mB,QACjB5mB,IAGJ,MAAO,CACHglB,EAAGxK,EAAMwK,EAAIjB,GACbmB,EAAG1K,EAAM0K,EAAInB,IAWrB,SAASyB,EAAYjB,EAAWS,EAAGE,GAC/B,MAAO,CACHF,EAAGA,EAAIT,GAAa,EACpBW,EAAGA,EAAIX,GAAa,GAU5B,SAASe,EAAaN,EAAGE,GACrB,OAAIF,IAAME,EAzTO,EA6TbjH,EAAI+G,IAAM/G,EAAIiH,GACPF,EAAI,EA7TE,EACC,EA8TXE,EAAI,EA7TI,EACE,GAsUrB,SAASP,EAAYkC,EAAIC,EAAI/mB,GACpBA,IACDA,EAAQ2iB,GAEZ,IAAIsC,EAAI8B,EAAG/mB,EAAM,IAAM8mB,EAAG9mB,EAAM,IAC5BmlB,EAAI4B,EAAG/mB,EAAM,IAAM8mB,EAAG9mB,EAAM,IAEhC,OAAOiG,KAAK+gB,KAAM/B,EAAIA,EAAME,EAAIA,GAUpC,SAAST,EAASoC,EAAIC,EAAI/mB,GACjBA,IACDA,EAAQ2iB,GAEZ,IAAIsC,EAAI8B,EAAG/mB,EAAM,IAAM8mB,EAAG9mB,EAAM,IAC5BmlB,EAAI4B,EAAG/mB,EAAM,IAAM8mB,EAAG9mB,EAAM,IAChC,OAA0B,IAAnBiG,KAAKghB,MAAM9B,EAAGF,GAAWhf,KAAKihB,GA1TzCrE,EAAMvkB,UAAY,CAKdsiB,QAAS,aAKTyC,KAAM,WACFxkB,KAAKsoB,MAAQzG,EAAkB7hB,KAAKsjB,QAAStjB,KAAKsoB,KAAMtoB,KAAKqkB,YAC7DrkB,KAAKuoB,UAAY1G,EAAkB7hB,KAAKkB,OAAQlB,KAAKuoB,SAAUvoB,KAAKqkB,YACpErkB,KAAKwoB,OAAS3G,EAAkBwB,EAAoBrjB,KAAKsjB,SAAUtjB,KAAKwoB,MAAOxoB,KAAKqkB,aAMxFnU,QAAS,WACLlQ,KAAKsoB,MAAQrG,EAAqBjiB,KAAKsjB,QAAStjB,KAAKsoB,KAAMtoB,KAAKqkB,YAChErkB,KAAKuoB,UAAYtG,EAAqBjiB,KAAKkB,OAAQlB,KAAKuoB,SAAUvoB,KAAKqkB,YACvErkB,KAAKwoB,OAASvG,EAAqBoB,EAAoBrjB,KAAKsjB,SAAUtjB,KAAKwoB,MAAOxoB,KAAKqkB,cA4T/F,IAAIoE,EAAkB,CAClBC,UA/Xc,EAgYdnX,UA/Xa,EAgYboX,QA/XY,GA0YhB,SAASC,IACL5oB,KAAKsoB,KATkB,YAUvBtoB,KAAKwoB,MATiB,oBAWtBxoB,KAAK6oB,SAAU,EAEf7E,EAAMxD,MAAMxgB,KAAMiD,WAGtB+d,EAAQ4H,EAAY5E,EAAO,CAKvBjC,QAAS,SAAmBuC,GACxB,IAAII,EAAY+D,EAAgBnE,EAAGlX,MA3ZzB,EA8ZNsX,GAAyC,IAAdJ,EAAGwE,SAC9B9oB,KAAK6oB,SAAU,GA9ZV,EAiaLnE,GAAuC,IAAbJ,EAAGyE,QAC7BrE,EAjaI,GAqaH1kB,KAAK6oB,UAraF,EAyaJnE,IACA1kB,KAAK6oB,SAAU,GAGnB7oB,KAAKkkB,SAASlkB,KAAKikB,QAASS,EAAW,CACnCG,SAAU,CAACP,GACXS,gBAAiB,CAACT,GAClB0E,YAvbW,QAwbXrB,SAAUrD,QAKtB,IAAI2E,EAAoB,CACpBC,YAzbc,EA0bdC,YAzba,EA0bbC,UAzbY,EA0bZC,cAzbe,EA0bfC,WA1be,GA8bfC,EAAyB,CACzB3W,EAzcmB,QA0cnBqD,EAzciB,MA0cjBsC,EAzcmB,QA0cnBK,EAzcoB,UA4cpB4Q,EAAyB,cACzBC,EAAwB,sCAa5B,SAASC,KACL1pB,KAAKsoB,KAAOkB,EACZxpB,KAAKwoB,MAAQiB,EAEbzF,EAAMxD,MAAMxgB,KAAMiD,WAElBjD,KAAK2pB,MAAS3pB,KAAKikB,QAAQiB,QAAQ0E,cAAgB,GAhBnDzhB,EAAO0hB,iBAAmB1hB,EAAO2hB,eACjCN,EAAyB,gBACzBC,EAAwB,6CAiB5BzI,EAAQ0I,GAAmB1F,EAAO,CAK9BjC,QAAS,SAAmBuC,GACxB,IAAIqF,EAAQ3pB,KAAK2pB,MACbI,GAAgB,EAEhBC,EAAsB1F,EAAGlX,KAAKqG,cAAc9Q,QAAQ,KAAM,IAC1D+hB,EAAYuE,EAAkBe,GAC9BhB,EAAcO,EAAuBjF,EAAG0E,cAAgB1E,EAAG0E,YAE3DiB,EAnfW,SAmfAjB,EAGXkB,EAAa3H,EAAQoH,EAAOrF,EAAG6F,UAAW,aA/epC,EAkfNzF,IAA0C,IAAdJ,EAAGwE,QAAgBmB,GAC3CC,EAAa,IACbP,EAAM7e,KAAKwZ,GACX4F,EAAaP,EAAMtoB,OAAS,GAEb,GAAZqjB,IACPqF,GAAgB,GAIhBG,EAAa,IAKjBP,EAAMO,GAAc5F,EAEpBtkB,KAAKkkB,SAASlkB,KAAKikB,QAASS,EAAW,CACnCG,SAAU8E,EACV5E,gBAAiB,CAACT,GAClB0E,YAAaA,EACbrB,SAAUrD,IAGVyF,GAEAJ,EAAMriB,OAAO4iB,EAAY,OAKrC,IAAIE,GAAyB,CACzBC,WAlhBc,EAmhBd7Y,UAlhBa,EAmhBb8Y,SAlhBY,EAmhBZC,YAlhBe,GA6hBnB,SAASC,KACLxqB,KAAKuoB,SATwB,aAU7BvoB,KAAKwoB,MATwB,4CAU7BxoB,KAAKyqB,SAAU,EAEfzG,EAAMxD,MAAMxgB,KAAMiD,WAsCtB,SAASynB,GAAuBpG,EAAIlX,GAChC,IAAIoM,EAAMiJ,EAAQ6B,EAAGqG,SACjBC,EAAUnI,EAAQ6B,EAAGuG,gBAMzB,OAJW,GAAPzd,IACAoM,EAAMkJ,EAAYlJ,EAAIpP,OAAOwgB,GAAU,cAAc,IAGlD,CAACpR,EAAKoR,GA3CjB5J,EAAQwJ,GAAkBxG,EAAO,CAC7BjC,QAAS,SAAmBuC,GACxB,IAAIlX,EAAOgd,GAAuB9F,EAAGlX,MAOrC,GAjjBU,IA6iBNA,IACApN,KAAKyqB,SAAU,GAGdzqB,KAAKyqB,QAAV,CAIA,IAAIE,EAAUD,GAAuB3qB,KAAKC,KAAMskB,EAAIlX,GAGzC,GAAPA,GAAqCud,EAAQ,GAAGtpB,OAASspB,EAAQ,GAAGtpB,QAAW,IAC/ErB,KAAKyqB,SAAU,GAGnBzqB,KAAKkkB,SAASlkB,KAAKikB,QAAS7W,EAAM,CAC9ByX,SAAU8F,EAAQ,GAClB5F,gBAAiB4F,EAAQ,GACzB3B,YAtkBW,QAukBXrB,SAAUrD,QAsBtB,IAAIwG,GAAkB,CAClBT,WAvlBc,EAwlBd7Y,UAvlBa,EAwlBb8Y,SAvlBY,EAwlBZC,YAvlBe,GAimBnB,SAASQ,KACL/qB,KAAKuoB,SARiB,4CAStBvoB,KAAKgrB,UAAY,GAEjBhH,EAAMxD,MAAMxgB,KAAMiD,WA0BtB,SAASgoB,GAAW3G,EAAIlX,GACpB,IAAI8d,EAAazI,EAAQ6B,EAAGqG,SACxBK,EAAYhrB,KAAKgrB,UAGrB,GAAW,EAAP5d,GAA2D,IAAtB8d,EAAW7pB,OAEhD,OADA2pB,EAAUE,EAAW,GAAGC,aAAc,EAC/B,CAACD,EAAYA,GAGxB,IAAI9pB,EACAgqB,EACAP,EAAiBpI,EAAQ6B,EAAGuG,gBAC5BQ,EAAuB,GACvBnqB,EAASlB,KAAKkB,OAQlB,GALAkqB,EAAgBF,EAAW/W,QAAO,SAASmX,GACvC,OAAOpJ,EAAUoJ,EAAMpqB,OAAQA,MAppBrB,IAwpBVkM,EAEA,IADAhM,EAAI,EACGA,EAAIgqB,EAAc/pB,QACrB2pB,EAAUI,EAAchqB,GAAG+pB,aAAc,EACzC/pB,IAMR,IADAA,EAAI,EACGA,EAAIypB,EAAexpB,QAClB2pB,EAAUH,EAAezpB,GAAG+pB,aAC5BE,EAAqBvgB,KAAK+f,EAAezpB,IAIlC,GAAPgM,UACO4d,EAAUH,EAAezpB,GAAG+pB,YAEvC/pB,IAGJ,OAAKiqB,EAAqBhqB,OAInB,CAEHqhB,EAAY0I,EAAchhB,OAAOihB,GAAuB,cAAc,GACtEA,QAPJ,EAnEJrK,EAAQ+J,GAAY/G,EAAO,CACvBjC,QAAS,SAAoBuC,GACzB,IAAIlX,EAAO0d,GAAgBxG,EAAGlX,MAC1Bud,EAAUM,GAAWlrB,KAAKC,KAAMskB,EAAIlX,GACnCud,GAIL3qB,KAAKkkB,SAASlkB,KAAKikB,QAAS7W,EAAM,CAC9ByX,SAAU8F,EAAQ,GAClB5F,gBAAiB4F,EAAQ,GACzB3B,YA7nBW,QA8nBXrB,SAAUrD,OA+EtB,SAASiH,KACLvH,EAAMxD,MAAMxgB,KAAMiD,WAElB,IAAI8e,EAAUpC,EAAO3f,KAAK+hB,QAAS/hB,MACnCA,KAAKsrB,MAAQ,IAAIP,GAAW/qB,KAAKikB,QAASlC,GAC1C/hB,KAAKwrB,MAAQ,IAAI5C,EAAW5oB,KAAKikB,QAASlC,GAE1C/hB,KAAKyrB,aAAe,KACpBzrB,KAAK0rB,YAAc,GAqCvB,SAASC,GAAcjH,EAAWkH,GAnvBhB,EAovBVlH,GACA1kB,KAAKyrB,aAAeG,EAAU7G,gBAAgB,GAAGoG,WACjDU,GAAa9rB,KAAKC,KAAM4rB,IACL,GAAZlH,GACPmH,GAAa9rB,KAAKC,KAAM4rB,GAIhC,SAASC,GAAaD,GAClB,IAAIN,EAAQM,EAAU7G,gBAAgB,GAEtC,GAAIuG,EAAMH,aAAenrB,KAAKyrB,aAAc,CACxC,IAAIK,EAAY,CAAC1F,EAAGkF,EAAMvD,QAASzB,EAAGgF,EAAMtD,SAC5ChoB,KAAK0rB,YAAY5gB,KAAKghB,GACtB,IAAIC,EAAM/rB,KAAK0rB,YAOfpf,YANsB,WAClB,IAAIlL,EAAI2qB,EAAI5d,QAAQ2d,GAChB1qB,GAAK,GACL2qB,EAAIzkB,OAAOlG,EAAG,KAnEV,OA0EpB,SAAS4qB,GAAiBJ,GAEtB,IADA,IAAIxF,EAAIwF,EAAUjE,SAASI,QAASzB,EAAIsF,EAAUjE,SAASK,QAClD5mB,EAAI,EAAGA,EAAIpB,KAAK0rB,YAAYrqB,OAAQD,IAAK,CAC9C,IAAIiH,EAAIrI,KAAK0rB,YAAYtqB,GACrB6qB,EAAK7kB,KAAKiY,IAAI+G,EAAI/d,EAAE+d,GAAI8F,EAAK9kB,KAAKiY,IAAIiH,EAAIje,EAAEie,GAChD,GAAI2F,GA9ES,IA8EeC,GA9Ef,GA+ET,OAAO,EAGf,OAAO,EArEXlL,EAAQuK,GAAiBvH,EAAO,CAO5BjC,QAAS,SAAoBkC,EAASkI,EAAYC,GAC9C,IAAInC,EAhuBW,SAguBAmC,EAAUpD,YACrBqD,EA/tBW,SA+tBAD,EAAUpD,YAEzB,KAAIqD,GAAWD,EAAUE,oBAAsBF,EAAUE,mBAAmBC,kBAA5E,CAKA,GAAItC,EACA0B,GAAc5rB,KAAKC,KAAMmsB,EAAYC,QAClC,GAAIC,GAAWL,GAAiBjsB,KAAKC,KAAMosB,GAC9C,OAGJpsB,KAAKkkB,SAASD,EAASkI,EAAYC,KAMvClc,QAAS,WACLlQ,KAAKsrB,MAAMpb,UACXlQ,KAAKwrB,MAAMtb,aA0CnB,IAAIsc,GAAwB1J,EAAS1D,EAAa5B,MAAO,eACrDiP,QA9pCJ,IA8pC0BD,GAStBE,GA4IJ,WACI,IAAKD,GACD,OAAO,EAEX,IAAIE,EAAW,GACXC,EAAczkB,EAAO0kB,KAAO1kB,EAAO0kB,IAAIC,SAO3C,MANA,CAAC,OAAQ,eAAgB,QAAS,QAAS,cAAe,QAAQ9M,SAAQ,SAASwB,GAI/EmL,EAASnL,IAAOoL,GAAczkB,EAAO0kB,IAAIC,SAAS,eAAgBtL,MAE/DmL,EAxJYI,GASvB,SAASC,GAAY/I,EAAS5jB,GAC1BL,KAAKikB,QAAUA,EACfjkB,KAAKitB,IAAI5sB,GAGb2sB,GAAYvtB,UAAY,CAKpBwtB,IAAK,SAAS5sB,GAzBS,WA2BfA,IACAA,EAAQL,KAAKktB,WAGbT,IAAuBzsB,KAAKikB,QAAQX,QAAQ9F,OAASkP,GAAiBrsB,KACtEL,KAAKikB,QAAQX,QAAQ9F,MAAMgP,IAAyBnsB,GAExDL,KAAKma,QAAU9Z,EAAMoT,cAAcrP,QAMvC+oB,OAAQ,WACJntB,KAAKitB,IAAIjtB,KAAKikB,QAAQ/a,QAAQkkB,cAOlCF,QAAS,WACL,IAAI/S,EAAU,GAMd,OALA2F,EAAK9f,KAAKikB,QAAQoJ,aAAa,SAASC,GAChC/L,EAAS+L,EAAWpkB,QAAQqb,OAAQ,CAAC+I,MACrCnT,EAAUA,EAAQ/P,OAAOkjB,EAAWC,sBAgEpD,SAA2BpT,GAEvB,GAAIiI,EAAMjI,EAnHU,QAoHhB,MApHgB,OAuHpB,IAAIqT,EAAUpL,EAAMjI,EAtHC,SAuHjBsT,EAAUrL,EAAMjI,EAtHC,SA4HrB,GAAIqT,GAAWC,EACX,MA/HgB,OAmIpB,GAAID,GAAWC,EACX,OAAOD,EAnIU,QACA,QAsIrB,GAAIpL,EAAMjI,EAzIkB,gBA0IxB,MA1IwB,eA6I5B,MA9IoB,OAsDTuT,CAAkBvT,EAAQtP,KAAK,OAO1C8iB,gBAAiB,SAAShJ,GACtB,IAAIgD,EAAWhD,EAAMgD,SACjBJ,EAAY5C,EAAM8B,gBAGtB,GAAIzmB,KAAKikB,QAAQiB,QAAQ0I,UACrBjG,EAASnL,qBADb,CAKA,IAAIrC,EAAUna,KAAKma,QACf0T,EAAUzL,EAAMjI,EAtEJ,UAsEoCuS,GAAkC,KAClFe,EAAUrL,EAAMjI,EArEH,WAqEoCuS,GArEpC,SAsEbc,EAAUpL,EAAMjI,EAvEH,WAuEoCuS,GAvEpC,SAyEjB,GAAImB,EAAS,CAGT,IAAIC,EAAyC,IAA1BnJ,EAAME,SAASxjB,OAC9B0sB,EAAgBpJ,EAAMmB,SAAW,EACjCkI,EAAiBrJ,EAAMgB,UAAY,IAEvC,GAAImI,GAAgBC,GAAiBC,EACjC,OAIR,IAAIR,IAAWC,EAKf,OAAII,GACCJ,GAj3BcQ,EAi3BH1G,GACXiG,GAj3BYU,GAi3BD3G,EACLvnB,KAAKmuB,WAAWxG,QAH3B,IAWJwG,WAAY,SAASxG,GACjB3nB,KAAKikB,QAAQiB,QAAQ0I,WAAY,EACjCjG,EAASnL,mBA+FjB,SAAS4R,GAAWllB,GAChBlJ,KAAKkJ,QAAUgQ,EAAO,GAAIlZ,KAAKquB,SAAUnlB,GAAW,IAEpDlJ,KAAK6S,GApgCEuQ,IAsgCPpjB,KAAKikB,QAAU,KAGfjkB,KAAKkJ,QAAQqb,OAAS7C,EAAY1hB,KAAKkJ,QAAQqb,QAAQ,GAEvDvkB,KAAKsuB,MAxBY,EA0BjBtuB,KAAKuuB,aAAe,GACpBvuB,KAAKwuB,YAAc,GAqOvB,SAASC,GAASH,GACd,OA5PkB,GA4PdA,EACO,SA/PG,EAgQHA,EACA,MAlQK,EAmQLA,EACA,OArQG,EAsQHA,EACA,QAEJ,GAQX,SAASI,GAAanH,GAClB,OAnuCiB,IAmuCbA,EACO,OAruCI,GAsuCJA,EACA,KAzuCM,GA0uCNA,EACA,OA1uCO,GA2uCPA,EACA,QAEJ,GASX,SAASoH,GAA6BC,EAAiBtB,GACnD,IAAIrJ,EAAUqJ,EAAWrJ,QACzB,OAAIA,EACOA,EAAQnkB,IAAI8uB,GAEhBA,EAQX,SAASC,KACLT,GAAW5N,MAAMxgB,KAAMiD,WA6D3B,SAAS6rB,KACLD,GAAerO,MAAMxgB,KAAMiD,WAE3BjD,KAAK+uB,GAAK,KACV/uB,KAAKgvB,GAAK,KA4Ed,SAASC,KACLJ,GAAerO,MAAMxgB,KAAMiD,WAsC/B,SAASisB,KACLd,GAAW5N,MAAMxgB,KAAMiD,WAEvBjD,KAAKmvB,OAAS,KACdnvB,KAAKovB,OAAS,KAmElB,SAASC,KACLR,GAAerO,MAAMxgB,KAAMiD,WA8B/B,SAASqsB,KACLT,GAAerO,MAAMxgB,KAAMiD,WA2D/B,SAASssB,KACLnB,GAAW5N,MAAMxgB,KAAMiD,WAIvBjD,KAAKwvB,OAAQ,EACbxvB,KAAKyvB,SAAU,EAEfzvB,KAAKmvB,OAAS,KACdnvB,KAAKovB,OAAS,KACdpvB,KAAKuD,MAAQ,EAqGjB,SAASmsB,GAAOpM,EAASpa,GAGrB,OAFAA,EAAUA,GAAW,IACbmkB,YAAc3L,EAAYxY,EAAQmkB,YAAaqC,GAAOrB,SAASsB,QAChE,IAAIC,GAAQtM,EAASpa,GA7tBhCklB,GAAW3uB,UAAY,CAKnB4uB,SAAU,GAOVpB,IAAK,SAAS/jB,GAKV,OAJAgQ,EAAOlZ,KAAKkJ,QAASA,GAGrBlJ,KAAKikB,SAAWjkB,KAAKikB,QAAQmJ,YAAYD,SAClCntB,MAQX6vB,cAAe,SAASjB,GACpB,GAAIhP,EAAegP,EAAiB,gBAAiB5uB,MACjD,OAAOA,KAGX,IAAIuuB,EAAevuB,KAAKuuB,aAMxB,OAJKA,GADLK,EAAkBD,GAA6BC,EAAiB5uB,OAC9B6S,MAC9B0b,EAAaK,EAAgB/b,IAAM+b,EACnCA,EAAgBiB,cAAc7vB,OAE3BA,MAQX8vB,kBAAmB,SAASlB,GACxB,OAAIhP,EAAegP,EAAiB,oBAAqB5uB,QAIzD4uB,EAAkBD,GAA6BC,EAAiB5uB,aACzDA,KAAKuuB,aAAaK,EAAgB/b,KAJ9B7S,MAaf+vB,eAAgB,SAASnB,GACrB,GAAIhP,EAAegP,EAAiB,iBAAkB5uB,MAClD,OAAOA,KAGX,IAAIwuB,EAAcxuB,KAAKwuB,YAMvB,OAJ+C,IAA3CjM,EAAQiM,EADZI,EAAkBD,GAA6BC,EAAiB5uB,SAE5DwuB,EAAY1jB,KAAK8jB,GACjBA,EAAgBmB,eAAe/vB,OAE5BA,MAQXgwB,mBAAoB,SAASpB,GACzB,GAAIhP,EAAegP,EAAiB,qBAAsB5uB,MACtD,OAAOA,KAGX4uB,EAAkBD,GAA6BC,EAAiB5uB,MAChE,IAAI+E,EAAQwd,EAAQviB,KAAKwuB,YAAaI,GAItC,OAHI7pB,GAAS,GACT/E,KAAKwuB,YAAYlnB,OAAOvC,EAAO,GAE5B/E,MAOXiwB,mBAAoB,WAChB,OAAOjwB,KAAKwuB,YAAYntB,OAAS,GAQrC6uB,iBAAkB,SAAStB,GACvB,QAAS5uB,KAAKuuB,aAAaK,EAAgB/b,KAQ/CgV,KAAM,SAASlD,GACX,IAAIR,EAAOnkB,KACPsuB,EAAQtuB,KAAKsuB,MAEjB,SAASzG,EAAKsI,GACVhM,EAAKF,QAAQ4D,KAAKsI,EAAOxL,GAIzB2J,EArJM,GAsJNzG,EAAK1D,EAAKjb,QAAQinB,MAAQ1B,GAASH,IAGvCzG,EAAK1D,EAAKjb,QAAQinB,OAEdxL,EAAMyL,iBACNvI,EAAKlD,EAAMyL,iBAIX9B,GAhKM,GAiKNzG,EAAK1D,EAAKjb,QAAQinB,MAAQ1B,GAASH,KAU3C+B,QAAS,SAAS1L,GACd,GAAI3kB,KAAKswB,UACL,OAAOtwB,KAAK6nB,KAAKlD,GAGrB3kB,KAAKsuB,MA7KM,IAoLfgC,QAAS,WAEL,IADA,IAAIlvB,EAAI,EACDA,EAAIpB,KAAKwuB,YAAYntB,QAAQ,CAChC,KAAkC,GAA5BrB,KAAKwuB,YAAYptB,GAAGktB,OACtB,OAAO,EAEXltB,IAEJ,OAAO,GAOX0mB,UAAW,SAASsE,GAGhB,IAAImE,EAAiBrX,EAAO,GAAIkT,GAGhC,IAAK7K,EAASvhB,KAAKkJ,QAAQqb,OAAQ,CAACvkB,KAAMuwB,IAGtC,OAFAvwB,KAAKwwB,aACLxwB,KAAKsuB,MA3ME,IAgNM,GAAbtuB,KAAKsuB,QACLtuB,KAAKsuB,MAvNI,GA0NbtuB,KAAKsuB,MAAQtuB,KAAKywB,QAAQF,GAIT,GAAbvwB,KAAKsuB,OACLtuB,KAAKqwB,QAAQE,IAWrBE,QAAS,SAASrE,KAOlBmB,eAAgB,aAOhBiD,MAAO,cA8DXxP,EAAQ6N,GAAgBT,GAAY,CAKhCC,SAAU,CAKNxJ,SAAU,GASd6L,SAAU,SAAS/L,GACf,IAAIgM,EAAiB3wB,KAAKkJ,QAAQ2b,SAClC,OAA0B,IAAnB8L,GAAwBhM,EAAME,SAASxjB,SAAWsvB,GAS7DF,QAAS,SAAS9L,GACd,IAAI2J,EAAQtuB,KAAKsuB,MACb5J,EAAYC,EAAMD,UAElBkM,EAAuB,EAARtC,EACfuC,EAAU7wB,KAAK0wB,SAAS/L,GAG5B,OAAIiM,IAlzCO,EAkzCUlM,IAA6BmM,GAvVpC,GAwVHvC,EACAsC,GAAgBC,EArzCnB,EAszCAnM,EA5VE,EA6VK4J,EA/VL,EAgWOA,EA/VL,EAkWDA,EAnWD,EAKC,MAiXnBtN,EAAQ8N,GAAeD,GAAgB,CAKnCR,SAAU,CACN8B,MAAO,MACPW,UAAW,GACXjM,SAAU,EACV0C,UA50CYwJ,IA+0ChBxD,eAAgB,WACZ,IAAIhG,EAAYvnB,KAAKkJ,QAAQqe,UACzBpN,EAAU,GAOd,OA11CmB8T,EAo1Cf1G,GACApN,EAAQrP,KA9jBK,SAtxBAojB,GAs1Cb3G,GACApN,EAAQrP,KAlkBK,SAokBVqP,GAGX6W,cAAe,SAASrM,GACpB,IAAIzb,EAAUlJ,KAAKkJ,QACf+nB,GAAW,EACXnL,EAAWnB,EAAMmB,SACjByB,EAAY5C,EAAM4C,UAClBnB,EAAIzB,EAAM0B,OACVC,EAAI3B,EAAM4B,OAed,OAZMgB,EAAYre,EAAQqe,YAt2CP0G,EAu2CX/kB,EAAQqe,WACRA,EAAmB,IAANnB,EA92CR,EA82CqCA,EAAI,EA72CzC,EACC,EA62CN6K,EAAW7K,GAAKpmB,KAAK+uB,GACrBjJ,EAAW1e,KAAKiY,IAAIsF,EAAM0B,UAE1BkB,EAAmB,IAANjB,EAl3CR,EAk3CqCA,EAAI,EA/2C3C,EACE,GA+2CL2K,EAAW3K,GAAKtmB,KAAKgvB,GACrBlJ,EAAW1e,KAAKiY,IAAIsF,EAAM4B,UAGlC5B,EAAM4C,UAAYA,EACX0J,GAAYnL,EAAW5c,EAAQ4nB,WAAavJ,EAAYre,EAAQqe,WAG3EmJ,SAAU,SAAS/L,GACf,OAAOkK,GAAepvB,UAAUixB,SAAS3wB,KAAKC,KAAM2kB,KAva1C,EAwaL3kB,KAAKsuB,SAxaA,EAwa0BtuB,KAAKsuB,QAAwBtuB,KAAKgxB,cAAcrM,KAGxFkD,KAAM,SAASlD,GAEX3kB,KAAK+uB,GAAKpK,EAAM0B,OAChBrmB,KAAKgvB,GAAKrK,EAAM4B,OAEhB,IAAIgB,EAAYmH,GAAa/J,EAAM4C,WAE/BA,IACA5C,EAAMyL,gBAAkBpwB,KAAKkJ,QAAQinB,MAAQ5I,GAEjDvnB,KAAKshB,OAAOuG,KAAK9nB,KAAKC,KAAM2kB,MAcpC3D,EAAQiO,GAAiBJ,GAAgB,CAKrCR,SAAU,CACN8B,MAAO,QACPW,UAAW,EACXjM,SAAU,GAGd0I,eAAgB,WACZ,MAAO,CAzoBS,SA4oBpBmD,SAAU,SAAS/L,GACf,OAAO3kB,KAAKshB,OAAOoP,SAAS3wB,KAAKC,KAAM2kB,KAClCvd,KAAKiY,IAAIsF,EAAMoC,MAAQ,GAAK/mB,KAAKkJ,QAAQ4nB,WApdpC,EAodiD9wB,KAAKsuB,QAGpEzG,KAAM,SAASlD,GACX,GAAoB,IAAhBA,EAAMoC,MAAa,CACnB,IAAImK,EAAQvM,EAAMoC,MAAQ,EAAI,KAAO,MACrCpC,EAAMyL,gBAAkBpwB,KAAKkJ,QAAQinB,MAAQe,EAEjDlxB,KAAKshB,OAAOuG,KAAK9nB,KAAKC,KAAM2kB,MAiBpC3D,EAAQkO,GAAiBd,GAAY,CAKjCC,SAAU,CACN8B,MAAO,QACPtL,SAAU,EACVsM,KAAM,IACNL,UAAW,GAGfvD,eAAgB,WACZ,MAAO,CAtrBS,SAyrBpBkD,QAAS,SAAS9L,GACd,IAAIzb,EAAUlJ,KAAKkJ,QACfkoB,EAAgBzM,EAAME,SAASxjB,SAAW6H,EAAQ2b,SAClDwM,EAAgB1M,EAAMmB,SAAW5c,EAAQ4nB,UACzCQ,EAAY3M,EAAMgB,UAAYzc,EAAQioB,KAM1C,GAJAnxB,KAAKovB,OAASzK,GAIT0M,IAAkBD,GAAoC,GAAlBzM,EAAMD,YAA2C4M,EACtFtxB,KAAKwwB,aACF,GAn+CG,EAm+CC7L,EAAMD,UACb1kB,KAAKwwB,QACLxwB,KAAKmvB,OAAS5P,GAAkB,WAC5Bvf,KAAKsuB,MA1gBH,EA2gBFtuB,KAAKqwB,YACNnnB,EAAQioB,KAAMnxB,WACd,GAv+CC,EAu+CG2kB,EAAMD,UACb,OA9gBM,EAghBV,OA7gBW,IAghBf8L,MAAO,WACHhkB,aAAaxM,KAAKmvB,SAGtBtH,KAAM,SAASlD,GAvhBD,IAwhBN3kB,KAAKsuB,QAIL3J,GAt/CI,EAs/CMA,EAAMD,UAChB1kB,KAAKikB,QAAQ4D,KAAK7nB,KAAKkJ,QAAQinB,MAAQ,KAAMxL,IAE7C3kB,KAAKovB,OAAO1J,UAAYpG,IACxBtf,KAAKikB,QAAQ4D,KAAK7nB,KAAKkJ,QAAQinB,MAAOnwB,KAAKovB,aAevDpO,EAAQqO,GAAkBR,GAAgB,CAKtCR,SAAU,CACN8B,MAAO,SACPW,UAAW,EACXjM,SAAU,GAGd0I,eAAgB,WACZ,MAAO,CAvvBS,SA0vBpBmD,SAAU,SAAS/L,GACf,OAAO3kB,KAAKshB,OAAOoP,SAAS3wB,KAAKC,KAAM2kB,KAClCvd,KAAKiY,IAAIsF,EAAMsC,UAAYjnB,KAAKkJ,QAAQ4nB,WAlkBnC,EAkkBgD9wB,KAAKsuB,UAcvEtN,EAAQsO,GAAiBT,GAAgB,CAKrCR,SAAU,CACN8B,MAAO,QACPW,UAAW,GACX1J,SAAU,GACVG,UAAWwJ,GACXlM,SAAU,GAGd0I,eAAgB,WACZ,OAAOuB,GAAcrvB,UAAU8tB,eAAextB,KAAKC,OAGvD0wB,SAAU,SAAS/L,GACf,IACIyC,EADAG,EAAYvnB,KAAKkJ,QAAQqe,UAW7B,OARgB,GAAZA,EACAH,EAAWzC,EAAMgC,gBArjDFsH,EAsjDR1G,EACPH,EAAWzC,EAAMkC,iBAtjDJqH,GAujDN3G,IACPH,EAAWzC,EAAMmC,kBAGd9mB,KAAKshB,OAAOoP,SAAS3wB,KAAKC,KAAM2kB,IACnC4C,EAAY5C,EAAM8B,iBAClB9B,EAAMmB,SAAW9lB,KAAKkJ,QAAQ4nB,WAC9BnM,EAAMwC,aAAennB,KAAKkJ,QAAQ2b,UAClCxF,EAAI+H,GAAYpnB,KAAKkJ,QAAQke,UAzkDzB,EAykDqCzC,EAAMD,WAGvDmD,KAAM,SAASlD,GACX,IAAI4C,EAAYmH,GAAa/J,EAAM8B,iBAC/Bc,GACAvnB,KAAKikB,QAAQ4D,KAAK7nB,KAAKkJ,QAAQinB,MAAQ5I,EAAW5C,GAGtD3kB,KAAKikB,QAAQ4D,KAAK7nB,KAAKkJ,QAAQinB,MAAOxL,MA2B9C3D,EAAQuO,GAAenB,GAAY,CAK/BC,SAAU,CACN8B,MAAO,MACPtL,SAAU,EACV0M,KAAM,EACNC,SAAU,IACVL,KAAM,IACNL,UAAW,EACXW,aAAc,IAGlBlE,eAAgB,WACZ,MAAO,CAh2BiB,iBAm2B5BkD,QAAS,SAAS9L,GACd,IAAIzb,EAAUlJ,KAAKkJ,QAEfkoB,EAAgBzM,EAAME,SAASxjB,SAAW6H,EAAQ2b,SAClDwM,EAAgB1M,EAAMmB,SAAW5c,EAAQ4nB,UACzCY,EAAiB/M,EAAMgB,UAAYzc,EAAQioB,KAI/C,GAFAnxB,KAAKwwB,QAzoDK,EA2oDL7L,EAAMD,WAA4C,IAAf1kB,KAAKuD,MACzC,OAAOvD,KAAK2xB,cAKhB,GAAIN,GAAiBK,GAAkBN,EAAe,CAClD,GAhpDI,GAgpDAzM,EAAMD,UACN,OAAO1kB,KAAK2xB,cAGhB,IAAIC,GAAgB5xB,KAAKwvB,OAAS7K,EAAMe,UAAY1lB,KAAKwvB,MAAQtmB,EAAQsoB,SACrEK,GAAiB7xB,KAAKyvB,SAAW1J,EAAY/lB,KAAKyvB,QAAS9K,EAAMa,QAAUtc,EAAQuoB,aAgBvF,GAdAzxB,KAAKwvB,MAAQ7K,EAAMe,UACnB1lB,KAAKyvB,QAAU9K,EAAMa,OAEhBqM,GAAkBD,EAGnB5xB,KAAKuD,OAAS,EAFdvD,KAAKuD,MAAQ,EAKjBvD,KAAKovB,OAASzK,EAKG,IADF3kB,KAAKuD,MAAQ2F,EAAQqoB,KAIhC,OAAKvxB,KAAKiwB,sBAGNjwB,KAAKmvB,OAAS5P,GAAkB,WAC5Bvf,KAAKsuB,MAltBX,EAmtBMtuB,KAAKqwB,YACNnnB,EAAQsoB,SAAUxxB,MAttBvB,GAEA,EAytBV,OAttBW,IAytBf2xB,YAAa,WAIT,OAHA3xB,KAAKmvB,OAAS5P,GAAkB,WAC5Bvf,KAAKsuB,MA3tBE,KA4tBRtuB,KAAKkJ,QAAQsoB,SAAUxxB,MA5tBf,IAguBfwwB,MAAO,WACHhkB,aAAaxM,KAAKmvB,SAGtBtH,KAAM,WAvuBQ,GAwuBN7nB,KAAKsuB,QACLtuB,KAAKovB,OAAO0C,SAAW9xB,KAAKuD,MAC5BvD,KAAKikB,QAAQ4D,KAAK7nB,KAAKkJ,QAAQinB,MAAOnwB,KAAKovB,YAoBvDM,GAAOqC,QAAU,QAMjBrC,GAAOrB,SAAW,CAOd2D,WAAW,EAQX5E,YAl9BuB,UAw9BvB7I,QAAQ,EASRH,YAAa,KAOb6N,WAAY,KAOZtC,OAAQ,CAEJ,CAACN,GAAkB,CAAC9K,QAAQ,IAC5B,CAAC0K,GAAiB,CAAC1K,QAAQ,GAAQ,CAAC,WACpC,CAAC+K,GAAiB,CAAC/H,UArwDA0G,IAswDnB,CAACa,GAAe,CAACvH,UAtwDE0G,GAswDgC,CAAC,UACpD,CAACsB,IACD,CAACA,GAAe,CAACY,MAAO,YAAaoB,KAAM,GAAI,CAAC,QAChD,CAACrC,KAQLgD,SAAU,CAMNC,WAAY,OAOZC,YAAa,OASbC,aAAc,OAOdC,eAAgB,OAOhBC,SAAU,OAQVC,kBAAmB,kBAa3B,SAAS5C,GAAQtM,EAASpa,GAzwD1B,IAA6B+a,EA0wDzBjkB,KAAKkJ,QAAUgQ,EAAO,GAAIwW,GAAOrB,SAAUnlB,GAAW,IAEtDlJ,KAAKkJ,QAAQkb,YAAcpkB,KAAKkJ,QAAQkb,aAAed,EAEvDtjB,KAAKyyB,SAAW,GAChBzyB,KAAKklB,QAAU,GACfllB,KAAKqtB,YAAc,GACnBrtB,KAAK0yB,YAAc,GAEnB1yB,KAAKsjB,QAAUA,EACftjB,KAAK2kB,MArwDE,KAfkBV,EAoxDQjkB,MAlxDRkJ,QAAQ+oB,aAItBrO,EACA8F,GACA7F,EACAkH,GACCpH,EAGD4H,GAFA3C,IAIO3E,EAASQ,GAswD3BzkB,KAAKotB,YAAc,IAAIJ,GAAYhtB,KAAMA,KAAKkJ,QAAQkkB,aAEtDuF,GAAe3yB,MAAM,GAErB8f,EAAK9f,KAAKkJ,QAAQmkB,aAAa,SAASuF,GACpC,IAAItF,EAAattB,KAAK6J,IAAI,IAAK+oB,EAAK,GAAIA,EAAK,KAC7CA,EAAK,IAAMtF,EAAWuC,cAAc+C,EAAK,IACzCA,EAAK,IAAMtF,EAAWyC,eAAe6C,EAAK,MAC3C5yB,MA4PP,SAAS2yB,GAAe1O,EAASpa,GAC7B,IAIIoZ,EAJAK,EAAUW,EAAQX,QACjBA,EAAQ9F,QAIbsC,EAAKmE,EAAQ/a,QAAQgpB,UAAU,SAAS7xB,EAAOgG,GAC3C4c,EAAOH,EAASQ,EAAQ9F,MAAOnX,GAC3BwD,GACAoa,EAAQyO,YAAYzP,GAAQK,EAAQ9F,MAAMyF,GAC1CK,EAAQ9F,MAAMyF,GAAQ5iB,GAEtBijB,EAAQ9F,MAAMyF,GAAQgB,EAAQyO,YAAYzP,IAAS,MAGtDpZ,IACDoa,EAAQyO,YAAc,KAzQ9B9C,GAAQnwB,UAAY,CAMhBwtB,IAAK,SAAS/jB,GAaV,OAZAgQ,EAAOlZ,KAAKkJ,QAASA,GAGjBA,EAAQkkB,aACRptB,KAAKotB,YAAYD,SAEjBjkB,EAAQkb,cAERpkB,KAAK2kB,MAAMzU,UACXlQ,KAAK2kB,MAAMzjB,OAASgI,EAAQkb,YAC5BpkB,KAAK2kB,MAAMH,QAERxkB,MASX6yB,KAAM,SAASC,GACX9yB,KAAKklB,QAAQ6N,QAAUD,EA5Db,EADP,GAsEPhL,UAAW,SAASsE,GAChB,IAAIlH,EAAUllB,KAAKklB,QACnB,IAAIA,EAAQ6N,QAAZ,CAOA,IAAIzF,EAFJttB,KAAKotB,YAAYO,gBAAgBvB,GAGjC,IAAIiB,EAAcrtB,KAAKqtB,YAKnB2F,EAAgB9N,EAAQ8N,gBAIvBA,GAAkBA,GAz8Bb,EAy8B8BA,EAAc1E,SAClD0E,EAAgB9N,EAAQ8N,cAAgB,MAI5C,IADA,IAAI5xB,EAAI,EACDA,EAAIisB,EAAYhsB,QACnBisB,EAAaD,EAAYjsB,GA9FnB,IAsGF8jB,EAAQ6N,SACHC,GAAiB1F,GAAc0F,IAChC1F,EAAW4C,iBAAiB8C,GAGhC1F,EAAWkD,QAFXlD,EAAWxF,UAAUsE,IAOpB4G,GAAoC,GAAnB1F,EAAWgB,QAC7B0E,EAAgB9N,EAAQ8N,cAAgB1F,GAE5ClsB,MASRtB,IAAK,SAASwtB,GACV,GAAIA,aAAsBc,GACtB,OAAOd,EAIX,IADA,IAAID,EAAcrtB,KAAKqtB,YACdjsB,EAAI,EAAGA,EAAIisB,EAAYhsB,OAAQD,IACpC,GAAIisB,EAAYjsB,GAAG8H,QAAQinB,OAAS7C,EAChC,OAAOD,EAAYjsB,GAG3B,OAAO,MASXyI,IAAK,SAASyjB,GACV,GAAI1N,EAAe0N,EAAY,MAAOttB,MAClC,OAAOA,KAIX,IAAIizB,EAAWjzB,KAAKF,IAAIwtB,EAAWpkB,QAAQinB,OAS3C,OARI8C,GACAjzB,KAAKsV,OAAO2d,GAGhBjzB,KAAKqtB,YAAYviB,KAAKwiB,GACtBA,EAAWrJ,QAAUjkB,KAErBA,KAAKotB,YAAYD,SACVG,GAQXhY,OAAQ,SAASgY,GACb,GAAI1N,EAAe0N,EAAY,SAAUttB,MACrC,OAAOA,KAMX,GAHAstB,EAAattB,KAAKF,IAAIwtB,GAGN,CACZ,IAAID,EAAcrtB,KAAKqtB,YACnBtoB,EAAQwd,EAAQ8K,EAAaC,IAElB,IAAXvoB,IACAsoB,EAAY/lB,OAAOvC,EAAO,GAC1B/E,KAAKotB,YAAYD,UAIzB,OAAOntB,MASXyP,GAAI,SAASyjB,EAAQnR,GACjB,QAp5ER,IAo5EYmR,QAp5EZ,IAu5EYnR,EAAJ,CAIA,IAAI0Q,EAAWzyB,KAAKyyB,SAKpB,OAJA3S,EAAKkC,EAASkR,IAAS,SAAS/C,GAC5BsC,EAAStC,GAASsC,EAAStC,IAAU,GACrCsC,EAAStC,GAAOrlB,KAAKiX,MAElB/hB,OASXiQ,IAAK,SAASijB,EAAQnR,GAClB,QA16ER,IA06EYmR,EAAJ,CAIA,IAAIT,EAAWzyB,KAAKyyB,SAQpB,OAPA3S,EAAKkC,EAASkR,IAAS,SAAS/C,GACvBpO,EAGD0Q,EAAStC,IAAUsC,EAAStC,GAAO7oB,OAAOib,EAAQkQ,EAAStC,GAAQpO,GAAU,UAFtE0Q,EAAStC,MAKjBnwB,OAQX6nB,KAAM,SAASsI,EAAOhuB,GAEdnC,KAAKkJ,QAAQ8oB,WAkEzB,SAAyB7B,EAAOhuB,GAC5B,IAAIgxB,EAAexjB,EAASyjB,YAAY,SACxCD,EAAaE,UAAUlD,GAAO,GAAM,GACpCgD,EAAaG,QAAUnxB,EACvBA,EAAKjB,OAAOqyB,cAAcJ,GArElBK,CAAgBrD,EAAOhuB,GAI3B,IAAIswB,EAAWzyB,KAAKyyB,SAAStC,IAAUnwB,KAAKyyB,SAAStC,GAAO/qB,QAC5D,GAAKqtB,GAAaA,EAASpxB,OAA3B,CAIAc,EAAKiL,KAAO+iB,EACZhuB,EAAKqa,eAAiB,WAClBra,EAAKwlB,SAASnL,kBAIlB,IADA,IAAIpb,EAAI,EACDA,EAAIqxB,EAASpxB,QAChBoxB,EAASrxB,GAAGe,GACZf,MAQR8O,QAAS,WACLlQ,KAAKsjB,SAAWqP,GAAe3yB,MAAM,GAErCA,KAAKyyB,SAAW,GAChBzyB,KAAKklB,QAAU,GACfllB,KAAK2kB,MAAMzU,UACXlQ,KAAKsjB,QAAU,OAyCvBpK,EAAOwW,GAAQ,CACX+D,YAtoEc,EAuoEdC,WAtoEa,EAuoEbC,UAtoEY,EAuoEZC,aAtoEe,EAwoEfC,eAlrCiB,EAmrCjBC,YAlrCc,EAmrCdC,cAlrCgB,EAmrChBC,YAlrCc,EAmrCdC,iBAnrCc,EAorCdC,gBAlrCkB,GAmrClBC,aAlrCe,GAorCfC,eA9oEiB,EA+oEjBnG,eA9oEiB,EA+oEjBoG,gBA9oEkB,EA+oElBnG,aA9oEe,EA+oEfoG,eA9oEiB,GA+oEjBvD,qBA7oEuB9C,EA8oEvBsG,mBA7oEqBrG,GA8oErBsG,cA7oEgBzD,GA+oEhBnB,QAASA,GACT5L,MAAOA,EACPgJ,YAAaA,GAEbjC,WAAYA,GACZnC,WAAYA,EACZc,kBAAmBA,GACnB6B,gBAAiBA,GACjBf,iBAAkBA,GAElB4D,WAAYA,GACZS,eAAgBA,GAChB4F,IAAKlF,GACLmF,IAAK5F,GACL6F,MAAOrF,GACPsF,MAAO3F,GACP4F,OAAQxF,GACRyF,MAAO5F,GAEPzf,GAAIoS,EACJ5R,IAAKgS,EACLnC,KAAMA,EACNiB,MAAOA,EACPH,OAAQA,EACR1H,OAAQA,EACR8H,QAASA,EACTrB,OAAQA,EACRmD,SAAUA,UAKsB,IAAX3a,EAAyBA,EAA0B,oBAATgc,KAAuBA,KAAO,IACtFuL,OAASA,QAjkFpB,KAokFI,aACI,OAAOA,IACV,8BAtkFL,CA6kFGvnB,OAAQwH","file":"vendors~files-modal.js?v=974d8a5edd0f4a8a98d0","sourcesContent":["var DESCRIPTORS = require('../internals/descriptors');\nvar defineProperty = require('../internals/object-define-property').f;\n\nvar FunctionPrototype = Function.prototype;\nvar FunctionPrototypeToString = FunctionPrototype.toString;\nvar nameRE = /^\\s*function ([^ (]*)/;\nvar NAME = 'name';\n\n// Function instances `.name` property\n// https://tc39.github.io/ecma262/#sec-function-instances-name\nif (DESCRIPTORS && !(NAME in FunctionPrototype)) {\n defineProperty(FunctionPrototype, NAME, {\n configurable: true,\n get: function () {\n try {\n return FunctionPrototypeToString.call(this).match(nameRE)[1];\n } catch (error) {\n return '';\n }\n }\n });\n}\n","\"use strict\";\n\nrequire(\"core-js/modules/es.object.to-string\");\n\nrequire(\"core-js/modules/es.regexp.exec\");\n\nrequire(\"core-js/modules/es.regexp.to-string\");\n\nrequire(\"core-js/modules/es.string.replace\");\n\nObject.defineProperty(exports, \"__esModule\", {\n value: true\n});\nexports.getGettextBuilder = getGettextBuilder;\n\nvar _nodeGettext = _interopRequireDefault(require(\"node-gettext\"));\n\nvar _ = require(\".\");\n\nfunction _interopRequireDefault(obj) { return obj && obj.__esModule ? obj : { default: obj }; }\n\nfunction _classCallCheck(instance, Constructor) { if (!(instance instanceof Constructor)) { throw new TypeError(\"Cannot call a class as a function\"); } }\n\nfunction _defineProperties(target, props) { for (var i = 0; i < props.length; i++) { var descriptor = props[i]; descriptor.enumerable = descriptor.enumerable || false; descriptor.configurable = true; if (\"value\" in descriptor) descriptor.writable = true; Object.defineProperty(target, descriptor.key, descriptor); } }\n\nfunction _createClass(Constructor, protoProps, staticProps) { if (protoProps) _defineProperties(Constructor.prototype, protoProps); if (staticProps) _defineProperties(Constructor, staticProps); return Constructor; }\n\nvar GettextBuilder = /*#__PURE__*/function () {\n function GettextBuilder() {\n _classCallCheck(this, GettextBuilder);\n\n this.translations = {};\n this.debug = false;\n }\n\n _createClass(GettextBuilder, [{\n key: \"setLanguage\",\n value: function setLanguage(language) {\n this.locale = language;\n return this;\n }\n }, {\n key: \"detectLocale\",\n value: function detectLocale() {\n return this.setLanguage((0, _.getLanguage)());\n }\n }, {\n key: \"addTranslation\",\n value: function addTranslation(language, data) {\n this.translations[language] = data;\n return this;\n }\n }, {\n key: \"enableDebugMode\",\n value: function enableDebugMode() {\n this.debug = true;\n return this;\n }\n }, {\n key: \"build\",\n value: function build() {\n return new GettextWrapper(this.locale || 'en', this.translations, this.debug);\n }\n }]);\n\n return GettextBuilder;\n}();\n\nvar GettextWrapper = /*#__PURE__*/function () {\n function GettextWrapper(locale, data, debug) {\n _classCallCheck(this, GettextWrapper);\n\n this.gt = new _nodeGettext.default({\n debug: debug,\n sourceLocale: 'en'\n });\n\n for (var key in data) {\n this.gt.addTranslations(key, 'messages', data[key]);\n }\n\n this.gt.setLocale(locale);\n }\n\n _createClass(GettextWrapper, [{\n key: \"subtitudePlaceholders\",\n value: function subtitudePlaceholders(translated, vars) {\n return translated.replace(/{([^{}]*)}/g, function (a, b) {\n var r = vars[b];\n\n if (typeof r === 'string' || typeof r === 'number') {\n return r.toString();\n } else {\n return a;\n }\n });\n }\n }, {\n key: \"gettext\",\n value: function gettext(original) {\n var placeholders = arguments.length > 1 && arguments[1] !== undefined ? arguments[1] : {};\n return this.subtitudePlaceholders(this.gt.gettext(original), placeholders);\n }\n }, {\n key: \"ngettext\",\n value: function ngettext(singular, plural, count) {\n var placeholders = arguments.length > 3 && arguments[3] !== undefined ? arguments[3] : {};\n return this.subtitudePlaceholders(this.gt.ngettext(singular, plural, count).replace(/%n/g, count.toString()), placeholders);\n }\n }]);\n\n return GettextWrapper;\n}();\n\nfunction getGettextBuilder() {\n return new GettextBuilder();\n}\n//# sourceMappingURL=gettext.js.map","'use strict';\nvar DESCRIPTORS = require('../internals/descriptors');\nvar global = require('../internals/global');\nvar isForced = require('../internals/is-forced');\nvar redefine = require('../internals/redefine');\nvar has = require('../internals/has');\nvar classof = require('../internals/classof-raw');\nvar inheritIfRequired = require('../internals/inherit-if-required');\nvar toPrimitive = require('../internals/to-primitive');\nvar fails = require('../internals/fails');\nvar create = require('../internals/object-create');\nvar getOwnPropertyNames = require('../internals/object-get-own-property-names').f;\nvar getOwnPropertyDescriptor = require('../internals/object-get-own-property-descriptor').f;\nvar defineProperty = require('../internals/object-define-property').f;\nvar trim = require('../internals/string-trim').trim;\n\nvar NUMBER = 'Number';\nvar NativeNumber = global[NUMBER];\nvar NumberPrototype = NativeNumber.prototype;\n\n// Opera ~12 has broken Object#toString\nvar BROKEN_CLASSOF = classof(create(NumberPrototype)) == NUMBER;\n\n// `ToNumber` abstract operation\n// https://tc39.github.io/ecma262/#sec-tonumber\nvar toNumber = function (argument) {\n var it = toPrimitive(argument, false);\n var first, third, radix, maxCode, digits, length, index, code;\n if (typeof it == 'string' && it.length > 2) {\n it = trim(it);\n first = it.charCodeAt(0);\n if (first === 43 || first === 45) {\n third = it.charCodeAt(2);\n if (third === 88 || third === 120) return NaN; // Number('+0x1') should be NaN, old V8 fix\n } else if (first === 48) {\n switch (it.charCodeAt(1)) {\n case 66: case 98: radix = 2; maxCode = 49; break; // fast equal of /^0b[01]+$/i\n case 79: case 111: radix = 8; maxCode = 55; break; // fast equal of /^0o[0-7]+$/i\n default: return +it;\n }\n digits = it.slice(2);\n length = digits.length;\n for (index = 0; index < length; index++) {\n code = digits.charCodeAt(index);\n // parseInt parses a string to a first unavailable symbol\n // but ToNumber should return NaN if a string contains unavailable symbols\n if (code < 48 || code > maxCode) return NaN;\n } return parseInt(digits, radix);\n }\n } return +it;\n};\n\n// `Number` constructor\n// https://tc39.github.io/ecma262/#sec-number-constructor\nif (isForced(NUMBER, !NativeNumber(' 0o1') || !NativeNumber('0b1') || NativeNumber('+0x1'))) {\n var NumberWrapper = function Number(value) {\n var it = arguments.length < 1 ? 0 : value;\n var dummy = this;\n return dummy instanceof NumberWrapper\n // check on 1..constructor(foo) case\n && (BROKEN_CLASSOF ? fails(function () { NumberPrototype.valueOf.call(dummy); }) : classof(dummy) != NUMBER)\n ? inheritIfRequired(new NativeNumber(toNumber(it)), dummy, NumberWrapper) : toNumber(it);\n };\n for (var keys = DESCRIPTORS ? getOwnPropertyNames(NativeNumber) : (\n // ES3:\n 'MAX_VALUE,MIN_VALUE,NaN,NEGATIVE_INFINITY,POSITIVE_INFINITY,' +\n // ES2015 (in case, if modules with ES2015 Number statics required before):\n 'EPSILON,isFinite,isInteger,isNaN,isSafeInteger,MAX_SAFE_INTEGER,' +\n 'MIN_SAFE_INTEGER,parseFloat,parseInt,isInteger'\n ).split(','), j = 0, key; keys.length > j; j++) {\n if (has(NativeNumber, key = keys[j]) && !has(NumberWrapper, key)) {\n defineProperty(NumberWrapper, key, getOwnPropertyDescriptor(NativeNumber, key));\n }\n }\n NumberWrapper.prototype = NumberPrototype;\n NumberPrototype.constructor = NumberWrapper;\n redefine(global, NUMBER, NumberWrapper);\n}\n","'use strict';\nvar $ = require('../internals/export');\nvar $trim = require('../internals/string-trim').trim;\nvar forcedStringTrimMethod = require('../internals/string-trim-forced');\n\n// `String.prototype.trim` method\n// https://tc39.github.io/ecma262/#sec-string.prototype.trim\n$({ target: 'String', proto: true, forced: forcedStringTrimMethod('trim') }, {\n trim: function trim() {\n return $trim(this);\n }\n});\n","var fails = require('../internals/fails');\nvar whitespaces = require('../internals/whitespaces');\n\nvar non = '\\u200B\\u0085\\u180E';\n\n// check that a method works with the correct list\n// of whitespaces and has a correct name\nmodule.exports = function (METHOD_NAME) {\n return fails(function () {\n return !!whitespaces[METHOD_NAME]() || non[METHOD_NAME]() != non || whitespaces[METHOD_NAME].name !== METHOD_NAME;\n });\n};\n","'use strict';\nvar $ = require('../internals/export');\nvar toAbsoluteIndex = require('../internals/to-absolute-index');\nvar toInteger = require('../internals/to-integer');\nvar toLength = require('../internals/to-length');\nvar toObject = require('../internals/to-object');\nvar arraySpeciesCreate = require('../internals/array-species-create');\nvar createProperty = require('../internals/create-property');\nvar arrayMethodHasSpeciesSupport = require('../internals/array-method-has-species-support');\nvar arrayMethodUsesToLength = require('../internals/array-method-uses-to-length');\n\nvar HAS_SPECIES_SUPPORT = arrayMethodHasSpeciesSupport('splice');\nvar USES_TO_LENGTH = arrayMethodUsesToLength('splice', { ACCESSORS: true, 0: 0, 1: 2 });\n\nvar max = Math.max;\nvar min = Math.min;\nvar MAX_SAFE_INTEGER = 0x1FFFFFFFFFFFFF;\nvar MAXIMUM_ALLOWED_LENGTH_EXCEEDED = 'Maximum allowed length exceeded';\n\n// `Array.prototype.splice` method\n// https://tc39.github.io/ecma262/#sec-array.prototype.splice\n// with adding support of @@species\n$({ target: 'Array', proto: true, forced: !HAS_SPECIES_SUPPORT || !USES_TO_LENGTH }, {\n splice: function splice(start, deleteCount /* , ...items */) {\n var O = toObject(this);\n var len = toLength(O.length);\n var actualStart = toAbsoluteIndex(start, len);\n var argumentsLength = arguments.length;\n var insertCount, actualDeleteCount, A, k, from, to;\n if (argumentsLength === 0) {\n insertCount = actualDeleteCount = 0;\n } else if (argumentsLength === 1) {\n insertCount = 0;\n actualDeleteCount = len - actualStart;\n } else {\n insertCount = argumentsLength - 2;\n actualDeleteCount = min(max(toInteger(deleteCount), 0), len - actualStart);\n }\n if (len + insertCount - actualDeleteCount > MAX_SAFE_INTEGER) {\n throw TypeError(MAXIMUM_ALLOWED_LENGTH_EXCEEDED);\n }\n A = arraySpeciesCreate(O, actualDeleteCount);\n for (k = 0; k < actualDeleteCount; k++) {\n from = actualStart + k;\n if (from in O) createProperty(A, k, O[from]);\n }\n A.length = actualDeleteCount;\n if (insertCount < actualDeleteCount) {\n for (k = actualStart; k < len - actualDeleteCount; k++) {\n from = k + actualDeleteCount;\n to = k + insertCount;\n if (from in O) O[to] = O[from];\n else delete O[to];\n }\n for (k = len; k > len - actualDeleteCount + insertCount; k--) delete O[k - 1];\n } else if (insertCount > actualDeleteCount) {\n for (k = len - actualDeleteCount; k > actualStart; k--) {\n from = k + actualDeleteCount - 1;\n to = k + insertCount - 1;\n if (from in O) O[to] = O[from];\n else delete O[to];\n }\n }\n for (k = 0; k < insertCount; k++) {\n O[k + actualStart] = arguments[k + 2];\n }\n O.length = len - actualDeleteCount + insertCount;\n return A;\n }\n});\n","!function(e,t){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=t():\"function\"==typeof define&&define.amd?define(\"Components/Modal\",[],t):\"object\"==typeof exports?exports[\"Components/Modal\"]=t():(e.NextcloudVue=e.NextcloudVue||{},e.NextcloudVue[\"Components/Modal\"]=t())}(window,(function(){return function(e){var t={};function s(n){if(t[n])return t[n].exports;var o=t[n]={i:n,l:!1,exports:{}};return e[n].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=e,s.c=t,s.d=function(e,t,n){s.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:n})},s.r=function(e){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(e,\"__esModule\",{value:!0})},s.t=function(e,t){if(1&t&&(e=s(e)),8&t)return e;if(4&t&&\"object\"==typeof e&&e&&e.__esModule)return e;var n=Object.create(null);if(s.r(n),Object.defineProperty(n,\"default\",{enumerable:!0,value:e}),2&t&&\"string\"!=typeof e)for(var o in e)s.d(n,o,function(t){return e[t]}.bind(null,o));return n},s.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return s.d(t,\"a\",t),t},s.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},s.p=\"/dist/\",s(s.s=185)}({0:function(e,t,s){\"use strict\";function n(e,t,s,n,o,r,a,i){var c,A=\"function\"==typeof e?e.options:e;if(t&&(A.render=t,A.staticRenderFns=s,A._compiled=!0),n&&(A.functional=!0),r&&(A._scopeId=\"data-v-\"+r),a?(c=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||\"undefined\"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),o&&o.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(a)},A._ssrRegister=c):o&&(c=i?function(){o.call(this,(A.functional?this.parent:this).$root.$options.shadowRoot)}:o),c)if(A.functional){A._injectStyles=c;var m=A.render;A.render=function(e,t){return c.call(t),m(e,t)}}else{var l=A.beforeCreate;A.beforeCreate=l?[].concat(l,c):[c]}return{exports:e,options:A}}s.d(t,\"a\",(function(){return n}))},1:function(e,t,s){\"use strict\";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var s=function(e,t){var s=e[1]||\"\",n=e[3];if(!n)return s;if(t&&\"function\"==typeof btoa){var o=(a=n,i=btoa(unescape(encodeURIComponent(JSON.stringify(a)))),c=\"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(i),\"/*# \".concat(c,\" */\")),r=n.sources.map((function(e){return\"/*# sourceURL=\".concat(n.sourceRoot||\"\").concat(e,\" */\")}));return[s].concat(r).concat([o]).join(\"\\n\")}var a,i,c;return[s].join(\"\\n\")}(t,e);return t[2]?\"@media \".concat(t[2],\" {\").concat(s,\"}\"):s})).join(\"\")},t.i=function(e,s,n){\"string\"==typeof e&&(e=[[null,e,\"\"]]);var o={};if(n)for(var r=0;r<this.length;r++){var a=this[r][0];null!=a&&(o[a]=!0)}for(var i=0;i<e.length;i++){var c=[].concat(e[i]);n&&o[c[0]]||(s&&(c[2]?c[2]=\"\".concat(s,\" and \").concat(c[2]):c[2]=s),t.push(c))}},t}},10:function(e,t,s){\"use strict\";s.r(t),t.default=\"data:font/woff;base64,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\"},105:function(e,t){e.exports=require(\"hammerjs\")},108:function(e,t,s){\"use strict\";s.r(t);var n=s(92);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.default=n.a},11:function(e,t,s){\"use strict\";s.r(t),t.default=\"data:font/ttf;base64,AAEAAAAKAIAAAwAgT1MvMnTjj5cAAACsAAAAYGNtYXAADeu0AAABDAAAAUJnbHlm9ReudAAAAlAAAASEaGVhZCjd4PUAAAbUAAAANmhoZWEnHBOFAAAHDAAAACRobXR4Z97//wAABzAAAAAsbG9jYQduCEYAAAdcAAAAIG1heHABHABXAAAHfAAAACBuYW1ldWRa7QAAB5wAAAKmcG9zdOaJWowAAApEAAAAxAAEEpQBkAAFAAAMZQ2sAAACvAxlDawAAAlgAPUFCgAAAgAFAwAAAAAAAAAAAAAQAAAAAAAAAAAAAABQZkVkAEDqAeoOE4gAAAHCE4gAAAAAAAEAAAAAAAAAAAAAACAAAAAAAAMAAAADAAAAHAABAAAAAAA8AAMAAQAAABwABAAgAAAABAAEAAEAAOoO//8AAOoB//8WAAABAAAAAAAAAQYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAAAAAA6mD0MABQALAAAJAhEJBBEJAQ6m+oIFfvu6BEb6gvqCBX77ugRGD0L6gvqCATgERgRGATj6gvqCATgERgRGAAEAAAAADW4SUAAFAAAJAREJAREGGwdT93QIjAnE+K3+yAiLCIz+xwACAAAAAA/fD0MABQALAAAJAhEJBBEJAQTiBX76ggRG+7oFfgV/+oEERvu6BEYFfgV+/sj7uvu6/sgFfgV+/sj7uvu6AAEAAAAADqYSUAAFAAAJAREJARENbvitCIv3dQnEB1MBOfd093UBOAABAAAAAAY3E4gABQAAEwcJARcBlJQFcvqOlAWjE4hV9pH2kVUJxAAAAQAAAAARhw+DAAUAAAkFD8338/v7/kYFvwnHD4P38wQF/kf6QQnGAAEAAAAAERcRFwALAAAJCxEX/e36wPrA/e0FQPrAAhMFQAVAAhP6wASE/e0FQPrAAhMFQAVAAhP6wAVA/e36wAAB//8AABOTEuwAMwAAASIHDgEXFhcBISYHBgcGBwYUFxYXFhcWNyEBBgcGFxYXHgEXFhcWNzY3ATY3NicmJwEuAQpgZU9KRhASSAXX8eBNPjopJxQUFBQnKTo+TQ4g+ik3GhgDAxsZVjU3Oz46PzUH7TsVFRQVPPgTLHQS7Dk0rFlgR/oqARsYLiw5OHg4OSwuGBsC+ik1Pzs+Ojc2VhkaAwMYGTgH7DxRUE9SPAfsLTIAAAACAAAAAA6mElAAGABGAAABIgcOAQcGFBceARcWMjc+ATc2NCcuAScmASIHBgcGFBcWFxYzIREhIgcGBwYUFxYXFjchMjc2NzY0JyYnJiMhETQnJicmIwl2b2ZimCkrKymYYmbeZmKXKisrKpdiZvw2VkhHKSsrKUdIVgJx/Y9WSEcpKyspR0hWB1NVSEcpKyspR0hV/Y8rKUdJVRJQKyqXY2XfZWKYKSsrKZhiZd9lY5cqK/nlKylHSKtIRykr+eYrKUdJqklHKSsBKilHSapJRykrB1NVSEcpKwAAAwAAAAARFxEXAAMABwALAAABESERAREhEQERIRECcQ6m8VoOpvFaDqYRF/2PAnH55v2PAnH55f2PAnEAAwAAAAASngvnABgAMQBKAAABMhceARcWFAcOAQcGIicuAScmNDc+ATc2ITIXHgEXFhQHDgEHBiInLgEnJjQ3PgE3NiEyFx4BFxYUBw4BBwYiJy4BJyY0Nz4BNzYDDXBlYpgpKyspmGJl32ZilyorKyqXYmYHJm9mYpcqKysql2Jm3mZilyorKyqXYmYHJm9mYpcqKysql2Jm32VimCkrKymYYmUL5ysql2Jm3mZilyorKyqXYmbeZmKXKisrKpdiZt5mYpcqKysql2Jm3mZilyorKyqXYmbeZmKXKisrKpdiZt5mYpcqKwAAAAACAAAAAA/fD98AAwAHAAABESERIREhEQOqBOICcQTiD9/zyww188sMNQAAAAEAAAAAERcRFwACAAAJAgJxDqbxWhEX+K34rQABAAAAAA6mDDUAAgAACQIE4gTiBOIMNfseBOAAAQAAAAEAAJjksGlfDzz1AAsTiAAAAADbPyx0AAAAANruUHT//wAAE5MTiAAAAAgAAgAAAAAAAAABAAATiAAAAAATiP////UTkwABAAAAAAAAAAAAAAAAAAAABwAAAAATiAAAE4gAABOIAAATiAAABjYAABOIAAAAAP//AAAAAAAAAAAAAAAAAAAAAAAiADYAWABsAIAAlAC0AQ4BfAGaAhACJgI0AkIAAQAAAA8ASwADAAAAAAACAAAACgAKAAAA/wAAAAAAAAAAABAAxgABAAAAAAABABQAAAABAAAAAAACAAcAFAABAAAAAAADABQAGwABAAAAAAAEABQALwABAAAAAAAFAAsAQwABAAAAAAAGABQATgABAAAAAAAKACsAYgABAAAAAAALABMAjQADAAEECQABACgAoAADAAEECQACAA4AyAADAAEECQADACgA1gADAAEECQAEACgA/gADAAEECQAFABYBJgADAAEECQAGACgBPAADAAEECQAKAFYBZAADAAEECQALACYBumljb25mb250LXZ1ZS05MWM5YjZmUmVndWxhcmljb25mb250LXZ1ZS05MWM5YjZmaWNvbmZvbnQtdnVlLTkxYzliNmZWZXJzaW9uIDEuMGljb25mb250LXZ1ZS05MWM5YjZmR2VuZXJhdGVkIGJ5IHN2ZzJ0dGYgZnJvbSBGb250ZWxsbyBwcm9qZWN0Lmh0dHA6Ly9mb250ZWxsby5jb20AaQBjAG8AbgBmAG8AbgB0AC0AdgB1AGUALQA5ADEAYwA5AGIANgBmAFIAZQBnAHUAbABhAHIAaQBjAG8AbgBmAG8AbgB0AC0AdgB1AGUALQA5ADEAYwA5AGIANgBmAGkAYwBvAG4AZgBvAG4AdAAtAHYAdQBlAC0AOQAxAGMAOQBiADYAZgBWAGUAcgBzAGkAbwBuACAAMQAuADAAaQBjAG8AbgBmAG8AbgB0AC0AdgB1AGUALQA5ADEAYwA5AGIANgBmAEcAZQBuAGUAcgBhAHQAZQBkACAAYgB5ACAAcwB2AGcAMgB0AHQAZgAgAGYAcgBvAG0AIABGAG8AbgB0AGUAbABsAG8AIABwAHIAbwBqAGUAYwB0AC4AaAB0AHQAcAA6AC8ALwBmAG8AbgB0AGUAbABsAG8ALgBjAG8AbQAAAAIAAAAAAAAAMgAAAAAAAAAAAAAAAAAAAAAAAAAAAA8ADwAAAQIBAwEEAQUBBgEHAQgBCQEKAQsBDAENAQ4BDxFhcnJvdy1sZWZ0LWRvdWJsZQphcnJvdy1sZWZ0EmFycm93LXJpZ2h0LWRvdWJsZQthcnJvdy1yaWdodApicmVhZGNydW1iCWNoZWNrbWFyawVjbG9zZQdjb25maXJtBGluZm8EbWVudQRtb3JlBXBhdXNlBHBsYXkKdHJpYW5nbGUtcw==\"},12:function(e,t,s){\"use strict\";s.r(t),t.default=\"data:image/svg+xml;base64,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\"},13:function(e,t,s){\"use strict\";s.r(t);var n=s(4);s(43);\n/**\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nn.VTooltip.options.defaultTemplate='<div class=\"vue-tooltip\" role=\"tooltip\" data-v-'.concat(\"91c9b6f\",'><div class=\"tooltip-arrow\"></div><div class=\"tooltip-inner\"></div></div>'),n.VTooltip.options.defaultHtml=!1,t.default=n.VTooltip},133:function(e,t,s){var n=s(264);\"string\"==typeof n&&(n=[[e.i,n,\"\"]]),n.locals&&(e.exports=n.locals);(0,s(2).default)(\"cd4d5100\",n,!0,{})},14:function(e,t){e.exports=require(\"core-js/modules/es.function.name\")},144:function(e,t,s){\"use strict\";var n=s(58);s.n(n).a},145:function(e,t,s){(t=s(1)(!1)).push([e.i,\"li.active[data-v-51ef2a86]{box-shadow:inset 4px 0 var(--color-primary)}.action--disabled[data-v-51ef2a86]{pointer-events:none;opacity:.5}.action--disabled[data-v-51ef2a86]:hover,.action--disabled[data-v-51ef2a86]:focus{cursor:default;opacity:.5}.action--disabled *[data-v-51ef2a86]{opacity:1 !important}.action-button[data-v-51ef2a86]{display:flex;align-items:flex-start;width:100%;height:auto;margin:0;padding:0;padding-right:14px;cursor:pointer;white-space:nowrap;opacity:.7;color:var(--color-main-text);border:0;border-radius:0;background-color:transparent;box-shadow:none;font-weight:normal;line-height:44px}.action-button[data-v-51ef2a86]:hover,.action-button[data-v-51ef2a86]:focus{opacity:1}.action-button>span[data-v-51ef2a86]{cursor:pointer;white-space:nowrap}.action-button__icon[data-v-51ef2a86]{width:44px;height:44px;opacity:1;background-position:14px center;background-size:16px}.action-button p[data-v-51ef2a86]{width:150px;padding:7px 0;margin:auto;cursor:pointer;text-align:left;line-height:1.6em}.action-button__longtext[data-v-51ef2a86]{cursor:pointer;white-space:pre-wrap}.action-button__title[data-v-51ef2a86]{font-weight:bold}\\n\",\"\"]),e.exports=t},15:function(e,t){e.exports=require(\"core-js/modules/es.array.index-of\")},16:function(e,t){e.exports=require(\"v-click-outside\")},165:function(e,t){},17:function(e,t){e.exports=require(\"core-js/modules/es.regexp.exec\")},18:function(e,t,s){\"use strict\";s(5),s(17),s(28),s(31);t.a=function(e){return Math.random().toString(36).replace(/[^a-z]+/g,\"\").substr(0,e||5)}},185:function(e,t,s){\"use strict\";s.r(t);var n=s(54),o=(s(15),s(25),s(105)),r=s.n(o),a=s(42),i=s(108),c=s(29),A=s(3),m=s(13);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\nfunction l(e,t){var s,n,o,r=t;this.start=function(){o=!0,n=new Date,s=setTimeout(e,r)},this.pause=function(){o=!1,clearTimeout(s),r-=new Date-n},this.clear=function(){o=!1,clearTimeout(s),r=0},this.getTimeLeft=function(){return o&&(this.pause(),this.start()),r},this.getStateRunning=function(){return o},this.start()}var g={name:\"Modal\",components:{Actions:a.default,ActionButton:i.default},directives:{tooltip:m.default},mixins:[c.a],props:{title:{type:String,default:\"\"},hasPrevious:{type:Boolean,default:!1},hasNext:{type:Boolean,default:!1},outTransition:{type:Boolean,default:!1},enableSlideshow:{type:Boolean,default:!1},clearViewDelay:{type:Number,default:5e3},slideshowDelay:{type:Number,default:3e3},slideshowPaused:{type:Boolean,default:!1},enableSwipe:{type:Boolean,default:!0},spreadNavigation:{type:Boolean,default:!1},size:{type:String,default:\"normal\",validator:function(e){return-1!==[\"normal\",\"large\",\"full\"].indexOf(e)}},canClose:{type:Boolean,default:!0},dark:{type:Boolean,default:!1}},data:function(){return{mc:null,showModal:!1,clearView:!1,clearViewTimeout:null,playing:!1,slideshowTimeout:null}},computed:{modalTransitionName:function(){return\"modal-\".concat(this.outTransition?\"out\":\"in\")},playPauseTitle:function(){return this.playing?Object(A.b)(\"Pause slideshow\"):Object(A.b)(\"Start slideshow\")}},watch:{slideshowPaused:function(e){this.slideshowTimeout&&(e?this.slideshowTimeout.pause():this.slideshowTimeout.start())}},beforeMount:function(){window.addEventListener(\"keydown\",this.handleKeydown)},beforeDestroy:function(){window.removeEventListener(\"keydown\",this.handleKeydown)},mounted:function(){var e=this;this.showModal=!0,this.handleMouseMove(),this.mc=new r.a(this.$refs.mask),this.mc.on(\"swipeleft swiperight\",(function(t){e.handleSwipe(t)})),document.body.insertBefore(this.$el,document.body.lastChild)},unmounted:function(){this.mc.off(\"swipeleft swiperight\"),this.mc.destroy()},methods:{previous:function(e){this.hasPrevious&&(e&&this.resetSlideshow(),this.$emit(\"previous\",e))},next:function(e){this.hasNext&&(e&&this.resetSlideshow(),this.$emit(\"next\",e))},close:function(e){var t=this;this.canClose&&(this.showModal=!1,setTimeout((function(){t.$emit(\"close\",e)}),300))},handleKeydown:function(e){switch(e.keyCode){case 37:this.previous(e);break;case 13:case 39:this.next(e);break;case 27:this.close(e)}},handleSwipe:function(e){this.enableSwipe&&(\"swipeleft\"===e.type?this.next(e):\"swiperight\"===e.type&&this.previous(e))},handleMouseMove:function(){var e=this;this.clearViewDelay>0&&(this.clearView=!1,clearTimeout(this.clearViewTimeout),this.clearViewTimeout=setTimeout((function(){e.clearView=!0}),this.clearViewDelay))},togglePlayPause:function(){this.playing=!this.playing,this.playing?this.handleSlideshow():this.clearSlideshowTimeout()},resetSlideshow:function(){this.playing=!this.playing,this.clearSlideshowTimeout(),this.$nextTick((function(){this.togglePlayPause()}))},handleSlideshow:function(){var e=this;this.playing=!0,this.hasNext?this.slideshowTimeout=new l((function(){e.next(),e.handleSlideshow()}),this.slideshowDelay):(this.playing=!1,this.clearSlideshowTimeout())},clearSlideshowTimeout:function(){this.slideshowTimeout&&this.slideshowTimeout.clear()}}},u=(s(263),s(0)),d=s(165),p=s.n(d),f=Object(u.a)(g,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s(\"transition\",{attrs:{name:\"fade\"}},[s(\"div\",{ref:\"mask\",staticClass:\"modal-mask\",class:{\"modal-mask--dark\":e.dark},on:{click:e.handleMouseMove,mousemove:e.handleMouseMove,touchmove:e.handleMouseMove}},[s(\"transition\",{attrs:{name:\"fade-visibility\"}},[s(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:!e.clearView,expression:\"!clearView\"}],staticClass:\"modal-header\",class:{invisible:e.clearView}},[\"\"!==e.title.trim()?s(\"div\",{staticClass:\"modal-title\"},[e._v(\"\\n\\t\\t\\t\\t\\t\"+e._s(e.title)+\"\\n\\t\\t\\t\\t\")]):e._e(),e._v(\" \"),s(\"div\",{staticClass:\"icons-menu\"},[e.hasNext&&e.enableSlideshow?s(\"button\",{directives:[{name:\"tooltip\",rawName:\"v-tooltip.auto\",value:e.playPauseTitle,expression:\"playPauseTitle\",modifiers:{auto:!0}}],staticClass:\"play-pause\",class:{\"play-pause--paused\":e.slideshowPaused},on:{click:e.togglePlayPause}},[s(\"div\",{class:[e.playing?\"icon-pause\":\"icon-play\"]},[s(\"span\",{staticClass:\"hidden-visually\"},[e._v(\"\\n\\t\\t\\t\\t\\t\\t\\t\\t\"+e._s(e.playPauseTitle)+\"\\n\\t\\t\\t\\t\\t\\t\\t\")])]),e._v(\" \"),e.playing?s(\"svg\",{staticClass:\"progress-ring\",attrs:{height:\"50\",width:\"50\"}},[s(\"circle\",{staticClass:\"progress-ring__circle\",attrs:{stroke:\"white\",\"stroke-width\":\"2\",fill:\"transparent\",r:\"15\",cx:\"25\",cy:\"25\"}})]):e._e()]):e._e(),e._v(\" \"),s(\"Actions\",{staticClass:\"header-actions\"},[e._t(\"actions\")],2),e._v(\" \"),e.canClose?s(\"Actions\",{staticClass:\"header-close\"},[s(\"ActionButton\",{attrs:{icon:\"icon-close\"},on:{click:e.close}},[e._v(\"\\n\\t\\t\\t\\t\\t\\t\\t\"+e._s(e.t(\"Close\"))+\"\\n\\t\\t\\t\\t\\t\\t\")])],1):e._e()],1)])]),e._v(\" \"),s(\"transition\",{attrs:{name:e.modalTransitionName}},[s(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:e.showModal,expression:\"showModal\"}],staticClass:\"modal-wrapper\",class:[\"modal-wrapper--\"+e.size,e.spreadNavigation?\"modal-wrapper--spread-navigation\":\"\"],on:{click:function(t){return t.target!==t.currentTarget?null:e.close(t)}}},[s(\"transition\",{attrs:{name:\"fade-visibility\"}},[s(\"a\",{directives:[{name:\"show\",rawName:\"v-show\",value:e.hasPrevious&&!e.clearView,expression:\"hasPrevious && !clearView\"}],staticClass:\"prev\",class:{invisible:e.clearView||!e.hasPrevious},on:{click:e.previous}},[s(\"div\",{staticClass:\"icon icon-previous\"},[s(\"span\",{staticClass:\"hidden-visually\"},[e._v(\"\\n\\t\\t\\t\\t\\t\\t\\t\\t\"+e._s(e.t(\"Previous\"))+\"\\n\\t\\t\\t\\t\\t\\t\\t\")])])])]),e._v(\" \"),s(\"div\",{staticClass:\"modal-container\"},[e._t(\"default\")],2),e._v(\" \"),s(\"transition\",{attrs:{name:\"fade-visibility\"}},[s(\"a\",{directives:[{name:\"show\",rawName:\"v-show\",value:e.hasNext&&!e.clearView,expression:\"hasNext && !clearView\"}],staticClass:\"next\",class:{invisible:e.clearView||!e.hasNext},on:{click:e.next}},[s(\"div\",{staticClass:\"icon icon-next\"},[s(\"span\",{staticClass:\"hidden-visually\"},[e._v(\"\\n\\t\\t\\t\\t\\t\\t\\t\\t\"+e._s(e.t(\"Next\"))+\"\\n\\t\\t\\t\\t\\t\\t\\t\")])])])])],1)])],1)])}),[],!1,null,\"4dc6855f\",null);\"function\"==typeof p.a&&p()(f);var v=f.exports;\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */Object(n.a)(v);t.default=v},19:function(e,t){e.exports=require(\"core-js/modules/es.array.iterator\")},2:function(e,t,s){\"use strict\";function n(e,t){for(var s=[],n={},o=0;o<t.length;o++){var r=t[o],a=r[0],i={id:e+\":\"+o,css:r[1],media:r[2],sourceMap:r[3]};n[a]?n[a].parts.push(i):s.push(n[a]={id:a,parts:[i]})}return s}s.r(t),s.d(t,\"default\",(function(){return u}));var o=\"undefined\"!=typeof document;if(\"undefined\"!=typeof DEBUG&&DEBUG&&!o)throw new Error(\"vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\");var r={},a=o&&(document.head||document.getElementsByTagName(\"head\")[0]),i=null,c=0,A=!1,m=function(){},l=null,g=\"undefined\"!=typeof navigator&&/msie [6-9]\\b/.test(navigator.userAgent.toLowerCase());function u(e,t,s,o){A=s,l=o||{};var a=n(e,t);return d(a),function(t){for(var s=[],o=0;o<a.length;o++){var i=a[o];(c=r[i.id]).refs--,s.push(c)}t?d(a=n(e,t)):a=[];for(o=0;o<s.length;o++){var c;if(0===(c=s[o]).refs){for(var A=0;A<c.parts.length;A++)c.parts[A]();delete r[c.id]}}}}function d(e){for(var t=0;t<e.length;t++){var s=e[t],n=r[s.id];if(n){n.refs++;for(var o=0;o<n.parts.length;o++)n.parts[o](s.parts[o]);for(;o<s.parts.length;o++)n.parts.push(f(s.parts[o]));n.parts.length>s.parts.length&&(n.parts.length=s.parts.length)}else{var a=[];for(o=0;o<s.parts.length;o++)a.push(f(s.parts[o]));r[s.id]={id:s.id,refs:1,parts:a}}}}function p(){var e=document.createElement(\"style\");return e.type=\"text/css\",a.appendChild(e),e}function f(e){var t,s,n=document.querySelector('style[data-vue-ssr-id~=\"'+e.id+'\"]');if(n){if(A)return m;n.parentNode.removeChild(n)}if(g){var o=c++;n=i||(i=p()),t=M.bind(null,n,o,!1),s=M.bind(null,n,o,!0)}else n=p(),t=T.bind(null,n),s=function(){n.parentNode.removeChild(n)};return t(e),function(n){if(n){if(n.css===e.css&&n.media===e.media&&n.sourceMap===e.sourceMap)return;t(e=n)}else s()}}var v,h=(v=[],function(e,t){return v[e]=t,v.filter(Boolean).join(\"\\n\")});function M(e,t,s,n){var o=s?\"\":n.css;if(e.styleSheet)e.styleSheet.cssText=h(t,o);else{var r=document.createTextNode(o),a=e.childNodes;a[t]&&e.removeChild(a[t]),a.length?e.insertBefore(r,a[t]):e.appendChild(r)}}function T(e,t){var s=t.css,n=t.media,o=t.sourceMap;if(n&&e.setAttribute(\"media\",n),l.ssrId&&e.setAttribute(\"data-vue-ssr-id\",t.id),o&&(s+=\"\\n/*# sourceURL=\"+o.sources[0]+\" */\",s+=\"\\n/*# sourceMappingURL=data:application/json;base64,\"+btoa(unescape(encodeURIComponent(JSON.stringify(o))))+\" */\"),e.styleSheet)e.styleSheet.cssText=s;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(s))}}},20:function(e,t){e.exports=require(\"core-js/modules/es.string.iterator\")},21:function(e,t){e.exports=require(\"core-js/modules/web.dom-collections.iterator\")},22:function(e,t){e.exports=require(\"core-js/modules/es.array.concat\")},23:function(e,t){e.exports=require(\"@nextcloud/l10n/dist/gettext\")},24:function(e,t,s){\"use strict\";s(14),s(26);var n=s(6),o=s.n(n);\n/**\n * @copyright Copyright (c) 2019 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.a={before:function(){this.$slots.default&&\"\"!==this.text.trim()||(o.a.util.warn(\"\".concat(this.$options.name,\" cannot be empty and requires a meaningful text content\"),this),this.$destroy(),this.$el.remove())},beforeUpdate:function(){this.text=this.getText()},data:function(){return{text:this.getText()}},computed:{isLongText:function(){return this.text&&this.text.trim().length>20}},methods:{getText:function(){return this.$slots.default?this.$slots.default[0].text.trim():\"\"}}}},25:function(e,t){e.exports=require(\"core-js/modules/es.number.constructor\")},26:function(e,t){e.exports=require(\"core-js/modules/es.string.trim\")},263:function(e,t,s){\"use strict\";var n=s(133);s.n(n).a},264:function(e,t,s){var n=s(1),o=s(8),r=s(9),a=s(10),i=s(11),c=s(12);t=n(!1);var A=o(r),m=o(a),l=o(i),g=o(c);t.push([e.i,'@font-face{font-family:\"iconfont-vue-91c9b6f\";src:url('+A+\");src:url(\"+A+') format(\"embedded-opentype\"),url('+m+') format(\"woff\"),url('+l+') format(\"truetype\"),url('+g+') format(\"svg\")}.icon[data-v-4dc6855f]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-left[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-right-double[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-right[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.breadcrumb[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.checkmark[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.close[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.confirm[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.info[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.menu[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.more[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.pause[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.play[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.triangle-s[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.modal-mask[data-v-4dc6855f]{position:fixed;z-index:9998;top:0;left:0;display:block;width:100%;height:100%;background-color:rgba(0,0,0,0.5)}.modal-mask--dark[data-v-4dc6855f]{background-color:rgba(0,0,0,0.92)}.modal-header[data-v-4dc6855f]{position:absolute;z-index:10001;top:0;right:0;left:0;display:flex !important;align-items:center;justify-content:center;width:100%;height:50px;transition:opacity 250ms, visibility 250ms}.modal-header.invisible[style*=\\'display:none\\'][data-v-4dc6855f],.modal-header.invisible[style*=\\'display: none\\'][data-v-4dc6855f]{visibility:hidden}.modal-header .modal-title[data-v-4dc6855f]{overflow-x:hidden;box-sizing:border-box;width:100%;padding:0 132px 0 12px;transition:padding ease 100ms;white-space:nowrap;text-overflow:ellipsis;color:#fff;font-size:14px}@media only screen and (min-width: 512px){.modal-header .modal-title[data-v-4dc6855f]{text-align:center;padding-left:132px}}.modal-header .icons-menu[data-v-4dc6855f]{position:absolute;right:0;display:flex;align-items:center;justify-content:flex-end}.modal-header .icons-menu .icon-close[data-v-4dc6855f]{box-sizing:border-box;margin:3px;padding:10px 11px;color:#fff;background-image:none;font-size:23px}.modal-header .icons-menu .icon-close[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";font-style:normal;font-weight:400;content:\"\"}.modal-header .icons-menu .play-pause[data-v-4dc6855f]{position:relative;width:50px;height:50px;margin:0;padding:0;cursor:pointer;color:white;border:none;background-color:transparent;font-size:22px}.modal-header .icons-menu .play-pause:hover .icon-play[data-v-4dc6855f],.modal-header .icons-menu .play-pause:hover .icon-pause[data-v-4dc6855f],.modal-header .icons-menu .play-pause:focus .icon-play[data-v-4dc6855f],.modal-header .icons-menu .play-pause:focus .icon-pause[data-v-4dc6855f]{opacity:1;border-radius:22px;background-color:rgba(127,127,127,0.25)}.modal-header .icons-menu .play-pause .icon-play[data-v-4dc6855f],.modal-header .icons-menu .play-pause .icon-pause[data-v-4dc6855f]{box-sizing:border-box;width:44px;height:44px;margin:3px;opacity:.7;background-image:none;cursor:pointer}.modal-header .icons-menu .play-pause .icon-play[data-v-4dc6855f]{padding:11px 13px}.modal-header .icons-menu .play-pause .icon-play[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";font-style:normal;font-weight:400;content:\"\"}.modal-header .icons-menu .play-pause .icon-pause[data-v-4dc6855f]{padding:12px;font-size:19.5px}.modal-header .icons-menu .play-pause .icon-pause[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";font-style:normal;font-weight:400;content:\"\"}.modal-header .icons-menu .header-actions[data-v-4dc6855f]{margin:3px;color:white}.modal-header .icons-menu .action-item--single[data-v-4dc6855f]{box-sizing:border-box;width:44px;height:44px;cursor:pointer;background-position:center;background-size:22px}.modal-header .icons-menu[data-v-4dc6855f] .action-item__menutoggle{padding:13px 11px;color:#fff;font-size:22px}.modal-wrapper[data-v-4dc6855f]{display:flex;align-items:center;justify-content:center;box-sizing:border-box;width:100%;height:100%}.modal-wrapper .prev[data-v-4dc6855f],.modal-wrapper .next[data-v-4dc6855f]{z-index:10000;display:flex !important;align-items:center;justify-content:center;width:15%;min-width:60px;height:100%;transition:opacity 250ms, visibility 250ms}.modal-wrapper .prev.invisible[style*=\\'display:none\\'][data-v-4dc6855f],.modal-wrapper .prev.invisible[style*=\\'display: none\\'][data-v-4dc6855f],.modal-wrapper .next.invisible[style*=\\'display:none\\'][data-v-4dc6855f],.modal-wrapper .next.invisible[style*=\\'display: none\\'][data-v-4dc6855f]{visibility:hidden}.modal-wrapper .icon-next[data-v-4dc6855f],.modal-wrapper .icon-previous[data-v-4dc6855f]{box-sizing:border-box;width:44px;height:44px;padding:12px 11px;color:white;border-radius:22px;background-image:none;font-size:24px}.modal-wrapper .icon-previous[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";font-style:normal;font-weight:400;content:\"\"}.modal-wrapper .icon-next[data-v-4dc6855f]:before{font-family:\"iconfont-vue-91c9b6f\";font-style:normal;font-weight:400;content:\"\"}.modal-wrapper .modal-container[data-v-4dc6855f]{display:block;overflow:hidden;padding:0;transition:transform 300ms ease;border-radius:var(--border-radius-large);background-color:var(--color-main-background);box-shadow:0 0 40px rgba(0,0,0,0.2)}.modal-wrapper:not(.modal-wrapper--large):not(.modal-wrapper--full) .modal-container[data-v-4dc6855f]{max-width:900px;max-height:80%}.modal-wrapper--full .modal-container[data-v-4dc6855f]{max-width:100%;max-height:100%;border-radius:0}.modal-wrapper--full .prev[data-v-4dc6855f],.modal-wrapper--full .next[data-v-4dc6855f],.modal-wrapper--spread-navigation .prev[data-v-4dc6855f],.modal-wrapper--spread-navigation .next[data-v-4dc6855f]{position:absolute;width:10%}.modal-wrapper--full .prev[data-v-4dc6855f],.modal-wrapper--spread-navigation .prev[data-v-4dc6855f]{left:0}.modal-wrapper--full .next[data-v-4dc6855f],.modal-wrapper--spread-navigation .next[data-v-4dc6855f]{right:0}.modal-wrapper--large .modal-container[data-v-4dc6855f]{max-width:85%;max-height:90%}.modal-wrapper--large .prev[data-v-4dc6855f],.modal-wrapper--large .next[data-v-4dc6855f]{width:10%;min-width:44px}.fade-enter-active[data-v-4dc6855f],.fade-leave-active[data-v-4dc6855f]{transition:opacity 250ms}.fade-enter[data-v-4dc6855f],.fade-leave-to[data-v-4dc6855f]{opacity:0}.fade-visibility-enter[data-v-4dc6855f],.fade-visibility-leave-to[data-v-4dc6855f]{visibility:hidden;opacity:0}.modal-in-enter-active[data-v-4dc6855f],.modal-in-leave-active[data-v-4dc6855f],.modal-out-enter-active[data-v-4dc6855f],.modal-out-leave-active[data-v-4dc6855f]{transition:opacity 250ms}.modal-in-enter[data-v-4dc6855f],.modal-in-leave-to[data-v-4dc6855f],.modal-out-enter[data-v-4dc6855f],.modal-out-leave-to[data-v-4dc6855f]{opacity:0}.modal-in-enter .modal-container[data-v-4dc6855f],.modal-in-leave-to .modal-container[data-v-4dc6855f]{transform:scale(0.9)}.modal-out-enter .modal-container[data-v-4dc6855f],.modal-out-leave-to .modal-container[data-v-4dc6855f]{transform:scale(1.1)}.modal-mask .play-pause .progress-ring[data-v-4dc6855f]{position:absolute;top:0;left:0;transform:rotate(-90deg)}.modal-mask .play-pause .progress-ring .progress-ring__circle[data-v-4dc6855f]{transition:100ms stroke-dashoffset;transform-origin:50% 50%;animation:progressring-data-v-4dc6855f linear 3s infinite;stroke-linecap:round;stroke-dashoffset:94.24778;stroke-dasharray:94.24778}.modal-mask .play-pause--paused .icon-pause[data-v-4dc6855f]{animation:breath-data-v-4dc6855f 2s cubic-bezier(0.4, 0, 0.2, 1) infinite}.modal-mask .play-pause--paused .progress-ring__circle[data-v-4dc6855f]{animation-play-state:paused !important}@keyframes progressring-data-v-4dc6855f{from{stroke-dashoffset:94.24778}to{stroke-dashoffset:0}}@keyframes breath-data-v-4dc6855f{0%{opacity:1}50%{opacity:0}100%{opacity:1}}\\n',\"\"]),e.exports=t},27:function(e,t){e.exports=require(\"core-js/modules/web.url\")},28:function(e,t){e.exports=require(\"core-js/modules/es.regexp.to-string\")},29:function(e,t,s){\"use strict\";var n=s(3);t.a={methods:{n:n.a,t:n.b}}},3:function(e,t,s){\"use strict\";s.d(t,\"b\",(function(){return i})),s.d(t,\"a\",(function(){return a}));s(7);var n=s(23),o=Object(n.getGettextBuilder)().detectLocale();[{locale:\"br\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Kervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020\",\"Language-Team\":\"Breton (https://www.transifex.com/nextcloud/teams/64236/br/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"br\",\"Plural-Forms\":\"nplurals=5; plural=((n%10 == 1) && (n%100 != 11) && (n%100 !=71) && (n%100 !=91) ? 0 :(n%10 == 2) && (n%100 != 12) && (n%100 !=72) && (n%100 !=92) ? 1 :(n%10 ==3 || n%10==4 || n%10==9) && (n%100 < 10 || n% 100 > 19) && (n%100 < 70 || n%100 > 79) && (n%100 < 90 || n%100 > 99) ? 2 :(n != 0 && n % 1000000 == 0) ? 3 : 4);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nKervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020\\n\"},msgstr:[\"Last-Translator: Kervoas-Le Nabat Ewen <ewenkervoas@free.fr>, 2020\\nLanguage-Team: Breton (https://www.transifex.com/nextcloud/teams/64236/br/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: br\\nPlural-Forms: nplurals=5; plural=((n%10 == 1) && (n%100 != 11) && (n%100 !=71) && (n%100 !=91) ? 0 :(n%10 == 2) && (n%100 != 12) && (n%100 !=72) && (n%100 !=92) ? 1 :(n%10 ==3 || n%10==4 || n%10==9) && (n%100 < 10 || n% 100 > 19) && (n%100 < 70 || n%100 > 79) && (n%100 < 90 || n%100 > 99) ? 2 :(n != 0 && n % 1000000 == 0) ? 3 : 4);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (diwelus)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (bevennet)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Oberioù\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Dibab\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Seriñ\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Da heul\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Disoc'h ebet\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Arsav an diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"A-raok\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Choaz un tag\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Arventoù\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Kregiñ an diaporama\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Dibosuple klask ar strollad\"]}}}}},{locale:\"ca\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Carles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020\",\"Language-Team\":\"Catalan (https://www.transifex.com/nextcloud/teams/64236/ca/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ca\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nMarc Riera <marcriera@softcatala.org>, 2020\\nCarles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020\\n\"},msgstr:[\"Last-Translator: Carles Ferrando Garcia <carles.ferrando@gnuescultura.eu>, 2020\\nLanguage-Team: Catalan (https://www.transifex.com/nextcloud/teams/64236/ca/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ca\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restringit)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Accions\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Trieu\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Tanca\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Següent\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Sense resultats\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Atura la presentació\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterior\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecciona una etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Paràmetres\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Inicia la presentació\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"No es pot cercar el grup\"]}}}}},{locale:\"cs_CZ\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Pavel Borecki <pavel.borecki@gmail.com>, 2020\",\"Language-Team\":\"Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"cs_CZ\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nPavel Borecki <pavel.borecki@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Pavel Borecki <pavel.borecki@gmail.com>, 2020\\nLanguage-Team: Czech (Czech Republic) (https://www.transifex.com/nextcloud/teams/64236/cs_CZ/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: cs_CZ\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n >= 2 && n <= 4 && n % 1 == 0) ? 1: (n % 1 != 0 ) ? 2 : 3;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (neviditelný)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (omezený)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Akce\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Aktivity\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Zvířata a příroda\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Zvolit\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Zavřít\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Uživatelsky určené\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Příznaky\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Jídlo a pití\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Často používané\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Následující\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Nenalezeno žádné emoji\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Žádné výsledky\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Objekty\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pozastavit prezentaci\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Lidé a tělo\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Vyberte emoji\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Předchozí\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Hledat\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Výsledky hledání\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Vybrat štítek\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Nastavení\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Úsměvy a emoce\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Spustit prezentaci\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Symboly\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Cestování a místa\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Nedaří se hledat skupinu\"]}}}}},{locale:\"da\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Thomas Nielsen <thsnielsen@gmail.com>, 2020\",\"Language-Team\":\"Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"da\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nThomas Nielsen <thsnielsen@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Thomas Nielsen <thsnielsen@gmail.com>, 2020\\nLanguage-Team: Danish (https://www.transifex.com/nextcloud/teams/64236/da/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: da\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (usynlig)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (begrænset)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Handlinger\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Vælg\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Luk\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Videre\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Ingen resultater\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Suspender fremvisning\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Forrige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Vælg et mærke\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Indstillinger\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Start fremvisning\"]}}}}},{locale:\"de\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Mario Siegmann <mario_siegmann@web.de>, 2020\",\"Language-Team\":\"German (https://www.transifex.com/nextcloud/teams/64236/de/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"de\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\\nAndreas Eitel <github-aneitel@online.de>, 2020\\nMario Siegmann <mario_siegmann@web.de>, 2020\\n\"},msgstr:[\"Last-Translator: Mario Siegmann <mario_siegmann@web.de>, 2020\\nLanguage-Team: German (https://www.transifex.com/nextcloud/teams/64236/de/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: de\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (unsichtbar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (eingeschränkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Aktionen\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Aktivitäten\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Tiere & Natur\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Auswählen\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Schließen\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Benutzerdefiniert\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Markierung\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Essen & Trinken\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Häufig verwendet\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Weiter\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Kein Emoji gefunden\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Keine Ergebnisse\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Gegenstände\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow pausieren\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Menschen & Körper\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Ein Emoji auswählen\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorherige\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Ein Emoji auswählen\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Suchergebnisse\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Schlagwort auswählen\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Einstellungen\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Smileys & Emotionen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow starten\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Symbole\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Reisen & Orte\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Die Gruppe konnte nicht durchsucht werden\"]}}}}},{locale:\"de_DE\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Mario Siegmann <mario_siegmann@web.de>, 2020\",\"Language-Team\":\"German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"de_DE\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nMark Ziegler <mark.ziegler@rakekniven.de>, 2020\\nPhilipp Fischbeck <pfischbeck@googlemail.com>, 2020\\nProfDrJones <jones@fs.cs.hm.edu>, 2020\\nMario Siegmann <mario_siegmann@web.de>, 2020\\n\"},msgstr:[\"Last-Translator: Mario Siegmann <mario_siegmann@web.de>, 2020\\nLanguage-Team: German (Germany) (https://www.transifex.com/nextcloud/teams/64236/de_DE/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: de_DE\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (unsichtbar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (eingeschränkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Aktionen\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Aktivitäten\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Tiere & Natur\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Auswählen\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Schließen\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Benutzerdefiniert\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Markierung\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Essen & Trinken\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Häufig verwendet\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Weiter\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Kein Emoji gefunden\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Keine Ergebnisse\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Gegenstände\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow pausieren\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Menschen & Körper\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Ein Emoji auswählen\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorherige\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Suchen\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Suchergebnisse\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Schlagwort auswählen\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Einstellungen\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Smileys & Emotionen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diashow starten\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Symbole\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Reisen & Orte\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Die Gruppe kann nicht durchsucht werden\"]}}}}},{locale:\"el\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"george k <norhorn@gmail.com>, 2020\",\"Language-Team\":\"Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"el\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nEfstathios Iosifidis <iefstathios@gmail.com>, 2020\\ngeorge k <norhorn@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: george k <norhorn@gmail.com>, 2020\\nLanguage-Team: Greek (https://www.transifex.com/nextcloud/teams/64236/el/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: el\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (αόρατο)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (περιορισμένο)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Ενέργειες\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Επιλογή\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Κλείσιμο\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Επόμενο\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:34\"},msgstr:[\"Κανένα αποτέλεσμα\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Παύση προβολής διαφανειών\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Προηγούμενο\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Επιλογή ετικέτας\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ρυθμίσεις\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Έναρξη προβολής διαφανειών\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:138\"},msgstr:[\"Δεν είναι δυνατή η αναζήτηση της ομάδας\"]}}}}},{locale:\"es\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"asd fgh <c1@cgps.xyz>, 2020\",\"Language-Team\":\"Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"es\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\njavier san felipe <jsanfe@gmail.com>, 2020\\nasd fgh <c1@cgps.xyz>, 2020\\n\"},msgstr:[\"Last-Translator: asd fgh <c1@cgps.xyz>, 2020\\nLanguage-Team: Spanish (https://www.transifex.com/nextcloud/teams/64236/es/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: es\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{etiqueta} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{etiqueta} (restringido)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"acciones\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Elige\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Cierra\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Siguiente\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\" Ningún resultado\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausa la presentación \"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterior\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecciona una etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ajustes\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Comienza la presentación \"]}}}}},{locale:\"eu\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\",\"Language-Team\":\"Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"eu\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAsier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Asier Iturralde Sarasola <asier.iturralde@gmail.com>, 2020\\nLanguage-Team: Basque (https://www.transifex.com/nextcloud/teams/64236/eu/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: eu\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (ikusezina)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (mugatua)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Aukeratu\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Itxi\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Hurrengoa\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Emaitzarik ez\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pausatu diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Aurrekoa\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Hautatu etiketa bat\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ezarpenak\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Hasi diaporama\"]}}}}},{locale:\"fi_FI\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Robin Lahtinen <robin.lahtinen@gmail.com>, 2020\",\"Language-Team\":\"Finnish (Finland) (https://www.transifex.com/nextcloud/teams/64236/fi_FI/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"fi_FI\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nRobin Lahtinen <robin.lahtinen@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Robin Lahtinen <robin.lahtinen@gmail.com>, 2020\\nLanguage-Team: Finnish (Finland) (https://www.transifex.com/nextcloud/teams/64236/fi_FI/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: fi_FI\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (näkymätön)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (rajoitettu)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Toiminnot\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Valitse\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Sulje\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seuraava\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Ei tuloksia\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Keskeytä diaesitys\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Edellinen\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Valitse tagi\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Asetukset\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Aloita diaesitys\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Ryhmää ei voi hakea\"]}}}}},{locale:\"fr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Luclu7 <theluc7andcompagnie@gmail.com>, 2020\",\"Language-Team\":\"French (https://www.transifex.com/nextcloud/teams/64236/fr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"fr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nBrendan Abolivier <transifex@brendanabolivier.com>, 2020\\ngud bes <gudbes@protonmail.com>, 2020\\nGreg Greg <grena@grenabox.fr>, 2020\\nLuclu7 <theluc7andcompagnie@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Luclu7 <theluc7andcompagnie@gmail.com>, 2020\\nLanguage-Team: French (https://www.transifex.com/nextcloud/teams/64236/fr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: fr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restreint)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Actions\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Choisir\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Fermer\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Suivant\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Aucun résultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Mettre le diaporama en pause\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Précédent\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Sélectionnez une balise\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Paramètres\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Démarrer le diaporama\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Impossible de chercher le groupe\"]}}}}},{locale:\"gl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Anonymous Person <pessoaemluta@protonmail.com>, 2020\",\"Language-Team\":\"Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"gl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nMiguel Anxo Bouzada <mbouzada@gmail.com>, 2020\\nAnonymous Person <pessoaemluta@protonmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Anonymous Person <pessoaemluta@protonmail.com>, 2020\\nLanguage-Team: Galician (https://www.transifex.com/nextcloud/teams/64236/gl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: gl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisíbel)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restrinxido)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Accións\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escoller\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Pechar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seguinte\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Sen resultados\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausar o diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterir\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleccione unha etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Axustes\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Iniciar o diaporama\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Non se puido procurar o grupo.\"]}}}}},{locale:\"he\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Yaron Shahrabani <sh.yaron@gmail.com>, 2020\",\"Language-Team\":\"Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"he\",\"Plural-Forms\":\"nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYaron Shahrabani <sh.yaron@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Yaron Shahrabani <sh.yaron@gmail.com>, 2020\\nLanguage-Team: Hebrew (https://www.transifex.com/nextcloud/teams/64236/he/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: he\\nPlural-Forms: nplurals=4; plural=(n == 1 && n % 1 == 0) ? 0 : (n == 2 && n % 1 == 0) ? 1: (n % 10 == 0 && n % 1 == 0 && n > 10) ? 2 : 3;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (נסתר)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (מוגבל)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"בחירה\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"סגירה\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"הבא\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"אין תוצאות\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"השהיית מצגת\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"הקודם\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"בחירת תגית\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"הגדרות\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"התחלת המצגת\"]}}}}},{locale:\"hu_HU\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"asbot10 <asbot000@gmail.com>, 2020\",\"Language-Team\":\"Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"hu_HU\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nBalázs Meskó <mesko.balazs@fsf.hu>, 2020\\nasbot10 <asbot000@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: asbot10 <asbot000@gmail.com>, 2020\\nLanguage-Team: Hungarian (Hungary) (https://www.transifex.com/nextcloud/teams/64236/hu_HU/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: hu_HU\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (láthatatlan)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (korlátozott)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:197\"},msgstr:[\"Műveletek\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Válassszon\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Bezárás\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Következő\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nincs találat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diavetítés szüneteltetése\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Előző\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Válasszon címkét\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Beállítások\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Diavetítés indítása\"]}}}}},{locale:\"is\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Sveinn í Felli <sv1@fellsnet.is>, 2020\",\"Language-Team\":\"Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"is\",\"Plural-Forms\":\"nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nSveinn í Felli <sv1@fellsnet.is>, 2020\\n\"},msgstr:[\"Last-Translator: Sveinn í Felli <sv1@fellsnet.is>, 2020\\nLanguage-Team: Icelandic (https://www.transifex.com/nextcloud/teams/64236/is/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: is\\nPlural-Forms: nplurals=2; plural=(n % 10 != 1 || n % 100 == 11);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (ósýnilegt)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (takmarkað)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Aðgerðir\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Velja\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Loka\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Næsta\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Engar niðurstöður\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Gera hlé á skyggnusýningu\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Fyrri\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Veldu merki\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Stillingar\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Byrja skyggnusýningu\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Get ekki leitað í hópnum\"]}}}}},{locale:\"it\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Random_R, 2020\",\"Language-Team\":\"Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"it\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nRandom_R, 2020\\n\"},msgstr:[\"Last-Translator: Random_R, 2020\\nLanguage-Team: Italian (https://www.transifex.com/nextcloud/teams/64236/it/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: it\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisibile)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (limitato)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Azioni\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Scegli\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Chiudi\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Successivo\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:34\"},msgstr:[\"Nessun risultato\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Presentazione in pausa\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Precedente\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleziona un'etichetta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Impostazioni\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Avvia presentazione\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:138\"},msgstr:[\"Impossibile cercare il gruppo\"]}}}}},{locale:\"ja_JP\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"YANO Tetsu <tetuyano+transi@gmail.com>, 2020\",\"Language-Team\":\"Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ja_JP\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nYANO Tetsu <tetuyano+transi@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: YANO Tetsu <tetuyano+transi@gmail.com>, 2020\\nLanguage-Team: Japanese (Japan) (https://www.transifex.com/nextcloud/teams/64236/ja_JP/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ja_JP\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{タグ} (不可視)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{タグ} (制限付)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"操作\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"選択\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"閉じる\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"次\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"なし\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"スライドショーを一時停止\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"前\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"タグを選択\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"設定\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"スライドショーを開始\"]}}}}},{locale:\"lt_LT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Moo, 2020\",\"Language-Team\":\"Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lt_LT\",\"Plural-Forms\":\"nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nMoo, 2020\\n\"},msgstr:[\"Last-Translator: Moo, 2020\\nLanguage-Team: Lithuanian (Lithuania) (https://www.transifex.com/nextcloud/teams/64236/lt_LT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lt_LT\\nPlural-Forms: nplurals=4; plural=(n % 10 == 1 && (n % 100 > 19 || n % 100 < 11) ? 0 : (n % 10 >= 2 && n % 10 <=9) && (n % 100 > 19 || n % 100 < 11) ? 1 : n % 1 != 0 ? 2: 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (nematoma)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (apribota)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Pasirinkti\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Užverti\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Kitas\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nėra rezultatų\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pristabdyti skaidrių rodymą\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Ankstesnis\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Pasirinkti žymę\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Nustatymai\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pradėti skaidrių rodymą\"]}}}}},{locale:\"lv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"stendec <stendec@inbox.lv>, 2020\",\"Language-Team\":\"Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"lv\",\"Plural-Forms\":\"nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nstendec <stendec@inbox.lv>, 2020\\n\"},msgstr:[\"Last-Translator: stendec <stendec@inbox.lv>, 2020\\nLanguage-Team: Latvian (https://www.transifex.com/nextcloud/teams/64236/lv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: lv\\nPlural-Forms: nplurals=3; plural=(n%10==1 && n%100!=11 ? 0 : n != 0 ? 1 : 2);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (neredzams)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ierobežots)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Izvēlēties\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Aizvērt\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Nākamais\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Nav rezultātu\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Pauzēt slaidrādi\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Iepriekšējais\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Izvēlēties birku\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Iestatījumi\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Sākt slaidrādi\"]}}}}},{locale:\"mk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Сашко Тодоров, 2020\",\"Language-Team\":\"Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"mk\",\"Plural-Forms\":\"nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nСашко Тодоров, 2020\\n\"},msgstr:[\"Last-Translator: Сашко Тодоров, 2020\\nLanguage-Team: Macedonian (https://www.transifex.com/nextcloud/teams/64236/mk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: mk\\nPlural-Forms: nplurals=2; plural=(n % 10 == 1 && n % 100 != 11) ? 0 : 1;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (невидливо)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ограничено)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Избери\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Затвори\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Следно\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Нема резултати\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Пузирај слајдшоу\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Предходно\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Избери ознака\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Параметри\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Стартувај слајдшоу\"]}}}}},{locale:\"nb_NO\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Ole Jakob Brustad <ole.jakob@brustadbuss.no>, 2020\",\"Language-Team\":\"Norwegian Bokmål (Norway) (https://www.transifex.com/nextcloud/teams/64236/nb_NO/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"nb_NO\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nOle Jakob Brustad <ole.jakob@brustadbuss.no>, 2020\\n\"},msgstr:[\"Last-Translator: Ole Jakob Brustad <ole.jakob@brustadbuss.no>, 2020\\nLanguage-Team: Norwegian Bokmål (Norway) (https://www.transifex.com/nextcloud/teams/64236/nb_NO/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: nb_NO\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (usynlig)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (beskyttet)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Handlinger\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Velg\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Lukk\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Neste\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Ingen resultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pause lysbildefremvisning\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Forrige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Velg et merke\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Instillinger\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Start lysbildefremvisning\"]}}}}},{locale:\"nl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Arjan van S, 2020\",\"Language-Team\":\"Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"nl\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nRoeland Jago Douma <roeland@famdouma.nl>, 2020\\nArjan van S, 2020\\n\"},msgstr:[\"Last-Translator: Arjan van S, 2020\\nLanguage-Team: Dutch (https://www.transifex.com/nextcloud/teams/64236/nl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: nl\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (onzichtbaar)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (beperkt)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:196\"},msgstr:[\"Acties\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Kies\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Sluiten\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Volgende\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Geen resultaten\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pauzeer diavoorstelling\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Vorige\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecteer een label\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Instellingen\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Start diavoorstelling\"]}}}}},{locale:\"oc\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Quentin PAGÈS, 2020\",\"Language-Team\":\"Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"oc\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nQuentin PAGÈS, 2020\\n\"},msgstr:[\"Last-Translator: Quentin PAGÈS, 2020\\nLanguage-Team: Occitan (post 1500) (https://www.transifex.com/nextcloud/teams/64236/oc/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: oc\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (limit)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Accions\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Causir\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Tampar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seguent\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Cap de resultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Metre en pausa lo diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Precedent\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Seleccionar una etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Paramètres\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Lançar lo diaporama\"]}}}}},{locale:\"pl\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Valdnet, 2020\",\"Language-Team\":\"Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pl\",\"Plural-Forms\":\"nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nValdnet, 2020\\n\"},msgstr:[\"Last-Translator: Valdnet, 2020\\nLanguage-Team: Polish (https://www.transifex.com/nextcloud/teams/64236/pl/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pl\\nPlural-Forms: nplurals=4; plural=(n==1 ? 0 : (n%10>=2 && n%10<=4) && (n%100<12 || n%100>14) ? 1 : n!=1 && (n%10>=0 && n%10<=1) || (n%10>=5 && n%10<=9) || (n%100>=12 && n%100<=14) ? 2 : 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (niewidoczna)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (ograniczona)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Działania\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Aktywność\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Zwierzęta i natura\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Wybierz\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Zamknij\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Zwyczajne\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Flagi\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Jedzenie i picie\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Często używane\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Następny\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Nie znaleziono emotikonów\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Brak wyników\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Obiekty\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Wstrzymaj pokaz slajdów\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Ludzie i ciało\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Wybierz emoji\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Poprzedni\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Szukaj\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Wyniki wyszukiwania\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Wybierz etykietę\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ustawienia\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Buźki i emotikony\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Rozpocznij pokaz slajdów\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Symbole\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Podróże i miejsca\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Nie można przeszukać grupy\"]}}}}},{locale:\"pt_BR\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Paulo Schopf, 2020\",\"Language-Team\":\"Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_BR\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nMaurício Gardini <accounts@mauriciogardini.com>, 2020\\nPaulo Schopf, 2020\\n\"},msgstr:[\"Last-Translator: Paulo Schopf, 2020\\nLanguage-Team: Portuguese (Brazil) (https://www.transifex.com/nextcloud/teams/64236/pt_BR/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_BR\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisível)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restrito) \"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Ações\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Atividades\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Animais & Natureza\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escolher\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Fechar\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Personalizado\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Bandeiras\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Comida & Bebida\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Mais usados\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Próximo\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Nenhum emoji encontrado\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Sem resultados\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Objetos\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausar apresentação de slides\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Pessoas & Corpo\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Escolha um emoji\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterior\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Pesquisar\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Resultados da pesquisa\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecionar uma tag\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Configurações\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Smiles & Emoções\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Iniciar apresentação de slides\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Símbolo\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Viagem & Lugares\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Não foi possível pesquisar o grupo\"]}}}}},{locale:\"pt_PT\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Manuela Silva <manuelarodsilva@gmail.com>, 2020\",\"Language-Team\":\"Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"pt_PT\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nfpapoila <fpapoila@gmail.com>, 2020\\nManuela Silva <manuelarodsilva@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Manuela Silva <manuelarodsilva@gmail.com>, 2020\\nLanguage-Team: Portuguese (Portugal) (https://www.transifex.com/nextcloud/teams/64236/pt_PT/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: pt_PT\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisivel)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restrito)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Ações\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Escolher\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Fechar\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Seguinte\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Sem resultados\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausar diaporama\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Anterior\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Selecionar uma etiqueta\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Definições\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Iniciar diaporama\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Não é possível pesquisar o grupo\"]}}}}},{locale:\"ru\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Alex <kekcuha@gmail.com>, 2020\",\"Language-Team\":\"Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"ru\",\"Plural-Forms\":\"nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nAlex <kekcuha@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Alex <kekcuha@gmail.com>, 2020\\nLanguage-Team: Russian (https://www.transifex.com/nextcloud/teams/64236/ru/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: ru\\nPlural-Forms: nplurals=4; plural=(n%10==1 && n%100!=11 ? 0 : n%10>=2 && n%10<=4 && (n%100<12 || n%100>14) ? 1 : n%10==0 || (n%10>=5 && n%10<=9) || (n%100>=11 && n%100<=14)? 2 : 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (невидимое)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (ограниченное)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Выберите\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"Закрыть\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"Следующее\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Результаты отсуствуют\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Приостановить показ слйдов\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"Предыдущее\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Выберите метку\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Параметры\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"Начать показ слайдов\"]}}}}},{locale:\"sk_SK\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Anton Kuchár <tonokuc@pobox.sk>, 2020\",\"Language-Team\":\"Slovak (Slovakia) (https://www.transifex.com/nextcloud/teams/64236/sk_SK/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sk_SK\",\"Plural-Forms\":\"nplurals=4; plural=(n % 1 == 0 && n == 1 ? 0 : n % 1 == 0 && n >= 2 && n <= 4 ? 1 : n % 1 != 0 ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nAnton Kuchár <tonokuc@pobox.sk>, 2020\\n\"},msgstr:[\"Last-Translator: Anton Kuchár <tonokuc@pobox.sk>, 2020\\nLanguage-Team: Slovak (Slovakia) (https://www.transifex.com/nextcloud/teams/64236/sk_SK/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sk_SK\\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n == 1 ? 0 : n % 1 == 0 && n >= 2 && n <= 4 ? 1 : n % 1 != 0 ? 2: 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (neviditeľný)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (obmedzený)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:249\"},msgstr:[\"Akcie\"]},Activities:{msgid:\"Activities\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:176\"},msgstr:[\"Aktivity\"]},\"Animals & Nature\":{msgid:\"Animals & Nature\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:174\"},msgstr:[\"Zvieratá a príroda\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Vybrať\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Zatvoriť\"]},Custom:{msgid:\"Custom\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:181\"},msgstr:[\"Zvyk\"]},Flags:{msgid:\"Flags\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:180\"},msgstr:[\"Vlajky\"]},\"Food & Drink\":{msgid:\"Food & Drink\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:175\"},msgstr:[\"Jedlo a nápoje\"]},\"Frequently used\":{msgid:\"Frequently used\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:171\"},msgstr:[\"Často používané\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Ďalší\"]},\"No emoji found\":{msgid:\"No emoji found\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:168\"},msgstr:[\"Nenašli sa žiadne emodži\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Žiadne výsledky\"]},Objects:{msgid:\"Objects\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:178\"},msgstr:[\"Objekty\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pozastaviť prezentáciu\"]},\"People & Body\":{msgid:\"People & Body\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:173\"},msgstr:[\"Ľudia a telo\"]},\"Pick an emoji\":{msgid:\"Pick an emoji\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:153\"},msgstr:[\"Vyberte si emodži\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Predchádzajúci\"]},Search:{msgid:\"Search\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:167\"},msgstr:[\"Hľadať\"]},\"Search results\":{msgid:\"Search results\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:170\"},msgstr:[\"Výsledky vyhľadávania\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Vybrať štítok\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Nastavenia\"]},\"Smileys & Emotion\":{msgid:\"Smileys & Emotion\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:172\"},msgstr:[\"Smajlíky a emócie\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Začať prezentáciu\"]},Symbols:{msgid:\"Symbols\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:179\"},msgstr:[\"Symboly\"]},\"Travel & Places\":{msgid:\"Travel & Places\",comments:{reference:\"src/components/EmojiPicker/EmojiPicker.vue:177\"},msgstr:[\"Cestovanie a miesta\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Skupinu sa nepodarilo nájsť\"]}}}}},{locale:\"sv\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Jonatan Nyberg, 2020\",\"Language-Team\":\"Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"sv\",\"Plural-Forms\":\"nplurals=2; plural=(n != 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nGabriel Ekström <gabriel.ekstrom06@gmail.com>, 2020\\nErik Lennartsson, 2020\\nJonatan Nyberg, 2020\\n\"},msgstr:[\"Last-Translator: Jonatan Nyberg, 2020\\nLanguage-Team: Swedish (https://www.transifex.com/nextcloud/teams/64236/sv/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: sv\\nPlural-Forms: nplurals=2; plural=(n != 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (osynlig)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (begränsad)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:194\"},msgstr:[\"Åtgärder\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Välj\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Stäng\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Nästa\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Inga resultat\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Pausa bildspel\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Föregående\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Välj en tag\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Inställningar\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Starta bildspel\"]}}}}},{locale:\"tr\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\",\"Language-Team\":\"Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"tr\",\"Plural-Forms\":\"nplurals=2; plural=(n > 1);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nKemal Oktay Aktoğan <oktayaktogan@gmail.com>, 2020\\nabc Def <hdogan1974@gmail.com>, 2020\\nHüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\\n\"},msgstr:[\"Last-Translator: Hüseyin Fahri Uzun <mail@fahriuzun.com>, 2020\\nLanguage-Team: Turkish (https://www.transifex.com/nextcloud/teams/64236/tr/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: tr\\nPlural-Forms: nplurals=2; plural=(n > 1);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (görünmez)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (kısıtlı)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"Eylemler\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Seç\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Kapat\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Sonraki\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"Sonuçlar yok\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Slayt gösterisini duraklat\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Önceki\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Bir etiket seçin\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Ayarlar\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Slayt gösterisini başlat\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"Grupta arama yapılamıyor\"]}}}}},{locale:\"uk\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\",\"Language-Team\":\"Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"uk\",\"Plural-Forms\":\"nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nOleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: Oleksa Stasevych <oleksiy.stasevych@gmail.com>, 2020\\nLanguage-Team: Ukrainian (https://www.transifex.com/nextcloud/teams/64236/uk/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: uk\\nPlural-Forms: nplurals=4; plural=(n % 1 == 0 && n % 10 == 1 && n % 100 != 11 ? 0 : n % 1 == 0 && n % 10 >= 2 && n % 10 <= 4 && (n % 100 < 12 || n % 100 > 14) ? 1 : n % 1 == 0 && (n % 10 ==0 || (n % 10 >=5 && n % 10 <=9) || (n % 100 >=11 && n % 100 <=14 )) ? 2: 3);\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (invisible)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (restricted)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:197\"},msgstr:[\"Дії\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"Виберіть\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"Закрити\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"Вперед\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:172\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"Відсутні результати\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Пауза у показі слайдів\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"Назад\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"Виберіть позначку\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"Налаштування\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"Почати показ слайдів\"]}}}}},{locale:\"zh_CN\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"Pascal Janus <pascal_janus@163.com>, 2020\",\"Language-Team\":\"Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_CN\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"\\nTranslators:\\nSleepyJesse <Jesse_Xu@live.com>, 2020\\nJianming Liang <fuufuukun@163.com>, 2020\\nPascal Janus <pascal_janus@163.com>, 2020\\n\"},msgstr:[\"Last-Translator: Pascal Janus <pascal_janus@163.com>, 2020\\nLanguage-Team: Chinese (China) (https://www.transifex.com/nextcloud/teams/64236/zh_CN/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_CN\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:170\"},msgstr:[\"{tag} (不可见)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:173\"},msgstr:[\"{tag} (受限)\"]},Actions:{msgid:\"Actions\",comments:{reference:\"src/components/Actions/Actions.vue:247\"},msgstr:[\"行为\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"选择\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:117\"},msgstr:[\"关闭\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:166\"},msgstr:[\"下一个\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:174\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\\nsrc/components/SettingsSelectGroup/SettingsSelectGroup.vue:38\"},msgstr:[\"无结果\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"暂停幻灯片\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:144\"},msgstr:[\"上一个\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"选择一个标签\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"设置\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:302\"},msgstr:[\"开始幻灯片\"]},\"Unable to search the group\":{msgid:\"Unable to search the group\",comments:{reference:\"src/components/SettingsSelectGroup/SettingsSelectGroup.vue:143\"},msgstr:[\"无法搜索分组\"]}}}}},{locale:\"zh_TW\",json:{charset:\"utf-8\",headers:{\"Last-Translator\":\"byStarTW (pan93412) <pan93412@gmail.com>, 2020\",\"Language-Team\":\"Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\",\"Content-Type\":\"text/plain; charset=UTF-8\",Language:\"zh_TW\",\"Plural-Forms\":\"nplurals=1; plural=0;\"},translations:{\"\":{\"\":{msgid:\"\",comments:{translator:\"Translators:\\nbyStarTW (pan93412) <pan93412@gmail.com>, 2020\\n\"},msgstr:[\"Last-Translator: byStarTW (pan93412) <pan93412@gmail.com>, 2020\\nLanguage-Team: Chinese (Taiwan) (https://www.transifex.com/nextcloud/teams/64236/zh_TW/)\\nContent-Type: text/plain; charset=UTF-8\\nLanguage: zh_TW\\nPlural-Forms: nplurals=1; plural=0;\\n\"]},\"{tag} (invisible)\":{msgid:\"{tag} (invisible)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:169\"},msgstr:[\"{tag} (隱藏)\"]},\"{tag} (restricted)\":{msgid:\"{tag} (restricted)\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:172\"},msgstr:[\"{tag} (受限)\"]},Choose:{msgid:\"Choose\",comments:{reference:\"src/components/ColorPicker/ColorPicker.vue:145\"},msgstr:[\"選擇\"]},Close:{msgid:\"Close\",comments:{reference:\"src/components/Modal/Modal.vue:109\"},msgstr:[\"關閉\"]},Next:{msgid:\"Next\",comments:{reference:\"src/components/Modal/Modal.vue:154\"},msgstr:[\"下一個\"]},\"No results\":{msgid:\"No results\",comments:{reference:\"src/components/Multiselect/Multiselect.vue:169\\nsrc/components/MultiselectTags/MultiselectTags.vue:78\"},msgstr:[\"無結果\"]},\"Pause slideshow\":{msgid:\"Pause slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"暫停幻燈片\"]},Previous:{msgid:\"Previous\",comments:{reference:\"src/components/Modal/Modal.vue:134\"},msgstr:[\"上一個\"]},\"Select a tag\":{msgid:\"Select a tag\",comments:{reference:\"src/components/MultiselectTags/MultiselectTags.vue:100\"},msgstr:[\"選擇標籤\"]},Settings:{msgid:\"Settings\",comments:{reference:\"src/components/AppNavigationSettings/AppNavigationSettings.vue:53\"},msgstr:[\"設定\"]},\"Start slideshow\":{msgid:\"Start slideshow\",comments:{reference:\"src/components/Modal/Modal.vue:290\"},msgstr:[\"開始幻燈片\"]}}}}}].map((function(e){return o.addTranslation(e.locale,e.json)}));var r=o.build(),a=r.ngettext.bind(r),i=r.gettext.bind(r)},30:function(e,t,s){\"use strict\";s(19),s(5),s(20),s(21),s(27);var n=s(24),o=(s(14),function(e,t){for(var s=e.$parent;s;){if(s.$options.name===t)return s;s=s.$parent}});t.a={mixins:[n.a],props:{icon:{type:String,default:\"\"},title:{type:String,default:\"\"},closeAfterClick:{type:Boolean,default:!1},ariaLabel:{type:String,default:\"\"}},computed:{isIconUrl:function(){try{return new URL(this.icon)}catch(e){return!1}}},methods:{onClick:function(e){if(this.$emit(\"click\",e),this.closeAfterClick){var t=o(this,\"Actions\");t&&t.closeMenu&&t.closeMenu()}}}}},31:function(e,t){e.exports=require(\"core-js/modules/es.string.replace\")},32:function(e,t,s){var n=s(83);\"string\"==typeof n&&(n=[[e.i,n,\"\"]]),n.locals&&(e.exports=n.locals);(0,s(2).default)(\"3ff88b8a\",n,!0,{})},36:function(e,t,s){\"use strict\";s(22),s(15),s(81),s(14);var n=s(6),o=s.n(n);t.a=function(e,t,s){if(void 0!==e)for(var n=e.length-1;n>=0;n--){var r=e[n],a=!r.componentOptions&&r.tag&&-1===t.indexOf(r.tag),i=!!r.componentOptions&&\"string\"==typeof r.componentOptions.tag,c=i&&-1===t.indexOf(r.componentOptions.tag);(a||!i||c)&&((a||c)&&o.a.util.warn(\"\".concat(a?r.tag:r.componentOptions.tag,\" is not allowed inside the \").concat(s.$options.name,\" component\"),s),e.splice(n,1))}}},39:function(e,t){e.exports=require(\"core-js/modules/es.array.filter\")},4:function(e,t){e.exports=require(\"v-tooltip\")},40:function(e,t){},42:function(e,t,s){\"use strict\";s.r(t);var n=s(51);\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.default=n.a},43:function(e,t,s){var n=s(44);\"string\"==typeof n&&(n=[[e.i,n,\"\"]]),n.locals&&(e.exports=n.locals);(0,s(2).default)(\"941c791e\",n,!0,{})},44:function(e,t,s){(t=s(1)(!1)).push([e.i,\".vue-tooltip[data-v-91c9b6f]{position:absolute;z-index:100000;right:auto;left:auto;display:block;margin:0;margin-top:-3px;padding:10px 0;text-align:left;text-align:start;opacity:0;line-height:1.6;line-break:auto;filter:drop-shadow(0 1px 10px var(--color-box-shadow))}.vue-tooltip[data-v-91c9b6f][x-placement^='top'] .tooltip-arrow{bottom:0;margin-top:0;margin-bottom:0;border-width:10px 10px 0 10px;border-right-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='bottom'] .tooltip-arrow{top:0;margin-top:0;margin-bottom:0;border-width:0 10px 10px 10px;border-top-color:transparent;border-right-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='right'] .tooltip-arrow{right:100%;margin-right:0;margin-left:0;border-width:10px 10px 10px 0;border-top-color:transparent;border-bottom-color:transparent;border-left-color:transparent}.vue-tooltip[data-v-91c9b6f][x-placement^='left'] .tooltip-arrow{left:100%;margin-right:0;margin-left:0;border-width:10px 0 10px 10px;border-top-color:transparent;border-right-color:transparent;border-bottom-color:transparent}.vue-tooltip[data-v-91c9b6f][aria-hidden='true']{visibility:hidden;transition:opacity .15s, visibility .15s;opacity:0}.vue-tooltip[data-v-91c9b6f][aria-hidden='false']{visibility:visible;transition:opacity .15s;opacity:1}.vue-tooltip[data-v-91c9b6f] .tooltip-inner{max-width:350px;padding:5px 8px;text-align:center;color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background)}.vue-tooltip[data-v-91c9b6f] .tooltip-arrow{position:absolute;z-index:1;width:0;height:0;margin:0;border-style:solid;border-color:var(--color-main-background)}\\n\",\"\"]),e.exports=t},5:function(e,t){e.exports=require(\"core-js/modules/es.object.to-string\")},51:function(e,t,s){\"use strict\";s(22),s(39),s(15);var n=s(16),o=s(13),r=s(18),a=(s(80),function(e){var t=e.getBoundingClientRect(),s=document.documentElement.clientHeight,n=document.documentElement.clientWidth,o=Object.assign({});return o.top=t.top<0,o.left=t.left<0,o.bottom=t.bottom>s,o.right=t.right>n,o.any=o.top||o.left||o.bottom||o.right,o.all=o.top&&o.left&&o.bottom&&o.right,o.offsetY=o.top?t.top:o.bottom?t.bottom-s:0,o.offsetX=o.left?t.left:o.right?t.right-n:0,o}),i=s(36),c=s(3),A=[\"ActionButton\",\"ActionCheckbox\",\"ActionInput\",\"ActionLink\",\"ActionRadio\",\"ActionRouter\",\"ActionSeparator\",\"ActionText\",\"ActionTextEditable\"],m={name:\"Actions\",directives:{ClickOutside:n.directive,tooltip:o.default},props:{open:{type:Boolean,default:!1},forceMenu:{type:Boolean,default:!1},menuAlign:{type:String,default:\"center\",validator:function(e){return[\"left\",\"center\",\"right\"].indexOf(e)>-1}},menuTitle:{type:String,default:null},primary:{type:Boolean,default:!1},defaultIcon:{type:String,default:\"action-item__menutoggle--default-icon\"},ariaLabel:{type:String,default:Object(c.b)(\"Actions\")}},data:function(){return{actions:[],opened:this.open,focusIndex:0,randomId:\"menu-\"+Object(r.a)(),offsetX:0,offsetY:0,offsetYArrow:0,rotateArrow:!1,children:this.$children}},computed:{hasMultipleActions:function(){return this.actions.length>1},isValidSingleAction:function(){return 1===this.actions.length&&null!==this.firstActionElement},firstActionVNode:function(){return this.actions[0]},firstAction:function(){return this.children[0]?this.children[0]:{}},firstActionBinding:function(){if(this.firstActionVNode&&this.firstActionVNode.componentOptions){var e=this.firstActionVNode.componentOptions.tag;if(\"ActionLink\"===e)return{is:\"a\",href:this.firstAction.href,target:this.firstAction.target,\"aria-label\":this.firstAction.ariaLabel};if(\"ActionRouter\"===e)return{is:\"router-link\",to:this.firstAction.to,exact:this.firstAction.exact,\"aria-label\":this.firstAction.ariaLabel};if(\"ActionButton\"===e)return{is:\"button\",\"aria-label\":this.firstAction.ariaLabel}}return null},firstActionEvent:function(){return this.firstActionVNode&&this.firstActionVNode.componentOptions&&this.firstActionVNode.componentOptions.listeners&&this.firstActionVNode.componentOptions.listeners.click},firstActionEventBinding:function(){return this.firstActionEvent?\"click\":null},firstActionClass:function(){var e=this.firstActionVNode&&this.firstActionVNode.data.staticClass,t=this.firstActionVNode&&this.firstActionVNode.data.class;return\"\".concat(e,\" \").concat(t)}},watch:{open:function(e){var t=this;this.opened=e,this.opened&&this.$nextTick((function(){t.onOpen()}))}},beforeMount:function(){this.initActions(),Object(i.a)(this.$slots.default,A,this)},beforeUpdate:function(){this.initActions(),Object(i.a)(this.$slots.default,A,this)},methods:{toggleMenu:function(e){var t=this;this.opened=\"boolean\"==typeof e?e:!this.opened,this.opened?(this.$nextTick((function(){t.onOpen()})),this.$emit(\"open\")):(this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1,this.$emit(\"close\")),this.$emit(\"update:open\",this.opened)},closeMenu:function(e){this.opened&&(this.$emit(\"update:open\",!1),this.$emit(\"close\"),this.opened=!1,this.focusIndex=0,this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1)},onOpen:function(){this.offsetX=0,this.offsetY=0,this.offsetYArrow=0,this.rotateArrow=!1;var e=a(this.$refs.menu);e.bottom&&(this.offsetY=0-Math.round(this.$refs.menu.clientHeight)-42,this.offsetYArrow=Math.round(this.$refs.menu.clientHeight)+18,this.rotateArrow=!0),\"center\"===this.menuAlign&&(e.left||e.right)&&(this.offsetX=e.offsetX>0?Math.round(e.offsetX)+5:Math.round(e.offsetX)-5)},onMouseFocusAction:function(e){if(document.activeElement!==e.target){var t=e.target.closest(\"li\");if(t){var s=t.querySelector(\".focusable\");if(s){var n=this.$refs.fullmenu.querySelectorAll(\".focusable\"),o=Array.prototype.indexOf.call(n,s);o>-1&&(this.focusIndex=o,this.focusAction())}}}},removeCurrentActive:function(){var e=this.$refs.fullmenu.querySelector(\"li.active\");e&&e.classList.remove(\"active\")},focusAction:function(){var e=this.$refs.fullmenu.querySelectorAll(\".focusable\")[this.focusIndex];if(e){this.removeCurrentActive();var t=e.closest(\"li.action\");e.focus(),t&&t.classList.add(\"active\")}},focusPreviousAction:function(e){this.opened&&(0===this.focusIndex?this.closeMenu():(e.preventDefault(),this.focusIndex=this.focusIndex-1),this.focusAction())},focusNextAction:function(e){if(this.opened){var t=this.$refs.fullmenu.querySelectorAll(\".focusable\").length-1;this.focusIndex===t?this.closeMenu():(e.preventDefault(),this.focusIndex=this.focusIndex+1),this.focusAction()}},focusFirstAction:function(e){this.opened&&(e.preventDefault(),this.focusIndex=0,this.focusAction())},focusLastAction:function(e){this.opened&&(e.preventDefault(),this.focusIndex=this.$el.querySelectorAll(\".focusable\").length-1,this.focusAction())},execFirstAction:function(e){this.firstActionEvent&&this.firstActionEvent(e)},initActions:function(){this.actions=(this.$slots.default||[]).filter((function(e){return!!e&&!!e.componentOptions}))}}},l=(s(82),s(0)),g=s(40),u=s.n(g),d=Object(l.a)(m,(function(){var e,t=this,s=t.$createElement,n=t._self._c||s;return t.isValidSingleAction&&!t.forceMenu?n(\"element\",t._b({directives:[{name:\"tooltip\",rawName:\"v-tooltip.auto\",value:t.firstAction.text,expression:\"firstAction.text\",modifiers:{auto:!0}}],staticClass:\"action-item action-item--single\",class:[t.firstAction.icon,t.firstActionClass],attrs:{rel:\"noreferrer noopener\"},on:t._d({},[t.firstActionEventBinding,t.execFirstAction])},\"element\",t.firstActionBinding,!1),[n(\"span\",{attrs:{\"aria-hidden\":!0,hidden:\"\"}},[t._t(\"default\")],2)]):n(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:t.hasMultipleActions||t.forceMenu,expression:\"hasMultipleActions || forceMenu\"},{name:\"click-outside\",rawName:\"v-click-outside\",value:t.closeMenu,expression:\"closeMenu\"}],ref:\"fullmenu\",staticClass:\"action-item\",class:{\"action-item--open\":t.opened},on:{keydown:[function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"up\",38,e.key,[\"Up\",\"ArrowUp\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusPreviousAction(e)},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"down\",40,e.key,[\"Down\",\"ArrowDown\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusNextAction(e)},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"tab\",9,e.key,\"Tab\")?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusNextAction(e)},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"tab\",9,e.key,\"Tab\")?null:e.shiftKey?e.ctrlKey||e.altKey||e.metaKey?null:t.focusPreviousAction(e):null},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"page-up\",void 0,e.key,void 0)?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusFirstAction(e)},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"page-down\",void 0,e.key,void 0)?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:t.focusLastAction(e)},function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"esc\",27,e.key,[\"Esc\",\"Escape\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.closeMenu(e))}]}},[n(\"button\",{staticClass:\"icon action-item__menutoggle focusable\",class:(e={},e[t.defaultIcon]=!0,e[\"action-item__menutoggle--with-title\"]=t.menuTitle,e[\"action-item__menutoggle--primary\"]=t.primary,e),attrs:{\"aria-label\":t.ariaLabel,\"aria-haspopup\":\"true\",\"aria-controls\":t.randomId,\"aria-expanded\":t.opened},on:{click:function(e){return e.preventDefault(),t.toggleMenu(e)},keyup:function(e){return!e.type.indexOf(\"key\")&&t._k(e.keyCode,\"space\",32,e.key,[\" \",\"Spacebar\"])?null:e.ctrlKey||e.shiftKey||e.altKey||e.metaKey?null:(e.preventDefault(),t.toggleMenu(e))}}},[t._v(\"\\n\\t\\t\"+t._s(t.menuTitle)+\"\\n\\t\")]),t._v(\" \"),n(\"div\",{directives:[{name:\"show\",rawName:\"v-show\",value:t.opened,expression:\"opened\"}],ref:\"menu\",staticClass:\"action-item__menu\",class:[\"menu-\"+t.menuAlign,{open:t.opened}],style:{marginRight:t.offsetX+\"px\",marginTop:t.offsetY+\"px\"},attrs:{tabindex:\"-1\"},on:{mousemove:t.onMouseFocusAction}},[n(\"div\",{staticClass:\"action-item__menu_arrow\",style:{transform:\"translateX(\"+t.offsetX+\"px) translateY(\"+t.offsetYArrow+\"px) \"+(t.rotateArrow?\" rotate(180deg)\":\"\")}}),t._v(\" \"),n(\"ul\",{attrs:{id:t.randomId,tabindex:\"-1\"}},[t.opened?[t._t(\"default\")]:t._e()],2)])])}),[],!1,null,\"03498935\",null);\"function\"==typeof u.a&&u()(d);t.a=d.exports},54:function(e,t,s){\"use strict\";\n/**\n * @copyright Copyright (c) 2018 John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @author John Molakvoæ <skjnldsv@protonmail.com>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */t.a=function(e){e.mounted?Array.isArray(e.mounted)||(e.mounted=[e.mounted]):e.mounted=[],e.mounted.push((function(){this.$el.setAttribute(\"data-v-\".concat(\"91c9b6f\"),\"\")}))}},58:function(e,t,s){var n=s(145);\"string\"==typeof n&&(n=[[e.i,n,\"\"]]),n.locals&&(e.exports=n.locals);(0,s(2).default)(\"0ac54828\",n,!0,{})},6:function(e,t){e.exports=require(\"vue\")},69:function(e,t){},7:function(e,t){e.exports=require(\"core-js/modules/es.array.map\")},8:function(e,t,s){\"use strict\";e.exports=function(e,t){return t||(t={}),\"string\"!=typeof(e=e&&e.__esModule?e.default:e)?e:(/^['\"].*['\"]$/.test(e)&&(e=e.slice(1,-1)),t.hash&&(e+=t.hash),/[\"'() \\t\\n]/.test(e)||t.needQuotes?'\"'.concat(e.replace(/\"/g,'\\\\\"').replace(/\\n/g,\"\\\\n\"),'\"'):e)}},80:function(e,t){e.exports=require(\"core-js/modules/es.object.assign\")},81:function(e,t){e.exports=require(\"core-js/modules/es.array.splice\")},82:function(e,t,s){\"use strict\";var n=s(32);s.n(n).a},83:function(e,t,s){var n=s(1),o=s(8),r=s(9),a=s(10),i=s(11),c=s(12);t=n(!1);var A=o(r),m=o(a),l=o(i),g=o(c);t.push([e.i,'@font-face{font-family:\"iconfont-vue-91c9b6f\";src:url('+A+\");src:url(\"+A+') format(\"embedded-opentype\"),url('+m+') format(\"woff\"),url('+l+') format(\"truetype\"),url('+g+') format(\"svg\")}.icon[data-v-03498935]{font-style:normal;font-weight:400}.icon.arrow-left-double[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-left[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-right-double[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.arrow-right[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.breadcrumb[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.checkmark[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.close[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.confirm[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.info[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.menu[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.more[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.pause[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.play[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.icon.triangle-s[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";content:\"\"}.action-item[data-v-03498935]{position:relative;display:inline-block}.action-item--single[data-v-03498935]:hover,.action-item--single[data-v-03498935]:focus,.action-item--single[data-v-03498935]:active,.action-item__menutoggle[data-v-03498935]:hover,.action-item__menutoggle[data-v-03498935]:focus,.action-item__menutoggle[data-v-03498935]:active{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item.action-item--open .action-item__menutoggle[data-v-03498935]{opacity:1;background-color:rgba(127,127,127,0.25)}.action-item--single[data-v-03498935],.action-item__menutoggle[data-v-03498935]{box-sizing:border-box;width:auto;min-width:44px;height:44px;margin:0;padding:14px;cursor:pointer;border:none;background-color:transparent}.action-item__menutoggle[data-v-03498935]{display:flex;align-items:center;justify-content:center;opacity:.7;border-radius:22px;font-weight:bold;line-height:16px}.action-item__menutoggle[data-v-03498935]:before{content:\\'\\'}.action-item__menutoggle--default-icon[data-v-03498935]:before{font-family:\"iconfont-vue-91c9b6f\";font-style:normal;font-weight:400;content:\"\"}.action-item__menutoggle--default-icon[data-v-03498935]::before{font-size:16px}.action-item__menutoggle--with-title[data-v-03498935]{position:relative;padding-left:44px;white-space:nowrap;opacity:1;border:1px solid var(--color-border-dark);background-color:var(--color-background-dark);background-position:14px center;font-size:inherit}.action-item__menutoggle--with-title[data-v-03498935]:before{position:absolute;top:14px;left:14px}.action-item__menutoggle--primary[data-v-03498935]{opacity:1;color:var(--color-primary-text);border:none;background-color:var(--color-primary-element)}.action-item--open .action-item__menutoggle--primary[data-v-03498935],.action-item__menutoggle--primary[data-v-03498935]:hover,.action-item__menutoggle--primary[data-v-03498935]:focus,.action-item__menutoggle--primary[data-v-03498935]:active{color:var(--color-primary-text) !important;background-color:var(--color-primary-element-light) !important}.action-item--single[data-v-03498935]{opacity:.7}.action-item--single[data-v-03498935]:hover,.action-item--single[data-v-03498935]:focus,.action-item--single[data-v-03498935]:active{opacity:1}.action-item--single>[hidden][data-v-03498935]{display:none}.action-item--multiple[data-v-03498935]{position:relative}.action-item__menu[data-v-03498935]{position:absolute;z-index:110;right:50%;display:none;margin-top:-5px;margin-bottom:10px;transform:translateX(50%);color:var(--color-main-text);border-radius:var(--border-radius);background-color:var(--color-main-background);filter:drop-shadow(0 1px 3px var(--color-box-shadow))}.action-item__menu ul[data-v-03498935]>:not(li){display:none}.action-item__menu.open[data-v-03498935]{display:block}.action-item__menu .action-item__menu_arrow[data-v-03498935]{position:absolute;right:50%;bottom:100%;width:0;height:0;margin-right:-9px;content:\\' \\';pointer-events:none;border:solid transparent;border-width:9px;border-bottom-color:var(--color-main-background)}.action-item__menu.menu-right[data-v-03498935]{right:0;left:auto;transform:none}.action-item__menu.menu-right .action-item__menu_arrow[data-v-03498935]{right:13px;margin-right:0}.action-item__menu.menu-left[data-v-03498935]{right:auto;left:0;transform:none}.action-item__menu.menu-left .action-item__menu_arrow[data-v-03498935]{right:auto;left:13px;margin-right:0}.ie .action-item__menu[data-v-03498935],.ie .action-item__menu .action-item__menu_arrow[data-v-03498935],.edge .action-item__menu[data-v-03498935],.edge .action-item__menu .action-item__menu_arrow[data-v-03498935]{border:1px solid var(--color-border)}\\n',\"\"]),e.exports=t},9:function(e,t,s){\"use strict\";s.r(t),t.default=\"data:application/vnd.ms-fontobject;base64,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\"},92:function(e,t,s){\"use strict\";var n={name:\"ActionButton\",mixins:[s(30).a],props:{disabled:{type:Boolean,default:!1}},computed:{isFocusable:function(){return!this.disabled}}},o=(s(144),s(0)),r=s(69),a=s.n(r),i=Object(o.a)(n,(function(){var e=this,t=e.$createElement,s=e._self._c||t;return s(\"li\",{staticClass:\"action\",class:{\"action--disabled\":e.disabled}},[s(\"button\",{staticClass:\"action-button\",class:{focusable:e.isFocusable},attrs:{\"aria-label\":e.ariaLabel},on:{click:e.onClick}},[s(\"span\",{staticClass:\"action-button__icon\",class:[e.isIconUrl?\"action-button__icon--url\":e.icon],style:{backgroundImage:e.isIconUrl?\"url(\"+e.icon+\")\":null}}),e._v(\" \"),e.title?s(\"p\",[s(\"strong\",{staticClass:\"action-button__title\"},[e._v(\"\\n\\t\\t\\t\\t\"+e._s(e.title)+\"\\n\\t\\t\\t\")]),e._v(\" \"),s(\"br\"),e._v(\" \"),s(\"span\",{staticClass:\"action-button__longtext\",domProps:{textContent:e._s(e.text)}})]):e.isLongText?s(\"p\",{staticClass:\"action-button__longtext\",domProps:{textContent:e._s(e.text)}}):s(\"span\",{staticClass:\"action-button__text\"},[e._v(e._s(e.text))]),e._v(\" \"),e._e()],2)])}),[],!1,null,\"51ef2a86\",null);\"function\"==typeof a.a&&a()(i);t.a=i.exports}})}));\n//# sourceMappingURL=Modal.js.map","/*! Hammer.JS - v2.0.7 - 2016-04-22\n * http://hammerjs.github.io/\n *\n * Copyright (c) 2016 Jorik Tangelder;\n * Licensed under the MIT license */\n(function(window, document, exportName, undefined) {\n 'use strict';\n\nvar VENDOR_PREFIXES = ['', 'webkit', 'Moz', 'MS', 'ms', 'o'];\nvar TEST_ELEMENT = document.createElement('div');\n\nvar TYPE_FUNCTION = 'function';\n\nvar round = Math.round;\nvar abs = Math.abs;\nvar now = Date.now;\n\n/**\n * set a timeout with a given scope\n * @param {Function} fn\n * @param {Number} timeout\n * @param {Object} context\n * @returns {number}\n */\nfunction setTimeoutContext(fn, timeout, context) {\n return setTimeout(bindFn(fn, context), timeout);\n}\n\n/**\n * if the argument is an array, we want to execute the fn on each entry\n * if it aint an array we don't want to do a thing.\n * this is used by all the methods that accept a single and array argument.\n * @param {*|Array} arg\n * @param {String} fn\n * @param {Object} [context]\n * @returns {Boolean}\n */\nfunction invokeArrayArg(arg, fn, context) {\n if (Array.isArray(arg)) {\n each(arg, context[fn], context);\n return true;\n }\n return false;\n}\n\n/**\n * walk objects and arrays\n * @param {Object} obj\n * @param {Function} iterator\n * @param {Object} context\n */\nfunction each(obj, iterator, context) {\n var i;\n\n if (!obj) {\n return;\n }\n\n if (obj.forEach) {\n obj.forEach(iterator, context);\n } else if (obj.length !== undefined) {\n i = 0;\n while (i < obj.length) {\n iterator.call(context, obj[i], i, obj);\n i++;\n }\n } else {\n for (i in obj) {\n obj.hasOwnProperty(i) && iterator.call(context, obj[i], i, obj);\n }\n }\n}\n\n/**\n * wrap a method with a deprecation warning and stack trace\n * @param {Function} method\n * @param {String} name\n * @param {String} message\n * @returns {Function} A new function wrapping the supplied method.\n */\nfunction deprecate(method, name, message) {\n var deprecationMessage = 'DEPRECATED METHOD: ' + name + '\\n' + message + ' AT \\n';\n return function() {\n var e = new Error('get-stack-trace');\n var stack = e && e.stack ? e.stack.replace(/^[^\\(]+?[\\n$]/gm, '')\n .replace(/^\\s+at\\s+/gm, '')\n .replace(/^Object.<anonymous>\\s*\\(/gm, '{anonymous}()@') : 'Unknown Stack Trace';\n\n var log = window.console && (window.console.warn || window.console.log);\n if (log) {\n log.call(window.console, deprecationMessage, stack);\n }\n return method.apply(this, arguments);\n };\n}\n\n/**\n * extend object.\n * means that properties in dest will be overwritten by the ones in src.\n * @param {Object} target\n * @param {...Object} objects_to_assign\n * @returns {Object} target\n */\nvar assign;\nif (typeof Object.assign !== 'function') {\n assign = function assign(target) {\n if (target === undefined || target === null) {\n throw new TypeError('Cannot convert undefined or null to object');\n }\n\n var output = Object(target);\n for (var index = 1; index < arguments.length; index++) {\n var source = arguments[index];\n if (source !== undefined && source !== null) {\n for (var nextKey in source) {\n if (source.hasOwnProperty(nextKey)) {\n output[nextKey] = source[nextKey];\n }\n }\n }\n }\n return output;\n };\n} else {\n assign = Object.assign;\n}\n\n/**\n * extend object.\n * means that properties in dest will be overwritten by the ones in src.\n * @param {Object} dest\n * @param {Object} src\n * @param {Boolean} [merge=false]\n * @returns {Object} dest\n */\nvar extend = deprecate(function extend(dest, src, merge) {\n var keys = Object.keys(src);\n var i = 0;\n while (i < keys.length) {\n if (!merge || (merge && dest[keys[i]] === undefined)) {\n dest[keys[i]] = src[keys[i]];\n }\n i++;\n }\n return dest;\n}, 'extend', 'Use `assign`.');\n\n/**\n * merge the values from src in the dest.\n * means that properties that exist in dest will not be overwritten by src\n * @param {Object} dest\n * @param {Object} src\n * @returns {Object} dest\n */\nvar merge = deprecate(function merge(dest, src) {\n return extend(dest, src, true);\n}, 'merge', 'Use `assign`.');\n\n/**\n * simple class inheritance\n * @param {Function} child\n * @param {Function} base\n * @param {Object} [properties]\n */\nfunction inherit(child, base, properties) {\n var baseP = base.prototype,\n childP;\n\n childP = child.prototype = Object.create(baseP);\n childP.constructor = child;\n childP._super = baseP;\n\n if (properties) {\n assign(childP, properties);\n }\n}\n\n/**\n * simple function bind\n * @param {Function} fn\n * @param {Object} context\n * @returns {Function}\n */\nfunction bindFn(fn, context) {\n return function boundFn() {\n return fn.apply(context, arguments);\n };\n}\n\n/**\n * let a boolean value also be a function that must return a boolean\n * this first item in args will be used as the context\n * @param {Boolean|Function} val\n * @param {Array} [args]\n * @returns {Boolean}\n */\nfunction boolOrFn(val, args) {\n if (typeof val == TYPE_FUNCTION) {\n return val.apply(args ? args[0] || undefined : undefined, args);\n }\n return val;\n}\n\n/**\n * use the val2 when val1 is undefined\n * @param {*} val1\n * @param {*} val2\n * @returns {*}\n */\nfunction ifUndefined(val1, val2) {\n return (val1 === undefined) ? val2 : val1;\n}\n\n/**\n * addEventListener with multiple events at once\n * @param {EventTarget} target\n * @param {String} types\n * @param {Function} handler\n */\nfunction addEventListeners(target, types, handler) {\n each(splitStr(types), function(type) {\n target.addEventListener(type, handler, false);\n });\n}\n\n/**\n * removeEventListener with multiple events at once\n * @param {EventTarget} target\n * @param {String} types\n * @param {Function} handler\n */\nfunction removeEventListeners(target, types, handler) {\n each(splitStr(types), function(type) {\n target.removeEventListener(type, handler, false);\n });\n}\n\n/**\n * find if a node is in the given parent\n * @method hasParent\n * @param {HTMLElement} node\n * @param {HTMLElement} parent\n * @return {Boolean} found\n */\nfunction hasParent(node, parent) {\n while (node) {\n if (node == parent) {\n return true;\n }\n node = node.parentNode;\n }\n return false;\n}\n\n/**\n * small indexOf wrapper\n * @param {String} str\n * @param {String} find\n * @returns {Boolean} found\n */\nfunction inStr(str, find) {\n return str.indexOf(find) > -1;\n}\n\n/**\n * split string on whitespace\n * @param {String} str\n * @returns {Array} words\n */\nfunction splitStr(str) {\n return str.trim().split(/\\s+/g);\n}\n\n/**\n * find if a array contains the object using indexOf or a simple polyFill\n * @param {Array} src\n * @param {String} find\n * @param {String} [findByKey]\n * @return {Boolean|Number} false when not found, or the index\n */\nfunction inArray(src, find, findByKey) {\n if (src.indexOf && !findByKey) {\n return src.indexOf(find);\n } else {\n var i = 0;\n while (i < src.length) {\n if ((findByKey && src[i][findByKey] == find) || (!findByKey && src[i] === find)) {\n return i;\n }\n i++;\n }\n return -1;\n }\n}\n\n/**\n * convert array-like objects to real arrays\n * @param {Object} obj\n * @returns {Array}\n */\nfunction toArray(obj) {\n return Array.prototype.slice.call(obj, 0);\n}\n\n/**\n * unique array with objects based on a key (like 'id') or just by the array's value\n * @param {Array} src [{id:1},{id:2},{id:1}]\n * @param {String} [key]\n * @param {Boolean} [sort=False]\n * @returns {Array} [{id:1},{id:2}]\n */\nfunction uniqueArray(src, key, sort) {\n var results = [];\n var values = [];\n var i = 0;\n\n while (i < src.length) {\n var val = key ? src[i][key] : src[i];\n if (inArray(values, val) < 0) {\n results.push(src[i]);\n }\n values[i] = val;\n i++;\n }\n\n if (sort) {\n if (!key) {\n results = results.sort();\n } else {\n results = results.sort(function sortUniqueArray(a, b) {\n return a[key] > b[key];\n });\n }\n }\n\n return results;\n}\n\n/**\n * get the prefixed property\n * @param {Object} obj\n * @param {String} property\n * @returns {String|Undefined} prefixed\n */\nfunction prefixed(obj, property) {\n var prefix, prop;\n var camelProp = property[0].toUpperCase() + property.slice(1);\n\n var i = 0;\n while (i < VENDOR_PREFIXES.length) {\n prefix = VENDOR_PREFIXES[i];\n prop = (prefix) ? prefix + camelProp : property;\n\n if (prop in obj) {\n return prop;\n }\n i++;\n }\n return undefined;\n}\n\n/**\n * get a unique id\n * @returns {number} uniqueId\n */\nvar _uniqueId = 1;\nfunction uniqueId() {\n return _uniqueId++;\n}\n\n/**\n * get the window object of an element\n * @param {HTMLElement} element\n * @returns {DocumentView|Window}\n */\nfunction getWindowForElement(element) {\n var doc = element.ownerDocument || element;\n return (doc.defaultView || doc.parentWindow || window);\n}\n\nvar MOBILE_REGEX = /mobile|tablet|ip(ad|hone|od)|android/i;\n\nvar SUPPORT_TOUCH = ('ontouchstart' in window);\nvar SUPPORT_POINTER_EVENTS = prefixed(window, 'PointerEvent') !== undefined;\nvar SUPPORT_ONLY_TOUCH = SUPPORT_TOUCH && MOBILE_REGEX.test(navigator.userAgent);\n\nvar INPUT_TYPE_TOUCH = 'touch';\nvar INPUT_TYPE_PEN = 'pen';\nvar INPUT_TYPE_MOUSE = 'mouse';\nvar INPUT_TYPE_KINECT = 'kinect';\n\nvar COMPUTE_INTERVAL = 25;\n\nvar INPUT_START = 1;\nvar INPUT_MOVE = 2;\nvar INPUT_END = 4;\nvar INPUT_CANCEL = 8;\n\nvar DIRECTION_NONE = 1;\nvar DIRECTION_LEFT = 2;\nvar DIRECTION_RIGHT = 4;\nvar DIRECTION_UP = 8;\nvar DIRECTION_DOWN = 16;\n\nvar DIRECTION_HORIZONTAL = DIRECTION_LEFT | DIRECTION_RIGHT;\nvar DIRECTION_VERTICAL = DIRECTION_UP | DIRECTION_DOWN;\nvar DIRECTION_ALL = DIRECTION_HORIZONTAL | DIRECTION_VERTICAL;\n\nvar PROPS_XY = ['x', 'y'];\nvar PROPS_CLIENT_XY = ['clientX', 'clientY'];\n\n/**\n * create new input type manager\n * @param {Manager} manager\n * @param {Function} callback\n * @returns {Input}\n * @constructor\n */\nfunction Input(manager, callback) {\n var self = this;\n this.manager = manager;\n this.callback = callback;\n this.element = manager.element;\n this.target = manager.options.inputTarget;\n\n // smaller wrapper around the handler, for the scope and the enabled state of the manager,\n // so when disabled the input events are completely bypassed.\n this.domHandler = function(ev) {\n if (boolOrFn(manager.options.enable, [manager])) {\n self.handler(ev);\n }\n };\n\n this.init();\n\n}\n\nInput.prototype = {\n /**\n * should handle the inputEvent data and trigger the callback\n * @virtual\n */\n handler: function() { },\n\n /**\n * bind the events\n */\n init: function() {\n this.evEl && addEventListeners(this.element, this.evEl, this.domHandler);\n this.evTarget && addEventListeners(this.target, this.evTarget, this.domHandler);\n this.evWin && addEventListeners(getWindowForElement(this.element), this.evWin, this.domHandler);\n },\n\n /**\n * unbind the events\n */\n destroy: function() {\n this.evEl && removeEventListeners(this.element, this.evEl, this.domHandler);\n this.evTarget && removeEventListeners(this.target, this.evTarget, this.domHandler);\n this.evWin && removeEventListeners(getWindowForElement(this.element), this.evWin, this.domHandler);\n }\n};\n\n/**\n * create new input type manager\n * called by the Manager constructor\n * @param {Hammer} manager\n * @returns {Input}\n */\nfunction createInputInstance(manager) {\n var Type;\n var inputClass = manager.options.inputClass;\n\n if (inputClass) {\n Type = inputClass;\n } else if (SUPPORT_POINTER_EVENTS) {\n Type = PointerEventInput;\n } else if (SUPPORT_ONLY_TOUCH) {\n Type = TouchInput;\n } else if (!SUPPORT_TOUCH) {\n Type = MouseInput;\n } else {\n Type = TouchMouseInput;\n }\n return new (Type)(manager, inputHandler);\n}\n\n/**\n * handle input events\n * @param {Manager} manager\n * @param {String} eventType\n * @param {Object} input\n */\nfunction inputHandler(manager, eventType, input) {\n var pointersLen = input.pointers.length;\n var changedPointersLen = input.changedPointers.length;\n var isFirst = (eventType & INPUT_START && (pointersLen - changedPointersLen === 0));\n var isFinal = (eventType & (INPUT_END | INPUT_CANCEL) && (pointersLen - changedPointersLen === 0));\n\n input.isFirst = !!isFirst;\n input.isFinal = !!isFinal;\n\n if (isFirst) {\n manager.session = {};\n }\n\n // source event is the normalized value of the domEvents\n // like 'touchstart, mouseup, pointerdown'\n input.eventType = eventType;\n\n // compute scale, rotation etc\n computeInputData(manager, input);\n\n // emit secret event\n manager.emit('hammer.input', input);\n\n manager.recognize(input);\n manager.session.prevInput = input;\n}\n\n/**\n * extend the data with some usable properties like scale, rotate, velocity etc\n * @param {Object} manager\n * @param {Object} input\n */\nfunction computeInputData(manager, input) {\n var session = manager.session;\n var pointers = input.pointers;\n var pointersLength = pointers.length;\n\n // store the first input to calculate the distance and direction\n if (!session.firstInput) {\n session.firstInput = simpleCloneInputData(input);\n }\n\n // to compute scale and rotation we need to store the multiple touches\n if (pointersLength > 1 && !session.firstMultiple) {\n session.firstMultiple = simpleCloneInputData(input);\n } else if (pointersLength === 1) {\n session.firstMultiple = false;\n }\n\n var firstInput = session.firstInput;\n var firstMultiple = session.firstMultiple;\n var offsetCenter = firstMultiple ? firstMultiple.center : firstInput.center;\n\n var center = input.center = getCenter(pointers);\n input.timeStamp = now();\n input.deltaTime = input.timeStamp - firstInput.timeStamp;\n\n input.angle = getAngle(offsetCenter, center);\n input.distance = getDistance(offsetCenter, center);\n\n computeDeltaXY(session, input);\n input.offsetDirection = getDirection(input.deltaX, input.deltaY);\n\n var overallVelocity = getVelocity(input.deltaTime, input.deltaX, input.deltaY);\n input.overallVelocityX = overallVelocity.x;\n input.overallVelocityY = overallVelocity.y;\n input.overallVelocity = (abs(overallVelocity.x) > abs(overallVelocity.y)) ? overallVelocity.x : overallVelocity.y;\n\n input.scale = firstMultiple ? getScale(firstMultiple.pointers, pointers) : 1;\n input.rotation = firstMultiple ? getRotation(firstMultiple.pointers, pointers) : 0;\n\n input.maxPointers = !session.prevInput ? input.pointers.length : ((input.pointers.length >\n session.prevInput.maxPointers) ? input.pointers.length : session.prevInput.maxPointers);\n\n computeIntervalInputData(session, input);\n\n // find the correct target\n var target = manager.element;\n if (hasParent(input.srcEvent.target, target)) {\n target = input.srcEvent.target;\n }\n input.target = target;\n}\n\nfunction computeDeltaXY(session, input) {\n var center = input.center;\n var offset = session.offsetDelta || {};\n var prevDelta = session.prevDelta || {};\n var prevInput = session.prevInput || {};\n\n if (input.eventType === INPUT_START || prevInput.eventType === INPUT_END) {\n prevDelta = session.prevDelta = {\n x: prevInput.deltaX || 0,\n y: prevInput.deltaY || 0\n };\n\n offset = session.offsetDelta = {\n x: center.x,\n y: center.y\n };\n }\n\n input.deltaX = prevDelta.x + (center.x - offset.x);\n input.deltaY = prevDelta.y + (center.y - offset.y);\n}\n\n/**\n * velocity is calculated every x ms\n * @param {Object} session\n * @param {Object} input\n */\nfunction computeIntervalInputData(session, input) {\n var last = session.lastInterval || input,\n deltaTime = input.timeStamp - last.timeStamp,\n velocity, velocityX, velocityY, direction;\n\n if (input.eventType != INPUT_CANCEL && (deltaTime > COMPUTE_INTERVAL || last.velocity === undefined)) {\n var deltaX = input.deltaX - last.deltaX;\n var deltaY = input.deltaY - last.deltaY;\n\n var v = getVelocity(deltaTime, deltaX, deltaY);\n velocityX = v.x;\n velocityY = v.y;\n velocity = (abs(v.x) > abs(v.y)) ? v.x : v.y;\n direction = getDirection(deltaX, deltaY);\n\n session.lastInterval = input;\n } else {\n // use latest velocity info if it doesn't overtake a minimum period\n velocity = last.velocity;\n velocityX = last.velocityX;\n velocityY = last.velocityY;\n direction = last.direction;\n }\n\n input.velocity = velocity;\n input.velocityX = velocityX;\n input.velocityY = velocityY;\n input.direction = direction;\n}\n\n/**\n * create a simple clone from the input used for storage of firstInput and firstMultiple\n * @param {Object} input\n * @returns {Object} clonedInputData\n */\nfunction simpleCloneInputData(input) {\n // make a simple copy of the pointers because we will get a reference if we don't\n // we only need clientXY for the calculations\n var pointers = [];\n var i = 0;\n while (i < input.pointers.length) {\n pointers[i] = {\n clientX: round(input.pointers[i].clientX),\n clientY: round(input.pointers[i].clientY)\n };\n i++;\n }\n\n return {\n timeStamp: now(),\n pointers: pointers,\n center: getCenter(pointers),\n deltaX: input.deltaX,\n deltaY: input.deltaY\n };\n}\n\n/**\n * get the center of all the pointers\n * @param {Array} pointers\n * @return {Object} center contains `x` and `y` properties\n */\nfunction getCenter(pointers) {\n var pointersLength = pointers.length;\n\n // no need to loop when only one touch\n if (pointersLength === 1) {\n return {\n x: round(pointers[0].clientX),\n y: round(pointers[0].clientY)\n };\n }\n\n var x = 0, y = 0, i = 0;\n while (i < pointersLength) {\n x += pointers[i].clientX;\n y += pointers[i].clientY;\n i++;\n }\n\n return {\n x: round(x / pointersLength),\n y: round(y / pointersLength)\n };\n}\n\n/**\n * calculate the velocity between two points. unit is in px per ms.\n * @param {Number} deltaTime\n * @param {Number} x\n * @param {Number} y\n * @return {Object} velocity `x` and `y`\n */\nfunction getVelocity(deltaTime, x, y) {\n return {\n x: x / deltaTime || 0,\n y: y / deltaTime || 0\n };\n}\n\n/**\n * get the direction between two points\n * @param {Number} x\n * @param {Number} y\n * @return {Number} direction\n */\nfunction getDirection(x, y) {\n if (x === y) {\n return DIRECTION_NONE;\n }\n\n if (abs(x) >= abs(y)) {\n return x < 0 ? DIRECTION_LEFT : DIRECTION_RIGHT;\n }\n return y < 0 ? DIRECTION_UP : DIRECTION_DOWN;\n}\n\n/**\n * calculate the absolute distance between two points\n * @param {Object} p1 {x, y}\n * @param {Object} p2 {x, y}\n * @param {Array} [props] containing x and y keys\n * @return {Number} distance\n */\nfunction getDistance(p1, p2, props) {\n if (!props) {\n props = PROPS_XY;\n }\n var x = p2[props[0]] - p1[props[0]],\n y = p2[props[1]] - p1[props[1]];\n\n return Math.sqrt((x * x) + (y * y));\n}\n\n/**\n * calculate the angle between two coordinates\n * @param {Object} p1\n * @param {Object} p2\n * @param {Array} [props] containing x and y keys\n * @return {Number} angle\n */\nfunction getAngle(p1, p2, props) {\n if (!props) {\n props = PROPS_XY;\n }\n var x = p2[props[0]] - p1[props[0]],\n y = p2[props[1]] - p1[props[1]];\n return Math.atan2(y, x) * 180 / Math.PI;\n}\n\n/**\n * calculate the rotation degrees between two pointersets\n * @param {Array} start array of pointers\n * @param {Array} end array of pointers\n * @return {Number} rotation\n */\nfunction getRotation(start, end) {\n return getAngle(end[1], end[0], PROPS_CLIENT_XY) + getAngle(start[1], start[0], PROPS_CLIENT_XY);\n}\n\n/**\n * calculate the scale factor between two pointersets\n * no scale is 1, and goes down to 0 when pinched together, and bigger when pinched out\n * @param {Array} start array of pointers\n * @param {Array} end array of pointers\n * @return {Number} scale\n */\nfunction getScale(start, end) {\n return getDistance(end[0], end[1], PROPS_CLIENT_XY) / getDistance(start[0], start[1], PROPS_CLIENT_XY);\n}\n\nvar MOUSE_INPUT_MAP = {\n mousedown: INPUT_START,\n mousemove: INPUT_MOVE,\n mouseup: INPUT_END\n};\n\nvar MOUSE_ELEMENT_EVENTS = 'mousedown';\nvar MOUSE_WINDOW_EVENTS = 'mousemove mouseup';\n\n/**\n * Mouse events input\n * @constructor\n * @extends Input\n */\nfunction MouseInput() {\n this.evEl = MOUSE_ELEMENT_EVENTS;\n this.evWin = MOUSE_WINDOW_EVENTS;\n\n this.pressed = false; // mousedown state\n\n Input.apply(this, arguments);\n}\n\ninherit(MouseInput, Input, {\n /**\n * handle mouse events\n * @param {Object} ev\n */\n handler: function MEhandler(ev) {\n var eventType = MOUSE_INPUT_MAP[ev.type];\n\n // on start we want to have the left mouse button down\n if (eventType & INPUT_START && ev.button === 0) {\n this.pressed = true;\n }\n\n if (eventType & INPUT_MOVE && ev.which !== 1) {\n eventType = INPUT_END;\n }\n\n // mouse must be down\n if (!this.pressed) {\n return;\n }\n\n if (eventType & INPUT_END) {\n this.pressed = false;\n }\n\n this.callback(this.manager, eventType, {\n pointers: [ev],\n changedPointers: [ev],\n pointerType: INPUT_TYPE_MOUSE,\n srcEvent: ev\n });\n }\n});\n\nvar POINTER_INPUT_MAP = {\n pointerdown: INPUT_START,\n pointermove: INPUT_MOVE,\n pointerup: INPUT_END,\n pointercancel: INPUT_CANCEL,\n pointerout: INPUT_CANCEL\n};\n\n// in IE10 the pointer types is defined as an enum\nvar IE10_POINTER_TYPE_ENUM = {\n 2: INPUT_TYPE_TOUCH,\n 3: INPUT_TYPE_PEN,\n 4: INPUT_TYPE_MOUSE,\n 5: INPUT_TYPE_KINECT // see https://twitter.com/jacobrossi/status/480596438489890816\n};\n\nvar POINTER_ELEMENT_EVENTS = 'pointerdown';\nvar POINTER_WINDOW_EVENTS = 'pointermove pointerup pointercancel';\n\n// IE10 has prefixed support, and case-sensitive\nif (window.MSPointerEvent && !window.PointerEvent) {\n POINTER_ELEMENT_EVENTS = 'MSPointerDown';\n POINTER_WINDOW_EVENTS = 'MSPointerMove MSPointerUp MSPointerCancel';\n}\n\n/**\n * Pointer events input\n * @constructor\n * @extends Input\n */\nfunction PointerEventInput() {\n this.evEl = POINTER_ELEMENT_EVENTS;\n this.evWin = POINTER_WINDOW_EVENTS;\n\n Input.apply(this, arguments);\n\n this.store = (this.manager.session.pointerEvents = []);\n}\n\ninherit(PointerEventInput, Input, {\n /**\n * handle mouse events\n * @param {Object} ev\n */\n handler: function PEhandler(ev) {\n var store = this.store;\n var removePointer = false;\n\n var eventTypeNormalized = ev.type.toLowerCase().replace('ms', '');\n var eventType = POINTER_INPUT_MAP[eventTypeNormalized];\n var pointerType = IE10_POINTER_TYPE_ENUM[ev.pointerType] || ev.pointerType;\n\n var isTouch = (pointerType == INPUT_TYPE_TOUCH);\n\n // get index of the event in the store\n var storeIndex = inArray(store, ev.pointerId, 'pointerId');\n\n // start and mouse must be down\n if (eventType & INPUT_START && (ev.button === 0 || isTouch)) {\n if (storeIndex < 0) {\n store.push(ev);\n storeIndex = store.length - 1;\n }\n } else if (eventType & (INPUT_END | INPUT_CANCEL)) {\n removePointer = true;\n }\n\n // it not found, so the pointer hasn't been down (so it's probably a hover)\n if (storeIndex < 0) {\n return;\n }\n\n // update the event in the store\n store[storeIndex] = ev;\n\n this.callback(this.manager, eventType, {\n pointers: store,\n changedPointers: [ev],\n pointerType: pointerType,\n srcEvent: ev\n });\n\n if (removePointer) {\n // remove from the store\n store.splice(storeIndex, 1);\n }\n }\n});\n\nvar SINGLE_TOUCH_INPUT_MAP = {\n touchstart: INPUT_START,\n touchmove: INPUT_MOVE,\n touchend: INPUT_END,\n touchcancel: INPUT_CANCEL\n};\n\nvar SINGLE_TOUCH_TARGET_EVENTS = 'touchstart';\nvar SINGLE_TOUCH_WINDOW_EVENTS = 'touchstart touchmove touchend touchcancel';\n\n/**\n * Touch events input\n * @constructor\n * @extends Input\n */\nfunction SingleTouchInput() {\n this.evTarget = SINGLE_TOUCH_TARGET_EVENTS;\n this.evWin = SINGLE_TOUCH_WINDOW_EVENTS;\n this.started = false;\n\n Input.apply(this, arguments);\n}\n\ninherit(SingleTouchInput, Input, {\n handler: function TEhandler(ev) {\n var type = SINGLE_TOUCH_INPUT_MAP[ev.type];\n\n // should we handle the touch events?\n if (type === INPUT_START) {\n this.started = true;\n }\n\n if (!this.started) {\n return;\n }\n\n var touches = normalizeSingleTouches.call(this, ev, type);\n\n // when done, reset the started state\n if (type & (INPUT_END | INPUT_CANCEL) && touches[0].length - touches[1].length === 0) {\n this.started = false;\n }\n\n this.callback(this.manager, type, {\n pointers: touches[0],\n changedPointers: touches[1],\n pointerType: INPUT_TYPE_TOUCH,\n srcEvent: ev\n });\n }\n});\n\n/**\n * @this {TouchInput}\n * @param {Object} ev\n * @param {Number} type flag\n * @returns {undefined|Array} [all, changed]\n */\nfunction normalizeSingleTouches(ev, type) {\n var all = toArray(ev.touches);\n var changed = toArray(ev.changedTouches);\n\n if (type & (INPUT_END | INPUT_CANCEL)) {\n all = uniqueArray(all.concat(changed), 'identifier', true);\n }\n\n return [all, changed];\n}\n\nvar TOUCH_INPUT_MAP = {\n touchstart: INPUT_START,\n touchmove: INPUT_MOVE,\n touchend: INPUT_END,\n touchcancel: INPUT_CANCEL\n};\n\nvar TOUCH_TARGET_EVENTS = 'touchstart touchmove touchend touchcancel';\n\n/**\n * Multi-user touch events input\n * @constructor\n * @extends Input\n */\nfunction TouchInput() {\n this.evTarget = TOUCH_TARGET_EVENTS;\n this.targetIds = {};\n\n Input.apply(this, arguments);\n}\n\ninherit(TouchInput, Input, {\n handler: function MTEhandler(ev) {\n var type = TOUCH_INPUT_MAP[ev.type];\n var touches = getTouches.call(this, ev, type);\n if (!touches) {\n return;\n }\n\n this.callback(this.manager, type, {\n pointers: touches[0],\n changedPointers: touches[1],\n pointerType: INPUT_TYPE_TOUCH,\n srcEvent: ev\n });\n }\n});\n\n/**\n * @this {TouchInput}\n * @param {Object} ev\n * @param {Number} type flag\n * @returns {undefined|Array} [all, changed]\n */\nfunction getTouches(ev, type) {\n var allTouches = toArray(ev.touches);\n var targetIds = this.targetIds;\n\n // when there is only one touch, the process can be simplified\n if (type & (INPUT_START | INPUT_MOVE) && allTouches.length === 1) {\n targetIds[allTouches[0].identifier] = true;\n return [allTouches, allTouches];\n }\n\n var i,\n targetTouches,\n changedTouches = toArray(ev.changedTouches),\n changedTargetTouches = [],\n target = this.target;\n\n // get target touches from touches\n targetTouches = allTouches.filter(function(touch) {\n return hasParent(touch.target, target);\n });\n\n // collect touches\n if (type === INPUT_START) {\n i = 0;\n while (i < targetTouches.length) {\n targetIds[targetTouches[i].identifier] = true;\n i++;\n }\n }\n\n // filter changed touches to only contain touches that exist in the collected target ids\n i = 0;\n while (i < changedTouches.length) {\n if (targetIds[changedTouches[i].identifier]) {\n changedTargetTouches.push(changedTouches[i]);\n }\n\n // cleanup removed touches\n if (type & (INPUT_END | INPUT_CANCEL)) {\n delete targetIds[changedTouches[i].identifier];\n }\n i++;\n }\n\n if (!changedTargetTouches.length) {\n return;\n }\n\n return [\n // merge targetTouches with changedTargetTouches so it contains ALL touches, including 'end' and 'cancel'\n uniqueArray(targetTouches.concat(changedTargetTouches), 'identifier', true),\n changedTargetTouches\n ];\n}\n\n/**\n * Combined touch and mouse input\n *\n * Touch has a higher priority then mouse, and while touching no mouse events are allowed.\n * This because touch devices also emit mouse events while doing a touch.\n *\n * @constructor\n * @extends Input\n */\n\nvar DEDUP_TIMEOUT = 2500;\nvar DEDUP_DISTANCE = 25;\n\nfunction TouchMouseInput() {\n Input.apply(this, arguments);\n\n var handler = bindFn(this.handler, this);\n this.touch = new TouchInput(this.manager, handler);\n this.mouse = new MouseInput(this.manager, handler);\n\n this.primaryTouch = null;\n this.lastTouches = [];\n}\n\ninherit(TouchMouseInput, Input, {\n /**\n * handle mouse and touch events\n * @param {Hammer} manager\n * @param {String} inputEvent\n * @param {Object} inputData\n */\n handler: function TMEhandler(manager, inputEvent, inputData) {\n var isTouch = (inputData.pointerType == INPUT_TYPE_TOUCH),\n isMouse = (inputData.pointerType == INPUT_TYPE_MOUSE);\n\n if (isMouse && inputData.sourceCapabilities && inputData.sourceCapabilities.firesTouchEvents) {\n return;\n }\n\n // when we're in a touch event, record touches to de-dupe synthetic mouse event\n if (isTouch) {\n recordTouches.call(this, inputEvent, inputData);\n } else if (isMouse && isSyntheticEvent.call(this, inputData)) {\n return;\n }\n\n this.callback(manager, inputEvent, inputData);\n },\n\n /**\n * remove the event listeners\n */\n destroy: function destroy() {\n this.touch.destroy();\n this.mouse.destroy();\n }\n});\n\nfunction recordTouches(eventType, eventData) {\n if (eventType & INPUT_START) {\n this.primaryTouch = eventData.changedPointers[0].identifier;\n setLastTouch.call(this, eventData);\n } else if (eventType & (INPUT_END | INPUT_CANCEL)) {\n setLastTouch.call(this, eventData);\n }\n}\n\nfunction setLastTouch(eventData) {\n var touch = eventData.changedPointers[0];\n\n if (touch.identifier === this.primaryTouch) {\n var lastTouch = {x: touch.clientX, y: touch.clientY};\n this.lastTouches.push(lastTouch);\n var lts = this.lastTouches;\n var removeLastTouch = function() {\n var i = lts.indexOf(lastTouch);\n if (i > -1) {\n lts.splice(i, 1);\n }\n };\n setTimeout(removeLastTouch, DEDUP_TIMEOUT);\n }\n}\n\nfunction isSyntheticEvent(eventData) {\n var x = eventData.srcEvent.clientX, y = eventData.srcEvent.clientY;\n for (var i = 0; i < this.lastTouches.length; i++) {\n var t = this.lastTouches[i];\n var dx = Math.abs(x - t.x), dy = Math.abs(y - t.y);\n if (dx <= DEDUP_DISTANCE && dy <= DEDUP_DISTANCE) {\n return true;\n }\n }\n return false;\n}\n\nvar PREFIXED_TOUCH_ACTION = prefixed(TEST_ELEMENT.style, 'touchAction');\nvar NATIVE_TOUCH_ACTION = PREFIXED_TOUCH_ACTION !== undefined;\n\n// magical touchAction value\nvar TOUCH_ACTION_COMPUTE = 'compute';\nvar TOUCH_ACTION_AUTO = 'auto';\nvar TOUCH_ACTION_MANIPULATION = 'manipulation'; // not implemented\nvar TOUCH_ACTION_NONE = 'none';\nvar TOUCH_ACTION_PAN_X = 'pan-x';\nvar TOUCH_ACTION_PAN_Y = 'pan-y';\nvar TOUCH_ACTION_MAP = getTouchActionProps();\n\n/**\n * Touch Action\n * sets the touchAction property or uses the js alternative\n * @param {Manager} manager\n * @param {String} value\n * @constructor\n */\nfunction TouchAction(manager, value) {\n this.manager = manager;\n this.set(value);\n}\n\nTouchAction.prototype = {\n /**\n * set the touchAction value on the element or enable the polyfill\n * @param {String} value\n */\n set: function(value) {\n // find out the touch-action by the event handlers\n if (value == TOUCH_ACTION_COMPUTE) {\n value = this.compute();\n }\n\n if (NATIVE_TOUCH_ACTION && this.manager.element.style && TOUCH_ACTION_MAP[value]) {\n this.manager.element.style[PREFIXED_TOUCH_ACTION] = value;\n }\n this.actions = value.toLowerCase().trim();\n },\n\n /**\n * just re-set the touchAction value\n */\n update: function() {\n this.set(this.manager.options.touchAction);\n },\n\n /**\n * compute the value for the touchAction property based on the recognizer's settings\n * @returns {String} value\n */\n compute: function() {\n var actions = [];\n each(this.manager.recognizers, function(recognizer) {\n if (boolOrFn(recognizer.options.enable, [recognizer])) {\n actions = actions.concat(recognizer.getTouchAction());\n }\n });\n return cleanTouchActions(actions.join(' '));\n },\n\n /**\n * this method is called on each input cycle and provides the preventing of the browser behavior\n * @param {Object} input\n */\n preventDefaults: function(input) {\n var srcEvent = input.srcEvent;\n var direction = input.offsetDirection;\n\n // if the touch action did prevented once this session\n if (this.manager.session.prevented) {\n srcEvent.preventDefault();\n return;\n }\n\n var actions = this.actions;\n var hasNone = inStr(actions, TOUCH_ACTION_NONE) && !TOUCH_ACTION_MAP[TOUCH_ACTION_NONE];\n var hasPanY = inStr(actions, TOUCH_ACTION_PAN_Y) && !TOUCH_ACTION_MAP[TOUCH_ACTION_PAN_Y];\n var hasPanX = inStr(actions, TOUCH_ACTION_PAN_X) && !TOUCH_ACTION_MAP[TOUCH_ACTION_PAN_X];\n\n if (hasNone) {\n //do not prevent defaults if this is a tap gesture\n\n var isTapPointer = input.pointers.length === 1;\n var isTapMovement = input.distance < 2;\n var isTapTouchTime = input.deltaTime < 250;\n\n if (isTapPointer && isTapMovement && isTapTouchTime) {\n return;\n }\n }\n\n if (hasPanX && hasPanY) {\n // `pan-x pan-y` means browser handles all scrolling/panning, do not prevent\n return;\n }\n\n if (hasNone ||\n (hasPanY && direction & DIRECTION_HORIZONTAL) ||\n (hasPanX && direction & DIRECTION_VERTICAL)) {\n return this.preventSrc(srcEvent);\n }\n },\n\n /**\n * call preventDefault to prevent the browser's default behavior (scrolling in most cases)\n * @param {Object} srcEvent\n */\n preventSrc: function(srcEvent) {\n this.manager.session.prevented = true;\n srcEvent.preventDefault();\n }\n};\n\n/**\n * when the touchActions are collected they are not a valid value, so we need to clean things up. *\n * @param {String} actions\n * @returns {*}\n */\nfunction cleanTouchActions(actions) {\n // none\n if (inStr(actions, TOUCH_ACTION_NONE)) {\n return TOUCH_ACTION_NONE;\n }\n\n var hasPanX = inStr(actions, TOUCH_ACTION_PAN_X);\n var hasPanY = inStr(actions, TOUCH_ACTION_PAN_Y);\n\n // if both pan-x and pan-y are set (different recognizers\n // for different directions, e.g. horizontal pan but vertical swipe?)\n // we need none (as otherwise with pan-x pan-y combined none of these\n // recognizers will work, since the browser would handle all panning\n if (hasPanX && hasPanY) {\n return TOUCH_ACTION_NONE;\n }\n\n // pan-x OR pan-y\n if (hasPanX || hasPanY) {\n return hasPanX ? TOUCH_ACTION_PAN_X : TOUCH_ACTION_PAN_Y;\n }\n\n // manipulation\n if (inStr(actions, TOUCH_ACTION_MANIPULATION)) {\n return TOUCH_ACTION_MANIPULATION;\n }\n\n return TOUCH_ACTION_AUTO;\n}\n\nfunction getTouchActionProps() {\n if (!NATIVE_TOUCH_ACTION) {\n return false;\n }\n var touchMap = {};\n var cssSupports = window.CSS && window.CSS.supports;\n ['auto', 'manipulation', 'pan-y', 'pan-x', 'pan-x pan-y', 'none'].forEach(function(val) {\n\n // If css.supports is not supported but there is native touch-action assume it supports\n // all values. This is the case for IE 10 and 11.\n touchMap[val] = cssSupports ? window.CSS.supports('touch-action', val) : true;\n });\n return touchMap;\n}\n\n/**\n * Recognizer flow explained; *\n * All recognizers have the initial state of POSSIBLE when a input session starts.\n * The definition of a input session is from the first input until the last input, with all it's movement in it. *\n * Example session for mouse-input: mousedown -> mousemove -> mouseup\n *\n * On each recognizing cycle (see Manager.recognize) the .recognize() method is executed\n * which determines with state it should be.\n *\n * If the recognizer has the state FAILED, CANCELLED or RECOGNIZED (equals ENDED), it is reset to\n * POSSIBLE to give it another change on the next cycle.\n *\n * Possible\n * |\n * +-----+---------------+\n * | |\n * +-----+-----+ |\n * | | |\n * Failed Cancelled |\n * +-------+------+\n * | |\n * Recognized Began\n * |\n * Changed\n * |\n * Ended/Recognized\n */\nvar STATE_POSSIBLE = 1;\nvar STATE_BEGAN = 2;\nvar STATE_CHANGED = 4;\nvar STATE_ENDED = 8;\nvar STATE_RECOGNIZED = STATE_ENDED;\nvar STATE_CANCELLED = 16;\nvar STATE_FAILED = 32;\n\n/**\n * Recognizer\n * Every recognizer needs to extend from this class.\n * @constructor\n * @param {Object} options\n */\nfunction Recognizer(options) {\n this.options = assign({}, this.defaults, options || {});\n\n this.id = uniqueId();\n\n this.manager = null;\n\n // default is enable true\n this.options.enable = ifUndefined(this.options.enable, true);\n\n this.state = STATE_POSSIBLE;\n\n this.simultaneous = {};\n this.requireFail = [];\n}\n\nRecognizer.prototype = {\n /**\n * @virtual\n * @type {Object}\n */\n defaults: {},\n\n /**\n * set options\n * @param {Object} options\n * @return {Recognizer}\n */\n set: function(options) {\n assign(this.options, options);\n\n // also update the touchAction, in case something changed about the directions/enabled state\n this.manager && this.manager.touchAction.update();\n return this;\n },\n\n /**\n * recognize simultaneous with an other recognizer.\n * @param {Recognizer} otherRecognizer\n * @returns {Recognizer} this\n */\n recognizeWith: function(otherRecognizer) {\n if (invokeArrayArg(otherRecognizer, 'recognizeWith', this)) {\n return this;\n }\n\n var simultaneous = this.simultaneous;\n otherRecognizer = getRecognizerByNameIfManager(otherRecognizer, this);\n if (!simultaneous[otherRecognizer.id]) {\n simultaneous[otherRecognizer.id] = otherRecognizer;\n otherRecognizer.recognizeWith(this);\n }\n return this;\n },\n\n /**\n * drop the simultaneous link. it doesnt remove the link on the other recognizer.\n * @param {Recognizer} otherRecognizer\n * @returns {Recognizer} this\n */\n dropRecognizeWith: function(otherRecognizer) {\n if (invokeArrayArg(otherRecognizer, 'dropRecognizeWith', this)) {\n return this;\n }\n\n otherRecognizer = getRecognizerByNameIfManager(otherRecognizer, this);\n delete this.simultaneous[otherRecognizer.id];\n return this;\n },\n\n /**\n * recognizer can only run when an other is failing\n * @param {Recognizer} otherRecognizer\n * @returns {Recognizer} this\n */\n requireFailure: function(otherRecognizer) {\n if (invokeArrayArg(otherRecognizer, 'requireFailure', this)) {\n return this;\n }\n\n var requireFail = this.requireFail;\n otherRecognizer = getRecognizerByNameIfManager(otherRecognizer, this);\n if (inArray(requireFail, otherRecognizer) === -1) {\n requireFail.push(otherRecognizer);\n otherRecognizer.requireFailure(this);\n }\n return this;\n },\n\n /**\n * drop the requireFailure link. it does not remove the link on the other recognizer.\n * @param {Recognizer} otherRecognizer\n * @returns {Recognizer} this\n */\n dropRequireFailure: function(otherRecognizer) {\n if (invokeArrayArg(otherRecognizer, 'dropRequireFailure', this)) {\n return this;\n }\n\n otherRecognizer = getRecognizerByNameIfManager(otherRecognizer, this);\n var index = inArray(this.requireFail, otherRecognizer);\n if (index > -1) {\n this.requireFail.splice(index, 1);\n }\n return this;\n },\n\n /**\n * has require failures boolean\n * @returns {boolean}\n */\n hasRequireFailures: function() {\n return this.requireFail.length > 0;\n },\n\n /**\n * if the recognizer can recognize simultaneous with an other recognizer\n * @param {Recognizer} otherRecognizer\n * @returns {Boolean}\n */\n canRecognizeWith: function(otherRecognizer) {\n return !!this.simultaneous[otherRecognizer.id];\n },\n\n /**\n * You should use `tryEmit` instead of `emit` directly to check\n * that all the needed recognizers has failed before emitting.\n * @param {Object} input\n */\n emit: function(input) {\n var self = this;\n var state = this.state;\n\n function emit(event) {\n self.manager.emit(event, input);\n }\n\n // 'panstart' and 'panmove'\n if (state < STATE_ENDED) {\n emit(self.options.event + stateStr(state));\n }\n\n emit(self.options.event); // simple 'eventName' events\n\n if (input.additionalEvent) { // additional event(panleft, panright, pinchin, pinchout...)\n emit(input.additionalEvent);\n }\n\n // panend and pancancel\n if (state >= STATE_ENDED) {\n emit(self.options.event + stateStr(state));\n }\n },\n\n /**\n * Check that all the require failure recognizers has failed,\n * if true, it emits a gesture event,\n * otherwise, setup the state to FAILED.\n * @param {Object} input\n */\n tryEmit: function(input) {\n if (this.canEmit()) {\n return this.emit(input);\n }\n // it's failing anyway\n this.state = STATE_FAILED;\n },\n\n /**\n * can we emit?\n * @returns {boolean}\n */\n canEmit: function() {\n var i = 0;\n while (i < this.requireFail.length) {\n if (!(this.requireFail[i].state & (STATE_FAILED | STATE_POSSIBLE))) {\n return false;\n }\n i++;\n }\n return true;\n },\n\n /**\n * update the recognizer\n * @param {Object} inputData\n */\n recognize: function(inputData) {\n // make a new copy of the inputData\n // so we can change the inputData without messing up the other recognizers\n var inputDataClone = assign({}, inputData);\n\n // is is enabled and allow recognizing?\n if (!boolOrFn(this.options.enable, [this, inputDataClone])) {\n this.reset();\n this.state = STATE_FAILED;\n return;\n }\n\n // reset when we've reached the end\n if (this.state & (STATE_RECOGNIZED | STATE_CANCELLED | STATE_FAILED)) {\n this.state = STATE_POSSIBLE;\n }\n\n this.state = this.process(inputDataClone);\n\n // the recognizer has recognized a gesture\n // so trigger an event\n if (this.state & (STATE_BEGAN | STATE_CHANGED | STATE_ENDED | STATE_CANCELLED)) {\n this.tryEmit(inputDataClone);\n }\n },\n\n /**\n * return the state of the recognizer\n * the actual recognizing happens in this method\n * @virtual\n * @param {Object} inputData\n * @returns {Const} STATE\n */\n process: function(inputData) { }, // jshint ignore:line\n\n /**\n * return the preferred touch-action\n * @virtual\n * @returns {Array}\n */\n getTouchAction: function() { },\n\n /**\n * called when the gesture isn't allowed to recognize\n * like when another is being recognized or it is disabled\n * @virtual\n */\n reset: function() { }\n};\n\n/**\n * get a usable string, used as event postfix\n * @param {Const} state\n * @returns {String} state\n */\nfunction stateStr(state) {\n if (state & STATE_CANCELLED) {\n return 'cancel';\n } else if (state & STATE_ENDED) {\n return 'end';\n } else if (state & STATE_CHANGED) {\n return 'move';\n } else if (state & STATE_BEGAN) {\n return 'start';\n }\n return '';\n}\n\n/**\n * direction cons to string\n * @param {Const} direction\n * @returns {String}\n */\nfunction directionStr(direction) {\n if (direction == DIRECTION_DOWN) {\n return 'down';\n } else if (direction == DIRECTION_UP) {\n return 'up';\n } else if (direction == DIRECTION_LEFT) {\n return 'left';\n } else if (direction == DIRECTION_RIGHT) {\n return 'right';\n }\n return '';\n}\n\n/**\n * get a recognizer by name if it is bound to a manager\n * @param {Recognizer|String} otherRecognizer\n * @param {Recognizer} recognizer\n * @returns {Recognizer}\n */\nfunction getRecognizerByNameIfManager(otherRecognizer, recognizer) {\n var manager = recognizer.manager;\n if (manager) {\n return manager.get(otherRecognizer);\n }\n return otherRecognizer;\n}\n\n/**\n * This recognizer is just used as a base for the simple attribute recognizers.\n * @constructor\n * @extends Recognizer\n */\nfunction AttrRecognizer() {\n Recognizer.apply(this, arguments);\n}\n\ninherit(AttrRecognizer, Recognizer, {\n /**\n * @namespace\n * @memberof AttrRecognizer\n */\n defaults: {\n /**\n * @type {Number}\n * @default 1\n */\n pointers: 1\n },\n\n /**\n * Used to check if it the recognizer receives valid input, like input.distance > 10.\n * @memberof AttrRecognizer\n * @param {Object} input\n * @returns {Boolean} recognized\n */\n attrTest: function(input) {\n var optionPointers = this.options.pointers;\n return optionPointers === 0 || input.pointers.length === optionPointers;\n },\n\n /**\n * Process the input and return the state for the recognizer\n * @memberof AttrRecognizer\n * @param {Object} input\n * @returns {*} State\n */\n process: function(input) {\n var state = this.state;\n var eventType = input.eventType;\n\n var isRecognized = state & (STATE_BEGAN | STATE_CHANGED);\n var isValid = this.attrTest(input);\n\n // on cancel input and we've recognized before, return STATE_CANCELLED\n if (isRecognized && (eventType & INPUT_CANCEL || !isValid)) {\n return state | STATE_CANCELLED;\n } else if (isRecognized || isValid) {\n if (eventType & INPUT_END) {\n return state | STATE_ENDED;\n } else if (!(state & STATE_BEGAN)) {\n return STATE_BEGAN;\n }\n return state | STATE_CHANGED;\n }\n return STATE_FAILED;\n }\n});\n\n/**\n * Pan\n * Recognized when the pointer is down and moved in the allowed direction.\n * @constructor\n * @extends AttrRecognizer\n */\nfunction PanRecognizer() {\n AttrRecognizer.apply(this, arguments);\n\n this.pX = null;\n this.pY = null;\n}\n\ninherit(PanRecognizer, AttrRecognizer, {\n /**\n * @namespace\n * @memberof PanRecognizer\n */\n defaults: {\n event: 'pan',\n threshold: 10,\n pointers: 1,\n direction: DIRECTION_ALL\n },\n\n getTouchAction: function() {\n var direction = this.options.direction;\n var actions = [];\n if (direction & DIRECTION_HORIZONTAL) {\n actions.push(TOUCH_ACTION_PAN_Y);\n }\n if (direction & DIRECTION_VERTICAL) {\n actions.push(TOUCH_ACTION_PAN_X);\n }\n return actions;\n },\n\n directionTest: function(input) {\n var options = this.options;\n var hasMoved = true;\n var distance = input.distance;\n var direction = input.direction;\n var x = input.deltaX;\n var y = input.deltaY;\n\n // lock to axis?\n if (!(direction & options.direction)) {\n if (options.direction & DIRECTION_HORIZONTAL) {\n direction = (x === 0) ? DIRECTION_NONE : (x < 0) ? DIRECTION_LEFT : DIRECTION_RIGHT;\n hasMoved = x != this.pX;\n distance = Math.abs(input.deltaX);\n } else {\n direction = (y === 0) ? DIRECTION_NONE : (y < 0) ? DIRECTION_UP : DIRECTION_DOWN;\n hasMoved = y != this.pY;\n distance = Math.abs(input.deltaY);\n }\n }\n input.direction = direction;\n return hasMoved && distance > options.threshold && direction & options.direction;\n },\n\n attrTest: function(input) {\n return AttrRecognizer.prototype.attrTest.call(this, input) &&\n (this.state & STATE_BEGAN || (!(this.state & STATE_BEGAN) && this.directionTest(input)));\n },\n\n emit: function(input) {\n\n this.pX = input.deltaX;\n this.pY = input.deltaY;\n\n var direction = directionStr(input.direction);\n\n if (direction) {\n input.additionalEvent = this.options.event + direction;\n }\n this._super.emit.call(this, input);\n }\n});\n\n/**\n * Pinch\n * Recognized when two or more pointers are moving toward (zoom-in) or away from each other (zoom-out).\n * @constructor\n * @extends AttrRecognizer\n */\nfunction PinchRecognizer() {\n AttrRecognizer.apply(this, arguments);\n}\n\ninherit(PinchRecognizer, AttrRecognizer, {\n /**\n * @namespace\n * @memberof PinchRecognizer\n */\n defaults: {\n event: 'pinch',\n threshold: 0,\n pointers: 2\n },\n\n getTouchAction: function() {\n return [TOUCH_ACTION_NONE];\n },\n\n attrTest: function(input) {\n return this._super.attrTest.call(this, input) &&\n (Math.abs(input.scale - 1) > this.options.threshold || this.state & STATE_BEGAN);\n },\n\n emit: function(input) {\n if (input.scale !== 1) {\n var inOut = input.scale < 1 ? 'in' : 'out';\n input.additionalEvent = this.options.event + inOut;\n }\n this._super.emit.call(this, input);\n }\n});\n\n/**\n * Press\n * Recognized when the pointer is down for x ms without any movement.\n * @constructor\n * @extends Recognizer\n */\nfunction PressRecognizer() {\n Recognizer.apply(this, arguments);\n\n this._timer = null;\n this._input = null;\n}\n\ninherit(PressRecognizer, Recognizer, {\n /**\n * @namespace\n * @memberof PressRecognizer\n */\n defaults: {\n event: 'press',\n pointers: 1,\n time: 251, // minimal time of the pointer to be pressed\n threshold: 9 // a minimal movement is ok, but keep it low\n },\n\n getTouchAction: function() {\n return [TOUCH_ACTION_AUTO];\n },\n\n process: function(input) {\n var options = this.options;\n var validPointers = input.pointers.length === options.pointers;\n var validMovement = input.distance < options.threshold;\n var validTime = input.deltaTime > options.time;\n\n this._input = input;\n\n // we only allow little movement\n // and we've reached an end event, so a tap is possible\n if (!validMovement || !validPointers || (input.eventType & (INPUT_END | INPUT_CANCEL) && !validTime)) {\n this.reset();\n } else if (input.eventType & INPUT_START) {\n this.reset();\n this._timer = setTimeoutContext(function() {\n this.state = STATE_RECOGNIZED;\n this.tryEmit();\n }, options.time, this);\n } else if (input.eventType & INPUT_END) {\n return STATE_RECOGNIZED;\n }\n return STATE_FAILED;\n },\n\n reset: function() {\n clearTimeout(this._timer);\n },\n\n emit: function(input) {\n if (this.state !== STATE_RECOGNIZED) {\n return;\n }\n\n if (input && (input.eventType & INPUT_END)) {\n this.manager.emit(this.options.event + 'up', input);\n } else {\n this._input.timeStamp = now();\n this.manager.emit(this.options.event, this._input);\n }\n }\n});\n\n/**\n * Rotate\n * Recognized when two or more pointer are moving in a circular motion.\n * @constructor\n * @extends AttrRecognizer\n */\nfunction RotateRecognizer() {\n AttrRecognizer.apply(this, arguments);\n}\n\ninherit(RotateRecognizer, AttrRecognizer, {\n /**\n * @namespace\n * @memberof RotateRecognizer\n */\n defaults: {\n event: 'rotate',\n threshold: 0,\n pointers: 2\n },\n\n getTouchAction: function() {\n return [TOUCH_ACTION_NONE];\n },\n\n attrTest: function(input) {\n return this._super.attrTest.call(this, input) &&\n (Math.abs(input.rotation) > this.options.threshold || this.state & STATE_BEGAN);\n }\n});\n\n/**\n * Swipe\n * Recognized when the pointer is moving fast (velocity), with enough distance in the allowed direction.\n * @constructor\n * @extends AttrRecognizer\n */\nfunction SwipeRecognizer() {\n AttrRecognizer.apply(this, arguments);\n}\n\ninherit(SwipeRecognizer, AttrRecognizer, {\n /**\n * @namespace\n * @memberof SwipeRecognizer\n */\n defaults: {\n event: 'swipe',\n threshold: 10,\n velocity: 0.3,\n direction: DIRECTION_HORIZONTAL | DIRECTION_VERTICAL,\n pointers: 1\n },\n\n getTouchAction: function() {\n return PanRecognizer.prototype.getTouchAction.call(this);\n },\n\n attrTest: function(input) {\n var direction = this.options.direction;\n var velocity;\n\n if (direction & (DIRECTION_HORIZONTAL | DIRECTION_VERTICAL)) {\n velocity = input.overallVelocity;\n } else if (direction & DIRECTION_HORIZONTAL) {\n velocity = input.overallVelocityX;\n } else if (direction & DIRECTION_VERTICAL) {\n velocity = input.overallVelocityY;\n }\n\n return this._super.attrTest.call(this, input) &&\n direction & input.offsetDirection &&\n input.distance > this.options.threshold &&\n input.maxPointers == this.options.pointers &&\n abs(velocity) > this.options.velocity && input.eventType & INPUT_END;\n },\n\n emit: function(input) {\n var direction = directionStr(input.offsetDirection);\n if (direction) {\n this.manager.emit(this.options.event + direction, input);\n }\n\n this.manager.emit(this.options.event, input);\n }\n});\n\n/**\n * A tap is ecognized when the pointer is doing a small tap/click. Multiple taps are recognized if they occur\n * between the given interval and position. The delay option can be used to recognize multi-taps without firing\n * a single tap.\n *\n * The eventData from the emitted event contains the property `tapCount`, which contains the amount of\n * multi-taps being recognized.\n * @constructor\n * @extends Recognizer\n */\nfunction TapRecognizer() {\n Recognizer.apply(this, arguments);\n\n // previous time and center,\n // used for tap counting\n this.pTime = false;\n this.pCenter = false;\n\n this._timer = null;\n this._input = null;\n this.count = 0;\n}\n\ninherit(TapRecognizer, Recognizer, {\n /**\n * @namespace\n * @memberof PinchRecognizer\n */\n defaults: {\n event: 'tap',\n pointers: 1,\n taps: 1,\n interval: 300, // max time between the multi-tap taps\n time: 250, // max time of the pointer to be down (like finger on the screen)\n threshold: 9, // a minimal movement is ok, but keep it low\n posThreshold: 10 // a multi-tap can be a bit off the initial position\n },\n\n getTouchAction: function() {\n return [TOUCH_ACTION_MANIPULATION];\n },\n\n process: function(input) {\n var options = this.options;\n\n var validPointers = input.pointers.length === options.pointers;\n var validMovement = input.distance < options.threshold;\n var validTouchTime = input.deltaTime < options.time;\n\n this.reset();\n\n if ((input.eventType & INPUT_START) && (this.count === 0)) {\n return this.failTimeout();\n }\n\n // we only allow little movement\n // and we've reached an end event, so a tap is possible\n if (validMovement && validTouchTime && validPointers) {\n if (input.eventType != INPUT_END) {\n return this.failTimeout();\n }\n\n var validInterval = this.pTime ? (input.timeStamp - this.pTime < options.interval) : true;\n var validMultiTap = !this.pCenter || getDistance(this.pCenter, input.center) < options.posThreshold;\n\n this.pTime = input.timeStamp;\n this.pCenter = input.center;\n\n if (!validMultiTap || !validInterval) {\n this.count = 1;\n } else {\n this.count += 1;\n }\n\n this._input = input;\n\n // if tap count matches we have recognized it,\n // else it has began recognizing...\n var tapCount = this.count % options.taps;\n if (tapCount === 0) {\n // no failing requirements, immediately trigger the tap event\n // or wait as long as the multitap interval to trigger\n if (!this.hasRequireFailures()) {\n return STATE_RECOGNIZED;\n } else {\n this._timer = setTimeoutContext(function() {\n this.state = STATE_RECOGNIZED;\n this.tryEmit();\n }, options.interval, this);\n return STATE_BEGAN;\n }\n }\n }\n return STATE_FAILED;\n },\n\n failTimeout: function() {\n this._timer = setTimeoutContext(function() {\n this.state = STATE_FAILED;\n }, this.options.interval, this);\n return STATE_FAILED;\n },\n\n reset: function() {\n clearTimeout(this._timer);\n },\n\n emit: function() {\n if (this.state == STATE_RECOGNIZED) {\n this._input.tapCount = this.count;\n this.manager.emit(this.options.event, this._input);\n }\n }\n});\n\n/**\n * Simple way to create a manager with a default set of recognizers.\n * @param {HTMLElement} element\n * @param {Object} [options]\n * @constructor\n */\nfunction Hammer(element, options) {\n options = options || {};\n options.recognizers = ifUndefined(options.recognizers, Hammer.defaults.preset);\n return new Manager(element, options);\n}\n\n/**\n * @const {string}\n */\nHammer.VERSION = '2.0.7';\n\n/**\n * default settings\n * @namespace\n */\nHammer.defaults = {\n /**\n * set if DOM events are being triggered.\n * But this is slower and unused by simple implementations, so disabled by default.\n * @type {Boolean}\n * @default false\n */\n domEvents: false,\n\n /**\n * The value for the touchAction property/fallback.\n * When set to `compute` it will magically set the correct value based on the added recognizers.\n * @type {String}\n * @default compute\n */\n touchAction: TOUCH_ACTION_COMPUTE,\n\n /**\n * @type {Boolean}\n * @default true\n */\n enable: true,\n\n /**\n * EXPERIMENTAL FEATURE -- can be removed/changed\n * Change the parent input target element.\n * If Null, then it is being set the to main element.\n * @type {Null|EventTarget}\n * @default null\n */\n inputTarget: null,\n\n /**\n * force an input class\n * @type {Null|Function}\n * @default null\n */\n inputClass: null,\n\n /**\n * Default recognizer setup when calling `Hammer()`\n * When creating a new Manager these will be skipped.\n * @type {Array}\n */\n preset: [\n // RecognizerClass, options, [recognizeWith, ...], [requireFailure, ...]\n [RotateRecognizer, {enable: false}],\n [PinchRecognizer, {enable: false}, ['rotate']],\n [SwipeRecognizer, {direction: DIRECTION_HORIZONTAL}],\n [PanRecognizer, {direction: DIRECTION_HORIZONTAL}, ['swipe']],\n [TapRecognizer],\n [TapRecognizer, {event: 'doubletap', taps: 2}, ['tap']],\n [PressRecognizer]\n ],\n\n /**\n * Some CSS properties can be used to improve the working of Hammer.\n * Add them to this method and they will be set when creating a new Manager.\n * @namespace\n */\n cssProps: {\n /**\n * Disables text selection to improve the dragging gesture. Mainly for desktop browsers.\n * @type {String}\n * @default 'none'\n */\n userSelect: 'none',\n\n /**\n * Disable the Windows Phone grippers when pressing an element.\n * @type {String}\n * @default 'none'\n */\n touchSelect: 'none',\n\n /**\n * Disables the default callout shown when you touch and hold a touch target.\n * On iOS, when you touch and hold a touch target such as a link, Safari displays\n * a callout containing information about the link. This property allows you to disable that callout.\n * @type {String}\n * @default 'none'\n */\n touchCallout: 'none',\n\n /**\n * Specifies whether zooming is enabled. Used by IE10>\n * @type {String}\n * @default 'none'\n */\n contentZooming: 'none',\n\n /**\n * Specifies that an entire element should be draggable instead of its contents. Mainly for desktop browsers.\n * @type {String}\n * @default 'none'\n */\n userDrag: 'none',\n\n /**\n * Overrides the highlight color shown when the user taps a link or a JavaScript\n * clickable element in iOS. This property obeys the alpha value, if specified.\n * @type {String}\n * @default 'rgba(0,0,0,0)'\n */\n tapHighlightColor: 'rgba(0,0,0,0)'\n }\n};\n\nvar STOP = 1;\nvar FORCED_STOP = 2;\n\n/**\n * Manager\n * @param {HTMLElement} element\n * @param {Object} [options]\n * @constructor\n */\nfunction Manager(element, options) {\n this.options = assign({}, Hammer.defaults, options || {});\n\n this.options.inputTarget = this.options.inputTarget || element;\n\n this.handlers = {};\n this.session = {};\n this.recognizers = [];\n this.oldCssProps = {};\n\n this.element = element;\n this.input = createInputInstance(this);\n this.touchAction = new TouchAction(this, this.options.touchAction);\n\n toggleCssProps(this, true);\n\n each(this.options.recognizers, function(item) {\n var recognizer = this.add(new (item[0])(item[1]));\n item[2] && recognizer.recognizeWith(item[2]);\n item[3] && recognizer.requireFailure(item[3]);\n }, this);\n}\n\nManager.prototype = {\n /**\n * set options\n * @param {Object} options\n * @returns {Manager}\n */\n set: function(options) {\n assign(this.options, options);\n\n // Options that need a little more setup\n if (options.touchAction) {\n this.touchAction.update();\n }\n if (options.inputTarget) {\n // Clean up existing event listeners and reinitialize\n this.input.destroy();\n this.input.target = options.inputTarget;\n this.input.init();\n }\n return this;\n },\n\n /**\n * stop recognizing for this session.\n * This session will be discarded, when a new [input]start event is fired.\n * When forced, the recognizer cycle is stopped immediately.\n * @param {Boolean} [force]\n */\n stop: function(force) {\n this.session.stopped = force ? FORCED_STOP : STOP;\n },\n\n /**\n * run the recognizers!\n * called by the inputHandler function on every movement of the pointers (touches)\n * it walks through all the recognizers and tries to detect the gesture that is being made\n * @param {Object} inputData\n */\n recognize: function(inputData) {\n var session = this.session;\n if (session.stopped) {\n return;\n }\n\n // run the touch-action polyfill\n this.touchAction.preventDefaults(inputData);\n\n var recognizer;\n var recognizers = this.recognizers;\n\n // this holds the recognizer that is being recognized.\n // so the recognizer's state needs to be BEGAN, CHANGED, ENDED or RECOGNIZED\n // if no recognizer is detecting a thing, it is set to `null`\n var curRecognizer = session.curRecognizer;\n\n // reset when the last recognizer is recognized\n // or when we're in a new session\n if (!curRecognizer || (curRecognizer && curRecognizer.state & STATE_RECOGNIZED)) {\n curRecognizer = session.curRecognizer = null;\n }\n\n var i = 0;\n while (i < recognizers.length) {\n recognizer = recognizers[i];\n\n // find out if we are allowed try to recognize the input for this one.\n // 1. allow if the session is NOT forced stopped (see the .stop() method)\n // 2. allow if we still haven't recognized a gesture in this session, or the this recognizer is the one\n // that is being recognized.\n // 3. allow if the recognizer is allowed to run simultaneous with the current recognized recognizer.\n // this can be setup with the `recognizeWith()` method on the recognizer.\n if (session.stopped !== FORCED_STOP && ( // 1\n !curRecognizer || recognizer == curRecognizer || // 2\n recognizer.canRecognizeWith(curRecognizer))) { // 3\n recognizer.recognize(inputData);\n } else {\n recognizer.reset();\n }\n\n // if the recognizer has been recognizing the input as a valid gesture, we want to store this one as the\n // current active recognizer. but only if we don't already have an active recognizer\n if (!curRecognizer && recognizer.state & (STATE_BEGAN | STATE_CHANGED | STATE_ENDED)) {\n curRecognizer = session.curRecognizer = recognizer;\n }\n i++;\n }\n },\n\n /**\n * get a recognizer by its event name.\n * @param {Recognizer|String} recognizer\n * @returns {Recognizer|Null}\n */\n get: function(recognizer) {\n if (recognizer instanceof Recognizer) {\n return recognizer;\n }\n\n var recognizers = this.recognizers;\n for (var i = 0; i < recognizers.length; i++) {\n if (recognizers[i].options.event == recognizer) {\n return recognizers[i];\n }\n }\n return null;\n },\n\n /**\n * add a recognizer to the manager\n * existing recognizers with the same event name will be removed\n * @param {Recognizer} recognizer\n * @returns {Recognizer|Manager}\n */\n add: function(recognizer) {\n if (invokeArrayArg(recognizer, 'add', this)) {\n return this;\n }\n\n // remove existing\n var existing = this.get(recognizer.options.event);\n if (existing) {\n this.remove(existing);\n }\n\n this.recognizers.push(recognizer);\n recognizer.manager = this;\n\n this.touchAction.update();\n return recognizer;\n },\n\n /**\n * remove a recognizer by name or instance\n * @param {Recognizer|String} recognizer\n * @returns {Manager}\n */\n remove: function(recognizer) {\n if (invokeArrayArg(recognizer, 'remove', this)) {\n return this;\n }\n\n recognizer = this.get(recognizer);\n\n // let's make sure this recognizer exists\n if (recognizer) {\n var recognizers = this.recognizers;\n var index = inArray(recognizers, recognizer);\n\n if (index !== -1) {\n recognizers.splice(index, 1);\n this.touchAction.update();\n }\n }\n\n return this;\n },\n\n /**\n * bind event\n * @param {String} events\n * @param {Function} handler\n * @returns {EventEmitter} this\n */\n on: function(events, handler) {\n if (events === undefined) {\n return;\n }\n if (handler === undefined) {\n return;\n }\n\n var handlers = this.handlers;\n each(splitStr(events), function(event) {\n handlers[event] = handlers[event] || [];\n handlers[event].push(handler);\n });\n return this;\n },\n\n /**\n * unbind event, leave emit blank to remove all handlers\n * @param {String} events\n * @param {Function} [handler]\n * @returns {EventEmitter} this\n */\n off: function(events, handler) {\n if (events === undefined) {\n return;\n }\n\n var handlers = this.handlers;\n each(splitStr(events), function(event) {\n if (!handler) {\n delete handlers[event];\n } else {\n handlers[event] && handlers[event].splice(inArray(handlers[event], handler), 1);\n }\n });\n return this;\n },\n\n /**\n * emit event to the listeners\n * @param {String} event\n * @param {Object} data\n */\n emit: function(event, data) {\n // we also want to trigger dom events\n if (this.options.domEvents) {\n triggerDomEvent(event, data);\n }\n\n // no handlers, so skip it all\n var handlers = this.handlers[event] && this.handlers[event].slice();\n if (!handlers || !handlers.length) {\n return;\n }\n\n data.type = event;\n data.preventDefault = function() {\n data.srcEvent.preventDefault();\n };\n\n var i = 0;\n while (i < handlers.length) {\n handlers[i](data);\n i++;\n }\n },\n\n /**\n * destroy the manager and unbinds all events\n * it doesn't unbind dom events, that is the user own responsibility\n */\n destroy: function() {\n this.element && toggleCssProps(this, false);\n\n this.handlers = {};\n this.session = {};\n this.input.destroy();\n this.element = null;\n }\n};\n\n/**\n * add/remove the css properties as defined in manager.options.cssProps\n * @param {Manager} manager\n * @param {Boolean} add\n */\nfunction toggleCssProps(manager, add) {\n var element = manager.element;\n if (!element.style) {\n return;\n }\n var prop;\n each(manager.options.cssProps, function(value, name) {\n prop = prefixed(element.style, name);\n if (add) {\n manager.oldCssProps[prop] = element.style[prop];\n element.style[prop] = value;\n } else {\n element.style[prop] = manager.oldCssProps[prop] || '';\n }\n });\n if (!add) {\n manager.oldCssProps = {};\n }\n}\n\n/**\n * trigger dom event\n * @param {String} event\n * @param {Object} data\n */\nfunction triggerDomEvent(event, data) {\n var gestureEvent = document.createEvent('Event');\n gestureEvent.initEvent(event, true, true);\n gestureEvent.gesture = data;\n data.target.dispatchEvent(gestureEvent);\n}\n\nassign(Hammer, {\n INPUT_START: INPUT_START,\n INPUT_MOVE: INPUT_MOVE,\n INPUT_END: INPUT_END,\n INPUT_CANCEL: INPUT_CANCEL,\n\n STATE_POSSIBLE: STATE_POSSIBLE,\n STATE_BEGAN: STATE_BEGAN,\n STATE_CHANGED: STATE_CHANGED,\n STATE_ENDED: STATE_ENDED,\n STATE_RECOGNIZED: STATE_RECOGNIZED,\n STATE_CANCELLED: STATE_CANCELLED,\n STATE_FAILED: STATE_FAILED,\n\n DIRECTION_NONE: DIRECTION_NONE,\n DIRECTION_LEFT: DIRECTION_LEFT,\n DIRECTION_RIGHT: DIRECTION_RIGHT,\n DIRECTION_UP: DIRECTION_UP,\n DIRECTION_DOWN: DIRECTION_DOWN,\n DIRECTION_HORIZONTAL: DIRECTION_HORIZONTAL,\n DIRECTION_VERTICAL: DIRECTION_VERTICAL,\n DIRECTION_ALL: DIRECTION_ALL,\n\n Manager: Manager,\n Input: Input,\n TouchAction: TouchAction,\n\n TouchInput: TouchInput,\n MouseInput: MouseInput,\n PointerEventInput: PointerEventInput,\n TouchMouseInput: TouchMouseInput,\n SingleTouchInput: SingleTouchInput,\n\n Recognizer: Recognizer,\n AttrRecognizer: AttrRecognizer,\n Tap: TapRecognizer,\n Pan: PanRecognizer,\n Swipe: SwipeRecognizer,\n Pinch: PinchRecognizer,\n Rotate: RotateRecognizer,\n Press: PressRecognizer,\n\n on: addEventListeners,\n off: removeEventListeners,\n each: each,\n merge: merge,\n extend: extend,\n assign: assign,\n inherit: inherit,\n bindFn: bindFn,\n prefixed: prefixed\n});\n\n// this prevents errors when Hammer is loaded in the presence of an AMD\n// style loader but by script tag, not by the loader.\nvar freeGlobal = (typeof window !== 'undefined' ? window : (typeof self !== 'undefined' ? self : {})); // jshint ignore:line\nfreeGlobal.Hammer = Hammer;\n\nif (typeof define === 'function' && define.amd) {\n define(function() {\n return Hammer;\n });\n} else if (typeof module != 'undefined' && module.exports) {\n module.exports = Hammer;\n} else {\n window[exportName] = Hammer;\n}\n\n})(window, document, 'Hammer');\n"],"sourceRoot":""} \ No newline at end of file
diff --git a/js/viewer.js b/js/viewer.js
index 4e304855a..ea3857ed2 100644
--- a/js/viewer.js
+++ b/js/viewer.js
@@ -1,4 +1,4 @@
-!function(e){function t(t){for(var i,a,c=t[0],r=t[1],n=0,g=[];n<c.length;n++)a=c[n],Object.prototype.hasOwnProperty.call(h,a)&&h[a]&&g.push(h[a][0]),h[a]=0;for(i in r)Object.prototype.hasOwnProperty.call(r,i)&&(e[i]=r[i]);for(l&&l(t);g.length;)g.shift()()}var i={},h={200:0};function a(t){if(i[t])return i[t].exports;var h=i[t]={i:t,l:!1,exports:{}};return e[t].call(h.exports,h,h.exports,a),h.l=!0,h.exports}a.e=function(e){var t=[],i=h[e];if(0!==i)if(i)t.push(i[2]);else{var c=new Promise((function(t,a){i=h[e]=[t,a]}));t.push(i[2]=c);var r,n=document.createElement("script");n.charset="utf-8",n.timeout=120,a.nc&&n.setAttribute("nonce",a.nc),n.src=function(e){return a.p+""+({0:"vendor",1:"vendors~editor-collab~editor-guest~editor-rich~files-modal",2:"highlight/1c",3:"highlight/abnf",4:"highlight/accesslog",5:"highlight/actionscript",6:"highlight/ada",7:"highlight/angelscript",8:"highlight/apache",9:"highlight/applescript",10:"highlight/arcade",11:"highlight/arduino",12:"highlight/armasm",13:"highlight/asciidoc",14:"highlight/aspectj",15:"highlight/autohotkey",16:"highlight/autoit",17:"highlight/avrasm",18:"highlight/awk",19:"highlight/axapta",20:"highlight/bash",21:"highlight/basic",22:"highlight/bnf",23:"highlight/brainfuck",24:"highlight/cal",25:"highlight/capnproto",26:"highlight/ceylon",27:"highlight/clean",28:"highlight/clojure",29:"highlight/clojure-repl",30:"highlight/cmake",31:"highlight/coffeescript",32:"highlight/coq",33:"highlight/cos",34:"highlight/cpp",35:"highlight/crmsh",36:"highlight/crystal",37:"highlight/cs",38:"highlight/csp",39:"highlight/css",40:"highlight/d",41:"highlight/dart",42:"highlight/delphi",43:"highlight/diff",44:"highlight/django",45:"highlight/dns",46:"highlight/dockerfile",47:"highlight/dos",48:"highlight/dsconfig",49:"highlight/dts",50:"highlight/dust",51:"highlight/ebnf",52:"highlight/elixir",53:"highlight/elm",54:"highlight/erb",55:"highlight/erlang",56:"highlight/erlang-repl",57:"highlight/excel",58:"highlight/fix",59:"highlight/flix",60:"highlight/fortran",61:"highlight/fsharp",62:"highlight/gams",63:"highlight/gauss",64:"highlight/gcode",65:"highlight/gherkin",66:"highlight/glsl",67:"highlight/gml",68:"highlight/go",69:"highlight/golo",70:"highlight/gradle",71:"highlight/groovy",72:"highlight/haml",73:"highlight/handlebars",74:"highlight/haskell",75:"highlight/haxe",76:"highlight/hsp",77:"highlight/htmlbars",78:"highlight/http",79:"highlight/hy",80:"highlight/inform7",81:"highlight/ini",82:"highlight/irpf90",83:"highlight/isbl",84:"highlight/java",85:"highlight/javascript",86:"highlight/jboss-cli",87:"highlight/json",88:"highlight/julia",89:"highlight/julia-repl",90:"highlight/kotlin",91:"highlight/lasso",92:"highlight/ldif",93:"highlight/leaf",94:"highlight/less",95:"highlight/lisp",96:"highlight/livecodeserver",97:"highlight/livescript",98:"highlight/llvm",99:"highlight/lsl",100:"highlight/lua",101:"highlight/makefile",102:"highlight/markdown",103:"highlight/mathematica",104:"highlight/matlab",105:"highlight/maxima",106:"highlight/mel",107:"highlight/mercury",108:"highlight/mipsasm",109:"highlight/mizar",110:"highlight/mojolicious",111:"highlight/monkey",112:"highlight/moonscript",113:"highlight/n1ql",114:"highlight/nginx",115:"highlight/nimrod",116:"highlight/nix",117:"highlight/nsis",118:"highlight/objectivec",119:"highlight/ocaml",120:"highlight/openscad",121:"highlight/oxygene",122:"highlight/parser3",123:"highlight/perl",124:"highlight/pf",125:"highlight/pgsql",126:"highlight/php",127:"highlight/plaintext",128:"highlight/pony",129:"highlight/powershell",130:"highlight/processing",131:"highlight/profile",132:"highlight/prolog",133:"highlight/properties",134:"highlight/protobuf",135:"highlight/puppet",136:"highlight/purebasic",137:"highlight/python",138:"highlight/q",139:"highlight/qml",140:"highlight/r",141:"highlight/reasonml",142:"highlight/rib",143:"highlight/roboconf",144:"highlight/routeros",145:"highlight/rsl",146:"highlight/ruby",147:"highlight/ruleslanguage",148:"highlight/rust",149:"highlight/sas",150:"highlight/scala",151:"highlight/scheme",152:"highlight/scilab",153:"highlight/scss",154:"highlight/shell",155:"highlight/smali",156:"highlight/smalltalk",157:"highlight/sml",158:"highlight/sqf",159:"highlight/sql",160:"highlight/stan",161:"highlight/stata",162:"highlight/step21",163:"highlight/stylus",164:"highlight/subunit",165:"highlight/swift",166:"highlight/taggerscript",167:"highlight/tap",168:"highlight/tcl",169:"highlight/tex",170:"highlight/thrift",171:"highlight/tp",172:"highlight/twig",173:"highlight/typescript",174:"highlight/vala",175:"highlight/vbnet",176:"highlight/vbscript",177:"highlight/vbscript-html",178:"highlight/verilog",179:"highlight/vhdl",180:"highlight/vim",181:"highlight/x86asm",182:"highlight/xl",183:"highlight/xml",184:"highlight/xquery",185:"highlight/yaml",186:"highlight/zephir",187:"vendors~editor-collab~editor-guest",188:"vendors~editor~files-modal",189:"editor",190:"editor-collab",191:"editor-guest",192:"editor-rich",194:"files-modal",197:"vendors~editor",198:"vendors~editor-rich",199:"vendors~files-modal"}[e]||e)+".js?v="+{0:"ed141b91c3a00e287c4c",1:"b526403ab64d5164f29b",2:"9cc569982de7443f974e",3:"8934502764debdbf47fe",4:"a95440520bd82b11c0f3",5:"f8b1f84d39c3d1f1e3bd",6:"e656029399ef6f83160c",7:"104479551edd172ad73e",8:"fbb59dc9fb3be5109bcc",9:"5e2e0f261e76c85d3a9d",10:"f349d212d16773240eeb",11:"c7f7bc33077eaff25b13",12:"b9f39d176581d2a05635",13:"827bcc9381bce9f2a12b",14:"3a2c10b412d047a60bd8",15:"feb1d1e2c65a332af9bf",16:"0cba5e452dfcc760d998",17:"670f9f95aaff5410673d",18:"8908b67ae2d46f588034",19:"e0f104cf2339dde93596",20:"61cc9cd76682ca7d6c9c",21:"5edbbab081eede46fe8d",22:"c308a241ae1a184f9629",23:"69a1d83d373c8e516a75",24:"b7ddfebfd6277dccb1ab",25:"74038533676091b4e205",26:"04943cf8159d3a6b7e7c",27:"d10832fa81004232164f",28:"c3e78ed772be50fe99d6",29:"cb9bd3633080a139fafc",30:"bfc695841df82d72642c",31:"68737ba6bd067e9713bd",32:"8edf814709e41a621c00",33:"e6c665aab6af75c3d662",34:"146c01012c96f04cb22e",35:"8d010e787091697e7890",36:"6ff571801a33c4c3d23f",37:"c96931208c9707ba550d",38:"06cc48e69fd68d1ce5af",39:"30d1fed026d1d9dd19d9",40:"804b4b3ff6753c8c1c43",41:"e66fee2b0448bc1f6a9c",42:"5da15a69249959cbdb60",43:"ab32283b9049df8d470b",44:"b053b56cf0aae271b5ee",45:"625803695a16972bdd7d",46:"3bc9854c21810d4e263a",47:"c12e545c5010d19f0a58",48:"8efbb91ad56c3968ed1f",49:"92d947b623617aa3eece",50:"7cea281ba25ba8179ba6",51:"efbdc8a740fc5f59526a",52:"0a0dc45473581e3c61a4",53:"7f111a57a9520e4338e6",54:"6ccc1724f23c9880b1af",55:"1db2104639486ce6a886",56:"0ea1e64f690e80a51ef8",57:"f7d5755284641d7499f6",58:"ff4b8a653e14b25452ee",59:"e9a31c00d950fd74fd30",60:"7b9d865da00740ad6235",61:"e52ae1f393a85870b0db",62:"80b8ca041bdcc1368596",63:"bb78d535d122c148ce9f",64:"c938bd5783c1cba9271e",65:"34f40631f4912e010eb4",66:"4a5b068975eb4fe5fc1a",67:"8603d4cd1b8ec3850173",68:"9184313b6a16567d122d",69:"e849b1f0d657b6bcedcc",70:"db86e40009abe2cb833f",71:"f2c66ec3181a23a20bb3",72:"8e8b1a6c7418a162ca0c",73:"7f8238c2027977ba846f",74:"8d4d5c447105b87a1561",75:"e083aa45046ae27dd8f9",76:"2e120e96171c74f2c192",77:"e2fad7bd884a27dbd116",78:"98335291648e3efa80a0",79:"b68c0a22eafb43ae73f2",80:"5901c54722f00ddb0fff",81:"0c070095cd563fb283f9",82:"fec83e19cc60434e549e",83:"1dbac8b292d7170cad4f",84:"e951995b14ff42ec76d5",85:"e0920c0f4c233fc35617",86:"909664c829c66b37ea84",87:"b505e6d42584ab0c7485",88:"e1bf63845c6da47deabd",89:"dc7ad4072726ba046f85",90:"8db560e208052754ae35",91:"5d5ecb397905792cd093",92:"f0cb3fbeaac6d1eef3d4",93:"4a0551bc1bc454a08f23",94:"20b8bc8ae962f4e3e0d3",95:"2b754318c79c4732d941",96:"6683a8df27a1e8e548cd",97:"2a3b108b77565b4b13ce",98:"de8eeeb74721bdcb4f62",99:"3e02aba5fc7b6b630780",100:"f4717f9fd32db6f93186",101:"7d08093791d0dd523e0a",102:"c87b4d23ef01e373209c",103:"bfcff5869f59f182fad6",104:"2ee9b6533b8cb874f361",105:"046dd34749d3b80b55b8",106:"216bf44da3da1098cda3",107:"ea4494b7e22551cecffb",108:"85abbb968434f2b20037",109:"82f47ef94dd9d67243a3",110:"646a52273319f1a0360e",111:"79c7c5fb901ec2f5afba",112:"259c468782b9a9863fc3",113:"819e9f111e7e6fcc1b0c",114:"9e45882f2d58060a2f02",115:"930e05a008dfa1d6ac01",116:"941a6c647f5f859f2333",117:"ff1f31092556ed517fc8",118:"11b6edb8bf4fc34b0bee",119:"26257cd9b184fb1a5c03",120:"7acd43d1048beb6cd2a2",121:"078b873ba9e78fa502da",122:"88c7a8cd25f6944801f9",123:"f8bf6d3c46f3315f43ed",124:"d6fb6a80350420c0a6f9",125:"cac13aff011c525bc9d7",126:"0eb2c247aae16b02f68d",127:"498081833cfcab05f826",128:"e4396af24945aecbd6d6",129:"0cf6db049788858d088d",130:"ffb6de30b7f89545e60c",131:"7cbb5b2fb0da3a51e144",132:"8108bc3f700a95263091",133:"a559582eda72a2e1f541",134:"88f6a3bcc077da83c858",135:"99676849ca57736cf88c",136:"21fd409ca5694de6309f",137:"297b9577b7cfff307bf0",138:"72ed8b5fc8665e5f06a9",139:"c0c830adc1a56d1b859d",140:"6c3dc37fcd75ccd3ec9b",141:"696ba59a793c33686d03",142:"16409453f26eabc69e8e",143:"597706970806bd69104f",144:"c16c9e14a4fae991856e",145:"0fb08bc1cfc2e430eaff",146:"e121f914ab1ce1f732ae",147:"84abdf1e13c43bb56fce",148:"daf2a80f2e0a56bedf82",149:"ba794444b6b6c17f490b",150:"cddb8e9bdb96da263c4f",151:"4ac4a448fb305c6aa2d1",152:"430c663b16533ace64db",153:"6e2c220181580676a4c1",154:"1b3adb8f912ffbac3be6",155:"8f1c1ff1344ff05a9a6d",156:"0e1ca20397f17d390f66",157:"9759864c5643a000f457",158:"f5d83762546e331af60e",159:"9e602e4836c8d4411632",160:"b78635dc2335bb0f7020",161:"0709e61532b44bbb912d",162:"3b98eda3d9a0629bc5d2",163:"c872d3944a2bc3799059",164:"2fe4efa9d54da3cab486",165:"db5cdb7a129af4b1f4bb",166:"2808c62683ede878eeeb",167:"aa7ff5d3fc416ad1d3c4",168:"b5af61b0f40933752a8c",169:"6f1f5567056e9ef490ba",170:"87cf85eff150505675ca",171:"f2411c2c74270ce81d52",172:"1e761e47062a79129dfc",173:"8ee2ac43425e97a68b30",174:"c596ef91f72126723c09",175:"2f85db1ca98a0a0b2e08",176:"b63fadbabeeffa3e7297",177:"a7ac21a917bbd8e7c5bf",178:"f999bb4dba18d55d2701",179:"534165910a2e66f505b5",180:"0930b39394e5c4e5b9c4",181:"c14e03ac28d97e1a2d6f",182:"79466549c0c67fec7bbc",183:"106ae920216b54c5ba56",184:"08968ac1851deb2d5eaa",185:"f59154c9d8046c81c18d",186:"5a89a2d882980e9f48e1",187:"12d203d6dad17366477c",188:"52bb514bab8d0c1423ed",189:"b9981ca8a64832b3b257",190:"bbfda5a02511351a232c",191:"e1bf59729a8b74be4d0d",192:"e548ee7cc67f1a27e8c9",194:"a005c419ab452b870d8d",197:"120d4b01f30f8b7368ba",198:"934bbece9404fd23da49",199:"3520de68cd23fc6b8a5b"}[e]}(e);var l=new Error;r=function(t){n.onerror=n.onload=null,clearTimeout(g);var i=h[e];if(0!==i){if(i){var a=t&&("load"===t.type?"missing":t.type),c=t&&t.target&&t.target.src;l.message="Loading chunk "+e+" failed.\n("+a+": "+c+")",l.name="ChunkLoadError",l.type=a,l.request=c,i[1](l)}h[e]=void 0}};var g=setTimeout((function(){r({type:"timeout",target:n})}),12e4);n.onerror=n.onload=r,document.head.appendChild(n)}return Promise.all(t)},a.m=e,a.c=i,a.d=function(e,t,i){a.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:i})},a.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},a.t=function(e,t){if(1&t&&(e=a(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var i=Object.create(null);if(a.r(i),Object.defineProperty(i,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var h in e)a.d(i,h,function(t){return e[t]}.bind(null,h));return i},a.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return a.d(t,"a",t),t},a.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},a.p="/js/",a.oe=function(e){throw console.error(e),e};var c=window.textWebpackJsonp=window.textWebpackJsonp||[],r=c.push.bind(c);c.push=t,c=c.slice();for(var n=0;n<c.length;n++)t(c[n]);var l=r;a(a.s=175)}({13:function(e,t,i){"use strict";i.d(t,"a",(function(){return c})),i.d(t,"b",(function(){return h})),i.d(t,"c",(function(){return a}));
+!function(e){function t(t){for(var i,a,c=t[0],r=t[1],n=0,f=[];n<c.length;n++)a=c[n],Object.prototype.hasOwnProperty.call(h,a)&&h[a]&&f.push(h[a][0]),h[a]=0;for(i in r)Object.prototype.hasOwnProperty.call(r,i)&&(e[i]=r[i]);for(l&&l(t);f.length;)f.shift()()}var i={},h={200:0};function a(t){if(i[t])return i[t].exports;var h=i[t]={i:t,l:!1,exports:{}};return e[t].call(h.exports,h,h.exports,a),h.l=!0,h.exports}a.e=function(e){var t=[],i=h[e];if(0!==i)if(i)t.push(i[2]);else{var c=new Promise((function(t,a){i=h[e]=[t,a]}));t.push(i[2]=c);var r,n=document.createElement("script");n.charset="utf-8",n.timeout=120,a.nc&&n.setAttribute("nonce",a.nc),n.src=function(e){return a.p+""+({0:"vendor",1:"vendors~editor-collab~editor-guest~editor-rich~files-modal",2:"highlight/1c",3:"highlight/abnf",4:"highlight/accesslog",5:"highlight/actionscript",6:"highlight/ada",7:"highlight/angelscript",8:"highlight/apache",9:"highlight/applescript",10:"highlight/arcade",11:"highlight/arduino",12:"highlight/armasm",13:"highlight/asciidoc",14:"highlight/aspectj",15:"highlight/autohotkey",16:"highlight/autoit",17:"highlight/avrasm",18:"highlight/awk",19:"highlight/axapta",20:"highlight/bash",21:"highlight/basic",22:"highlight/bnf",23:"highlight/brainfuck",24:"highlight/cal",25:"highlight/capnproto",26:"highlight/ceylon",27:"highlight/clean",28:"highlight/clojure",29:"highlight/clojure-repl",30:"highlight/cmake",31:"highlight/coffeescript",32:"highlight/coq",33:"highlight/cos",34:"highlight/cpp",35:"highlight/crmsh",36:"highlight/crystal",37:"highlight/cs",38:"highlight/csp",39:"highlight/css",40:"highlight/d",41:"highlight/dart",42:"highlight/delphi",43:"highlight/diff",44:"highlight/django",45:"highlight/dns",46:"highlight/dockerfile",47:"highlight/dos",48:"highlight/dsconfig",49:"highlight/dts",50:"highlight/dust",51:"highlight/ebnf",52:"highlight/elixir",53:"highlight/elm",54:"highlight/erb",55:"highlight/erlang",56:"highlight/erlang-repl",57:"highlight/excel",58:"highlight/fix",59:"highlight/flix",60:"highlight/fortran",61:"highlight/fsharp",62:"highlight/gams",63:"highlight/gauss",64:"highlight/gcode",65:"highlight/gherkin",66:"highlight/glsl",67:"highlight/gml",68:"highlight/go",69:"highlight/golo",70:"highlight/gradle",71:"highlight/groovy",72:"highlight/haml",73:"highlight/handlebars",74:"highlight/haskell",75:"highlight/haxe",76:"highlight/hsp",77:"highlight/htmlbars",78:"highlight/http",79:"highlight/hy",80:"highlight/inform7",81:"highlight/ini",82:"highlight/irpf90",83:"highlight/isbl",84:"highlight/java",85:"highlight/javascript",86:"highlight/jboss-cli",87:"highlight/json",88:"highlight/julia",89:"highlight/julia-repl",90:"highlight/kotlin",91:"highlight/lasso",92:"highlight/ldif",93:"highlight/leaf",94:"highlight/less",95:"highlight/lisp",96:"highlight/livecodeserver",97:"highlight/livescript",98:"highlight/llvm",99:"highlight/lsl",100:"highlight/lua",101:"highlight/makefile",102:"highlight/markdown",103:"highlight/mathematica",104:"highlight/matlab",105:"highlight/maxima",106:"highlight/mel",107:"highlight/mercury",108:"highlight/mipsasm",109:"highlight/mizar",110:"highlight/mojolicious",111:"highlight/monkey",112:"highlight/moonscript",113:"highlight/n1ql",114:"highlight/nginx",115:"highlight/nimrod",116:"highlight/nix",117:"highlight/nsis",118:"highlight/objectivec",119:"highlight/ocaml",120:"highlight/openscad",121:"highlight/oxygene",122:"highlight/parser3",123:"highlight/perl",124:"highlight/pf",125:"highlight/pgsql",126:"highlight/php",127:"highlight/plaintext",128:"highlight/pony",129:"highlight/powershell",130:"highlight/processing",131:"highlight/profile",132:"highlight/prolog",133:"highlight/properties",134:"highlight/protobuf",135:"highlight/puppet",136:"highlight/purebasic",137:"highlight/python",138:"highlight/q",139:"highlight/qml",140:"highlight/r",141:"highlight/reasonml",142:"highlight/rib",143:"highlight/roboconf",144:"highlight/routeros",145:"highlight/rsl",146:"highlight/ruby",147:"highlight/ruleslanguage",148:"highlight/rust",149:"highlight/sas",150:"highlight/scala",151:"highlight/scheme",152:"highlight/scilab",153:"highlight/scss",154:"highlight/shell",155:"highlight/smali",156:"highlight/smalltalk",157:"highlight/sml",158:"highlight/sqf",159:"highlight/sql",160:"highlight/stan",161:"highlight/stata",162:"highlight/step21",163:"highlight/stylus",164:"highlight/subunit",165:"highlight/swift",166:"highlight/taggerscript",167:"highlight/tap",168:"highlight/tcl",169:"highlight/tex",170:"highlight/thrift",171:"highlight/tp",172:"highlight/twig",173:"highlight/typescript",174:"highlight/vala",175:"highlight/vbnet",176:"highlight/vbscript",177:"highlight/vbscript-html",178:"highlight/verilog",179:"highlight/vhdl",180:"highlight/vim",181:"highlight/x86asm",182:"highlight/xl",183:"highlight/xml",184:"highlight/xquery",185:"highlight/yaml",186:"highlight/zephir",187:"vendors~editor-collab~editor-guest",188:"vendors~editor~files-modal",189:"editor",190:"editor-collab",191:"editor-guest",192:"editor-rich",194:"files-modal",197:"vendors~editor",198:"vendors~editor-rich",199:"vendors~files-modal"}[e]||e)+".js?v="+{0:"b6a87e35c196cb18a193",1:"feb5918c44017d3ba256",2:"071b3beac1c09e6dc1f3",3:"02b6bf25401bff70e1ce",4:"06349a10fcba1fef2b08",5:"6f038c3b24b5205c8575",6:"facf339fc268c8300b58",7:"af2f947766977426f44f",8:"3a8af9aa690812789651",9:"3fa6a2dd879cbdba71ff",10:"24c8182859e17c1c1fca",11:"3cc7f34e3359919e423e",12:"c0eb0a244d0cb39704e6",13:"ca2812e6dfd669b9ed9e",14:"80494a33a16258364dd1",15:"eb67f56dc4c79e97cfb0",16:"b1c2c197768faebf2efc",17:"03440adea0408be2ab13",18:"f14a658a37d21c4300ae",19:"06a1e9a27797e6036fb9",20:"63e8c97d235cf8f2ef15",21:"05e2ec59a02550149906",22:"4d6660fa6ec96528db4a",23:"bb63714d6fef384603dd",24:"6f4fd50b6a017394d4ef",25:"407e150d0cddfc330a11",26:"ce3ee1e47a64b4c9d026",27:"2d664db16483c452e781",28:"a3a920317593707a929f",29:"1202ed203adda685cb20",30:"09e7844c496dacc56656",31:"3cdfa9538f890fa46bb1",32:"ebfcf67e77ab98acbcd1",33:"b8d0058fb8891ff39f37",34:"30d813319f34a0cddfeb",35:"1f15edbd9461b481ce4c",36:"897ee94b0f1b39f3d24a",37:"f492adc31805a0412549",38:"fe3bd1b963f4b889cf8e",39:"239e072113e160b67e91",40:"f39807cf7bdaf8526a15",41:"9b1df6b3d1dd1115e1e8",42:"09b2d711deb25f958472",43:"0a4af5e0ac26e74fb458",44:"eca9bda3374febe1408f",45:"bfd30fc702acf9b5b234",46:"459bafbfedeedec393f2",47:"e8fe346d7dd1651dc9fc",48:"a7a41eca2b432ecb456c",49:"78f8dd3917437cc93e18",50:"ee32b8fb945aa398bec1",51:"bcbee3a03044a8950774",52:"7b450fbefd2e3706ec70",53:"2926d9f5b9996ea173dc",54:"528937053c9973030a88",55:"2b7f25a3f54ef89fa6e3",56:"9260d01a166e0b5a57a6",57:"be8879bc01887c64e79b",58:"996d2460737c6c1b0e81",59:"9a35d6dc0ded8bce2582",60:"a4301b687567a5797eae",61:"fa47cb385b5375960fea",62:"9a0bf8a54e622f03528d",63:"3cee1c94793426c6fe0c",64:"10f4e9c5ca4207cf2739",65:"3985cce434296c7eb5c2",66:"f199328703a109721e77",67:"3e195b8b02db26ba5c7a",68:"8ba3db8152267cfaeb1b",69:"2dbea908dd7c4a0e74a1",70:"c4208dc434db3ef75467",71:"1bea4a55bf6330dd337c",72:"5403fd41ba6dadfb0069",73:"c6726e29b97cafb89aa2",74:"b50375f6d30f874d112b",75:"18918d37d2462dedbe24",76:"104df33b1e29b92c7e05",77:"230f0f7e75220878d753",78:"3620b0f6777c0d97d088",79:"e5519677d9528132d1b2",80:"6a6088db96341850bc6c",81:"5e0594b511ddf2286b2b",82:"a5f154fc0aec26d1af48",83:"4fea3cfbb47bcfc112f0",84:"6365dabe44f0ef66ded3",85:"0e1f9cfb325c38778c49",86:"737c3cc7bf7ec7e294eb",87:"829ab49f163323ee3597",88:"8dd0066b61f6587f1521",89:"8e6c3e163fdfd0858593",90:"908502cdb9c2f5fa2f14",91:"bb9a0eb8fe9fc64468cd",92:"b691a0396ca5de03ccd0",93:"9b1fcbab9c153d40a96b",94:"40d3ddabc330eb532413",95:"6bf858fab0e8d2e0283c",96:"4fe5a2c2f2d3e9759ff9",97:"12080a42229846062a9e",98:"53196626494a177837ab",99:"e6b4f915ea180b8d3b8a",100:"3a5f0e3c9c3b2d169fa5",101:"f20d61205575024461a4",102:"c176b1db2cd4865699c5",103:"483c94b31a85fa90f286",104:"e8ce50b033797edb4dab",105:"fac046a1f51230c115ad",106:"93c1bcf6baa80a731571",107:"414e423c5fed49ecb7a7",108:"9d5609deeea481d092a0",109:"6a29b8b667b4e9803831",110:"85f3d6a6fa8c94b59441",111:"4a3f216687a251cca431",112:"55d2478df875c48f0655",113:"3eadea73e515fd20b16e",114:"49b0f012825d96845ad4",115:"614d2341ad81de37a0a4",116:"543a514ee50dabba2c30",117:"5399d10990ccbbed2bc7",118:"dc7961e08feeaf5cdc07",119:"a02034d17acf2dfff765",120:"1a3f064db30c34258c09",121:"ba5037471c571831e853",122:"339b50117d4d287cf385",123:"bd941caa5d2f53d1d4b0",124:"07ab8fb74ff9bea845d5",125:"d9951f80e532cb8787b6",126:"8e97e0f2a5e2dbf035c8",127:"fbdfa92af2a410a157a5",128:"646d098d07d6d4da4fed",129:"3b4d9a58526a7741dcc7",130:"7948820b23eb0c62404f",131:"fdf3ed035e0369367eeb",132:"cdd8ccb02fffc1349738",133:"233db3ec5ccf7b2f5678",134:"3bf8fd57b29d88129b8f",135:"c492283b4dd2f97fcc07",136:"6c054c58d6a66b30d59f",137:"84414e9cc771f4cd88cf",138:"cfc52fa64ad538a93956",139:"a084023e960fba8dd47a",140:"10eec06a86dfeed3f165",141:"fe58cb5669ed32875a14",142:"58da31919b9b8a36647c",143:"6f6f8504ef26f304d74a",144:"98591744cbe1686b2e09",145:"54ebb876ef10f26f55f1",146:"cd038323b8dc2746f9ff",147:"ed71a0b324668c95b36d",148:"a3b4445f438d4ad1a303",149:"e42f265b747fb02b2df0",150:"fdb9f0a690d8cedb78c0",151:"4b3f9672baef038f2fbb",152:"4ed66f30d02c3d664950",153:"96dd81098350c7a5d92f",154:"1f4a8a17e05fbb11d688",155:"e3e6a82a8a5388a962b5",156:"1ca3a978cfdc9b49c6ec",157:"5da35776878ca5d6695f",158:"c99e663a86f2f2812d8c",159:"90c3cd67631dc8669de1",160:"9dd3a4734930fcff429e",161:"e4dfcdbed07b2fc923a4",162:"27eb26d60b4ed52a8cfe",163:"56a827ad5ecdb729d8e0",164:"77e46e77ce7f848e97e0",165:"e53f833abdedc4f118f9",166:"2e6a7aab79d8f765e78d",167:"82205764ac320b4a5907",168:"e5184691fd9e113a0b51",169:"57946c72e9f255a3184d",170:"b299c11dfb1a95970d0e",171:"d2822f4dfe393b7f225f",172:"be02ab9602ea44f5b8b7",173:"3edb6a685de2ba7d9067",174:"4b5209018d66028ae9d5",175:"e77f394c4ae6478b2c82",176:"63548036ec688dd55835",177:"73060daa5328bd173d80",178:"3f5c84f2ffb2818d5b42",179:"ba92adfe7ff24de3a002",180:"b85fdd69c3163b23cd4f",181:"f0569dd5247f481195bd",182:"f957f27aa1e0aad8b63e",183:"b4ed61a972de16719173",184:"b4400b1574e079783a8c",185:"5bccbb3a33a0c2898445",186:"b7ed8a9b4a93f789e4bd",187:"c9868841dbd0da14f4c2",188:"941e6f21e484ae4d0350",189:"6ee3c36190dc54802157",190:"7113b14aff5f5f406e65",191:"18fd63d85d2025596fb4",192:"e3894d020f96c922f4df",194:"10f4a3e4df93b5fd8e9a",197:"4ca0f6ac0902477b585a",198:"29d438f918f0be3868ae",199:"974d8a5edd0f4a8a98d0"}[e]}(e);var l=new Error;r=function(t){n.onerror=n.onload=null,clearTimeout(f);var i=h[e];if(0!==i){if(i){var a=t&&("load"===t.type?"missing":t.type),c=t&&t.target&&t.target.src;l.message="Loading chunk "+e+" failed.\n("+a+": "+c+")",l.name="ChunkLoadError",l.type=a,l.request=c,i[1](l)}h[e]=void 0}};var f=setTimeout((function(){r({type:"timeout",target:n})}),12e4);n.onerror=n.onload=r,document.head.appendChild(n)}return Promise.all(t)},a.m=e,a.c=i,a.d=function(e,t,i){a.o(e,t)||Object.defineProperty(e,t,{enumerable:!0,get:i})},a.r=function(e){"undefined"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(e,Symbol.toStringTag,{value:"Module"}),Object.defineProperty(e,"__esModule",{value:!0})},a.t=function(e,t){if(1&t&&(e=a(e)),8&t)return e;if(4&t&&"object"==typeof e&&e&&e.__esModule)return e;var i=Object.create(null);if(a.r(i),Object.defineProperty(i,"default",{enumerable:!0,value:e}),2&t&&"string"!=typeof e)for(var h in e)a.d(i,h,function(t){return e[t]}.bind(null,h));return i},a.n=function(e){var t=e&&e.__esModule?function(){return e.default}:function(){return e};return a.d(t,"a",t),t},a.o=function(e,t){return Object.prototype.hasOwnProperty.call(e,t)},a.p="/js/",a.oe=function(e){throw console.error(e),e};var c=window.textWebpackJsonp=window.textWebpackJsonp||[],r=c.push.bind(c);c.push=t,c=c.slice();for(var n=0;n<c.length;n++)t(c[n]);var l=r;a(a.s=175)}({13:function(e,t,i){"use strict";i.d(t,"a",(function(){return c})),i.d(t,"b",(function(){return h})),i.d(t,"c",(function(){return a}));
/*
* @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>
*
@@ -41,5 +41,5 @@ var h=["text/markdown"],a=["text/plain","application/cmd","application/x-empty",
* You should have received a copy of the GNU Affero General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/>.
*
- */i.nc=btoa(OC.requestToken),i.p=OC.linkTo("text","js/"),document.addEventListener("DOMContentLoaded",(function(){void 0!==OCA.Viewer?OCA.Viewer.registerHandler({id:"text",mimes:[].concat(n(r.b),n(r.c)),component:c,group:null}):console.error("Viewer app is not installed")}))},18:function(e,t,i){"use strict";function h(e,t,i,h,a,c,r,n){var l,g="function"==typeof e?e.options:e;if(t&&(g.render=t,g.staticRenderFns=i,g._compiled=!0),h&&(g.functional=!0),c&&(g._scopeId="data-v-"+c),r?(l=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),a&&a.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(r)},g._ssrRegister=l):a&&(l=n?function(){a.call(this,(g.functional?this.parent:this).$root.$options.shadowRoot)}:a),l)if(g.functional){g._injectStyles=l;var f=g.render;g.render=function(e,t){return l.call(t),f(e,t)}}else{var d=g.beforeCreate;g.beforeCreate=d?[].concat(d,l):[l]}return{exports:e,options:g}}i.d(t,"a",(function(){return h}))},49:function(e,t,i){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var i=function(e,t){var i=e[1]||"",h=e[3];if(!h)return i;if(t&&"function"==typeof btoa){var a=(r=h,n=btoa(unescape(encodeURIComponent(JSON.stringify(r)))),l="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(l," */")),c=h.sources.map((function(e){return"/*# sourceURL=".concat(h.sourceRoot||"").concat(e," */")}));return[i].concat(c).concat([a]).join("\n")}var r,n,l;return[i].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(i,"}"):i})).join("")},t.i=function(e,i,h){"string"==typeof e&&(e=[[null,e,""]]);var a={};if(h)for(var c=0;c<this.length;c++){var r=this[c][0];null!=r&&(a[r]=!0)}for(var n=0;n<e.length;n++){var l=[].concat(e[n]);h&&a[l[0]]||(i&&(l[2]?l[2]="".concat(i," and ").concat(l[2]):l[2]=i),t.push(l))}},t}},50:function(e,t,i){"use strict";function h(e,t){for(var i=[],h={},a=0;a<t.length;a++){var c=t[a],r=c[0],n={id:e+":"+a,css:c[1],media:c[2],sourceMap:c[3]};h[r]?h[r].parts.push(n):i.push(h[r]={id:r,parts:[n]})}return i}i.r(t),i.d(t,"default",(function(){return b}));var a="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!a)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var c={},r=a&&(document.head||document.getElementsByTagName("head")[0]),n=null,l=0,g=!1,f=function(){},d=null,o="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function b(e,t,i,a){g=i,d=a||{};var r=h(e,t);return s(r),function(t){for(var i=[],a=0;a<r.length;a++){var n=r[a];(l=c[n.id]).refs--,i.push(l)}t?s(r=h(e,t)):r=[];for(a=0;a<i.length;a++){var l;if(0===(l=i[a]).refs){for(var g=0;g<l.parts.length;g++)l.parts[g]();delete c[l.id]}}}}function s(e){for(var t=0;t<e.length;t++){var i=e[t],h=c[i.id];if(h){h.refs++;for(var a=0;a<h.parts.length;a++)h.parts[a](i.parts[a]);for(;a<i.parts.length;a++)h.parts.push(p(i.parts[a]));h.parts.length>i.parts.length&&(h.parts.length=i.parts.length)}else{var r=[];for(a=0;a<i.parts.length;a++)r.push(p(i.parts[a]));c[i.id]={id:i.id,refs:1,parts:r}}}}function u(){var e=document.createElement("style");return e.type="text/css",r.appendChild(e),e}function p(e){var t,i,h=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(h){if(g)return f;h.parentNode.removeChild(h)}if(o){var a=l++;h=n||(n=u()),t=y.bind(null,h,a,!1),i=y.bind(null,h,a,!0)}else h=u(),t=x.bind(null,h),i=function(){h.parentNode.removeChild(h)};return t(e),function(h){if(h){if(h.css===e.css&&h.media===e.media&&h.sourceMap===e.sourceMap)return;t(e=h)}else i()}}var m,v=(m=[],function(e,t){return m[e]=t,m.filter(Boolean).join("\n")});function y(e,t,i,h){var a=i?"":h.css;if(e.styleSheet)e.styleSheet.cssText=v(t,a);else{var c=document.createTextNode(a),r=e.childNodes;r[t]&&e.removeChild(r[t]),r.length?e.insertBefore(c,r[t]):e.appendChild(c)}}function x(e,t){var i=t.css,h=t.media,a=t.sourceMap;if(h&&e.setAttribute("media",h),d.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),a&&(i+="\n/*# sourceURL="+a.sources[0]+" */",i+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(a))))+" */"),e.styleSheet)e.styleSheet.cssText=i;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(i))}}},98:function(e,t,i){var h=i(173);"string"==typeof h&&(h=[[e.i,h,""]]),h.locals&&(e.exports=h.locals);(0,i(50).default)("4861ac69",h,!0,{})}});
+ */i.nc=btoa(OC.requestToken),i.p=OC.linkTo("text","js/"),document.addEventListener("DOMContentLoaded",(function(){void 0!==OCA.Viewer?OCA.Viewer.registerHandler({id:"text",mimes:[].concat(n(r.b),n(r.c)),component:c,group:null}):console.error("Viewer app is not installed")}))},18:function(e,t,i){"use strict";function h(e,t,i,h,a,c,r,n){var l,f="function"==typeof e?e.options:e;if(t&&(f.render=t,f.staticRenderFns=i,f._compiled=!0),h&&(f.functional=!0),c&&(f._scopeId="data-v-"+c),r?(l=function(e){(e=e||this.$vnode&&this.$vnode.ssrContext||this.parent&&this.parent.$vnode&&this.parent.$vnode.ssrContext)||"undefined"==typeof __VUE_SSR_CONTEXT__||(e=__VUE_SSR_CONTEXT__),a&&a.call(this,e),e&&e._registeredComponents&&e._registeredComponents.add(r)},f._ssrRegister=l):a&&(l=n?function(){a.call(this,(f.functional?this.parent:this).$root.$options.shadowRoot)}:a),l)if(f.functional){f._injectStyles=l;var d=f.render;f.render=function(e,t){return l.call(t),d(e,t)}}else{var g=f.beforeCreate;f.beforeCreate=g?[].concat(g,l):[l]}return{exports:e,options:f}}i.d(t,"a",(function(){return h}))},49:function(e,t,i){"use strict";e.exports=function(e){var t=[];return t.toString=function(){return this.map((function(t){var i=function(e,t){var i=e[1]||"",h=e[3];if(!h)return i;if(t&&"function"==typeof btoa){var a=(r=h,n=btoa(unescape(encodeURIComponent(JSON.stringify(r)))),l="sourceMappingURL=data:application/json;charset=utf-8;base64,".concat(n),"/*# ".concat(l," */")),c=h.sources.map((function(e){return"/*# sourceURL=".concat(h.sourceRoot||"").concat(e," */")}));return[i].concat(c).concat([a]).join("\n")}var r,n,l;return[i].join("\n")}(t,e);return t[2]?"@media ".concat(t[2]," {").concat(i,"}"):i})).join("")},t.i=function(e,i,h){"string"==typeof e&&(e=[[null,e,""]]);var a={};if(h)for(var c=0;c<this.length;c++){var r=this[c][0];null!=r&&(a[r]=!0)}for(var n=0;n<e.length;n++){var l=[].concat(e[n]);h&&a[l[0]]||(i&&(l[2]?l[2]="".concat(i," and ").concat(l[2]):l[2]=i),t.push(l))}},t}},50:function(e,t,i){"use strict";function h(e,t){for(var i=[],h={},a=0;a<t.length;a++){var c=t[a],r=c[0],n={id:e+":"+a,css:c[1],media:c[2],sourceMap:c[3]};h[r]?h[r].parts.push(n):i.push(h[r]={id:r,parts:[n]})}return i}i.r(t),i.d(t,"default",(function(){return s}));var a="undefined"!=typeof document;if("undefined"!=typeof DEBUG&&DEBUG&&!a)throw new Error("vue-style-loader cannot be used in a non-browser environment. Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.");var c={},r=a&&(document.head||document.getElementsByTagName("head")[0]),n=null,l=0,f=!1,d=function(){},g=null,o="undefined"!=typeof navigator&&/msie [6-9]\b/.test(navigator.userAgent.toLowerCase());function s(e,t,i,a){f=i,g=a||{};var r=h(e,t);return b(r),function(t){for(var i=[],a=0;a<r.length;a++){var n=r[a];(l=c[n.id]).refs--,i.push(l)}t?b(r=h(e,t)):r=[];for(a=0;a<i.length;a++){var l;if(0===(l=i[a]).refs){for(var f=0;f<l.parts.length;f++)l.parts[f]();delete c[l.id]}}}}function b(e){for(var t=0;t<e.length;t++){var i=e[t],h=c[i.id];if(h){h.refs++;for(var a=0;a<h.parts.length;a++)h.parts[a](i.parts[a]);for(;a<i.parts.length;a++)h.parts.push(p(i.parts[a]));h.parts.length>i.parts.length&&(h.parts.length=i.parts.length)}else{var r=[];for(a=0;a<i.parts.length;a++)r.push(p(i.parts[a]));c[i.id]={id:i.id,refs:1,parts:r}}}}function u(){var e=document.createElement("style");return e.type="text/css",r.appendChild(e),e}function p(e){var t,i,h=document.querySelector('style[data-vue-ssr-id~="'+e.id+'"]');if(h){if(f)return d;h.parentNode.removeChild(h)}if(o){var a=l++;h=n||(n=u()),t=y.bind(null,h,a,!1),i=y.bind(null,h,a,!0)}else h=u(),t=x.bind(null,h),i=function(){h.parentNode.removeChild(h)};return t(e),function(h){if(h){if(h.css===e.css&&h.media===e.media&&h.sourceMap===e.sourceMap)return;t(e=h)}else i()}}var m,v=(m=[],function(e,t){return m[e]=t,m.filter(Boolean).join("\n")});function y(e,t,i,h){var a=i?"":h.css;if(e.styleSheet)e.styleSheet.cssText=v(t,a);else{var c=document.createTextNode(a),r=e.childNodes;r[t]&&e.removeChild(r[t]),r.length?e.insertBefore(c,r[t]):e.appendChild(c)}}function x(e,t){var i=t.css,h=t.media,a=t.sourceMap;if(h&&e.setAttribute("media",h),g.ssrId&&e.setAttribute("data-vue-ssr-id",t.id),a&&(i+="\n/*# sourceURL="+a.sources[0]+" */",i+="\n/*# sourceMappingURL=data:application/json;base64,"+btoa(unescape(encodeURIComponent(JSON.stringify(a))))+" */"),e.styleSheet)e.styleSheet.cssText=i;else{for(;e.firstChild;)e.removeChild(e.firstChild);e.appendChild(document.createTextNode(i))}}},98:function(e,t,i){var h=i(173);"string"==typeof h&&(h=[[e.i,h,""]]),h.locals&&(e.exports=h.locals);(0,i(50).default)("4861ac69",h,!0,{})}});
//# sourceMappingURL=viewer.js.map \ No newline at end of file
diff --git a/js/viewer.js.map b/js/viewer.js.map
index 49c1e56cb..2dcef4921 100644
--- a/js/viewer.js.map
+++ b/js/viewer.js.map
@@ -1 +1 @@
-{"version":3,"sources":["webpack:///webpack/bootstrap","webpack:///./src/helpers/mime.js","webpack:///./src/components/ViewerComponent.vue?f26a","webpack:///./src/components/ViewerComponent.vue?7b36","webpack:///./src/components/ViewerComponent.vue?c9f8","webpack:///./src/components/ViewerComponent.vue?f8ea","webpack:///src/components/ViewerComponent.vue","webpack:///./src/components/ViewerComponent.vue","webpack:///./src/viewer.js","webpack:///./node_modules/vue-loader/lib/runtime/componentNormalizer.js","webpack:///./node_modules/css-loader/dist/runtime/api.js","webpack:///./node_modules/vue-style-loader/lib/listToStyles.js","webpack:///./node_modules/vue-style-loader/lib/addStylesClient.js","webpack:///./src/components/ViewerComponent.vue?10db"],"names":["webpackJsonpCallback","data","moduleId","chunkId","chunkIds","moreModules","i","resolves","length","Object","prototype","hasOwnProperty","call","installedChunks","push","modules","parentJsonpFunction","shift","installedModules","200","__webpack_require__","exports","module","l","e","promises","installedChunkData","promise","Promise","resolve","reject","onScriptComplete","script","document","createElement","charset","timeout","nc","setAttribute","src","p","jsonpScriptSrc","error","Error","event","onerror","onload","clearTimeout","chunk","errorType","type","realSrc","target","message","name","request","undefined","setTimeout","head","appendChild","all","m","c","d","getter","o","defineProperty","enumerable","get","r","Symbol","toStringTag","value","t","mode","__esModule","ns","create","key","bind","n","object","property","oe","err","console","jsonpArray","window","oldJsonpFunction","slice","s","openMimetypesMarkdown","openMimetypesPlainText","openMimetypes","___CSS_LOADER_API_IMPORT___","_h","this","$createElement","_self","_c","attrs","fileid","filename","active","shareToken","mime","__webpack_nonce__","btoa","OC","requestToken","__webpack_public_path__","linkTo","addEventListener","OCA","Viewer","registerHandler","id","mimes","component","ViewerComponent","group","normalizeComponent","scriptExports","render","staticRenderFns","functionalTemplate","injectStyles","scopeId","moduleIdentifier","shadowMode","hook","options","_compiled","functional","_scopeId","context","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","originalRender","h","existing","beforeCreate","concat","useSourceMap","list","toString","map","item","content","cssMapping","sourceMapping","sourceMap","base64","unescape","encodeURIComponent","JSON","stringify","sourceURLs","sources","source","sourceRoot","join","cssWithMappingToString","mediaQuery","dedupe","alreadyImportedModules","_i","listToStyles","parentId","styles","newStyles","part","css","media","parts","hasDocument","DEBUG","stylesInDom","getElementsByTagName","singletonElement","singletonCounter","isProduction","noop","isOldIE","navigator","test","userAgent","toLowerCase","addStylesClient","_isProduction","_options","addStylesToDom","newList","mayRemove","domStyle","refs","j","addStyle","createStyleElement","styleElement","obj","update","remove","querySelector","parentNode","removeChild","styleIndex","applyToSingletonTag","applyToTag","newObj","textStore","replaceText","index","replacement","filter","Boolean","styleSheet","cssText","cssNode","createTextNode","childNodes","insertBefore","ssrId","firstChild","locals","default"],"mappings":"aACE,SAASA,EAAqBC,GAQ7B,IAPA,IAMIC,EAAUC,EANVC,EAAWH,EAAK,GAChBI,EAAcJ,EAAK,GAKAK,EAAI,EAAGC,EAAW,GACpCD,EAAIF,EAASI,OAAQF,IACzBH,EAAUC,EAASE,GAChBG,OAAOC,UAAUC,eAAeC,KAAKC,EAAiBV,IAAYU,EAAgBV,IACpFI,EAASO,KAAKD,EAAgBV,GAAS,IAExCU,EAAgBV,GAAW,EAE5B,IAAID,KAAYG,EACZI,OAAOC,UAAUC,eAAeC,KAAKP,EAAaH,KACpDa,EAAQb,GAAYG,EAAYH,IAKlC,IAFGc,GAAqBA,EAAoBf,GAEtCM,EAASC,QACdD,EAASU,OAATV,GAOF,IAAIW,EAAmB,GAKnBL,EAAkB,CACrBM,IAAK,GAWN,SAASC,EAAoBlB,GAG5B,GAAGgB,EAAiBhB,GACnB,OAAOgB,EAAiBhB,GAAUmB,QAGnC,IAAIC,EAASJ,EAAiBhB,GAAY,CACzCI,EAAGJ,EACHqB,GAAG,EACHF,QAAS,IAUV,OANAN,EAAQb,GAAUU,KAAKU,EAAOD,QAASC,EAAQA,EAAOD,QAASD,GAG/DE,EAAOC,GAAI,EAGJD,EAAOD,QAKfD,EAAoBI,EAAI,SAAuBrB,GAC9C,IAAIsB,EAAW,GAKXC,EAAqBb,EAAgBV,GACzC,GAA0B,IAAvBuB,EAGF,GAAGA,EACFD,EAASX,KAAKY,EAAmB,QAC3B,CAEN,IAAIC,EAAU,IAAIC,SAAQ,SAASC,EAASC,GAC3CJ,EAAqBb,EAAgBV,GAAW,CAAC0B,EAASC,MAE3DL,EAASX,KAAKY,EAAmB,GAAKC,GAGtC,IACII,EADAC,EAASC,SAASC,cAAc,UAGpCF,EAAOG,QAAU,QACjBH,EAAOI,QAAU,IACbhB,EAAoBiB,IACvBL,EAAOM,aAAa,QAASlB,EAAoBiB,IAElDL,EAAOO,IA1DV,SAAwBpC,GACvB,OAAOiB,EAAoBoB,EAAI,IAAM,CAAC,EAAI,SAAS,EAAI,6DAA6D,EAAI,eAAe,EAAI,iBAAiB,EAAI,sBAAsB,EAAI,yBAAyB,EAAI,gBAAgB,EAAI,wBAAwB,EAAI,mBAAmB,EAAI,wBAAwB,GAAK,mBAAmB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,qBAAqB,GAAK,oBAAoB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,yBAAyB,GAAK,kBAAkB,GAAK,yBAAyB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,eAAe,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,cAAc,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,uBAAuB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,wBAAwB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,eAAe,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,iBAAiB,GAAK,eAAe,GAAK,oBAAoB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,sBAAsB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,2BAA2B,GAAK,uBAAuB,GAAK,iBAAiB,GAAK,gBAAgB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,eAAe,IAAM,kBAAkB,IAAM,gBAAgB,IAAM,sBAAsB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,oBAAoB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,qBAAqB,IAAM,mBAAmB,IAAM,sBAAsB,IAAM,mBAAmB,IAAM,cAAc,IAAM,gBAAgB,IAAM,cAAc,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,0BAA0B,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,kBAAkB,IAAM,sBAAsB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,yBAAyB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,0BAA0B,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,mBAAmB,IAAM,qCAAqC,IAAM,6BAA6B,IAAM,SAAS,IAAM,gBAAgB,IAAM,eAAe,IAAM,cAAc,IAAM,cAAc,IAAM,iBAAiB,IAAM,sBAAsB,IAAM,uBAAuBrC,IAAUA,GAAW,SAAW,CAAC,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,wBAAwBA,GAyDztUsC,CAAetC,GAG5B,IAAIuC,EAAQ,IAAIC,MAChBZ,EAAmB,SAAUa,GAE5BZ,EAAOa,QAAUb,EAAOc,OAAS,KACjCC,aAAaX,GACb,IAAIY,EAAQnC,EAAgBV,GAC5B,GAAa,IAAV6C,EAAa,CACf,GAAGA,EAAO,CACT,IAAIC,EAAYL,IAAyB,SAAfA,EAAMM,KAAkB,UAAYN,EAAMM,MAChEC,EAAUP,GAASA,EAAMQ,QAAUR,EAAMQ,OAAOb,IACpDG,EAAMW,QAAU,iBAAmBlD,EAAU,cAAgB8C,EAAY,KAAOE,EAAU,IAC1FT,EAAMY,KAAO,iBACbZ,EAAMQ,KAAOD,EACbP,EAAMa,QAAUJ,EAChBH,EAAM,GAAGN,GAEV7B,EAAgBV,QAAWqD,IAG7B,IAAIpB,EAAUqB,YAAW,WACxB1B,EAAiB,CAAEmB,KAAM,UAAWE,OAAQpB,MAC1C,MACHA,EAAOa,QAAUb,EAAOc,OAASf,EACjCE,SAASyB,KAAKC,YAAY3B,GAG5B,OAAOJ,QAAQgC,IAAInC,IAIpBL,EAAoByC,EAAI9C,EAGxBK,EAAoB0C,EAAI5C,EAGxBE,EAAoB2C,EAAI,SAAS1C,EAASiC,EAAMU,GAC3C5C,EAAoB6C,EAAE5C,EAASiC,IAClC7C,OAAOyD,eAAe7C,EAASiC,EAAM,CAAEa,YAAY,EAAMC,IAAKJ,KAKhE5C,EAAoBiD,EAAI,SAAShD,GACX,oBAAXiD,QAA0BA,OAAOC,aAC1C9D,OAAOyD,eAAe7C,EAASiD,OAAOC,YAAa,CAAEC,MAAO,WAE7D/D,OAAOyD,eAAe7C,EAAS,aAAc,CAAEmD,OAAO,KAQvDpD,EAAoBqD,EAAI,SAASD,EAAOE,GAEvC,GADU,EAAPA,IAAUF,EAAQpD,EAAoBoD,IAC/B,EAAPE,EAAU,OAAOF,EACpB,GAAW,EAAPE,GAA8B,iBAAVF,GAAsBA,GAASA,EAAMG,WAAY,OAAOH,EAChF,IAAII,EAAKnE,OAAOoE,OAAO,MAGvB,GAFAzD,EAAoBiD,EAAEO,GACtBnE,OAAOyD,eAAeU,EAAI,UAAW,CAAET,YAAY,EAAMK,MAAOA,IACtD,EAAPE,GAA4B,iBAATF,EAAmB,IAAI,IAAIM,KAAON,EAAOpD,EAAoB2C,EAAEa,EAAIE,EAAK,SAASA,GAAO,OAAON,EAAMM,IAAQC,KAAK,KAAMD,IAC9I,OAAOF,GAIRxD,EAAoB4D,EAAI,SAAS1D,GAChC,IAAI0C,EAAS1C,GAAUA,EAAOqD,WAC7B,WAAwB,OAAOrD,EAAgB,SAC/C,WAA8B,OAAOA,GAEtC,OADAF,EAAoB2C,EAAEC,EAAQ,IAAKA,GAC5BA,GAIR5C,EAAoB6C,EAAI,SAASgB,EAAQC,GAAY,OAAOzE,OAAOC,UAAUC,eAAeC,KAAKqE,EAAQC,IAGzG9D,EAAoBoB,EAAI,OAGxBpB,EAAoB+D,GAAK,SAASC,GAA2B,MAApBC,QAAQ3C,MAAM0C,GAAYA,GAEnE,IAAIE,EAAaC,OAAyB,iBAAIA,OAAyB,kBAAK,GACxEC,EAAmBF,EAAWxE,KAAKiE,KAAKO,GAC5CA,EAAWxE,KAAOd,EAClBsF,EAAaA,EAAWG,QACxB,IAAI,IAAInF,EAAI,EAAGA,EAAIgF,EAAW9E,OAAQF,IAAKN,EAAqBsF,EAAWhF,IAC3E,IAAIU,EAAsBwE,EAInBpE,EAAoBA,EAAoBsE,EAAI,K,kCCrMrD;;;;;;;;;;;;;;;;;;;;;;AAsBA,IAAMC,EAAwB,CAC7B,iBAGKC,EAAyB,CAC9B,aACA,kBACA,sBACA,8BACA,uBACA,yBACA,mBACA,qBACA,oBACA,oBACA,kBACA,mBACA,WACA,WACA,YACA,WACA,gBACA,WACA,qBACA,cACA,gBACA,sBAGKC,EAAgB,GAAH,OAAOF,EAA0BC,I,iCCnDpD,mBAAiT,G,qBCEjTvE,EADkC,EAAQ,GAChCyE,EAA4B,IAE9BhF,KAAK,CAACQ,EAAOhB,EAAI,yEAA0E,KAEnGgB,EAAOD,QAAUA,G,wCCNjB,ICA+L,EC+B/L,CACA,uBACA,YACA,0GAEA,OACA,UACA,YACA,cAEA,QACA,YACA,cAEA,QACA,aACA,YAEA,YACA,YACA,cAEA,MACA,YACA,eAGA,YA3BA,gBA6BA,yDACA,qE,iBC1Ce,EAXC,YACd,GHTW,WAAa,IAAiB0E,EAATC,KAAgBC,eAAuC,OAAvDD,KAA0CE,MAAMC,IAAIJ,GAAa,gBAAgB,CAACK,MAAM,CAAC,UAAzFJ,KAAuGK,OAAO,gBAA9GL,KAAkIM,SAAS,OAA3IN,KAAwJO,OAAO,cAA/JP,KAAiLQ,WAAW,KAA5LR,KAAuMS,UACnN,IGWpB,EACA,KACA,KACA,M;;;;;;;;;;;;;;;;;;;;;GCUFC,KAAoBC,KAAKC,GAAGC,cAC5BC,IAA0BF,GAAGG,OAAO,OAAQ,OAE5C9E,SAAS+E,iBAAiB,oBAAoB,gBACnB,IAAfC,IAAIC,OAKfD,IAAIC,OAAOC,gBAAgB,CAC1BC,GAAI,OACJC,MAAO,GAAF,SAAM1B,KAAN,EAAgCC,MACrC0B,UAAWC,EACXC,MAAO,OARPnC,QAAQ3C,MAAM,mC,gCCxBD,SAAS+E,EACtBC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,GAGA,IAqBIC,EArBAC,EAAmC,mBAAlBT,EACjBA,EAAcS,QACdT,EAsDJ,GAnDIC,IACFQ,EAAQR,OAASA,EACjBQ,EAAQP,gBAAkBA,EAC1BO,EAAQC,WAAY,GAIlBP,IACFM,EAAQE,YAAa,GAInBN,IACFI,EAAQG,SAAW,UAAYP,GAI7BC,GACFE,EAAO,SAAUK,IAEfA,EACEA,GACCvC,KAAKwC,QAAUxC,KAAKwC,OAAOC,YAC3BzC,KAAK0C,QAAU1C,KAAK0C,OAAOF,QAAUxC,KAAK0C,OAAOF,OAAOC,aAEZ,oBAAxBE,sBACrBJ,EAAUI,qBAGRb,GACFA,EAAalH,KAAKoF,KAAMuC,GAGtBA,GAAWA,EAAQK,uBACrBL,EAAQK,sBAAsBC,IAAIb,IAKtCG,EAAQW,aAAeZ,GACdJ,IACTI,EAAOD,EACH,WACAH,EAAalH,KACXoF,MACCmC,EAAQE,WAAarC,KAAK0C,OAAS1C,MAAM+C,MAAMC,SAASC,aAG3DnB,GAGFI,EACF,GAAIC,EAAQE,WAAY,CAGtBF,EAAQe,cAAgBhB,EAExB,IAAIiB,EAAiBhB,EAAQR,OAC7BQ,EAAQR,OAAS,SAAmCyB,EAAGb,GAErD,OADAL,EAAKtH,KAAK2H,GACHY,EAAeC,EAAGb,QAEtB,CAEL,IAAIc,EAAWlB,EAAQmB,aACvBnB,EAAQmB,aAAeD,EACnB,GAAGE,OAAOF,EAAUnB,GACpB,CAACA,GAIT,MAAO,CACL7G,QAASqG,EACTS,QAASA,GA/Fb,mC,gCCQA7G,EAAOD,QAAU,SAAUmI,GACzB,IAAIC,EAAO,GAuDX,OArDAA,EAAKC,SAAW,WACd,OAAO1D,KAAK2D,KAAI,SAAUC,GACxB,IAAIC,EAsDV,SAAgCD,EAAMJ,GACpC,IAAIK,EAAUD,EAAK,IAAM,GAErBE,EAAaF,EAAK,GAEtB,IAAKE,EACH,OAAOD,EAGT,GAAIL,GAAgC,mBAAT7C,KAAqB,CAC9C,IAAIoD,GAWWC,EAXeF,EAa5BG,EAAStD,KAAKuD,SAASC,mBAAmBC,KAAKC,UAAUL,MACzD/J,EAAO,+DAA+DsJ,OAAOU,GAC1E,OAAOV,OAAOtJ,EAAM,QAdrBqK,EAAaR,EAAWS,QAAQZ,KAAI,SAAUa,GAChD,MAAO,iBAAiBjB,OAAOO,EAAWW,YAAc,IAAIlB,OAAOiB,EAAQ,UAE7E,MAAO,CAACX,GAASN,OAAOe,GAAYf,OAAO,CAACQ,IAAgBW,KAAK,MAOrE,IAAmBV,EAEbC,EACAhK,EAPJ,MAAO,CAAC4J,GAASa,KAAK,MAvEJC,CAAuBf,EAAMJ,GAE3C,OAAII,EAAK,GACA,UAAUL,OAAOK,EAAK,GAAI,MAAML,OAAOM,EAAS,KAGlDA,KACNa,KAAK,KAKVjB,EAAKnJ,EAAI,SAAUS,EAAS6J,EAAYC,GACf,iBAAZ9J,IAETA,EAAU,CAAC,CAAC,KAAMA,EAAS,MAG7B,IAAI+J,EAAyB,GAE7B,GAAID,EACF,IAAK,IAAIvK,EAAI,EAAGA,EAAI0F,KAAKxF,OAAQF,IAAK,CAEpC,IAAI8G,EAAKpB,KAAK1F,GAAG,GAEP,MAAN8G,IACF0D,EAAuB1D,IAAM,GAKnC,IAAK,IAAI2D,EAAK,EAAGA,EAAKhK,EAAQP,OAAQuK,IAAM,CAC1C,IAAInB,EAAO,GAAGL,OAAOxI,EAAQgK,IAEzBF,GAAUC,EAAuBlB,EAAK,MAKtCgB,IACGhB,EAAK,GAGRA,EAAK,GAAK,GAAGL,OAAOqB,EAAY,SAASrB,OAAOK,EAAK,IAFrDA,EAAK,GAAKgB,GAMdnB,EAAK3I,KAAK8I,MAIPH,I,gCC5DM,SAASuB,EAAcC,EAAUxB,GAG9C,IAFA,IAAIyB,EAAS,GACTC,EAAY,GACP7K,EAAI,EAAGA,EAAImJ,EAAKjJ,OAAQF,IAAK,CACpC,IAAIsJ,EAAOH,EAAKnJ,GACZ8G,EAAKwC,EAAK,GAIVwB,EAAO,CACThE,GAAI6D,EAAW,IAAM3K,EACrB+K,IALQzB,EAAK,GAMb0B,MALU1B,EAAK,GAMfI,UALcJ,EAAK,IAOhBuB,EAAU/D,GAGb+D,EAAU/D,GAAImE,MAAMzK,KAAKsK,GAFzBF,EAAOpK,KAAKqK,EAAU/D,GAAM,CAAEA,GAAIA,EAAImE,MAAO,CAACH,KAKlD,OAAOF,E,+CCjBT,IAAIM,EAAkC,oBAAbvJ,SAEzB,GAAqB,oBAAVwJ,OAAyBA,QAC7BD,EACH,MAAM,IAAI7I,MACV,2JAkBJ,IAAI+I,EAAc,GAQdhI,EAAO8H,IAAgBvJ,SAASyB,MAAQzB,SAAS0J,qBAAqB,QAAQ,IAC9EC,EAAmB,KACnBC,EAAmB,EACnBC,GAAe,EACfC,EAAO,aACP5D,EAAU,KAKV6D,EAA+B,oBAAdC,WAA6B,eAAeC,KAAKD,UAAUE,UAAUC,eAE3E,SAASC,EAAiBpB,EAAUxB,EAAM6C,EAAeC,GACtET,EAAeQ,EAEfnE,EAAUoE,GAAY,GAEtB,IAAIrB,EAASF,EAAaC,EAAUxB,GAGpC,OAFA+C,EAAetB,GAER,SAAiBuB,GAEtB,IADA,IAAIC,EAAY,GACPpM,EAAI,EAAGA,EAAI4K,EAAO1K,OAAQF,IAAK,CACtC,IAAIsJ,EAAOsB,EAAO5K,IACdqM,EAAWjB,EAAY9B,EAAKxC,KACvBwF,OACTF,EAAU5L,KAAK6L,GAEbF,EAEFD,EADAtB,EAASF,EAAaC,EAAUwB,IAGhCvB,EAAS,GAEX,IAAS5K,EAAI,EAAGA,EAAIoM,EAAUlM,OAAQF,IAAK,CACzC,IAAIqM,EACJ,GAAsB,KADlBA,EAAWD,EAAUpM,IACZsM,KAAY,CACvB,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASpB,MAAM/K,OAAQqM,IACzCF,EAASpB,MAAMsB,YAEVnB,EAAYiB,EAASvF,OAMpC,SAASoF,EAAgBtB,GACvB,IAAK,IAAI5K,EAAI,EAAGA,EAAI4K,EAAO1K,OAAQF,IAAK,CACtC,IAAIsJ,EAAOsB,EAAO5K,GACdqM,EAAWjB,EAAY9B,EAAKxC,IAChC,GAAIuF,EAAU,CACZA,EAASC,OACT,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASpB,MAAM/K,OAAQqM,IACzCF,EAASpB,MAAMsB,GAAGjD,EAAK2B,MAAMsB,IAE/B,KAAOA,EAAIjD,EAAK2B,MAAM/K,OAAQqM,IAC5BF,EAASpB,MAAMzK,KAAKgM,EAASlD,EAAK2B,MAAMsB,KAEtCF,EAASpB,MAAM/K,OAASoJ,EAAK2B,MAAM/K,SACrCmM,EAASpB,MAAM/K,OAASoJ,EAAK2B,MAAM/K,YAEhC,CACL,IAAI+K,EAAQ,GACZ,IAASsB,EAAI,EAAGA,EAAIjD,EAAK2B,MAAM/K,OAAQqM,IACrCtB,EAAMzK,KAAKgM,EAASlD,EAAK2B,MAAMsB,KAEjCnB,EAAY9B,EAAKxC,IAAM,CAAEA,GAAIwC,EAAKxC,GAAIwF,KAAM,EAAGrB,MAAOA,KAK5D,SAASwB,IACP,IAAIC,EAAe/K,SAASC,cAAc,SAG1C,OAFA8K,EAAa9J,KAAO,WACpBQ,EAAKC,YAAYqJ,GACVA,EAGT,SAASF,EAAUG,GACjB,IAAIC,EAAQC,EACRH,EAAe/K,SAASmL,cAAc,2BAA8BH,EAAI7F,GAAK,MAEjF,GAAI4F,EAAc,CAChB,GAAIlB,EAGF,OAAOC,EAOPiB,EAAaK,WAAWC,YAAYN,GAIxC,GAAIhB,EAAS,CAEX,IAAIuB,EAAa1B,IACjBmB,EAAepB,IAAqBA,EAAmBmB,KACvDG,EAASM,EAAoBzI,KAAK,KAAMiI,EAAcO,GAAY,GAClEJ,EAASK,EAAoBzI,KAAK,KAAMiI,EAAcO,GAAY,QAGlEP,EAAeD,IACfG,EAASO,EAAW1I,KAAK,KAAMiI,GAC/BG,EAAS,WACPH,EAAaK,WAAWC,YAAYN,IAMxC,OAFAE,EAAOD,GAEA,SAAsBS,GAC3B,GAAIA,EAAQ,CACV,GAAIA,EAAOrC,MAAQ4B,EAAI5B,KACnBqC,EAAOpC,QAAU2B,EAAI3B,OACrBoC,EAAO1D,YAAciD,EAAIjD,UAC3B,OAEFkD,EAAOD,EAAMS,QAEbP,KAKN,IACMQ,EADFC,GACED,EAAY,GAET,SAAUE,EAAOC,GAEtB,OADAH,EAAUE,GAASC,EACZH,EAAUI,OAAOC,SAAStD,KAAK,QAI1C,SAAS8C,EAAqBR,EAAca,EAAOV,EAAQF,GACzD,IAAI5B,EAAM8B,EAAS,GAAKF,EAAI5B,IAE5B,GAAI2B,EAAaiB,WACfjB,EAAaiB,WAAWC,QAAUN,EAAYC,EAAOxC,OAChD,CACL,IAAI8C,EAAUlM,SAASmM,eAAe/C,GAClCgD,EAAarB,EAAaqB,WAC1BA,EAAWR,IAAQb,EAAaM,YAAYe,EAAWR,IACvDQ,EAAW7N,OACbwM,EAAasB,aAAaH,EAASE,EAAWR,IAE9Cb,EAAarJ,YAAYwK,IAK/B,SAASV,EAAYT,EAAcC,GACjC,IAAI5B,EAAM4B,EAAI5B,IACVC,EAAQ2B,EAAI3B,MACZtB,EAAYiD,EAAIjD,UAiBpB,GAfIsB,GACF0B,EAAa1K,aAAa,QAASgJ,GAEjCnD,EAAQoG,OACVvB,EAAa1K,aA7JF,kBA6JyB2K,EAAI7F,IAGtC4C,IAGFqB,GAAO,mBAAqBrB,EAAUO,QAAQ,GAAK,MAEnDc,GAAO,uDAAyD1E,KAAKuD,SAASC,mBAAmBC,KAAKC,UAAUL,MAAgB,OAG9HgD,EAAaiB,WACfjB,EAAaiB,WAAWC,QAAU7C,MAC7B,CACL,KAAO2B,EAAawB,YAClBxB,EAAaM,YAAYN,EAAawB,YAExCxB,EAAarJ,YAAY1B,SAASmM,eAAe/C,O,mBCxNrD,IAAIxB,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACvI,EAAOhB,EAAIuJ,EAAS,MAC7DA,EAAQ4E,SAAQnN,EAAOD,QAAUwI,EAAQ4E,SAG/B5F,EADH,EAAQ,IAA+D6F,SAChE,WAAY7E,GAAS,EAAM","file":"viewer.js","sourcesContent":[" \t// install a JSONP callback for chunk loading\n \tfunction webpackJsonpCallback(data) {\n \t\tvar chunkIds = data[0];\n \t\tvar moreModules = data[1];\n\n\n \t\t// add \"moreModules\" to the modules object,\n \t\t// then flag all \"chunkIds\" as loaded and fire callback\n \t\tvar moduleId, chunkId, i = 0, resolves = [];\n \t\tfor(;i < chunkIds.length; i++) {\n \t\t\tchunkId = chunkIds[i];\n \t\t\tif(Object.prototype.hasOwnProperty.call(installedChunks, chunkId) && installedChunks[chunkId]) {\n \t\t\t\tresolves.push(installedChunks[chunkId][0]);\n \t\t\t}\n \t\t\tinstalledChunks[chunkId] = 0;\n \t\t}\n \t\tfor(moduleId in moreModules) {\n \t\t\tif(Object.prototype.hasOwnProperty.call(moreModules, moduleId)) {\n \t\t\t\tmodules[moduleId] = moreModules[moduleId];\n \t\t\t}\n \t\t}\n \t\tif(parentJsonpFunction) parentJsonpFunction(data);\n\n \t\twhile(resolves.length) {\n \t\t\tresolves.shift()();\n \t\t}\n\n \t};\n\n\n \t// The module cache\n \tvar installedModules = {};\n\n \t// object to store loaded and loading chunks\n \t// undefined = chunk not loaded, null = chunk preloaded/prefetched\n \t// Promise = chunk loading, 0 = chunk loaded\n \tvar installedChunks = {\n \t\t200: 0\n \t};\n\n\n\n \t// script path function\n \tfunction jsonpScriptSrc(chunkId) {\n \t\treturn __webpack_require__.p + \"\" + ({\"0\":\"vendor\",\"1\":\"vendors~editor-collab~editor-guest~editor-rich~files-modal\",\"2\":\"highlight/1c\",\"3\":\"highlight/abnf\",\"4\":\"highlight/accesslog\",\"5\":\"highlight/actionscript\",\"6\":\"highlight/ada\",\"7\":\"highlight/angelscript\",\"8\":\"highlight/apache\",\"9\":\"highlight/applescript\",\"10\":\"highlight/arcade\",\"11\":\"highlight/arduino\",\"12\":\"highlight/armasm\",\"13\":\"highlight/asciidoc\",\"14\":\"highlight/aspectj\",\"15\":\"highlight/autohotkey\",\"16\":\"highlight/autoit\",\"17\":\"highlight/avrasm\",\"18\":\"highlight/awk\",\"19\":\"highlight/axapta\",\"20\":\"highlight/bash\",\"21\":\"highlight/basic\",\"22\":\"highlight/bnf\",\"23\":\"highlight/brainfuck\",\"24\":\"highlight/cal\",\"25\":\"highlight/capnproto\",\"26\":\"highlight/ceylon\",\"27\":\"highlight/clean\",\"28\":\"highlight/clojure\",\"29\":\"highlight/clojure-repl\",\"30\":\"highlight/cmake\",\"31\":\"highlight/coffeescript\",\"32\":\"highlight/coq\",\"33\":\"highlight/cos\",\"34\":\"highlight/cpp\",\"35\":\"highlight/crmsh\",\"36\":\"highlight/crystal\",\"37\":\"highlight/cs\",\"38\":\"highlight/csp\",\"39\":\"highlight/css\",\"40\":\"highlight/d\",\"41\":\"highlight/dart\",\"42\":\"highlight/delphi\",\"43\":\"highlight/diff\",\"44\":\"highlight/django\",\"45\":\"highlight/dns\",\"46\":\"highlight/dockerfile\",\"47\":\"highlight/dos\",\"48\":\"highlight/dsconfig\",\"49\":\"highlight/dts\",\"50\":\"highlight/dust\",\"51\":\"highlight/ebnf\",\"52\":\"highlight/elixir\",\"53\":\"highlight/elm\",\"54\":\"highlight/erb\",\"55\":\"highlight/erlang\",\"56\":\"highlight/erlang-repl\",\"57\":\"highlight/excel\",\"58\":\"highlight/fix\",\"59\":\"highlight/flix\",\"60\":\"highlight/fortran\",\"61\":\"highlight/fsharp\",\"62\":\"highlight/gams\",\"63\":\"highlight/gauss\",\"64\":\"highlight/gcode\",\"65\":\"highlight/gherkin\",\"66\":\"highlight/glsl\",\"67\":\"highlight/gml\",\"68\":\"highlight/go\",\"69\":\"highlight/golo\",\"70\":\"highlight/gradle\",\"71\":\"highlight/groovy\",\"72\":\"highlight/haml\",\"73\":\"highlight/handlebars\",\"74\":\"highlight/haskell\",\"75\":\"highlight/haxe\",\"76\":\"highlight/hsp\",\"77\":\"highlight/htmlbars\",\"78\":\"highlight/http\",\"79\":\"highlight/hy\",\"80\":\"highlight/inform7\",\"81\":\"highlight/ini\",\"82\":\"highlight/irpf90\",\"83\":\"highlight/isbl\",\"84\":\"highlight/java\",\"85\":\"highlight/javascript\",\"86\":\"highlight/jboss-cli\",\"87\":\"highlight/json\",\"88\":\"highlight/julia\",\"89\":\"highlight/julia-repl\",\"90\":\"highlight/kotlin\",\"91\":\"highlight/lasso\",\"92\":\"highlight/ldif\",\"93\":\"highlight/leaf\",\"94\":\"highlight/less\",\"95\":\"highlight/lisp\",\"96\":\"highlight/livecodeserver\",\"97\":\"highlight/livescript\",\"98\":\"highlight/llvm\",\"99\":\"highlight/lsl\",\"100\":\"highlight/lua\",\"101\":\"highlight/makefile\",\"102\":\"highlight/markdown\",\"103\":\"highlight/mathematica\",\"104\":\"highlight/matlab\",\"105\":\"highlight/maxima\",\"106\":\"highlight/mel\",\"107\":\"highlight/mercury\",\"108\":\"highlight/mipsasm\",\"109\":\"highlight/mizar\",\"110\":\"highlight/mojolicious\",\"111\":\"highlight/monkey\",\"112\":\"highlight/moonscript\",\"113\":\"highlight/n1ql\",\"114\":\"highlight/nginx\",\"115\":\"highlight/nimrod\",\"116\":\"highlight/nix\",\"117\":\"highlight/nsis\",\"118\":\"highlight/objectivec\",\"119\":\"highlight/ocaml\",\"120\":\"highlight/openscad\",\"121\":\"highlight/oxygene\",\"122\":\"highlight/parser3\",\"123\":\"highlight/perl\",\"124\":\"highlight/pf\",\"125\":\"highlight/pgsql\",\"126\":\"highlight/php\",\"127\":\"highlight/plaintext\",\"128\":\"highlight/pony\",\"129\":\"highlight/powershell\",\"130\":\"highlight/processing\",\"131\":\"highlight/profile\",\"132\":\"highlight/prolog\",\"133\":\"highlight/properties\",\"134\":\"highlight/protobuf\",\"135\":\"highlight/puppet\",\"136\":\"highlight/purebasic\",\"137\":\"highlight/python\",\"138\":\"highlight/q\",\"139\":\"highlight/qml\",\"140\":\"highlight/r\",\"141\":\"highlight/reasonml\",\"142\":\"highlight/rib\",\"143\":\"highlight/roboconf\",\"144\":\"highlight/routeros\",\"145\":\"highlight/rsl\",\"146\":\"highlight/ruby\",\"147\":\"highlight/ruleslanguage\",\"148\":\"highlight/rust\",\"149\":\"highlight/sas\",\"150\":\"highlight/scala\",\"151\":\"highlight/scheme\",\"152\":\"highlight/scilab\",\"153\":\"highlight/scss\",\"154\":\"highlight/shell\",\"155\":\"highlight/smali\",\"156\":\"highlight/smalltalk\",\"157\":\"highlight/sml\",\"158\":\"highlight/sqf\",\"159\":\"highlight/sql\",\"160\":\"highlight/stan\",\"161\":\"highlight/stata\",\"162\":\"highlight/step21\",\"163\":\"highlight/stylus\",\"164\":\"highlight/subunit\",\"165\":\"highlight/swift\",\"166\":\"highlight/taggerscript\",\"167\":\"highlight/tap\",\"168\":\"highlight/tcl\",\"169\":\"highlight/tex\",\"170\":\"highlight/thrift\",\"171\":\"highlight/tp\",\"172\":\"highlight/twig\",\"173\":\"highlight/typescript\",\"174\":\"highlight/vala\",\"175\":\"highlight/vbnet\",\"176\":\"highlight/vbscript\",\"177\":\"highlight/vbscript-html\",\"178\":\"highlight/verilog\",\"179\":\"highlight/vhdl\",\"180\":\"highlight/vim\",\"181\":\"highlight/x86asm\",\"182\":\"highlight/xl\",\"183\":\"highlight/xml\",\"184\":\"highlight/xquery\",\"185\":\"highlight/yaml\",\"186\":\"highlight/zephir\",\"187\":\"vendors~editor-collab~editor-guest\",\"188\":\"vendors~editor~files-modal\",\"189\":\"editor\",\"190\":\"editor-collab\",\"191\":\"editor-guest\",\"192\":\"editor-rich\",\"194\":\"files-modal\",\"197\":\"vendors~editor\",\"198\":\"vendors~editor-rich\",\"199\":\"vendors~files-modal\"}[chunkId]||chunkId) + \".js?v=\" + {\"0\":\"ed141b91c3a00e287c4c\",\"1\":\"b526403ab64d5164f29b\",\"2\":\"9cc569982de7443f974e\",\"3\":\"8934502764debdbf47fe\",\"4\":\"a95440520bd82b11c0f3\",\"5\":\"f8b1f84d39c3d1f1e3bd\",\"6\":\"e656029399ef6f83160c\",\"7\":\"104479551edd172ad73e\",\"8\":\"fbb59dc9fb3be5109bcc\",\"9\":\"5e2e0f261e76c85d3a9d\",\"10\":\"f349d212d16773240eeb\",\"11\":\"c7f7bc33077eaff25b13\",\"12\":\"b9f39d176581d2a05635\",\"13\":\"827bcc9381bce9f2a12b\",\"14\":\"3a2c10b412d047a60bd8\",\"15\":\"feb1d1e2c65a332af9bf\",\"16\":\"0cba5e452dfcc760d998\",\"17\":\"670f9f95aaff5410673d\",\"18\":\"8908b67ae2d46f588034\",\"19\":\"e0f104cf2339dde93596\",\"20\":\"61cc9cd76682ca7d6c9c\",\"21\":\"5edbbab081eede46fe8d\",\"22\":\"c308a241ae1a184f9629\",\"23\":\"69a1d83d373c8e516a75\",\"24\":\"b7ddfebfd6277dccb1ab\",\"25\":\"74038533676091b4e205\",\"26\":\"04943cf8159d3a6b7e7c\",\"27\":\"d10832fa81004232164f\",\"28\":\"c3e78ed772be50fe99d6\",\"29\":\"cb9bd3633080a139fafc\",\"30\":\"bfc695841df82d72642c\",\"31\":\"68737ba6bd067e9713bd\",\"32\":\"8edf814709e41a621c00\",\"33\":\"e6c665aab6af75c3d662\",\"34\":\"146c01012c96f04cb22e\",\"35\":\"8d010e787091697e7890\",\"36\":\"6ff571801a33c4c3d23f\",\"37\":\"c96931208c9707ba550d\",\"38\":\"06cc48e69fd68d1ce5af\",\"39\":\"30d1fed026d1d9dd19d9\",\"40\":\"804b4b3ff6753c8c1c43\",\"41\":\"e66fee2b0448bc1f6a9c\",\"42\":\"5da15a69249959cbdb60\",\"43\":\"ab32283b9049df8d470b\",\"44\":\"b053b56cf0aae271b5ee\",\"45\":\"625803695a16972bdd7d\",\"46\":\"3bc9854c21810d4e263a\",\"47\":\"c12e545c5010d19f0a58\",\"48\":\"8efbb91ad56c3968ed1f\",\"49\":\"92d947b623617aa3eece\",\"50\":\"7cea281ba25ba8179ba6\",\"51\":\"efbdc8a740fc5f59526a\",\"52\":\"0a0dc45473581e3c61a4\",\"53\":\"7f111a57a9520e4338e6\",\"54\":\"6ccc1724f23c9880b1af\",\"55\":\"1db2104639486ce6a886\",\"56\":\"0ea1e64f690e80a51ef8\",\"57\":\"f7d5755284641d7499f6\",\"58\":\"ff4b8a653e14b25452ee\",\"59\":\"e9a31c00d950fd74fd30\",\"60\":\"7b9d865da00740ad6235\",\"61\":\"e52ae1f393a85870b0db\",\"62\":\"80b8ca041bdcc1368596\",\"63\":\"bb78d535d122c148ce9f\",\"64\":\"c938bd5783c1cba9271e\",\"65\":\"34f40631f4912e010eb4\",\"66\":\"4a5b068975eb4fe5fc1a\",\"67\":\"8603d4cd1b8ec3850173\",\"68\":\"9184313b6a16567d122d\",\"69\":\"e849b1f0d657b6bcedcc\",\"70\":\"db86e40009abe2cb833f\",\"71\":\"f2c66ec3181a23a20bb3\",\"72\":\"8e8b1a6c7418a162ca0c\",\"73\":\"7f8238c2027977ba846f\",\"74\":\"8d4d5c447105b87a1561\",\"75\":\"e083aa45046ae27dd8f9\",\"76\":\"2e120e96171c74f2c192\",\"77\":\"e2fad7bd884a27dbd116\",\"78\":\"98335291648e3efa80a0\",\"79\":\"b68c0a22eafb43ae73f2\",\"80\":\"5901c54722f00ddb0fff\",\"81\":\"0c070095cd563fb283f9\",\"82\":\"fec83e19cc60434e549e\",\"83\":\"1dbac8b292d7170cad4f\",\"84\":\"e951995b14ff42ec76d5\",\"85\":\"e0920c0f4c233fc35617\",\"86\":\"909664c829c66b37ea84\",\"87\":\"b505e6d42584ab0c7485\",\"88\":\"e1bf63845c6da47deabd\",\"89\":\"dc7ad4072726ba046f85\",\"90\":\"8db560e208052754ae35\",\"91\":\"5d5ecb397905792cd093\",\"92\":\"f0cb3fbeaac6d1eef3d4\",\"93\":\"4a0551bc1bc454a08f23\",\"94\":\"20b8bc8ae962f4e3e0d3\",\"95\":\"2b754318c79c4732d941\",\"96\":\"6683a8df27a1e8e548cd\",\"97\":\"2a3b108b77565b4b13ce\",\"98\":\"de8eeeb74721bdcb4f62\",\"99\":\"3e02aba5fc7b6b630780\",\"100\":\"f4717f9fd32db6f93186\",\"101\":\"7d08093791d0dd523e0a\",\"102\":\"c87b4d23ef01e373209c\",\"103\":\"bfcff5869f59f182fad6\",\"104\":\"2ee9b6533b8cb874f361\",\"105\":\"046dd34749d3b80b55b8\",\"106\":\"216bf44da3da1098cda3\",\"107\":\"ea4494b7e22551cecffb\",\"108\":\"85abbb968434f2b20037\",\"109\":\"82f47ef94dd9d67243a3\",\"110\":\"646a52273319f1a0360e\",\"111\":\"79c7c5fb901ec2f5afba\",\"112\":\"259c468782b9a9863fc3\",\"113\":\"819e9f111e7e6fcc1b0c\",\"114\":\"9e45882f2d58060a2f02\",\"115\":\"930e05a008dfa1d6ac01\",\"116\":\"941a6c647f5f859f2333\",\"117\":\"ff1f31092556ed517fc8\",\"118\":\"11b6edb8bf4fc34b0bee\",\"119\":\"26257cd9b184fb1a5c03\",\"120\":\"7acd43d1048beb6cd2a2\",\"121\":\"078b873ba9e78fa502da\",\"122\":\"88c7a8cd25f6944801f9\",\"123\":\"f8bf6d3c46f3315f43ed\",\"124\":\"d6fb6a80350420c0a6f9\",\"125\":\"cac13aff011c525bc9d7\",\"126\":\"0eb2c247aae16b02f68d\",\"127\":\"498081833cfcab05f826\",\"128\":\"e4396af24945aecbd6d6\",\"129\":\"0cf6db049788858d088d\",\"130\":\"ffb6de30b7f89545e60c\",\"131\":\"7cbb5b2fb0da3a51e144\",\"132\":\"8108bc3f700a95263091\",\"133\":\"a559582eda72a2e1f541\",\"134\":\"88f6a3bcc077da83c858\",\"135\":\"99676849ca57736cf88c\",\"136\":\"21fd409ca5694de6309f\",\"137\":\"297b9577b7cfff307bf0\",\"138\":\"72ed8b5fc8665e5f06a9\",\"139\":\"c0c830adc1a56d1b859d\",\"140\":\"6c3dc37fcd75ccd3ec9b\",\"141\":\"696ba59a793c33686d03\",\"142\":\"16409453f26eabc69e8e\",\"143\":\"597706970806bd69104f\",\"144\":\"c16c9e14a4fae991856e\",\"145\":\"0fb08bc1cfc2e430eaff\",\"146\":\"e121f914ab1ce1f732ae\",\"147\":\"84abdf1e13c43bb56fce\",\"148\":\"daf2a80f2e0a56bedf82\",\"149\":\"ba794444b6b6c17f490b\",\"150\":\"cddb8e9bdb96da263c4f\",\"151\":\"4ac4a448fb305c6aa2d1\",\"152\":\"430c663b16533ace64db\",\"153\":\"6e2c220181580676a4c1\",\"154\":\"1b3adb8f912ffbac3be6\",\"155\":\"8f1c1ff1344ff05a9a6d\",\"156\":\"0e1ca20397f17d390f66\",\"157\":\"9759864c5643a000f457\",\"158\":\"f5d83762546e331af60e\",\"159\":\"9e602e4836c8d4411632\",\"160\":\"b78635dc2335bb0f7020\",\"161\":\"0709e61532b44bbb912d\",\"162\":\"3b98eda3d9a0629bc5d2\",\"163\":\"c872d3944a2bc3799059\",\"164\":\"2fe4efa9d54da3cab486\",\"165\":\"db5cdb7a129af4b1f4bb\",\"166\":\"2808c62683ede878eeeb\",\"167\":\"aa7ff5d3fc416ad1d3c4\",\"168\":\"b5af61b0f40933752a8c\",\"169\":\"6f1f5567056e9ef490ba\",\"170\":\"87cf85eff150505675ca\",\"171\":\"f2411c2c74270ce81d52\",\"172\":\"1e761e47062a79129dfc\",\"173\":\"8ee2ac43425e97a68b30\",\"174\":\"c596ef91f72126723c09\",\"175\":\"2f85db1ca98a0a0b2e08\",\"176\":\"b63fadbabeeffa3e7297\",\"177\":\"a7ac21a917bbd8e7c5bf\",\"178\":\"f999bb4dba18d55d2701\",\"179\":\"534165910a2e66f505b5\",\"180\":\"0930b39394e5c4e5b9c4\",\"181\":\"c14e03ac28d97e1a2d6f\",\"182\":\"79466549c0c67fec7bbc\",\"183\":\"106ae920216b54c5ba56\",\"184\":\"08968ac1851deb2d5eaa\",\"185\":\"f59154c9d8046c81c18d\",\"186\":\"5a89a2d882980e9f48e1\",\"187\":\"12d203d6dad17366477c\",\"188\":\"52bb514bab8d0c1423ed\",\"189\":\"b9981ca8a64832b3b257\",\"190\":\"bbfda5a02511351a232c\",\"191\":\"e1bf59729a8b74be4d0d\",\"192\":\"e548ee7cc67f1a27e8c9\",\"194\":\"a005c419ab452b870d8d\",\"197\":\"120d4b01f30f8b7368ba\",\"198\":\"934bbece9404fd23da49\",\"199\":\"3520de68cd23fc6b8a5b\"}[chunkId] + \"\"\n \t}\n\n \t// The require function\n \tfunction __webpack_require__(moduleId) {\n\n \t\t// Check if module is in cache\n \t\tif(installedModules[moduleId]) {\n \t\t\treturn installedModules[moduleId].exports;\n \t\t}\n \t\t// Create a new module (and put it into the cache)\n \t\tvar module = installedModules[moduleId] = {\n \t\t\ti: moduleId,\n \t\t\tl: false,\n \t\t\texports: {}\n \t\t};\n\n \t\t// Execute the module function\n \t\tmodules[moduleId].call(module.exports, module, module.exports, __webpack_require__);\n\n \t\t// Flag the module as loaded\n \t\tmodule.l = true;\n\n \t\t// Return the exports of the module\n \t\treturn module.exports;\n \t}\n\n \t// This file contains only the entry chunk.\n \t// The chunk loading function for additional chunks\n \t__webpack_require__.e = function requireEnsure(chunkId) {\n \t\tvar promises = [];\n\n\n \t\t// JSONP chunk loading for javascript\n\n \t\tvar installedChunkData = installedChunks[chunkId];\n \t\tif(installedChunkData !== 0) { // 0 means \"already installed\".\n\n \t\t\t// a Promise means \"currently loading\".\n \t\t\tif(installedChunkData) {\n \t\t\t\tpromises.push(installedChunkData[2]);\n \t\t\t} else {\n \t\t\t\t// setup Promise in chunk cache\n \t\t\t\tvar promise = new Promise(function(resolve, reject) {\n \t\t\t\t\tinstalledChunkData = installedChunks[chunkId] = [resolve, reject];\n \t\t\t\t});\n \t\t\t\tpromises.push(installedChunkData[2] = promise);\n\n \t\t\t\t// start chunk loading\n \t\t\t\tvar script = document.createElement('script');\n \t\t\t\tvar onScriptComplete;\n\n \t\t\t\tscript.charset = 'utf-8';\n \t\t\t\tscript.timeout = 120;\n \t\t\t\tif (__webpack_require__.nc) {\n \t\t\t\t\tscript.setAttribute(\"nonce\", __webpack_require__.nc);\n \t\t\t\t}\n \t\t\t\tscript.src = jsonpScriptSrc(chunkId);\n\n \t\t\t\t// create error before stack unwound to get useful stacktrace later\n \t\t\t\tvar error = new Error();\n \t\t\t\tonScriptComplete = function (event) {\n \t\t\t\t\t// avoid mem leaks in IE.\n \t\t\t\t\tscript.onerror = script.onload = null;\n \t\t\t\t\tclearTimeout(timeout);\n \t\t\t\t\tvar chunk = installedChunks[chunkId];\n \t\t\t\t\tif(chunk !== 0) {\n \t\t\t\t\t\tif(chunk) {\n \t\t\t\t\t\t\tvar errorType = event && (event.type === 'load' ? 'missing' : event.type);\n \t\t\t\t\t\t\tvar realSrc = event && event.target && event.target.src;\n \t\t\t\t\t\t\terror.message = 'Loading chunk ' + chunkId + ' failed.\\n(' + errorType + ': ' + realSrc + ')';\n \t\t\t\t\t\t\terror.name = 'ChunkLoadError';\n \t\t\t\t\t\t\terror.type = errorType;\n \t\t\t\t\t\t\terror.request = realSrc;\n \t\t\t\t\t\t\tchunk[1](error);\n \t\t\t\t\t\t}\n \t\t\t\t\t\tinstalledChunks[chunkId] = undefined;\n \t\t\t\t\t}\n \t\t\t\t};\n \t\t\t\tvar timeout = setTimeout(function(){\n \t\t\t\t\tonScriptComplete({ type: 'timeout', target: script });\n \t\t\t\t}, 120000);\n \t\t\t\tscript.onerror = script.onload = onScriptComplete;\n \t\t\t\tdocument.head.appendChild(script);\n \t\t\t}\n \t\t}\n \t\treturn Promise.all(promises);\n \t};\n\n \t// expose the modules object (__webpack_modules__)\n \t__webpack_require__.m = modules;\n\n \t// expose the module cache\n \t__webpack_require__.c = installedModules;\n\n \t// define getter function for harmony exports\n \t__webpack_require__.d = function(exports, name, getter) {\n \t\tif(!__webpack_require__.o(exports, name)) {\n \t\t\tObject.defineProperty(exports, name, { enumerable: true, get: getter });\n \t\t}\n \t};\n\n \t// define __esModule on exports\n \t__webpack_require__.r = function(exports) {\n \t\tif(typeof Symbol !== 'undefined' && Symbol.toStringTag) {\n \t\t\tObject.defineProperty(exports, Symbol.toStringTag, { value: 'Module' });\n \t\t}\n \t\tObject.defineProperty(exports, '__esModule', { value: true });\n \t};\n\n \t// create a fake namespace object\n \t// mode & 1: value is a module id, require it\n \t// mode & 2: merge all properties of value into the ns\n \t// mode & 4: return value when already ns object\n \t// mode & 8|1: behave like require\n \t__webpack_require__.t = function(value, mode) {\n \t\tif(mode & 1) value = __webpack_require__(value);\n \t\tif(mode & 8) return value;\n \t\tif((mode & 4) && typeof value === 'object' && value && value.__esModule) return value;\n \t\tvar ns = Object.create(null);\n \t\t__webpack_require__.r(ns);\n \t\tObject.defineProperty(ns, 'default', { enumerable: true, value: value });\n \t\tif(mode & 2 && typeof value != 'string') for(var key in value) __webpack_require__.d(ns, key, function(key) { return value[key]; }.bind(null, key));\n \t\treturn ns;\n \t};\n\n \t// getDefaultExport function for compatibility with non-harmony modules\n \t__webpack_require__.n = function(module) {\n \t\tvar getter = module && module.__esModule ?\n \t\t\tfunction getDefault() { return module['default']; } :\n \t\t\tfunction getModuleExports() { return module; };\n \t\t__webpack_require__.d(getter, 'a', getter);\n \t\treturn getter;\n \t};\n\n \t// Object.prototype.hasOwnProperty.call\n \t__webpack_require__.o = function(object, property) { return Object.prototype.hasOwnProperty.call(object, property); };\n\n \t// __webpack_public_path__\n \t__webpack_require__.p = \"/js/\";\n\n \t// on error function for async loading\n \t__webpack_require__.oe = function(err) { console.error(err); throw err; };\n\n \tvar jsonpArray = window[\"textWebpackJsonp\"] = window[\"textWebpackJsonp\"] || [];\n \tvar oldJsonpFunction = jsonpArray.push.bind(jsonpArray);\n \tjsonpArray.push = webpackJsonpCallback;\n \tjsonpArray = jsonpArray.slice();\n \tfor(var i = 0; i < jsonpArray.length; i++) webpackJsonpCallback(jsonpArray[i]);\n \tvar parentJsonpFunction = oldJsonpFunction;\n\n\n \t// Load entry module and return exports\n \treturn __webpack_require__(__webpack_require__.s = 175);\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nconst openMimetypesMarkdown = [\n\t'text/markdown',\n]\n\nconst openMimetypesPlainText = [\n\t'text/plain',\n\t'application/cmd',\n\t'application/x-empty',\n\t'application/x-msdos-program',\n\t'application/epub+zip',\n\t'application/javascript',\n\t'application/json',\n\t'application/x-perl',\n\t'application/x-php',\n\t'application/x-tex',\n\t'application/xml',\n\t'application/yaml',\n\t'text/css',\n\t'text/csv',\n\t'text/html',\n\t'text/x-c',\n\t'text/x-c++src',\n\t'text/x-h',\n\t'text/x-java-source',\n\t'text/x-ldif',\n\t'text/x-python',\n\t'text/x-shellscript',\n]\n\nconst openMimetypes = [...openMimetypesMarkdown, ...openMimetypesPlainText]\n\nexport {\n\topenMimetypes,\n\topenMimetypesMarkdown,\n\topenMimetypesPlainText,\n}\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ViewerComponent.vue?vue&type=style&index=0&lang=css&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ViewerComponent.vue?vue&type=style&index=0&lang=css&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"\\n#editor-container {\\n\\theight: calc(100% - 50px);\\n\\ttop: 50px;\\n}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('EditorWrapper',{attrs:{\"file-id\":_vm.fileid,\"relative-path\":_vm.filename,\"active\":_vm.active,\"share-token\":_vm.shareToken,\"mime\":_vm.mime}})}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ViewerComponent.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ViewerComponent.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<EditorWrapper :file-id=\"fileid\"\n\t\t:relative-path=\"filename\"\n\t\t:active=\"active\"\n\t\t:share-token=\"shareToken\"\n\t\t:mime=\"mime\" />\n</template>\n\n<script>\nexport default {\n\tname: 'ViewerComponent',\n\tcomponents: {\n\t\tEditorWrapper: () => import(/* webpackChunkName: \"editor\" */'./EditorWrapper'),\n\t},\n\tprops: {\n\t\tfilename: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tfileid: {\n\t\t\ttype: Number,\n\t\t\tdefault: null,\n\t\t},\n\t\tactive: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tshareToken: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tmime: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t},\n\tbeforeMount() {\n\t\t// FIXME Dirty fix to avoid recreating the component on stable16\n\t\tif (typeof this.$parent.$parent !== 'undefined' && this.$parent.$parent.onResize) {\n\t\t\twindow.removeEventListener('resize', this.$parent.$parent.onResize)\n\t\t}\n\t},\n}\n</script>\n<style>\n#editor-container {\n\theight: calc(100% - 50px);\n\ttop: 50px;\n}\n</style>\n","import { render, staticRenderFns } from \"./ViewerComponent.vue?vue&type=template&id=5ae8db58&\"\nimport script from \"./ViewerComponent.vue?vue&type=script&lang=js&\"\nexport * from \"./ViewerComponent.vue?vue&type=script&lang=js&\"\nimport style0 from \"./ViewerComponent.vue?vue&type=style&index=0&lang=css&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n null,\n null\n \n)\n\nexport default component.exports","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport ViewerComponent from './components/ViewerComponent'\nimport { openMimetypesMarkdown, openMimetypesPlainText } from './helpers/mime'\n\n__webpack_nonce__ = btoa(OC.requestToken) // eslint-disable-line\n__webpack_public_path__ = OC.linkTo('text', 'js/') // eslint-disable-line\n\ndocument.addEventListener('DOMContentLoaded', () => {\n\tif (typeof OCA.Viewer === 'undefined') {\n\t\tconsole.error('Viewer app is not installed')\n\t\treturn\n\t}\n\n\tOCA.Viewer.registerHandler({\n\t\tid: 'text',\n\t\tmimes: [...openMimetypesMarkdown, ...openMimetypesPlainText],\n\t\tcomponent: ViewerComponent,\n\t\tgroup: null,\n\t})\n})\n","/* globals __VUE_SSR_CONTEXT__ */\n\n// IMPORTANT: Do NOT use ES2015 features in this file (except for modules).\n// This module is a runtime utility for cleaner component module output and will\n// be included in the final webpack user bundle.\n\nexport default function normalizeComponent (\n scriptExports,\n render,\n staticRenderFns,\n functionalTemplate,\n injectStyles,\n scopeId,\n moduleIdentifier, /* server only */\n shadowMode /* vue-cli only */\n) {\n // Vue.extend constructor export interop\n var options = typeof scriptExports === 'function'\n ? scriptExports.options\n : scriptExports\n\n // render functions\n if (render) {\n options.render = render\n options.staticRenderFns = staticRenderFns\n options._compiled = true\n }\n\n // functional template\n if (functionalTemplate) {\n options.functional = true\n }\n\n // scopedId\n if (scopeId) {\n options._scopeId = 'data-v-' + scopeId\n }\n\n var hook\n if (moduleIdentifier) { // server build\n hook = function (context) {\n // 2.3 injection\n context =\n context || // cached call\n (this.$vnode && this.$vnode.ssrContext) || // stateful\n (this.parent && this.parent.$vnode && this.parent.$vnode.ssrContext) // functional\n // 2.2 with runInNewContext: true\n if (!context && typeof __VUE_SSR_CONTEXT__ !== 'undefined') {\n context = __VUE_SSR_CONTEXT__\n }\n // inject component styles\n if (injectStyles) {\n injectStyles.call(this, context)\n }\n // register component module identifier for async chunk inferrence\n if (context && context._registeredComponents) {\n context._registeredComponents.add(moduleIdentifier)\n }\n }\n // used by ssr in case component is cached and beforeCreate\n // never gets called\n options._ssrRegister = hook\n } else if (injectStyles) {\n hook = shadowMode\n ? function () {\n injectStyles.call(\n this,\n (options.functional ? this.parent : this).$root.$options.shadowRoot\n )\n }\n : injectStyles\n }\n\n if (hook) {\n if (options.functional) {\n // for template-only hot-reload because in that case the render fn doesn't\n // go through the normalizer\n options._injectStyles = hook\n // register for functional component in vue file\n var originalRender = options.render\n options.render = function renderWithStyleInjection (h, context) {\n hook.call(context)\n return originalRender(h, context)\n }\n } else {\n // inject component registration as beforeCreate hook\n var existing = options.beforeCreate\n options.beforeCreate = existing\n ? [].concat(existing, hook)\n : [hook]\n }\n }\n\n return {\n exports: scriptExports,\n options: options\n }\n}\n","\"use strict\";\n\n/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n*/\n// css base code, injected by the css-loader\n// eslint-disable-next-line func-names\nmodule.exports = function (useSourceMap) {\n var list = []; // return the list of modules as css string\n\n list.toString = function toString() {\n return this.map(function (item) {\n var content = cssWithMappingToString(item, useSourceMap);\n\n if (item[2]) {\n return \"@media \".concat(item[2], \" {\").concat(content, \"}\");\n }\n\n return content;\n }).join('');\n }; // import a list of modules into the list\n // eslint-disable-next-line func-names\n\n\n list.i = function (modules, mediaQuery, dedupe) {\n if (typeof modules === 'string') {\n // eslint-disable-next-line no-param-reassign\n modules = [[null, modules, '']];\n }\n\n var alreadyImportedModules = {};\n\n if (dedupe) {\n for (var i = 0; i < this.length; i++) {\n // eslint-disable-next-line prefer-destructuring\n var id = this[i][0];\n\n if (id != null) {\n alreadyImportedModules[id] = true;\n }\n }\n }\n\n for (var _i = 0; _i < modules.length; _i++) {\n var item = [].concat(modules[_i]);\n\n if (dedupe && alreadyImportedModules[item[0]]) {\n // eslint-disable-next-line no-continue\n continue;\n }\n\n if (mediaQuery) {\n if (!item[2]) {\n item[2] = mediaQuery;\n } else {\n item[2] = \"\".concat(mediaQuery, \" and \").concat(item[2]);\n }\n }\n\n list.push(item);\n }\n };\n\n return list;\n};\n\nfunction cssWithMappingToString(item, useSourceMap) {\n var content = item[1] || ''; // eslint-disable-next-line prefer-destructuring\n\n var cssMapping = item[3];\n\n if (!cssMapping) {\n return content;\n }\n\n if (useSourceMap && typeof btoa === 'function') {\n var sourceMapping = toComment(cssMapping);\n var sourceURLs = cssMapping.sources.map(function (source) {\n return \"/*# sourceURL=\".concat(cssMapping.sourceRoot || '').concat(source, \" */\");\n });\n return [content].concat(sourceURLs).concat([sourceMapping]).join('\\n');\n }\n\n return [content].join('\\n');\n} // Adapted from convert-source-map (MIT)\n\n\nfunction toComment(sourceMap) {\n // eslint-disable-next-line no-undef\n var base64 = btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap))));\n var data = \"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(base64);\n return \"/*# \".concat(data, \" */\");\n}","/**\n * Translates the list format produced by css-loader into something\n * easier to manipulate.\n */\nexport default function listToStyles (parentId, list) {\n var styles = []\n var newStyles = {}\n for (var i = 0; i < list.length; i++) {\n var item = list[i]\n var id = item[0]\n var css = item[1]\n var media = item[2]\n var sourceMap = item[3]\n var part = {\n id: parentId + ':' + i,\n css: css,\n media: media,\n sourceMap: sourceMap\n }\n if (!newStyles[id]) {\n styles.push(newStyles[id] = { id: id, parts: [part] })\n } else {\n newStyles[id].parts.push(part)\n }\n }\n return styles\n}\n","/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n Modified by Evan You @yyx990803\n*/\n\nimport listToStyles from './listToStyles'\n\nvar hasDocument = typeof document !== 'undefined'\n\nif (typeof DEBUG !== 'undefined' && DEBUG) {\n if (!hasDocument) {\n throw new Error(\n 'vue-style-loader cannot be used in a non-browser environment. ' +\n \"Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\"\n ) }\n}\n\n/*\ntype StyleObject = {\n id: number;\n parts: Array<StyleObjectPart>\n}\n\ntype StyleObjectPart = {\n css: string;\n media: string;\n sourceMap: ?string\n}\n*/\n\nvar stylesInDom = {/*\n [id: number]: {\n id: number,\n refs: number,\n parts: Array<(obj?: StyleObjectPart) => void>\n }\n*/}\n\nvar head = hasDocument && (document.head || document.getElementsByTagName('head')[0])\nvar singletonElement = null\nvar singletonCounter = 0\nvar isProduction = false\nvar noop = function () {}\nvar options = null\nvar ssrIdKey = 'data-vue-ssr-id'\n\n// Force single-tag solution on IE6-9, which has a hard limit on the # of <style>\n// tags it will allow on a page\nvar isOldIE = typeof navigator !== 'undefined' && /msie [6-9]\\b/.test(navigator.userAgent.toLowerCase())\n\nexport default function addStylesClient (parentId, list, _isProduction, _options) {\n isProduction = _isProduction\n\n options = _options || {}\n\n var styles = listToStyles(parentId, list)\n addStylesToDom(styles)\n\n return function update (newList) {\n var mayRemove = []\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n domStyle.refs--\n mayRemove.push(domStyle)\n }\n if (newList) {\n styles = listToStyles(parentId, newList)\n addStylesToDom(styles)\n } else {\n styles = []\n }\n for (var i = 0; i < mayRemove.length; i++) {\n var domStyle = mayRemove[i]\n if (domStyle.refs === 0) {\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j]()\n }\n delete stylesInDom[domStyle.id]\n }\n }\n }\n}\n\nfunction addStylesToDom (styles /* Array<StyleObject> */) {\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n if (domStyle) {\n domStyle.refs++\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j](item.parts[j])\n }\n for (; j < item.parts.length; j++) {\n domStyle.parts.push(addStyle(item.parts[j]))\n }\n if (domStyle.parts.length > item.parts.length) {\n domStyle.parts.length = item.parts.length\n }\n } else {\n var parts = []\n for (var j = 0; j < item.parts.length; j++) {\n parts.push(addStyle(item.parts[j]))\n }\n stylesInDom[item.id] = { id: item.id, refs: 1, parts: parts }\n }\n }\n}\n\nfunction createStyleElement () {\n var styleElement = document.createElement('style')\n styleElement.type = 'text/css'\n head.appendChild(styleElement)\n return styleElement\n}\n\nfunction addStyle (obj /* StyleObjectPart */) {\n var update, remove\n var styleElement = document.querySelector('style[' + ssrIdKey + '~=\"' + obj.id + '\"]')\n\n if (styleElement) {\n if (isProduction) {\n // has SSR styles and in production mode.\n // simply do nothing.\n return noop\n } else {\n // has SSR styles but in dev mode.\n // for some reason Chrome can't handle source map in server-rendered\n // style tags - source maps in <style> only works if the style tag is\n // created and inserted dynamically. So we remove the server rendered\n // styles and inject new ones.\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n if (isOldIE) {\n // use singleton mode for IE9.\n var styleIndex = singletonCounter++\n styleElement = singletonElement || (singletonElement = createStyleElement())\n update = applyToSingletonTag.bind(null, styleElement, styleIndex, false)\n remove = applyToSingletonTag.bind(null, styleElement, styleIndex, true)\n } else {\n // use multi-style-tag mode in all other cases\n styleElement = createStyleElement()\n update = applyToTag.bind(null, styleElement)\n remove = function () {\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n update(obj)\n\n return function updateStyle (newObj /* StyleObjectPart */) {\n if (newObj) {\n if (newObj.css === obj.css &&\n newObj.media === obj.media &&\n newObj.sourceMap === obj.sourceMap) {\n return\n }\n update(obj = newObj)\n } else {\n remove()\n }\n }\n}\n\nvar replaceText = (function () {\n var textStore = []\n\n return function (index, replacement) {\n textStore[index] = replacement\n return textStore.filter(Boolean).join('\\n')\n }\n})()\n\nfunction applyToSingletonTag (styleElement, index, remove, obj) {\n var css = remove ? '' : obj.css\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = replaceText(index, css)\n } else {\n var cssNode = document.createTextNode(css)\n var childNodes = styleElement.childNodes\n if (childNodes[index]) styleElement.removeChild(childNodes[index])\n if (childNodes.length) {\n styleElement.insertBefore(cssNode, childNodes[index])\n } else {\n styleElement.appendChild(cssNode)\n }\n }\n}\n\nfunction applyToTag (styleElement, obj) {\n var css = obj.css\n var media = obj.media\n var sourceMap = obj.sourceMap\n\n if (media) {\n styleElement.setAttribute('media', media)\n }\n if (options.ssrId) {\n styleElement.setAttribute(ssrIdKey, obj.id)\n }\n\n if (sourceMap) {\n // https://developer.chrome.com/devtools/docs/javascript-debugging\n // this makes source maps inside style tags work properly in Chrome\n css += '\\n/*# sourceURL=' + sourceMap.sources[0] + ' */'\n // http://stackoverflow.com/a/26603875\n css += '\\n/*# sourceMappingURL=data:application/json;base64,' + btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap)))) + ' */'\n }\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = css\n } else {\n while (styleElement.firstChild) {\n styleElement.removeChild(styleElement.firstChild)\n }\n styleElement.appendChild(document.createTextNode(css))\n }\n}\n","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ViewerComponent.vue?vue&type=style&index=0&lang=css&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"4861ac69\", content, true, {});"],"sourceRoot":""} \ No newline at end of file
+{"version":3,"sources":["webpack:///webpack/bootstrap","webpack:///./src/helpers/mime.js","webpack:///./src/components/ViewerComponent.vue?f26a","webpack:///./src/components/ViewerComponent.vue?7b36","webpack:///./src/components/ViewerComponent.vue?c9f8","webpack:///./src/components/ViewerComponent.vue?f8ea","webpack:///src/components/ViewerComponent.vue","webpack:///./src/components/ViewerComponent.vue","webpack:///./src/viewer.js","webpack:///./node_modules/vue-loader/lib/runtime/componentNormalizer.js","webpack:///./node_modules/css-loader/dist/runtime/api.js","webpack:///./node_modules/vue-style-loader/lib/listToStyles.js","webpack:///./node_modules/vue-style-loader/lib/addStylesClient.js","webpack:///./src/components/ViewerComponent.vue?10db"],"names":["webpackJsonpCallback","data","moduleId","chunkId","chunkIds","moreModules","i","resolves","length","Object","prototype","hasOwnProperty","call","installedChunks","push","modules","parentJsonpFunction","shift","installedModules","200","__webpack_require__","exports","module","l","e","promises","installedChunkData","promise","Promise","resolve","reject","onScriptComplete","script","document","createElement","charset","timeout","nc","setAttribute","src","p","jsonpScriptSrc","error","Error","event","onerror","onload","clearTimeout","chunk","errorType","type","realSrc","target","message","name","request","undefined","setTimeout","head","appendChild","all","m","c","d","getter","o","defineProperty","enumerable","get","r","Symbol","toStringTag","value","t","mode","__esModule","ns","create","key","bind","n","object","property","oe","err","console","jsonpArray","window","oldJsonpFunction","slice","s","openMimetypesMarkdown","openMimetypesPlainText","openMimetypes","___CSS_LOADER_API_IMPORT___","_h","this","$createElement","_self","_c","attrs","fileid","filename","active","shareToken","mime","__webpack_nonce__","btoa","OC","requestToken","__webpack_public_path__","linkTo","addEventListener","OCA","Viewer","registerHandler","id","mimes","component","ViewerComponent","group","normalizeComponent","scriptExports","render","staticRenderFns","functionalTemplate","injectStyles","scopeId","moduleIdentifier","shadowMode","hook","options","_compiled","functional","_scopeId","context","$vnode","ssrContext","parent","__VUE_SSR_CONTEXT__","_registeredComponents","add","_ssrRegister","$root","$options","shadowRoot","_injectStyles","originalRender","h","existing","beforeCreate","concat","useSourceMap","list","toString","map","item","content","cssMapping","sourceMapping","sourceMap","base64","unescape","encodeURIComponent","JSON","stringify","sourceURLs","sources","source","sourceRoot","join","cssWithMappingToString","mediaQuery","dedupe","alreadyImportedModules","_i","listToStyles","parentId","styles","newStyles","part","css","media","parts","hasDocument","DEBUG","stylesInDom","getElementsByTagName","singletonElement","singletonCounter","isProduction","noop","isOldIE","navigator","test","userAgent","toLowerCase","addStylesClient","_isProduction","_options","addStylesToDom","newList","mayRemove","domStyle","refs","j","addStyle","createStyleElement","styleElement","obj","update","remove","querySelector","parentNode","removeChild","styleIndex","applyToSingletonTag","applyToTag","newObj","textStore","replaceText","index","replacement","filter","Boolean","styleSheet","cssText","cssNode","createTextNode","childNodes","insertBefore","ssrId","firstChild","locals","default"],"mappings":"aACE,SAASA,EAAqBC,GAQ7B,IAPA,IAMIC,EAAUC,EANVC,EAAWH,EAAK,GAChBI,EAAcJ,EAAK,GAKAK,EAAI,EAAGC,EAAW,GACpCD,EAAIF,EAASI,OAAQF,IACzBH,EAAUC,EAASE,GAChBG,OAAOC,UAAUC,eAAeC,KAAKC,EAAiBV,IAAYU,EAAgBV,IACpFI,EAASO,KAAKD,EAAgBV,GAAS,IAExCU,EAAgBV,GAAW,EAE5B,IAAID,KAAYG,EACZI,OAAOC,UAAUC,eAAeC,KAAKP,EAAaH,KACpDa,EAAQb,GAAYG,EAAYH,IAKlC,IAFGc,GAAqBA,EAAoBf,GAEtCM,EAASC,QACdD,EAASU,OAATV,GAOF,IAAIW,EAAmB,GAKnBL,EAAkB,CACrBM,IAAK,GAWN,SAASC,EAAoBlB,GAG5B,GAAGgB,EAAiBhB,GACnB,OAAOgB,EAAiBhB,GAAUmB,QAGnC,IAAIC,EAASJ,EAAiBhB,GAAY,CACzCI,EAAGJ,EACHqB,GAAG,EACHF,QAAS,IAUV,OANAN,EAAQb,GAAUU,KAAKU,EAAOD,QAASC,EAAQA,EAAOD,QAASD,GAG/DE,EAAOC,GAAI,EAGJD,EAAOD,QAKfD,EAAoBI,EAAI,SAAuBrB,GAC9C,IAAIsB,EAAW,GAKXC,EAAqBb,EAAgBV,GACzC,GAA0B,IAAvBuB,EAGF,GAAGA,EACFD,EAASX,KAAKY,EAAmB,QAC3B,CAEN,IAAIC,EAAU,IAAIC,SAAQ,SAASC,EAASC,GAC3CJ,EAAqBb,EAAgBV,GAAW,CAAC0B,EAASC,MAE3DL,EAASX,KAAKY,EAAmB,GAAKC,GAGtC,IACII,EADAC,EAASC,SAASC,cAAc,UAGpCF,EAAOG,QAAU,QACjBH,EAAOI,QAAU,IACbhB,EAAoBiB,IACvBL,EAAOM,aAAa,QAASlB,EAAoBiB,IAElDL,EAAOO,IA1DV,SAAwBpC,GACvB,OAAOiB,EAAoBoB,EAAI,IAAM,CAAC,EAAI,SAAS,EAAI,6DAA6D,EAAI,eAAe,EAAI,iBAAiB,EAAI,sBAAsB,EAAI,yBAAyB,EAAI,gBAAgB,EAAI,wBAAwB,EAAI,mBAAmB,EAAI,wBAAwB,GAAK,mBAAmB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,qBAAqB,GAAK,oBAAoB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,gBAAgB,GAAK,sBAAsB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,yBAAyB,GAAK,kBAAkB,GAAK,yBAAyB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,eAAe,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,cAAc,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,uBAAuB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,gBAAgB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,wBAAwB,GAAK,kBAAkB,GAAK,gBAAgB,GAAK,iBAAiB,GAAK,oBAAoB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,kBAAkB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,eAAe,GAAK,iBAAiB,GAAK,mBAAmB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,oBAAoB,GAAK,iBAAiB,GAAK,gBAAgB,GAAK,qBAAqB,GAAK,iBAAiB,GAAK,eAAe,GAAK,oBAAoB,GAAK,gBAAgB,GAAK,mBAAmB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,uBAAuB,GAAK,sBAAsB,GAAK,iBAAiB,GAAK,kBAAkB,GAAK,uBAAuB,GAAK,mBAAmB,GAAK,kBAAkB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,iBAAiB,GAAK,2BAA2B,GAAK,uBAAuB,GAAK,iBAAiB,GAAK,gBAAgB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,wBAAwB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,oBAAoB,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,eAAe,IAAM,kBAAkB,IAAM,gBAAgB,IAAM,sBAAsB,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,oBAAoB,IAAM,mBAAmB,IAAM,uBAAuB,IAAM,qBAAqB,IAAM,mBAAmB,IAAM,sBAAsB,IAAM,mBAAmB,IAAM,cAAc,IAAM,gBAAgB,IAAM,cAAc,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,qBAAqB,IAAM,qBAAqB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,0BAA0B,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,kBAAkB,IAAM,sBAAsB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,mBAAmB,IAAM,mBAAmB,IAAM,oBAAoB,IAAM,kBAAkB,IAAM,yBAAyB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,iBAAiB,IAAM,uBAAuB,IAAM,iBAAiB,IAAM,kBAAkB,IAAM,qBAAqB,IAAM,0BAA0B,IAAM,oBAAoB,IAAM,iBAAiB,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,eAAe,IAAM,gBAAgB,IAAM,mBAAmB,IAAM,iBAAiB,IAAM,mBAAmB,IAAM,qCAAqC,IAAM,6BAA6B,IAAM,SAAS,IAAM,gBAAgB,IAAM,eAAe,IAAM,cAAc,IAAM,cAAc,IAAM,iBAAiB,IAAM,sBAAsB,IAAM,uBAAuBrC,IAAUA,GAAW,SAAW,CAAC,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,EAAI,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,GAAK,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,uBAAuB,IAAM,wBAAwBA,GAyDztUsC,CAAetC,GAG5B,IAAIuC,EAAQ,IAAIC,MAChBZ,EAAmB,SAAUa,GAE5BZ,EAAOa,QAAUb,EAAOc,OAAS,KACjCC,aAAaX,GACb,IAAIY,EAAQnC,EAAgBV,GAC5B,GAAa,IAAV6C,EAAa,CACf,GAAGA,EAAO,CACT,IAAIC,EAAYL,IAAyB,SAAfA,EAAMM,KAAkB,UAAYN,EAAMM,MAChEC,EAAUP,GAASA,EAAMQ,QAAUR,EAAMQ,OAAOb,IACpDG,EAAMW,QAAU,iBAAmBlD,EAAU,cAAgB8C,EAAY,KAAOE,EAAU,IAC1FT,EAAMY,KAAO,iBACbZ,EAAMQ,KAAOD,EACbP,EAAMa,QAAUJ,EAChBH,EAAM,GAAGN,GAEV7B,EAAgBV,QAAWqD,IAG7B,IAAIpB,EAAUqB,YAAW,WACxB1B,EAAiB,CAAEmB,KAAM,UAAWE,OAAQpB,MAC1C,MACHA,EAAOa,QAAUb,EAAOc,OAASf,EACjCE,SAASyB,KAAKC,YAAY3B,GAG5B,OAAOJ,QAAQgC,IAAInC,IAIpBL,EAAoByC,EAAI9C,EAGxBK,EAAoB0C,EAAI5C,EAGxBE,EAAoB2C,EAAI,SAAS1C,EAASiC,EAAMU,GAC3C5C,EAAoB6C,EAAE5C,EAASiC,IAClC7C,OAAOyD,eAAe7C,EAASiC,EAAM,CAAEa,YAAY,EAAMC,IAAKJ,KAKhE5C,EAAoBiD,EAAI,SAAShD,GACX,oBAAXiD,QAA0BA,OAAOC,aAC1C9D,OAAOyD,eAAe7C,EAASiD,OAAOC,YAAa,CAAEC,MAAO,WAE7D/D,OAAOyD,eAAe7C,EAAS,aAAc,CAAEmD,OAAO,KAQvDpD,EAAoBqD,EAAI,SAASD,EAAOE,GAEvC,GADU,EAAPA,IAAUF,EAAQpD,EAAoBoD,IAC/B,EAAPE,EAAU,OAAOF,EACpB,GAAW,EAAPE,GAA8B,iBAAVF,GAAsBA,GAASA,EAAMG,WAAY,OAAOH,EAChF,IAAII,EAAKnE,OAAOoE,OAAO,MAGvB,GAFAzD,EAAoBiD,EAAEO,GACtBnE,OAAOyD,eAAeU,EAAI,UAAW,CAAET,YAAY,EAAMK,MAAOA,IACtD,EAAPE,GAA4B,iBAATF,EAAmB,IAAI,IAAIM,KAAON,EAAOpD,EAAoB2C,EAAEa,EAAIE,EAAK,SAASA,GAAO,OAAON,EAAMM,IAAQC,KAAK,KAAMD,IAC9I,OAAOF,GAIRxD,EAAoB4D,EAAI,SAAS1D,GAChC,IAAI0C,EAAS1C,GAAUA,EAAOqD,WAC7B,WAAwB,OAAOrD,EAAgB,SAC/C,WAA8B,OAAOA,GAEtC,OADAF,EAAoB2C,EAAEC,EAAQ,IAAKA,GAC5BA,GAIR5C,EAAoB6C,EAAI,SAASgB,EAAQC,GAAY,OAAOzE,OAAOC,UAAUC,eAAeC,KAAKqE,EAAQC,IAGzG9D,EAAoBoB,EAAI,OAGxBpB,EAAoB+D,GAAK,SAASC,GAA2B,MAApBC,QAAQ3C,MAAM0C,GAAYA,GAEnE,IAAIE,EAAaC,OAAyB,iBAAIA,OAAyB,kBAAK,GACxEC,EAAmBF,EAAWxE,KAAKiE,KAAKO,GAC5CA,EAAWxE,KAAOd,EAClBsF,EAAaA,EAAWG,QACxB,IAAI,IAAInF,EAAI,EAAGA,EAAIgF,EAAW9E,OAAQF,IAAKN,EAAqBsF,EAAWhF,IAC3E,IAAIU,EAAsBwE,EAInBpE,EAAoBA,EAAoBsE,EAAI,K,kCCrMrD;;;;;;;;;;;;;;;;;;;;;;AAsBA,IAAMC,EAAwB,CAC7B,iBAGKC,EAAyB,CAC9B,aACA,kBACA,sBACA,8BACA,uBACA,yBACA,mBACA,qBACA,oBACA,oBACA,kBACA,mBACA,WACA,WACA,YACA,WACA,gBACA,WACA,qBACA,cACA,gBACA,sBAGKC,EAAgB,GAAH,OAAOF,EAA0BC,I,iCCnDpD,mBAAiT,G,qBCEjTvE,EADkC,EAAQ,GAChCyE,EAA4B,IAE9BhF,KAAK,CAACQ,EAAOhB,EAAI,yEAA0E,KAEnGgB,EAAOD,QAAUA,G,wCCNjB,ICA+L,EC+B/L,CACA,uBACA,YACA,0GAEA,OACA,UACA,YACA,cAEA,QACA,YACA,cAEA,QACA,aACA,YAEA,YACA,YACA,cAEA,MACA,YACA,eAGA,YA3BA,gBA6BA,yDACA,qE,iBC1Ce,EAXC,YACd,GHTW,WAAa,IAAiB0E,EAATC,KAAgBC,eAAuC,OAAvDD,KAA0CE,MAAMC,IAAIJ,GAAa,gBAAgB,CAACK,MAAM,CAAC,UAAzFJ,KAAuGK,OAAO,gBAA9GL,KAAkIM,SAAS,OAA3IN,KAAwJO,OAAO,cAA/JP,KAAiLQ,WAAW,KAA5LR,KAAuMS,UACnN,IGWpB,EACA,KACA,KACA,M;;;;;;;;;;;;;;;;;;;;;GCUFC,KAAoBC,KAAKC,GAAGC,cAC5BC,IAA0BF,GAAGG,OAAO,OAAQ,OAE5C9E,SAAS+E,iBAAiB,oBAAoB,gBACnB,IAAfC,IAAIC,OAKfD,IAAIC,OAAOC,gBAAgB,CAC1BC,GAAI,OACJC,MAAO,GAAF,SAAM1B,KAAN,EAAgCC,MACrC0B,UAAWC,EACXC,MAAO,OARPnC,QAAQ3C,MAAM,mC,gCCxBD,SAAS+E,EACtBC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,EACAC,GAGA,IAqBIC,EArBAC,EAAmC,mBAAlBT,EACjBA,EAAcS,QACdT,EAsDJ,GAnDIC,IACFQ,EAAQR,OAASA,EACjBQ,EAAQP,gBAAkBA,EAC1BO,EAAQC,WAAY,GAIlBP,IACFM,EAAQE,YAAa,GAInBN,IACFI,EAAQG,SAAW,UAAYP,GAI7BC,GACFE,EAAO,SAAUK,IAEfA,EACEA,GACCvC,KAAKwC,QAAUxC,KAAKwC,OAAOC,YAC3BzC,KAAK0C,QAAU1C,KAAK0C,OAAOF,QAAUxC,KAAK0C,OAAOF,OAAOC,aAEZ,oBAAxBE,sBACrBJ,EAAUI,qBAGRb,GACFA,EAAalH,KAAKoF,KAAMuC,GAGtBA,GAAWA,EAAQK,uBACrBL,EAAQK,sBAAsBC,IAAIb,IAKtCG,EAAQW,aAAeZ,GACdJ,IACTI,EAAOD,EACH,WACAH,EAAalH,KACXoF,MACCmC,EAAQE,WAAarC,KAAK0C,OAAS1C,MAAM+C,MAAMC,SAASC,aAG3DnB,GAGFI,EACF,GAAIC,EAAQE,WAAY,CAGtBF,EAAQe,cAAgBhB,EAExB,IAAIiB,EAAiBhB,EAAQR,OAC7BQ,EAAQR,OAAS,SAAmCyB,EAAGb,GAErD,OADAL,EAAKtH,KAAK2H,GACHY,EAAeC,EAAGb,QAEtB,CAEL,IAAIc,EAAWlB,EAAQmB,aACvBnB,EAAQmB,aAAeD,EACnB,GAAGE,OAAOF,EAAUnB,GACpB,CAACA,GAIT,MAAO,CACL7G,QAASqG,EACTS,QAASA,GA/Fb,mC,gCCQA7G,EAAOD,QAAU,SAAUmI,GACzB,IAAIC,EAAO,GAuDX,OArDAA,EAAKC,SAAW,WACd,OAAO1D,KAAK2D,KAAI,SAAUC,GACxB,IAAIC,EAsDV,SAAgCD,EAAMJ,GACpC,IAAIK,EAAUD,EAAK,IAAM,GAErBE,EAAaF,EAAK,GAEtB,IAAKE,EACH,OAAOD,EAGT,GAAIL,GAAgC,mBAAT7C,KAAqB,CAC9C,IAAIoD,GAWWC,EAXeF,EAa5BG,EAAStD,KAAKuD,SAASC,mBAAmBC,KAAKC,UAAUL,MACzD/J,EAAO,+DAA+DsJ,OAAOU,GAC1E,OAAOV,OAAOtJ,EAAM,QAdrBqK,EAAaR,EAAWS,QAAQZ,KAAI,SAAUa,GAChD,MAAO,iBAAiBjB,OAAOO,EAAWW,YAAc,IAAIlB,OAAOiB,EAAQ,UAE7E,MAAO,CAACX,GAASN,OAAOe,GAAYf,OAAO,CAACQ,IAAgBW,KAAK,MAOrE,IAAmBV,EAEbC,EACAhK,EAPJ,MAAO,CAAC4J,GAASa,KAAK,MAvEJC,CAAuBf,EAAMJ,GAE3C,OAAII,EAAK,GACA,UAAUL,OAAOK,EAAK,GAAI,MAAML,OAAOM,EAAS,KAGlDA,KACNa,KAAK,KAKVjB,EAAKnJ,EAAI,SAAUS,EAAS6J,EAAYC,GACf,iBAAZ9J,IAETA,EAAU,CAAC,CAAC,KAAMA,EAAS,MAG7B,IAAI+J,EAAyB,GAE7B,GAAID,EACF,IAAK,IAAIvK,EAAI,EAAGA,EAAI0F,KAAKxF,OAAQF,IAAK,CAEpC,IAAI8G,EAAKpB,KAAK1F,GAAG,GAEP,MAAN8G,IACF0D,EAAuB1D,IAAM,GAKnC,IAAK,IAAI2D,EAAK,EAAGA,EAAKhK,EAAQP,OAAQuK,IAAM,CAC1C,IAAInB,EAAO,GAAGL,OAAOxI,EAAQgK,IAEzBF,GAAUC,EAAuBlB,EAAK,MAKtCgB,IACGhB,EAAK,GAGRA,EAAK,GAAK,GAAGL,OAAOqB,EAAY,SAASrB,OAAOK,EAAK,IAFrDA,EAAK,GAAKgB,GAMdnB,EAAK3I,KAAK8I,MAIPH,I,gCC5DM,SAASuB,EAAcC,EAAUxB,GAG9C,IAFA,IAAIyB,EAAS,GACTC,EAAY,GACP7K,EAAI,EAAGA,EAAImJ,EAAKjJ,OAAQF,IAAK,CACpC,IAAIsJ,EAAOH,EAAKnJ,GACZ8G,EAAKwC,EAAK,GAIVwB,EAAO,CACThE,GAAI6D,EAAW,IAAM3K,EACrB+K,IALQzB,EAAK,GAMb0B,MALU1B,EAAK,GAMfI,UALcJ,EAAK,IAOhBuB,EAAU/D,GAGb+D,EAAU/D,GAAImE,MAAMzK,KAAKsK,GAFzBF,EAAOpK,KAAKqK,EAAU/D,GAAM,CAAEA,GAAIA,EAAImE,MAAO,CAACH,KAKlD,OAAOF,E,+CCjBT,IAAIM,EAAkC,oBAAbvJ,SAEzB,GAAqB,oBAAVwJ,OAAyBA,QAC7BD,EACH,MAAM,IAAI7I,MACV,2JAkBJ,IAAI+I,EAAc,GAQdhI,EAAO8H,IAAgBvJ,SAASyB,MAAQzB,SAAS0J,qBAAqB,QAAQ,IAC9EC,EAAmB,KACnBC,EAAmB,EACnBC,GAAe,EACfC,EAAO,aACP5D,EAAU,KAKV6D,EAA+B,oBAAdC,WAA6B,eAAeC,KAAKD,UAAUE,UAAUC,eAE3E,SAASC,EAAiBpB,EAAUxB,EAAM6C,EAAeC,GACtET,EAAeQ,EAEfnE,EAAUoE,GAAY,GAEtB,IAAIrB,EAASF,EAAaC,EAAUxB,GAGpC,OAFA+C,EAAetB,GAER,SAAiBuB,GAEtB,IADA,IAAIC,EAAY,GACPpM,EAAI,EAAGA,EAAI4K,EAAO1K,OAAQF,IAAK,CACtC,IAAIsJ,EAAOsB,EAAO5K,IACdqM,EAAWjB,EAAY9B,EAAKxC,KACvBwF,OACTF,EAAU5L,KAAK6L,GAEbF,EAEFD,EADAtB,EAASF,EAAaC,EAAUwB,IAGhCvB,EAAS,GAEX,IAAS5K,EAAI,EAAGA,EAAIoM,EAAUlM,OAAQF,IAAK,CACzC,IAAIqM,EACJ,GAAsB,KADlBA,EAAWD,EAAUpM,IACZsM,KAAY,CACvB,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASpB,MAAM/K,OAAQqM,IACzCF,EAASpB,MAAMsB,YAEVnB,EAAYiB,EAASvF,OAMpC,SAASoF,EAAgBtB,GACvB,IAAK,IAAI5K,EAAI,EAAGA,EAAI4K,EAAO1K,OAAQF,IAAK,CACtC,IAAIsJ,EAAOsB,EAAO5K,GACdqM,EAAWjB,EAAY9B,EAAKxC,IAChC,GAAIuF,EAAU,CACZA,EAASC,OACT,IAAK,IAAIC,EAAI,EAAGA,EAAIF,EAASpB,MAAM/K,OAAQqM,IACzCF,EAASpB,MAAMsB,GAAGjD,EAAK2B,MAAMsB,IAE/B,KAAOA,EAAIjD,EAAK2B,MAAM/K,OAAQqM,IAC5BF,EAASpB,MAAMzK,KAAKgM,EAASlD,EAAK2B,MAAMsB,KAEtCF,EAASpB,MAAM/K,OAASoJ,EAAK2B,MAAM/K,SACrCmM,EAASpB,MAAM/K,OAASoJ,EAAK2B,MAAM/K,YAEhC,CACL,IAAI+K,EAAQ,GACZ,IAASsB,EAAI,EAAGA,EAAIjD,EAAK2B,MAAM/K,OAAQqM,IACrCtB,EAAMzK,KAAKgM,EAASlD,EAAK2B,MAAMsB,KAEjCnB,EAAY9B,EAAKxC,IAAM,CAAEA,GAAIwC,EAAKxC,GAAIwF,KAAM,EAAGrB,MAAOA,KAK5D,SAASwB,IACP,IAAIC,EAAe/K,SAASC,cAAc,SAG1C,OAFA8K,EAAa9J,KAAO,WACpBQ,EAAKC,YAAYqJ,GACVA,EAGT,SAASF,EAAUG,GACjB,IAAIC,EAAQC,EACRH,EAAe/K,SAASmL,cAAc,2BAA8BH,EAAI7F,GAAK,MAEjF,GAAI4F,EAAc,CAChB,GAAIlB,EAGF,OAAOC,EAOPiB,EAAaK,WAAWC,YAAYN,GAIxC,GAAIhB,EAAS,CAEX,IAAIuB,EAAa1B,IACjBmB,EAAepB,IAAqBA,EAAmBmB,KACvDG,EAASM,EAAoBzI,KAAK,KAAMiI,EAAcO,GAAY,GAClEJ,EAASK,EAAoBzI,KAAK,KAAMiI,EAAcO,GAAY,QAGlEP,EAAeD,IACfG,EAASO,EAAW1I,KAAK,KAAMiI,GAC/BG,EAAS,WACPH,EAAaK,WAAWC,YAAYN,IAMxC,OAFAE,EAAOD,GAEA,SAAsBS,GAC3B,GAAIA,EAAQ,CACV,GAAIA,EAAOrC,MAAQ4B,EAAI5B,KACnBqC,EAAOpC,QAAU2B,EAAI3B,OACrBoC,EAAO1D,YAAciD,EAAIjD,UAC3B,OAEFkD,EAAOD,EAAMS,QAEbP,KAKN,IACMQ,EADFC,GACED,EAAY,GAET,SAAUE,EAAOC,GAEtB,OADAH,EAAUE,GAASC,EACZH,EAAUI,OAAOC,SAAStD,KAAK,QAI1C,SAAS8C,EAAqBR,EAAca,EAAOV,EAAQF,GACzD,IAAI5B,EAAM8B,EAAS,GAAKF,EAAI5B,IAE5B,GAAI2B,EAAaiB,WACfjB,EAAaiB,WAAWC,QAAUN,EAAYC,EAAOxC,OAChD,CACL,IAAI8C,EAAUlM,SAASmM,eAAe/C,GAClCgD,EAAarB,EAAaqB,WAC1BA,EAAWR,IAAQb,EAAaM,YAAYe,EAAWR,IACvDQ,EAAW7N,OACbwM,EAAasB,aAAaH,EAASE,EAAWR,IAE9Cb,EAAarJ,YAAYwK,IAK/B,SAASV,EAAYT,EAAcC,GACjC,IAAI5B,EAAM4B,EAAI5B,IACVC,EAAQ2B,EAAI3B,MACZtB,EAAYiD,EAAIjD,UAiBpB,GAfIsB,GACF0B,EAAa1K,aAAa,QAASgJ,GAEjCnD,EAAQoG,OACVvB,EAAa1K,aA7JF,kBA6JyB2K,EAAI7F,IAGtC4C,IAGFqB,GAAO,mBAAqBrB,EAAUO,QAAQ,GAAK,MAEnDc,GAAO,uDAAyD1E,KAAKuD,SAASC,mBAAmBC,KAAKC,UAAUL,MAAgB,OAG9HgD,EAAaiB,WACfjB,EAAaiB,WAAWC,QAAU7C,MAC7B,CACL,KAAO2B,EAAawB,YAClBxB,EAAaM,YAAYN,EAAawB,YAExCxB,EAAarJ,YAAY1B,SAASmM,eAAe/C,O,mBCxNrD,IAAIxB,EAAU,EAAQ,KACA,iBAAZA,IAAsBA,EAAU,CAAC,CAACvI,EAAOhB,EAAIuJ,EAAS,MAC7DA,EAAQ4E,SAAQnN,EAAOD,QAAUwI,EAAQ4E,SAG/B5F,EADH,EAAQ,IAA+D6F,SAChE,WAAY7E,GAAS,EAAM","file":"viewer.js","sourcesContent":[" \t// install a JSONP callback for chunk loading\n \tfunction webpackJsonpCallback(data) {\n \t\tvar chunkIds = data[0];\n \t\tvar moreModules = data[1];\n\n\n \t\t// add \"moreModules\" to the modules object,\n \t\t// then flag all \"chunkIds\" as loaded and fire callback\n \t\tvar moduleId, chunkId, i = 0, resolves = [];\n \t\tfor(;i < chunkIds.length; i++) {\n \t\t\tchunkId = chunkIds[i];\n \t\t\tif(Object.prototype.hasOwnProperty.call(installedChunks, chunkId) && installedChunks[chunkId]) {\n \t\t\t\tresolves.push(installedChunks[chunkId][0]);\n \t\t\t}\n \t\t\tinstalledChunks[chunkId] = 0;\n \t\t}\n \t\tfor(moduleId in moreModules) {\n \t\t\tif(Object.prototype.hasOwnProperty.call(moreModules, moduleId)) {\n \t\t\t\tmodules[moduleId] = moreModules[moduleId];\n \t\t\t}\n \t\t}\n \t\tif(parentJsonpFunction) parentJsonpFunction(data);\n\n \t\twhile(resolves.length) {\n \t\t\tresolves.shift()();\n \t\t}\n\n \t};\n\n\n \t// The module cache\n \tvar installedModules = {};\n\n \t// object to store loaded and loading chunks\n \t// undefined = chunk not loaded, null = chunk preloaded/prefetched\n \t// Promise = chunk loading, 0 = chunk loaded\n \tvar installedChunks = {\n \t\t200: 0\n \t};\n\n\n\n \t// script path function\n \tfunction jsonpScriptSrc(chunkId) {\n \t\treturn __webpack_require__.p + \"\" + ({\"0\":\"vendor\",\"1\":\"vendors~editor-collab~editor-guest~editor-rich~files-modal\",\"2\":\"highlight/1c\",\"3\":\"highlight/abnf\",\"4\":\"highlight/accesslog\",\"5\":\"highlight/actionscript\",\"6\":\"highlight/ada\",\"7\":\"highlight/angelscript\",\"8\":\"highlight/apache\",\"9\":\"highlight/applescript\",\"10\":\"highlight/arcade\",\"11\":\"highlight/arduino\",\"12\":\"highlight/armasm\",\"13\":\"highlight/asciidoc\",\"14\":\"highlight/aspectj\",\"15\":\"highlight/autohotkey\",\"16\":\"highlight/autoit\",\"17\":\"highlight/avrasm\",\"18\":\"highlight/awk\",\"19\":\"highlight/axapta\",\"20\":\"highlight/bash\",\"21\":\"highlight/basic\",\"22\":\"highlight/bnf\",\"23\":\"highlight/brainfuck\",\"24\":\"highlight/cal\",\"25\":\"highlight/capnproto\",\"26\":\"highlight/ceylon\",\"27\":\"highlight/clean\",\"28\":\"highlight/clojure\",\"29\":\"highlight/clojure-repl\",\"30\":\"highlight/cmake\",\"31\":\"highlight/coffeescript\",\"32\":\"highlight/coq\",\"33\":\"highlight/cos\",\"34\":\"highlight/cpp\",\"35\":\"highlight/crmsh\",\"36\":\"highlight/crystal\",\"37\":\"highlight/cs\",\"38\":\"highlight/csp\",\"39\":\"highlight/css\",\"40\":\"highlight/d\",\"41\":\"highlight/dart\",\"42\":\"highlight/delphi\",\"43\":\"highlight/diff\",\"44\":\"highlight/django\",\"45\":\"highlight/dns\",\"46\":\"highlight/dockerfile\",\"47\":\"highlight/dos\",\"48\":\"highlight/dsconfig\",\"49\":\"highlight/dts\",\"50\":\"highlight/dust\",\"51\":\"highlight/ebnf\",\"52\":\"highlight/elixir\",\"53\":\"highlight/elm\",\"54\":\"highlight/erb\",\"55\":\"highlight/erlang\",\"56\":\"highlight/erlang-repl\",\"57\":\"highlight/excel\",\"58\":\"highlight/fix\",\"59\":\"highlight/flix\",\"60\":\"highlight/fortran\",\"61\":\"highlight/fsharp\",\"62\":\"highlight/gams\",\"63\":\"highlight/gauss\",\"64\":\"highlight/gcode\",\"65\":\"highlight/gherkin\",\"66\":\"highlight/glsl\",\"67\":\"highlight/gml\",\"68\":\"highlight/go\",\"69\":\"highlight/golo\",\"70\":\"highlight/gradle\",\"71\":\"highlight/groovy\",\"72\":\"highlight/haml\",\"73\":\"highlight/handlebars\",\"74\":\"highlight/haskell\",\"75\":\"highlight/haxe\",\"76\":\"highlight/hsp\",\"77\":\"highlight/htmlbars\",\"78\":\"highlight/http\",\"79\":\"highlight/hy\",\"80\":\"highlight/inform7\",\"81\":\"highlight/ini\",\"82\":\"highlight/irpf90\",\"83\":\"highlight/isbl\",\"84\":\"highlight/java\",\"85\":\"highlight/javascript\",\"86\":\"highlight/jboss-cli\",\"87\":\"highlight/json\",\"88\":\"highlight/julia\",\"89\":\"highlight/julia-repl\",\"90\":\"highlight/kotlin\",\"91\":\"highlight/lasso\",\"92\":\"highlight/ldif\",\"93\":\"highlight/leaf\",\"94\":\"highlight/less\",\"95\":\"highlight/lisp\",\"96\":\"highlight/livecodeserver\",\"97\":\"highlight/livescript\",\"98\":\"highlight/llvm\",\"99\":\"highlight/lsl\",\"100\":\"highlight/lua\",\"101\":\"highlight/makefile\",\"102\":\"highlight/markdown\",\"103\":\"highlight/mathematica\",\"104\":\"highlight/matlab\",\"105\":\"highlight/maxima\",\"106\":\"highlight/mel\",\"107\":\"highlight/mercury\",\"108\":\"highlight/mipsasm\",\"109\":\"highlight/mizar\",\"110\":\"highlight/mojolicious\",\"111\":\"highlight/monkey\",\"112\":\"highlight/moonscript\",\"113\":\"highlight/n1ql\",\"114\":\"highlight/nginx\",\"115\":\"highlight/nimrod\",\"116\":\"highlight/nix\",\"117\":\"highlight/nsis\",\"118\":\"highlight/objectivec\",\"119\":\"highlight/ocaml\",\"120\":\"highlight/openscad\",\"121\":\"highlight/oxygene\",\"122\":\"highlight/parser3\",\"123\":\"highlight/perl\",\"124\":\"highlight/pf\",\"125\":\"highlight/pgsql\",\"126\":\"highlight/php\",\"127\":\"highlight/plaintext\",\"128\":\"highlight/pony\",\"129\":\"highlight/powershell\",\"130\":\"highlight/processing\",\"131\":\"highlight/profile\",\"132\":\"highlight/prolog\",\"133\":\"highlight/properties\",\"134\":\"highlight/protobuf\",\"135\":\"highlight/puppet\",\"136\":\"highlight/purebasic\",\"137\":\"highlight/python\",\"138\":\"highlight/q\",\"139\":\"highlight/qml\",\"140\":\"highlight/r\",\"141\":\"highlight/reasonml\",\"142\":\"highlight/rib\",\"143\":\"highlight/roboconf\",\"144\":\"highlight/routeros\",\"145\":\"highlight/rsl\",\"146\":\"highlight/ruby\",\"147\":\"highlight/ruleslanguage\",\"148\":\"highlight/rust\",\"149\":\"highlight/sas\",\"150\":\"highlight/scala\",\"151\":\"highlight/scheme\",\"152\":\"highlight/scilab\",\"153\":\"highlight/scss\",\"154\":\"highlight/shell\",\"155\":\"highlight/smali\",\"156\":\"highlight/smalltalk\",\"157\":\"highlight/sml\",\"158\":\"highlight/sqf\",\"159\":\"highlight/sql\",\"160\":\"highlight/stan\",\"161\":\"highlight/stata\",\"162\":\"highlight/step21\",\"163\":\"highlight/stylus\",\"164\":\"highlight/subunit\",\"165\":\"highlight/swift\",\"166\":\"highlight/taggerscript\",\"167\":\"highlight/tap\",\"168\":\"highlight/tcl\",\"169\":\"highlight/tex\",\"170\":\"highlight/thrift\",\"171\":\"highlight/tp\",\"172\":\"highlight/twig\",\"173\":\"highlight/typescript\",\"174\":\"highlight/vala\",\"175\":\"highlight/vbnet\",\"176\":\"highlight/vbscript\",\"177\":\"highlight/vbscript-html\",\"178\":\"highlight/verilog\",\"179\":\"highlight/vhdl\",\"180\":\"highlight/vim\",\"181\":\"highlight/x86asm\",\"182\":\"highlight/xl\",\"183\":\"highlight/xml\",\"184\":\"highlight/xquery\",\"185\":\"highlight/yaml\",\"186\":\"highlight/zephir\",\"187\":\"vendors~editor-collab~editor-guest\",\"188\":\"vendors~editor~files-modal\",\"189\":\"editor\",\"190\":\"editor-collab\",\"191\":\"editor-guest\",\"192\":\"editor-rich\",\"194\":\"files-modal\",\"197\":\"vendors~editor\",\"198\":\"vendors~editor-rich\",\"199\":\"vendors~files-modal\"}[chunkId]||chunkId) + \".js?v=\" + {\"0\":\"b6a87e35c196cb18a193\",\"1\":\"feb5918c44017d3ba256\",\"2\":\"071b3beac1c09e6dc1f3\",\"3\":\"02b6bf25401bff70e1ce\",\"4\":\"06349a10fcba1fef2b08\",\"5\":\"6f038c3b24b5205c8575\",\"6\":\"facf339fc268c8300b58\",\"7\":\"af2f947766977426f44f\",\"8\":\"3a8af9aa690812789651\",\"9\":\"3fa6a2dd879cbdba71ff\",\"10\":\"24c8182859e17c1c1fca\",\"11\":\"3cc7f34e3359919e423e\",\"12\":\"c0eb0a244d0cb39704e6\",\"13\":\"ca2812e6dfd669b9ed9e\",\"14\":\"80494a33a16258364dd1\",\"15\":\"eb67f56dc4c79e97cfb0\",\"16\":\"b1c2c197768faebf2efc\",\"17\":\"03440adea0408be2ab13\",\"18\":\"f14a658a37d21c4300ae\",\"19\":\"06a1e9a27797e6036fb9\",\"20\":\"63e8c97d235cf8f2ef15\",\"21\":\"05e2ec59a02550149906\",\"22\":\"4d6660fa6ec96528db4a\",\"23\":\"bb63714d6fef384603dd\",\"24\":\"6f4fd50b6a017394d4ef\",\"25\":\"407e150d0cddfc330a11\",\"26\":\"ce3ee1e47a64b4c9d026\",\"27\":\"2d664db16483c452e781\",\"28\":\"a3a920317593707a929f\",\"29\":\"1202ed203adda685cb20\",\"30\":\"09e7844c496dacc56656\",\"31\":\"3cdfa9538f890fa46bb1\",\"32\":\"ebfcf67e77ab98acbcd1\",\"33\":\"b8d0058fb8891ff39f37\",\"34\":\"30d813319f34a0cddfeb\",\"35\":\"1f15edbd9461b481ce4c\",\"36\":\"897ee94b0f1b39f3d24a\",\"37\":\"f492adc31805a0412549\",\"38\":\"fe3bd1b963f4b889cf8e\",\"39\":\"239e072113e160b67e91\",\"40\":\"f39807cf7bdaf8526a15\",\"41\":\"9b1df6b3d1dd1115e1e8\",\"42\":\"09b2d711deb25f958472\",\"43\":\"0a4af5e0ac26e74fb458\",\"44\":\"eca9bda3374febe1408f\",\"45\":\"bfd30fc702acf9b5b234\",\"46\":\"459bafbfedeedec393f2\",\"47\":\"e8fe346d7dd1651dc9fc\",\"48\":\"a7a41eca2b432ecb456c\",\"49\":\"78f8dd3917437cc93e18\",\"50\":\"ee32b8fb945aa398bec1\",\"51\":\"bcbee3a03044a8950774\",\"52\":\"7b450fbefd2e3706ec70\",\"53\":\"2926d9f5b9996ea173dc\",\"54\":\"528937053c9973030a88\",\"55\":\"2b7f25a3f54ef89fa6e3\",\"56\":\"9260d01a166e0b5a57a6\",\"57\":\"be8879bc01887c64e79b\",\"58\":\"996d2460737c6c1b0e81\",\"59\":\"9a35d6dc0ded8bce2582\",\"60\":\"a4301b687567a5797eae\",\"61\":\"fa47cb385b5375960fea\",\"62\":\"9a0bf8a54e622f03528d\",\"63\":\"3cee1c94793426c6fe0c\",\"64\":\"10f4e9c5ca4207cf2739\",\"65\":\"3985cce434296c7eb5c2\",\"66\":\"f199328703a109721e77\",\"67\":\"3e195b8b02db26ba5c7a\",\"68\":\"8ba3db8152267cfaeb1b\",\"69\":\"2dbea908dd7c4a0e74a1\",\"70\":\"c4208dc434db3ef75467\",\"71\":\"1bea4a55bf6330dd337c\",\"72\":\"5403fd41ba6dadfb0069\",\"73\":\"c6726e29b97cafb89aa2\",\"74\":\"b50375f6d30f874d112b\",\"75\":\"18918d37d2462dedbe24\",\"76\":\"104df33b1e29b92c7e05\",\"77\":\"230f0f7e75220878d753\",\"78\":\"3620b0f6777c0d97d088\",\"79\":\"e5519677d9528132d1b2\",\"80\":\"6a6088db96341850bc6c\",\"81\":\"5e0594b511ddf2286b2b\",\"82\":\"a5f154fc0aec26d1af48\",\"83\":\"4fea3cfbb47bcfc112f0\",\"84\":\"6365dabe44f0ef66ded3\",\"85\":\"0e1f9cfb325c38778c49\",\"86\":\"737c3cc7bf7ec7e294eb\",\"87\":\"829ab49f163323ee3597\",\"88\":\"8dd0066b61f6587f1521\",\"89\":\"8e6c3e163fdfd0858593\",\"90\":\"908502cdb9c2f5fa2f14\",\"91\":\"bb9a0eb8fe9fc64468cd\",\"92\":\"b691a0396ca5de03ccd0\",\"93\":\"9b1fcbab9c153d40a96b\",\"94\":\"40d3ddabc330eb532413\",\"95\":\"6bf858fab0e8d2e0283c\",\"96\":\"4fe5a2c2f2d3e9759ff9\",\"97\":\"12080a42229846062a9e\",\"98\":\"53196626494a177837ab\",\"99\":\"e6b4f915ea180b8d3b8a\",\"100\":\"3a5f0e3c9c3b2d169fa5\",\"101\":\"f20d61205575024461a4\",\"102\":\"c176b1db2cd4865699c5\",\"103\":\"483c94b31a85fa90f286\",\"104\":\"e8ce50b033797edb4dab\",\"105\":\"fac046a1f51230c115ad\",\"106\":\"93c1bcf6baa80a731571\",\"107\":\"414e423c5fed49ecb7a7\",\"108\":\"9d5609deeea481d092a0\",\"109\":\"6a29b8b667b4e9803831\",\"110\":\"85f3d6a6fa8c94b59441\",\"111\":\"4a3f216687a251cca431\",\"112\":\"55d2478df875c48f0655\",\"113\":\"3eadea73e515fd20b16e\",\"114\":\"49b0f012825d96845ad4\",\"115\":\"614d2341ad81de37a0a4\",\"116\":\"543a514ee50dabba2c30\",\"117\":\"5399d10990ccbbed2bc7\",\"118\":\"dc7961e08feeaf5cdc07\",\"119\":\"a02034d17acf2dfff765\",\"120\":\"1a3f064db30c34258c09\",\"121\":\"ba5037471c571831e853\",\"122\":\"339b50117d4d287cf385\",\"123\":\"bd941caa5d2f53d1d4b0\",\"124\":\"07ab8fb74ff9bea845d5\",\"125\":\"d9951f80e532cb8787b6\",\"126\":\"8e97e0f2a5e2dbf035c8\",\"127\":\"fbdfa92af2a410a157a5\",\"128\":\"646d098d07d6d4da4fed\",\"129\":\"3b4d9a58526a7741dcc7\",\"130\":\"7948820b23eb0c62404f\",\"131\":\"fdf3ed035e0369367eeb\",\"132\":\"cdd8ccb02fffc1349738\",\"133\":\"233db3ec5ccf7b2f5678\",\"134\":\"3bf8fd57b29d88129b8f\",\"135\":\"c492283b4dd2f97fcc07\",\"136\":\"6c054c58d6a66b30d59f\",\"137\":\"84414e9cc771f4cd88cf\",\"138\":\"cfc52fa64ad538a93956\",\"139\":\"a084023e960fba8dd47a\",\"140\":\"10eec06a86dfeed3f165\",\"141\":\"fe58cb5669ed32875a14\",\"142\":\"58da31919b9b8a36647c\",\"143\":\"6f6f8504ef26f304d74a\",\"144\":\"98591744cbe1686b2e09\",\"145\":\"54ebb876ef10f26f55f1\",\"146\":\"cd038323b8dc2746f9ff\",\"147\":\"ed71a0b324668c95b36d\",\"148\":\"a3b4445f438d4ad1a303\",\"149\":\"e42f265b747fb02b2df0\",\"150\":\"fdb9f0a690d8cedb78c0\",\"151\":\"4b3f9672baef038f2fbb\",\"152\":\"4ed66f30d02c3d664950\",\"153\":\"96dd81098350c7a5d92f\",\"154\":\"1f4a8a17e05fbb11d688\",\"155\":\"e3e6a82a8a5388a962b5\",\"156\":\"1ca3a978cfdc9b49c6ec\",\"157\":\"5da35776878ca5d6695f\",\"158\":\"c99e663a86f2f2812d8c\",\"159\":\"90c3cd67631dc8669de1\",\"160\":\"9dd3a4734930fcff429e\",\"161\":\"e4dfcdbed07b2fc923a4\",\"162\":\"27eb26d60b4ed52a8cfe\",\"163\":\"56a827ad5ecdb729d8e0\",\"164\":\"77e46e77ce7f848e97e0\",\"165\":\"e53f833abdedc4f118f9\",\"166\":\"2e6a7aab79d8f765e78d\",\"167\":\"82205764ac320b4a5907\",\"168\":\"e5184691fd9e113a0b51\",\"169\":\"57946c72e9f255a3184d\",\"170\":\"b299c11dfb1a95970d0e\",\"171\":\"d2822f4dfe393b7f225f\",\"172\":\"be02ab9602ea44f5b8b7\",\"173\":\"3edb6a685de2ba7d9067\",\"174\":\"4b5209018d66028ae9d5\",\"175\":\"e77f394c4ae6478b2c82\",\"176\":\"63548036ec688dd55835\",\"177\":\"73060daa5328bd173d80\",\"178\":\"3f5c84f2ffb2818d5b42\",\"179\":\"ba92adfe7ff24de3a002\",\"180\":\"b85fdd69c3163b23cd4f\",\"181\":\"f0569dd5247f481195bd\",\"182\":\"f957f27aa1e0aad8b63e\",\"183\":\"b4ed61a972de16719173\",\"184\":\"b4400b1574e079783a8c\",\"185\":\"5bccbb3a33a0c2898445\",\"186\":\"b7ed8a9b4a93f789e4bd\",\"187\":\"c9868841dbd0da14f4c2\",\"188\":\"941e6f21e484ae4d0350\",\"189\":\"6ee3c36190dc54802157\",\"190\":\"7113b14aff5f5f406e65\",\"191\":\"18fd63d85d2025596fb4\",\"192\":\"e3894d020f96c922f4df\",\"194\":\"10f4a3e4df93b5fd8e9a\",\"197\":\"4ca0f6ac0902477b585a\",\"198\":\"29d438f918f0be3868ae\",\"199\":\"974d8a5edd0f4a8a98d0\"}[chunkId] + \"\"\n \t}\n\n \t// The require function\n \tfunction __webpack_require__(moduleId) {\n\n \t\t// Check if module is in cache\n \t\tif(installedModules[moduleId]) {\n \t\t\treturn installedModules[moduleId].exports;\n \t\t}\n \t\t// Create a new module (and put it into the cache)\n \t\tvar module = installedModules[moduleId] = {\n \t\t\ti: moduleId,\n \t\t\tl: false,\n \t\t\texports: {}\n \t\t};\n\n \t\t// Execute the module function\n \t\tmodules[moduleId].call(module.exports, module, module.exports, __webpack_require__);\n\n \t\t// Flag the module as loaded\n \t\tmodule.l = true;\n\n \t\t// Return the exports of the module\n \t\treturn module.exports;\n \t}\n\n \t// This file contains only the entry chunk.\n \t// The chunk loading function for additional chunks\n \t__webpack_require__.e = function requireEnsure(chunkId) {\n \t\tvar promises = [];\n\n\n \t\t// JSONP chunk loading for javascript\n\n \t\tvar installedChunkData = installedChunks[chunkId];\n \t\tif(installedChunkData !== 0) { // 0 means \"already installed\".\n\n \t\t\t// a Promise means \"currently loading\".\n \t\t\tif(installedChunkData) {\n \t\t\t\tpromises.push(installedChunkData[2]);\n \t\t\t} else {\n \t\t\t\t// setup Promise in chunk cache\n \t\t\t\tvar promise = new Promise(function(resolve, reject) {\n \t\t\t\t\tinstalledChunkData = installedChunks[chunkId] = [resolve, reject];\n \t\t\t\t});\n \t\t\t\tpromises.push(installedChunkData[2] = promise);\n\n \t\t\t\t// start chunk loading\n \t\t\t\tvar script = document.createElement('script');\n \t\t\t\tvar onScriptComplete;\n\n \t\t\t\tscript.charset = 'utf-8';\n \t\t\t\tscript.timeout = 120;\n \t\t\t\tif (__webpack_require__.nc) {\n \t\t\t\t\tscript.setAttribute(\"nonce\", __webpack_require__.nc);\n \t\t\t\t}\n \t\t\t\tscript.src = jsonpScriptSrc(chunkId);\n\n \t\t\t\t// create error before stack unwound to get useful stacktrace later\n \t\t\t\tvar error = new Error();\n \t\t\t\tonScriptComplete = function (event) {\n \t\t\t\t\t// avoid mem leaks in IE.\n \t\t\t\t\tscript.onerror = script.onload = null;\n \t\t\t\t\tclearTimeout(timeout);\n \t\t\t\t\tvar chunk = installedChunks[chunkId];\n \t\t\t\t\tif(chunk !== 0) {\n \t\t\t\t\t\tif(chunk) {\n \t\t\t\t\t\t\tvar errorType = event && (event.type === 'load' ? 'missing' : event.type);\n \t\t\t\t\t\t\tvar realSrc = event && event.target && event.target.src;\n \t\t\t\t\t\t\terror.message = 'Loading chunk ' + chunkId + ' failed.\\n(' + errorType + ': ' + realSrc + ')';\n \t\t\t\t\t\t\terror.name = 'ChunkLoadError';\n \t\t\t\t\t\t\terror.type = errorType;\n \t\t\t\t\t\t\terror.request = realSrc;\n \t\t\t\t\t\t\tchunk[1](error);\n \t\t\t\t\t\t}\n \t\t\t\t\t\tinstalledChunks[chunkId] = undefined;\n \t\t\t\t\t}\n \t\t\t\t};\n \t\t\t\tvar timeout = setTimeout(function(){\n \t\t\t\t\tonScriptComplete({ type: 'timeout', target: script });\n \t\t\t\t}, 120000);\n \t\t\t\tscript.onerror = script.onload = onScriptComplete;\n \t\t\t\tdocument.head.appendChild(script);\n \t\t\t}\n \t\t}\n \t\treturn Promise.all(promises);\n \t};\n\n \t// expose the modules object (__webpack_modules__)\n \t__webpack_require__.m = modules;\n\n \t// expose the module cache\n \t__webpack_require__.c = installedModules;\n\n \t// define getter function for harmony exports\n \t__webpack_require__.d = function(exports, name, getter) {\n \t\tif(!__webpack_require__.o(exports, name)) {\n \t\t\tObject.defineProperty(exports, name, { enumerable: true, get: getter });\n \t\t}\n \t};\n\n \t// define __esModule on exports\n \t__webpack_require__.r = function(exports) {\n \t\tif(typeof Symbol !== 'undefined' && Symbol.toStringTag) {\n \t\t\tObject.defineProperty(exports, Symbol.toStringTag, { value: 'Module' });\n \t\t}\n \t\tObject.defineProperty(exports, '__esModule', { value: true });\n \t};\n\n \t// create a fake namespace object\n \t// mode & 1: value is a module id, require it\n \t// mode & 2: merge all properties of value into the ns\n \t// mode & 4: return value when already ns object\n \t// mode & 8|1: behave like require\n \t__webpack_require__.t = function(value, mode) {\n \t\tif(mode & 1) value = __webpack_require__(value);\n \t\tif(mode & 8) return value;\n \t\tif((mode & 4) && typeof value === 'object' && value && value.__esModule) return value;\n \t\tvar ns = Object.create(null);\n \t\t__webpack_require__.r(ns);\n \t\tObject.defineProperty(ns, 'default', { enumerable: true, value: value });\n \t\tif(mode & 2 && typeof value != 'string') for(var key in value) __webpack_require__.d(ns, key, function(key) { return value[key]; }.bind(null, key));\n \t\treturn ns;\n \t};\n\n \t// getDefaultExport function for compatibility with non-harmony modules\n \t__webpack_require__.n = function(module) {\n \t\tvar getter = module && module.__esModule ?\n \t\t\tfunction getDefault() { return module['default']; } :\n \t\t\tfunction getModuleExports() { return module; };\n \t\t__webpack_require__.d(getter, 'a', getter);\n \t\treturn getter;\n \t};\n\n \t// Object.prototype.hasOwnProperty.call\n \t__webpack_require__.o = function(object, property) { return Object.prototype.hasOwnProperty.call(object, property); };\n\n \t// __webpack_public_path__\n \t__webpack_require__.p = \"/js/\";\n\n \t// on error function for async loading\n \t__webpack_require__.oe = function(err) { console.error(err); throw err; };\n\n \tvar jsonpArray = window[\"textWebpackJsonp\"] = window[\"textWebpackJsonp\"] || [];\n \tvar oldJsonpFunction = jsonpArray.push.bind(jsonpArray);\n \tjsonpArray.push = webpackJsonpCallback;\n \tjsonpArray = jsonpArray.slice();\n \tfor(var i = 0; i < jsonpArray.length; i++) webpackJsonpCallback(jsonpArray[i]);\n \tvar parentJsonpFunction = oldJsonpFunction;\n\n\n \t// Load entry module and return exports\n \treturn __webpack_require__(__webpack_require__.s = 175);\n","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nconst openMimetypesMarkdown = [\n\t'text/markdown',\n]\n\nconst openMimetypesPlainText = [\n\t'text/plain',\n\t'application/cmd',\n\t'application/x-empty',\n\t'application/x-msdos-program',\n\t'application/epub+zip',\n\t'application/javascript',\n\t'application/json',\n\t'application/x-perl',\n\t'application/x-php',\n\t'application/x-tex',\n\t'application/xml',\n\t'application/yaml',\n\t'text/css',\n\t'text/csv',\n\t'text/html',\n\t'text/x-c',\n\t'text/x-c++src',\n\t'text/x-h',\n\t'text/x-java-source',\n\t'text/x-ldif',\n\t'text/x-python',\n\t'text/x-shellscript',\n]\n\nconst openMimetypes = [...openMimetypesMarkdown, ...openMimetypesPlainText]\n\nexport {\n\topenMimetypes,\n\topenMimetypesMarkdown,\n\topenMimetypesPlainText,\n}\n","import mod from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ViewerComponent.vue?vue&type=style&index=0&lang=css&\"; export default mod; export * from \"-!../../node_modules/vue-style-loader/index.js!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ViewerComponent.vue?vue&type=style&index=0&lang=css&\"","// Imports\nvar ___CSS_LOADER_API_IMPORT___ = require(\"../../node_modules/css-loader/dist/runtime/api.js\");\nexports = ___CSS_LOADER_API_IMPORT___(false);\n// Module\nexports.push([module.id, \"\\n#editor-container {\\n\\theight: calc(100% - 50px);\\n\\ttop: 50px;\\n}\\n\", \"\"]);\n// Exports\nmodule.exports = exports;\n","var render = function () {var _vm=this;var _h=_vm.$createElement;var _c=_vm._self._c||_h;return _c('EditorWrapper',{attrs:{\"file-id\":_vm.fileid,\"relative-path\":_vm.filename,\"active\":_vm.active,\"share-token\":_vm.shareToken,\"mime\":_vm.mime}})}\nvar staticRenderFns = []\n\nexport { render, staticRenderFns }","import mod from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ViewerComponent.vue?vue&type=script&lang=js&\"; export default mod; export * from \"-!../../node_modules/babel-loader/lib/index.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ViewerComponent.vue?vue&type=script&lang=js&\"","<!--\n - @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n -\n - @author Julius Härtl <jus@bitgrid.net>\n -\n - @license GNU AGPL version 3 or any later version\n -\n - This program is free software: you can redistribute it and/or modify\n - it under the terms of the GNU Affero General Public License as\n - published by the Free Software Foundation, either version 3 of the\n - License, or (at your option) any later version.\n -\n - This program is distributed in the hope that it will be useful,\n - but WITHOUT ANY WARRANTY; without even the implied warranty of\n - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n - GNU Affero General Public License for more details.\n -\n - You should have received a copy of the GNU Affero General Public License\n - along with this program. If not, see <http://www.gnu.org/licenses/>.\n -\n -->\n\n<template>\n\t<EditorWrapper :file-id=\"fileid\"\n\t\t:relative-path=\"filename\"\n\t\t:active=\"active\"\n\t\t:share-token=\"shareToken\"\n\t\t:mime=\"mime\" />\n</template>\n\n<script>\nexport default {\n\tname: 'ViewerComponent',\n\tcomponents: {\n\t\tEditorWrapper: () => import(/* webpackChunkName: \"editor\" */'./EditorWrapper'),\n\t},\n\tprops: {\n\t\tfilename: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tfileid: {\n\t\t\ttype: Number,\n\t\t\tdefault: null,\n\t\t},\n\t\tactive: {\n\t\t\ttype: Boolean,\n\t\t\tdefault: false,\n\t\t},\n\t\tshareToken: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t\tmime: {\n\t\t\ttype: String,\n\t\t\tdefault: null,\n\t\t},\n\t},\n\tbeforeMount() {\n\t\t// FIXME Dirty fix to avoid recreating the component on stable16\n\t\tif (typeof this.$parent.$parent !== 'undefined' && this.$parent.$parent.onResize) {\n\t\t\twindow.removeEventListener('resize', this.$parent.$parent.onResize)\n\t\t}\n\t},\n}\n</script>\n<style>\n#editor-container {\n\theight: calc(100% - 50px);\n\ttop: 50px;\n}\n</style>\n","import { render, staticRenderFns } from \"./ViewerComponent.vue?vue&type=template&id=5ae8db58&\"\nimport script from \"./ViewerComponent.vue?vue&type=script&lang=js&\"\nexport * from \"./ViewerComponent.vue?vue&type=script&lang=js&\"\nimport style0 from \"./ViewerComponent.vue?vue&type=style&index=0&lang=css&\"\n\n\n/* normalize component */\nimport normalizer from \"!../../node_modules/vue-loader/lib/runtime/componentNormalizer.js\"\nvar component = normalizer(\n script,\n render,\n staticRenderFns,\n false,\n null,\n null,\n null\n \n)\n\nexport default component.exports","/*\n * @copyright Copyright (c) 2019 Julius Härtl <jus@bitgrid.net>\n *\n * @author Julius Härtl <jus@bitgrid.net>\n *\n * @license GNU AGPL version 3 or any later version\n *\n * This program is free software: you can redistribute it and/or modify\n * it under the terms of the GNU Affero General Public License as\n * published by the Free Software Foundation, either version 3 of the\n * License, or (at your option) any later version.\n *\n * This program is distributed in the hope that it will be useful,\n * but WITHOUT ANY WARRANTY; without even the implied warranty of\n * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\n * GNU Affero General Public License for more details.\n *\n * You should have received a copy of the GNU Affero General Public License\n * along with this program. If not, see <http://www.gnu.org/licenses/>.\n *\n */\n\nimport ViewerComponent from './components/ViewerComponent'\nimport { openMimetypesMarkdown, openMimetypesPlainText } from './helpers/mime'\n\n__webpack_nonce__ = btoa(OC.requestToken) // eslint-disable-line\n__webpack_public_path__ = OC.linkTo('text', 'js/') // eslint-disable-line\n\ndocument.addEventListener('DOMContentLoaded', () => {\n\tif (typeof OCA.Viewer === 'undefined') {\n\t\tconsole.error('Viewer app is not installed')\n\t\treturn\n\t}\n\n\tOCA.Viewer.registerHandler({\n\t\tid: 'text',\n\t\tmimes: [...openMimetypesMarkdown, ...openMimetypesPlainText],\n\t\tcomponent: ViewerComponent,\n\t\tgroup: null,\n\t})\n})\n","/* globals __VUE_SSR_CONTEXT__ */\n\n// IMPORTANT: Do NOT use ES2015 features in this file (except for modules).\n// This module is a runtime utility for cleaner component module output and will\n// be included in the final webpack user bundle.\n\nexport default function normalizeComponent (\n scriptExports,\n render,\n staticRenderFns,\n functionalTemplate,\n injectStyles,\n scopeId,\n moduleIdentifier, /* server only */\n shadowMode /* vue-cli only */\n) {\n // Vue.extend constructor export interop\n var options = typeof scriptExports === 'function'\n ? scriptExports.options\n : scriptExports\n\n // render functions\n if (render) {\n options.render = render\n options.staticRenderFns = staticRenderFns\n options._compiled = true\n }\n\n // functional template\n if (functionalTemplate) {\n options.functional = true\n }\n\n // scopedId\n if (scopeId) {\n options._scopeId = 'data-v-' + scopeId\n }\n\n var hook\n if (moduleIdentifier) { // server build\n hook = function (context) {\n // 2.3 injection\n context =\n context || // cached call\n (this.$vnode && this.$vnode.ssrContext) || // stateful\n (this.parent && this.parent.$vnode && this.parent.$vnode.ssrContext) // functional\n // 2.2 with runInNewContext: true\n if (!context && typeof __VUE_SSR_CONTEXT__ !== 'undefined') {\n context = __VUE_SSR_CONTEXT__\n }\n // inject component styles\n if (injectStyles) {\n injectStyles.call(this, context)\n }\n // register component module identifier for async chunk inferrence\n if (context && context._registeredComponents) {\n context._registeredComponents.add(moduleIdentifier)\n }\n }\n // used by ssr in case component is cached and beforeCreate\n // never gets called\n options._ssrRegister = hook\n } else if (injectStyles) {\n hook = shadowMode\n ? function () {\n injectStyles.call(\n this,\n (options.functional ? this.parent : this).$root.$options.shadowRoot\n )\n }\n : injectStyles\n }\n\n if (hook) {\n if (options.functional) {\n // for template-only hot-reload because in that case the render fn doesn't\n // go through the normalizer\n options._injectStyles = hook\n // register for functional component in vue file\n var originalRender = options.render\n options.render = function renderWithStyleInjection (h, context) {\n hook.call(context)\n return originalRender(h, context)\n }\n } else {\n // inject component registration as beforeCreate hook\n var existing = options.beforeCreate\n options.beforeCreate = existing\n ? [].concat(existing, hook)\n : [hook]\n }\n }\n\n return {\n exports: scriptExports,\n options: options\n }\n}\n","\"use strict\";\n\n/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n*/\n// css base code, injected by the css-loader\n// eslint-disable-next-line func-names\nmodule.exports = function (useSourceMap) {\n var list = []; // return the list of modules as css string\n\n list.toString = function toString() {\n return this.map(function (item) {\n var content = cssWithMappingToString(item, useSourceMap);\n\n if (item[2]) {\n return \"@media \".concat(item[2], \" {\").concat(content, \"}\");\n }\n\n return content;\n }).join('');\n }; // import a list of modules into the list\n // eslint-disable-next-line func-names\n\n\n list.i = function (modules, mediaQuery, dedupe) {\n if (typeof modules === 'string') {\n // eslint-disable-next-line no-param-reassign\n modules = [[null, modules, '']];\n }\n\n var alreadyImportedModules = {};\n\n if (dedupe) {\n for (var i = 0; i < this.length; i++) {\n // eslint-disable-next-line prefer-destructuring\n var id = this[i][0];\n\n if (id != null) {\n alreadyImportedModules[id] = true;\n }\n }\n }\n\n for (var _i = 0; _i < modules.length; _i++) {\n var item = [].concat(modules[_i]);\n\n if (dedupe && alreadyImportedModules[item[0]]) {\n // eslint-disable-next-line no-continue\n continue;\n }\n\n if (mediaQuery) {\n if (!item[2]) {\n item[2] = mediaQuery;\n } else {\n item[2] = \"\".concat(mediaQuery, \" and \").concat(item[2]);\n }\n }\n\n list.push(item);\n }\n };\n\n return list;\n};\n\nfunction cssWithMappingToString(item, useSourceMap) {\n var content = item[1] || ''; // eslint-disable-next-line prefer-destructuring\n\n var cssMapping = item[3];\n\n if (!cssMapping) {\n return content;\n }\n\n if (useSourceMap && typeof btoa === 'function') {\n var sourceMapping = toComment(cssMapping);\n var sourceURLs = cssMapping.sources.map(function (source) {\n return \"/*# sourceURL=\".concat(cssMapping.sourceRoot || '').concat(source, \" */\");\n });\n return [content].concat(sourceURLs).concat([sourceMapping]).join('\\n');\n }\n\n return [content].join('\\n');\n} // Adapted from convert-source-map (MIT)\n\n\nfunction toComment(sourceMap) {\n // eslint-disable-next-line no-undef\n var base64 = btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap))));\n var data = \"sourceMappingURL=data:application/json;charset=utf-8;base64,\".concat(base64);\n return \"/*# \".concat(data, \" */\");\n}","/**\n * Translates the list format produced by css-loader into something\n * easier to manipulate.\n */\nexport default function listToStyles (parentId, list) {\n var styles = []\n var newStyles = {}\n for (var i = 0; i < list.length; i++) {\n var item = list[i]\n var id = item[0]\n var css = item[1]\n var media = item[2]\n var sourceMap = item[3]\n var part = {\n id: parentId + ':' + i,\n css: css,\n media: media,\n sourceMap: sourceMap\n }\n if (!newStyles[id]) {\n styles.push(newStyles[id] = { id: id, parts: [part] })\n } else {\n newStyles[id].parts.push(part)\n }\n }\n return styles\n}\n","/*\n MIT License http://www.opensource.org/licenses/mit-license.php\n Author Tobias Koppers @sokra\n Modified by Evan You @yyx990803\n*/\n\nimport listToStyles from './listToStyles'\n\nvar hasDocument = typeof document !== 'undefined'\n\nif (typeof DEBUG !== 'undefined' && DEBUG) {\n if (!hasDocument) {\n throw new Error(\n 'vue-style-loader cannot be used in a non-browser environment. ' +\n \"Use { target: 'node' } in your Webpack config to indicate a server-rendering environment.\"\n ) }\n}\n\n/*\ntype StyleObject = {\n id: number;\n parts: Array<StyleObjectPart>\n}\n\ntype StyleObjectPart = {\n css: string;\n media: string;\n sourceMap: ?string\n}\n*/\n\nvar stylesInDom = {/*\n [id: number]: {\n id: number,\n refs: number,\n parts: Array<(obj?: StyleObjectPart) => void>\n }\n*/}\n\nvar head = hasDocument && (document.head || document.getElementsByTagName('head')[0])\nvar singletonElement = null\nvar singletonCounter = 0\nvar isProduction = false\nvar noop = function () {}\nvar options = null\nvar ssrIdKey = 'data-vue-ssr-id'\n\n// Force single-tag solution on IE6-9, which has a hard limit on the # of <style>\n// tags it will allow on a page\nvar isOldIE = typeof navigator !== 'undefined' && /msie [6-9]\\b/.test(navigator.userAgent.toLowerCase())\n\nexport default function addStylesClient (parentId, list, _isProduction, _options) {\n isProduction = _isProduction\n\n options = _options || {}\n\n var styles = listToStyles(parentId, list)\n addStylesToDom(styles)\n\n return function update (newList) {\n var mayRemove = []\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n domStyle.refs--\n mayRemove.push(domStyle)\n }\n if (newList) {\n styles = listToStyles(parentId, newList)\n addStylesToDom(styles)\n } else {\n styles = []\n }\n for (var i = 0; i < mayRemove.length; i++) {\n var domStyle = mayRemove[i]\n if (domStyle.refs === 0) {\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j]()\n }\n delete stylesInDom[domStyle.id]\n }\n }\n }\n}\n\nfunction addStylesToDom (styles /* Array<StyleObject> */) {\n for (var i = 0; i < styles.length; i++) {\n var item = styles[i]\n var domStyle = stylesInDom[item.id]\n if (domStyle) {\n domStyle.refs++\n for (var j = 0; j < domStyle.parts.length; j++) {\n domStyle.parts[j](item.parts[j])\n }\n for (; j < item.parts.length; j++) {\n domStyle.parts.push(addStyle(item.parts[j]))\n }\n if (domStyle.parts.length > item.parts.length) {\n domStyle.parts.length = item.parts.length\n }\n } else {\n var parts = []\n for (var j = 0; j < item.parts.length; j++) {\n parts.push(addStyle(item.parts[j]))\n }\n stylesInDom[item.id] = { id: item.id, refs: 1, parts: parts }\n }\n }\n}\n\nfunction createStyleElement () {\n var styleElement = document.createElement('style')\n styleElement.type = 'text/css'\n head.appendChild(styleElement)\n return styleElement\n}\n\nfunction addStyle (obj /* StyleObjectPart */) {\n var update, remove\n var styleElement = document.querySelector('style[' + ssrIdKey + '~=\"' + obj.id + '\"]')\n\n if (styleElement) {\n if (isProduction) {\n // has SSR styles and in production mode.\n // simply do nothing.\n return noop\n } else {\n // has SSR styles but in dev mode.\n // for some reason Chrome can't handle source map in server-rendered\n // style tags - source maps in <style> only works if the style tag is\n // created and inserted dynamically. So we remove the server rendered\n // styles and inject new ones.\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n if (isOldIE) {\n // use singleton mode for IE9.\n var styleIndex = singletonCounter++\n styleElement = singletonElement || (singletonElement = createStyleElement())\n update = applyToSingletonTag.bind(null, styleElement, styleIndex, false)\n remove = applyToSingletonTag.bind(null, styleElement, styleIndex, true)\n } else {\n // use multi-style-tag mode in all other cases\n styleElement = createStyleElement()\n update = applyToTag.bind(null, styleElement)\n remove = function () {\n styleElement.parentNode.removeChild(styleElement)\n }\n }\n\n update(obj)\n\n return function updateStyle (newObj /* StyleObjectPart */) {\n if (newObj) {\n if (newObj.css === obj.css &&\n newObj.media === obj.media &&\n newObj.sourceMap === obj.sourceMap) {\n return\n }\n update(obj = newObj)\n } else {\n remove()\n }\n }\n}\n\nvar replaceText = (function () {\n var textStore = []\n\n return function (index, replacement) {\n textStore[index] = replacement\n return textStore.filter(Boolean).join('\\n')\n }\n})()\n\nfunction applyToSingletonTag (styleElement, index, remove, obj) {\n var css = remove ? '' : obj.css\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = replaceText(index, css)\n } else {\n var cssNode = document.createTextNode(css)\n var childNodes = styleElement.childNodes\n if (childNodes[index]) styleElement.removeChild(childNodes[index])\n if (childNodes.length) {\n styleElement.insertBefore(cssNode, childNodes[index])\n } else {\n styleElement.appendChild(cssNode)\n }\n }\n}\n\nfunction applyToTag (styleElement, obj) {\n var css = obj.css\n var media = obj.media\n var sourceMap = obj.sourceMap\n\n if (media) {\n styleElement.setAttribute('media', media)\n }\n if (options.ssrId) {\n styleElement.setAttribute(ssrIdKey, obj.id)\n }\n\n if (sourceMap) {\n // https://developer.chrome.com/devtools/docs/javascript-debugging\n // this makes source maps inside style tags work properly in Chrome\n css += '\\n/*# sourceURL=' + sourceMap.sources[0] + ' */'\n // http://stackoverflow.com/a/26603875\n css += '\\n/*# sourceMappingURL=data:application/json;base64,' + btoa(unescape(encodeURIComponent(JSON.stringify(sourceMap)))) + ' */'\n }\n\n if (styleElement.styleSheet) {\n styleElement.styleSheet.cssText = css\n } else {\n while (styleElement.firstChild) {\n styleElement.removeChild(styleElement.firstChild)\n }\n styleElement.appendChild(document.createTextNode(css))\n }\n}\n","// style-loader: Adds some css to the DOM by adding a <style> tag\n\n// load the styles\nvar content = require(\"!!../../node_modules/css-loader/dist/cjs.js!../../node_modules/vue-loader/lib/loaders/stylePostLoader.js!../../node_modules/vue-loader/lib/index.js??vue-loader-options!./ViewerComponent.vue?vue&type=style&index=0&lang=css&\");\nif(typeof content === 'string') content = [[module.id, content, '']];\nif(content.locals) module.exports = content.locals;\n// add the styles to the DOM\nvar add = require(\"!../../node_modules/vue-style-loader/lib/addStylesClient.js\").default\nvar update = add(\"4861ac69\", content, true, {});"],"sourceRoot":""} \ No newline at end of file